-- dump date 20170509_025244 -- class Genbank::CDS -- table cds_translation -- id translation NEUTE1DRAFT_143574 MAGSRASLMEWTVDDGTDNEAAFNGQNIPHSLSSKVTQWCLIRG IRHHPGFATELHGAPELPSITRALNARAIMSSKVPQMEKEEEFPYCFWHPDLPSEQTL RQLLARYGETRPTIQYQVGRVCAMAGYTALYDELHLLPEVAIAEEARDSSAPGSKAIY ESIMRQPARYSIMDDYALKIRTEDPRPVAFLMGNTCTRQTLVNYPMPAGNLFNPHTIP APIFDITEDRNLSFDKNALRPSQIPVSEDTLRLLYAPLPLNLPSHAASMADKNLLILM AAWSSNIERYSRLRRPGVVIPGELQCIVRGIYHSVFFAKWWASPEQLKVQKQLGINLM PFIHARFVMHNDLSWIKEDTPEEDMPTIMWVPDHAEQSTYLAMARMRPTERTKFRCAR ALIAIGDYEGYEKLGTGPDRTQYWEAQSRQCKEEASGFEGVGKKFLEDIEKKAKEKWG TRNINERPDDPDHLYVAEWHYELTNMAENEVEDEWNLVWLTHMLDVGDKPPRSINLYR QVKTEMTGFDELSNGTYIRAMTEEEYEDWFAQADHYADPRQPNDFNQDCGVQGILLRV AAMDEVKRMVSEGFEWRNLSQMYRNWDHVPEDEREYLGVYPRKEKWGMKYLRRKLVRG RSGKMVWAGVW NEUTE1DRAFT_97261 MSDKPSDQTPKQRGRSSRSRSPPAGPAPARDRRDHQRKRNTGGT QHGQPALSNSDQRQIRRLFEAHQSGRKPDYMERLSNDPSTPHFNWMARQTSSGRPNAY LAKTTTDRESLLALVNSIERLAMESNTPVANVRIEFVPQFFVEKHRNEVEGIIHDQEE PIAIDYDDQPAAEVAKGPSVSVPDVVCGNKLCGKKGHTIAQCIIPDPQTGLVYGCPLC NTDEHLVDWRCPVKPMPAPGTQLDPKVIQEYIERLLDVCFVKRANRPFFATNGMTWPS LFQSYRHFAEDIKTYEELNKSSWDTRGHYPWTPEYAMRMVDSAEKMDEMKKFDPTTHT CRNVGCSHFHSRDPVYNKYKSLSETIDAFFKGEWNVATGWIPTTHVDRLTRLSYLEAQ ARRLVESAEFLVKEEPGAEAPPAPVKPESFPPPTIVSNASNLVQYVWNEQTQNFDAGV DWSFSSFVTTRDKAPKLLRRCDPEADYAINYAAWVFKHQRPDNGPVGDSLED NEUTE1DRAFT_34497 MLQAKSDKRETDREEEKVVDVLKRKLEAAGHVSNETSVLSPWVF AFFCFSQSLTKFDRVMLFLQTLWQNCATQTLDKCTTFTTPRHFKLDDNCEFAGFSSWM EA NEUTE1DRAFT_115595 MPRTLGWRPSRLIQVRRPIAAITRPTKPFFSPPASVSPFSLTKT YLTESTRAHQARKMAPQLDSYFQKVDSLSDHFIDRLRQAVAIPSISSEAARRPDVVRM GQWLADELTKLGATVELRPLGKQEGTDLDLPPVVLARYGNDKNKRTILVYGHYDVQPA EKSDGWDTEPFDLTVKEDGRMCGRGATDDKGPVLGWLNAIEAHKAAGVDFPVNLLMCF EGMEEYGSDGLEELVMAEGKKYFADADAVCISDNYWLGTERPCLTYGLRGCNYYSVEV SGPGADLHSGVFGGTAQEPMTDLVRILASLVDTDGKIQIKGIAEQVAPVTPEEDGLYD DIAFTMETLHESLGSKTTIFEDKKKTLMARWRFPSLSIHGVEGAFSNPGAKTVIPAKV IGKFSIRTVPDMEIEKTNQCVYDHVNEVFKKLGSKNTMNVYAQHCGKWWKASPNHWNF AAAAKATERVWGMKPDFTREGGSIPITLTFEEATGKNVLLLPMGSSTDGAHSINEKLD KKNYIEGIKLLGAYLHYVAEEPMNA NEUTE1DRAFT_56096 MVFNLYTTTSSPASADSGPIKPIYLLPSFSLPFRRRRPSTTLTQ IYDHHLDDTESDGLPSLSSSPDSFTTAFSSDYTPIQPTSTRLSPTQPDILRCAHCASD IAFTSQIISKGFHGRHGRAYLVSPTPSQAPGPHPSPGFFSSFTHHPSSAVIRTTELPN IFTEAPEIRRLVTGQHTVADITCAVCGTKLGWKYVDAKESSQKYKVGKFILECARVVT FRSWEDSPKDKQEGVVGEEQEPVSPTAVVFDSDDDSECDDIFAGVWDAETVAKRRKGK NEUTE1DRAFT_34665 MAPMKQDNLFSSSSNYYGEDVVASPPHQMEAVDILASCYLVSNY PGAQLKGLHYCTTGVTGAEWYS NEUTE1DRAFT_74784 MPTVHLLDYVAGNVRSLVNAIERVGYSVEWVRSPEEVANADKLI LPGVGHFGHCLSQLASAGYMAPIRAHIESGKPFMGICVGLQALFEGSSEDPNCPGLGV LPGKLDRFDDTSKSVPHIGWNDASCPTNPNLFSLNPDSKYYYVHSYKMPYTKGQLESQ GWAVATGVYGGETFVGAIAKGNVMATQFHPEKSGVAGLRVIRAFLDGSGAAALASHPP QEVPNAGDDALVSKEGLTRRVIACLDVRTNDQGDLVVTKGDQYDVREKSDDRNVRNLG KPVEMARKYYEQGADEVTFLNITSFRDCPVADLPMLEILRLTSKTVFVPLTVGGGIRD TVDTDGTKVSALEIATMYFQSGADKVSIGSDAVIAAEEYYASGNTLFGNTAIEQISKA YGNQAVVVSVDPKRVYVPKPDATRHHTVKTSYPGPKGEEYCWYACTIKGGRETRDLDV VELTQAVEAMGCGEILLNCIDKDGTNSGFDLELIRQVKAAVRIPVIASSGAGNPGHFE EVFRETTTDAALGAGMFHRGEYTVQQVKEELKARGLVVRQFEGDL NEUTE1DRAFT_143579 MDSTAPPVEDEDEWEYEYSTTETETYYITLDLSVRDFLEKHPDD IVNATRNGYRVWYNPLFNTSEPRPMNPDLIAELQGPDDEDEPGKDMPNLDNSVAENTA AQNVAPSTATQNADPKTDDVIDPALRGPDESHNPKPVETSKQPSSTEKPKPPSKTPHQ IETIQILDLHSKEPMVSYRNHIFQGSWCENIGTEMIFTPHDSDAPMPALRKLCDGKLD LLAASATRINFKEVRLESKQMAEQNSNNLTKQISSITAWGNDDIPERYKRPDGVYVHI GGDKTGQRQPQAHFLEDLIMLKRKLGETDSVTIRPLETRQNKLMMEDEGEERRRKRLK NGHGRYERWRQGLLRKDRESRMAMEENGYMPRTEPGQTGKHLGVMEKRVRRPRARKAV LKPLETQETLPAHPVAPDVLAGVEMQQQQQPQDSSTSLYPPVPQTSWAPNPLEYATGN GDGSSGAGGEA NEUTE1DRAFT_74789 MIYTLRRATIFVTALTIFSVLVLTFLPRYLNPTPPDAEERKRDR QWVNSSPYWFDRQVCRYLGLCGIQHIRWDAPTLPGWGRVFVNKPGTESWEHAPGHVDL KRKRSESSDTLQKVPQYVLDHAPLVHLYSGEHFWPSDMAEHVKHMGLLDDEDGSVNRT DELDLGSLARLNAKNGTVFLTSMDDVESRPEWLHNRAGIPVEYEDDDGDDEHDGNPDK KIPGNNPTVPTDGNTWWDADKQHPPNRIVAPRYRKGGPSWRREKRFMQDKNKPNPSGY SNGPAVLIIVDKGAGILDAFWFFFYSYNLGQTVLGIRFGNHVGDWEHCMVRFDNGIPK AMFLSEHAGGKAYAWPALEKKAQPNGKPPRPVIYSAVGSHAMYATPGLHPYVLPFKLL KDVTDRGPLWDPALNHYSYWYDYEAGLNETESPSSPQSPYLGNPSDPPKEYTSLVPAA DNPKAPTSWFHFEGAWGDDVYSLADYRQWRLFGEYHYIVGPLGPKFKYLERRKVCQTD KCTMLWSIEDGEKSSWY NEUTE1DRAFT_134113 MAAPSAPIDKRAPILEARAGTQAVPGKYIVKLRETASDDDLDKA VKKLGNSKADHVYKHAFRGFAGRIDDKTLDEIRSIPEVEYVEQEAVFTINTYTSQSSV PSWGLARLSSKTKGKTTYVYDSSAGAGTCAYIIDTGINTAHSDFGGRATWLANYAGDG INSDGNGHGTHVAGTVGGTTYGVAKKTNLYAVKVLDSNGSGSNSGVIAGMNFVAQDAQ SRNCPNGTVANMSLGGGYSASTNSAAAAMVRAGVFLAVAAGNDGANAANYSPASEPTV CTVGATTSADAIAYYSNYGTIVDIFAPGTSITSAWIGSTTAKNTISGTSMATPHITGL GAYLLTLLGKKSPAALCSYIASTANSGVISGIPSGTVNKLAFNGNPSAS NEUTE1DRAFT_134114 MPEMWFFCRNKAVFATSQYAAFPDCDRRSPRDSVLGESIKKGLE LPTIA NEUTE1DRAFT_74795 MPSRVSSPSLSEQFYCNDSSSVSSMSSRRHLYDHSSMSCRSSTS QDCSHPNFSFPLPPSQPTSSSRGRGLRSKPSKPTLIKTLSPPQPTTRSRAASFGKRTR PHATPVVPSHDEIAFPVSYEDAWAGSRYGESAVADGRTDLDRARIFITRFISMSSNNP WVNAELRDIITNRELCRSVAHVVWAVMHRVFGNRHADFGVTPLVQAMRFILIKANIPA EVDMCEKARQVVDFFFDPTLHRNLEPLPCEELVMYKYPVGRLKVCIVGGGPTGLASAI SLAEKGGGSIEVHVWERRWVRDERTGEVGYPPNARRRDQVVTLQDSVTDLLTPKSFQA LFAGRPERVWPGSANIQIRKVEDRFLKRCQDDDLRGLIHLHAEGVTREELKNGKCGDF HVLLGTDGAASWVRRDYFRGYENERGRSYALGLAFDRGSAGGLPWSQPLNMFLTLGQT RYLLNASDHDGKGYLNMQLTEDEWHKMVGVDGEPVHFGSPGCLRREDGSIPEGFSENR VFKPSEHRDSSLWKSIEDGLKLFGFKESEVINVVRIPIVVQAVREGVQQLPLEDSRFV RRPHALVAVAGDAAMTVHFWPGRGLNSGIKAGIAFGDELVHALNRGEFAGLPLSSMKH YNDFILKLQDREHDKRSIPILNQSGTPETLDWLLKQASSVPDNVAIDWLVGAMTQIAD RLEQREDWYFKPEINVEAQIRIVLRQMHSLTLREMAVSFPWPTREMAGAEVLPCRSAK PEPPETCKKAEQRWLQQLWGIISKEPSVERRPRLPGSASGGSNGRFEAPRFTVPTLRS KSSSPKMRNGGFVDDKPRPPMPMGHPLMAQQGMGPGVSSGSPPGSLRRSNASKRGGSI SSLPVPSEFGVADGGAMGGRQRSVSVNTGVQGQEADSDGGLTRLMSVKRQPNQTMLCE AMALALFGVGE NEUTE1DRAFT_134116 MVQKIAVLFLAAASSVLGQITLQPSTITTTRRTTANPGGPPTVT RTVTKTEYDTITETQISTSISTTTESYIQQVTTTEISTYTSTVSVPVTQTAVVTSIST TTTTKTDICSSSPAAQCPTVTATATACKSCFVPQCTTTSTITKPCGCQVLPTASVSFP CGGADVCNKIGCTTVYAVRTAAC NEUTE1DRAFT_143583 MVQMTAVLFLAAASSVLGGAIPGDYWPKNKVTKTVTVTATETDT ITNTVTATDTITNTVTATDTITNTVTATVTDTVTETDTVTVTVTKKHGKGHHKYPYYY VTASPTPSETPSPSETPSVSETPSVSETPSASETPSASETPSASPTHYAWNRL NEUTE1DRAFT_119344 MLPVPLIQLQCGVNSYEWGKKGNSSRAAQFAAASLDDNEFKIDE EKPYAELWMGTHPSNPSKDKHTGRTLLELIQDNQALLSPAIAERYQNKLPFLFKVLSI QKALSIQAHPNKKLAEKLHARDPKNYPDDNHKPEMAIAISDFEGLCGFRPLKEIAHFL DNVPALRQLVGEDKAKAFAETVKRNDGNESEEAMAENKKVLQSAFGALMASSEADMTA AAEKLVQSAKSAGADFAGTTVPSPGGAKLSELVGRLYGQFGADYGLFVLFFLNFVEMK AGEAIYLRADDIHAYISGDIIECMASSDNVVRAGFTPKFKDVDNLVNMLTYDFAPIEQ QKMEPTDYTQDVKNKREAGATLNQAAIDTQSEIVEYNPPIEEFSVIRSLLKSQGSKVT FKPIDGPSIIICTEGQGKISVGPKVQEIKKGHVFFVGATAECVLESEDDQFETFKAFC VLDEQSNGN NEUTE1DRAFT_74801 MSQSVVGSPPPTKSEKKHKDKKRSREEAEEVPAVEDAPKKHKKS KSESLETETADKKAKKSKKSKKSKVEAEPEQPEEEEEEKAEQEAAVASESEAEEKKKR KSKDKKEKKEKKDKKDKKKRQASATPEPETTTDAMDVDTTTSFPTDDDADFPFYTQVH SLYVPFYPCGFDKPITNVAAQHLEPLLNHYSPVLRGVLLRYTNLNLSERPVQASVINP PTDKTPALLRSVDEYAVGFAYLTFDAHIFKPARGKWMEGVVQLQSEGHIGVQCWGRFN ASIEAKRLPKGWKWVDLKGDHKSYNQQEEEQQEEEEQQEEEDQLDGEELQVVEQVHTT GYWVDASGKKVSGKVRFRIKNFDVGLAGDYGYLSLEGTFLNDQDERALQKEERELERK RRSRQNPGGLLRPMSRRVPEFSMTKFGKEEEEEDAGQRTVFAKVTGKEGDVTPDD NEUTE1DRAFT_115598 MPCPCPGADSRVGRSSPVSPGLLPVRGKCGTLTHSVTQFHFLYV LGQLVLRNTYIG NEUTE1DRAFT_115599 MSSDFKPTNVLIIGGTGTIGAYITSSLLSAATPKPYTTLSLFTR PGWDSNPSSQKAQLIKHWQSQGLNVVTGDVESLDQAGFTKVFEDGKFDTVISCLGRAT LKYQPKIIDAAEHSQSVQWFLPSEFGTDVAHNEKSAQEPTHVGKLALRKHIREKIQRL KVTYVVTGPYFDMWLYPTPGYEQAGGFVPAEKKAYIIGDGEGKVGFCTMWDVGKFVTA TLRHPAQSFGKALKVQSFIVTPNEVLSEFQKQTGFDFEVIKTPLPEIESLEDVLWDKK SDNPQPNPWATLVTLRRIWARGGTLYEKNDNEVLEVNQEDLDTLEEGVRRYLAGGYKG ETFGVKA NEUTE1DRAFT_37225 MSGLEAFGLACNVMQTIGFAIEMASVCHTIFRTGSPDPSMTTLL AHSTQITTSLKSSISSARPVTRDDKELLDIANKCLDAISALKVQVDKLTRPSAKGKVL PSLRLGLRAKFKEGNIDKLEKKMRDYQKVLESGLLLRICTLQCFVEAVSRGQANLQDL MKSIPDSIVEVVKAESTVQNAATTVIASEVKKTQAMIKETSLEASAEEKRQRLLKSLK YDTMGERLGQVKAAFAGTCRWMIQSAPNSIQSVPDGENLTPVCPHRTTHENNLSWSCF PCWLESPKDKIYWIQGKAGSGKSTLMKFLVTNPALWHPQRQADGQNLILSHFLWAAGS PLQKSLRGILLNLLYQLLSNDEHALDHVIDTFPDLKLKDLWGDWTLEDLERTMMDSLH AIATRPVLIFLDGLDDRPEAVFKNKLGGLCSLRLQDLTRSDMAKYAKAELLSNEVVGL ENQKEYQKLVNKLCNMADGVFLWTALAVRSLSRGLTNEDDISELYARLDKMPTGLYPL YKDMWERLNEDQHLYWKEAALYFQLVIHWWRMPSHKFEKRCTTFHLLAAFDPSISLAY LNHDTASFPADLEARCEKLGKRVETRSAGLLEHGDYGSIDFIHRSALEFLTDTPEGQQ IMAHDRSTFSELRSWLLQADVARAYLMIMKPVNPHIFRHWHSRCPDDGALYLNELMQD VHLNWDDSALPGDVQIMLLEACRRILEVDDIMHTTARPFDFAGSYAAHGRGGGHRMRY SICRRALDPWTGSSKRSRYWREQGGHGDGQGYNMETGSGTEEDQSKGPGSRAEEKR NEUTE1DRAFT_119346 MAANLRDLTLYLVVLIVVSTLGPLQFGFHLAELNAPEDVITCRK TSISSTISSLLSYVKNSSSDVDCIPMTRPQFATVSAIFTIGGLFGALMAGPFTSSRGR WLSMQLTAAFYIVGSLIETLSHSVPVLSTGRFLTGVGAGASTVIVPLYISEIAPPAQR GLFGAFTQISINLGILISQTMGYFLSHDSAWRWILGSGVVVAAAQGFGLLLAPESPKW TASAKGDVAQARRTLQRIRGKNANIDEEVESWGQGSGRPTSEEESLLHSVEDRMEGLL RRDTSSRSNSPSPSTSSRPHPHKASKPHLGFVQALKDANTRPAIIAVVGIMFAQQLCG INSIIMYSVSLFRDLLPPSFSSGLLTICISIINLGTTTACSPLPDKFGRKACLLASTI GQGVSSLVLALSIMFGVKILSAVAALFFVAFFAVGLGPVPFILASELVGEEAVGATQS WALGASYIATFLVAFGFPVVNEALNRILGGAGWVYFIFAGLAAFWALFIVRIVPETKG KANADEVWGRTRRVD NEUTE1DRAFT_119348 MTTAHQEPIRILILNPNSSKSMTDGMAKSISSLHLPDNIEITYY TASTSCPASINNGTDLDTSASVVLQDLSSNLQLLKDHDAVLVCCYSVHRLVPGLSELA ASNGFSLSVTGIFEASVLTSLSLLTGPTKKWGIVTTGKYWEEHLTVGIKKFLGQEEEG GNNTKFAGVQTTGLDAGDFHGDIPKEVIDAKLAEATRKLLQAGDVECVVMGCAGMAGL EQIIRRTAIKEYGEERGKKVMVVDGVRAGVGLVAEMVKNRIMFTQ NEUTE1DRAFT_143590 MANTDAPIVLDGGTGFLKVGYAAQNFPEFQYPSIVGRPILRAEE AKSLSDSSDIVIKDIMCGDEAAAARTMLQISYPMENGIVKKWDDMQHLWDYTFHEKMK VDTRGRKILLTEPPLNPLKNREQMTEVMFERYDFGGVYVAIQAVLALYAQGLSSGVVV DSGDGVTHIVPVYESVVLNHLTRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQ IKEKLCYVSYDLELDKRLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDC DQPGIAEFLFNTIQAADVDVRSSLFKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLG GNPERLSKFKVRIEDPPRRRHMVFLGGAVLANIMADKESMWITKQEWEEQGARVLEKL GPR NEUTE1DRAFT_74813 MMYGTNRARNQKAETSYRGYQRSNAGPLNYQTKVRITDRYKVIG FISSGTYGRVYKATGRQGQTGEFAIKKFKPDKEGEAVVNYTGISQSAVREMALCSELR NHANVIRLIEIILEDKCIFMVFEYAEHDLLQIIHHHTQTPRHPIAPGTIKSIMYQLLQ GVQYLHTNWVLHRDLKPANIMVTSSGEVKIGDLGLARVFKQPIHNLYMGDKVVVTIWY RAPELLLGSKHYTTAIDLWAVGCIFAELLSLRPIFKGEEAKMDSKKTVPFQKHQMQKI VDVMGLPTRERWPLLASMPEYSQLSTLQPPLLSTNTSHSHGGHHPYGHRSQQQPPASQ NTHGNLQKWYHQTTVSTPLTSLGAEGYKLLASLLEYDPEKRLTAADALVHPFFTSPTS TPLASLNSNTANSTSQGTGAAAVNWSPTNCFEGLKNETYPCRRVSQDDNDIGFGTGTG NQSGSRVNTAAAGTGTGLVMGQAQSQVQAMGGSLGNIGGHGHGHGHGQMGGGGMGQGM GGLGQGHGGMAQMAGMKRMHDAAAAAAAAAAAAATQQSHPNKRMKGSQG NEUTE1DRAFT_119351 MGNVMSLGFMAKLFGTKEVRVLMLGLDAAGKTTILYKLKLQDHV TTIPTVGFNVETVTLRNIKFNIWDVGGQDKIRPLWRHYYSGTQGLIFVVDSADHNRID EARVELHRIINDREMKDCLLLVFANKQDIAGAMTPQEVTEKLQLNKLKDRIYAVQPTI AIDKAGLQEGFNWLSDNMKAAQKQ NEUTE1DRAFT_56138 MSSRNRQSRNPNRNSSSSTQGEEAQIWSQIKDEIRELVDSINTS NDQIRAILAQDSLIAKSREAQASKENSSNAEDKTDIAALEQTLDTLIRAGVGGADISK QKLQEVIEHVMVLRALVKAREESEGVVHSVGTPGPHSGSAGRDRNSSSLLGSGGRSSS ARGHAGGKGDRGGDREGRGDRDRDRDKDRDKHSDRDLSSMYDFDGAGDSPVPSPLSSH TRKLGGANTTAGSDRASTARDSVPPRDTPSKDSVPPEPNTSTTSNGTTSAGPTTAGAT QRAKVIFHKGQDVVFKPKASPASGSETTEWMLGRVQQVSGEGKSRRYRVQDADPDLDP DQRVEYRTSASSMIPIPAAGEEEKKLPKLEKGKVVLALYPDSTTFYKAEVMGTEAEGE GEGKQRVKLRFEGEENSGTLQLVERRFVVEYRA NEUTE1DRAFT_74823 MGTRDSISSVQPDLEQPSHARGHGSDGIDELDESRLAQFGYKQE LNRDWGLAHNFGVSFSIISVITGLTTLFSYGLATGGPAVMSISWIVISFFTLLVAIAM AEIVSAIPTSGGPYFWSAMLAPPRWSPFLAWLTGWFNLLGQVAVTTGITFGLAGLVST AITVKNPDYEPTAAKTIGIYAALLVSHGVVNTFGVKGLRFLNNVSIVLHSAGITALCI AVLAKAPKLQSAKFVFGTYHDGTAAEEGTEGWGQRASPAYVVLCGALLSQYTLTGFDA SAHLSEETKNASWSAPIGVVSSVGFSSLFGFFVLMALLFSIQDFESTLNSKYGQPVLQ ILVDVAGEDGALVLFSLIMLCVWHCGLFSMTSNSRMMFSFARDRGIPSFFHQVDDRFK SPIRAVWLAATLSFILALPSLGSDVAFAAATSIATIGLYLSYGLPIMIGFFWHKNFTA MKGPFNLGALSRVIAGAACLWICFITVVFCLPTANPVTSQTLNYTVVAVGIIAVGSIG SWVVWARRWFTGPAAEVAEAMRLGVDITEPGALEKKEKEALEGARMEGEREAREREEK QPPAVSE NEUTE1DRAFT_35080 MVLKKDTTTPSTMSSPTIISASNEYSPLRALIIGRAAHSLFPSE PPHMIRATMPTLHHPEFRPHHPFPADIVAKADQELDNFARVMTERFGLKVYRPKEVDW AKANEGRGGYTGAMPRDGLMVVGRTVVEACFGWGCRRGEIELAYHDVLEDIMEGAQKA GESVRVCRAPKIVGADTLYDGLLDDDKPEDRKDGAKQNGTFNSQNGNQTTHWAINNTR PAFDCADFMRFGTVLIGQLSHVTNPKGVDYLRAVLPAPYTVELLNTTDDHAMHIDATI LPLRHGTLIYCPSRVTEEELRRHEVFGDWDLRPLPWNPEEEEEEEEKDEGPPRYMCSK WLALNAMSVDEKTIVVEERQERFARWLEEEFGMEVVRLPFRHVNSLGGSFHCATVDLV RKEL NEUTE1DRAFT_97284 MIFNIPLPATFGEPIPTVPSKPIPTVPSKPVPSKPSNKPEPEDE DIFTPYTFGEPIPTVPSKPIPTVPSKPVPSKPGNGNKPEKFKFDSFVPFHTLGEPIPT VPSKPIPTVPSKPVPSKPGNKPTDEDTDIEDEN NEUTE1DRAFT_115603 MESGYVLGIGCMSVKEIPSSALIRSGWWWGPMMLDVCGIATVTS TVPVASVVLPNLYMYLF NEUTE1DRAFT_93488 MSATATATASTFGGSSSSLASSSVLDDQTLLHHAAEVALEEAKL CDVNEGIKRTLTELLNCEATRGEGNRQFRSWVQGRLMEAERELRRGRRRRSAGSACGG E NEUTE1DRAFT_22837 MSVLLETSAGDIVIDLLVDYAPKMCENFLKLCKVKYYNFSPIHS IQKSFSFQTGDPLGPLSSESNGGQSIWGLLSGDPSEKTFPALFHPKLKHLERGTVSMA TVPHPSDPDTRLAGSQFIVTLGDNTDYLDGKAAIFGKVVEGFDVLEKINDAIVDERGH PLVDIRIKHTVILDDPYPDPAGMREPSASPPPSKAQLATVRIAEGEELLDVEASEEAA AEAERRRREREAAAQALTLEMMGDLPFAEVKPPENVLFVCKLNPVTTDEDLELIFSRF GKILSCEVIRDQKTGDSLQYAFIEFEDKKSCEEAYSKMDSVLIDDRRIHVDFSQSVSK LSDVWRSETNSKRKSAARRGGGGGWGGVDELEKWRKYRDEDVEWRNDDSYQMVHGVED LKGRRLDGDKPPARDSRPDVSGGRDRS NEUTE1DRAFT_115605 MYRGKYLWWSVCIMEKPTPLDIISFHDVDSKHNGMARLNRTEAA NGRRPSAPSPASQPFQG NEUTE1DRAFT_56147 MVSQTCYTPPHHGHHTHDQRRYSSRAGPPYFCHGGPYSTEARRS YSSSEHHSAHPHHGHHSQGRLGSASVALGVGALSMSDQGSSSAIDAESQPQRKRIAVA CGRCRKRKIRCSGDPGQGQPCTNCKNAGVEQCLFLRVSSRDIPLKPDTSSDFGYSVDD ARLYANKHTMSPASLHYSQHPGAVGIGGLPGTAEDPLMAQYSRGYAYGHHQAPPPTSH KQYFPATASYASAPNPYGDPTAVGVSGQFGDYTAAGYPPVHAMTHESVGIVPSWGSAA RKTPYGGVYMDSTPESYGGYQSSNLVHRPAHHGAHHGHGTPTTESQSPNFSFSGVAAS LPTTSTGADRLLPNPTAGTSRSSLPYPGAAIKTSQPGVSSTLADVAASATAYGGFDGL SASYGSASTASAGHSSSVRSHSTSTDTYHTSVSGASAGAGEPQSIFGEEGRSLQSQGS AFDMNTYTAEPLSSVSSSNRRDSIGSSAAGSGTLANSLSNGQAYVPSESVVQVGGPDH HVGHHGVYEQQRHQALADGHRAASLASHR NEUTE1DRAFT_74833 MARTTQIKRKHMQVARFCRQYLQLEPVVDFPDGEYLCDASVQET LYEQLFSEDIKNPAPPRYQLRILKELVKRIEESIEDWDQYFHALKGLSDNLYTRLSHL LSLRLPSEADAAQQKCYVTYHLSLLDQNLGVGSYAFKQDPDQPGREEVSSASPDEEPH ITLLESRNLIAASGTTGLRTWEASLHLGQYLLTHPSLVCGKRVLELGAGTGYVSILCA KYLGAKHVIATDGSDEVVANLPDSLFLNGLQGSDAVQPMELWWGHALVGTEEAQWNGG REVDVVLGADITYDKIVIPALVASVEEIVELFPKVEVVIAATERNRETYESFLSVCEG RGLEVVHAEFPVPPRSEQTGPFYNDLMPIHICQLRRK NEUTE1DRAFT_115606 MADSDSPVTLRTRKFIRNPLLGRKQMVVDILHPNRPNISKDELR EKLATLYKATKDQVNVFGLRTQFGGGKTTGFALVYDSPEAMKKFEPHYRLVRVGLASK IEKASRQQRKQRKNRQKTLRGTAKVKGAKPKKEK NEUTE1DRAFT_34901 MNSTSSRTASPAPPSPPANPRLQINTSIQTDDANTESATSSFQR SSAPSPSPTLSSPPQPLPSNPTASQLEPTPSIYSPRPDPLPNSADATRSTSPVGVGPT RPNSPARLVNEDEPFVPEVPSSPVLAHSVPVPVPVGARVDVPGLVQDVDTEPEPGQSC ETTTITIPPTAGATDITLEEEDLSARLSTSLDTDLDTGIATSTYKLTPSLIPDVLSTS TRKSPQKEPESAVVMEGKGNEGVEDERMDSHLEVKVNGSRTALLELEEVLSSEKGNLR GKVEMSEARVMKVQGQDTRPSHH NEUTE1DRAFT_34485 MSSYSPDLQPEVMNSSQPSIASSSVPDVPITSGAPTPTPSTPSL SIEIPNTLNLSSTPATLKLSGSLINGHPLSDHGDNDYVVIYSGNAAECPRSFIIHLDL TGVNAGDVINRQEEEQEQIEEKGEEDRLDRQQRQQQPIPYNVESRDSHDQRHLQYECI SLATGDTFAAQSMPIGVTMMNRFTVGSWNDYPDGFWGTPTVGAPGGWRADSPED NEUTE1DRAFT_97291 MTAGHVFNIMAHIWALSKVRPVRGQVLFKLNNNGEKDINSRTTE NLCSDRLISAHKNLDGQFCQLHFSTSPTHDNLLLLLEKTRVNRAAICRYWKWMVKSAG TYTTSQLSSRSESSQTGRRLWLGKSRNRSAWYSGNWFAVLGATRRFRPGKGRDRGAGD RRDRSTDLAASRRLRLGKRWNGSAGNGRDGLPEGGAGDHVERRRLIRLLLVVLIGMPT SHERNWKRWEHEDGANMRARAKQRLGSDC NEUTE1DRAFT_97292 MSESFSETRSQPPSPPSIPLPRSPSIPSTPSTGSPQVFTVPASC PSTSQPTSAPSSHTSSAESSILDLSVFISLNPQPVMTRDPSPGMTFPSLPSSEADPQS MSSSQASENFTAPRGTWTVSTEEYPRPTDNLQPISQTSSEEGFIYFTETLPLSPPIPL SRCRGGTPQINSQATSPDTSNPQPSIYPYPTPILQVKMPGYWASSRSTSPIQSPLSSS QPSPQPHTATSSNPYPSPILHVTIMPGSWVSSPNSPISSLFSSPALEAAISRDSIPSN PSTTFTTSTVSPTSSTPIMHAGNDAENTGTSQITITIAGLNICNPTTYGTNTDRNTNT NTCTNPSDNTNEKGLNAALTTLTTMRSSCEGGPPSPASSTGSFELGCVQQ NEUTE1DRAFT_106733 MKDHSLRLRLVVRRQSLPEVRIIHHVPLENDPTIADLVDQINDI IPLESHEWGLEDYVVELRNKEGYAFECLHFQQVANVLKDEEEVFVRPLVTGDRKRRLL SGRDQISNDGRHLIDGVPFGRPRLRSPRDRPPVDIPPLKRRRIEYDTIERDDDEQAEP LFLTRHGEDSEDRESTRQVRFNNADLDANEDEDEEEDDDFVDQEQYGDDEEEDEGQDE DDDYEINPDELADELEGLQEAESREDTPVEDSAEQPTDISPTKSQKSIDLSDIDRIAA LRAAFPRVSVGVCEKLLLQHDSNENKVYWKLRRRNRPHMTLQQMLAYKRRLQVRGPEG AIEDELEVEASEKSDAESVASLVKHYDEHGFPAGSILDGSASRHMVEALRMSGQDVRL PVHKKFDEEEDDEGTAQPSKVAAPSDENEIPLDSEEEGSDFDLDEDDEDLSEEGSDSS NDSDAVSLSDDAEEMLNEEDLSEASDEGSAASDSESGPEVYTTKVKPPAEMVAPKSPQ PNDESSSSDESDSDSSSDDDSSSEEDASSSESDSEDEEDNSSSDDSNSSDSDSSSRGN VNANSDNDISSDSESDSSDSSDDDSDEEPVTSSSKHANITKAVLQSHSEQSATLDTAT LDASNTSNDQQDQIPVPPGQGKSKTRARNARRRLSARIRKTASRDTSIIGSTSGQDTE TSTPADLEAKRMALLQSLGHLEYLGKPAEVEKPAAPVPETEQPEPSADDTTSAPAKRK TKLNVDAGRRMLFGSLGLKNPKSQEDEEQIRASLMKGVKPLVNHRVEDAKKDAEVAVT EIDPDAWRQKINYTAVECTEEGVELSEPPFPFYQRWDPQQQNYWGNGRGGPSKRKQQN QGEYEEDEPGTKKRKVSDAEENVVLNYDEEDPDAYAAHDHPEEEQDEEEYDEEEEHND DEHGDEEEDLPPLPSDLTTLPLLQPGQAKAGMIITWKQFLLSKATNWQPQVMNMTGTV VEVWDQNDLRIILAKRDRNLDVNEKVYDDEGNRVYDKFEVPEDEDDADEDAEKGYRTI NFADMMEPRVLRQSEDEVLVPETQHISPANEDGASKASSRTLDHKAPSEPESQIVQES LIPATDHGSSYEPQAAQVTSPGNVSIDDHLRSEISLISTGSRPEADASVSRDKLLNVS NPSPQREQAPELPSSPQHGLSAPPSEGHNTPPRSSVLGDELPDVSDHPSQEPESQDQR PPSRSSAYLESQRLTLNGFSDGLEQVADNRGSYVSYARLEVIPSDADSVRSGRQPDPE FSIDLGNNQILDQSEPEDEDDVPMPGRDDEEDVSMPGSNHEEDNEENDSEEEEDDDGE EDHDEDNQDEESQQTTPRPQKQASLSEEPASPALSDLSVSSNDSFPSLSQTTTKSSQR KASQPPKSTPRDPIPTTSKTRKSLDAVNLDYEQAMKRLDEEESSQESTTMDDTQIKVE KRRQLSELAQKLARTPIERPTPRKLPFRRTTSTPSKLNQTTTATTKSKRTTRFSGSFV IPDGSQVVDLRDLTSSPEPELNEDYADDDVDETYHESSPATSQSQNRAPPSTAPGASA SFVTPRRKLFGSTRLSSTQVQSPRRSQPSIITGSLRKNRGSASSK NEUTE1DRAFT_74839 MCPAPTSDAQSSQADNATTSQLPSFYSPKRSENTSEQSRDNGDV ESVDLSSTSAQPTTTPAITLDTSDNLSTHSSVPSTPLSQPPPPSLLSPSFTPPQTPGT ATPSTSITSLSLSEPRGIPVDSSIPTGGCGTKKPKLLESLPHVECIVRARIPTTNGAE MFLHLYTNDVDNKEHLAIVFGNNIRSESLDRVREGETEMDRLVRGAYTGRLYPGRTTS REPGVGETKKEDQEEKAEEKKQVPLVRIHSECYTGETVWSARCDCGEQLDEAARLMSL PSNTAGGIIIYLRQEGRGIGLGEKLKAYNLQDLGSDTVEANLLLRHPADARSYGLATA MLRDLGQKEIRLLTNNPDKIRAVEGPNREIVVTERVAMVPLSWKGKGGFRAPEVEGYL KTKIEKMGHMLDMGALPQ NEUTE1DRAFT_35768 MAVTTTAVPYKIHLRTWPEKILKYDVRIDSLFRPEKRTFTHRVN ISNMFSTGIGKFQICSPSPYRVAARAMEAETSVNSPARMMKKSSTNRRRVMYARQTMR RETTTPTSTIKTRLTCHIVGFCVDLSLRS NEUTE1DRAFT_74844 MRALSLLAALSAAGATVTASPVGPAHAPRALSDSNPFVGKKLFA NPKWASKLEDTYKAFTSRGDSENAAVVRKVQKIGSFVWVSSRSGLSEIDEAIQAARAE QSRTGQKQIVGLVMYNIPDRDCSAGESAGELSTKNDGLRIYKEQFVKPYAAKLAAAKD LQFAVVVEPDSLGNSVTNSAVEFCKQAIPTYREGIAFAIKSLQLDNVAVYLDAANGGW LGWGDSLPKAANEIATILSMASPAKVRGFSTNVSNYNPYLATKRDSFTLGSPSYDESH YASSLAPYLQQHGLPAHFIIDQGRVAYPGARKDWGDWCNVNPAGFGHIPTTDQSVLQN SNVDAIVWIKPGGESDGQCGLTGAPIAGAWFGGYAEMLTGNADASVKNS NEUTE1DRAFT_106737 MAASKDSNPSQPTVHGFTVLIYDSEPHLNSRPRDWTIALHWALP IFSSLLPSSILANFDHAVSNPHLDFDEWAETLPMYNGETGDLMFKSAVPGARRITRRG LREVLSDGLDIAWGRKVTRLDTESNPEKVKVTLEGEEGVEEEVWADFVLGTDGAGSKV REILFADQENGEEKAKAKRSGFMIGTCVVDYQDEDVVRKVLEKHPVMAMAMSRGAVGA FGVQYTTGTPPSNLHHTFFWTKIWKGSLSTTPDLPRKGPEAVRYLKQSWQGDLSPDFQ SFVDVTPEDDTHTQAFIDEMGTWIAQPFDNRDGRVTLAGDAGHPMLILRGQGFQHAVI DVQNYVQALLAIRDSGADRKDLVAAYDKDMVERGSEAALQALEEAELAMDAKSVEKML MVRKGHGRSV NEUTE1DRAFT_143604 MPGSSGSNRPAAAAAAADNATVTSNAQGPAAQKNSVDTSMSANI TSNTVSDARQQLLLQDVEAEEAHEVPLLAPTTTYEQQATSSSQLNSSNNSHYHDSREY GHHHGPHHDNIEAAGHDYASDSDEFSLTEGYETQSTGSTSATSSIYAHTYEHGRRYQS YKNSRYPIPNDDAELSREDMKHAMLLELLDGQISFAPLGKSPQNILDIGTGTGIWAID AGDRWPMARVRGMDISPVQPVWVPPNVDFLVDDCEQEWLMRGELDYAHFRFMAIVLKD LPVVLGHAMEALKPGGWIEFQELNCEVFCDDNTMPDDDPVKVLYDFSQEAFAKFNMNI TMPKILRRFLADAGFVNIQCVVKKVPIGVWAKDKTLRLVGMYQKMAILEIMEALAGRP FDALGLDPVQREMILMDARKGLDNPRVHRYFNYYFWFAQKPL NEUTE1DRAFT_106739 MAPSFQSLASIVHMPYPAQGPPSTTRATTTTTITVTTTTAKPKL TLDNLTNYLAQLEQTPITPHPDAEEPSSTIAECGKATLANTSAIATTRSRTITPIPVP QPHLSPLLPHYSKSDWKPRATTRASPGKGKDNKWYLWCLEMGKSSMTALSNSRNPTYQ EVPKASLSKRE NEUTE1DRAFT_143605 MSYSSRQPDLGSKTVSPKRSLTDIRSKAVSPDRWRDPGLLFQRD SESSMGSSDHSTPTNGSPQIRATIFEPFNRVFSPYSESSAAFSPPLFPLPEVPDTPTS TLGQFGDLVQQNIPQNPSATELVQKVYQSWSSQSRDGQSETHHSQSHSRTRSRHHHSQ SRSRSEQRQGREGQDLREVLESPASRQPSLRRSATQQSFDTRDNRERSHSRSTLQAAA QATREAHERIQHTTAVHASLKEIERNREGEKERNGDRERARERDRSQSTHRRGASANK LRLLAHERSYDNDREIATDKVNRFLQSLEPSKQAFMTFQTAESPTPQAIPRFAASETS QSMVSQQTPVLTRPQTPQSMVSQPTQFTPTQNQTVDHEKELMLENRGLYQRVAALQRT ERDLLAENQDLVRQLAILKKHHETRREQWQDELQSVKEREKMLLAEAQQLKAQTMRQE KQIIDLTISSSQLAPALEPTPGLLSNQEIKAWFAERDETWYAWARDYASKDPNRLLTG LHPLQLHELCDGVKDFVKITDDHKLPSELLVGSTEMVQTLLHSILANFICSEALSSPF WVFNAISSGTPESPYMAPTTAISPGGFHFDFSMSNAIPSRNLYVPSAQNARKPLSLLT TNLPLNHHHDPISASSLAYPMKAEMENMFHMLTKAQGEDPTSSTSPAHVQASLVHLFA TAGISLPSSSTSSSSSSANGIEAKRMLIESRLNYARRLKDRFLSGVARFLLQDQDPAG IVRLERSLTEHIDDALRFSCQFWARPGPIRFHAGFAALGGQVYKAGGRLVELCWAQQQ GLERTMAGQTPQPPGNDDGKQVLMVVQPAVESLKIGSGGDDDIDTKPSKTSKVWLKAR VLVGHGTPAPQIPVPTAQGQLMSISHARSNTSSSIIGTGGAAELHVMTRSTTMSEKST SVAGKVGLRDEACNAQWDGLKMAWS NEUTE1DRAFT_74849 MSSSKSKISLTNPSIPLNSLILVTGCNGLLASHVADQLLAAGYR VRGTVRNPNKCSYLTSLYSHRHGGPGKFELVEISDVTAPNAWDDAVKGVAGIVHCVGA TDLTIQEPDSAAKEELEWQVGLLEAAKRAGTVKSFVFTSSAWALWMPDASKKVTLTEQ SWNEDAVALARDKNVDPKTKGMAGFMALKTLVEQGVWEWVKREKPGFAFNTVLLDTVL GECLDPKNQGIPSTPGMVHWIWENKHMAIIDLMEPQWFVDCVDMAKVYVAALASSPKV DRERLFVFGERYSFKEVARLLGEMFPERKEKFGQPKKEGWDQTEVPNQRAEELLKRVG QKKGWTGLEESVRANAESILRLEREGGTGAPQSTYAR NEUTE1DRAFT_36463 MSRRPPRGEYIETDTGNKVARKATLVGTQNIMLGGKTVIQPDVM IRGDLARTIPPAQSASGGPANNTAVAIGRYCFLSRGCCLRPPGRMYKGVFTFMPLRLG DHVFVGPGTVVQAAQIGNHVHIGGKVVIGEFAIIKDYVKVLDGSVVPPNMVIPSFSIV AGQPARIIGEIPEGGIEAFELRDLYKTVGNNPQPTAP NEUTE1DRAFT_56171 MSTAPKETETEAAPWYAAYPEPQSDLMNISRAEVLEMLKGSTGE TAGKDFVLVDLRRDDCKGGTIRGSINLPAQSMYQTLPTIYDMFKAAGVKKAIFYCGTS RGRGSRAARWLSDYLVEIGDNSIQSFALFEGIKGWANAGPEYVEFMDEHDGSVWERLK SQ NEUTE1DRAFT_97301 MKFITWFFLLATAILAAPAAIFDPQSMDPKALAAVKTCGGGTGY CSSGHCYCSNYCEVGYCPWSPCGNELRKEQHGAEWTHDMRGCKEGVNRSGDL NEUTE1DRAFT_106744 MVGGGPQSDNVIHRMAMDDPIPWWKKPHLRNMYLLLFPCVIGIE MTSGFDSQIINSAQLLPAWKEYFGHPTGGYSGILASALPLGSVLGLPFIPLINDNFGR RWCIMFGSCIMIIGTFIQGFSKNAPMYIIARAIIGFGLPYAIVAGSCLIGELAYPKER PILTSLFNACYFIGAIVAAGCTFGTQRIKNDWSWRIPSLLQMAPSLLQVVFVFFLPES PRYLMSKDRLEEAKNVLIHYHAEGNADSEFVKAEIAEIKVTLEIELEHSKQSWADMIR TAGMRRRVLIGSLLGLFTQLSGNVVISYFLGDVLKLIGYTDPSFQTKYNLGNQCWSLV CGVSAAMVVMRFRRRTMYLTGIFSILAVYVGWTVCTAVFIAHKNPVAAKFSLFWIYAY SPAYNLCFNALTYTYLVEIFPYAQRARGIAIFQFWGKAAQFFGTNVNPIGTQAIGWKY LLVYCCWIFAEAALIWFLWPETSNRSLEELAFLFEDKEDLTQLQQKRVETEQGVGELD NEKHSVVHERQV NEUTE1DRAFT_115614 MQIKSFLLAAAAAPAALGAAVNTIKPFNCGTDAPSRQHIQMTKE LAEKEAAMAAEGIMTAQATINVNVYFHVVAASTALSDGYVTSTMINNQVATLNTAYAP HNIQFTLKGTDYTINSNWAVDGSELAMKKALRKGTYKDLNLYILKDLGDALGYCYFPT SVTSKSNDWYYDGCSILYDTLPGGSLTNYNLGHTSTHEIGHWFGLYHTFQGGCTGNGD YVSDTPAQASASSGCPTGRDSCPSQPGLDPIHNYMDYSYDTCYEEFTSGQRTRMTSYW NQYRANASV NEUTE1DRAFT_34222 MHQRSFKHGTTSTKTALLGMLMAAPGVWVQAAPGVSLDAVVANK LETKSLDGSSIIANKPKGDDEDDPWLMPANKFYDNYLDNYWANRNQSSVHGRSSLAGM AHRKRTVPKYDSALYCGVFATGYKEDISALLWDFHTKNNIIHYIVGWRECRRVACKNT SGIYICNDTTQTVRVTGERIYTLGKIPSDNCCHQGPPENPTKKVGHGMSGQKFTGTGF NVIVAYANCNKGEWDYRPQMGPADNPWGPNLECYTEFYGMSPSPTNGDVVTKRGTTEL KERKWELVDDGTGVMDLVERENTPVKENEVGGDADVVKLEEWEMTKREEKAGKRFEA NEUTE1DRAFT_97305 MSTTNSIPDCFPLFPYLPGELRALIWKHAVEPYRVLRITLYQKD FPPNPQLPPSSQFLGSAVYGPPWNVKVGCTDALVAATRRVRDLMLVSREAYRDITDYI LPDRIHVTVDWDPPYLQGMHMFASKGEKVYKIPWNRKTERVYLEMVHLKWDTHESGGM REGMWERTREEIPRGSDLPAPPVWVNEVGYEPFGQDPESNSESDPDESRDPSRPSRFY VPPADSIAATTVPEPNPDSDQELHIDPRVPGEIYIPHTLAHVIIRRHISLAPILTRLA PVAYRSLIPLVLLCKILRVTNITIIADSILYSNLPAGPSPAWVDEHKVLHEPRIAELS RLSTALADLLDSETSTTQTQLQTQSQTQTRHEVLAHELRAMSLSPADLRRIRFFRLRD PNPPPAQDSRRNARETQRVRGGGRGEWGTGRPGTSNWAGARNEGDQNRGHEMSRREGQ YGGFGSRRGWWAYPGEGRGRLWGPGGMGSGVGVGYGYAGPVGLDLELGTGQGTVREVV AGGVGWESLGAMGAMGSGRVLDASPPVTSMGRSWGSGSGLGSGFSGFERP NEUTE1DRAFT_134154 MGQAVPLKNIADDFQYIENNKTTLIITGIFSSILKMDFNRTIIN MVSCLTFAEVVSTTSYKPFVLSSYIRHYLSDISIYKIDTIASTTGSWLFNASWTLQFA RQENWPIMPLAQRDTRHTLQAAADTYLDMWSNKSAINAVPWGHHVHD NEUTE1DRAFT_97306 MNLLKNHVASSLNNTCLPALMPWITEEALCRRIPPKSTRPNHQP QTSNTSSIHKSQSQPSPLPNMSTSSSSSSIVTTPATTSTPLIPLTYYQTLNVPYTASK SDISTAFHAFCKLHRQPGPDKIPHFTSSQRYRDLREAHDMLIDSEDKQKYDLYLAKKG VPEMVEKYKAKEEYLKEKKMERETDKKRKKMINEVGEEVLEGWGQDEVVKFLGRPRGP KVRGTNV NEUTE1DRAFT_74860 MVLGFQFSFHSPPLNPVTKKAQRVPIFNPIDRHGRVFFFSWTGF MVAFWAWYTFPPLLTHTIKHSLHLTPAQVANSNIISLLATLLVRLISGWACDRYGPRL VFAFILLLGAIPIGLAPTITNVTGLYVIRFFIGILGGSFVPCQVWTTAWFDKNVVGTA NAVAGGWGNAGGGVTYFVMPAVFDSLVRNWGMAEGKAWRVTFVVPLICLLVVGLALVL VCEDMPTGRWRDRNQGVEVPVVIDGVDFKSGSGAETPMTTTKMDEEKGSKSVSDTEST TRPDVEKGEVSMTAPSTTIPSVTTILLSPQTLFHILTYACSFGSELAINSVLSSYFLS VAPSSLSQTSAANYAAIFGFLNFITRPLGGLASDYLYSSFGGSSPSSSPSPSSSPEAK PKALWARKLLITTCTLLTGTFLLLLGLLRPSSLGTAIGLVILAAIFIEAGNGANFGLI PFVWPERNGVVSGVTGAGGNLGGVAFALVFRFVGGHGAKGGYEKAIWIVGIINLVVAV VGVGIKPVPVGGMGSTSGKKRWLW NEUTE1DRAFT_115615 MASDSSITTARQATREEMRDAKLPLAYRDSCAHLLIPLNRCRYD TYYLPWKCEDERHTYEKCQYVEFKKRVAKMDELRAAKGGARSN NEUTE1DRAFT_119370 MAPISFSSPPGDDMWKGNIISDHSVRNNQSMQLEIDNAPALYAI EPAPPHAPDYFPMLPSDDGFNSNDDNPDQEFQDRPEDADPLVVKEFPYHHLTYTCYPM RATDSLRRNFLNSTSNAFLRPGTKEDFPDGLRLVFINWLKKYDVESGTGVSVGLWRTI GPDPLLVVIKRIKGYSLKTRREEGPRVPDIDGPPGELKFNSLPDETKRTLEFRFLYDP HLTCLPQTHAFQLNDWPQEDVTHFGKFYNGGSVAEMIMKFKKIGKAVPEALIWHVIAQ VGRAFKFIHTGRTHPDKTQERGSARGLPEEGEKEREWMEKEDARAANWVPTAHFDAHA VNVWMHWVTQYERENDRDKCLEHFDEDFPQVILGDFGLAMNGLSRIGSHNLRINECPD LPGKETWKDKAELGLMLHHLLLAGVQEVPRFTSKKLPEDWYTKHNEWLYANYSKTLID VVKRFSKVAHMSDRHRLGWKTFGGMSFGAMVEQEYKKGKDGFSSNLTPCDEWFHHTMI DLADKEVDERRRFRNGVESVRWATGQRMSTMPYQVPNRTKYSQKLFHPHVRGGVLPVP LDGRETLRSKARTLYNRKLRRPVIAAFYRHPPDPADCVDKDKLWVTRTYRMERIRYRK PRAIRIETSLKGDPGSKQRKFTPPPTPGEVNQIKSSMFGPSSWNSRVLQWDREGEGNG DESPGMEDWSLFGVNESGS NEUTE1DRAFT_106752 MSMSKVMSNDRAAEKLSHILLNYHSGKGWEKELADVLQAFAVKY HELSPEVLLGTTGFDNSDDHPSTLWHKFFVKSLQNMLKELPANVDKLKEAVDALEYKE EAAANEPPPSNKRRRVEDNENGRSVRTLEAEKLVKGGSCRQLKATCLDYLSPGVGTLT PVLKRDAIFPLYLKLSTMSNHYHTASTIEAKFDVIFLSPAQTTSPTVIRLAAISLLVN MSQPQPRVFTREQAIESLAEILIKDRLPTDPKWKRALSDVLKDFAARYCELSLKGWDG RLDLDMQLHKDAPSQYWHVYFVQSLCKMLKYSPASTETAASAAAVIDLDESDN NEUTE1DRAFT_106753 MSQFPDPVGRKKAAELWSRLLDTSKSKPPSSLMALSKVLQDLAE EFDKRVRSTWASDLYSLAWCNYFVAAFDRPTDISSSDTTFKVDTQGDYKARIVKEGTT TTLEFLLNGPATATVQVHRGTLNQLIGEGSSRSAYVPSVGIPASVAGSTATATGSITR EIEEEKTNQKEESDEDVGYSLWD NEUTE1DRAFT_97312 MSRSITSFATKLVVDFTCEPNVRKQREAELTAALKEFAMMYQKH TSNSVNGAYWHVQMVKVLKDNMSAVNANITANGNNAVISANVDSTALVRANNAVNAVN TAMVNSTALVPANNAANAVNANSTALVPANNITMAPVPVPAPFNSTVLVPANTTNMNP VAINSMNNTNKRPANDQDSANKRSKTETDDVPGTTTTFNIDTNGPSTTRFHTVGYMAE FMLVGSGSVQVHSTGAPAFVQPAFVQQPASAALAARAPARAIAATNNTGAGGSTKGKG KGKGEGDDSPSQLWHWDVPNPMVALGGNEGLWYWQ NEUTE1DRAFT_119371 MPRPRNMDERKPGGWGAYVWSHYLLADSEPHCNDRLGRTLKALA QESTKRISVSTALRYGTSNNVDYKTAGQRNAWYNYFVAAFEKILRELPPPDASAEQVR EKRREFHQQRRIPALPLPPPPVQVQHEAAAAATPPETQTVPGKTIQSSRARKESEQQE PTRDNFIVYIPANVKVVLVPEGPADATFCIKSESSTASMSMSSTGTSSAAEDTLPASP PSVPLFPPMRWSACPSMDSLSSDTYSQDQRPSPAQGSKEPSPAQDSNSLSLSLAGYNI NIPY NEUTE1DRAFT_97314 MDPSFQTTRSTASLKWTDYLLKDLDPSDEGWEMSMVLKELAKQY EAYDDQTPHPFGFGDGYNIRGVPEVETAANTNAADTSAANVNNTVNANNTTANTNNKK RPAEAMDQNGNNNNNNHDALHPNKRVMTTHQNYPALPPETIFKVDTQSVYKVRITEGD TPTFKFKSSGPARVLVKLNRGGVAAAAAAAPVPALGVPGAAAPGAIAPPDPLTASGII ADRAPGIVAAQAPAAPDPPAAPSAQATVGTIAAPGTVIPAAPDPAPAVVAFATRASLA ASEGVGNVNPMVTGWEGMGFGNMGFVEWSSVSSMSSNTETDSDVA NEUTE1DRAFT_36785 MADRIFRKRSHLTGDDLELAEVLKAIAAKFSHYPWIPDDKEAQQ YRQFGIRSLQKFLRDVPSQGSDELRDALAALKADLVSDPDLPPKPTQSSTAVAQSSSA RKRTFAQTDDGETQEAPPYKRVKVNEEAPNFTVYTKGAYTVHVSEGGTPAVELNLNGP ATVQIKTSGTSASGGDAAPSRPDPAPAPFFKPHVLRRCGAYPGTYHQMSPHDPRPAPC CTYP NEUTE1DRAFT_106757 MTRLVWKEEADDEMQLEYADAVKAFQAKVHELGYQIGAASDRTE AWRRYFVLSLKSLARDLPPNELDAVQAAVKELERKDIELTAKADEERVDTPYPVRVPT RMIAGQSGNNTSSSPVYLDACDPATVAVLVQKKDVFDIRAAASPNKTN NEUTE1DRAFT_36043 MVNTNPGVNPLNHPMVQQEVDKEDAIDTFIAFLEQDPYADSYTT KLANALQDIAVKYKELADDGGIWHEYFELTLDKFLWDLPKTREELYEVVRKLTEVDVV HEAAIRGVQVQVVPKYRDQATSTPSSTSSSADAQVDAIAKRIAENLLADGNNAGGRKV EGENATIFRLESDDTPCTIRVYSGDGTTSTVGLIAEGTGPAILTVKVHKENGAAKPSE LHQCVPPPVDTFCSIPIKPRISSKRTLCNKSCAFISCSLSGCLFWDDTMAVNFPKLSS GHRSGSNKMVRELTFDERNAFTYFNDLLDGNVDEQFQTELELSDALKNFALKYIELAP ELTDQCQRWSKYFAMSLKKILRHLPSTSTDLDNALAALSREEVAAEARARGVCIQILP ESAAAGNDKTPEAPALHQNSDQNANGKRPAENQAKDKVTEAEDEAHERPAKRVKHGGE DTTTSSGFTVKTQGSLKFRISDDIGTTTFNLNGRSPAIVTVSVQKKDITASASANGNK EAEKEEAA NEUTE1DRAFT_97316 MAEKTKTDDEAMEDLVQYLEKGYTTPHDWALDLSIFFKALAMKH FTPVALVEGKDLTFKSLMRLLRDLSMDRNASMFMGISITTSTLRQRFPSYYAQDVKAN VFNFETNSTYTLRITEDTPTSELSYLTLNGKGPATVTVSVQRKEDATASEAKSEG NEUTE1DRAFT_106761 MEQYQQNNPGEDMVQREQIVAIAKFVHFLQDNFAYPGSSDKDVK MSEALKRLAVKYKEHVEDNRLGWFGNGDDLGGLFSEYFSSSILKLIRELPAPNIQDEA EHEARALGGQIVYPQAVQARPAEQGGQIVYCQANETTTASSHVMGHYCIQVVENGVVS ALNLHGDGPVTITVVVQKRGNPIPNPNYNPNVAIQNGLA NEUTE1DRAFT_106762 MSDPKPIDAQPACPSAVSQNDVTVAASGWNENLESTIAQCREYE EITGDVWATYYLHGCEQEKAKGPPTSGEEALSFLKSLYHIRRAMTIYGQPDNLNVGDA NTPGTTTTGVPPKTFSLSWGDGSPITIDIHTQPTATIKIVTNDHALVTVSTRQGNGNK MDLKIHDSSTIEFEAAGGTVTRCTKEVRGSMTITVKSSGTVTWNQTPSPAVRDDENDQ GVDEP NEUTE1DRAFT_106763 MGYLTPAIRQTATGTLSTLPNDQTFAVMHETYHGQEPQYNVHPH SNGDLHCNPACKFQQRYLAEIQAVGEKAWQTGEYYTNGQTVILNSKVRPKGPWVNHAQ LNQFRLQQRYQQHQQQQWQQQKQWRQQQQWQQIPPVPIVPQQRPAVCSAPSLGLNQFQ TWAQPQQPGWDFVDKPNHVDLTSNYYDIGNHASSNFNNILTSQSTQQLEELKYDMGRS VNCPVYRTRSPPPSYTPASAPYSSAKGVGHHNKAPARSHPERRLKNDASRCFVNVVDP KAYPAALYHPRPQNGPQGYAVRRMIDKPDKDLRLLSELMKEEEEEQEEEQEYGQEECE EENCEQQDNEEGDHENDDYEAQEYEQEDYPTPTSLTSTYTSTPNTPQRPEEEEPELCD QTLFDEILNIYDNTWEYKSKPAITAALYKYKRTRLDRSKYVLDCLMDMVQVNSDEFKP PIRPDKNNNNNNNNHNNHNNMNDNNHNNNHNRNTTTTPKRKRRDSSSSWGSLFDSEEE DDELESASCGSSKRRHLNDT NEUTE1DRAFT_106764 MLTSRYRARAPIVNCLLILLALLAILRQATSHQRPRASFHTLNL TNRALSGHQIKSVTSTFKDKNALLLRNLHARSTQRFRVEHNQLEELSGMSSSCNFWQR QNRRRGHFHLCRVQTPPVWDVQQNVDRESESVQAQQAEQAEEGEEDEEGEMEDDEYVY PAECLKGRYTLHVHILVTLTSDIPLHV NEUTE1DRAFT_134170 MDAYHHVLTKDEYLSLLCAWMRVAGIEDHHAVTILRALALIREL KEMGVWQGF NEUTE1DRAFT_56186 MTQQEHDHFNDNDSHISASASTPSTQAMEEDHAWSVATRTITQS SIDDTVTLQHHVPPTHPFAAPDMSLIFDFSPVPLLLLSSSCCITRVSKRFLQDWHVTE EDCIGKFLLPFLESQIRETGASKSKLIAEAVDDAVASRSERTSKAITVKSAFTCRARV IPIFRDAELVSIYLEWHERPSSEVLDNEQVQPGLSTDEAFRILVQATKDYAIFLLDTK GHIATWNTGAQLLKGYTREEIIGKHFSIFYGKEDLDIKKPDMELDICLREGRVEDEGW RYKKDGTRFWANVVITAVYKNGVHVGFGKVTRDLTERKASESRLIAAYEESEKLKSDF LANMSHEIRTPMHGMLSACALLLDSPLTERQRDIVNIMDESGQILLQVINDILDYSKL ASGSFSVSSDVVGVASIITSVVRAVQTTLPPAVHFELFLAPNLPKSVQGDPLRYRQIV QNIIGNAAKFTDKGSIRVKASVHSEDSDSYTILTEVIDTGIGIRESASASLFTPFSQF DLTTTKRYKGTGLGLSIAKSLAELMGGRIGYRPNPERHGSVFWFTARFKKIKSLEQIQ DWKHQMAAKDGSPISPVQPDVTVLQQRLASVAGTKNILLVEDNVINQKVMLGMLRSLG FRSIDLAADGAAAVKMVTAKPVGYDIVLMDINMPILDGNEASQRIRDAGVRVPIIAMT AYALKGDREKCLEYGMNDYVPKPVDRKFLIKVLATWILEKVDYRKAYDERLQQLKDCD EKLHRLSVSEGVSAARRESVDGESKPGIALQGDEGAVKGWASPFREVEQREVEQAQAS NAGVMQGTFHEPASVRIPKMLAQRTERTKVGPLPLPSGDQGAPVLSDAQQGKQELPNG GFAEGEELNQRSPPVSDGEISPRTPV NEUTE1DRAFT_74871 MHLILTLLSLIPLALGAPTARAATCDRDFLKAQAASYVAAQKAG SPSLLTNSSSSESTISYTQNFKTATLSTGILSTPLRIDHNRSIYDTTQCATYTELIST EPNKQHVIGTQLRFSPETLTLSKIETIVTSKGDWLFDPSSTLKYSSQESSQGLWDEIP LAKRDTRAVIQAAGDAYLDLFNNKSVKVPWGTPCARLEGGSYIQPSCNVGVPSGIRNV NRRYVVDEVVGTVDVFFEFAGMQPDSHEFRVEGGKLRLVHTMTVMK NEUTE1DRAFT_106767 MTTSQPSGLHILIVGAGFAGLSAAIECTRKGHRVTLFEKVSSID EITRYGDIISFDPNGSRAFEKWVFPDTSSVAERMEEISRQTEWLDLFHYQGEFLTRQS FAEENRWGRRINGHRGELHRLVYEFVKTRKEEVEVRLGVRVVEYFESDGDEKKGPHAG VVIKGPDGKMEKVMGDAVLAAEGVRSRGRKLVLGLEEEDEQPKSSGYAVYRASMVFTH IDDGNIEESWQFPGKPEEALAYLEGWCSVVRELVKATPPGRLIDYKLVYREPLPTFVS SKGRVALIGDAAHPFLPTSIQGASQSIEDGTVIATCLELACQSNKRWDMSKEQVVPTA LKAYEKLRYKRVHKAQAMGPKTRERWHKADWNGPEGVWKVPEKLWLLREEWLLGFDAE GDT NEUTE1DRAFT_36383 MVAFSSILLAASTVISGVFALPGELPGQGSLFKRQTYTSSATGT HNGYYFSFWTDGQGSVRYTNEAGGQYTATWSGNGNWVGGKGWMPGTDRTINYTGTYSP NGNSYLAVYGWTRNPLIEYYVVENFGTYNPSTGATRLGSVTSDGGVYDIYRTQRVNQP SIDGTATFYQYWSVRQQKRTGGSVNMKNHFDAWTRSGLTLGTHNYQIVATEGYFSSGS STINVGASSDGGGGGGTTPENPVRISGRLSLSRK NEUTE1DRAFT_97324 MEGLITDLYPLLPPYTRSETLPPAYTLPTPTTSTSNTDPDGAAS NTTASGTERAIYLPYTKPIFLDTFLTLELLTRLIRCIKAALRISRSNGDGHEWWEKMY EVSMVLAGHPHYVTITKETNIEAKRLVNLTRNCSHCIREYAMRDEVFVRTQQAWGGGK EMQNRVRRRLEAIDTRLLGKEYLGKLCSPEEAQSLIRRTDFEWIKNNDRYLHDLIRII SMLNHPAPPAMVNRLKESFDAETEDVFIEAMRKPAFAEIRERVMQSLLYSNRNSRFEA VSEPCTGTCQWILNAPIADAKETFGTWLKAPDLMYLDRRFWISGDPGTGESVLMKYLV METQRNPAILKRPEDEETPIVLSHFFDHDGDLMHRTTQGMLLNLLFQLFEQNPVLIDT HFHHLVSDTREWHRAQYKTSAKWEGHELWDLLWTVLRDVKERRKKIFIFIDGPSGFDL KPPSPAYPKHEDIPRDITDCCLPDMQPWLKPEPTQLIHSLNYYTDAKMIVTARPEGIF HQTHWKLQLHLEPSLLRHDIKTVCHHTLDHLWFNQRYSFTRKVLSACNGSFTEVLDAL DRIKRQTSSSSSSSDLPPPLPLSELLYSSLLTQFHSSHTKLEIHSLSRILDLLLTDHL YSLYTLRSNRPLSLLQLTLCLHDHLIHNCLLKGSFQEPPDIRSTCKSVKKQIQERLYP FITLRKMDHTPIPGDKVDKILEMDCTVPVPESTMEVCFLQPSLVEFLTKTEQGRELLR LDEVDPPRLADVEARREWVRSALIGGSQGGMDGEGRKGELVRDRLKLLLRAGMFEEIV LWRALHMKKDHVERMASELGRVYREYVRFAERSTVLGYLREEEVFPERWVVKFANGN NEUTE1DRAFT_106770 MVAGPPRANDGAEPTTSTLRGPRSLALAQTRDDQVHIAHEDEIQ NAKTELNAIWGSRAYRITIAYIIHPDREAWLAHFVSCLAKIAKGPATASSESAPPTDV QPDTEQSPRLFQHVSYNCTKWFRIEGGGTMYGVQLPVDETSTKFSLPAGAKLWTANFS KVSVLADDGYRPASESDLKIDSILP NEUTE1DRAFT_134177 MQQPIELDEDLALRELELKWSFQKGFHASVFAASEDPIAWQAHF DSCLAKAMAGPPPEKHDDKMLKSQGLAQYVIKGPAEFHVEVDGDSVLSTGGLGTCTLH VISSPRVMVDGLHDQRQDFVEEQCLLRQGGRGVLQQGLFQQVHRGVFKHLVWYFGSVS LRSMSIGEVVP NEUTE1DRAFT_97325 MATNKNYKEALDQLRVAWWNEEAEMAKDFANAADQEAWLKHFHE CLASIKQGPLAPKGPEDTTGKAAGPAPAVRKSCGVEVDDDTTGSRVVKIFEYEKLVES SKEKDKDAEVDVEYWITRPTALLVEVPGTELSRVHIEIKGPGKIHAEKAVAVVESGTT HSEFVENVSKGAMKN NEUTE1DRAFT_97326 MTPTKEELDRALNEIKATFLSRETKLAKAYAEHPDPDAWYLNSI SCLAKVAAGPPPLPSTAGHKQPAAGVGVRLGVEAEVKPEAANDSGGCNVTAGMGTTSR KRPRVQVEDGGSNGISNGTGTGKKVRVVQSPEYQNTFGGPENTTMTVRNRHPLDSSGL TSDMKVEEEATTQEIQERPERPAQRRRLTRGIRPRKRIQSSSSE NEUTE1DRAFT_36552 MAARKGLPISTPTAVHHPSSAGADIGQNAPVDVPNAPPSPTESG PAAESLLSPNRTPTQLGSALELGSRPKQTEPSPTATASDPSGGDVNYDPGYTDNDNLC ETCHCLLEPDLELEGWEVEVEQRLPSRYHCKLTSKDTTNMTWSGNLKSSLFNDKCPLC RELLCGFENEIVSQHSTRGEISVAKTLRYQEFRECFVISLDVHDTRLEFVRQKASVNL DPEHAYYPWSDYSPCVEYYPAPQFIGNPGPFGSDTGSDRSWQIASSWMAKCLSSHHEC TQSQSVAPPLPTRVIDVGSVGEPCLPRLHVVTENTPGRYATLSHRWASRGMLKLEVNN CEDFQREIPWKNLPKTYQDAIEATRRLGIRYIWIDSLCIIQDSPDGKDWVAESAKMKS VHENSHINFAAADAMDSTQGCFFERDPFSIRPLRLRIDLERKSGYYYAAGSHRFAYNV APAPLYRRGWVYQERTLAPRIIHCCAKQLYWECLESIAS NEUTE1DRAFT_143615 MASPYSQELKPAEPTPAHPAQSEVFVEEVDDCESEDESDDSIRQ SNPDLESGGTTVANTPYAAGSHASSSTGLSDSKKHNEKSAVRVRQLVPDIDPLVYHGL DASPLPPKKGNRLYRYLRWNFGSVYRRIFCLAFLGNAAAVLWFIIRSGIENRPILNYQ QAATAVTANLLMALVVRNEHVVNAMFWVFGTWAKVLPLWARTLSAKIYSYGGLHSGGA VAATFWYVIYLVLLTIDFRSHQEGPLDAIRGYIYLFSYSIIAMLVVMLIFAHPRIRVL MHNSFENTHRFMGWTVIGLFWAQIMLETADSSNRSSPHHSFGHFLVRNAAWWMLLFTT LLIAYPWSRMRLRNVEAEVLSPHCVKLNFEYRDVYFGQAVRLTDAPLKETHAFGVIPH PLAPTAAELADEKSAIDGQPFQRLSHGGDKGFSVIVSHAGDWTRKIIDRPPKQIYTRG TPQFGVMRCAGLFSPVIVIGTGSGIAPCLSLFTQRPDHPVRIIWSTPNPLQTFGRSLL DLIYKTDPAAVVIDTRKTGRPDLVKVAYRMWEQSRNGIFPEELVRPEARKPCEAVVII SNQKVTEKVVYGLESRGVPAYGALFDS NEUTE1DRAFT_37661 MSLFYPRSALSHPSSSLGNQPTFSSLFRMLDDFEKYAQQVSSGS LIPTNLGSSLLPSLPSTGATGGVLETFNPKFDVTEDDSGYTLQGELPGVDPKNVDIEF IDPQTLVISGRVERTHTEGDPNLRLGGPAESKKIEGGGKEQKEQKETKEQKEQKEQKE QKEQQEGKPSTPRYWLSERSYGEFRRVFNFPTPVDQDNVNAKFENGILNIKVPKSEKK GSRKISIQAGQSS NEUTE1DRAFT_119376 MSNREEPPPSYEQAMASSGQRDTRPGTSDTSSRPGTSSGGQQTH PHTDNLLHVPGDDDRHHGHDGIPTPTRLSMEDELRPLPPGWVRTYDPETNHQFFVDTQ ADPIRSIWHHPYDDDLFLNSLPPAERTRIEQLKHQHSGSGDNHSTSRPTSSRSSRAHS PQPPRSPRRADAQQHEEEEQGKSLGRKLKDALTGTTHSERVEARAARDRAEREALRQH LLVRRAMAKAMETSRPQLLGKDDNGVDLYLEPPGQMYPGVVAVKPLTPWLSEVFYERD RRSGNARLPGPLGRYLRPEGSMYGFGSGYGARGYPGYGARPVGGGTYVRPQGPYARPM RPVGYGGGLGLPLMAPMLGGVMLGSLMF NEUTE1DRAFT_127079 MTFKGLYKVYLVLASFSSVHAATVNTPKVIERDVIIIGGGAAGS HAAFRLQQDYNKSIVLIEKESILGGHVDTYLDTSTTPPTPREYGVQVYFPYLDGLDFI SRFNLTLLPGLGPRGSTTTRNIDFSTGLELPSTYTGPDPSSITSAIIRFHNLMVSQGW DKMIEPGFWNLPPGPQIPEDLLLPIGEFAKKWNITEALPRMYESTGGGPASRHRTFTD ILTLTFLQSFSPAWMKVLYGQVGMYHIQGGNQLLYEAIHARLGAENVLLNSLVSSVRR PAENADDKRGDIEVAISTSTTSRSLHVSRNEPTTTTTSTTTMIRARKLLLAIPPTQEN LAPFDLDSLESNLFSRAKYGRYGTAIVSSPVLPRGYELHNTPILAAIDPNKPFINEPH VLEFASYGNDSKLFSIGTSGSGLGYGEFDEREATKVAQESLERMVDAGTVKVPDGKEP GGDQKLKVVEYSDHGPGGFGVSAEEMKGGWMEEMYGLQGKRGTWYTGNAIAIDFSTQL WKMNDEVLRRMLESW NEUTE1DRAFT_97331 MTMSSLPRHHGSQAPSDIQPDPPTQLSNIHPTIGTGMAILIVRL SHHKDIDSWSGRKAPQVLLSYTTTVANSLMGVAFAEAAVITFWRGAVGGMPVSNLHYV WASSSSVVGALTSLWRRRAVGVSLVSILVAISTLLRGPLMQRATYVELVDLEEWDTIK IPVMANMSDEWGGMLSGHALTDLRFSPGFADAVARYQAQSPMSLLGADCKNCSVNVVA FGFQVNCTATNVPYNLTGSVEAIMNGTLGATVFDSKISVVNESPKGSLLNISVVRKVD VGYEGNLEHKVCLLAPSLLNYSMFLDDNLLSFQSENWFDAEAVEDPVNLPPADSFVAP INMTVPYITGFGSTIPALHAMGSLLFDSTSNVSHGGAVGWTTSNSGLLSNLYYTATTP AARRSSDPKDYSFDDPMVDIINAYRDIAFRMSLQAATEENEGLSDKDAKVFQEVESIS HQSMARYAMDKAALAVAVVISLIGPVATLMLFWGWWKLGRRFTMSPLEMINAVLAPGE EEFFDDYDMNGNDEREGQSGDRNQREVARLLAECNKKGDASGADLANHVRQNKKEEEP VLKYGVDGRGKLSMKVVGGGGVRRRRQKEGGFVSGLVDVLLNDG NEUTE1DRAFT_35387 MGDIPNGQTSEPQVDRPRMGKEWVKINGPSILVWGTFCILVMAS TELLVRLWQQRRSPADGSASTATAADSEGKSNGLVEGQAVMEEKSANLRVVLSDLEKA KNDLKMAKEYLEESRKELEELNRSVDTLLVALHKKRA NEUTE1DRAFT_93502 MYVRLVSTLNPVLSKKVTPLPPFASDTKPPKDLQTRNEREEPEN QNLTESESV NEUTE1DRAFT_143618 MAHMQYNQQAMSSGLASRRGGQNIKPLSFDFKSIPENDTGIPTP RTSRSHLLAGLRTAPKSATATSFAIGNGPASPTVNPHARNSRNNSITPGMYDGASYLN GPKTSMPTYGGMHQAQHQAMQQQAVYNAEVAAYQQQQQQQQQYTTDQIMVSQLSMDDS VQGELDPNVHAQLMYTNHVLAQRQQQLQQQLQALQAAAQQLQSQGYRMQGQATASQQS HQSTVYQQQMQHHVQQQVEQLQQQRLQQQYLALQQARAQQAAQIQHLQQLQALMTPAA TQQQSAYSLYDPTTGQQTLYEATNQLANQTAQLNLNNYGGVQQPAVGTPRLQVSPPPP TETKSSSRNSSPPRRFESPVVETAVNPLPPPSANAFRRGHKKTISTANGNKNQLTIST NEEPPKTAGLKTSTFPMTPMTAGYGPGQARAGEHPVRQPRNPPSLDELKSKPTSKHEG SKNFVARTRRSAIHNLVRAGLERRKEARSSGSISPISESADELVETPLTDNDSDSGRS GSGILLDHDDAESSLPSSRTSTGSWGAIGSDRPSSRQKTNRTSVDSTNCSDNEAASRD SGSFASLLKNSNRGAKTEAVDGQQRKARLVLTAQKRSIGA NEUTE1DRAFT_106782 MAATAGMHHQLTLNRANHTLSWTGNFDWSRTDPCSETKHGTSPG PSSFIPVHHLGICHLPGINPSSGPDQDDRDDHDLMSTLKRIGRNNEETAPDYALLPGI EASFDLDSFVPMIRPGCSFRGADRQSLPLVQALPAIRSWAVTLTPSCSFG NEUTE1DRAFT_56202 MPLIAQNPQPRVILGLMTFGTDTETGARVTTVPEFSKVLDLFQS RGYNEVDTARMYIGGKQEAFTREAGWKDRGLTLATKVIYPTNGGDNTRDKVLESADIS LKELGTDCVDILYLHAADRKTPFAETLSAINDLHKAGKFVRFGISNFTSFEVAEIVMT CKYNNWVLPTIYQGMYNAITRSLETELVLACRRYGLDIVVYNPLAGGLFSGKIKSHDM VPESGRFSDVNKMGVMYRGRYFKESTFRALQVVEQAVEKAGLSMIETALRWMVHHSAL KIKDGNDGVIIGVSSIDQLDSNLTDLEKGPLPEEVVKALDEAWKIAKVDTVNYWHGTV EYGYDVREALFGKNAK NEUTE1DRAFT_36372 MASPDFRLCIFCRQLEPASKPCPKQKSRDSAKKSDHFGPEEQFN QLCPLSADDEKLLKQLQDKPSSLCKRCADYNPLKAFELSEPLPSGLIPDDSDKFRDYF VRLKPYELALGELGSLALQASCPFCRLIYRIMPTEGIRPDTKGMRLTPYPAYVRHAGW QYLAEETRTKSAIFLGLRHFSHMIHDLQVPLLFSDNEPGVQSSEMTGPAICLATEDTF HDRKQYNGRLIEPFVDFGFAKNGLDVCWKNHKEYCISTKPEELFTTKMIDVLERKVVP YPKGCEYVALSYTWGGIMPEEGALEKKTLPQTIEDSITVTKKLGKRYLWVDALCINQK PWDTLSVQEKAEKTQQLAMMDLIYQCAAVTLVALSGQNSNAGLCGVSREREMQLHETI NGRTLFTIPAVVEDEMAASAWDTRAWTFQEGMLSKRSVKCPGLQTPSTPVSVCSPPSS AISSLPYSNGAFPCANFLSPWAGLTIGPFPSPRGYTRTPRRGTSPAHKGERAFRRGVG AAGRGTSRSIRPLC NEUTE1DRAFT_115620 MNELAQATTLLFILATSKLQHHRETTILLGRRRILQVAVWLFCF IMILLITY NEUTE1DRAFT_74891 MPFPYKTVLITGATSGIGLALAERMINSGVFVIAVGRRKDRLDA LVKKHGSDKVAAEPFDISDLSALPNWVKHITTTYPTLDSILLNAGLQKTLLFTNPTTI DLAAHTTELTTNYLSPLNMIALFMPHLISLAPNPTSIIVVTSGLSVIPLPRCANYSAS KAAAHSLVWSLRAQLTGPEAEHTKHIKVVEIMPPAVKTELHTIQPDLVEMGLGDIGME LNEFADFTWGELQNEEREEVWVDQQRGWKEVDAKRREVFEGSVKAFREMGLKF NEUTE1DRAFT_97336 MLEGDITFRCGHYHDYPQPTPHANCIRQGIYVYEPERDRTPGEP VHHWVRRDGNHDIVGPDSDPSLRVPLEAPESVMDKGYRMPERVRISELPPGTAPGRTP RDTPALRRPAAPAPTRASTSRENPRGNLEVSLRRRLKQESKERKRAEQQRRRSHADSR REEGHTSRGAEANTQDIKEDIRGAVQLILEKELRDVMKRKTYQDITEEEVKREAWRNV YAEVNRVLLREMDNIMEETKEILDGDLAVMVERVKKEGVREEVKEEGEGEVKEEVRVK EEVRVKDESE NEUTE1DRAFT_35038 MSWGPNARNPNNLMPRGARDYDPISPLHYVCGHLCAVGTQLFTK PGDKDKGEKDMLILPPRKYLVEVAWPCSECKDSRAWVWEKGNGVKKGEYVRNEDLMPV VGSRAPVVVAGAAPNAVASVAQKLEKEKEKEKEKEKEKEKEKENEEKHMQLAKEIERL EEEKIWLEKQLEKLLLLP NEUTE1DRAFT_143622 MPTINLPAGSSTQLEPRPAHQHHLRFLAVHAYLGIAVTLIIVTI WCVNPRRRSSTSSSANCGSQPEVKEIKASSGRVKLVRTELTEGSHPKRFSQNLVLATP TSMHKLSHSLSSAYTKVPHPSRHPQTMDGDQNNQHSHRSDPEATASRGTSQKPMSSSS SSSSSTLDQLHGHMPPSKTFTSSDGTTSPGLTSREGGRGPKHLHTESRQIDGFGISTA QRENNELHGVSVGEPRLHFTTPPPPPPLTPPTLDKTAFAFQHRQPTSVVLIPPGLDGS FIHQPDLNYIGHTSSFDIPSSIPADAAAIPRRRSYTKSVPVGVPIPSSSSSLSRGTTV TSSSAFHPSSDLPPSPLFPRPPPVSQDYQFVGGHMEHRASVGNRHQNETEVHGEIISV INDDGHGWQRHTQVYGGGVCLACLGNEGGFYGPNVPLEDRRY NEUTE1DRAFT_74892 MVKENVGGNPEPGIYSATYSGIPVWEYQFGVDLKEHVMRRRHDD WVNATHILKAAGFDKPARTRILEREVQKDTHEKIQGGYGRYQGTWIPLEQAEALARRN NIYERLKPIFEFQPGNESPPPAPRHASKPKAPKVKPAVPTWGSKSAKNANPPQPGTFL PPGRKGLPAQAPDYNDADTHMHDDDTPDNLTVASASYMAEDDRYDHSHFSTGHRKRKR DELIEDMTEQQHAVYGDELLDYFLLSRNEQPAVRPDPPPNFKPDWPIDNERHTCLHWA SAMGDVDVMRQLKKFGASLDAQNVRGETPFMRAVNFTNCFEKQTFPQVMKELFSTLDC RDLSGCTVIHHAAVMKIGRVNSQSCSRYYLDIILNRLQETHHPEFVQQLLDAQDNDGN TAVHLAAMRDARKCIRALLGRGASTDIPNKQGIRAEELIKELNASISKSRSNLPQRSS SPFAPDTQRHDAFHEAISESMVASRKNSQPNYSSDAANTVQNRITPLVLQKLKDLTAT YDSEFKEKDDAEKEARRILNKTQSEFKALTASIDDYNSRLDTDDVAAKTAAEMATARH KVLAFVTHQNRISVQEAVKQELAALDLEDQDQEEEEDDYTHRLSLAAELRSILQEQRS AENDYVEARGMLGTGERIDKYKHLLMSCLPPDEQENLEENLEEMIKLMEQEDESVTDL PAGAVGGGGGGNAADGSGAGGQSSNGRRESVLPALRGGNGDGDMSRRGSRTTAAHVDG EREINGRAGAERTERIQEIAAV NEUTE1DRAFT_134193 MDSQGSPLANKRRIDQDDISDKPAGVPTSNDGNEPTAPIPSKKK KSVKFLLPATERPPPPNRTHDSSTTEGKKALRFLLDNGALWRPSN NEUTE1DRAFT_115622 MEFEVAIGYRFRVVVRDVFLMATVEGKEYSNSEATSKRDGESSE SASMRKSRWPSRFYLNKGDSEYTNHPKKGAKEKVGTADCPK NEUTE1DRAFT_74896 MAPDTHSSHSLLDPSSLPHANSNDDRGRRRTPSPYHPVLYDSES NFDPSAADPSPFSSSGRPSNPRRTTSTSLRSITPVPLAAQALHLDTTLRYRLAAFFLL VSLVTFTVQTELASIVQHDLGWDKAYCMLYLTHGSWVFLFPLQLVVLRLLKREMPWRP FWRQVLWEVRVVGSMVEQQKLDVQTPRRRGRSRGGHQQQPTSPWRYLAFTTAGVTCAL TVAGLSWYLAVSMTTPSDLTAIYNCSAFFAYAFSIPLLKERLHMGKIVAVAIAILGVL IVAYGDGNPDSGAGEINGGTRFLGNIIIGIGSVLYGLYEVLYKRFACPPEGTSAFRSV TFANTFGSMIGMFTVFVLWIPIPILHWTGIEPFEVPTGRAAWLLFWSIVMNMSFAGSF LVLISLTSPVFSSVASLLTIFIVAMSDWFMTGKPLSGASMLGGVFIMMAFGLLSWSTW KEMEEEEARRRRVVAAGGGGEEEVEDSESDKDEV NEUTE1DRAFT_97340 MAPIQRLPFELKEQIARELSDFDLYDQRNYQIDPYGHSFFNGHT YEWQPLQKAGDKPQLSFQPVYDDLRALSLVSKDFRDPAQRALFAVVIVGDPTAYFKLF RTLLESPQVRSYIRCLLAISVRNPDAEKRRSEIETSRWAAFVDILGALVLFGRHNTYD LRNHYYFYGLRYRLYPRGLPVVLSSDRIASYELESWRFCRLVSEVLYSILQLCQSLRA FHLHCSNGSWGQQEDPCTFWPLDGCSLWTKALNSDPFLNTLTIDIRVLEAFHGFMIMD IDKCPPNIEHLTIVGSTTHEFRNNMPGMHHVCGWLSAVTRLKTLRIWYGIRSIACPPV HNWNKLLLKFKSTLEQLVVDGAGTLLHLRPNNILGRRVLQQERLFGPSLMLSCLSKME KLRYLSVPIQYLRRCPEGMEPLEFLSMPNEGQDVRQFVEADVKFPKSLQRTEIIIVQE VEDDLITEVKALRIDLGKDDVKNNNNNNNNNNNNNNNNNNNNNNNLDHLLLYPKNRRY VRSLFVTRHTHPAARASETLDQLKQFHRELRPLILTGKIDELYDASFFRGFEAGVSLG VYYNKDDWTGNQEDRFGSPRMLSCLGDFEKLAYLKVPLHMLRQDCPKLCVPKKGQQVL DLVQAKLPKGLKKVDIVVFKEDESWKVDPWRPIYKSIEPTKTFQVRL NEUTE1DRAFT_106794 MESNTPCQQRTLEALPQEVLETIAEFCGDVASISPNEQRDTFLH DVIYPYLDSATSNTWQPAYRDLQALALTSKTFTNPAQRALFKVAIVKTTGHLVRLLHS LLLYPSNRRYVRCFVAIIDDYPRPLPHRFIAPQPLVLDRLRITLPIIDSTVVNLHTIE DQLLTATIQLCPKLTASRVCFGLPQRHTERPAPATQPVEDPPIYATLLDSHHDLQLKS LTLDFGALLSLTNIRRYISGYQIGLPPSVERLTLVGNRTMDSVLSYHLFDLTIFARFL STNNKLRQLRMLHGFDKLVSYKRFRVYQPPRENWNSLLLKYRNTLEVLVMDLYGSWRA IPQARYRHSGMLDCLVKMEKLRCLGVPLHALGSAEFGLPLGAEDWEVTSSIRAELPPR WKNLEVMVIDPSELDSDGERTPLTWRIVEFRSSPNY NEUTE1DRAFT_36302 MTPNRQPPSQKGWSALEQLPQGVLERIAEFCGSITAFSSTEQAD QFTENIIDLAASNTREPSYQDLRSLALTSASLAYPAKRALLILYPQNRHYVRYFVARI ADRIHSLPNRFIPPRPLVMASFIRNLYPVLSALMDGALPEHSFLRQTLISAIDTLTGS EPSEWGNLMIQIVGETGVNFHTIENQVFTTAVQLCPRVAATRFCFGEPRRHSAGPQPA DQAASNTLLYFTLLQNCSSHLKSLTFDFGALYSLINFKSYVPGYPTGCLSSIKRLTLV GNRVQSELPYDLFTIEVFFAWLRTNTKLRELRLIDDFDKMIQYSDSRATAEANGNSAP AKPLNWNNILRMYKCTLEVLEMSWYRPSAFGMKARFGASGKLDCLSEMGKLRYLKVPL VALGGSDFIVLLGNDGKLIDMVHSELPARLKRVDLMVVNPPATRAERTDLKWRVVKCQ I NEUTE1DRAFT_74898 MKNIILLTVSLLAASTAALPTPSSSTSKSLSASDPDCGRSGYNC GDPEPSDTAVFITDSGRSGYNKRTPSGRKNGTVSVASGRSGYNKRTPSGRSGYNDIGE EDGTNKARRDQQADPDSGRSGYNKRVPSGRSGYNGEEDGTVSADSGRSGYNKRTPSGR SGYNDIGEEDGTVSAASGRSGYNKARDQQADTDGRSGYNKRTPGSGRSGYNEVEDGVE SN NEUTE1DRAFT_36203 MAVTVLTSRCSCTYLYASFPPPQRLPPLELGFLHGCDRAYRCLD VGAAAAALGQPDVMAITTSIETNMPSHHQQPKTITNMEVAD NEUTE1DRAFT_134199 MVYSDNSDDSQAKMQKALEDEQKKAKEEEERKKKEVEEEEKKRM EEEKARQARVQNTYTFTVLVKKA NEUTE1DRAFT_134200 MSDNQDAAKKEEERRKKREETARKLIEDEQKRQEFLKEVRKKMQ AKIDKEKEEEAAKNKSK NEUTE1DRAFT_134201 MPIRRPGSPEPPAPADEAVMTGSQEDPQKKEEEKVFRVQGKEEN EKEKKEKKKEEEKKNEKATGVPNP NEUTE1DRAFT_134202 MSNALTQHDPIMQSYKKRRLAEEAERQREKRRQEREKQKKAKEL EKEAAKASK NEUTE1DRAFT_74900 MPSVPAPVTDPENPKIQRWDDIGLLLIHDFITEDEEAAMIAAFH AVDHRLDGKRRISQHFGYHFDYTTFGASETSFTPVPSYITDFLPRLPVQDYLPDQFTA QYYPPGAGIPPHVDTHSMFGEALYSLSYGSAVPMVFRMSDANDARKMRLPRRSLQSSV LESKMEGASGTNPESAATAPDSQTTMTVKSKLEEPSPENPSWELVLPPRSLLLMTGPA RYGYTHGIKSRKTDIINGEMVHRQGRYSITMRTVRRGDEIGCNCVFPGVCDARIREEQ SRPAAAQRMDPLEK NEUTE1DRAFT_74902 MIGLQHTFTMDRDCFSYLPHELHLTIYQHLLDITRIDREDRTST LLALAQTSRHIRATYEPILFRQDGNPDRAMRWGAYHGLIPLMEKALTHGASVKAEGPH PLGPEYTFPTKTGIFTGPAKGSPLHFAAMNGQNDAVDWLLDHGASLHEPSKNLCKCVD PQIPQGFLTDLGRISQNHGSLNHDALSLALCHHHSSTAQLLIDRGAVEGNAEPVALHT AAATGQHNLFFEILRHVGRHASLDTPIESRFTSLHYAACSPVASPAFIQNMLKAGAHV IESAPRTDLPVFYAALNGSWAVATMFLDMDLEMTESQAQVYRAAGITLEGALVHAAVF APEPPNDSPTSSEWFDGRKQFLARLARQDPNCVNSVYRNPHWYTNDTPLKRAAAKGHL ELVRILLDLGADPNVTAGACSETVLHALTRCNLPVYPDDNPSRLSHPSFDFYTCQIEN IASPIEKRLAASTPIIIALLEHGASVDGVKDRDGKTPLDLVVRYQVAERADTAKKYIV LFEAVKLLRVLLKHANPGCLQEEQRRRAKTTVKRMELELEGWWCFTPRARGIGGFGHI PSFTSGY NEUTE1DRAFT_56219 MAPKKARVKKAQTKKKAAKRIQPKEILSPDTPPADGSSPFTRLP LEVHMVIGDTLKADMQLGALAKLARTSRRLCSFYEQQIYKGRGNRNNIVALMWGTRHN SVTVMQSAQQWGANLDVRAYHLNRMGSRRTHGMHGSGTALQLAIRDQNNASMCWLLDE HARVDIPGKPAQGMCQCTTQSRSHASSLHLAFCNGNLLAATTLLRREPVASVRYSLEV DTRSILNTAVKAAFSGYSVSFLAVALENPAIRKSINSFQGVDHRTPIKVALSPHHEGR HHLELILDALVKAGASLGPYPHGSDVEGHHPPLWSSLYRDDREAATYLLRLGCDPNGD RPHPITPEWRSPLHWYIGHESYERWYTPGWQQEHVAHEWMRQRRPYIRDFIVVLLEHG ASLDITDLAGNSPLDYAVSYMDRFVEPRRRVAGFKLVKLLLAKVEAEGISKESRKRAD DRLWRAAGVFEENLRDIQKALKELEDKLNLPVGSGVEPLPDRVSFRKELKRLSFALNR SVYLELLTRIRDGVSSLEALTSQNSDLEPERVKRSNGRLYRLMNSLSSAIYQALCAAM NACQCPTSHRLGLKLSTPSYTSLIPEDEDEDVVRNLSMKLAISDQDTAHGKLDRSWSS FMVKPSSRPRQCQVSTHQKPTAFVKSECPTKRARKTVGFSLSGMSAMKIHSLRSRYSS REVEVKASSRSSTHTVTLEVVSTKLEASNQDIIVDLCETLRQLPSLEASSCCGHIIGP AAINASATERYGLYALGHLRPSGSFGQCSFISLHEVLTEQLTAPCLFYDEDRLRLAYT LASSVLQLAGTPWLTTYVTTKDVFLIRCNGTTHFQEAFVIRQLPEISDSMQLDTQSPQ CLAGGVQPNQGMLFLGIILIEIMLGTPFDFFRESHIKQHGPSTLGSFFSDYETAITLL GRLETKGGPNYKRAVERCIKCKFPQPKANLDGDEFRRLVYGHVVAPLEEDLKQYSLPD LL NEUTE1DRAFT_97346 MSLLKGQRSIQTIILPLPPSLRPTYGNHFYRWLFAISAPYSGIS HPSQSITSPSSVWEIYEVAPQPVITSSPFSAQSHTQSQSRSQSQSQQQPTFNYLPSAD LTTHQLLNMQGTFIPLCVADENMRDVFLEKVDRYITFPPTDKGFNDQTFVLGVVEELR GEGYLGEGEYAAWVEAVGGFRG NEUTE1DRAFT_34736 TYCRYARRTCKPSAQLVSHINTFDHIASIMYSVKKQEGVIPIIL DAAARPSSKISEFFGDTLINEPTPSPERRKIVRKRHRRPHHATAEPFTAPQRKDLRPR SPSNILIQSCGLSRFTWPTSLGLLSLILFLLPPPYRFHGLTTLTTALFITDVVLFILF SLLMLCCSLVHSKCCTRPRQLCPELTSNASKLGALAYWPMAWLTLVAFAVFLAGYGDD RLQAEHWSGKGNADGGGEKELRRRVLTMIAYVMWWVGVVWMTGTMVFVMGILMGVVGG QSERWVLRKGLNVRGEEENEVRVVLPGCMLTSAFGMGLLALVGGLLVSVLVRLGTLSV GMATPVLVLSFCVEGVALFTTLFLFAVLQQEMIQVEGWASVHLIKLSLWMMGSASVCA AAVQMLGRAVEDVSAILGGDDTQARASSGNLFGTPATAQVAHVVCTLLALLLLGLAAL WLIVSLVAFVSFAIHRRLSWKGQCSDTVIPVAALALSTVQFKSELNSSFFGIVTCVLV VAAAASLLVNLALCIKQLVSTTFLASLEQE NEUTE1DRAFT_74905 MCPAVEAVLLKIDGIRCLKAFVVARLIAGAYGQRAVGCNARPTM AWTRHFRSEEPKVLNQEVMRIPDSSFSARKERSGSRSWQRFTAAARAANCLRKAFKPR QQKTEDLGLCHECQWIKLHNIFEPNKGIKAQSWRTLNLLAYLDFHTLRPCWEPKKTWR VSMNNRDTCPTCAFFAACSSRMGFPVEEFSNSGYIEPGTRRITGATAFACDDPGADTG FFDVEVLELRVCPDGNKQSPWVSKLHRTWSETHYILPCQDHGGEDEGVSDTVNYDVIK QWLTFCEIEHSTCIDAPSFPLSDTIPGLCLIDCHSRKIVPAIGLGKPRYVTLSYVWGT SGATSMTTPTLPEGDQLPKIVNDAMIVTLKLGYKYLWVDRYCIPQNDPYAKHIQIQNM GSIYSLSILTIIGAAGEDAEYGLPGVSSAPRIPQLWTDIPYNGGNNHLQLIYFNPPDT EIRTAKWHSRGWTYQEGLLSKRRLVFSDRHVYFQCQEMHTTGELEFNGREWGYQKPHR KREHLARRDHVGKSISKKEAVFPYPWDWWDWESAFWVRVGEFMNRTLSYDMDGLDAMK GIIQTYRDQTPLRFVWGLPYRGPEHSRVPENLKADEYRVSPPQGIFFEVDTHPAVSVC ELLGSLFWKDEWLAKPDCAATGNNTTEVSPRRTVLPSWTWAGWKSLPSHDASVTPGRL TTGQGFGTSQIPVSIKFAFKDKELDWITDHSEILKRSEIIGKFPDYLSITGSVFEADL VCTEVQDQKGTISCVWKYVSPPFLVGKEQDLPPGLFEQVNGSCVSLLGLYFYSRSFPQ STNFLDFHFLLFRKVGEDDAGPLYERVTSVFLAELHHHHERRVELPAGPWPPVLDKDV KEVRI NEUTE1DRAFT_106807 MPKMIKYTAEHMLAQVMDNIDGTDPTLEALKLYPIPELETDLSN YDQWERVLDFHLQYYGLDELVEFMKIDYPHGPGRDDFNTTDHWHRWQRHCLHAYSIIN SKAAKVIEQGCLLDDWGPISHCPHDLLEAIREYRREVFNLD NEUTE1DRAFT_37484 MISAPQIISLSEPSHPLNLIPIPELEADMSNFRQWDHAVTFHLR IHNLLDYVTPFALKEPTTEEERHAQETHSLHAYSLIASKIERIGPEFAKYFGRQLFTD QPHCFHFNPGKLWADIHLLGRNTLFPRTS NEUTE1DRAFT_143631 MNPQPEPSAIPISLLSRAPSPPGLTDTSWTPGTHDPEDKALALI PIPPLNRDLSNYQQWEHALWFHIVYHRLVDFLLPTRYPNRECPEAEDKDNWTRRVLHT YAIVFASVGREVLEDMAMDGALGFEVFGDRDYWAPELMEGVQRYKELVEGRMARDSNE VDEVDEMDEADEEDNENDEDELLVQLRMWTSVDGVFQ NEUTE1DRAFT_143632 MSNTIELPTDLDTSLALKFIPIIEFQENFSNFEEWEHSIRFCLR YHDLLEFIEGNQESQLSDDEYRRRRCF NEUTE1DRAFT_134215 MDTSEALKLVPVPALSRDMSNFGEWKFAVRFHLSYHNVGCFVEE NEDGHQPDITAKIWRVEKDIGPAAPVISQDERRCRRLLAYSIIWSSAKDLIGFLKRRF GNKLQREVDQFDPKILWQIILMYHKTCGPAQYA NEUTE1DRAFT_134216 MDLDLHDPDNDALTRFPIPQLAEDLSNYYAWQHALYFHLRYHGV FYFITGHEQSRWDLRWQCGDDDDGNPNPPTKHDRKNYQRRNMHAYAILFSKIGNIVPA LLAAGWDGDKDSFGFRTDLLWNRVQELRQREQQDRDRDRDRAASHLGTNHSMSSRAIS LTDDE NEUTE1DRAFT_34486 MSDHQMTGSSSPSRCPCGNTSPHLEFLPPIPQLIGPCDVEEWKI KWAMPQLLSAGLRAYDDDYDFDPQELWNYITRYIRFGTRSDSLKDRMLLHLFYCGYLR DDAKFEKHEYILMRRCLRTLGIEVHPMAMREADIDEDDLGHDDREWVYSQGLTEVQGR WLTDWSKTWNASKAFDRDDFAKMLEDAEDQVVT NEUTE1DRAFT_35503 VLGQEVAEPGTCGNDTRLLEANSRDKGCAGTPDTKTNLGSRARL SDEDLATEERQPRHRILI NEUTE1DRAFT_74908 MEHIVFAHDLTRADRVVLETLATDYSDHLKAKEKGNSDSAYASA SDTETAGTEETDANTKGGVNKDAGGYQKASATRNEAAVTHLSALNDPKSSSFEPSITN FFDLADLQSFQWLPPSLLSAYQQWATSLIRVPTDILMITHLLIYFSTTVPSAMYLLFV RFSWPHAILHAILNGWYVGTYTLMMHQHIHMRGILTKDKWWTRVFDAVFPYVLDPLMG HTWNSYYYHHVKHHHVEGNGPDDLSSTIRYQRDEFSEFAKYVGRFYFLVWLDLPLYFA RKGRYVQAAKAAFWEYSSYAMYVAMWNLAPGGGKAVFWTMVLPLMVLRVALMVGNWGQ HAFVDDEEPESDYRSSVTLVDVASNRHCYNDGYHTSHHLNPLRHWREHPVAFLKGKET YAANNALVFHNIDYIMITVRLIMKDYMTLAKCMVPIGEFQMNMTLEERAAYLRRHTRR FTEEEIKVKFGKKAN NEUTE1DRAFT_143634 MSTPLNQQDTSGEMPRVTAKDQELEHAAEIIRKVASLGQDLSSL IEDVEVDGEVGYDINALGRSLRSELRKFARLRANLMGETSSDIDKEETGRSGRDSEAS GLGVSTGEIEVVPWKEASSLAPSLGKYAAIQIPSHQPTADDLNPQPQRKRLMQEVTPA LRKELVPGAVKVRGKLKSPEAVPTKKHKDPWALPSFPLGELPDRIRIRSISLSIFLNY NIHDTALNWSSSKRTPFIIPRPFKFLVENDSDIREGLADLKQVQAERFPTKSENDYYQ AWNAAMPVDELNPNVVDPHQLSEVQLTALIEDLGALVWLMDFYITPSLAIIPHADQVH FSDLWFCFPARSLIYVRDKEIPQKIWKVIQRTGGKRGSTYEPTTLSSGRRSDSPHSVD TFVIDCFHFDHDDNRYVQTYHRIQIDPFEGRLPIMSLPVVPIHVAEREQLVDRSALVE RGKLFVKCTRPSHMQYTGRNQVLRPNGAKLHEKDADVPDNATRYAEWIESEVMVDFER ALQEMPGWRPGLDELDLYKAPTGEIDFGIDIDTVWDTKLSEQVIHEDWAKCQRWDKER TDPTEDGDLLLLPARVFAFVFRTRKWACLRIGKDNDGEEMMKEKNQRREPWNDLQLPD GHKRLVQSLIESHSDHRGPHSLHFDLVRAKGKGLTILLHGVPGVGKTSTAQCAAEANN RPLLPITCGDLGTSPREVEKKLEQAFQLAQLWNCVLLLDEADVFLAPRIAQDIARNAL VSVFLRVLEYYEGILFLTTNRVGVFDEAFKLRIHLPLYYPPLEWKYAEKIWKTHLRKL VDSNLVDVDVEDILSYAETLFEKQSAVNSKIGPVWNGRQIQNAFQSVVALAGYKSTGG RIKIEREHFDRVAKVSNEFNHYLWSIKSETDSAKAERSGYRIDKYRVDEAIHLQTGQQ PPQHPNFGGMVFGQMKPSPNVVGTQQPGAMPLMNPGFPPFSQHQESNGFYSSQPQQAH ATTYLTMLGAQGSPMGYAAMNSSQQYGANFGGQPPQGNLLPPANFAPQDDSGKRK NEUTE1DRAFT_97352 MDHRDLTPVLQYLAAGQAGKFDDSWANPDPATLEMAESLYIAHH GRLPSPAPVAPKQLEPSEKFRVYVVNFTECMHHFLEVTGDKSATEAFSCKFWDGVEDE VAKAMEAFEGHDKRRRSWRKPFEAADRLGGIAARRIEFLVQLIPDGEYTGLLAGGLRL LCNVVLTANVLTAKRKKEVRETILQMLDSLGDTVSHSKAQIKLYSDDPDLKEKSEDLY MAILDFVAYVVSYLNRPSAIESFKAFFQQADYGSKLDDAVDKVKKASASFEGSVSICF QTRVEEIDKNVRALVSPIMALYFTLGGLYVGPMQPQMPPPGFNASQLWPLLCTNWNGG SPTVAEDLLAISKADVQLALRSPPSSMGQNQIGYLLIEDAFVVWLKSLESQILVLHDE QSLEGSSSLSTLSYLCALITEMMSAPGMIRLCFFCGLHSADGDVLGGGYGLMRSLTLQ LLQPFGNANLSPTGNDLNLLAQGLMMNDLSTTCSVFNMLLHNVPAGVVYILVDGAFWY ASGSHGDEMAAVMAFLNRLVLESRANANRGLVLKILVTNPTPSQRNGWDI NEUTE1DRAFT_97353 MPALLSVLGLGSPRNHQSSHYLDNNTNPDILAEKLDTMGSANIT KAQMIALSILDTHKQLKKLPTYQPGEEINRLLGNLVHTCVQIHPPSVIQQILNFPGLQ QILSSLRTICSEAESCLETHWAEHTLALAAHQGHETVLKALQTDFPYFQNYIDLARLE LSAIRAALPPNNTAPLKKITFIGSGPLPLTSWCLLDEIRKTSSPNDTIPIICNIDMSP TAIDVSSQLNGALGPWGEGMKFLCGEAGSSSISLEDSDVVYIAALVGLSQEDKEEIFL KVVRTMRPGALLVVRSAWGLRTCLYPEVNVTTERLLGVLECCAVVHPFTDVVNSVVVA RVRG NEUTE1DRAFT_134222 MAQIGELLGLAPFGFAPVTSSSPMNNQSAGSNRPFFCDTVDLGD LYAAIHVVVLPPSPQASLSPGNTPNGSSKNPYNGHDGTNGHAQDVNGRPSTPTQLDKF LLNLISAGVDEPRLSDFDISLFTLIFPKCEGYAVRSNLLEHRLQDCPIPIARIDDRLI ARKFHGIFWARELTAAGPSANLSLLAEHAAGVVQWCDVSSALSIQELQQRLINITQEL HHRLHNVPCLLPDPPIEEKTVALVRGRPNLMTGGPVYAAAKALGLKLVIIDEAGHWLE ANTIENRKLRKAFLRTDMTEDEGLADRIVQSIKRYGTPVDGIFTLSDNFFVTVAKVAE ELGLPCGPVSAFETAVDKYKSRMLQDSPGHTARVTSVEELDILLSAPTDGSQPFFTPT FPMIVKPTKGWSSECVSKVTKLSDLTTAVKKATTRHGSAAVIEPFYDGPEIDVNFVLL DGEILFYEIADEPPCEGDATDATVNDTFSPVALTLPSALPADEQEIVKDTLHKILLDL GFHTGIYHLEARMVNSKYEYRSISPGIIDLVPRDNLPLSKNPECKLIEINARPPGYRV SVPSRHTYGIDYFAVRMLAALGDKDRLRAISVPFSHYSEVDDGTPGAGAQYWSRLVYI PVPRDGVLKWGFKQHESEHNGYEIISPSEDLKLRRPDLAGHIVLAVDYYEHGEWVSTF TDGARTYLGHVLVKSTVSRQEAIEVGNAVLKAYEVDIDIEGESDFDLLSD NEUTE1DRAFT_134223 MTAALRQTVNIGRMQGTAIRRCMTSVRNNTIPKSPSFIPATNTN WTRAQHTTAAAAAAAVHTTTTMRPHPESSSSSSFSTTPKTAQMEIDHFRSIPWVAKHL SKPHLVITQADSRQPKPGHCDVLFSQTLNSPETISAYITTVDGSEEGLITETLAFLTL GNKLNGWPGVCHGGMVMAMMDDLTGQLFTQNKKLKRMQNLPLMTAYLNTTFVKPVRTP CTIMVRCRITKVEGRKYWSEAAIVVEDEVTGEEVELARCDSLFVMLKSNL NEUTE1DRAFT_74914 MAVGTLSLFYPVILPLSTAGLLYAVHRLMYVDWAVWATDFFTGP GSVSRILLVVYMGLSWKNFPFMWHIRVFHAFILHLLRRPPTPLRPNSLFHPSITSSYT SPLETDYNLHKSNSTYFADLDVSRSHLVTHLLGPAMSVVGDNAKNKLVLDPQGNVVKG GFGIGLGAVFCSFRREIPPLKGYEMWSRILSWDRKWLYIVTHYVVKGKVRPTSWDGRK FGPTRPKLVRTEDGKEVEEKDFSKYVYATAISKYVFKLGRFTVHPSIVIEANGLLPER PGEGWRGGETGTGTSEDLGEINENSEWDWKRVEYERRKGMEYAQHFAALDGVNSLFDG GDDGAIGKFHLG NEUTE1DRAFT_119391 MHSTTVVSLLALVLADKAWSHRHAPAPETTGKSEAIPVTTSGPW DDLGPVTFRTERPETAMLDIDTLEASTTTLTGMESTTMTMTTTAAVQVESVIIYDSTS TTTMTLTSSDEPSSDEPSSATSTDTPISTSSTTITTSDVSSSTTTTSAPTGDVLTTVE NLTPGPNTVQFPMSSAAPVVVINVPSDSYSSGYTTETIWSSVWEPRNIAVNTVTRPAA FYVPASSSSQTPAPTDKSSGASTARSGAGVIVMTGVGALAACFMML NEUTE1DRAFT_106822 MAIEPARSALSTWLAGCWPQSLKEETQPPCCRQGRLAAFPENRL QRTGHPQISLAVQNPEKGSMIVAVLRWVDNFLIDVCVFPFHRLSHLLHSHKDERNRKL GQGRTSGFGKEDDGPLTSNINHTHKPWEEQDGRIKTPWPHVQLVRSSAGSDKTAIRVM GTSFRRLRKKGLYPGDHLQPVHEAIIDTHVSIHLRLSTSTKTSPYRQMTVIHAGLSGL VGPADHAAILFVQQATQARCASKASSGVSSVGFTQASMSARGFHFGKHPEAVPPMMVC NERGRIVASNTVLGD NEUTE1DRAFT_74918 MVSTKSLLLAAFTTIACAAPTKGPCKSLTPTLPSTGGDNLQGPS PTARLKKIALGHGIQNYTCSASDATPSATGALAVLYDATTVYPGLSKTALSPDAFNSL PHLLLWDRPVPLNRPSNSSYSADTTSPFPAHNDLKGLADYPSFKYAGHHFFDSTGTPM FDLDASGLKASVVKGGNVNAPKDADKGVLDTGAVAWLRLTDSKKGESNGVNLVYRVLT AGGNAEGCAVAGTGVQSVPYTAFYWFYEE NEUTE1DRAFT_106824 MPNTSFHQHLSIPTHHINTSTPSHSSYPTSPVQYISVFVLASVF ATLFVFSSPHTSGDHHPDSMKQHRQKPPSAFKLLLPFLSTFPSVSSSLQRLPYRSYVL SNNHSKINSHLAATGPSTPATPHETHMPWAHINLSICLSNNTISNTTTIRGYLIPSYY KTSYKAKDGNTTATSSRLEPPPPSLTMRLTQCLFIYAMPGVRGGQSRSRLRKSEVMEV MKIMEVMEVMEVVEVVKIIEVVESRESGFNIEETSSR NEUTE1DRAFT_143639 MPTKVQESFVIPGGALYSDSAADGPEIGTLVLVVDRAKNLPNLK TIGKQDPFCAARLGKEAKKTTTDIRGGQTPRWDQELRFTVHDSPDYYQLKLSLLTDDK KSELIGETWVDLGGVIVPGGGQSDDWHQLQSRGKYAGEVRVEITFYDNRPRPEKSVVK QRQQHPVEQHIPSAIVPGPGPRGVPKRRPLPAPSVQGGDGPYIPNQSALQQLEYNNPH AARYQQQPQQHPYRTPPQYQQPSQYDLPSLDDGPRSFEGDRPPPPPAHRIRNGNNAPA TNGYQNGYDASGNGMPPNMRQDVLRNEAHRQTGSIAYPGRPQYRGYDSAPAAPLRNSS ALDMNHDPYDLPDVLVPGSANGPMRGRTGHTQPNGDQYRRPDPGGHGMAYARHSESSL SHYPPQNDQRSQSYQAGFEDHTDSYQPPPVPVSLVPGIDPSIAQEITERVYQDKNSKR YTHPIDTQVGNNYQQYPRDHSPVPPHQPPVPQHPQGRSPGGYQDVPTPITPNHNVAMN QRSYSPNPPVRRDPSPLPSRSPNPHQQTIKRKSVSPAPPPVEERRLSGIPFGPDSYNA LNPAVSDNIGRADYNEASGKIITHDGKEVDPSDHLPMETWAPEPEPKKSTTPPNSSHG SRPPLSGAHAMPGHQVTPRSRPGTSAGGERPRSMHEQHQRITPPASPSVAGTVSSRNR LQKRNHRNTITAAGPMGMGQPGFNRSSDNVSYPPSSSQQDNFTPRSLSRASTFDYYGA QNGNAPGGPVYGGHSPGAAPGGVPPVPAKVPLSLPSTIGPAGGGLTMSGALQLHSGSL TRRGAAPMGVDEWGGMTGGGVGGGYGGMGGGSLEEELSKIDIGTGRSRRFTVKGPSGG RFRGFN NEUTE1DRAFT_119394 MPGLPVRAPNGVPVNNSANHNDPRLASSISAFLNHRQPAWTGTV VDFSPRPPTAQGPASAVPPAAESASGEVMTPHAGASAPFRGTGRPRGRPRKYPGRLPH VQHAHDGTPQHEHENGQEEEAEEVQQQPTYGQGPPSAQPQQATQRPGRTAQTAAVLLS PAPSDEPSPAVSNSRESPSINHNAPAPSYHPVIAARFVHSLDDDNTGSTFTRAGNNGS APTTPAVLPSASPFLAHPQLPPQSTNAAASIPHSPAPQNQSLLAHGAPRRDGQGQGQG GHVNSPPLPLAPHPGERAAKRKRIDPSQPLPPMTYLEFRHVLQRYTNSPGVKDRIKAG TEFARFSLLDQACVKEDGFFLALHQIYCMWRIGVPDGHGCAQDIDRAFMIDQRNHAYL YQSGNLNPQFYQTWRNQQELEAQNAELITYYRSRVHQVRTGTGAAVNGNNSIGNNSNT TPQNRRLSIQTTAPAATGPPMPNSAPPNQAAFTFSNATNPYSPVFSPGMEAFHNAYPT QNLPSAQHSSPNVHPSMVTSRSPQDLSNPAAQWQQQQLQQQRQQRPLYPQQGVQYPPH APQQHGLQSMVIPSQLWQQMQHEGALRRQQIQQQQQQQPHQHHHQLQQQQQQQQPGNQ RQQWVTAAMTAAANNGASAAQLYTARAQAQQQLQLQTTMPLPAPIAPTLPQQIQAQQN PQTQQRPQNVVPREPAPARTPDIPLVPPLGTTIHPSEYAYEASDRRSLLMALHQAHAR SPRRTVVDGESERMYQAVKELVTKPTQLAENVRIYTVPFEVTDEQYRLRVMTDRRGVA IAVQPHQSLRWRIRCCRINNHQKGVVSDETWRATQSNWPDIFISFNGNPLEIRRKPHF GKDLSIELTEMIVMGKNKVEAVIQNAPSPNFFIAVELIETLRHSTIVNDVWKNRLIPE SKTLQIIKDRLGGSGADDEVSVAVPYLSIDLTDPFSSVMFHTPVRGGACTHLECFDLE TFLNTRQVSKVPCGHEGRACKCPPQPTSPDKWACPLSGCDKIAGPYDLQIDGFLLKVR KELERTGRKPQYSKALHVEADGKWTVVVQDDDDDDDDSDGEDLEPRQKKIKTASATPA PVPSVAGSVSRRSGPPSNVEVIEIDDD NEUTE1DRAFT_119395 MSKPVIVIVPGAWHKPVVWHDVVQQLHTAGFEAIHVELRTVGST TTPLHGLTDDVTAVQSVLGELAGQGKKALVLGHSAGGLIGSNATKGQDNVIGMIYMAA FVIPRGKALLDLLGGKPLPWMEYKGDRVFGRQEMLADVMFNDLNAEEQKKWMTEMTHT SAALFATPSGSEPWKNGIPCAYIFCTEDNALPFVVQQEMAAQLGPEAKAATLESGHCP FLSIPEQLVDAIQMLEAQMRATYS NEUTE1DRAFT_56240 MKTRISTANLLQLLVLFLPTRTVQCPSVTRAPAPENMATQRIDV HHHFVPEFYAQALRANGGDPSGWSIPDWSLEKDLSFNDEQRISFAFLTITAPGAEILP HVEQAGFCRQANQAAAEICAAQPARYGFFATIPSLLDPEAAHTEIIHALDELQADGII LYTRYGSDNHYLGHPDFRSTWDLLNQRRATVFVHPTHPVDTHWVNPSLPQPMVDYPHE TTRTAVDLIISGIMRDYTDVKIILSHAGGTLPYLALRPAAVLPYLPPSIAPPVAAAAQ EGHPTEVTNQFMEDAKRFYFDTALSTGSHTLSLLKEFAKPDHVLYGSDFPYAPSPAIA DMNARLDSYGAKDEAFVQSVASEAALKLFPRLSNVLAKNRHA NEUTE1DRAFT_119397 MAAEVQPLATLKLPTVVSPITAEQRYWKSFKNQKSHTSTAAWPI SHISFPGSSNGSAASHSLVTAAKTNDLFAVTSGPRVDIFSIRKRELLKTIGRFDSQAH SGEIRADGRVLVAGEDSGKMQVFDVGTGTRAVILKTWHVHKQPVWVTKWSPAELTTLM SCSDDKTVRLWDLPSNAPSRLFAGHQDYVRSGAFMPDRNNLLVSGSYDSTVRVWDARA PAGSVLTFKHADPIEEVLPLPGGTTLLAATGSAISVLDLVAAKPLRLITNHQKTVTSL SLASNGRRVVSGSLDGHVKVFETTNWNVVSGSKYPSPILSLSVIAAGAGHEDRHLAVG MQSGVLSLRTRLSGATAEKARARAAEDALMVSGATSKLAKIDSAKAKRKRNAASNKSM ALLGENVDVIIPTDATNSAGGRKRRVKLKPWQRDFREGRYAACLDAVLDLSSPEYQPV TALSVLVALRHRSALREALEGRDEVTVLPLLKWVIKYISDPRYATVCVDVAFQLFDIY SEYVGGSSELADQFAVMTGKVSREVEKAQMAIITNGMVESLMIGGAEA NEUTE1DRAFT_97364 MADLVGRKIFKVFNQDFIVDERYTVTKELGQGAYGIVWYPLVLW AAAHLHVSCHISMIQANLPFLLNSAAVNTQTNEGVAIKKVTNVFSKKILAKRALREIK LLQHFRGHRNITCLYDMDIPRPEMFNETYLYEELMECDLAAIIRSGQPLTDAHFQSFI YQILCGLKYIHSANVLHRDLKPGNLLVNADCELKICDFGLARGFSVDPEENAGYMTEY VATRWYRAPEIMLSFQNYTKAIDVWSVGCILAELLGGRPFFKGRDYVDQLNQILHILG TPNEETLSRIGSPRAQEYVRNLPPMPKKSFQQLFPQANPDALDLLDRMLAFDPTSRIS VEDALKHPYLAIWHDASDEPDCPTPFNFDFEVVDDVGEMRKMILDEVFRFRQLVRTVP GGQGQGGAQPQAPAQVPMPTDVHSQQWTAEDPRPHEYGAYNGGLEAELGGM NEUTE1DRAFT_56251 MSEPISVLFVCLGNICRSTMAEGVFQSMAKKPEYKGRISKIDSC GTGAYHVGEGPDDRTMETLQEHGITDYIHAARKVNTSDFDKFDYIFAMDRANLADLQR LQQRHPGKAKVMLFGEYSGTGKAEVIQDPYYGGKSGFEKAYEQATRFSKNFLGDVFPD VKAE NEUTE1DRAFT_14110 PVHNSEVYVLSQTPFPNHLHRGGRPSPPSPITPAEDDFCCSPID KLPLHNFVEPAIAACEEQLAVESRLSGAVHLLNTASTALSCITDLYSSDRIARDGFHR AVDAIVRRQGDHGRNGKLVIIGVGKSGHIAKKLVATFNSLAIQAVFLHPTEALHGDLG QINSRNDTLMLITFSGKTPELLLLLPHLDKSLPLILLTSHTRPETCEIVRQRPDTILL PAPIHEPETKSFGVSAPTTSTTVALSVGDALAMVASHELHPSVSKVFAKNHPGGAIGA ALRGSSVSPSPSISSSTSGTTPSSSRTTSSMSISSQPPSPPSPSPARRSLRDLSIPLH EIPCLETPLHNQPGHDPITPTLTAADLLLAAYASPSGWVRLSHGGGVVSPSRIKRLTP SQLSLSLSDPRLSWLVTPQTEFLSLTADTEVRNAAEWI NEUTE1DRAFT_143647 MSAVPAWKRLGLKLKGSAGDSPAAAPSTTTSTPSATRQPAPATS ALKRKLPSQQQSFNNQNNYPTQNKRFRSDNAPAAQRKSVSFSQETKTTSTTDAAAKEK KREKKKAKKAKQASKKSAEQSKKSKSDTNLEPSLAYLRQWHSARDQWKFNKNHQTLLI KYVFESDKIPSADVPLFYQYIRDLKGFVRTRLREQAQEIKKKDMEAGASAFPGGDKAK EKNEERQKQYEDAIARFLDDQKERTQEKSKKRSFDEVEFVSRIAPGGSGSGSGKKEKK DKKKKEETNDKEGAVDKDVKERLIKRIRAEMVLEELADSEDATSTTATTTTTTTTSTS ASTSTAAAAAAAAPAPQPQTARASTDGQQPAKRRRLRNKRTDISDSESSDSSDSDSSD SDSDSDEEMADAGAKTATTSTSSSSSSSSSSSSSEAGSSESENEKSDSSESSDSDSD NEUTE1DRAFT_56257 MSGSAGYDRHITIFSEQGRLYQVEYAFKAITAANIMSLGVRGKD CAVVLSQKKVPDKLIDPSSVSHIFQISPSVGCVITGSIADARAFAQRAQGEAAEFRYK FGYEMPCDALAKRIANISQVYTQRAFMRPYGVAVTLISVDSEFGPQLFKCDPAGYYTG YKGTAAGPKQQEALNHLEKKLKNKDHAPGDWKEVVELAITTLSTVLSMDFKKTEIEIG IVGGPRPDGKEGTYPGFRTLTEDEIDERLQAIAEKD NEUTE1DRAFT_134237 MSDGLNPLREARVAELLSDFRTLQYLIAAVPTSPSNPDDYYTEG WAALRQCALDGQHILNCAADISIPCASGPGPDEQAKAELKQVLLDAYARRHEGQKIYL RQAAAQRWVGWRESVMGGGGGGCGGGGMEGVDGQLRAELANITDEAIYAELQANDIRI GRWVDEDPSLREVQRWIRSRSQC NEUTE1DRAFT_143649 MDTLTPLNTSDTPLPTPGTPTQPPLLNYILSFLLVGLAWGFTTP FLRRAAKTHNPPPHPLLERESVQRSVVKRSILGVWFAVTDLIKNWRYALPLGINLSGS LWFFLLVGGSELSLTVPIVNTTAFLFTVIGEWWVEGKVISRDTMLGMLLCLGGIALCV QSKNV NEUTE1DRAFT_97371 MAPTKPTAGKRRYVPHVFDMGSLLAALKSSDLDEHQSEAKGKVK SPKAKTLRVPASSRPLRTRGRKLQKPSEAFGLPSDSKPRSVAASRQLESFLKQQKGGP WKKTAGVCKVASKKSEGRKRRERERKQRRKAEKAAKSASA NEUTE1DRAFT_127113 MSPTSNKEDTDFLATVGVPTAAAPPPGGETNSETNSDHVHSDSS SNRGVKRKRQEEEEEEEAESLEDFLSKVCERVWRKVRKEEQQRRQQEELDREIEEQER YVEQLEREYEEEYLEDEYAEWQEWRERVRKAAEDEKAGKKKRREVKKRRVGCCKHVAR SLARRLDVFVGASDAGLAMAERLCILLEEGRPDQGRARRAAADESSVVPRNDGKVNQE EAKQTRVYGDTAAPVPWHSGGLLYGGF NEUTE1DRAFT_56261 MPKAAKASKKSGGGNPYDRKGGGGGGKATNTNIFKFDKDFGQHI LKNPGISDAIVDKAFLKPTDVVVEVGPGTGNITVRALEKAKKVIAIELDPRMGAEVTK RVQGTPLAKKLEVILGDVIKMPEMPPCDALISNTPYQISSPLIFKMLAMPNPPRVAVL MFQREFAKRLVARPGDALYSRLSVNVNFWATCKHIMKVGKQNFKPPPKVESDVVRIEP LVGSARPNIAFEEFDGLLRIAFNRKNKTLYAGFNQKEVLNMCERNYKVYCTLNNIPID ESLASAADLAAAGATNDAMDVEMDDDNEDNDNEENNDDDEAMEEDEDEDMPVFFKEQN DANAKDAKTPSKNPKSKVALIVKAKLNKVLTSTGLADKRARQCDQNDFLKLLLAFHEE GIHFS NEUTE1DRAFT_74950 MSIRIALENPPEFYTNLDIIRGQVVLTLSRPEQIGGIIVKLEGE AKSALGVPADGSGTGIAQRERTPTGDVIYENHKILYKVTQAFPDEKTNALPQPYVLAA GQHRFPFQFKFPFNNACGDPVAMAKLGGLSGAGGIASGSGLFGLGGIRVMDGTKQLMY SHVTKTLPPSFTGFPGQCEIRYYVKVTIQRPGFFKENWRMQTGLKFLPIEPPRPPKTN HEAYARRPFAFRPRSPRTNASSKKRASFFSGWTGSSNAGSSSAPTTTADGKLATEPEV PPSIEMSARLPHPAIITCNQPIPLRLIAKKLAGSDAEIFLTAIQIDLIGQTEVRCHDL INTETSRWVIVSRQGLSIPVSRLGDPAGTEVVLPNDIWCNQPLPNTVMPSFVTCNLSR EYQLEVKLGLSWGKPVASISHATTASSIFGRNKNEGTDLAQEIHLPLHFSSVEVYSGI APPAALIEAMKQGKNKRTQSQRPPSQPARPTTSSSSAGTGPSTAPQQQPPALPPRTNT AQPSTAAGTAAGVVVPPPADPLYPPQLAPGEMEPPYDDAPPSYDEAMAEIMSGPVIPD GMPRPAYSGVTNENEPSTLPAGGNEKS NEUTE1DRAFT_134243 MDPITTTKPITKPLNAEYSKPDHPFPRASTQPMETTSTSAPAPA PAAAASETKTDMNKPQSKRLLPLGKTTSDNEKSKENNNNNNNTEAYNAFWGKLIGIAP DDDKRKENDKNDNNNDKNNENDDENNTEAYNAFWGKLIGVVPPKDGGD NEUTE1DRAFT_74954 MATVVKKPPASSGRETPTGSTRPAARASTPSSAPTASSAARTRS IRSGTLVSARAAVSSRRESLLGNGTNNITKEPSSADLEREEAARAETLAIIEDLKERL SKAEASSESHKRQMDILQSRLDDATREQAKLEEKVHENEEQIEALKNEKREISRQMRE MESIYEAERSAMMKEKDEMANREEEMQTVIQRLKDSLAQRDEEGVRHARRPSIATTSS SQNLDNGSFAPPSSIHRSNSQNNSKLLLQKDKLIESLRLELAEAQIKLVESENQGGGR LREVERQLMEARMANARLMEDNESYQLLLQERTLKGDFGTSDFSYMGSTANQDALAAL EGRSTTTGPTSLADELSDAVDHEPIQEVDNEAQKRLEGELKTVKDQNKALTLYINKII ERLLSHQEFEHILDQSNDFKSPSSAAKPNTNKELPPAPAGGASGNLIQRPKSTATGGG PIGSTVAARVRPRPMSFMPQAGGGFGAPSPITTNPDTAPSIPIGLGRSVSGAGSRRGR PLSEQFGPGASVASAMYNKNTGVAPSSASQYSRDEAASPLSPTMRTSGGSFFNSNPNR SSTSTFPGTVRNSETSSDTQSIRSSITGYGREDMSTPSHSPPRSHHEKPATTFAGNKP RPLRLVQENAAAEEAARQQQAQQNKRTSWIGWAFGGGGGAGGRKDSVAVEGAMGGEVI RE NEUTE1DRAFT_74955 MAPKKSKSDAQSIGAKLALVIKSGKVVLGYRSTLKALRSGKAKL ILISANTPPLRKSELEYYSMMSKTAVHHYTGTNIELGTACGKLFRCSTMAILDAGDSD ILADQQQ NEUTE1DRAFT_115627 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKRATVD DFPLCIHMVSNEYEQLSSEALEAARICANKYLVKTAGKEGFHLRVRVHPYHVVRINKM LSCAGADRLQTGMRGAWGKPNGTVARVNIGQIIMSVRTRDSNKAFALEALRRSQYKFP GRQKIIISKNWGFTPLRREEYLEKKAAGKLLIDGAYVQFLGNRGSLERNMKRFPDAFA TEA NEUTE1DRAFT_143656 MAFHQPARQLQQQRNRLLSSDGSSAVFSPQPPGLGESQTWVLFT PGTDAGTTASYLSDSLHDDHQQVTPGRSQASDLGSFDTAARSDLNSQNHNSALPSDFL EESVAEEEDEEEEDPEFDSLDSHLPDFRTPLGQSPQNSYAMPVFPSHDGMGSFQFKPP GMSSDIQDRLYQFELLNPNRIQRRNDALEQIHMEYGGQEVEDNERNRRIEAWRLEQSK LLLERIRKETRRRQSQNSLRTANSATSVGDKAASVLDQLEQDDTASLASDDWHDHDDE YKAQSASTETLKKEATNEEPSEGIIGRTREAICNLIGVDDRLLAILLGEALPDEEELS TTPTASTTMNVDSQVKTSEDSTWQLRMLNRIAKELGMLIHQLSTSPHPGAFSTYTRVQ QMPLPYAGLPVIPETSAVTNNDSSSKDSLRTGSNVVSNMPQFQPTITQPVTTPTDSEQ GQASAAIPAPSELTSSKLTTSTGPAPSDVTSTTGGALPTTASSLPQQQQQQQQQQLTF TQDEWEQELDIGLIFRYLRSRFSPSSRSPSPTSFSGPTLTPPSSSIFATASTADLAAK AARVRQHHPLMSHHRRPHHAPATRSFKVSTPSGPSNIASPTNAAAAAAAAVVSVMRQR AGSCASQSTQRSATRTTGRGSVKRRNSGASTATGRVSHGSRHYWDLPKGPGSVKGGSV VAGAGGGMGSWGEV NEUTE1DRAFT_127120 MDANPFDAPGPLPSFYDDGQAMWNAAFPVLPRGPCNYTDPSLPR CGCRRFWSKLNAAPDSAELCQCSHHACFHDDFPAPSQLPPTPSLAFAGLPPTNDQDKP NSGMSSQRTRGLPSPMEEMHPSFAAPAVSSHFGVSRDFGLLNFYASSFNQRVMASQPL QIEPVHIQDPPRQSMEKQATIPDTAPVAAWVNGNQTQETEIYGETQPLSPGYLHMQSQ APSTTYSSQMRYMAPFSGKGLNTIKRPSAPRNEASVPEKGAEHVEEQAQANAQDVCGP MDLMAPPEYRRDMTPRPGSAHNQSFLAVKDDVKQLSVVVNLQEERIDRLENTSFSVIG HDECYDKHEHTDLRVTELESRVDEVEKILNDNASAAGASVSSAASNSTVRSSQKVTLS QFQALQAQISELQATSLPTYNKPWDLEVVFLPFPLKGIWVDARKIFDRRQSMGPDEDG IQSLNTLSRATPDPQDPGFSEWPGQCPDSGWLLPKAFANGRMIDQRLRSRGFIKTIQV RGPDARDVQLAIHKAFSNILQPSSHLIYHSEATPESPLNEFLGLRQDWVPLRKIHRDN RLRFLAPAEMATPALWGFTFLMSSVVMKAPKTIGTHRLYITQPEAYIQDYIMGNRALM PGWTWQRIRELSRVYPEDYDPEETSSDHTPEADAFEECWTRSDVLDELPFTDATITNR QNSHVHLSSERSETSSFYTASSSLKANGMRAESPRAILGKEHKASLTPFSRSRSFSPA GPSGVSSTGSRHKQLSSTRHAMKPYERPYDRRSSPLVHERSPFVPAEQYRRRRRQDLA KLDYPRQTTPQDDYDRNRDRVMSRSPSLAPPNRSDRKSTPGWYATPHSEHPSSEIGFH RGSSRGPSLLTDDHAQDSDQEMTGLSSGSDDDSNEDDEMTDCPIDSGATPRRSKSVQV PLAIPESSSSSSESGSDFFDADVDNLDQDDELDGAKTDGEGQGQVHGLARPLKSQDIP REGIETDDQNLGPFSQHSQSLFNDTQEDLDIDFDEGAQENGNYDDDGDGNQSDESEAP SEYPSKNISSVLSPETTKLPSPLIASGSGSRSGSQQQQQQGHQQQYPYPRALTNSQNL VLKIEDLIRPKGHLQPEPQSLPLPQHQSQASTEAGSQELGEIYMPVSSWTASTTTTVA MDVAATTATAMPTAMAPGKETEIQATTEIKAGTTPTTLAAEIGPSWVTWADTATGKWP GFEFKIHEDETRTE NEUTE1DRAFT_119411 MSTAELATSYAALILADDGVEITADKIQTIIKAAKIEDVEPIWA SLFAKALEGKDVKDLLSNVGSGGAAAAPAAAGAAAAGGAAEEAKAEEKVEEKEESDED MGFGLFD NEUTE1DRAFT_127122 METPAMPMPKDEREQAILDKLSAIRDQLLLLKRDRTKYIRTQDV IVHYDQVVEQVKELNEIRKGEKVTETRVDRVLESCLQLLSLFFMTIGRTQEAPAAYAL TSTIKRLLDHLTEADLYSAKDLNSIAHTLSQLDKIMTASNPSAHSPYIVELLANRLEL CHSSLDNLKKRVDELQDPLQSMHERLISILRSMSLANTKAKFSASEVQRLQAQVKEID ESRVDGNFVGPDGQVLRGSERVSRLVDVCLRWSDIVLERKGVIPEAFKARHEQLVSIR NELEKLSITQAWSLRETDLYDYQRKLDKIDESRVDGNWLDDEGKPAELYVQRTLLYLI RRSYGYIYYLMISSEPVSEALLPIYNQLQTLKRCLIEVKDSGGVSSVRELYPYSMKLN SIDNMRVDGKFMVGGDIPEGQGSVSALLAECYDLSYELKVMAEEMEEKEKDSSS NEUTE1DRAFT_36379 MAQVYREARYSRDSSPSGDEGYRRSSSRRYKHAPSHSHERVYER EIDVIDDDRQGARLDGRFFGRPTGGELVLDRRDDRLYPDRPRSTAGQGSTYVERRYRE TEDWDGDNIPDRERTVVEKRLVTRDDDDYHYRHDSSRTRDNDGWERRSYYDAERDTEV RVERKVERREDGSEVILERRIREHIDHDHGADVEIYRKETEYYEPAPQPPIVIRQRPA EQRIIVQEAAPPTVVLRERRDDFAPYVVEETKEEYIVEHIDDHHPHSHSHSHSHSRHR DGHHHSHSRSHHRHEDDEYYTRVSRRDERRSRSRSSSASPHRKRHIAEGALAGAGVSA LIASRRDKESGEVDKHRGRKIVAGAALGALGTEAVRRAHSAYKERHDDSDDEHRHRSR SRSNSHSRIKTGLGIAAVALAAAGAAKYYESKKVEKEEAERGRPARRYSESDYSRSPS RKRSKSRAGSVAKAALGTAAAVGVVQHYRHKRSKSRHGSRSRSSSSDRSGHHSKLKTG AEVVAAGVAGAAAKKMYDKHKEKKERSRSRHAPDDSRDREYYDDDDDLDHKYARRERI YRSRSRSRSQVRSALPSSSSNKNNHAQFERTVADDPEFLGLVEYGTNPVPVQNEERQQ QSHSKRKAVLATAGAAAVTAAAATAAAKHERHKRDKEPPPTPQLLSAVEDYDDNGIAM GYDSAAEEQSARKEERRRERSTRRRQRERERERDEERGGALLVPRAREEVEEEVGYYS DSEDSEAERMRRRRAKSRDSRRERERKESRSPEKKPARSKSRLGRLAAGAAAAGAAAI GIKKLGENNIDKKEKEKEREKEREREKEREREKEREREKEREREKEREREKRRDREIE REEREIGLEERRSSRDSDRERMERQRERERERRRYEEQTAPSDPYYKQRQPSPPHASG GYPPVPPTPPAIASAAASVPTATPPQLQPHRLSNGFTQLPNINFTDVNNIQTPYPMGP ETMPMPVRGPAPVRQQLIQQPQQRYEPYNPREYVANYPPPPDPEVPSVLVPGKGASAT AAQPPPASAPHGVPPPQEVPPALRAPQGVPASLMVGAPSHLSQSQSQSVIGRNRLEDV SIRSSRDMPRSPTTGLGDERSRREGREERRRHRSMHMSRSRPRRSRGAGVVDVGADSG SGSGSGMIREKDGLGLTTTLHPPTPTDSSFSSSSFSEPESPHTPSSTPKEKSVTFIPL SPKSSQTLQRHHEEQMAKETAKRITSGSGVDPDKDTSDISDFEDRLDRELNALVPAKY RSSSTPVPAPESSSSNALSRRDRPPSARHEDNSNSTSDSDATLEILPDRFTPDGQPLD SRDPRNGKLYSSRRGEFIYHDHHGNGLKQIAGTGQWGVSGTDAQAVDRIVRKVEGVLE GKGSWTGLVMGLLSGRLMDGSEVGVGRGREVESTRPKRRSRRRMGMEMAKLGV NEUTE1DRAFT_143661 MSVEIDPLELGFRRPFTVEVSQILRIRNPNTSPVAFKVKTTAPK QYCVRPNSGRIEPGHDVEVSVLLQAMKQEPPSDARCRDKFLVQSVAITGDKEFTNVAQ IWDGVDKSQVQEKKIRVLWLPPFGEETTSPVAATPIRPSTSSRAEETPAPFTSPSETR SSTVDTRDIKQEPDVADNFQSTVAAVASTAQHTASETYEQLKEQLAKAQATIASLQND AASGLRQRKAAVADAVGEQASNVQATAQDLAQSARQGTEGVPVQIAAVLCLVSFLLAY IFF NEUTE1DRAFT_143662 MGQTDLEVLIDMGFERARAELAVKKSGGLQGALNWLEESQDKSL EELQASAASAATAKADDDEEDDGSPIPEGAKSLVCNDCGKRFKNGDLAAFHASKTQHT DFSESTEEIAPLTEEEKKQRLEELRQKLAEKRERQALVDKEEQKRNEQIKRKATKESQ DMKEELARKEQMKEAARKRQEKLDDIEAKKRIKAKIEADKAERKRKEEEAKALREGRA PAAPSTAPAAAAAAPAASKPAASHNEARLRLQTAKGNVMKTLAADATLFELAQQLESE NGVPVASFSTTFPRKTWQAGVDFGQTLKEAGLVPSAVLIVN NEUTE1DRAFT_56293 MATSTTTTAGKKIEWLVVVPDFEGVGEKRLEVRPDHFAGLGKNV DNGCFQMGGAVLSEPPTSDDPKTFKFAGSTIVLVAESREEVIQILKDDVYAQKGVWDV DNAQMWPFKCAFRFPVPGQQYQQKQ NEUTE1DRAFT_134255 MIPTVWPAEAEEIICYYRKMREERNSPLPNVKGPDDVYMGMEEE INNRRILNRWKKRRALDDFRKFVMDYFGIVESEEEGQGLEEAEDEKDLEEYEELKNEK DEEEDDEDEEEEWGFEDEDLEDDLEEDIEDLGEDEEEDEEEDEEEDDWDFVSNSEDFL FYDDLEHDDDYISDNVEEISDYELHIHNVILDLDERIHVEPLPLPWTTILSMAKLHAS LLVEIRDAYHARQQDCFYQRQRQRQGHQGSNAEPEPGAAEIIRRASIATASNAETMRL VWEYRARLRAELQEACRAREVRQSRQRQQRQQ NEUTE1DRAFT_37485 MAPSQMSYAPTLGKLLKSLNTQPLEASIEALIYLLKRRQVKGDD VANATAHMLLQVVANSKWQNVDQLLAKVSNTGHRLAQAAPTEQVIGNVVRRVMGLIRD EASEDRNADEMGDSVSDLSQLPTTPTISTRPGPTPLRAATLPMSKSMFNLLCVSETVQ SPVTGASTPMSQGASANMHALRSEVIDGIEEIMDEISQADDQIAGFADIQIHPGDYVL AYLPSPTVERFLVKAAAKRRFTVFLASAERQKPGEVPHAALRKKLNGLGVNTISLASN GLMAYMPRVNKVVISAKAVYANGGISTESGCSTAARAAQEYSKPVIVLSGVYKFCPVD PSDDGTEFEQGDSSTYVDYADGEAVDALEVENIVGEYLPPQYVDVYLTNLGPQTRDHL ATIMADHYKLEDMGLSLHLP NEUTE1DRAFT_127128 MGATDKIKEKKDKSEKKDKKEKKMSEEAGVKKEKKDKKEKKEKT EKLAKAVEAHLEKEGSVDPEDVVKTAEELVPFALPLADDKTHKKIYKLIKKGAKLKSI HRGVKECEKAIKKCPLRTAASPPADAPGLVIIAGDISPMDVIMHFPILCEEHGVPYLY IRSRADLGVAACTKRATSVVMLKPEGKKSSGGKEEAGDDKKVDPAEYLEAYKELVKTA QKQWNVQVEPWVKGTHPLQIEAKVKGTA NEUTE1DRAFT_115634 MSLHYLPPVKPSAIALGTIFNHATELAIMTPLFGQTYQRAKISN SKEEFIKSREATSAVMAWGSSLVGSALQSYGIGALINATGTLSYRGAAYLGGLIFAAT SAPGFISEMFVEKRAFETVGINVLAKLFETVGLSVFLTWWGTRTNPFE NEUTE1DRAFT_74992 MNSLNIISARVSPTSSPTPSRSNSISALSLAHHLSSKEENDHHG SRSNDELLGLANKNNTLSEEAEDKETAAQGGYDGQHYDADEKTPLLAQDQPKSPTTWA SLPKRFAFAIVESIRWLLMTIAAPGLYLVALFYDAEGNFAPTKQLRKLFGRYGGNAKE MAMDYHEAAALSDANLDGAGSGGSIRKSRKSRLRSSGSSSSGLSSESESEVESRTGSS SSGRRLKSKSSQDSEEIAPARRSIRIKLHSDADSLRQRKQRKDRSSASGRSTTNSDGN GGSGQQNLSVPGNDISAQLKSPTSPVGALTKYPKTPAPPRPLIPKRQPSYKISAVDPA NLKHQKTLILDLDETLIHSMSKGGRMSSGHMVEVRLNTTYVGVGGQQTIGPQHPILYY VHKRPHCDEFLRRVSKWYNLVVFTASVQEYADPVIDWLESDRKYFSARYYRQHCTFRH GAFIKDLSSVEPDLSKVMILDNSPLSYMFHQDNAIPIQGWISDPTDLDLMYLIPFLEG LQYVSDVRALLALRDGEDGQHTA NEUTE1DRAFT_115636 MRPTQTMLGGGGGAPIGKFNHYLGGWGNFGGMKQRGIISYGISP NRQNPLAGTAHDAVFNTFRRVSSQFLYWAPSLVAGYYIMNWAVERNHYLNSKAGRAEF AGQEE NEUTE1DRAFT_119422 MAARGLDMITKFAIPATVGVALLQNSIYDVRGGSRAVIFDRVAG VKDTVVNEGTHFLIPWLQKAIIFDVRTKPRNIPTTTGSKDLQMVSLTLRVLHRPEVQA LPKIYQNLGPDYDERVLPSIGNEVLKSIVAQFDAAELITQREAVSQRIRADLVKRAAE FNIALEDVSITHMTFGKEFTKAVEQKQIAQQDAERARFIVERAEQERQANVIRAEGEA ESAETISKSIAKAGDGLIQIRKIEASREIAQVLAANPNVAYLPGGGKGTNLLMNVGRA NEUTE1DRAFT_127133 MDTVLQNLGSIPCPHGDRCTESGCLFQHSWNKKPAAPASAKHDG PDADDNPRKRRKLSTEPTQSTTPTTAESSQPNPEPVTAKKPVSPPPLGRKPTVLSVQS SPSEAPATPATKPAAVSSHANPTPEKSAEKKVPIRKAQASRKVETLNPRMLKGVAPAT FEFRFKALTMLHEQFVRLNKEMEKAAGKDAESKKLILTPQELIWLSLDLEEKIAMDKP SIYNNVIKNRIMGYKKMTPKQWKAERLAEIKKEEERKAAATQASSKKQALLGPPKEIN TGLTPQEEIAFLPHVLTSITELAQHGYVPKPPSEEEIKKAREGVEAAMGWETCDRCTK RFQVFPGRREEDGALTSGGPCTYHWGRLIYPERNPTSTVQQERTYRCCKQLAGDSTGC TSCSTHVFTVKSPARLATIVPFMETPENPSVPKDRAVCFDCEMCYTVNGLELVRLTAV NWPDGKELLDILIRPMGEILDLNSRFSGVWPEDLINAEPWKPVAPLNPVIDTDSSSKP PTTTTTTTTTTTTNNPPEPDQRKKMQIVPSLAVARDLLFSFISPATPLIGHGLENDLN AMRICHPTLIDTVLLFPHKKALPYRHGLKMLMEWNLNKAIQVEPDADSADGTTGQGGK LLGHDSAEDARAAGDLVRFKIQHTWARMKREGWTLKDGEFVPPKDSKGKKSGGEKPTE VRKTRDGTEEGELVEV NEUTE1DRAFT_143671 MDIRVLRPSDIPLIQHANIENLPENYFLKYYLYHALSWPQLSYV AVDVSRPAKSAYDYPKIVGYVLAKMEEEPTDGVQHGHITSLSVMRTHRRLGIAEKLMR QSQQAMVETFGAHYVSLHVRVSNAAAIHLYRDTLGFKTEKTEAKYYADGEDAFCMKLD LAPIREALLAEQLDEKDEKKPQIEEGKQGSSTEGVDEGEAVGDVGRDPEADNNKKEDK TVKVKVGRGLGVGELVERDESKH NEUTE1DRAFT_56321 MSQPLKGKLAIVSGSSRGIGAAIAENLASKGCNLILNYTTPSSA SLASELSDRLNKTYSVSSVPVQADIGTPEGPQAIIAAAKSAFSKADGSLQIDIIINNA GIARNDLIPNVKVDDFTETYRVNVLGPLLLVQAAQPYLPKDRSGRIVNISSVSSSTGF IGQSVYGGSKAAIEAMTRTWSRELAENCTVNAVNPGPVEGPMYASNSEEFLEGIEGWI KHTPLMKAREDLHGKEAVEEAKQWHGRLAKTGEIAGVVGMLCGEEAGWCTGQVVCANG GMLMLH NEUTE1DRAFT_127136 MSGPPPVRGGLSLYANLLDPSGTSDSTSASNDAVANKQDDAPAK KAVNPAFAFQPIRRPQVKQPNKPKPTLPKAPPSISIAAVAATVKTSELENNGTTEVTT TSTANAAAPQQRSTLADWVATEDDEYLYSGSNNRRERGGRRKKKKKNDDRQVETNWDE LYDPARPTNVEEYLRSDERIREIRDWKAVLYAHRRKRRDSFDSRRSDEDEEEEDRRVM NNQFAPPASFSFAPPTMSPPRATTTTTSAHVPAVSIPVDESADEAYARRLALSGMAPP PSQPQPPAAEPSVPDTATISRAPVRYEAPPQPPSAPDGSGNDAMDLDSDDEDVNYDAI PVPLGTGSASPAPEDADAPKSKLPGQAGFAARLMSKYGWTAGSGLGASESGITTALQV KVEKRKKRSDAEGGGWAEPGGRGKIIAGKPAAGSSSKGEEQDGGKFGKMSEVIVLDHM LDGMSDEELRQEVEGGDLYEEIGTECGEKYGRVMRLFVETEGRRVFIRFTDGVSALRA VNALEGRIFNGNAIVPRFYDLEKFEAGLQSQPKPQPQPQAQENQPQAQANQANQAQQG QQENQAQQGKQPQAQENQEKEKATQAY NEUTE1DRAFT_93525 MAPLAAFTMAWVLFAYTRHSIQAAKLNAKLAREQNQQQQHHQQH HQQDGVYRDRRHSDK NEUTE1DRAFT_143675 MSTIDTQHHISHSGPDPASSAAEYGVRSLPGARPPATFAPANSV TEELEETAAAGQDITEEMIDSASADPMQEDPNRITGLERWAMNASDSQFNALAGAVGG FMSGVVTCPLDVIKTKLQAQGAGQHVGQPRMYNGLVGTAKVIWRHEGIRGMYRGLGPI IMGYLPTWAVWFTVYNKSKIWLRQYTDKPIAINFGASIIAGASSTIATNPIWVIKTRL MSQSAFQDARPSMHSHWHYKSTFDAARKMYTTEGLLSFYSGLTPALLGLSHVAVQFPT YEFLKTKFTGQGMGGAAGDQNAKPSFMGTFAASVLSKIIASSATYPHEVIRTRLQTQR RPIPGQEHLQGLGVVSKNGAESNQLATSGPKYRGVVSTFKIMLKEEGWRAFYAGMGTN MMRAVPAATVTMLTYEYVMNNLKQARKHGREKLAKVAEATAVKAESVERPEISSSTSS NEUTE1DRAFT_115642 MSAPSLVQAVAKRGWLNKMLKPVSNWYINAAGYRQMGLRADDLI SEENETVLAALQRLSPKESYDRIYRIRRATQLSLTHKLLPKNEWTTQEEDVPYLRPLI DQIEAEAAEKDALDTLAVVKN NEUTE1DRAFT_75018 MLLPKGGVSWKAARAQLPPARALWAILTKTRFLLLVAVTGVVLL LWRGISTGASEMQSFYCWGPSKPPMEMTLNEQQAWNAHLHTPVIFNHHAPLEINSSTI SHVDLNPVKSTTKAVANEERILILTPLKDASRYLSKYFELIAELTYPHHLIDLAFLVS DSTDDTLAVLASELDRIQKRPDQIPFRSAMVVEKDFDFKLSQNVEERHSFAAQGPRRK AMGRARNYLLSAALKPEHSWVYWRDVDIVDSPKKILEDFIAHDKDILVPNIWFHRYQN GRDIEGRFDYNSWVESDKGRRLASSLDKDVVLAEGYKEYDTGRTYMARMGDWRDDKDV EIELDGIGGVNILVKADVHRSGINFPCYAFENQAETEGFAKMAKRAGYQVIGLPNYVV WHIDTEEKGGNA NEUTE1DRAFT_127140 MYLRFHVYNHITSHDLACTHISVPERAQADTSMAIWAELSFNRR TCTYVTESQVVGRRTLTTSPSCLQLTTLTVKMATRRSARLSALAVAQLNDAAAPILPP PAPAPKSRKRKASVEDEGAPTTEEPQLPSTPQKRKVSKKAAPPPATPTPAAAALLSSL AVQKPKPAAISRLADPNKTNAPLLSPQTSRVVSSSPIKPRDIQDSLSPSKPKAPGVAT KDSESTTTENILEKACAHLIAIDPRMKPLIDKHPCRVFSPEGLAEQIDPFESLVSSII SQQVSGAAAKSIKAKFVALFEEEDDPPSDQKEDKEKKTQENDPTPTKRRFPTPAHVLA KDLPTLRTAGLSQRKAEYIHGLAAKFASGELSASLLASAPYEELVAKLVAVRGLGLWT VEMFACFALKRMDVFSLGDLGVQRGMAAFVGRDVKKLKNGGNGNGKDKKWKYMSEAEM REISERFRPYRSLFMWYMWRVEETDVSSME NEUTE1DRAFT_97400 MAARKWDTACIIAAACVRSQGRLKPGWGADRIIILVITVSRRNV MLIRSVAEILRILLRPRLANLNFVHTSTRNHFAPAGNSIQYTPHHVRWGSF NEUTE1DRAFT_115643 MLVKYLAPAIVAIGAASAQTATSTCTVSGGTTTINSQADATGLA KCKTIKGSVVVTKEVAGDFQLSGPQIITGDLKIENNPNINSVGSDTLEQIGGEFRLVE TTQLNSVNMLKLNSVSKLTWQSIGNVPDMGMTQLSKVDEITISDSHLRNIDAINVTSI KSMNLDNNQFITKYEPAIKEITGDLTIQANGLDMEVGFPNLIWAMNMAISDVSKISFP SLQVVNGSARFDNNKFDSFSFPNLTETKTGDISFVGNENMQNLTFPKLTQVGGGLLVA NNTELEEINGFPALQTVVGAIKLRGNFTEVKFPKLQSVRGAFDLSSTNDVTDDCKVLA KMAPKTQGGNGEIEGTFDCQSNNEEANQDTSGKTGSGQGVTGGSSGSGSDKKDSGAAG LSVNAGLFLAAAGVLAQLLL NEUTE1DRAFT_127142 MPCPFDLQAAIQGADNDVAVIIPSKPQALTVTYADLKRETASFQ KKLADIGITKGSPVSIATVNSYEFIVSFLAASWQRGIAAPLNPAYKQDEFEFYIEDVK SAIVIVPKGAYAAGAPAVKAAQKFNAAIAESYWDAAKNEVALDVKELGQLTGKGAQPV LKPDADDIALVLHTSGTTSRPKVVPLTHRNLTRTMKNIRNTYQLTSADRTMLVMPLFH VHGLLCGLLAPLLTGGSMIVPAKFSATDFWPDFTQFQANWYTAVPTIHQILLKHPVPN PLPKIRFIRSCSSPLSPTVFHNLEKTFQAPVLEAYAMTEAAHQMTSNPLPSVGKRKPG TVGLGQGVEVVILDDKDNILPDGQEGEISIRGENVTKGYLNNPEANASSFTRRGGYFR TGDQGKKDEDGYIVITGRIKELINKGGEKISPIELDNVLTRHPAVSEAVSFAIPDEMY GQEVAVAIVLKPGQKASAEEFKKWVGSKLAKFKVPKKIYFTDVMPKTATGKIQRRIVA EEMLKKESRTIHNYMSECGTILVDEDMVTGRSTHMVHRSRKVTVEDVEQNDDRGPCHS VTTQAAS NEUTE1DRAFT_143681 MAPSPSRLTGAFTTLKDTILALIDPWIFLSLSASYLPTTILSLL RQRRFLALLGGPLLSSEFQSVWFGHFWSRAGPQVRSNAEANVVPLLEGRVSKGQVVPK SEAHAPGVGGVVLEIGPGSGMWVSLFSDDKYLKDEEKEEQGGEDDAEEEGLSASWATI TPSSEQQQQQQQQQQQKQATKKVVGSRQRITQVYGIEPNYGIHPLLQHNIAQAGLSQT YTILPFGIEDIERYAPQIQPESLDCIVSILCLCSIPEPQKNIAILYRYLKPGGRWFVY EHVKCCSSKPGGWFMNVYQAFVNLFWPICLGGCQLRRDTGRYLKEAGPWTDVDLAQPP KEQWHHTVPHIYGILTK NEUTE1DRAFT_119436 MPVELRKRKAPPPPPAPVKKRATKATKAAAKAKEQVEDVASKAA ETASKAAEVATETATAAAQTAAEAVANGAAKVATALAGNPKVGDLIDLDNFGGEVETN DGTKTSLKKLVEESKAGVVLFTYPKASTPGCTRQVCLFRDSYTALSSATGLSIYGLSS DSPKANTTFKEKQKLPYPLLCDPSYALIGAIGLKKAPKGTTRGVFVVDKQGKVLAAEA GGPEATVKVVERVVSEMGEEGKTEKEEEEEEAEEGEKKEGEEEEKAEKDEPKEEGEEK KEDKAEAKESEEKAEAKESEEKKDEQDETEAAEKKDEKKDEKDETEAAEKKDEKKDEK DKTKAEEKKEEQDKDSETKDDDEKKAEKKDAATDEEKKEDTTNGEKKDEKKTEEKKSD DDKAEDKKEDKEAEEEKKDEKKDEKIEEKKEKEKEKDDTEMKDA NEUTE1DRAFT_56352 MASKVVTGVVKTTAGGVVPVSQKYTVQSVGVWERIRRAFAIDPN RSNGVPLVPYNRNPSPGSLDPLAYDDPVTIPAGDIADNPYWKRDARRNYPRLSVVGQA EAVALLSVGSASHPRVELVGENGSKQLVAAQEAGKTGGLAKYFEGTGVEAGKLVLAET GGLPPLPSGEKLGEGGKWDVYKYQLAEEPSYSEAYPCRSFS NEUTE1DRAFT_143685 MSASHTRQPPATVDDPFDFENSLLNLEEDFYQQGYAQGVSDGAK AGRTEGRQLGLEKGFQKFVQSGRLHGRAIVWANRLPSKQDVRRHHLLLQQQQSPRAGR QQEQPPHSLSQSLSQEEQSPSQEEQSQSQSQSQSQSERQKIEDTLENLTLGPNINKPE RKEKKEELRLLPNHARLEKHITTLFALVETDTLAKENTDEAVNDFDDRLKRAQGKAKI VERMVGEVVSSERKKANPNPPAAAAAAAAAVVGGPGESSGTGAGAGTGTPIAGVDLGR IGNEV NEUTE1DRAFT_127148 MASKRYAFVPMDDEPAAPSKSDRKDRDRDSKRDRKRDRSRSPRR HKSRRPDDSDSTRRRSRSRSPRKDDSRSDSRRDREDPKMSDLRLKSRYDYLKKREAEK LALLRKQVAEETAELRSGVRLSEKEKAEFARNREILRLAEERARIDDYQDGYRLPDQY GTDTKKKEEALYQRHVERDEYGNEKMVTEYDEWEREQTVKAKAQIASRGEREDGGEYD FLLDEDAINFVRDAAAKFIQPTDGLTPEQRILKEKIEAAERAAKSIQEVRKSLPVYAY RDAFLDAIKDPVGTTGQSTLAVVPCSRAAANQRMGRAGRVRPGKCFRLYTKFAYLSEM DESPTPEIQRTSLSSVVLQLKALGIEDLLSFDFLDPPPTELLIKSLNMLYALGALNSA GQLTRVGRQMGEFPAEPMLAKALIAATAEGCVDEMLTIVSMLGEVATLFFRPKDKKVH ADSARARFTVKDGGDHLTLLNVYNQWVDADYSPIWAKENFLTQRSLTRARDVRDQLAK LCDRVLEGSTSTCGGVSNMQPILRALTAAFFLNAARLNRGGDGYRTLKNNMTVYVHPS SVVKGMDPPPKVIIYHELVVTTKEFVRSVIPIDPKWLTEFGGHYYDAKDVESMTVKKL PKERKY NEUTE1DRAFT_127149 MWFKKGALLALLTLAQFALGVEDYYKVLGLDKQASDKQIKSAYR QLSKKYHPDKNPGDSTAHDKFVEVSEAYEALIDPESRKIYDQYGYDGLKQRKQGGGQH HDPFDLFSRFFGGGGHFGNQPGQRRGPNVELKVGIPLVDFYNGHTTEFLWEKQQICEE CEGTGAADKHVDTCDACGGHGIRIVRHQLAPGMIQQMQMQCDKCGGKGKSIKHKCPVC QGTRVIRKLATVEVKVERGMAEGSRIVYENEADESPDHVAGDLIVTVVEKEPSPNPEE NNPNHLDGIYFRRKGDDLFWKEIISLREAWMGDWTRNVTHLDGHVVRLGRKQGEVVQP GQVDTIPGEGMPKWHEHGDSVYHQTEYGNLYVEYAVVLPDQMESDMEKEFRALFEKWR GKIGVDLHKDSGRPDQPVMHDHDHDHEGHEHEEL NEUTE1DRAFT_56362 MAASAVTTATTDSSSTQRKQQQNGKLDTSSSSSSSASAGAGDQN DALEKDFFWTYTEEPHRTRRMAIIKAHPEVTKLCGPEPLTKWVVLGVVSLQFLLAHLL RDTSFFSWKFWLTAYVFGATCNQNLFLAIHEISHNLAFRSPTANRLFAIVANLPIAVP YSASFRPYHLTHHKSLGVDGLDTDLPTAFEALFLDSILGKAFFCTFQIFFYALRPMAI YRVPFTWVHWLNLTIQLFFDYAIVFLLPDSLFTANSLLYFLLSSFLAGSLHPTAGHFI AEHYVYEKITPEARNPDNKIPVPETFSYYGPLNWVTYNVGLHNEHHDFPAIPWTRLPK LYEIASEFYEGLPQHRSWTHVLWQFIFDEEIGMRCRVKRKVGGRVVGGGSVSAKQQQQ QHQAKEAGWGDDEIEA NEUTE1DRAFT_127151 MSFFYRSFANSLLPKQLLRFILARLDLLDSQALDLDNLNFAIGR NTVLEFRDVGLILSKLEALTNLPPTFKIQKAKVLLLRITIPVDIYNSPIIVEVEGVDT RIRVASKQEQDEQTARNKKGTHKDGDEVVPTAADLAQSFLQTEIPTDEQRRLEKALAE EAQEALSESMSDSETDDDGSFATFGTGQGLSLPTFLTNFLQGILDRLQIRIHKVTFQL DMQVPVEPGLSTTELVTFQLALDEVIAEGVTAPGQQKDGSPAIVPREGKRHILLDNLR AFLITEANVFSSLQPTQSAQSLVKPQGSAPHDVPSTLRDMLGSMQSSVGGLDMSISPD QMEALDMLAQSQYEVRDSEDALNIPYESDAQHPEAEENVAGSSPLSTPRVSMYQEHDT PMLQDHANSAIMQHQPELWSSYERGIRSEPSLHPPTSFQPQTTPSGAVSPAPSEPSSS ASSVRSDDDTPSADTEDLAQSHLYSHEEAESMYMSAFSDAHSTLMPGAYMPGGWGAES EGGESASERDLSTTHPVAGEPQSSTTVVSELASPTPIPEQDPTAQGYEPQHEDTSTPR GITRMVKEIVSLDSISVYVPSTRKQVLAPVTNLAKSNPNLPGAFSVHQSFHSSTTDQS TLLTPENLKEDRQDEAIEIVLKPLTLRFDSSVGFLLAMVVTRLLEAFKGSSDEATEVK PSSTESILPDIKVCLEQITMQFLEELTGVADSAKRIYETQKPDFGSDVLLEASLTNLN AFTHQSGSQTEVNVSIEKFAFNYADEAIVSFTGEADLFQSTATVDMLSVGKDIAVKAT ITPDVTRVDVKTLPLYLKLDLQRLDETFSWFGGLSGFLNMGASEDSVAKAVQIPAKPP QKTRGVRFETPIDPMEKRSGSKIDVRINGVHVDVIGKDCRAVLNTSALKLVHREEAIA AVLKTIRLSGPYVKNSHARAPVLLELDSTRLDYILTPRTRDLERLLELITPSKVKFDE DEDEIMVDTLLRQRRKGGVLGLEVKNFKVRAGNLALLDCIPSLVEDLAKLGTVAKYLP EDDRPGLLTLGQVTNVDCEVDVGGRFGVVNARLTNLELAQITVPSLVAVAVGGITVNR NENSPIEEELVSTSTTHAPGSSNAPVLMMRMIDDIEPVLKIKLFGLNVDYRVPTIMDV LGLIQEDTTPEEFEANLTASVANLGGQATAALRRQDTPESPLVEKEFKPIKLDVAFRD CVVGLNPLGQDSKLAIVLADSHLEAIPGKDSTLDATATLKKACILLIDDVKTLQDVQI NARGRAPAMSTPQALELCSKGFVSICEISSAKAVVKVGKDENGESHIEVSVRDDLLVL ETCADSTQTLISLANALTPPTPPSKEIKYRTSVLPVEDLFASITPDAFGRAEGEYDFD NDFAGAQGIECGNEDDDDYYGIGSTEHLEVQSEGYGVAEELFDATNSSLLGDIEVENT NDGMLVSTANLDVPPASSQSESDLDIQENYFSSEPVKNTTLRWNSRKNLYDQSSDAKV FKSPLVICVRDVHVIWNLYDGYDWVRTREIITKAVQDVEAKAYERKARADRHTYEDEG DEESVVDDCLFNSIYIAVGPNGDPSNLRRAINQELQYQDTTTETESVATTAFTTSTVR ASGQRQSRPRGKTLKLSRSKNHKITFELKGVNIDVVTFPPGNETINTIDVRIHDLDVF DHIRTSTWKKFAMYDIDAGERELSKHMVHLEVLNVKPVPDLPATELVVKVNILPLRLH VDQDALDFITRFFEFKDETQPIHQSSSDVPFIQRCEVGDVPVRLDFKPKRVDYAGLRS GHTTEFMNFVILEDSRLVLRHVILYGVSGFDKLGKKLNDIWTADVKSTQLPGVLAGVA PVRSLVNAGSGFKDLIEIPIREYKKDGRIFRSIGKGATAFAKTTGTEVVKLGAKLAIG TQYALQGAEGMLAKNPPNYNHAGPSSSSAGVPAGVDYEVWDEEDFGDHHTPKAISLYA DQPLGIMQGMRGAYASLSRDIAIARDAIIAVPTEVMESSSAQGAAKAVLMQAPTILFR PAIGVSKAIGQTLLGATNALDPNHRKRIEAKYKKH NEUTE1DRAFT_36333 MDSTKRPHVGIVGAGMAGLRSAGYLLELGFQVTILEARDRLGGR IYQEKLPNGHLVDMGANWIHGTKENSIFQLAKETGTITTNWDGDAAVFDEHGDILPAK ISERYSTIMWNIIAEAFQYSDKHSAEIDSNRSLLDFFKEKVAEQIPETEEDYARKRKI VLQMAELWGAFVGSPVEKQSLKFFWLEECLDGAENLFCSGTYRKIMEKIVAPVVDGGA DIKLQTRVAEIFGKSSTGSNTVKVKTTDNQYYEFDELVLTTPLGWLKQNLQAFHPPLP PRLTTAIQSIGYGCLEKVYISFPKAFWLEPDASNNNNNDNNNKNHRTVKGFCQWLSPS YASATNPSRWTNEIVELGSIDPSVAHPTLLFYIYGAESEYVTSKVRSLSSSSSSSDSG ADKNGCSPRDQIEAAAQQFLYDFFKPYYSLLPSYSPTDPNCQPSGCLATDWLHDDLAG NGSYCNFQVGLQEGDKDILTMRHGVPEEGVWMAGEHTATFVALGTVTGAYWSGEDVAR RIAEGYGRGVAAGAGAGEREAAV NEUTE1DRAFT_56368 MANVLNVPDKTFTREEVKKHNTEDSTWFIIDSVVYDVSEFLDAH PGGEAVLRQVAGQDATSAFYNLHRHEVLIKYNDLAIGSIKGEKQSIITPRPGDLSPVP YAEPLWLTPQFKSPYFNDSHRSLQKAIRKFTDEVIAPEALEKERTGELISQDLIDKMS KAGILHMRMGPGKHLHGVNLLDGAVKGEEFDYFHDMIMAQEQVRVACRGFQDGNMAGM AIGLTCILNYGDKNPALKKRITEEVFAGKKKICLAITEAFAGSDVAGLRTTATKTPDG KHYIVNGTKKWITNGVFCDYFVTGVKTDKGLSVLLIERGEGVETKPIKTSYSPAAGTT YITFDNVKVPVENLLGEENKGIYVILSNFNHERWMMACATIRYMRLVVEESLKWSHQR LVFGKRLIDQPVIRQKLAKMIALCESHQSWLETITYQMNHMPYSEQAKHLGGPIALLK MSCTRAAHEIADESVQIWGGRGLTQTGMGRVIENFNRGYKFDAILGGAEEVLGDLGVR QAMKFMPKAVL NEUTE1DRAFT_115647 MCVSQKMETGACSRLGTRFRTKSMISFSNNARSAQSAVIRVSGY LQPTQRRSGMSARECDLKEQV NEUTE1DRAFT_56373 MTVWDQKGRAAILAAVEAACDTVGKDLDAEFREKDALSAVEREA LMAKVDQLEKMNQALMRNLEELIKKVNPVSSLAVSKGTTSSDQETPASSDTLLEVPQQ STGATSRSILAEISPNTVTGTTRATVEDAGAETHKDKELAALKKHCKLLQAKYDAKKD IARRIVDQRNQWLKYAEHLERKLEELTGTKHQEEGNPHRLALSATVPDQLPTLPREND TAHEVAIKEEPSSDGPVVISEKRVRKRRNSDTSGEARPNPRRTKRESSDPVITSVVPA FIPQESIDLNETTYVMPTPKKRRHRDPPRPPANDAAEEPTTGQPAFAPSKGRANATSG TPKPVARSKSRLGHAIAEVAEDSSEPPDLEKEQGKDGPSDSATPKPGRLQSLLNTNTP LQREQPLQTPVKVPAVGVGSHSAPNLRRAREMAKSTPLRERAVSELRLEDFKVNPKAN NGYTYAFDEVVRGRAERAKLEGCTDPNCCGRTARILAESELNSGGSAHLLKSENIALM EDYLGPECYRLGTMTMDEKREVWLKAKTIAVANSFGKHRHQFERRRSPPGYWDPDFPG TQEDQERREEANRRERETIEKRWREAMRGNGKWLFRDE NEUTE1DRAFT_119446 MEKGQQRSGRPQRTKILKAPPRVPHPTPRDPDIRSPESHSLLPP RNPASEADSASPNPPHWAPAHSDSLRWPHAGEQLPRRHISQAGMMNSTGHLPAGQPMQ GNADMGIPRMNGGPGGQGHLGQGHGPRRGRPHHNHYNPGAHHHHHHGQYHQPHVAHQP MYAANYMPQPYGVPPGYYAPPAYQNAATMNPYGMPQYAPPAATYARSPPAMQHYMPMV AQNPYARPAPPQSPIVSTPYQAPPMPPPALVPVPAPHTPSSTHSHVVPTPMTPPAQQM PPIVPPQAQIQSQPQVQVQPEPEPEIQQKVVVEEPVAEEAKQPAQAEAVYSHFASPQK SDKPPFSLPWFSHPDTPFPARTKLRRRRQAPSAASESVSLPTAPGQQHEAAPVESAPT ENVQEDAAVSTPKGTPKLEILPPRSETPSTQELPSVDTPSTSPTGSIPSQQLQVSESI TASPAQPAKQATRVPVPAVPAVPVVPVLPKPAPKDKPATSEEKPSTETKPAGSTPAAE ETSETTAKDSTASAPESSAPAAQAAPAPAPVKPKSWANLFTKPAAPVNAAAVAVAAAS ASQTTVNGNTVADASGAATGVATGFAQSKATSMAEAIQSYRVGTAEKIVFLEPRGLVN TGNMCYMNSILQVLVNCIPFYDFLDQVSKKAVHSFNSETPLLDAMIMFMREFKVIDSA VSIDQLKRRLKSEELEQYGEAFTPEFVYDAIRKLPRFASMRRGHQQDAEEFLGFLLEG LHDECTHVMSTLPVSTTSTAPNSSLPSPTASNTGDDWLEVGPRQKAAITRTSGYSSSI SPITRIFGGQLRSELRIPGLKSSVTLEPYQPLQLDIGAPEVRNIVDALRGLTRPETIH GDFNSPHGKDAKATKQVFIESLPPVLILHLKRFQFDAEGHGTIKIWKKVGYPLELEIP REVVSRQKRNTTHAENADLLKYRLITVVYHHGKNASGGHYTVDVRRQDGREWVRIDDT VIRRVRPEDVAEAGAEEKPSKGAVGDRRENGSSAAASNRFGAMNDDDTGDDEGWKQAT ASGKKWSSVVNGAGLAAPSGSGSLKAAAKQHNDSFKDNKVAYLLFYQRI NEUTE1DRAFT_75063 MAPTVLKGKRSSGSPKPKVILFDIGGVCVISPFQAILNYELRHS IPPGWINHSISRSAPAGFWHRLETGSIPMDAAFFAGFNRDLHSQSLWESFYRSHHHQQ AKKDHNPSSSSSSSSSGSDELLSIPPIPQIDGEWLFHDMMEHARAPDPWMFPALQKLK EDGRFVVAALSNTVIWPEGHKWAEEDFFSDPLRQLFDVFISSAHVGLRKPDPRIYQLA LEKVDQFARANADSERGKRGGWGEGVRPEDFVFLDDIGENLKAARKAGFRTIKVGLGK AFEAVDELESVTGLKLAGDHPRIAVKPDFSAAKEAKAKL NEUTE1DRAFT_75066 MAFHKLVKNSAYYSRFQTKYKRRREGKTDYYARKRLITQAKNKY NAPKYRLVVRFTNRDIILQIVSSEITGDKVFAAAYSHELKAYGIEHGLTNWAAAYATG LLLARRVLKKLGLDETFKGVEEADGEYKLTEAAETDDGERRPFKAFLDVGLARTSTGA RVFGAMKGASDGGIFIPHSENRFPGFDMESEELDAETLKKYIFGGHVAEYMETLADDD EERYKSQFNRYIEDDLEADGLEDLYAEAHAAIREDPFKKAESEAPQKTKEEWKAESLK YKKSKLTREQRAAGVQERIAALRSE NEUTE1DRAFT_106885 MALVSSCLVSLGLITDPSMVFFARSFSCCTGITGWSIVPLRRWS SSRFSNRGGGSYSTKKKKKEREQWKERSHGVGTDRMETAWNYWHGVSLGEEQPETARQ RDGHEDLSQGSNSPSTKKKTRFRRDLTKHPAQCPQQQQQCLSTRPAVGSVHGARRYLY TGSSAAMPTGPPRHCPRTKSSFDAETVFEASDGATGQCYRTWLFNHDHGPSLANGGME WGRTTVGQVGVCLHMRAYSNTKECTDHIRCKKQCYCRLPPSVVCDDHHLDEHKYNRET EQ NEUTE1DRAFT_56383 MSTVGPEPFPKMEHIHGVDVSWMTHGNPKDKIGRRASLVKTLSQ NTLDIPYSASPGTATPEFAPASAPAATNGFRTDMLNAPSSTQNMTSSTTTTSTTIAKP IPIGGLSPAAPGFTRTGSDEGRTPPIGVSPQRRNSWFSNIASKLSSANGAAQSPPSPN PASPKNAEFSVPKVNPAKNAVLQHATKHEGDGPYIPAPPGRAQGGVFHIFRRLSSSNG TLSTNGMKGHNHGLVERRILNVDQNRERCDIDGLNQAKLRRVAFCVDVEIAPMPRYGD DAAKKSNAKPQVVTTHVAPDGTIMVTNRKEESSREAEAAAAAKAAAETKKKEKKKKSE EERKARKEKKRKLAEANGTIPMEIHVDSDSSDDGGSTAPPQPQLQPQQQQQSESSSRR TQSMPTTNPVRIYRRCCQLRETPILKRITEQLMDPANMAPEKGVVEKLDLSGYWLQLP DLVTLGDYLAVVPIKEVILDNCGLSDEGLRVILAGLLAARKNPFKRRKQQTGPDGLTP QGGVVERLILKNNKIGAEGWKHICLFVYLCHTLKVLDLSQVPFPQPPPSSPTARTASD AKKNMDLCQLFSKSLGERLGGSTLTLLSLGETGITTEQLGLVVDGVLKCGIRKIVLAN NDITDQGLAHVKRFLATPTCDGLDLGGNDLRDHIDKLTEALDQENCPLSALSLADCNL TPAALSQLLPTLVKLPQLRYIDLSHNHALFNAEVSAVAVLRKYLPAMPSLKRIHLVDC ALTPEQVIALAEILPEIPGLAHVSFLENPQIVELTDANTDEAKESAFALFASLLSAAR VSRSLVAVDIEVPNEQSSDLVKAMAKQVVAYCLRNMGEHMSTVGDFASMAGGLPDFPA EPEYPDVLQRLVGADVTQPYDPEDDLDIAPDEDYVIGGTGVVKALACCLDNCDEEFRI LSGESVGDGEAGHEQTKPHLPVGKAKETSKHLLLSARKIRTRLQPAIQKARDSHEDTH AYHRLIFIDNTLKGIIKRFEDEFPDTKELPASTTAADSASFISSGSSFTNYPYDDAEK FMGTSISSIDLAPFASIWSDDADDAEAAQAAAFGIKPRGLVRSNSTLSLSSRALADEE ARVLRAGHKFRSGLTGIVKPEHYMLLNCGVEMIGADPNHARLLHEMFDELDDDEYTKM VEERGAVAVFIENKEDVVRKLREMDPAHWDRFVESQEMARKNVEAPVQGNAVLADKCE ANMKSLNAAGTGAQGTSELTMRLKGEKEKEKEKVASEQQK NEUTE1DRAFT_143697 MDPQPAQQPVEATPNGHEAPGPVALTKTRTESFSAKSENSQTAA DFIRDQMQLEADAREALPYSIETCTKPLGPLRQAVFSCLTCNPPPADPKAPYNAAGIC YSCSVQCHGEHTLVEIFAKRNFTCDCGTTRFPPTSPCNLRINEETGTKGDVHSEEPDA NNKYNHNFRNRFCGCECDYDPFEQKGTMFQCLGLGTAETGGCGEDWYHPGCIVGLGPD WFEKMDIKDKPKSAALKMEIKTENGSLPTIAEDETTEQNGALVEEAEEEDEDDDPPPP PGFPADDDFEGFICYKCVEAYPWIKRYAGTEGFLPPVYLKKEEEQTTSTTSELEVNGD SSSKKRKASDDDNEDIEESQEKKPKTDETVQSTTTAITTTESLTTNGSSTTTTINTTT LTTIDSSSSTTTPHPNPNPKPCLLSSLPPPPSPSQKSPFSLFFTTPSFRSLLCHCPQC FPLLIPHPHLLEEEDTYEPPVSETTSPSHSLHGGSSSKGSGSVLERGESALRNIDRVR AIEGVMAYNHLKDKLKPFFREFAESGRAVSAEDIKAYFAKLRGDEEVRMGGQGEMQVE EEEGVGGDGRKEQDGY NEUTE1DRAFT_127159 MSSPLRDNPSSANRGAAPRATRKRARNDNDGASSLPRASSPVMP SSPPAFPVAHGADEDDDIEEDVAAEIQDDIDDLDELAEDDVDLFREGFERDYRERDEN DAYEGIDIDDEEYEALDPAARRRLEAKLAQRDRHVRMGMGTTYLPGDDDDGDIDLTNL ERRRRIRYNEDPDVDMDGDIMDSELPLEALMDVKAATLSEWISVPAVQKTIRREFKAF LTEYTDESGSSVYGNRIRTLGEINAESLEVSYEHLATAKAILAYFLANAPTEMLKLFD EVAMEVVLLHYPDYERIHAEIHVRIFDLPIHYTLRQLRQSHLNCLVRVSGVVTRRTGV FPQLKYVKFDCTKCGVTLGPFQQESNVEVKISYCQSCQSRGPFTLNSEKTVYRNYQKL TLQESPGTVPAGRLPRHREVILLWDLIDKAKPGEEIEVTGIYRNNYDAQLNNRNGFPV FATILEANNIVKSHDQLAGFRMTEEDEHEIRRLSRDPHIVDKIINSVAPSIYGHTDIK TAVALSLFGGVAKQVGAHHIRGDINVLLLGDPGTAKSQVLKYAEKTAHRAVFATGQGA SAVGLTASVRRDPLTSEWTLEGGALVLADKGTCLIDEFDKMNDQDRTSIHEAMEQQTI SISKAGIVTTLQARCGIIAAANPIGGRYNSTIPFSANVELTEPILSRFDILCVVRDTV EPEEDERLARFIVGSHSRSHPLMNNTQDASGGDSMEVEHDTQAAAAETQQQQTGEHGR KKEGEIPQELLRKYILYARERCQPKLYHMDEDKVARLFADMRRESLATGAYPITVRHL EAIIRISEAFCRMRLSEYCSAQDIDRAIAVTVESFVGSQKVSCKKALARAFAKYTLNR PGTGNNGSGSGTSQGRRGGARRGVSAAA NEUTE1DRAFT_127160 MSYPNGSGGTLTLPSPTHIHSVRSLRKAIARSPSRARQASTTPA GSPQSLSSLAVSLSQRPLGEGSTPLKPSERLSTRSLRPARPVNRPLSRSRMSQKSPLR RCFGSHRDSGNPLPPSVSAPEARPRCGSPSSRSPSPIASPVASPVHHTEVTLIMDHAT LDSPLPKRRSLGLRADLLDDPPATSHPFDTDDDAPKGYELRSSTRRTTSPQDSVASPD ISSLPKRTTSLRKSTLQQRHDDQRISLGRRVGEKQLNQASKAAAPATSTTRTRPRLSL DQYLPPDDRGSPFTQAPLPSASVHPLPRPPAQRHPLSRTITQSSSGSSLPDESPTHPP VSLGEKPRVPLNFSRSLPLGARPLGAKIPPPVATPKYKRAKPFQAAFMSTGLVSKMNR NPEAGPPKRPGDSSEIMPDTPCKKQPYDSSTFPPKPLGSARRPRLSFGTATTPLPDAS STPRGSPFAPQDRSASLFFKQIRGNNLRRASLLSFEGEDAGDSPESDDFPPPTPTKSL FKSQSTPAHPQQAQMTPTATRFTHSASAFGIGKEHSTPSSSFGFTSPLGRHSNGQTDR PTTADGELLPVGIKDSPRMSQSLPSFTMRRGRGNSFTTPAPVKTNPTIVEASEKHTPD SASPVNPRNPKTPSETMGPPDGNFLSISNARKGNIKFARSPSTPTTLVGPGLPSATPE GQPLTKGQNRRISSTPQNVNAPSNVDEALYSRFDKAEVIGGGAFSQVYRVVQRSTMAS FSSFTSTPGRHTPEVEKVFAVKKIAFFGHGEKQRESKMREVNVLKALSTSDKIVHYVD SWEQNGCLYIQTEYCTEGSLDAFLREVGQNGRMDDFRIWKTLLELSQGLSAIHSAGFI HLDLKPANIFIGFDGYLKIGDFGFAVPWPAPKGVEGEGDREYIGPEILLGQYDKPADI FALGLIILEIACNVYLPDNGPTWQALRNGDLTVVPSLTSSDAGGIIRDAQISTDDGDL SAFSSVTYDPSNLFGAQKRSELQEPPRFMMDANDPHSLDSVVRWMIQPEPANRPTAEA LLKVESVDWVACRRTAGATVYEGNWGLETASTTEQFDTTMTDV NEUTE1DRAFT_119452 MTTPTPMKHTLSQQGSGRTPSQTQHGAAATPPVSTPFSMAHAAL SPHGSRLSPQAVKKSPAISAAALLNHPTSTSAVSFDSPSAAAAFSNLQLSDLDFKSLG GLAGLQVGRNTEDEKAKRLDEVISILSQNRGYVSEAGLERLAQKLELDCMWDEHMAGE SKRTLIIAGSALELLIGFTDNIVQSLTLAFPESSESVNKHAEDAGKILLENLKLQPGQ SPLTKQLDKFSVNFERLAILDKLSIASVLNLYEAIAGVFDSLSRLHQWELQKVREDAS LAGRTDEYLRNIVLCNRSGTPAMNARGKVGMRIDYWKNKHLQPPLNPQLAAHAEKHEK IWGILVGCAPINRNLDVNVHPVRVSHHWISENVELPHIPGDLQTSPMVHWLEPEDTIV PPDPEKAGDSLLGPRLPSVTFSATFDPPIHISFGLWEQLRSMGIGIPAMDVSKSFDNL VFPIAPGGYYDPTEPREIHHTKDITYQLPGSPAWLSRKHANSLYIYKPVYGKTLTEAS FSHPQHLIAMLPYLRQYAFLSTLLENSFKEDMGPKRTPPQTTIHPDSFSTKTSTNRDE FNRFMSLSPTLVKSPSDEAPTPMDISTSMSIDASSQPNHSGTVPMSIDGASQHIFPSQ AAHSQPTSHLSNNNNQNQQVPLTSGGPNNHSALTHTFTSGPDPEAIKIDINLTMVHAP RLQIAFPFRASETAIVVLEIRENGQVHVESQNVLDERNSVAPNGRERRVEDLGKMLET VEDIGKWVEFVRTRWA NEUTE1DRAFT_75085 MNGMHAGNMPAAMVGLPTPAGHQAELNYIYGLVEELSRQLAQNQ RALEEVVSGVGKVRGRARSQSLTNDELLNAAGEELKNQDENIDQLVSVLTEALEKAKF SRDANAALLSQYSQVMYTMLKKFHEYKAKHVQDVAAWHHSYRAQLAEARAENSRLREQ IWEMQAHAGKANELVRKFRAEYDKDEKRWERTVDAKAMRQELRFWKRMAMPELPDDDE FWSDDDDIIDRAEKERQKELQKLDAEQQAQAAQAVAEEAAANEAAESSGLGEMSGSNG SGVMGVPTEVPQPSVS NEUTE1DRAFT_75086 MALKDSYLLPAAADMHVHLRNAPGPIAELVTPTIRKAGIDTVFV MPNLAPRPVVTVDEALEYKKALQAYDPEVNYLMSLYLHESITPDEIRKAKKAGIAGVK AYPRGATTNSQWGVVSFDPFHDVLSTMESEGLVLNLHGEMPSSPTDNVTVMNAESKFL PTLTSLHSKYPNLKIVLEHCTTADAVAAVRACGPNVVATITAHHLSLLVDDWSANVHH YCKPSAKSPEDRRALLQALVTSEGKFFLGTDSAPHDISSKKGKGNTAAGVFTQPYALG YVLTALEEGIARGDIKEEQVMEEVLAGFLSEFGRKFYELGPAEKKILVKRDGAVVEES LKTDKVEIVPFRSGEKTWSVEWQ NEUTE1DRAFT_34434 MSSSPTLTASAPETTPLLNSETASSYTIGNELPPPPRPPQDEKP IGFARGLSIALSMWVLIFLQAGNMSGISTVQSSIATDLDAYDQAMWFTGVYLISSSCI SPLTGRLSTIFTPGLMILVSSLFFTIGAIVTSQAHTLFVFLFGRILVGIGGGGIMTLS LILVIQLTSKRRRGVWIGLTNAGFTVGMSTGAVVFGILLPIIGWRALFWAQAPLALLG GLGVYASIPSSLSTGQPLSPGDAEKTSLQKLRGIDYAGAITLTTTIVLLLYSLSGRTG IHWTPLFSSLLTFLLFLVIESRFASDPILPLRILRSRGILLSCLSQLGFMSARWTVLF YAPIFALAVHGASPALAGSILIPTNLGFGMGGLLVGWLHISSSKDYWFPSLVSMGIFG LTILAMGWVSNAATQMGWYVGVIFVNGLATGAAVNYTMAHLLHLSHAREHFIVTGLLA TFRGFAGSTGTAIGGGIFGRELRASLYRGFEMIEGLPRERMEKLVTVLVGSPARVHQE GFLTVEERLVSVSGYEHALSTLYHSAAALCVVVLLVQAGTGWTAGGVSKEEEREIEQA IAEHDGRMEA NEUTE1DRAFT_75093 MAASASPSPGRASGSRSATPTSPVLKAADQSDVNMSDSSDEVDE EILRPRGRFAAGMQSKSNPAPESESESDQEMRDDTPKRPSANSLASVTKSLVQDDDDE DDDEVVMTRPRKLQQRQRKNTATPESKPRDEPTAASPGLFVSPEKQTAQTPDSPGLFV SPAKPSGRPGDGLASDDEEFPSISNLQKNPRFQALVEKKRKEREAREAEEERKKTERR AAMAADDRMSMDEDDDSNISDDEGGRKLTQKAGTKKPSQRKASKKALEEMHRETQRMA RELQLAHEPKVKNKITKATLFERFNFRPAGAAAATTGKPAKESVGPESPATSRPSDTE KVPEKETPPSSPPVGNKQPDKTVEELATSTGDLLIQENSDGELPTFEQALTQKKKLDK GKGKATAADYEAEVTASLPKVKRNLRVKLPLQPSSIQANTISLDDDDEDDDLQVKPQT RKSKIDAIFDRVPLNQTREPRSLQVLRKLAHIDDPEKLPAAPLPKNKQHLSKHQPPAM TPGALEMTLIQRARAQAKREREEHLEMLRAKGVVVLTAEERAKEMQEVEDIVARARRE AEEIMKREREDAKEERRKRKENGEEDPLGWDDSEDDDASYVGSEKGDDNKEELEAIEL SGSEEDEEEEEGEGGNEDEDEDMAEADPAGALFDESADESGKDNADKQNEEEDKLPST KTVRRRPRKQVVVLSDDDDEEEEEEQVASSKQRIEETPRPKQRFPKSPTSALHSGSPS VPTSVLRSARKSFIPGLPVPVAGPAGLGLTQIFAGTMDDSQPGSPSQFAGSSPSQPRP TFDIDLTDIPDSNFSQTASQQQPEDNMILDSQPSLPTRPQAAKDKEEETQAALETQGV QLGFSQSESQMHGFDSLLNHPAFNMTQGSELIEPTQDQGYKDYTPLKQRFVDAPLPPR STVETVLVGGNRSSGSLMTASNSTPGGSGSAGSVGENSPSGKRPLGRLRRRGDVITGS ALFNASTLDEEEEGDGDEEMGDAGDDDREAEKAKSAFEKMKRAAEREKRRKEAKKKSK AREMVEEQAEESEDEYAGLGGIDGEGSSDEEDEELVKEMIDDETKAGEGDERKLAAFY ADRERASDEKQVEKLFHDITTGMLRRKRARGEGGDWNDLSDEDDGGEARRRMKRRQFA KMQRALLADERISKVAENPKTKAFLRSIEDRGSDDEMDFLFGPTDKPSSVIPATQDSQ SSSKDQTIPATQPQTTTSTNPRRTKSGRKPANLGEIRESLSNLLDEPHTSSVIPATVL GSDDEEEEEDSIPATPGKEKNNAPPSKPAANPRRTGSLAIVDRISLKRNSSSSTSTSA SSTSNQSKLAFAAPSSSLSQSAFKVPALLRRATTNSSAASLSSTTTTTPGPSVSVSTT TNPYTNSKAAGASGFGEDVKIKRTAGKMSGINYFARENERRAKVAEAETRRQARRWKG VEGRGASVRGLLGKGEFE NEUTE1DRAFT_75094 MVDINPAALSRPSVNISTPILATKSINVSVPPLQKPKTSQLIPA RIDLEPIYTALRANIGLEQWTVYKEAVGNFLLGRLNQAELSARIDPILASPDGTKEHL HNQLIAAIYGNVTREMPDQGLAPWVSANDKPSTNTSNKPVSGDAAERRLKGEVMQLPS RDRRRIKDLAHNDFDPYESLSSVFIDHSRNKSIKTAEVPASAAGGLSRMNYDLEIRKR YAQPLAVESGEFPDVSNIEAKMLPICYEAGLSGGHAPEAAQFMSIATETFIKQVMSSI FSRTRSNGPGDSGNAGFGAGNAWIQTHKYRRKLQKEEEAFQRGEVTRDKSGLLPVEAK AASERGPLGMADFRIALEMGDCGLANFPIVAKSVIYDYREGELENWDDHTYIDGREVR PTSDGDVEMGGMAANGKPDGPLPNGVNHEDAMELDNDDDDMPWEGAGSEDGDFLNSVL DTCLAVG NEUTE1DRAFT_75098 MASRQQEHRAQKISSAIDSLIAHLIPENPYEDDQTAELRHDDYA SAVKALLEQPSPPSYDADVNNASDLIKRKLIQGNPTQALRFSHLYTRLLSLPVLNQKW AILYFLYQLSDSPDPNEPLPLSPLARQQPHFDPQFHPQIQAQTPQPSAWPAEPRIPAY DEVQDEEVMEDAPSPEGSHNLPPERPRHGRDQGHTPAQSHQAGPASRDERDVAHDPSE PALLRDLPFTLQGLSSATLPFLNDTTLKLPPTLPIPIISLLNTLAEPSLLYRGLNEFT KTPANGLLGQSLRAAISKELRSYLTLVATLETQIRRALSELDESAPRGGIGKTGVTLK RCVVWTREATMGLRLMSLIAEESKNKHGGQLITLIHSFSSSHGDPVVAAFAERLLVDV TRPFYDILRRWIYDGELLDPHMEFFVREQNPLDEERKDAKAKGQMSVWNSKYELVEDM VPSIITRNFADKVFLIGKSLNFIRHSCGDHKWVEDYSKNASRELKYGDTATLEAWIDE AHRETMSHLMRLLNDQFRVFDHLEALKRYILLGQGDFIALLMESLAPNLDRPAGAQYR HTLTAQLEHAIRGSNAQYDDPEITNRLDARMLQLSHGDIGWDCFTLEYKIDAPVDVVV TEWGNRQYLKVFNFLWRIKRVEFALASTWRKCMTGARGVLQTSDETVLQTWKSTRGVL AEMVHFVGQLQYYILFEVIESSWTELQKNIHKGNCTLDDLITAHTKYLTSITHKGLLG AKRRQYPQTGDPVSASSTTDEDRNSYMVQLSELLRIMLAYRDSVDGLYSWSVSDFTRR QEKETAGLIYSHRGGSTRTTTRDRADSQAASSMADSMDLDPPYEYFDAAATSDAGSVK SEFPALQDRLKQLGANFKTRLQILLGDLAYQPDVDMRFLGVSMNFNDVYQPVRRKTKT SSSAVPTGGAASVASGASSVVGAQGQSQAGGSGGQGVAGGGTTFRGTDTSKA NEUTE1DRAFT_15063 PILNIHPPLINTPCPAATTLEDLLILWNCPSTGAITTRTSLLSG FPHDDTKNLYVFYDSSSHTVSSSTNPSSPSSATSTQNATLNSLGYSPLPLQTYLDFIR TITTSSSSKTIIISVTGSAEDVAQCYLHIARLQSELLYVTSSPSFVVKLAMEINLSCP NIPHHPPPAYSRDALTRYLDFLAGAIEAAEAEGLPRIPVGLKTPPYTYETQFLGLMEA LEEEEEEEENKEPKCPITFLTATNTLGSCLAFTAFTPSTKDSTYSQEALPTELGTGGL AGAPLHPLALGNVKTLRKMLDERVEKLGHQIQVIGVGGVLDAQGYRRMRMAGADVVGL ASGLLLRGVKVFEEIEKGVGEGGW NEUTE1DRAFT_143707 MAATKKTYIVEHLDEELGPWSELEYLAIAKESQEIGSEFHLTSL PQGFKVPEALAAVLAFKAENRGVEEIYAADKSRVCLLDPAAKKDLSPEDGETFDVFLF GGILGDDPPRDRTSELRKKGFEGRRLGPVQMTTDTAVRVTRLVVEGKTPVDKIPYVDH PELKFSEHESTQMPFRYVTDKEGKPIMPAGMVELIKKDSDKAIDDMF NEUTE1DRAFT_56417 MAATTTTTKASRSTASWRRLSTLIAAFTLSWTSSFVAAAGSADY FVHDLPGAPDGPLVKMHAGHIEVNPDNNGNLFFWHFQNKHIANKQRTVIWLNGGPGCS SEDGALMEIGPYRLKDENTLVYNDGAWNEFANVLFVDNPVGTGFSYVDTNAYIHELTE MAANFVTFLERWFALFPEYEHDDLYIAGESYAGQHIPYIAQAILERNKNAGPVNHKWN LSGLLIGNGWVSPKEQYDAYLQFGYEKGIVKKGTDLANKLEIQQRICQKEIAVKPDKI DYPECEAILQDMLQLTAGGVGASGKNQCYNMYDVRLKDDYPSCGMAWPPDLKSVTPYL RKEEVIKALNINENKSTGWTECNGQVGMNFNPKTKPSITLLPDILSAGVPILLFSGAE DLICNHLGTEALISNMEWNGGKGFELTPGTWAPRRDWTFEGEPAGFWQQARNLTYVLF YNSSHMVPFDYPRRTRDMLDRFMGVDISSIGGQPTDSRLDGEKLPETTVGGAAGNSTS NQAAEKAKLEMAKWEAYRKSGELVLVIVIVAAALWGWFVWKDRRKTAGQGYMGVATGE RHSISTNPGPHGTRGSGGGGRTRGQGLEGFRNKRSGRRDVEAQDFDESELDDLHLSKP EDPHADSRYSIGGASDDEEEQKPGKGSSSRQPGGRS NEUTE1DRAFT_56420 MSPITLDLTSDFNPANTTGAGSSSSQPRTLLVAPPSVASHEERI SALFSTYPRETTDLHMLDRLAAGLVTLPASTYDLILVLTDPDGSRHAEASALLSNRAV WSLLVPALKAGGNLRSEDGTLGTNTATPEAREAVLAGLVAGADGFTKPDYAEEEAVPL RFGLKRKTNPNPVVAPIQPVAQVVTAAPAGVGFVTLDLNDDLDLDDDDDDVIDEDTLL TEADLRRPIQQPPECQPKPGKKRRACKDCTCGLAERLEAEDKARRDKADQALNTLKLK SEDLLELDLTVPGKTGSCGSCALGDAFRCAGCPYLGLPPFKVGEEVSILNNVPQL NEUTE1DRAFT_115656 MTRSHKFNDKAHVAAAQAEDGSLVPVEHVPKFFGKNGFADADPK KVKKNGGGKSNWGNAGIEVLDDPEFNFVARRHSNVSNPSVPHYLEQFKTKFEVNEPEP VFEEGVHNAPEEEEVTLEKTETSSSGGSVDDEHKTHSD NEUTE1DRAFT_119463 MAEQIGTEKQWNWSAGAGDGPLVARYPDATPVHGTKPNNKVSDP LRILFCGSDVFSCYSLKALHAEHKANPGLIKSIDVMVRPGKAFGRGYKEIRQVPIQNL AEELSLPIHIRDTFTGWSLPQSPHGEPINLIVAVSFGLFVPPRILNQAKYGGLNVHPS LLPDLRGPAPLHHALLNRLSHTGVSLQTLSPQTFDAGTVIAQTPLPGIPIPHACTVSQ LHDLLAPLGAEMLVSSLQKGLHVPPLTPVIDNTIPPLIPSPVENKRYTHAPKITKADQ RWSILKHSAADAALRARVCGAGSLWASVYLPLPVPVAAKESSEKKVTKKEDQKEKEEE EKQLELQLPLYYPHPLEESAKGSPKRIILEDVSELFIPSSSDDDAGLEQKYEAYRRIV QSMNSGKSSSIEQLGLEPVSLPQPHTESSSDPLSWFLRLGEDEYVHVETTAANGEKVT ERKPRVSWALCTRLGLDGGKEEDKGAVTFVIPEDGGKFGLLRVGKIKVEGKGAKPARQ VMKELAVKAG NEUTE1DRAFT_56428 MAQASVQIGTPLLTTRQAEELHKSILAYLSSKNLASTAATLRDE LDLAEESFDAEKAKKYEGLLEKKWTSVVRLQKKILDLESRNHLLQSEIDNATPSSLSR RTQDPTNWLPKAPPRYVLESHRLPVTCVAFHPVFTSLASGSEDYTIKIWDWELGELER TIKGHTKAVLDVDFGGPRGGTLLASCSSDLTIKLWDPSDEYKNIRTLPGHDHIVSSVR FIPSGAAGAPASGNLLVSASKDNSLKIWDVTTGYCVKTILGHVDWPRAVCPSHDGRYL LSTGSDKSVRLWDLAGGRDAECRLVMFGHENYNLCCAFAPPTAYPHLAKLAGLERPPP PSSSAEFMATGSRDKQIRLWDGRGNCIKVLVGHDNWVRGLVFHPGGKYLLSVADDRTM RCWDLSQEGRCVQTLTGVYEGFVSCIRWAPPVVKDADATAGNGRSEGSSLADPVVAAR RRAATVGSVSASVQIRCVVATGSVDGAEGKVRIFAN NEUTE1DRAFT_56429 MEAYSHNHSPDVPDRGPAVFVVTTVTICLATLFVVARMVSRIGI VRRVGWDDYIIILAWLISLALSITIDMATRRGLGRHDVDIHPQHRPGLRMCEYNPALM ATKTSILVFYLRLAKNTQKVLRMASWAVLVIVNVAGTILTFMNIFQCSPLRAAWDITV ESAQCLPLLTEFICSAPVNIVTDLAILALPIPVLTGMRLPPRQKTILILTFTLGIFVS IVDVVRIYYLQQAVGIVSMNFSDDPSAIYGQSVNFPWNASFSLMWSAVEVNVGIICAC IPTLKPLIIRILPAMIIDPDGTRSSTRHRDAAFKRDNSDSSQPVTSSTLSDSHASAPQ APEQVRLQNDRLSDEISIRDFLAASATNEIPAFEGRTPTFPDRRRFSSAQENSIYFGF VEMKKPKSMVKSSVSESLKYCTIVSILFFLWGFSYGLLNTLNNVVANVAHMSTAQALG LTSVYFGGGYLLGPLLVGGWLLCHDEHRRFRRRRRGDIEPIGGFKATFIVGMLIYGVG TIMFWPCAVLSAYGGFMASSFVVGFGLAVLETAANPFLVLCGPPEYADMRLLLAQGVQ AVGAVLSGVLANNVFFHKIEERKHSPTTLLDVQWTYLTITLLCVLLALFFYYSPLPEV TDRELGRLSERLPVEPKKRSIGGVSLRTWCILLAVFSQWFYVAAQENMSVFFTRLMTT FSPTHEPYPNSRPEGFEIRTLNYLVVSHTAFAISRFIAAYLCYLHVKRGPTSRFIPTP RTILSICAALATVFILVTVVYKPASNPNLMAIPIILFFFFEGPIWPLIFSLGMRGQGK RTKQTAAWLTMGGSGPAFWPFVSYAILQRGASIQTSFIIVVILMAMTMVYPLFLTFVR DAREMSDHVMDTAAQKRRRSGDVEDGGGGLGGLGGRGVFLSERRGSETPMTLDQIIAQ RQRERVEAAQKAARAENGGMLKRLSRSMGIGAEETPDENIKNEKRRSQQQQQQGQGQM QQHLQPEMQYEKQSGKKTSGEDEPPRKDGESEEDPMNGADEARHPNPPAPETPEWERQ TAPWDLPQLQLDTRILED NEUTE1DRAFT_56433 MEEQMDRLVERAWDKFLDTPKDQRLLIAIAGIPGSGKTTLSQIL ARRLNHLHYTTSPLASMSPDFATALPMDGFHLTRAQLSAMPDPELAHARRGAEFTFDG QGFYELVKELRKPVTVGNTTTTTTVWAPSFDHALKDPVEKGIEVRPEVRVVVFEGNYL LLNQKPWSDAAKLMDLKFFVRVPFPVARKRLIKRHLAAGIAATEEEADKRAVENDLVN GALIEELLREDEVDEVVESLEDGKWVHT NEUTE1DRAFT_56436 AAPATKADRTALSEEAFQELKKTYRVKVENGEFHHHLQFPLGST AADGRTRTINKPEAQEILHAVEELYFFRRYEEAVALLRKVWEDAGDSLFDRDTTGLLR LYETRCEERLRGVNVDGQ NEUTE1DRAFT_127175 MKVFSNTETFNYSWEEVSTANWRKYCPWNEKSTHVVAVDTISRS VDPATGILRTERLITCRQAAPEWLKSMMGGGMDESQVFETSYVDPKTKTVTMVSTNIT WSNLLNVQETVVYSPLSDHQTKFHQEAQITALAGGWQRIKNSIEDTLVKRFRENAAKG KEGFESVLAMSRRVFAEEREREKMGLIREQAGNIRMAA NEUTE1DRAFT_115658 MAAQAAPAEELSKLSVETKPAPAAANGNDSDAESGDEEAEEGAA APAAGAAKKKKKRKPKKKKKAPTSQSEPPRVLVSQLFPNKQYPKGEEVEYVNDNLNRV TNEEKRHLDNLNQEFLTDYRHAAEVHRQVRQWAQKSIKPGQTLTEIAENIEDSVRALT GHSGLEEGDALIAGMGFPTGLSINHCAAHYTPNAGNKMVLQEDDVMKVDFGVHVNGRI VDSAFTVAFNPRYDPLLEAVKAATNAGIKEAGIDVRVGDIGAAIQEVMESYEVEINGQ MLPVKSIRNLNGHTINHYSIHGTKSVPIVKSNDQTKMEEGDVFAIETFGSTGNGYVHE EGEVSHYAKRGDAAKVDLRLSSAKSLLNVITKNFGTLPFCRRYIDRLGQDKYLLGLNN LVSQGIVEAYPPLVDKKGSYTAQYEHTILLRPTVKEVISRGDDF NEUTE1DRAFT_119470 MPVHYSSFGAALRPLGRQCRRGLPHLSTPPPSIITTARVNVANK VSRNFTISRTLQTGRQEPEHHNNHYETLNVHFDATQAEIKKSFYHLSKTHHPDHNPSD PHASHRFMRISEAYSTLSHADKRARYDRDVLRLHLRARAHGSHHSSSVGPAGGRPASG LSKRRSTTQGAPPPSFFKQGGYGEQKVKREWQQSTGYSSQQQQYGGGPRPTGGFSWGG GGEGGGGGATGPRQQEWSQAFNPASAPHFNSEQHTRTHEQLWENLKKSHARRSEAARQ QAQWQQQQEDEGDGEEGQQQQQWWSGSGYHQEEQQPYKQQQWGESKKVMPEIQQGNWA SFFAVTAVLLVSSFGPYWLFGEWTSTGGGGGGSGGGKKKGGSGGSGGGSRKPTAV NEUTE1DRAFT_115659 MAVPAFSDIAKSANDLLNKDFYHLAAGTIEVKSNTPNNVAFKVT GKSTHDKVTSGALEGKFTDKPNGLTVTQTWNTANALETKVEMADNLAKGLKAEGIFSF LPATNARGAKFNLHFKQSNFHGRAFFDLLKGPTANIDAIVGHEGFLAGASAGYDVQKA AITGYSAAVGYHAPTYSAAITATDNLSVFSASYYHKVNSQVEAGSKATWNSKTGNTVG LEVATKYRIDPVSFVKGKINDRGVAAIAYNVLLREGVTLGVGASFDTQKLDQATHKVG TSFTFES NEUTE1DRAFT_119472 MCGIFACHNHPDVAKFKPTALKLSKAIRHRGPDWSGSVTCHNTI LCHERLSIVGVESGAQPLTNADESIAVAANGEIYNHRLIRKHLKNPYHFKTTSDCEVI IPLYLEHGIDAPKHLDGMFSFVLYDKKQDRTIAARDPIGITTLYKGYNTQEPGTVYFA SELKSLHPVCDNIEAFPPGHVFDSLTGETTRYFEPSWWDEKKCPQTPLDLKLLRETLE KSVRKRLMAEVPYGVLLSGGLDSSLVAAIAQRESLRLRKLAEEQAALNQEVDPEAQDK GEGLVGIDDENKLSTVTFLPQLNSFSIGLPGSPDNKAALEVAKFLGTKHHVMTFTIED GLNALSDVIYHLETYDVTTIRASTPMYLLSRKIKAMGIKMVLSGEGSDEIFGGYLYFH GAPDKEEFHEECVRRVKNLHLADCLRANKSTSAWGLEARVPFLDKEFLEVALNIDPQE KMITKEKLEKYILRKAFDTKDQPEGAYLPDNILYRQKEQFSDGVGYGWIDALKDQAEL QVTDEMMKNPKPEWGDDIPDTKEAYWYRCMFDEHFPPSCASTVMRWTPKWVKQTDPSG RAISIHQAKYDHVDE NEUTE1DRAFT_56450 MALCQNRLQEERKQWRKDHPFGFYARPQKNQQGVLDLKIWECGI PGKEKTIWEGGLFKLTVTFPDEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILNEEEAW KPAITMKQILLGIQDLLNDPNPESPAQAEAYNLFKKDRQEYERRIKRVVRENAAP NEUTE1DRAFT_119474 MASNNAGAKEEVPRKATVVDEFDEDVSDPDEDDLDDLDDMLDEF AAVDLQAKKPSGPARPDAAGADGAKGEDDVLSEEEFAKQLQAGMADLLGDIENSPELQ AQFESIFKELGAAAAASGAETPGIPTPKAETSTKTPPSSSRRASAAASPSSKNAEASF QETIRRTMERMQTSGEQATAAAAAEGSDDFLAELLKQMQSGGLDGEGSEEEFSKMLMG MMEQLTHKDILYEPMKELHEKFPGWLEKNRATTSKEDLERYELQQKLVSEIVAKFEEP NYADTSKEHHEYIVDRMQKMQDAGQPPNDLVGDMPSAQNLDLPDDQCAPQ NEUTE1DRAFT_127183 MDRPPRTPAPSRFLIGRKQSQANASSQRLQNQETPDPNQAPQNA ASRAPKFHATPRFHAGASSTPKPSYRTSAGLSSTPSAIASSIRSRTNVPERRSRSTLE FIDDDLLPVDGESSPFARYPGSVTRPGQAPEYPEPIEFDSSFVSQSPIKVKEEDADEL DRERSYKRRRLSPVIDLDVEEVEASSPPLPYEGECEGHEVEELIDDAESAVDEGSSPP RALEHDKDVIISSPLASSDRDFEKVDESVAGSHSSEPLSSLPPRGIRSHEYRSESEEG LEGEDEDDDADENQRMNPDLGGFHGSDEDHTPRANPQNASDVEPNTDAEDHDHEHTRR NSWSTSMKPKGQNPFLRAPRFKPKSPGPPDPPPDVSVHHTLLPDIFSPQRHRGARYVP GGLASELREWLLEVKGGSSTEPTPVAATAHLAVETVKKCGPGISMTLVTGRPMIGGPN GTKVPRSYRALLAGDGRMEGLSGSGAGVESKPEGEEEGADEPGRKPLVPGAMVAIAPP AWDIDLGEEEEDDQGDKGKWAVAYRWDVL NEUTE1DRAFT_75146 MVSIQQAEPAPALISAPVPSTIPAHRAIDLVKGRTTSPAVGNLP LLRGPRNGDTRTAVIVFGQGQEKIVAVFAEVLGKSYRVRNGFKDVSSDDRDLVVGIAA TQAKSDIAARDRTSIVAINVHCTSMGMPPDAYLSAQCDYEFLYTEALCPRRDLSRFVS HTLGQLNHHETLMAKPRTYFISTTFPDVHAALPNIDILTVGADAVEIRVDLLKEPLAD GTYAPVPSLSYVGEQVMLLRQRTELPIIFTTRCMAENGRFPMDNPDLYYEYLYRAIQW GVEYIDVEIWLPERIRRRLYEQRGSSRIMSAFHDFSGTFKWPSARAEATFRESARFAD IVKMIAIINDHNENFELEYFRSKIKAEYPDAPPLSAVNMGETGQFSRTLNRVFTPITH PLLPIIAAPGQLSASEINQALSLLGQLPRKNIYGINTPSSRISTPQAPFYGKCFNELG LPHHFSVMERPLKGFASVEAWCNQKNFGGAYLYPAVSFQHLISNSAFFASINNGTGPI LSDSVKLIGMVDTIVVQSKGSLSASPAPSSAPSSPGRHQNSESIGSVALSQSGLPPTT VLVFENAAWKGILSTLTRDFAPSAYSGRAAVVLASNSDDAASSLLALKALMVSKIYTV GFKASPVVARDFNLEPFDSLESMQKARTNDANPANHGASPFVVVSALAPEKSNLVGML VRVFGSRGPSTSRKVFLDLADGSFPRKGDPKLIAEQCGFAAYGAEDVMAFTTVETLRL LVGQNVPYSFVRLASGRPMC NEUTE1DRAFT_119478 MPEVQPTVLYDTYFTRFHAKPFHILDESTFRQRLQLHQVTNYLL HAVCAVAARYTPHPKGYQSAIKLSEDYAARSRSELDTDEPSVDALQALLLLITAFTAA GKGKKAYMLLRMAMALELHREMDIDARVTPIERETRRRLFWSCYLLDRFMVSGSKRPS LIRDNTILLRLPSWSLSPAALPVEGDFFQSGSNSQYFYGSGKRSQGSNGLLIDIARIL GATNQYLASGGAKGDSHFPWHSLSNLSKIRKDLDVWASGTEDAFSSLHSLFGHTDSTV LVLSKLIYHLVHCLIYRPFLPIDLSELAGSGQHQSWQIEATNMCFLHANAIAELVELG KRMASIEWPAFVGYCVCTAGTVHVHGVHYSRHGITGEMNVFSSSPELLSREMQQLSEL RYSWASVQHQRETLQSIYDAHAELVKSVANNSIRYSPVFHLEDFFDRYANIGGSGGQS FSFDAANLSLVDVIVDFTTDTYPGHDLYAPRLAGNGAGESALNRPNLKRKNTAPSGRK RPDIKSLLSISGNGNFSTGLPTPSHPHTATFPLSSLGGLHPSPGGIPHTPSLAQQHDI QHERAQFHSMLLGSPIHTNIAPSHTTSSSINNFSSLTPSSNTHPRSHSITLGQGISSF SPNLPNSPFSSPQQLNFSNLQVQQQQRPSTPSTNHHHFDPMFGDLPTNAFSSPSQLLW NPDDDTHQNPAGAGSTGDNKPTPKSDMGSSTGTEEKDPFLSLLEQLAENEMLLGGGGG PGSDSDGLDFFLGTTAGMGGLGSGNGSGGGSGGGNGNGGGDGSGG NEUTE1DRAFT_35154 MTDRDKSGQSAADEEEQRRKAILAKAMMDDLGTARVENLPLEDV DLPPRHGRHDGRGHSRLTMPRPAPAVSDIWAEAQRQGVFNDDDAIAVRELDDLGGGRI YATRQQEVSALASRLVRGVRDHAQKVLNPELDSRLAKQYHGRGREIPPSRKINIGKDM KTSTLGVLHHASQPVRQFPPNYRPSSTSPRPLTSTKPLQQVSSSQGLSSSRVRVASCA SSTPPFKETCRTTSVHSTRHATISQGPRHPPVNQAQTQPGLATASTDSRQSSARIRQP STPTTQGPASQQLLTLGNEHNVPPSENESSPLSPELSNVLFKCEVDFPNSTRLPNRTL VPSMVYLSAAGKPHLGFFTLTCEGKQVCQSPISQYYHHTIDSGSRQLIIIFRGQEGYT SSHIVNFMAYMDAEDFHRTLACLRAGKYLDQVNDNSRQMLEATIQEAAPTTGEASPTP TPRKPVSQARAEQAITTDFDTVSRQPYAPNSTTTQRAENQEILVDTLIDLDTLDDAPS TVQPQYAQSEAAELLSTLDPFDYELEYVDDQLQLQQAQPGVTEHNYGLDIVKQEFVDT YRLLLQNMIKVLGEMPSNRTNRGASTMIEGLQTFVTNQAMTDECGLDESTKRELLKEV WGDSQPVDTDDVTNDIQSAPNSLPDRPETPRPPPQTAKTTSRETTRAHSPVAATHTRR HVYQLPFLVSLYDNRLQPPHWLSELGFMPAFSRRNQPPSTCQSLEATLTATRPATPLP AATILAPDFTRSRANHAWVMATEASETVEPTPIITFESTTQGVQAETQVSQIREPTSV VPNETTASLSHEEHVNADICSEPELISLVTPKPPRPPPTQMNVRGLRNSLWARPDDRL ETEGVFTGPQFLTSATLRARAQLDPQAPVSATPAELAEMFSGRPSTQSIEHVTPHIAP GVQNDGPISVSNHRPATPADNTSNVGGPAPQDRTPLSTQRVVNTPIQQPSSVITPPAQ SQYPVISSSLSNHSLASSGYATSSMPESSSKPGPPQPEQSASSRSSTNPGLAGSRFAS SSAPENSNGTDLPVVQAQSPAPVFEAQPTALAPRPINRGLAGSRFASGKVLSSSGIFT GHYARTQPH NEUTE1DRAFT_56465 MNGYDEKRHLDEDAFGAKGSIVSAFDAFPKSKPQYVTRTTAGGK WTVFVALVSFILFWSEASRWWRGSESHTFAVEKGVSHALDINLDIVVKMKCQDIHINV QDAAGDRILAASRLHRDPTVWQHWVDNKGIHKLGRDAQGKVVTGEGYMQGQGHDEGFG EEHVHDIVSLGRRKAKWARTPRLWGATPDSCRVFGSLELNKVQGDFHITAKGHGYMEF GQHLDHSAFNFSHIISELSFGPFLPSLVNPLDQTVNIASANFHKFQYFISVVPTVYSS SGKSIVTNQYAVTEQSQEVTERIIPGIFVKYDIEPILLNIEEERDSFLVFIIKVVNVI SGALVAGHWGYRISDWCQEVWGKRRRRAGGPSEGMLGGKGHERDD NEUTE1DRAFT_56468 MVSHSESPKEDLSAVALEHTIDEANALINGTSDVPKIQELDASK LVVTLADPNARQVPDEATANAASETICTDHMVTVSYNVESGWGIPELKAYGPISLMPT ASVLHYATECFEGLKVFRGFDGKLRLFRPNLNAKRLLMSTLRIALPGFDPVEVEKLIA KLVAVDGAKWLPKDRPGSFLYIRPAVIGTQPQLGVQAPKQALLFITVSFMPRMDSPAG GMRLHTNPEDMIRAWVGGFGYAKVGANYGPSLLATNEARARGFHQILWLYGKEAYCTE AGASNFFIVWRAKESEGGKLQLLTAPLDDKLILDGVTRRSVLELAKARLAGEVEVVER RYTIDEVIEADKEGRIVEAFAAGTAFFIAPVSQIHHRGTDVHIPLGENDSGVYTTKIK SWMKDIMYGNEQHEWGVVINEE NEUTE1DRAFT_75157 MSKEPRESSRHRQPHKRDSLTSVLSWAGLQPRSSPTKTPSTSSS EPAPTSPSHHGLSRGRRHSSSTDDKKKARRRSMALRRFSISVPGAAAVDEDSLTKAKL KKTPSWTLDNKASESAPRTTTKAASTDAINKTTTSASTNSDQNTSEVKEEASNGDHSE EKASKEKLPGHSPQQQQPLPPPPKPKSILRVASPDGRKPPPRKIPCFSTTLPEYDPRK PTADHACLVPLPDSSITSITGSVSSSESSICRPERHGTATVRFATATVHRVEVGPGRR FLPVKRKSKSTVTYISRFDDENSLKKNLTSPTKQRRHRANQAAMGRYWQRTEEEEALE REEARKRAEQEAEQYRAEPSSHPDPDFISKSGTTPPSPELAATDDKLEEIYVDDEKPE SDSPRKARRDASPASYRSSSLHLVLPAPEPDTGFPCDDLTLEEELEAQQEDYKTELAH IGFKTEAAETRDWPLAELEAEPAHQEVQTLLEIKTPRGIVLSPIAEEFAIDDEEEDSE SGGESDHKPMETTKINSNYQEPEEHIPTMPPTPPRQSTALLLSVEPEQTTAHEDFTDD TDDTSSPSLLTPESQDHQSQEKWSSPRLRSVISSKRHNTHTSTHHLHGKHHSPPSPPA SPPLAATKPATPPKKLHHHPSPKLPAFTIPILIPKITIGEKDDNHKRSSSSSWVHRRS SSSSTSSSSSAASEKDKRSGSPIGRKGSSSSDRDSSPGRSGNRLHLSGRRRKSSGSGS GSGSGSHHGHQGVHTSKQSIAV NEUTE1DRAFT_115663 MGWGYLTHRVSQDSLMIFSVKGKARRRGNTTTRNQRTYWVDRSG RGHKGKYGKPNEEGNIIKFH NEUTE1DRAFT_143730 MQRTSPTTLPLFGGEEGEEGGKTRRGKGKGNGNGNDNGNGDNDM IDPSSPPAGKEAPPPVPSKSPKSPWNNSPSSGRSRPRPGTSSGTAAAAAAAAAAAAAG AGGDAKWNPIPIPAPSPSGVQGQGHVQAQAHARGQPPLPPLIPPPPSSSPSAVQHSPI TSARPSISQASASTNGNSAAGSTLPPSPSLPDPRQHKVLHKDPKDPNTQERENRDRQP SFSRKSSLSSLIHRHRRAASSLSTSGAPGGNGPGSGAAAVTSRSRKPSLQPVGTTSHS SFSSNSIPPVPGIALAASRLTDAVRPPPSATTETVNFSRMLSRSTPTPVNGFGPSTTQ LAPPAMVAPGPQSELGEVHARIQETANKRISTLDYLRKAHEGRIYWFNTILFDKPDLQ KMPYFNSTKLGRRAINYLLLGLSLPTVLDLVCNNPTDFLRSLNHLLAEFETFQQLHSE NGTASASSLSRARIPQMFRRATPSAKTRRSSSATGMGGGSSNTTVNGSSTPAMPIPPP TANGNDPNDFGYALESVPSHTGTFDESMLTTTTTNSGAGNTSTSTEKTTSTSSSGIPS STSAASTAPSTTASTIAGAPSSGQTAQPTTSSANSPAPISFSPAEQTELLPGEEYTHL LTPNLPFDPDFFETFATLCDVLIDTYTRLLSLVPTPRECNGTVAELFTKADAKIRKII VQGVIKEFEEQSRAGVRAEVGAVDEPSNVSDDDDDPFDDTFVLVDDDNDDDDDTEGAT EVMSLVEVLGSSPPPPCRRMITAGTTPRSSPFGSIPIGPIGPIGPIGPIGPLGPPPLR SPSWASQGSRTPSLARKSSMSFRSLRRKVSNMSIGGGGGGGGGSQGSLE NEUTE1DRAFT_75162 MAPSDPVNPPGTPAPSLLEGRLWVDGCFDFFHHGHAGAVVQARQ LGTELYVGVHSDEAILENKGPTVMTLRERLLATDACRWVTKSVAYAPYVTQLDWISHF GCKYVVHGDDITSDSSGEDCYRFVKAANRFKVVKRTPSISTTDLVGRMLLCTRTHFIK DLEKMLEGEEGNGTAEEEKKVGEEMKERIRLYATDETAKNPGANVWFWKGEEKKKKDE GKEEKGVFRELFGGIGPKPGQRVVYVDGGFDLFSSGHIEFLRLVTQKEEELARQEGWY SQQAIDERKGKGADYGPAFVVAGVHDDEVINREKGVNYPIMNVFERGLCVLQCKYINA VVFGAPFKPTKGYLTSLPWGTPDAVYHGPTSFMPHTEDVYAVPKELGIYKEIGQHEYK DVNAGTIVQRIMKSRDLYEARQKAKGMKADIEAAHRERELLEEQQRQKEEAIAAQKE NEUTE1DRAFT_56477 MAGLFGSAAASASNTLGDLKQDVELGQPPEDSISDLAFNPNPAD QKDFLAVASWDKKTRIYEILSNGQGQGQAMIEHDAPVFSCDFFKDGTKVISAGADKAA KVLDLATGQSMQVAAHDMPIKCVRYFEANGTPMAVTGGWDKQIKYWDFRSANPAATVQ AQERVYTMDVRDNLLVVGTADRYINVINLKDPGKFYKTMQSPLKWQTRVVSCFNDSQG FAIGSIEGRCAIQYVEDKDSASNFSFKCHRDPAQGNTTAVHAVNDISFHPQHGTFSTA GSDGTFHFWDKDAKHRLKGYPNVGGSITSTTFNKTGSIFAYAISYDWSKGYQGNSPTY PTKVMLHPVQQDECKPRPSVKKR NEUTE1DRAFT_75166 MSRIAAPVTKLTRSLSTSPAVAKPSFILNATSNVSRTESLDART PRKVPLMQGFRTSAPTNASINPSFFANGIDAVVLPNLAAIESSHASAFHPTYFDTIRV PLLPDNKTPPPPTVQRMPLFDELKPEQRGEMASEGDADLMASKPAVAVVANKPGQVLP ASLSQEVVDLDNWTGADWVSLGFVRDIARDEAKAQEEQAGSQGMIRDLWSGMVEDVFG ARAKGGSKA NEUTE1DRAFT_106926 MSALDMATLEVARVTMFIMALIVRFGVFSPSISQHPEMEWAEDR KVQARGGRGVRCFGAGEMVVLGSVALECLWSSHQASRTHPLLVRPRPDGTAPAPLQGG GGFVSCLPTKSQDLNDLIQMPDSHLPASCLTELGGLSALGSFDRGWPSCSTRVRKLGP SRFRFPSGNAQHPARRSVRCRSSMVSEASLNSTFSYNTSPPRGPDTAPFQDHKRKQSN PTPELSRPLATSTRLDPASWSTTFDSTWAIRESHLICQSVTGALGALGTDSDWNPDVF QINFVVQSDTAGSSTCLSEREVGQSKPHGINLILFHAVVCCFRPFAQPAPCKLDARAF LIPAPFTNQSLSATHISGTVYAHHSLASDRTLSKTLLMARRQKSHLLPRKRHSRLRMP SPSLLSSVGSTYATTTSVLAVIPKQCNKGPPALDDEYILTLELLIVIRCSGWVKETAV N NEUTE1DRAFT_143734 MLRSKNAPNTVMGPPPPKRRPNEPLPNGTKRQQQRTSPRLANNK RVTDNASSSRPSAPQPKPAQTFKERLFSRPQKKRKPTPEPAKVDLHSRPQTTIAPFFK KLQAAFGEETQPASKQKQQHKPHEKKSDYIRVPPPHLKQQQQQPTVQTRKRKRESPVK ESKPSSETEDMLAELRAHYLTAATNLHSHALTSLTKAHSLVIKKLDEGIGSSEQKFLQ DVEKRASRLSLPLSEFVIRSDQRGSDGVMRSEKHVISDLVKKVEKTLVKAEMELEGLW REWVESEKEMERVLENVLKEVEDLNTGKSGKKVDGEGDDLPGASGDAGKALEADKSDE RDMLTKYEEAIEEEVERAEEEVTKLTLSTYQMMKDLEKDYRKAIIPDLHLFYTSIEDV NEUTE1DRAFT_115665 MHLKLSLSLLTATAWLASAGPISPRRFDSGKCNNADLGCNVLTS SGSLNTPIETVPINIATIDGHDYYITGSEAERMQIMTDLLDLPAEEFAAKWASKYRGP TTDDI NEUTE1DRAFT_56482 MESSPPATETKPLNTPIQTAQPNNMVYTDDKGVQHSIYLPQGTL HTASDHLQNKRWDELAKFEPYTNQGYTEDDFRAFREMNMKQGQEE NEUTE1DRAFT_119490 MTGHQPIPETCGCPLIGGQMLQVFTCEACAGSMRQWCGSCVDGM VYRQCPHGARSTSSYPLSGAAPGGSGGSGGFNDGRDGRCGQHGGWAPTSHGHGRAA NEUTE1DRAFT_143737 MSVILCTAGYDHTIRFWEALSGICSRTIQHPESQVNRLCISPDK RYLAAAGHRDVKLFDIRSTNPQALMTFQGHTGNVTGVAFHCEGKWMVTSSEDGTVKIW ETRTGTIQRSYNHGSPANDVVIHPNQGEIISCDRAGSIRLWDLAENTCSHQLIPEEDV SVTSVTVASDGTLLCAANTAGNVFVWQLIQAYDRTQLIPLTHFSAHKESITRILLSPD VKKLATCSADHTARIWEVREMEPATADSEPQAFPLEATLKEHQRWVWDCAFSADSAYL VTACSDHYARLWELHTQLVIRQYNGHHRGIVCVALNDYSEAR NEUTE1DRAFT_75176 MRSIDLASRSGAALKRAIQAKQLPSRVACASARSFSSTSSNNTG VLPPRYETLYNKYSEVRRVLGSQRLTLAEKILYSHLDNVEESLLSNTNGGRDIRGKAN LKLKPDRVNMQDASAQMALLQFMTCNLPQPVIPASIHCDHLIVGSKGADEDLKAGIAT NNEVFDFLESAGKKYGIDFWPPGAGIIHQTVLENYAVPGLMMLGTDSHSPNAGGLTTI TIGVGGADAVEALVGAPWELKAPKILGVRLTGKLNDWVSAKDVILHLTGRLTVRGGTG YIVEYFGPGLENFSLPAMATACNMGAEIGATTSIFPFTEASSRYLKATNREQADKDAK NFENFTKGTGQDSFFKFKADEGAQYDELIEIDLSKLEPHINGPFTPDLSIPLSEFKKT VQEQKWPEKLSAGLIGSCTNSSYEDMTRCESIVKAAEQAGIKPAADFYITPGSEGIRA TLERDNTLQTFEKAGGIVLSNACGPCIGQWKRQDNIPKGTPNAILTSYNRNFRGRNDG NLETMNFLASPEIVTAMAYAGSTTFNPITDSLTTPDGKEFKFPAPKGLEGPETPFEAG REVFQVQSGSPNPNVEVAISPSSERLALLEPFEPFPDSDLSGLRVLVKVTGKCTTDTI SAAGPWLKYKGHLPNISENTLNTAVNAATGEVNVAYDLDGSKHTIPDLAKQWKQRNQP WLVVAEHNYGEGSAREHAALQPRYLGARIIVTKSFARIHETNLKKQGVVPLTFANEQD YDLIDAGDEVATVGLYEMLKNGGQGEVSLRVTKKDTGKVVEIKTKHAVSKDQAGFILA GSALNLLAKGN NEUTE1DRAFT_119493 MDFLKSAVASAIAKGPPFPYNFGDKVDFDPSIWTLYNGTRREDG SNCSIFSFDVSANRSALPLAKNALKKLRTLRHPGVIKVLDTVETDSYIYIATERLVPL SWHVKRKSLSPETAKWGLYSVAKTLKFINGDASSIHGNLKVASIYTSESGEWKIGGFE VLSNVKDDDALIYRYGSVVPDSGRYTPPELANGGWDVIKKSPHHAVDSYDFGILIYEV FNGSFMGSDQLGQTKSIPPSMQASYKRLINANPKARLSAAHFLEQGLRNGSFFDSPLI KLTEGVDNLGIKSETEREAFLEDLDQLSDDFPEDFFKMKVLPELLKSVEFGGGGPKAF GVVMKIATKLSNEDFDTKVTPVVVRLFGNPDRAIRVAGFTDVAPIVREQTLKSVLVII TKLSDRTINGDLLRYLAKTANDEQPGIRTNTTICLGKIAKYLGTSSRAKVLIAAFTRS LRDPFVHARNASLMALAVTVEYFSSEDCATRLIPAVSPLLVDKEKLIRDQANKTMDVY LQRIRKAQQDMPETVIPPETAQPRMSTPQPAEAATGSSSGSGAVAAAWAGWAISSFTN KLSSAAGEMQPNGSGAPSPVPSASGLSTPTSTTTPKRPTSSSVSALHRQALKSPSPSI TTTTPSTGDSFFADPEPQEDDADAWGDMGDMDDDATAGAGDDNWGASSEHSQPASSSA KRSVSSSRKQPFGGGGGGVVDDDGEPDFAGWLAAQAEKKKNPLGAAAAPKTLPKGLAK TGSAKVGPPTSTSTTAAVKKTLPISKKPAATATVKKIDTKPKVDVDGDDGWGDGW NEUTE1DRAFT_127197 MELVSKMSEKSDWTCHRCVVDSQEAARRHHYHARSSFSLRSASS TTSLRLSTTSTVGLPRRPSSAMGYSVSSSSTDNTQPARLIPCSIPQCEAPSVNIFCAQ HLKSFPVPLPDDLVTSASQKPDAAATSLKSSGHPSSLSQSSITSHSVDGQGGAGKPAQ TNSEAEVADVARQSAQGCKPNSLETGPVKNRSSPFPTTRSKLLPGNIVRRKTAGKDPY LNRRTPKPGPSTHKDSTSLAKNLTLASTGSSSLKPKTLSSTSADSISPRLTTNISSLS DSNGGPPNKSSSLSPSSTGVDSSRISGSDSGDKPQSETKVQVSTNPANMAIDAPCLAA EKQPPAERQNGSTQSSNPRLPPSRIAELARQLRFSEEMQDVACSGSAVHAPQQPMKGP IPAQDPGPQNNLPPATHQQNSSGGISNVQVIMPTGQSSNYMSMADRLMVHYARSAFDL AGYNPPAGLIRGSMSAHLSANGAELTQSNTTESLPDLRSQVLPQGSTVQSNPNQTVGQ HRPLPPQKPRQPQPRPRPIVTNLHEPIIISDSESDSEEQLEPEPQVESGPPPEPASVP EPPQQEPQAKRTELQQSQKSQQEVRSREPVPPDIEPEPILESVSQAQPKPKLIPQPIA NPTPQLSPRKQASPPPKKSPTPVPDEPLYAHIDPRIHWPQRHSQEWFEAKQKEIQARG KRKANFGRAAQSMHRQRVAEGPPETLEETLPDKVLDNPAWVDMLKKLRDMKPVTGSGK GKETTGIVTTTATTATATATTTRGRRTGSRLKRTLSSVSTGSATHGGESETADKAITT PNPKRRATGAGLRRTLSNVSAGPPR NEUTE1DRAFT_127198 MASSQQIEKFVIKTPCSSANIGPGFDVIGLALSMYLELHVTIDR SKTTSDKPLNCRITYEGQGEEDISLDPEVNLITRVALYVLRCNDQRSFPVETHVHIKN PIPLGRGLGSSGAAVVAGVQLGKEVGGLHDLTPERLFDYCLMIERHPDNVGAALFGGF VGTYLKPLTPEETARTEIPLSEVLPAPAGGVDTGVKPPSPPHGIGHHIKFPWAPEIKA VAIIPEFEVPTAKAREVLPAEYPRADVTFNLQRIALLPVALGQSPPDPELINLAMQDK LHQPYRQVLIPGLTKIVESMTPATQPGLLGVCLSGAGPTILALATENFETIAQKIIEE FKSNNIVCRWELLEPADGTTVTRA NEUTE1DRAFT_115667 MVSPILARSAFRAATQATSRNAAVKGTRQFSVIQSMRQWARRME LHTPYERIPTETGAAGADWGASFKKLAGQAAVYPLVAGTLLGWPLIAKTMLDGRI NEUTE1DRAFT_143743 MPAVGDQHKSITNPFEEPQRRISEYTAQEIATLQSRLEKQLGPE YLSSRAGPSGQKVHYISSEKCIQLANEVFGFNGWSSSIQNIQVDFVDEHPQTLKINMG ISVIMRVTLRDGTYHEDLGYGHIENCKGKAAAFEKAKKEATTDALKRALRQFGNVLGN CIYDKQYLAKVTKMKVEPTKFAEDNLHRHSDFVKKEPVEADVMKVVSIGAGARPPALG NEESFEDLLGELDEADFNMADEGHPDEVVLPQSVHNSLNDKPVHQQLTNLNPQAQQSR PLTRSGSTGSLNTRQQPQTSNQFTARAQSRPPQQQFNSNQSRPMGQPVNNSSNANTSN NPQNYTTPQKPAPAAPAPQAGAAAAPAPETVGFFSAKAVTQLPEEALASGQVAPKPGL AFNPHAESPSIRKTPGIDHTKSKPLARNGQHVPPAKTTETEAEPSTSFSRPAGAHAAS RPVTMNEARSASGSLSRGGPPMGGNAGNMGKPNVVNPQLDHTRRIGAPGMSGFSSSPS TNRGQYRPLTMKRPATVMGGGAGQTKDGNGDSAATTTTAANTTAGSATGGNAAPSAGN GGRVPLTDMSANASNATAAGTATSGPEVKRQRLA NEUTE1DRAFT_56507 MSQHTMASRKRKADDDDNEMSISPTGSPAINSRQLSRPSKKVRA GIELAGRPLPLPRLLETLDKSQLRAVLQTICERHPGIGHEVMVSAPRPSVNGALEVLG EYQDKLRAAIPFGNSSSEYTYFRVKQPLMALVDALGDFTPQFLPPVEQQTTVSLEYLN HATKIIHDLPDFDSQQYRHHKDGAYDEISRAWALVITEAAKRGGGFHLHNGKWDQVLA KHNQQSGGKLEQAMNAMVNEVGWVGANSNAHGQGSSSDPNSILNQLINGTYGAPVQVG PF NEUTE1DRAFT_75195 MAATKDLETASAQESAPIDAMDTTADLASEKKSKKEKKEKKDKS SKKRKATEEPAESTESAETVDAMDTTADAAAAASSDEKPKKSSKKRKAELTEIEIDVT APEPPSKKAKRLLKKGKTLPTKPSSDKGDDSDDSDNETAAKDGDKTKKKKEKKERSPH GVWIGNLRFTVTKSELKQWLVDNSGGSITPESITRVHMPTAKAQPGQPKKEKPENRGF AYVDFDSLATKVAAIALSETEWYKRKLLIKDATSFEGRPEKKKEEEEGDAKGAAAGGK KDEALVGGKFAGSTKIFVGNLSFDTTEDDLRNHFDKCGAIRWVKVATFEDSGKCKGYG WVNFEEGEAAQWAVKGFVKIREEIPELEDFMDEDQKAEAEANDGDNADAEKTKEKKET EKRIKTRKWWVNQLHGRTLKIELAEDDKTRYDKRFRGKGAAGGKKQGQDGGERPQRVP YKKREEGDNGQIKTAQDISVARLTGAPVAHQGKKTTFE NEUTE1DRAFT_115669 MAAPPPSPDTPITLKVAFDGASRRFKLPLRDLTASSLEIKLRAH LEIPAETNVVFERYSDSAGTYVTLDPANTSVYKQLFRAAKAKQKLKLRVNRATAETPA QTSTPEAPQDTTTEAKPASVEGEVTETEGDASASIPTSPVYEETTEVIKSIETDASPS KTFESLQAQRSDIITRVNNAMDRIEDLQSRLPFGRAASVASMPISAISHTDTQESTRS GYAVCCNSCDRTIPYEHYHCSVCDDGDFDLCPDCHNNKGVICHQYGHVLTKRFISNGS ITTVDTMPRIVNQDRLAHSKAAGERLGEAHAEYMAKLQQAEYQRAKRQQAEFEKMKAE SSRSSSPPPPPPASRYESVPPSVESYSQATLRTCNCCIQDLPEAEFVHCQTCDDFDLC KVCFAKNRHGHHPKHAFSPLVPGTCAEADVKSRLAPGRNQLHHAICDGCDKDIRGVRH KCLQCPDWDYCSNCYESASYIHANHRFVPIYEPLEPTHMCPVPRALTHVGVCCDGPLC NNNRSGYTYIVGDRYKCAVCDDVDFCAKCEASPANTHNKTHPLIKFKTPVRNVNVTTT GEHENGRRMPAMGDRPRSGRRVTTSRATGTESFQSNVMTVVDVKPSEPAPAVKTEEAE TPARAYVATYVRDKVVDGTVFGPDHVFEQTWVVRNDGPTPWPAGCFVKYLHGEYMGHV DPAHPTATGDLESCLQSNVCEHPVAPGESVPFTVLLRSPSREGRHLSHWRVSTKQGLM IGHKLWCDIVVKKPEIAPEEKVQEAPAKVEDLEENMAKLNIEPETNDAAPIDHSQVVF PKLDKESPSASVHQEVAHSPVESRPEEEDYEECEDVEWDEDASESFMTDEEYDILDAS DEESVSGPNK NEUTE1DRAFT_134343 MSDKKTGITVFSGGTAANSLVDVFNGIIEKKNCQLNYIIPISDN GGSSSELIRFIGGPSVGDIRSRLVRLIPNPSNNQEKAALKALFEYRLPGDPSKARIEW LDIVEARHLLWAYISSPKRELIRSILNTLNLEIVKRTRPTSTFNFAEASIGNMFLTGA RIFSGSFESAIYLLSMICSIPPNVSVLPAINSNVTHHISAGLEDGTTIAGQVAISHPS APTALPDDVKISLATPSFPPLLHGGHGHGAPPSSANLHPAAMAMAMHDGGIFKLPPQH LTVPSTAGSSTAASVTTSSFSATTTPTRPT NEUTE1DRAFT_97473 MRMSPLFACFGQPLHVFLLACFMPKWFQTLPGTLCYDRRCLDMA FIEHGLLLKRGLSS NEUTE1DRAFT_119501 MASAAPRRRKVIGQRRRVEDEGEEEGPDTLDLDDDSVTEASIAS DDHDLADNDSDTSNVDEVSPSSPKLHKHVGNGAAKAGTRRRASDTAVAKSGEKPTVTD TEIMLHGLSLADKDGEVEEVDFDKVQHHEKGPAAKDPAAPVVVSSNSVAKQSRVLPHE QKRRSEHEEYRRKRDEDPTFVPNRGAFFLHDHRHAGPAANGFRPFTRPGRGRGGGRGG GLVGPFTSVNPPSNPSDPTTSGPWAHDMHEEVAGPRPQRQQPPRYMPDDEGPPNGNGV IHNAPLSNTHINRAMSCVKPLGNVTVRVFIPNISLGPKSFPSIPLKQYTKLPDHRPPL RRDKPVRISIPYHNFPVMPRYIFPASDRSFIFIPRALRPNNQRGRGKGPRSAYGSIGG FSRRTSVFGGSFYGSAYSPSIAMSRRSSIGRDFMMSPTGSVISRPPLPVDANRPVVRL PPHAQQQPTMVATQNMPMMEPSINNIPQPQLHPLPQKPAFQDSTGTAIPMHQPRPQKS VSVENIETPAHPTAAAPPAYQQAFHQQVPPQLESHARNPSYQSQVSTTPLSQIPERAI HAAPFQPNTFSQPGFYAQPVQMMPPQQGYYYPQGMGTDMQPNAAAPAFIPGGVPMPQP MGYTTSGPVDPVAAANAQASGQNGASQGPVAQEINGMVYYYDPNTLPAMVTYPQYPAA APAYGGPGVVGMGGMMAPGPDAFYYQPPQPGMVYYAQ NEUTE1DRAFT_115672 MEIGWGLAAWKLGCQTPPKEARPGIEGAGMGKPADTEPDALRWV LPPASGLVNLEGS NEUTE1DRAFT_119503 MGSMYQVQSKLRQDLGLHKVQAVRKPGRELNGTKAYVSAMARYG FNPTEESRFFHLKKTDLTKEFQRRGYTRHWEQLVRTPQERPDDPQTDNEPIPAEDQQY DTQYLCEIGIGTPQQKVKLDFDTGSADLWVRCTDSSLLHHADKKFDPKKSDTFQESKT DQTWKIQYGDGSTASGTVGTDVITVGGLQIKNQAIELAKKVSSAFSSGEADGLLGLAF STINTIESDGKPDPQPTPVENMISQDDIPKEAELFTSAFYSARDDKSEEKSFYTFGWV DEDLVKASGKDITWTPIDNSEGFWKFPSESATVDGENVSVSGNAAIADTGTTLALVSD TVCKALYAKIPGSKYSYRYQGYLIPSTITADQLPQLSVAVGGEQFVIQKEDLLLAPAD DDHWYGGVQSRGTMPFDILGDTFLKSIYAIWDQGNNRFGAVPKIEVNQHTVFPNTESS PEANSPEPADKIGDVSPVEQVKGAVKSLKLL NEUTE1DRAFT_35374 MFATNSSRPTTAEKANPSLPVEPSQKSSSRQPSFVPSRKSVSNS PIDGPRFSTSDNAVAALDFQGFVDSNNDLPSLATIKKIENYHVLDRDGKSHTFRSLYT GKHVARRVLIIFVRHFFCGQCQEYLRTLSASITPDALLRLPLSTFIAVVGCGNPQLID MYAQATNCPFPIYADPTRKLYQELGMVRTLALGEKPAYVTKHLIKSSFDSIITGIKQI PKGLANKAGDFKQIGGEFLFEPVDIQSPVNAVQWEDMERTLERATRENNEAAAAAAAA ERKRDSSGSRLEPDSDDKETGLGSDSKGTITKDKKIFGGQGHRPSEASIDGKNEEDEG EEKKVTWCHRMKTTRDHVEIPELMEVLGLDGQGEPIKDSRRWSKALETRKGTGFSMAR RMNTMKAEAGA NEUTE1DRAFT_106941 MSITPSSSCLDSPSLPSTTGLGIRLSFYLLWIGLIPATLLRTRS PAPYTVLRAAHAILCSAVFIGLAMKVVASSPGSDTDTDTDKVGFGAVEVYIATLLVSG TAYITLGVVFLAGVKRCLLSPGSGTGTREEKERRRGRGGKKRRKESSRDKGVKEREGC DLLVIMEFILLLMVCGFQLWFWCSGVVGLVRRRDEKLCGKPYGFLFAKVDLGSVAFRA FNIAVVLIVLVAGMVMGVRSLSDGGSEEKKRRMKKRKRDGPSNSSNMDQLQLLFNLLI ATAVLVAIELSIHWNRVTSEVNKINTASQLIPLLFCLVLIAACIVDWFSSTSNSSGDR SRSSRSSGRGSRTSGSGSGGSGSGGSRRSRKESRRPSRSPASSSSSKSSPGPPRPSNF FPPAPPPPAAGPGGFRPPMPPMPGMPPPPPFPPFSGSGPPGPFPPLGSAMFGGHGTRT ASATGSYSGRESDRHSEHESGDDHSYHSHSEHEEDQHSEDDYHPDSIDEEERGGGGGS APPPLAPAPPGAFPFPPQPPPPAQFPGAPPPLPPGFGPPGGGRGGAPPPGMVGRGSGS GSPTFFPFAPPGGGAGRGMMVPPPLPPGFGPR NEUTE1DRAFT_56523 MPNESKAEKIERVKANLPLPEEPPVAPDWQSADSRTVDVGSGRF SGDVSKGEGASAGLREPASTDTTNVDFSKVGKDEAQYVGKGQKESSS NEUTE1DRAFT_75213 MTKTTPYKNALVGLLSLASVLPDGTTASVLPRTAECQRNQYPGP KNPSFETGDLSGWTVVNGAAFGKSSVTTTASSQLGTGPFNQVGKQFLSTNLQDGEAAT GTLRSSTFKASSVMSFLIGGNYDPANLYVGLVLEKDGTLLFSQTATNDEALIRIVWDT SAYAGQNVYMVVVDTSTTGHISLDDVRTGCHALKDGSHTFNILGQSNQPTKNLTALAA ASRYAVDPTRPQYHYTPYQGWINDPAGLIQWGGKYHLFNQFNPVAPLWGPMYWSHAES TDAVHWRDLPVALTPPYVNNTQDTSGRYTGSAVKNQDTGALQLIYTDATNVTYHPHAV PEVVSSAVSTDGIHFDLYNGNPIVAEAPPNSAAGFRDPKVFWDPTANNWKMVVGSGDG HTGNVQLYKSTGPSSSELLSWEYVGVLHQGDGSRGIMWECPNFFPIDDKWVLFYGQDK GFKGWYEVGSFNGTTFVSEKLGLFDSGPDSYATQWFVDDAGRNLAVTWINNWNTTKWP SRVNGWAGTQSIMRELFIREDGGLGQKPVEEVSLLASGPAKSLGQTDVYGTYEVGFTD TARLQATVDLAASDAPAFTIELFASSAESTSLVYNFANRSLTIDTTQAGYGQAGTWEA TIAKPANNRLSLDILIDRSSIEVFVGDGTAMTVRVFPRYQESKNIRITSDGGKKTVFD DIVLTPMGSAWE NEUTE1DRAFT_143752 MPIPSPTQLTTFSSLPLSGDSSSPSPPETVPDHQTNQDTYLFAT IKSNLTITKPTLILTDSASQDFALVFDPPSAVVSSGPYTPDAVADKFKQLGLKKGNTV IIKNARRTTKKAAGREEGQGFVVLRSLGRLATEPEGGLRGKGGDGDREGKEGEAELLG VVPSALFLTRVMMDILRQRDESLPGDREEKMCDGKFDRCVTPRGKEEGGDGSERGLKR CQGCVEVWYCSKECQTRRWIAHKSECKTIKALRGIWPRPEGVE NEUTE1DRAFT_75215 MSSVLAELQKLVTPTPPHQPPLNTQKNKAHHQSNPIKTTYESLP IDPPPTFLATEPGITPVPTLTEIDWAKTDLPENKGLYAVVLDGVLTRDECDELRKLAE GSVPLEKWVHEEQDSTATATKKTPWAPALVNVGLGYEVLTPSYRNSSRIIWDQQEVVD RLWARCCLVPGLMERLAVLDAGRERDVKIITGRSLPSPAADGDGKQETGKWEFVKVNK RMRFLRYGEGQFFRAHCDSPYRELDPINSDRINETLFTIHLYLNDCKSEAPPDKKDET ELVGGATASLSGDEKRKYDVECKTGRVLIFQHRRVFHAGADVVKGVKYSVRTDIMYRE VLNEPEEKEVGQGSEQAEKKADDDKPLKEDDGGK NEUTE1DRAFT_37375 ISFISCFDQNSNNFSSSSSSSSNANGKTNKNNGRRTVLLAAGGG AAAAGLLAVGDDVKYTYEAVERTGRVASTLAICVNDYRVTLNAREKIEDPEEKQRLLR ECHQRCADRTLEVLEKSGGIFIKLGQHLSAMNYLLPPEWTTTFIPLQDKCPVSSFESI ERMFLEDTGTSLWDYFSEFSREPIGAASLAQVHLATIKETGQRVAVKVQHPSLQRWAP LDMRLTSTTFKTLKYFFPEYDLEWLSSEVEISLPKELDFTCEAENARRTSRYFAEFAP SLPLVIPDVLWAKKRLLVMACESGHRLDDLAYMDAHGIDRDEVSATLARIFNEMIFGE GAPLHCDPHGGNIAIRYHDNNNNNNNKSKSKSKSKSNFDIILYDHGLYRDIPLPLRRS YAKLWLAIIDGDIPKMKRYVYEVAGIGEDKFPLFASAITGRDFINVVSATDSGGVLKP KEASEQKSMSTALQEGLIVDLVQMLGQVPRIILLILKTNDLTRALDESLHTKQGPVRQ FLILARYCMRTVFYEQLEEIRGLGSIWRPANLVRLVGAWWGMARVEVKLEVFELWLRV KRALGLGSGFGMGMGMGEEAQQQVVPVGKKGQKRLEREVEAAAAVSVAAAAAA NEUTE1DRAFT_36209 MGVETKKKSSERPLPVPSATESSIMSPTQSELGTEDKSSYSLPE DGTPVTIKTHNRGKSQTSLLIEYFEGGKGAPSGDGRKPSVRVRLTPSHKSNRKSSGSS RGRIEITEAKSTRRSSQSRRADRHSQSALARSENELMSVLSGADEDNNSYASATEESS VSRNIEIEIGERSERSANRRARRPASPLIPSADSKNSYNAGNTSDISAIPSDSFLDEP YVSRKNSDVKSPGSRSMSPSRGGDALAGAATGITAAAVTDKLRTKSRDESRNREYERG EREKVSVTKTRGDKEKSGSNNRLHKSTKSRTSSLSKEERSSKRSESPRRRSKQSDSMV SGADSSMLSTAAPSQRSVGAESMRSGQSKASSINNPKLLETVEDAIRRLILPELNALK REQSLRQDRKAASTTSSATTASRDEGSDRRRTSRADRNSGTTPTPKEPIKIEKRDREA RNAFDESPAQSALSQDTRSDVRDIDHSPTGSADRLKTAAAGAAAAHDGLKSPGENRSR RRRRELRNSAGNEYEDYDDDASSDFLAPAPPMPLLSDLNPSDVTRASILSADTDGPHS ASEEIAPKQDQNGQYHTEDSTPTPTRTPITLLSLGTQHANISHGDLKQLPRQRGAENQ GNDYHSGAPSVDSYDDLDDYDDHEREYGNSPYDYYNTQEVPPPLKYVPYQPEKRGLSP IPSVSGYTEGSEAPNRDSRAFGGSVSSGSRTPENMSVASLRTAGMDRSNVSGMTNSEV DGNGHAVRAVGANPNYVHTSGVDSNHASFVEGSVVDSLRFSGVNQQPYRNSMATNGSQ EADRPDTAGNDSQASYDYQEYDVDEYGRKVPRQRHYTTASEAAITSAAVGAAAAALRQ QGKQENQGTSEWQGEGVQRNQSFKERAQNGSGPALQPKHSVDRMSDVSVPIKLGFSGL PDISSPLPDFEHWNEDDLLTNPSLLNGEEGGEWEGDATPKQRPQSSVDDFNYRPLDGT HDALQKGLNLSGNQQSGQEQDEWYRTDEDRKRDTLVTNPYEDASPIANLAGLGDSLLS PGGRDNYDNATRSPVGQKVDEGYISQGPNKTPDTSMDKGKGLAYGAPLNLGGAKNQNP MDFFGSGSRQVSGMSDGMESQMYDPATGTGIDKIESKDIMALMQHLMVRDAQRSARDT EILVTLVRAATEMRNNFEDLKRLLADTEDVIITEVKENTEKTVQRAINGPRPYPGSAP RSLHSNSQAGTTTTMDEMSNKKQKNIFRRALMKGLGHKGPNDLGRIEDMLMQLLTQVD VLKSQTVPGAGSAPMSHQDERSYENMQSQGQYEQDRGYEPEGHAGTSTNSASQSGQLS IQSRGTSGQQNFGRKVSGHRISTVPEDNEDEYDNESIRFGGPEVLMTPAQEQRSGSLQ ATPRGSPPTASGALQMSPGYENTRSPAYENPQSPAYEKTRSPDYQQQYESTRSPDYQN AAKQNEDQKKKGRSSWFKSRWSESTTTTNITQLFRRSGQSRKEEEDEQQWSPIRPAEQ PTMPTYRNNTVSSRADSVATSDYSDMFQFSNPHPKPKPNNNNNPYSQGRGPSHDVHED PESPVLAYNSMQPPQPNWVTMTPEEAKYKAHRNSLNLVHPQPRQGQTERFKQALESQA LGFTGGNSPMLSPKSEDWAGSATSLNRLPRNLNRDSFDSQGNEANPNWQQIYGSSSPA PASLNATSGGPPRPPKEPIDGSTGSGPNSPSQARRLENKNLSGATGQVSRRPSGPRPM TPSNDREGRGSGGNLSEGSRRTGSLLRD NEUTE1DRAFT_75224 MGSMERREGSGSDGTYGLGKGNMEPEPTIGRDDRAGQAGRQATL LGSDKVGGGAPSRENREAAQYPVLPCTSLTWKGPEDRPPCFHPW NEUTE1DRAFT_127212 MHDLRKKALLESGKTVSRKARARPEAPRSGGTLSPGNGSPASSR PGSRPGSRAPSTAGSRAGSRVPSEDGASEYDFDDAMTISSANSVTGEDVYEDDTSNTW PERLQDRIAELQDRKRSSVQGREATLKAYTHILKHHFAQKHIEKNISDIHAALLRSIR SGSSDQERLLALQALAVTILNCPSDAIFDHVWSALKVACEDSEEDKIKVEAINAISIA VSLGGGSSSAAEEVLDFLLEIIESDGQSVGAPDNGNVVAAALQAWGFVASYMDDLSVQ RDQAMEAFMEQLDSTDSDVQIGAGVNIALLFEAARDDEEETGESSDLQYNQHRIMTRM AEIVRDSSKAVSKLNRKQLKTNFQSIITSLERGLGPGYSTAGRSSANPHTGGSRMESG AGGEDGFVEFGYREKLRIHNQILIIDTWSTHARMETLKTILGGGLATQYIENPAVREV LEGADVEFIVRTNRRR NEUTE1DRAFT_115679 MPVECLLWLSLPSISWVWFKCLHMNHGRTNQKRLGLDLPAVTEH LYGLGKHLV NEUTE1DRAFT_56542 MSTNSEPASTGNLPAISISLTQHPYTDHPFSRRYIILDKQNPTL KIGRSSKLAAKGFVPSPQNGWYDSPVMSRQHAEIIADFTQKRLKLRDLGSLHGTYINE SDQRLEKDEQVEIKDGDNIRFGVDVMRKKTFPPTIVKVGLEYVEVPKAQLEQGTTRPR ASSTFKVPDVSDSDMEYQDEDSNMEPPGTQLAARGLSGRSWKGEVIDLTHPVGSGNVI TDPRKQVINVDQDDNYENNWGQSDKINDSHQNHDSHSKSDIASIPSPKSPIGSNDSSC SNYGSSGEDIWPQNVGALQPTASEAPNASLHVSLSQHPVLSQYYAALDDDDDDWDSEM SSDYEPEYEPESANSEVSGGDLNGGFEYDYPYSESDSDFSAGQDSDAESEAEGVYGRE PSSNWAMPDFSNVVTGPSPIIYKGHSTARLVTPDIKDDVIDRAIPQVNYATPADIRPA SYPVDSPLFLSFTHPRDVQLATPRSNKSAGDIDDTSPINKPLNGPLVEMEEAPWYPSD KAKFFEARKENRMTIMQSSPVTREYSRLAISDIMDENAPVGKGKRKAEAISSNTEEDL AWQASEVQKSEASGENEREEVQEVMEVDKDVEVGDLIEPSTEAATEIAMTVESLDLPV SVVTSTVRLDDDDGDRPTKRQRLRNIAERVGYVALGGVATGAMMFGALVYTAPTFV NEUTE1DRAFT_56543 MDASHNNHAGQWDPNSFAAENPWEQQFAFTPEHGHENVYHNPGA FIDPPQQVNPQLAGHDTQTNAYGHYDYYPQSEAWTGPSPASAPYAQEPLTQEHYAPAQ QHPQHHHQQPPQQQQQHPYGNHRTIDSRFALVDLPQENEYSNHHIQIPERQEVVQGGF AHGAVPPRQQSADGYLQGHVSEQWQRVPMASSGYATNGEYQNPLAMPQAVNHQQPVVH QSQQPPQHQPQHVSQQHPQLQRQQQPSQPQLHLPQPQQQQQQPPQQRQTPTPIQYQAG HGPVQGGLTSYHHVQGHHTPEPQPNQAHFMQTVNGQHIQNRASVAQIPAQRATASPSP LSHTPIQSAPIQQKPAQPPVPQPIQPKIAPRSMAQPAVQQMVQTPAHQTAQPSQSTPQ PTPQPTPQPHGQTISQAPQHQTIPQGFVQHQQPSQPQAFQVPISQSQPVQIQPFQAPA QERVVQAQATQQTVQSPQNNVISGVKRPGDVQEMQTLAKKPKVVQPASSIANPQVISF ANNNSANPGFVPDVNGQGDGGDVAGLFIVDEDLIDKAIETPGCTVPGVPHLVIDESPV QLKKGPPTKRFVTIVAKAGKDPLFPGLERGWTPAESLSNHAEAYQTAKEVLDRQRADI RLDIEMKRAKTEMPADWWKKLSKGELGTDAKQRGSPPPEPTLTAVKASELLRLHPAHK KNRKVIVHTSNEFGAFVADKIAALRAAPAFEKLVKDVKNKGKGSATLKPAAVETLKQS LQPFKTELEAAIVEGLKVGDPIILRMVGERGILPVRLLNLLIQLFNLGEATSSLAKAA LRLFGCFTSLTPEQLEAWKFQTTKGKLEGLGDPEINELVATIIANAEKNAGKESPAAK SKKEASSDAKKTPAKTTVSTTKRAREDDTNGDARTAKKPSTDGKPRVTATSKSTSDVK APSPGSKTPPTTAKPALKTSATTPATTASKPRASYLLPGKARPSAKPVPKPEPSKAEP AKPLVKAASAKPSTALSAIKSNAPQAVSSAGNSAKATKPKEEPPTSSRFAALMDEIAA PRKVIADATPPAESAPDPNETEEQRRRRLRKEERRRKNMRVVFKSDDQLCQIKEYTLF PEEMGHRQSRDVRSDSKDKREGMALKKGHAGELRPWEEPNSVDLEVLSEEVRKESYVT MGGVKTFRTEQQAFMDDREAKELMVIYTDPSDIPPTPKSPAYEPSLDDGSGSDVQLPA GLEYDELRRRATDLKNFGAHRSVYEAKARLETQSSPGFADFTETMKSLNSITGPYAGY PIPVQQPTAVHVAPVAAARPEGIPPIIVPVTNEPPEVRDQRTYELISSERVRYYRDPE PYDPARPKTYVKRTVPEVKQQPVPAVPVVPAQASAPAQAAQPAAAPVDYSAAWAQYYA QQAQQGQQPDANALQQQQAWYAQQQQQQQQPGAFGAYQQQMAQLAAQGVDLSTILPAL ASQPNANPQQAAEIQALIATLASGGQQQPNQPADRQMTEYLTSLMNWAGGVPHTQPAA QHGGYDPYQAGQAYDHNARDSRDRDPNSRDRDSSGWDQQPHHGGRENFRERNDSRDGG RDGRDQQQQQGHHRGDKPHWKNKKNRGDRNGDEVPDHLRGINRSLIGTKQCTFWAQGK CAKGDKCTFRHD NEUTE1DRAFT_34338 MYEEKDAIIKYDDGRCDKATKGDKRSRAADARWHWRTTGLSPKM AQARNEVEVCTREARASVVCARGK NEUTE1DRAFT_56547 MAPTPGNSLRDKQILSIKKLLNLNQPLEPTDGDDSNGFQSASAP LLKDIPTWKILVFDELGRNVISPVLQVSDLRSMGVTLHVSIAANRQPIPDVDAIYLIE PNAKNLQQITNDLQKNLYNSASINFLSSVPRPLLEDFAAQTAVAGTSEKIAQIFDQYL NFIVPEPDLFSLGMQKEHTYWALNSAKTQDEELDRVIDRIVSGLFSVVVTLGVIPIIR CPRGAAAEMVATKLDRKLRDHIINSKDNLFSAHRAAASSTGTPKPLLVIMDRNIDLIP MLSHSWTYQSLCADVFGLSESNRITIESPVDSNNPAKGTNKKTYDLAADDFFWAKNSC LPFPQVAEDIDIELTKYKEEADALTKKTGVRDLDHFEQDSSASAQHLKAAVTLLPELR ARKATLDMHMNILAAILGEIQSRQLDNYFQLEENVFKQTKAQVLDQIKTADKGKPEDY LRLFVIWYLSTEQDVSRQEWTQFEEALAAKGCDTTCLSYIKQVRATTKMTQLTTVNNP SSSNQQQSGSSDLFNRFSAISSRLTDRLKETGVPTGALSNNVASLLGGIKNFLPEDRD LTVTKITESLMDPSAASSSAIAKTEHYLYFDPRSANARGTMPQPSAMRAQGSGSSLGG PGGLPGSSGPGQTASFGQRRQGFSEAIVFMVGGASTAEYSNLQEWAARTTTGDRAKRR VIYGGTELLNAGSFIKEELERLGKEVS NEUTE1DRAFT_75235 MDPRMHTAPPAGHPIQPGYQLEDNPYHHQQGFDIPAGPGRYSPG DALHIQTPQPIEGMGGYNAPGQHYTPDYAVNPEEHHDAYYNQPYEPQVGHDPYAAAPT PPVAGYQAHDDQRPMLMHTDSQVGQSDPYHDEPQPPTNNAPIKRWKTVKQVLLYRGNL VLDCPIPPKLLNQLPHGERDEFTHMRYSAATCDPSEFYEENFTLRQKLFSKPRHTELF IVVTMYNEDEILFARTMIGVFKNIEYMCKRTESKTWGKDAWKKIVVCVVSDGRAKINP RTRALLAGMGVYQEGIAKQQVNGKDVTAHIYEYTTQVGMTIKNDVVQLIPKQQPVQML FCLKEKNQKKINSHRWFFQAFGRVLDPNICVLIDAGTKPGGSSIYHLWKAFDLEPMCA GACGEIKAMLGTGGKNLINPLVATQNFEYKMSNILDKPLESAFGFISVLPGAFSAYRY VALQNDKNGQGPLEKYFAGEKLHGGDAGIFTANMYLAEDRILCFELVTKRNCHWILQY VKSATGETDVPADLTELILQRRRWLNGSFFAAIYAIVHFHQFFRSDHSFLRKIAFFIE FVFQTVNMIFAWFAIGNFFLVFKILTTGLGDDKLLGTVGEILGVVFAWAYGVTLITCF VLSMGNRPAGSPRLYMGMVVFWAIIFIYLMFAAIYIAVVSIQTDVQKGLSFTDLFRNE LFYTLIVSVVSTYGIWLIASLLMFDPWHMVTSMVQYMLLSPTYTNVLNVYAFCNTHDI SWGTKGDDKPDKLPSVNTKDGQGKTDLPDEGDLNASYEREIQVFSRKYVKPVTAPTSA QLEEKQMDYYRGVRSMVVLVWMITNFALCAVVLSTAGLERIDPEEGSQEQETTKRATI YMSVVLWSVAVLSGFKFVGACWFLVVRMFRGV NEUTE1DRAFT_119516 MERTPSYTITEPHPTVVQNTYTKAGRGGAGNFFRAPKTTPSTGV PTKVTPSAQPSAPARFYSGRGGAGNAHTYTQRPTLSFDEEYQRAEVREKAATMGYVGR GGAGNIFSTVSDKLSIRSESSSRSRHRRDSVSTTESHRSGFWRTLSNLAHKG NEUTE1DRAFT_127218 MTDSLHNAPIVLDNGSGTIRAGFAGDDVPKCHFPSFVGRPKHLR VLAGALEGEVFIGQKAASELRGLLKIRYPLEHGIVTDWDDMEKIWAYVYDEGLKTLSE EHPVLLTEPPLNPRANRDTAAQILFETFNVPALYTSIQAVLSLYASGRTTGVVLDSGD GVSHAVPVYQGFTVPNSIRRIDVAGRDVTEYLQTLLRKSGYVFHTSAEKEVVRLIKES VTYVAHDPRKEEKEWAAAKMDPAKIAEYVLPDGNKLKIGAERFRAPEILFDPEIIGLE YPGVHQIVVDSINRTDLDLRRDLYSNIVLSGGSTLTKGFGDRLLTEVQKLAVKDMRIK IFAPPERKYSTWIGGSILAGLSTFRKMWVSIDDWHENPDIIHTKFT NEUTE1DRAFT_119518 MATTTEAPLLLFTTLRYDPLLLPGSVSQNESSLFAPLYMLPYHR DRILRAATHFKWPAVISLLSGDSGLETLSSFIYSSLSDEQRSHPNRIRVTVSEKGELG ITISPAPQVPSTINFFPNSLPEPGTNIPSLCLMGTSTPFEVLVDTERSKKSAYTHFKT TKRQIYDAARKRHGIALTDRKEVLIVSEDGEVMEGSIATPYFWRDGRWVTPPVGEGEG ETEGDWGSGGNAGTTRRWALERGIAVEQIVRANSLVDGEECWLSNGGRGFYFGKVKLS NEUTE1DRAFT_75247 MAPTIPPPSVPIPAATPVTVPADSSIWDRVSNWVSEHKAVVYTI AGVSVVITTAGVVYYLRKGSEQKESGPKLSKKERRKRKQAEKEEAEKASTSKTEEAAP TQPKAAAVESADELPEIDEESVVRLSEDERKAYAAKLKELGNKAYGSKDFNKAIDLYS KAIICKPDPVYYSNRAACHNALAQWEQVVADTTAALKLDPHYVKALNRRANAYDQLSR YSDALLDFTASCIIDGFRNEQSAQAVERLLKKFAENKAKEILETKPPKLPSSTFVGNY LQSFRSKPRPEGLEDSVELSEETGLGQLQLGLKHLESKTGTGYEEGSAAFKKALDLGE LGPHEALAYNLRGTFHCLMGKHEEALADLSKSIELDPAMTQSYIKRASMNLELGHPDK AEEDFNKAIEQNAEDPDIYYHRAQLHFIKGEFAEAAKDYQKSIDLDSDFIFSHIQLGV TQYKMGSIASSMATFRRCMKNFDQTPDVYNYYGELLLDQNKFQEAIEKFDTAIALEKE TKPMCMNVLPLINKALALFQWKQDYAEAEQLCEKALIIDPECDIAVATMAQLLLQQGK VVEALKFFERAAELARTEGELVNALSYAEATRTQIQVQENYPELASKLQGMSGGPGMR NEUTE1DRAFT_56564 MSYNQNPYGQPSPYNDGPSAEGGYGGSYGGNYGGGYDNNGYGQQ PESHEMQTYGQQQRQPHGPDTTATGAPLSQQEFLNSVRDVEGHIQQFRANLDQIRTLH QQSLSDTSGRPPPGLEQLQAVTEQLKSQIKTEVDNLVSDATRTGDGTFNTKKRQAERL RDLYKDAIQAYLVEERRHKGQIGEQAVRQLLIVNPDATPQEQDAVRNGDMQQDQIFQS ALLQSNRVGAAKAVLGNVQARHQELLRVEQSMQELAQLFEYLNTLIVQQGEVIADVVQ KTEQVNDNMDKGIQEVDKGVKHARNRRKLKWYCLLVCVLIIIAIALGVGLGVYFSNKK N NEUTE1DRAFT_115686 MSLIDMTCSFHRGNLPVLTATRQAADPATTPITSQTLMLSFMVP PERRSWNTRLAILASHVLPRAVEEDISVNSPRHLSGLPIASCY NEUTE1DRAFT_134364 MIRKQARQRRDYLYRRALLLKEAEVAEKRAKLRSALASGKPLDP EIAKDKELRKDYDYDVSRDVNEDAIEIDDEYSELSGVIDPRILVTTSRDPSSRLGQFS KEIRLLLPTSVRLNRGNLVLEDLVGAAKAQNLTDVVLLHEHRGVPTAMTISHFPHGPT LMVSLHNVVLRADIPKSIKGTVSESYPRLIFEGFSTKLGERVVKILKHLFPPREPTQK PNVGNRVITFVNNDDTIEVRHHVYVRTSYDSVELSEVGPRFTMKPFKITMGTLDNKDA DTEWHLSQYTRTSRKKNYF NEUTE1DRAFT_93571 AEEQGFSEFHLYVCAAFLVKWSDKLVKMDFQEIMMFLQSLPTRE WTEKDIELLLSEAYIWQSLFKGSSAHLKGQPSSRAHSLNFQL NEUTE1DRAFT_56573 MFIGGLNWETTDQSLRDYFSQFGEVVECTVMRDGATGRSRGFGF LTFKDPKTVNIVMVKEHYLDGKIIDPKRAIPRDEQEKTSKIFVGGVSQETTDHEFREY FAQFGRVVDATLMMDKDTGRPRGFGFVTFESEAGVEA NEUTE1DRAFT_115688 MSTPTPAASGTATPIVELNAEEAALSLKVSLADLSAHAAAQYAQ KNYDEAAELYAQAAEMQAEMNGEMNPDNAEILFLYGRALFKVGQSKSDVLGGRAPEPK PEKKAAKSKKQKKAATEEKEEGSSSLIKDAVEQATKGAQASIAEAAKEVAHKDEPNPE KKPLFHFEGDENFDDSDKEEEDDDEDAEEGEEEDEEDDDLAVAFQVLDLARVLFEKKL ATLEAEQKTQEEGKGKEKESDEAATTTEASPLIKHIKERLGDLHDLLAEISLENERYP AAITDSRASLKYKQQLYTQDSEIIAEAHFKLSLALEFASVTKSSDDDSAVPSEKAGAG GAGVVDQALRDEAAAELEAAIASTKLKLQNKEVELASTHNPEDNDATRRQIADVKEVI SDMEQRLVDLRKPPIDINEALGIAAPVSGTKGESSLKVAEEVKEKANDLTGLVKKKRK AESEAAPAEEGAPEAKKVKEE NEUTE1DRAFT_115689 MLQAIDNVHDRQFLAAELASSATLAAELAQPEIKNILLAASGSV ATIKIPEIVKALARHGDKIRIRIILTHFAKHFLGGQSKEQPVYSSLLDYPHVEAIYDD ADEWGPEPWQRGASILHIELRRWADILVVAPLSANTLAKIVNGMSDNLLTSVIRAWDT DSSIDNKKKVIMVAPAMNSAMWRHPITAKQIRVLQEEWGVRDPEPSEGDTAGVAVANG WFQVVMPIAKTLACGDTGGGAMASVDTIAEAIESRLGLE NEUTE1DRAFT_97501 MDNRQNFNMSIRNVVNSTTTPQSGQSDYHGNPELRTTGASGAQH AYHPSTSDFTFRPTAGFNVPRHFERAQFTTGAPVDHPGMTYEGTNYTMAPQPFGVNNR TCLLNKGSRIDTIHFHPKLPSAIGKLRSSGYSLGLDLSSLGMAPSRIKPQSPQEGSVW SQQQLPTPPHNRGGRRNVRMETSSSNTPTAEQSSPMVDNASIDEDEQDLNLDWVTRAA RYLDPRTEEDRTDRIALEADLRRGGTRCYHTSKCNTNAPPRKAVSQFFGRNKKATRQM PDRVWCNLCRKHYQRARYRNLAEYSLDQCNLIIGTIVRAQIWSDISHWENPNLKGGTL NGWKLVPRKREQQRQEEASKAREKARLQRDKKRSRDDYEDELDELDFLPTSRVAPALL RLCDRVYSSVQIIDIIEEHFKAPLEEALNSGHPSHGLPDVEILPEYDGTDETLEKERK EKANKKKANTGNVTTPEKRRKQATPGTDSDDSSYHYPHQQRAAYGYDDRQYAGAHNNL PSPSPSRSNWPAHYSQGPSYSYSSGSGYNDYNDRSYAERQTSVSSPNFNRTDWAPSYR QGPSSYYQSGNSVNSGLQNNTSATYSGTTLAPIGSSRGYVNPSYTGLTASYSAPGGLG TGGSGSMSTSGSSLNPMLQNDNSFYQPVSQRRETIAAYRPTQPSSYEPRRTFSEANNQ YAGGPSITASHAASILTNFQDNTRPKYEGGSGTSESMQGSGYADYSSGSYPNRY NEUTE1DRAFT_75265 MRLTPLVTLLGVFLAWATYEIYAGFRSNIAKARKTGYPVLITPI YPLSPLWLTTRHFLLPILTRLLPERLYASYVFVMTPDWEYHPSPRSHFTRLGSDTFVV ASWNGLACYTSDAAIISQVMSRREAFPKDTRQYGILEIFGSNVVTTEGQVWRMHRKVT SASFNERNAGHTFREAVRQTRGMVGGWFSSSFSGSQEEGRRGGEDGVEDMTGVITTLE HDTMRWALNIIGYVGFGLKLLWPHESMPKDMDPKLAKYGSLQPPNGYSMTFADSLATV LERIMILLLIPSAVLKRIPERWGSWGSKLHEAWEAKVNYSKYMNAFLTEKVQDIRAGV QEREGMNIMGQLVRSKYDPSSSEKNDGATMKLEDSEIMGNAFIMTLAGHETTANVIHF TIVELAMHPEVQRRVQRDIDAIFGRDSDPETWDYEKSINALLASYIGAVINETLRLIP PVVVTPKRVADTDQWVQDGGQRHQMPAMMPVMPVISAVQRSRRYWPALHDKMTKQEDA DDLDEWHPDRWYLPSMSQGQSSTDDEKGEVKQQEENYGGYQGTDTSPTLFRPVRGSFI PFSDGARSCLGRRIAMVEMVAAIAVLFQKYSVELAVDASDEEVQIMVAEQRRHVYVKA QEKAKETVQQAESVVTLKLTGGRHVPVRFVRRGKERFMGNEGLC NEUTE1DRAFT_34830 MAQPRKKDRMGPPLTTSSVSSVSTAPTPSSTLYRNGRQRGTLGA EALLRSRLEHRSRTACQSSTTSSLGVPGIHTPAESVATLRSSASETLGSREVTGSTIC LNPLQEWKGSHGDRHEVASRTTSVSSVGTSSCAHTRLLQQLRDLREDNERLRTQNNIL QREAGRPALTDEEEGRRLSQLTREERTATANAPRRSTAGLFKSVCSIDLLFLIDTTKS MRPYIESAKSQVRSILDDINRFFLNQAEVRAAVVGYKDHEAVPKIEFLDFTRSVKKVR SFLNTLNASAFAGDDFAEDVLGALHQALAATWKHQTRVVLHIADAPPHGRTLHDLSDK RDRYPNPGSEPHGLTYQPILKRMLDTRINYVLLRIKKSTDRMALQFLKEYARAGSDCM LSEKNRYYSEAGGTKNSDGRPNGGLVFREAELGLTFAALQRLVVEAVTTSVMSSTVRS LTPFGSIKRAPGGTSSQRVNYSGLGSTQENEELPVRRPDVRLEKSSPQWGNLEWFDEC LVVEGFSIDATVTAALDDGFALGTSMLDRMMESDTNMTVSVFGLNLRKRRTPFAKGAL RLASFACTEYSRSRYVVKEFKTDGDNEDDGSHNRSLAHLVEDMRSQALCKAFAVEFNS LLADCPEHNIDFVVTSCFKCNDRRGSKGKCMSIEPFLAGKFVKYNGNAGYANKEANLA HDPSNQAAQAFSHFTFERSRGRFLVCDLQGVGKTMTDPAVHTLDPYRFSLSQTNLGAE GFMFFFAYHECNHLCRRLSLRSSATMFMGDGEKMQFRDSWPSPGLGNRLYGDEAVVCC SNKLCGRILKRFQAVTSKHPSFPGYRWCDECLPQLGDFNVIRLCWPVGEQRHRFEVSG FFYESQGKRIPLRCPKHDK NEUTE1DRAFT_75269 MSGTTNSWLARQRKSDLVELAREIGITSLDGLKKSDIEQHLDNF LSQNANRFSSDSRFTSYFNSRARQSPIKRESDVTPNRAPRRRATNKVEVEIPAATIEE SDSIPSTTSPSPEPDSKQAPAPSEVLHAAAQVTQDAIVSTAQSAVQNVQNPGHALSQL NNTFSSSTLRLPASQAELAQVVESGTLAVRNRVSDLYRRSGIHEKAETVRESLSTVNS VAVTAAAFELYFLRKEVIPDRYAFTIPAVKLLGGMLGWDEYPVYVPDMFALVTAGFWI PALVWTTTSLILPSLFGYFFNLSVAHSNPFSTNSGPTTRRQSRELSKREPEYAVDPVT FSIAKALITYVVYAQGVTFGGWLDPDAVFRINGALYSGWKGVLVGAAVTGVSGLYDAV LRK NEUTE1DRAFT_75270 MEPSSANTGFIQQQPIIKNQFHEDVSLQRIAKLYLPPSLLEKVT PEISRLGDAVLSQQIFDWITDAERNTPYLRGSGRDAFGRPKQELIVTEGWRKLQEFGF ANGVVAINYDSSSPSNGPYSRLIQFLRCHLWEASCANTMCPAAMQDGAARLLQRHLTT PQLAANLSETEKSVFQNAYDHLTSRDPAYTWTSGQWMTERTGGSDVSQTETVATYSPF PAGHPVPLASKEENMPLGPWSISGFKWFSSATDSQMTILLAKTRPGMGVSAFFAPMRR WNPELVSPTFTGTGATGGGGTELNGVTISRLKTKFGTVSLPTAELELKDMRGWLIGQE GEGIKEISTILNITRVHTTVSSMGYLGRGIGVAKAYALVREAGVGKGRRLPLYKHPLH MRTLADLTAEYHGLMLLTFYTLYILGLDEHLSSSSSPPVVPPPIHPLTPPTPKVSPLL RTLSSLHKSFICHSTIPLSFSCMESLGGLGYLLNSDSEHLNLSRLFRDACVGAIWEGT TDVLASDTLRALKHPSAGVKALEWLVETGLAASIKKVWEGLKKKFLDKGKKQQEEEKL VSEARGLTHRLAEVVIAVLWVVDARVRPGREVEGMKRRWMAKHGFGPSGGGDGEEEDV KVEGDGLELDLAIVYGEGGPKGVVEGGSVPGVSKL NEUTE1DRAFT_143773 MAANNMVNPAVDPALEDELFAKEVEEVKKWWSDSRWRQTKRPFT AEQIVSKRGNLKIEYASNAQAKKLWKILEDRFAKRDASYTYGCLEPTMVTQMAKYLDT VYVSGWQSSSTASSSDEPGPDLADYPYTTVPNKVGHLFMAQLFHDRKQRQERLSVPKD QREKLANIDYLRPIVADADTGHGGLTAVMKLTKLFIEKGAAGIHIEDQAPGTKKCGHM AGKVLVPIQEHINRLVAIRAQADIMGSDLLCIARTDAEAATLITTTIDPRDHAFILGC TNPDLEPLADLMMKAEAEGKTGPQLQAIEDDWLAKADLKRFDEAVLDVIAKGKFSNAK DLAAKYQAAVKGKQISNREARAIARQLLGQEIFFDWDSPRTREGYYRLKGGCDCSINR AISYAPYCDAIWMESKLPDYAQAEEFAKGVHAVWPEQKLAYNLSPSFNWKTAMGRDDQ ETYIRRLAKLGYCWQFITLAGLHTTALISDQFAKAYSKIGMRAYGELVQEPEIDNGVD VVKHQKWSGATYVDELQKMVTGGVSSTAAMGKGVTEDQFH NEUTE1DRAFT_106968 MSKVVEWNDPYARVDMAEMWISNKWYCGGGAPASGTSTTTTQQQ QHQALLARSPTLMDNVPAFGNSVHGGTTVRSMYDWLGHGLVSMEDVGLQRDMARPKGG SLLPLFGCGQAPQDAL NEUTE1DRAFT_75276 MAENAIVLSDDDPTLSLDEDFRPQSSASATTTSLGKRKLSVSFE EKVEWSDDSDNGEKLKARRTAKRRATLGRVRDRGSKGKGRGGKKAATATDRTRDDEII ELNQPTVKKEEETDYAEAFIPDYLLERRKKFNKDRELLRNAGLKLPPDFHDIYFSDDE KGTKYEQRPKFEESSGIKPCRPYKDVELEYSAGTIPACIAQYLRDYQVEGVKFLHQKF VYQRGCILGDDMGLGKTVQVAAFLTAAFGKTGDERDAKRMRKMRRAGDLWYPRVIIVC PGSLIQNWKNELDRWGWWHVDVYHGSNREDVLQAAKSGRIEVMITTYDTYRNCHEAVN TIEWDCVVADECHILKNTVSETTRAMDKINAMCRIGLTGTAIQNRYEELWTLLNWTNP GYFGTRAEWNESITKPLTAGQSHDATLKQLSIARTTAKKLVQNLLPEFFLRRMKSLIA HQLPKKSDKVVFCPLTDVQRDAYENFLEGEHVTFILNAYQPCNCHSGRAGGFCCHKTL SDGRTWKSYVFPSIITLQKIANHLTLLIPSSSDPKEKQRSELNVLQTCAPNTWKELYN NRESMLSLANPEFCGKWKILRKLLRFWHENGDKVLVFSHSFVFLISTKAGGVGLNITS ANKVVIFDPHWNPSYDLQAQDRAYRIGQIRDVDVFRLVSAGTIEEIVYARQIYKQQQA NIGYNASNERRYFKGVQRDKNRKGELFGLENLFTFHADQVVLRDIVNKTNIAEAKAGV NLTDIDMEKAVKDEDDKLNVIKKESEDKDDDTGMSSLAKLVTAEDPDKLLEASKSKKP KSDAIAAILASAGVEYTHENSEVIGTSKVEAQLSRRAELAANAADSQLFAGANNALFA DSTESSDGGGDDDDEDQEDLNGPAPKKMLRMHCRFNPPEDVKRRQFRSMAREFGFQNA TDFALVVESWTQEQRRNCLDTFYRRREAKLLEQEMAKMEAEKETEDDDENDESTMVKT DDNDDDDDDDDETVDLEKAVANEEILVLGESKPNAGVEVTRGEIAEKRAVAGAAERGE EGDPLRGTGALGYGVRSLPNPRAGASASPVPKAEAEERRTDEIATTVPEAGKPVDLGA SSVAVKTEGVEEPRTVTVGAGTSFDKTAAAAGQKKVTTIFLYDEEDEDDEL NEUTE1DRAFT_56595 MASEPPTSDGLTPTQLSFFHQNGYLIIPRVLPPTTVSRLLSETQ KLLSDFDLTTHPLTRFRTGGSSGHDHVGDDYFLSSGDKIRFFFEEDAFDDASGNLIKP KDKAINKIGHYLHGLNPAFASLLATSPDDERGWVEDLKARPAAVARDLGFKDPRCLQS MVICKQPEIGGAVPPHQDSTFLYTNPPSAVGFWYALEDATLENGCLSFLPGSHLWAPI EKRLVRKEGAKEGTEMVDNDGPRFPPGEQYGEDKKPEEVKGREEEAYVPGEVKAGDLV LIHGNILHRSERNLSQKGRIIYTFHVIEGEEGREYDERNWLQPPQEGFTRLYA NEUTE1DRAFT_56597 MSLASTSLPQGGQSIPRLAGPFESDSGSGNATPRAVAGDEGGAS EEKKAKKRSLFSFGKKKEDASSASKSPEPSTTSQEKATSWVKRSTGASPVQSDVYITP QSPGRGFTSSPRLSSPAGSQIFERDVQESTSMVPNSPAIPSHIQTENYIPPVLDASSQ AITDKRLDPDTVEIITHTSHQPAAVTVTGAAPTTVPLSLESSWADELAAFAAARGAIT GNETASNYGSFDNTDVRRLSFISFADVVQAEQLQVAGSRDSMHFAGLTSLTPTGINRS PSPVRSPVSSSGGHNTSPPTSKSGSVKGLDFGAIPGSPGRKPLGSPTSLLHPSPVVNG EIAIETMSQALRRTGSGDLSGGVRSFPVSPV NEUTE1DRAFT_56601 MEASLSLFRPAATCCRRVALSSSSTQKTAVAGISVRYQSTANRT KRMLNIPPHESFLNVPVEGDRIIFNPPSSEASVYHTPFKFLPRSDPRRRANIYKLFKP PQAPITTPESSTDAAAEQHGDLPPVLYNPTKSYNVTPEQVEEIRELRAKDPKKYSVTY LSNKYNCTKVFIMMCTQAPREHQEQHKLARARTAENWGPRRAAAKLDARRRKEMLHRG EI NEUTE1DRAFT_119534 MAVFVDLEDEDVDPGQVQPSHHGLNLPARNGAGTGIVTDIGRTK MEGVGSVNTEKHREEAHEPAVRENPNQNSMTLALGCYPIVMSLAASIDLNTLDNLSRT CRQIRGNLLQYRKMLLVSTLHCSNEHLPIDPDAVLRYRARTVNWFYMQELGRSNKPCK VGQCARDLVGGCRRCGTVVCRNCAIKPPAPIVLRDRHRRLCVTCAKTPIGNLTKPPLG HVTQMESGFASHVGKQYATMITTTRGIIWRWRNQYTDVLGGLGTGIGEGDRGVICGRG SDCCAARKREQEIDCDAEDAQEADGSYVYTPSPSTTTVSNDSNISMALWGTDTASTTS SNGSVNGSNASSSSQTGGLGSTLAGPSLERRTPSPMLKPGYDRHEIEGIGGVVKKKLV RMVKVGACVPEWPDERDRGDILGREMQAKVRSWCGWCWRVIPGKLDYEFARQETRSKA LEEK NEUTE1DRAFT_134380 MKAFRSYFTPSSTAAERDDGRATRKSGEDNKFTEQQREKLSGQK STGLPSSPATYDDSAVTPRSITPSPMPGNTLTVPGAAHQGPRKQIGQLTASAAGSTYS FRHSTIFPVGDARNGDLDAVTEIRSDMMVNSLFEQMCRKQYSTGFDPYEGVVLKKSRG NFTCCPPQMAAIPDSLYAMITQMNVRCAMTINTHVVRTILNGIGRRSDINFVPLPDGL RVQILRTMSDLPKGQLHQFAAFIEDAKILVVWADEPEKILSWAETLEKKLIESIWGTN NDADDDDADEKGNVTTQVEEVDGNSLEQAKEHRPVRLESACIVALTMALCVACLGLGW RALAYEASVDKSYIRFALLAVSPVQFFVSLFFFQTLAGNLFQIFGPISSVENNSKYYS GKPPQRLDRHQHNLPHVTFQMPVYKEGLAAVIKPTVVSVKQAISTYEMQGGTANIFVN DDGMQLVSEEEARARRDFYDEHNIGWVARPPHNPKPNLEAGETVFLRRGKFKKASNMN YALHTSNRVEEKLTKINRIGNWTNDYETAAYQQCLNEVLREDEGRTWAEGNIRVGDYI LLIDSDTRVPSDCLLDAVSEMEQSPEVAILHVTWFTNLIYTAITYAVACGDACPFVGH NAMLRWQALQDAASYEEDGYEKYWSESHVSEDFDMALRLQCAGYSLRYASYTGEGFKE GVSLTVYDELARWEKYAYGCNELLFHPLRFWVVRGPFTPLFRKFLGSGIALPKKLTIC AYIGTYYAIGAAWGLSLINYFLTGWYEGFYDKYYLDSFAIYCAIIVVFTALGNCALAI LRYRTNKQGLFTGFIDNLKWIPMFTIFLGGLSIHISQAILCHFFEIDMVWGATAKEVE EVHFGQEIVRILKRFKFTFLYCFLMTGLIVAGWFFFPHPWKIQKLYSVYPLASMAVTH FALPVLLNPALMLFTW NEUTE1DRAFT_75289 MTSQPSSGKGRGRNLSIDEYNVYDDAKTYYTTEDRHHNHRAGAR TRTYSQNSLFKQFERLGLQKEPYRRGSHDESTIPQSRRFLIQVEPTLQSLQSQEDTDG NMQITIEDNGPKVLSLRTAASNGYNRFDIRGTYMLSNLLQELYLAKEYGRKQIILDEA RLNENPVNRLSRLIKDHFWEGLTRRIDASSIEIAARDPKDWTDDPRPRIYIPRGAPEQ HEYYTKVALDRPELRLDVQYLPEKITPEIVRDMNAKPGLLAVDMEEVVDPKTGEKTLR GRPFVVPGGRFNELYGWDSYMESLGLLVNDRVDLAKAMVQNFCFCIKHYGKILNATRS YYLCRSQPPFLTDMTLRVYDKIKHEPGALEFLRQSLLAAIKEYYSVWTAEPRLDPVTG LSRYRPEGLGVPPETEAGHFIHILEPYAKKHNMSFDEFVYAYNHGEIKEPTLDDYFMH DRAVRESGHDTTYRFEGICADLATIDLNSLLFKYETDIARTIRNVFHDKFEVPDDWLA TNNPAASKLETSAMWDRRAKRRKLAIDKYLWNEEAGMYFDYNTATRKQCNYESATTFW ALWAGVSNPKQAAAMVTKALPKLEAFGGLLSGTKESRGEIGLERPNRQWDYPYGWAPQ QILAWTGLYRYGFNEEAERLAYKWLFMITKAFVDFNGVVVEKYDVTRPIDPHRVDAEY GNQGLDFKGVAKEGFGWVNASYVYGLQIVNAHMRRALGTLTPYETFMKAVEENRNKAL SELV NEUTE1DRAFT_127234 MSAQFLPALYIDLHLEFSGSNNTIALNPAYKGQSVVLALSAITL SRSGVSITRGEAPEIKAHKETRIETQTQAQVDEEENAATFMFLLADAYEKRKMKEETN LPQWLRFRRLDGFFHGLKTLVPVENHKPEYPNRDDQHKTSPSTASLSRTHTPTAYVPQ PDYKSSRYVSKYHDVKPCYLDKEETLPVPNVLAYNGLPQGLPEPVIGSHRLFGLRDDI CFDRFGRYGPYGFGYSYDDGGLDVGMDTEKNGSDIVWAKTGKIDYSNIDWGNAQSRCL EVNKERFGEAPGNTASAPTGEMEKDQKQVFQQHKRKKIARTAIVVRLYVGFPWTEHAI LNFRAMISEVSLQSGGEYTVHFLLHVLDNDEPIWADPKAVQKVLDDNVPPEFHSLCTL WSEDQMRLYYPGPFARSFTNPSFSSIHGVYRSAHFPLQHFAQTHPEYDHFWNWEMDMR WIGNYYELFDRLGIWAKKQSRHEMWERSERYYIPHYHGSWDNFTQLVHNQTVASGHGP VMGPVHYPGRLRLRSEKRGVSFLPPACPKNAVTIVNPRPYANRPPKAQAQAHRHHHHH PPRAGSPDDDLEATTTTTAPVPEDLTTCGIDEDADLITLNPIFDADSSGWVYHLDITG YDRSHPPPPRRASIITASRLSRRLLNVMHEETHRFHHVMFTEMFPATMALHHGLKAVY APHPVYLDRQWDLEAIDRTFNGGRDHTTSGKGSPYQYQNEYHHKGSTWYYDAQFAGTV WRRWLGYAQVEGPAGGEKGEKATSSGETGGRLRGGRKEEEEGTGRMCLRSMLVHPIKW EDPGELAWGK NEUTE1DRAFT_56611 MSSNNTSGSDIPDGINPDLWTAWMELRKECYPDLIEGVNPSYGY RPTLGAGIAFDVLFGICVIGHLIQLIRFRQWTSGLMMVGAITEAIGWAGRTWSAKCPY NNDAFLMQITTLIIAPTFFAAALYVLLGMLIRILGRQTSVLSAKWYAIIFCSCDVISL VIQAIGGGMASSESNKEGGDTKPGTHTMVAGIVFQLFTMTVFAVLVLDFLRRVYALAK GRRDGRGGLTRNMKLTVLALFVSFVMIYIRSVYRTVELAEGWSGYLITHEGYFIGLDA TLMVISAGIFLVLDPAVLLRHEMIKLTTNGSGKEEQGTTTGNDSEGQGGVRE NEUTE1DRAFT_143781 MCGILALILADTDASDASADLHESLYYLQHRGQDACGIATCGSG GRIFQCKGNGMASKVFDDGKRTVDLPGYMGIAHLRYPTAGTSSSAESQPFYVNSPYGI CFAHNGNLINAPALRSYLDQEAHRHVNTDSDSELMLNVFANALNETGKARVNTDDIFS ALKKTYERCQGGWAVTAMIAGFGIFAFRDQYGIRPLIMGSRPSSTLEGGIDYMFASES IALRQLGFSNFQDILPGQAVFIQKNGQPQFHQVVEQKAYSPDIFEYVYFARPDTIMDG ISVHRSRQNMGVKLANKVKEILGEEGVKEIDVIIPVPETSNTAAAVVSEQLRKPFSNG FVKNRYVYRTFILPGQKARQKSVRRKLSAMESEFKDRVVLLVDDSIVRGTTSREIVSM AREAGARKVIFASCAPPIIYPHIYGIDLASPQELIAHEKTRSDIAKHINADDVIYQDL ADLKAACTEASPDHQKITDFEVGVFCGKYQTDIPEGYFDHLNESRGTKRKAAVVNGTN KVLVANSGPVNVSTPDPPALMENPENRQDISIHNIANS NEUTE1DRAFT_75300 MCDFDEFVFTCGHSTFRLKAYCHRARNDPYKEWHKRRQRHKECT WETSKGSKVRGTSRGIKSSISSTRGT NEUTE1DRAFT_119539 MSGKFEPKVPVQLNPPKDDPISVEELAKANGADGGKCYVAIKGI VYDVTGNKAYQPGGSYHVFAGKDASRALGKTSTKAEDVRPDWQDLDDKDKSTLNDWIT FFSKRYNVVGKVEGATNME NEUTE1DRAFT_143784 MSLARLSASGSLRGSPSGSPLLLRLELIRRGQIHNARPLVAHRS VTSPQCRSLQTTSRTLVDKNNTNKKFSPQPQPVPLSQIPRLILGAAVENLRGLKRNFR NGTFKTMLRQNPEELVFALVLLAALAVVMVYIIRVYFTYFYSEQFTKYPPPIAKALRR ALYYSNYNPDQQMALKYWKQALELCDELHLDTFSDEVMGIKIELAAWLEKIGSYDNAV KVLENLSGDCKRWVDLMEKSVKEGGKIPPSLLPPVVPQDPTGQPATAEEPKQQETPET IWGRRTRILGKAVGINVKLANLYSFYLAKPEEAHERLIWAVETALNELRRRTVEGLKE GEGKWMSSEEIGGALESLGHSYEAKSQFHLALPLFFQALRLSQHQCHSATIMNNIAAC FAQHPIMPAGQSQVDTLMGEEVASATPAQKRTAYLEAAKRWAKNARQHANEPKGDDRT PECDQACATSLCNLASIARLTGNVTEARRLFEKAIEMSRSLEFEEGVTQAEDGLRALS TSS NEUTE1DRAFT_35710 MEDFNHLVDMPTSESSFRYMYAESDQYLDVHSSGSQSSVSSPYD VASLMDPNIYAGTYQGLESEDESSQQPTQEQKPKRKRENRYKNAPPSVLSRRRAQNRA SQRAYRERKDQRIKDLEQMLNEAKQHNNALGQAYAALQAEYEVLKASQFKDIGYSTAN NLTYQHSPLPTTSTVLSSTGFDLDLYAYHELSANGGYHMFGKTA NEUTE1DRAFT_34692 KQASTFPSSLSASIIEEKQQTSLHMIKSPQHFNQQKTLRQRNTQ ESPSTTTISQSQKYKRKL NEUTE1DRAFT_35794 MASTAPEPPSTGVVALDSARRTLSVIIAGESKLTLISKDHATDI PYSTDALLRLTKLLPRSIRTFDNMNQVSQVADHFLLQFFRRNSAYIDVACALYNTIAE DLNRLLIKEEVYLAILAQYGRVLVNNKIRDKRLLKVQGDVESLLEIMLEIEREEEQFS GVVCSSGLDLIADRTNPTSSSSSRHHSQPVWADEQTKISDDELRHQRFNRDNYCQPPL LIAQDPRLMTLVKLRKNGEFVRHALFDKGSESWPNELRDMLSLTGFSF NEUTE1DRAFT_97521 MAALPENWEMDYDGAISRWIYRFKPTGLVQYTFPKPGDEFPEFV GDFGGPMPPEDKFMSQKLKKGRATSESLLSSPTSNISTNADSINFSRPSYNDPPPWFQ PDGFMFMGPGAYNDTSPEQDEDETPLPATDPKIPEAKVTKPSTRPFISPVTSTETTSL VVTSQPTAGTTYEQTMPAKVDSTLSPGVPMLDGRPINPIFPIGFVAELYGESTAMTRK EHPAAAELPGHEAPIRNLQLANADQIAPVELSSENASVKATSGEQSQKDPNSQKTDPG SRPNLDRRTESSPFILGANFASPPSNIAPAHAHRYSIQGQVPSRVEVPAVSTAKATPG QIVVHEQPDKAKFRIEFETDSKAKKEADKFVTQQVPSILQPARGRPKPLQSPSGGQQA SSTTKIFVQRPLYDHPELDHQTVKPLHGHQSMILIHKKDELDPPPAFTRTNTLPADLP SLPFMGNGFSTKTEMSSPTPQPQDQQPPLQPAPSEEEPQMGFNLASTSRPHRGSLSDY ANPVLPGIGQSAKPLNISQASSQTEVQGVDQPSLAAKNCEEQTAPMLAQELSQAIADF SLSRQYEPKRTTEMGMSDHGGVTVQWCQERMHLRHMPSEQSTEALPATVIWDYPVPEN DLHKSPHSHSGSGPPRPDKIPIGNLQPAFTPYRPPAVEQTFRRKPVAESQGPARIPIS KMGTGQQGGPFTPLGHFQQQGTPEPYVLPHSYNQQLPSCSGRVLPQPSLSNSGLPQTF PPPRQIPSPKSQARASTWPEQESTAPFTGQMIDLTSKALPPVSPTMHQARGLSSETSI PFVKEKRGLLSKFRRTGNTASSSNKLQKSVGNSYPTASNNISQSQPPVSSPPRQVRVP PQNTQVPFQGPPPSHPDQTQVQGYPWLTNPLDAWSASGHDPRAFTPTVQLGGPHYEPE STYYQQQWHHNHQVQQQQQQQQQVNDRRESEVSNLTDTSSPSPVPSNADTASVADSSR VSIISNHHTRPGSEGAASLASIGTIGRNSEITPPPSFSRRNGPGSGRWGSVSGAGGGG GGKYDGSGWGDFGV NEUTE1DRAFT_75312 MPPSEDCPSPSRRMSQANPPPTLHVRVPSQAANPMDSDGDYYKS PNGIDHSHLAVPDSGALSPASSGHGDREAANRLNDDLELMRAERMVSRQAREDESRRS RSRNRSTENLEDVFDTVAGAGATPTPAVTTVAKTTWLTRTWARLRKFPRVLRYVVYSI PPAILILIPIFLDIFAYNRHQDVGEDRGVRLLWFGIWLEVVWLSLWGTRVITCAMPYV VAWIADTLGSSNHKKWRDIGRQLEFPTACFIWLLVVVVTYNPILKDHRIDQGEDARDK DSAWISIVYKIILAFFILATLNFAEKILIQWIASSFHRRTYSLRIQENVMQVECLVAL YTYAKTCLEAQDPVWNQTSVEGDSSGMRTPMRAMKTNARQAWNKVGNAANRFAGDITG RRILKGNHPRKVVMELLRSTNSSYTLARVFYRTFVRPGRDTITLEDILPAFPNQEEAE ACFAIFDKDFNGDISMEELEMVCSEIHLEKKAIAASLKDLDSVIKKLDKVFMFIIIVI VIIVFISIISNSAAAALTSTGTVILGLSWLLQATAQEFLQSILFVFVKHPFDVGDRVT IYGNTGSLMRGDDYYVLEVSLLYTEFKKMEGHVVQAPNSILNTLFILNQRRSQGLADP VNLTLRFGTTEAQIEELKDRMLDFCIKNQRDYAPRIISEVRTIDEVYSINMNIIFFHK SNFQNELLRLTRHNKFAVELMHQMDDMGIQGPRLMAPGGRQNMPMYWSQVPGGDSQPG QPGQNGPQQFQQPPLHQFSPPPPSSSPSDFLRRRHRADSRATVVESGVDFQDVYMNRR PEPLGTHGIHRLASIRQRDEEEDEDDHDEKASHRHNDMASELDQRLDKTSSRGTRGDD ISAAGRPSRDGSMTASVRRGRVTMGSMFGRGRSRSVVANSQPPGGNQV NEUTE1DRAFT_119543 MSFRPRPQLFNLGVVGRSRLGAPRGQTNELVFSQLFIPTKSTTR ASTRNSRFSTSTTSTPLSNHHRPRSHQYHNHYRQQQQTRHNSTNPKNSKDSTTTTATN PSITRLLSRLPRFLHPYLSSLRSSPSSFVVAFLILHEITAIVPGLGLFYLFHYCGGDE DDEEEGDKDKDKEGGKEGSYGKKFEEWVMGWMMELGYSEVMVRKMEGFERWFKRKGYF GFGEKGDGKEGEGEEGGKKNGEKKGEGEGEGQKEQILMMKKWQSGGDEKYRVLVDAAL AYAITKALLPVRIIASVQATPWFAGVLGRVRKVFGGGLRK NEUTE1DRAFT_127242 MKPSILLTLTATAASTSAFTLPFKFRRDTISTEASNTTPNHRLL HSPPTNVVGNARGRIKAASTINNRPSFLRAGSYLPYHPPAATPDHPYQNQDMIQSVTG SLIIPTISMPVSGPTAGNSVGEYSASLWVGIDGASATDSFTHPIANATCNPLAASLRA GVDIFWDGTLGGQQTPYVWAQWYPAEHARGFLDFKASPGDELRFTVTTGPHGNSGGGV LVENYGPPQSPKGVDGKVKIPIATGSLIWKDMPPLCSAQASWILEDFPLQERPELPSA LGNFTDVRFSEMGFATWLGGNFTGEDVLAKDKLKMLEIYDAGQGGTLARCKTDGEGEK KSVVCSRVVEA NEUTE1DRAFT_35452 MVVVVVVVVVESYPLCLSLLPLVPCPCISLPSLFDDHHFYRSMN NQLLVGILILPQHFLWLPNLPARQAIQAQKFSLSLSLSLCVRYVSCFTVYHNPKRPFF LSKPAPGNG NEUTE1DRAFT_56633 MSGGWTAYLCLASALTGLFGPAVAVKEHDFKKCDQAGFCKRNRA FADHVVANTAWESPYNILADSTSFKDGQLQATILKTVNDAGETVRLPITVSFLESGTA RVTVDEEKRQKGEIELRGDSKARKQRYNEAEQWVIVGGLTLDKEAKVDYQDNTQITVQ YGPESKFEATIKFAPFSIDFKRDGDTHIKLNDKGLLNMEHWRPRIEASPAPENQEGED SSSSETESVETTAAQVPEAYPGEDQNTWWEESFGGNTDSKPRGPESVALDISFIGYDH IYGIPSHTGPLSLRQTRGGEGNFQEPYRMYNADVFEYILDSPMTLYGSIPFLQAHRKD SSVGIFWLNAAETWVDITKEKESKNPLALGIGSKTSTSTHWISEAGLLDVFIFLGPTP QDLIRKYSELTGTTAMPQEFSLGYHQCRWNYVSDEDVKDVDRKMDKFNIPYDVIWLDI EYTDDKKYFTWDGHSFADPIGMGKQLESHGRQLVAIIDPHIKNVDGYKVSEELKSQKF AVNNKDGEIFEGWCWPGSSHWVDAFNAAARKWWATLFKYARFEGSMRNTWIWNDMNEP SVFNGPETTMPKDNLHYGNWEHRDIHNLNGLTFHNATFEALKSREAGEFRRPFVLTRS FFAGSQRLGAMWTGDNQAAWDHLEGSIPMVLSQNIAGFPFSGADVGGFFGNPEKELLT RWYQAGAFYPFFRAHAHIDSRRREPYLAGEPYTTIIAAALRLRYSLLPSWYTAFRQAY LTNEPVIKPMFYTHPNEEAGFAIDDQFFVGNTGLLAKPVTQKDKETVDIWIPDNEVYY DYFTYDIIPSSKGKTVTLDAPLSKIPLLMQGGRIFARRDRPRRSSTLMKWDDYTLVVT VGKDGKTAEGDLYVDDGDSYEFEKGQYIYRKFILDGDAKTISSVDGEDRKKVKEGDWM KKMHQVTVDRIIIVGAPASWDKQEVTVESEGETWTATLEYTPAGKGRAAFAVVKKVGA RIGADWKVSFA NEUTE1DRAFT_115697 MSNQEQTFIAVKPDGVQRGLVGNIISRFENRGFKLVAMKLTQPG QAHLEKHYEDLNTKPFFAGLIKYMNSGPICAMVWEGKDAVKTGRTILGATNPLASAPG TIRGDFALDMGRNVCHGSDSVENAKKEIALWFKPEELNQWNHHSAAWIFE NEUTE1DRAFT_75324 MKFAKELEQEAVPEWRVKYLNYKLGKKLIKSVTRAIQRASTTPN LARRPDSLHAAPAALLHHLHHKRTPTQHRWSDTTPGSHEGSPGADQLQSGGPELDRTP TKNNRSSKDSIEARGERSGLTTSPDNEVQYGSILPPSRSSDRTEFELPAPAMKIASRT GGRRDSIVASPTSPSSVELPPSATIAQRSPHRLSRVLSNSSHLSRTMSNRDSTALQNL ESVRTAEGEFFSFLDNELDKIETFYKQKEDQATKRLAALREQLHEMRNRRTTEIADAK QRKQMERGSGSKGHSGDGGGSNGKDSGTDWIGPLRTKFMKPGPNSKALQKMTETPVMA PQKPEEGRDYVRRPPNKDDVSYRVAKRKLKLALQEYYRGLELLKSYALLNRTAFRKLN KKYDKAVNARPTYRYMNEKVNKTWFVNSDVVDGHIRTVEDLYARYFEKGNHKVAAGKL RNILRRPGDASGSAFRSGLLIGFGAVFAVQGLIYGSELLFQDDHTLKENTSYLLQLYG GYFLMILLFALFTLACRIWTLNKINYPFIFELDTRHNLDWKQVAEFPSFFFALLGVFL WLNFSRFGHWEEMYLYYPALLIGLSLLMLFFPAPIFYHRARRWFLYSHVCILSSSETS SSAISAIWDLFMDFSLLQKNVRYPFLRDITALKSKWIYYVIMVVDPLLRFNWIFYAIF THDTQHSTIVSFFVAMAEVIRRGLWLILRASRDTPLPYQLDQFVERPSQETSAGELEA ETGTTTGAVQQERRGTISSMAASIRERIRGSVGAGATSPWAASPSPATGAAHPEEEGA ISPHAESGAISGEGATGTGTGFSLSGGGTFRRRHVESMGKKSILQVMAEAHKQDFEKR RPSEAVREQGLGRGGSSSLVDEDDEEDDDDDPDLRSEVEDDDEDDDDSDRRSIQQDRM EAREAEMLVRRARGEPDDSVSE NEUTE1DRAFT_106990 MASRMTGTQHDSPGNSALIPPFRLRAEVRYPSNHSLHDTTVPNP VLPDDDDRVHHCLDPAGPTSELATREAGNFHRPPQGLNERSAVRQCGVECRKRRDMRA TWYGVQH NEUTE1DRAFT_75329 MADPQYARRRASLDPSFHAGYSPHTHSRSRNGSNGFASPLTTPP VTANYQMQMPMPMHLVQSQSNHQRRSPSVNTFSTVSSGGGMPPPPAAYRTSPTSDIRR STSSRSGGTSDQPTSYVALLRKQKATVWCDRAQYEDPRLLAQQRAAKTRAAMEVSGAV TGSGTTSARTITGLSAGGKVAAKIRHHGKPTVVGYIPDGHHVGVGGIPLRLSATEVEG EDSDDDNDALNRYNHRRTGSSSRSSTASGRKGLGYRASGQLGPSNSQGSGSRRWTPGD TPERRGSLAQTSEQASAGNDAASGKALSFASGSSSERLDAVPDLQAHPQRQLATNSLL NATLTREKSVKNPEELKRRGSVDERTYERTMTFNSSGRLFIANPD NEUTE1DRAFT_75330 MPLFTTSTSGQEDSSCLKRSHDQFREEVPPPSSFDDKENVRSSP PPSSGPATPRARKASPALSDASSPLDRLSRSPSLSPPRLAPLTISTTNTPSTQKQTSG AKAASTTTKSTTGEPAVKRKKLTPEEKAAKAQADAAKKKEKEEAKAKRAAEQAKLEAE KQQKAEEKERKKREKEEELKRKEEEKKRKQEEKEEKERKKREKEEEEAKKRGRQMKLT SLFKINPATPKEKPVAIKVESKDVEMTDAPSLAKENEAYRRLFKPFYVKEFVTLAKNP FEVDEETREAKSRILDEYTEGKRAEFQTPEKFDPLEALQLPFKTQRRGRPYPSVRKIM TEIYGESSSRAMDLTTENTQFRDAREALKAVPVKCIKFSQDVRPPYVGTISGLPPGVK SLYKLARNPTSRTILPLDYDYDSEAEWQDEEGEDVEDLDDEEEDLEMDEDMDDFLDDS EDVGPQRLVFSGGMEPESTGICWENEKRCTVKPELYQCRMEIILESLDEETSIDPFST DYWASKSKTSSSGNEKSSVIASASVTAQTTSSSSSSLLHPSSAATTTSAKSAKAGGSQ APTNAFQALTKGTTAGNSPPNQATTTAGASTIVAATTAASSATAPGGVVGGGGRRPPG RQKMSDQPLPAAAQEQLKALMHEMPMVSKLGIIEVFASKHPDMVRAQIKASFESMTEK QGKSGWKWKE NEUTE1DRAFT_115698 MGFFGFDEAKSAHREFYSERDEEPKFSHELLGGAVAFEAMHMWE KEQRREGKPVNHGVAKEALAAIAGAEVDKMIERRGLEGKVDREEAKRHAREKAHELYD RQYGERDMYDPNYEMHESMQSY NEUTE1DRAFT_75336 MTDAMTRPTVSPFLEPGLRTKPRSLAILQLSRDNLLPIYLQEAS GEHDGYAEGAVVNTRYGSFPHSTMLNVPWGSQIRASKVDTGSRGRKRKRGPKDDASRD DAEENQPETADNNDTEATGVKQAVADDSGFIHVLPPTPELWTQSLPHRTQVVYTPDYS YILHRIRARPGSTIIEAGAGSGSFTHASVRAVYNGYPSSAEDRKGKVFSFEYHEERYH KMKKELTDHNLDGLVHLTHRDVYNGGFLIDGKSPEADAIFLDLPKPWEALPHLSRRKP QTQAKEGEDTAAEWVSPLNPKRAVHICTFSPCIEQVTRTVSAMRRLGWVDIDMVEIAN RKLHTIRDRVGLHYQTDRGVNVSPHDVEEALERLAEIEERVREQAARPRGAGEDGAED ADTVMKNGDDAAKKDNDKTSAEQPPFQTPWVDGRLITKGEPEIKTHTSYLVFAVLPRE WTEEDEAAAFAKYPCGKEKAVVGSIDKQTRKKERREQLQKIGDRKARRKERAEKIAEA VE NEUTE1DRAFT_115699 MQVQVYKSITRLLYPTAPVVAHLGQALRARTGLILSNREALYLQ FCCMVEPREH NEUTE1DRAFT_75338 MVTQSDGNPLQKGHDVEANLQSIEADESKPAAPPSGLWGHLCHY GHKVVVAGRIELQGISPIPVKERTVTKTLNIFTLWWSMSCNILPITFGMLGPVYGLSL RDSCLVILFFTLLSTLLPAYLCTLGPKLGMRQMIQARYSWGRYIISLPVLLNLATMTG FSVIIVITGGQCLSAVANGHLSISVGIVIMSILTLIISFCGFTTLHMYERFAWIPAVI AIIVAVGCGGKYLHLQNPPADPPTPQGILSFAMIVASYMIPWACLASDFTTYLVPSTP SWKIFTYSYTGLALPTILLMCLGAAIQGAIPSVPAWSEAYNETLVGGVLQAMLAPAHG FGRFLVVILSFTLLGNLAATSYSITLNFQMLAPVLFKVPRYLFAVILAAILIPVSIKA AADFFDSLENFVSLIGYWSSAFLGVVMVDHLWEKKGDCDRYDLDAWNDASKLPWGVAA LTASVASFALVIPSMDQVWWQGPIAKTTGDLGFEFAFVVSGLLYPPLRMVEKKISGR NEUTE1DRAFT_75342 MWSASWLLLGAITSVASQDTGNHPDWPRWCGKVYKPDYPSFDPG GQTLPPTPDPLAPLLHVQFKPRYSLYLESEKTGEFIVNAEISQFHGTHWGNNTSNNVE FHIKSTTDNKVLVQDSIPVNSTGKLFTFNLTDLTPSLSPIQVTLTYSPEGPSVKTTLL YLPAKSSGSVTRIDNLNGGLHHLNSASNHTFKPIFPYGFYASYDNFLALPNSSSVIQS YFDLGLTGMVPLTHYPESASAFAYMDSINLAYMFDLRENYTNQTWVKEQVTAASTTHN SGEALFAYWSVDEPDGWQAPFSAPLETYKTISSVDPYHPVALVLNCQNYYFSRYTAGA DILMADIYPIGIRESSANLTNSKWGTPCNSTYGDCGCDNCAGSVLDVPKRFEDMNTYE KWLGVWPKSKMLNPQAFHGEGYWARDPSAEESWAMVLLAINRGVKGILSWVWPASEEL GKAHGRLARVVSSGEGEDGVVVGLLVEGRGPEKLQISTGVKGVDAAGWVDKEGEKMLV SVVNTGEEDIEGLVELEVPSGSRVGKVVWDESGGAGDGKWEVKEGKLTKNGLKGMGTA LVILELSG NEUTE1DRAFT_56659 MAPTSGSPSSHALGPALAKRAALLVSTTTLLTTTTTTTTTRRDL SLQSDTQKITLGIIFAYMLAIFILWNVPYIRSILWPFKMLVIAFHEFGHAITCVLTGG RVLSISLDPREGGVTHMQGGRSGLTLPAGYLGSSLIGALLTFCGFDIVASKVASFVVG GCFLLTLWWGRRDWLTIGTILAAVGLLVGCWFIAHAEALRYVVLFIGVMSSLYSVWDI CDDLILRKVNSSDASVFAKRYGGSSQCWGVIWSIISLGFMACGIIAGIAAFPQTRAQQ QEDSQHFIPTKF NEUTE1DRAFT_75349 MVRATPEYLAARTSMMSLRAQALEQSMMASQTYYAPPQQPQQPV AANQINAKDIKPEAFTKPYLEFMTENPTVFHAVGYFKEKLDKAGYKELHSRDSWSGQI KPGGKYYTTRNGSSIIAWAVGKAYKPGNGLAMVAGHIDALTARLKPISTKPSHDGYVQ LGIAQYAGALNSTWWDRDLSIGGRVIVKDPETGKTSTKVVKLDWPIARIPTLAPHFGI GMTGHNNQETEMTPIIGLDNSDLNSSSTASSEKPIGPKGSFVSTQPPKLVKLIASQLK IEEYTNILNWELELYDSQPAQVGGIDKEFIFAGRIDDKLCSWAAFMALLHARDDEDSG VIKLVALFDDEEIGSLLRQGARGNFLPIVIERTVEALVANTNTLYQNAFIGGTGLGPG LMGQTYANSFLVSSDVTHAAHPNFTQTNLTDHSPRLNVGVALCVDASAHMTTDSVSMA ILDRVAELSGCVNQRHMIRNDSRSGGTVGPMLSSAMGVKAADVGIPQLSMHSIRATTG SLDPGLGVKFYKGFLDEWEKVDKEWRA NEUTE1DRAFT_143800 METDYTALSYVWGDPKVTSPIAVNDFPFQATHNLCAALRRIRKS NLPTTLWVDAICINQSDKDEKSSQVQLMTDIYHNASLVTVWLGESDKYTDLAIVLIKL TVHLRRSLEVTGERQYPAAYILRVLSSALSKSDLRSLALLKFFSRPWWRRVWVVQEVI VSRRANVICGTKEIPWDDLRRALECWRVLRSFSDKSEHGEAMKKATDNVFYKTSLALF LNLFNQRDREDKQVLQNEMGSFPGLNIRDDTATGLEGTILAGHLFESTDPRDKVYVWL GIYQKYGLTIVPDYSASIAQVYVNVVRAITELTGSLSLVALSGGLDNSQDPLPGFPSW APDLRHGAHRKSSWGPLTLYNASASETAWATFSPDGKVLVADTLLLDRIELTDANHSE MDELKEVQNLCRWMYLVLQHRPHSPLSAGLGAGWLSREFFTALVWPAQRFSLLPDDDP KDPYSQINNLQRMERLWAGFTAYFGFMDRLHDVAQWCKEHGPRLYAHMLIRPAHLGTR QSPSSNRLPPQPHIYDEENVRQFCRLWGLPEDKRPLYVKCKNRRPDSQNCDKQETTHD DVLKFVQRAGLYTREKTFFITKQGYLGSGTHKIGPGDSLAIIRGCRMPLVIRKHPIGD GSFEVLGQCYVGALMDGEAVEKIREAGELEWRSLSFR NEUTE1DRAFT_119557 MTAAFPIQPVARFAGKSELVKRPKEFACFSYDADHKFHLGAQSL KWYYTPDLNVDLSKGFESFIKHDDSVDEHLDSLLTTIADYEQKTSKPIDAHIIMAAPF DDDGFIEENHAYKQASRANERPWNGPIPQEVMQYWGYKFETLSTLPRPWGQTSRDFIE SRPDHVVNNKEQYCSVVRTGIGKTILCIGGEVDAIWDDKPRTQGDPINWVELKTSAVI QNERQANNFERKLMKFWIQSFLLGVPKIIVGFRTQDGLLVETKEFRTMEIPLMVKKNG RPKWDGDTCVNFANGFLEWLRHTITDEGVWRIKRRPRSAEIEVFKVEEVGHGDIITDE FMNWRIKLELRQAQPPTEDNGAEE NEUTE1DRAFT_143802 MADRYGQQPQSYRGNSGFGNLGRRNDDYDPYGDGYPSDRYGTST NPASRPSTASRNAPPPRSAQRGRTGAGDMQIQSNAERQIGNVLDLIKREWPAMVETDC IPVQLALQLLDTSSVGRAHEYRNFQQTHQFLQESLKNIVHDHHQGFNSSIGTFHKIQG SIQSSQKKVRALKESLAASKTALCTTNPELKQLHATSRMYDGVLQTLNELDDLRTVPD QLEARISEKRFLTAVEVLQNALRKLRKPELDNIGALSDLRSYLANQETALMDILVEEL HEHLYLKSPYCQERWQNLAKVQGISHETYGDAPGVAPFHGILGTIDWEKSVAEDPQKN PEADTFYYVTLLVEALNRLGRLETAVDMLKQRLPVELFAVVNETINDVDQKHPSSLRG GASGSHGLNIYGHRETRMRADVIHDLLSTLYGKFEAIAEGHRVLHEAIKALIRREGAG NNSVLLGGFKELWNLYQNEIRALLHNYVTTDADVYQFSRTPRPGMGMNGRADSARDNL FKFSEVDAKSAEMASEYEALDSIIRAAVPGLTDSTRRDNKKGSLIIPRSEPVTSRKSA GYGSGSSQQNSGTYKSLVEPSVFNMSLLLPPTLIFLQRLKSIVPPGSDLATSTLTSFL DNFLVNVFQPQLDETLGKLSDTVFGEADAFQQDSDWAQVAKRPVYKGTTAFFTVITAF CRMLGTIPHDQALSTLIITQMVRYYDRCFSWYKALVTKTQEGGDKQVREKEKLRASAI LATEPSEVRETIQRLWKSENLDDLELLYREVNQLIAWANGRDLDASDIIQDRDMIQSM CLLYTSMKWLSVKIHGLRHITRNETDSSKSSFPTKAEKKRWTLLNDPSKATGGEAPVY LPMTEETVENFDSILVSYDELASTALLTLHLEIRTRILHSLQTALSPLTTAPYLLDQE VNEPDPEILSLNSEMVAYDEILVRCLRLREVQFVRNGLGKLINGFLIKNAPMTAPMNA KGCGRMQLNILVLQQNLKNIEEGVDLVRASNYFEMFERGVDAILEKAREGVASSGSQE AGDGGQKSEDAGEEGAETPNSRKSAEIFGDDKDRFSYDELKALVELCYSEQLADPERG VAAAAKRQMADKLLNLSEYMWQS NEUTE1DRAFT_107001 MRCGLPVAVVAAVQVNKGHCSEQGHREKGSRTRNGIFHSFNDFL CIPSVIKGAFKTWGRWFLQSSPSGSDDGPLWCLVLLYQQGQDRFDGTRPVPVAAQSQS QSRPVDLTEEGPAGQDMVVVDNRGSLLSRPSHARRLASNSHPGGQRMAAVSVGDKHVQ SSISRATGGSSVRSNGDRSASDKSAVLPPSPARWTTNPTM NEUTE1DRAFT_127256 MAGAFFPPTSPSSVRSLTCHGWETDAGIDSPYRTGRHVPLNRNS GLAGVTTASDSRADINSPYLQGDGRGSTMSFDTAYGGRAISPMPSPANGGPYSPGLVS QRQSVHQDAFDVHSPTGEIPMQNFQNGGPPPPPVASSWEKIDRWAEENYPELFDQLGE GCTVNDLNELEYQLDCTLPQDLRQSLQIHDGQERGGLPTGIIFSSMLLDCEEMVQEWE NWKTVNQEFMLDPVLVKRQSQAFAAQASSSKDAPNRNQNWRQELLNKQDSVPPSAIQK AYAHPAWIPLVRDWGGNNLAVDLAPGPKGHWGQIILFGRDYDTKYVVARSWAHFLAMV AEDLSSGRWFVDEDTNELKLREFKATRVEPSYFEILRWRMDQKYGRTANKRKSMAPSM ASASGMRSPPTPGSPYQSPTEHNEPRGRSLHRLTGTSPMSSPIRPGYGKPSPLARVAE EAPPTTSLTASNASLEAKAADNLMELNTPRTSGEHSKEDIKVNEDSPAKERTSEDKEK KPETEANGKATESKGKQTTVEDAEDMKDIEI NEUTE1DRAFT_115703 MSEERLFRFSRPEWLNSAWVRNAGVYAAGAFFSLAFYTLLDSAV WSHSPLNASDVHIHFVDWLPFIFSTLGMLIINSVEKARLSAESFSYSGSGVAWKARVV LFLGFACLAGGMAGGVTVFVLKYVVKDVGFPALRMGVENLVANGLVGLSAVVLWISQN MEDEYSYNLAL NEUTE1DRAFT_56677 MADYDRRDGGGYNPKKRRYRDDDDGDRRGGPRRRFDAPPHVRVR KQLLAIAENPMRPWHEEVQSIANLFTDNWDDELLRTNFVDLVLQLAVEQPMKTPFLAA VVLVANTNKPQIVDMLLAKLTSTLEIKIAEGDWRDVKLYLKLAGCLQSCLEGDGVFPV LEELFSRAVDLQTASSEDTIGTELVKIILLTLPYVMVTGPTQWQQKAADLMEKTEIIA GEAHPLQALIEPYHPEAGDQSPVASQSCISLLQTQLQNEANNGWALSCLPRPWELPVE EVEQQEKIANAEKHTLPPIAIPQTVVAGPRPLFPEIYFSVYANQDVESTPPLTNIAAS LIRDALVDTINVLNFNRNVTARFLIELDCYFAPRTFAARATPFDKIREIEPPKSSWKP EDVAVDAVFSQLFSLPNPEHKLVYYHAVLTEACKLAPAAIAPSLGRAIRFLYRNSHRM DLELSNRFMDWFSHHLSNFGFTWKWTEWVDNVYLSNLHPDKAFIMGAIDKEIRLSFAQ RIKNTLPEPYQSLIGPEKEKDVPDFKFADDKTPFAAEGKEIAALLRRKAPEEEIEPVI ERIHSLALDNNLDPLVASTDVFVTSVLHVGSKSLSHVLAAIERTKERLTDAGATSEAA RTQIISSVMEYWSAHPGVAIAIIEKLLNYSILTPQAVINWAITTYAGATRGEALAKGF VYEMVFNTVVKVTSRLRQVLQKATLPEAMIDDETIEAEINGMRSLFRAIEDALFAWAS GSKDEMLEASDGLGEGDGTSETEKLVKRWGERWLRVFKRRAAIEEAFIVEARKERERK AAAAAAELAAAPAQGDDMAVDSVDAAAEVTA NEUTE1DRAFT_115704 MASNRPAAFNTLRMGEVIREKVQDGVTGETREIQYTQCKIVGNG SFGVVFQTKLSPSNEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVQLKAFYYSNGERK DEVYLNLVQEFVPETVYRASRFFNKMKTTMPILEVKLYTYQLFRALAYIHSQGICHRD IKPQNLLLDPTTGVLKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKID VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIRTMNPNYMEHKFPQIK PHPFNKVFKKADADAIDLIARLLEYTPTERLAAIDAMVHPFFDELRDPSTRLPDSRHN SGTVRDLPPLFDFTRHELSIAPQLNHKLVPSHMRPVLASKGLDIDNFTPMNKSEMMAK LD NEUTE1DRAFT_107006 MVPTCPSSGHRISRQRQLRGEWSTGDLSVPGRWPLRLFPPPPRD GVARATEAAQVRPPPVARSGGDEYCNVTVYLQPPGVLRHRPTNRKAPAPAASAVRYPQ SRTQRALATSNLGAMQPLLARTLNVVKRHRQARFYFLGSRGPVITYLKAPAPSTVNPR PRHHILPCWCGFCESSILFHLSELGYRFPRD NEUTE1DRAFT_127260 MLPSSSRRVVGRILTRATAAVQQQQREQQIRILSDDNPGARESA AITIICLIVIRNSSWWCSTALRMELLSARSHKTCVPDSIFRTQTKPECFGGNPTQNTR DSTEPEPDAFQKQTRSRDIGSDPNLDRISGSTIERSVAAFRANPGGKKEAHFWTTILG IMCHVSCSPPQLGTSARTSSRDQEFWVRDQCLRVLQFDKVQAISMMASLSRTSASRDW VKRWTWIRQYDVEKTENGGGIAVSISIDRFAGFNMSVPWIAVDLKTDDSGFHHPNPFG LGVGLALPRRGWIDITGRGIPRPCHPSKILRSNPAAPHLPSYCCATVSHKKRVRWSAK NPLLAEWNQAWLVGNAPQSRSGNKVETGEGEERKLWFLKKGFQLTLARDDYCCLSTMS CPQESPNLNERSQKQPKQAAQRGRSSDMG NEUTE1DRAFT_119564 MSKHWEQNKDATVYVGNIDERFTQELLSELMTQVGPVRQVHMPQ DRVSQTHQGYGFVEFDTPASAEYAAKVLNGIRIWGKPIRVNKASADKQKTVDIGAELF INNLDPQVDEKILYDTFSQFGQILRQPNIVRDDNNISKGYGFVSFGSFEASDAARATM NGQYLLSKQITVEYAYKKDGKGERHGDEAERKLAAEGKKHNIVPEQQPLPPAFHMTRP PAPGIAPAADIPTAAVIPPAAVAPAVPIPVGVPVAAAPAYGVPPPGPAAMGRSGPPYG AGMPPAGGRIPNLPPAPSGLPARPPPSHGGFGGPVGDFHPGGTAFRGGPPGPPGPAVP PGPPAIYPGMAPPAGFPGAPPLGATMPPPGFMPPPGAAPPPGFGAPPGAPNGFARR NEUTE1DRAFT_93589 MFALSEESKERIGKLIDISRVVVHYGYLPLILYLGYTRSVPRPS VIRLLSPLS NEUTE1DRAFT_75375 MATLIVHPLDIVKTRMQVHRSSPTNPSAALTTVSVFRSLAQTDR PLAALYRGLTPNLIGNATSWASFFFFKSRFERLIAHLKAPPSPPPLPPSPLAQIKSHL SPTDFFAASLLAGAATQIITNPIWVLKTRMLSTDRLAADAYPSMFTGAVRLFRNEGIL GFYRGLGVGMLAISHGAVQFAVYDPARRMYIASRDAKRRLAGQEIAAEERESQRISNE ATIVLSTVAKLVAGTATYPLQVMRARLQHHQADELFGRGIGGVVAKLWKEEGFRGFYR GMMPGVVRVLPATWVTFLVYENVKYYLPKMMGGV NEUTE1DRAFT_56693 MHTYTDMYTFAHRLHTPRSVQSECASTCRARLLSRVPPIWRGTY PTNRMALTAQPSAPAGWERWPQHHPSNDYVMMDADVMPYNARPMTTAPMQQRPSLVPH YMPTTSMSTASINSISAPAHYQSPVSYGGGYPAYPLPTPTTMNSSYNKHQQYQERPSL HMITPEFEETRGPHHIRNARRYSEESRSPSERSDSQGSTTETTISNHSSCSRTITPNT PVNGAPQVEFSTAVDKLMKVIQSKMKDADPEQSGDDKDIKAEQQSSPVCQARVQQPAD KHKRKRYECQIEGCNKKFSQKTHRDTHVRSHTGDRPYVCPIPGCGGRFTQAGNLKTHK RRHTGERPYRCEVCDKGFVQRGDVKAHMKTHLGTKAFLCRLDNCHKQFTQRGNLKYHQ NKYHNETIKALAARFDAIEDWSTVLKEDMEIFKDFAEVHKNSNKGIKGRGKHRKVKSV PLSSPTSPTGHSPLPNIMASQYPLPSAPGVSQLLHTPHPLHPPLHHQGPSHSAMYGMP RSSLHSHYETYDHHEVDTVASSRASVAEPIYHHEEHPRELAFGDRMY NEUTE1DRAFT_107011 MTGGMLVDGSLDFFNRWPSSLVTSGVKIASFRPGPSGPIFGKTE HDGRTDELQASVPTSNQRVLQLTGSHSSCPVDLPSSDLCGHCMGRSCRQGRLLTFEWA VRTSKKKRIRLSVQPKRREKRCGSNTGRLTRRPDLGVKVLANRKSSSLLMVVIDGSKW VWKGNASCAADAHSFSGRLPAESPKFEASIPAKTPIELQLQLGAMAAGRVVDDFHKCV RNAALMSDLISWSACLSGLHMRQFPYCHTSFFCTVSCSGCRPIARQGAQQMSLSPLGT GSTISLQNAVVLNVDHQGPHFIVPMVSVGSRLDNVMYQARSD NEUTE1DRAFT_97547 MARFMRLKCQGPLTRYLKKRTPSAMGAPLRSFWIFESRCVHAAL WQAPEASFCGGTSLAGWLPDRRHKLNWKSVRVGGGVH NEUTE1DRAFT_36096 MSDQKDQTIDITVDFSGGLEILFSNQKHHALSVPAQDAQGKPAN VGFLINYLCQTLMTDPRADLFVLGGELRPGILCLINDSDWELEEEDAYVLQPGDNILF ISTLHGG NEUTE1DRAFT_75384 MTETAIDPRYLSAGANRYAAAADWAENGLVAFGADINVCLWNPS NTVGISQILSGHTAHVRAVKFLPRLQDEKSTYLVSGGDDQSLRVWALDSETGTATCVQ TLQEHTAPINYLAALKIPVGSTKRRIFVSGAADSTVKVWSVDVSSGQTTLLQTIKTAK KYFPLALALSPLNDEGTSLILAVAGTTNIIQVFTASTVDDTQLEFTPQATLPGHENWI RSLDFIREKPKSEAESDILLASASQDKYIRIWRIHQGSALSMPTSAASDASAAAAALT PGPANKIHKIKVEGADPATNKYCIMFEALLLGHEDWIYTARWCRSPTSTTSDSSEGTL QLLSASADNSLSIWESDPESGIWITVARLGEVSREKGATTATGSIGGFWTGMWSPSGT TVITLGRTGSWRRWDWDSDDQAWKQNFAVSGHTRAVTGISWSRNGVYLLSTSSDQTTR LHAEWATNPSLTTYPSKRTWHEMARPQIHGYDLNCIDSLSSTSFVSGADEKLMRVFTE PKAVARMLNRLTGTSSALSSSDFDSLPADAANIPVLGLSNKAIDVIDDDADASAAAGG NPDGGRGGDVTMQDRENMLDPASMVRKSALEIDHPPFEESLSRHTLWPEVEKLYGHGY EISCLAVSHPSSSDQKEKEKETHLIASACRAASLNHAVIRLFETDKWTELRPPLKAHT STIHRLRFSSDNTYLLSVGKDRQWAVFQRDPQSSAGYTLLQLNPKGHSRMILDAAWAP KSSSSSSVDVFATAGRDKAVKVWVRRPSRQQQQQHKLEEGEETLEKEKKEEEEFTLGL SLTEDQPVTALDFASEPLDSSEEEDGSVSNTYLLAVGTESGKLSVLAIKVSGDGAEVS VAETYKVGEQLWLPKAVMQLAWRPRVRKGGEGEDDTSGKQARELAIAGEDGSLRIYEF SL NEUTE1DRAFT_119569 MSSYLEAFSKWFKLKIYQLEVTMSVYIFTPIEKFIFYSVLFLLV SLTFIATFLYLPHHVQFIARRAWFYMHGDAQDSLLDVVHNAVTVTKSALLGSEKTVEA LGRAADATMGIVREL NEUTE1DRAFT_97551 MPPRPKLPEDELEEVYLKGSGPGGQKINKTNSAVQLRHIPTNIV IKCQETRSRTQNRKLAREILAAKVDLFLNGDKSRLAIVGNVKKKKADSKAKKARRKYK KLEEEKAKGGVGQQLVEGAEGEEVEGEDEGEELEGYEEEEVQEGKEAEKGEKAEKHGG NNADGGRQ NEUTE1DRAFT_115707 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAIARVDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCY VALDFEQEIQTAAQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGLSDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF NEUTE1DRAFT_75392 MDKNLNQLLKWSLEAQTAANAGQSYNSNGAPTSNNNSGPATGTG AVATSPAPQVTGSGPRPVDPEVLASLFGGPSEAELMKAAMEVITDPSPETTRENKLIA FDNFEQLIENLDNANLLEELSLWSPLISLLDHEDEDMRYHAAWCLGTAVQNNQKTQER LLAMGGVPKLVDLAMKEGESEKVRRKATYALSSAVRNYQPAMDVAADEMHKRGHEVLV NNGTKVDAADMDKVDEVIDVLRNKAKSA NEUTE1DRAFT_119573 MASLRGVSRSARALQPFSAQFAVRRCASTQAGAGAAAAAPKSNI PDLAELETRSALDAPIPSEEDKKEFRPWKRAADRKARLPSSRYQYHPPKYNRGPLHPI QSPPSSDPIARDFVPGPFNMPRLKETFRTVMASDLMTLAYIHTPPGTPKKEPTERLRA WEGDSPYFANRARRAPRGAPELPIRERDISFRNIPEIKEITVSTFVPLGLKNPDLLIV ARAVLLAMTGTMPEMTRSKNNVVQWQLQANKPAGCKTTIYGNAAWEFMDRLIHLVLPR IKDWKGVPASTGDGSGNVQFGLNPEDVQLFPEVECNYDMYPAKMIPGCHIAIKTTATS DRQAKLLLQSLGVPFYSN NEUTE1DRAFT_75398 MRPLGLLRSFASLALCTATWAMDPTDTIMDADQLWQDSAAVGYL PTHNMDPATIEGGAFGQIWSFTTPKNPLNQIEQFYSKLLVYTPKATGKQTVIAFSEQN RIYLLDAVNGTLYNSRDLSEQDPAEAPFMVSDLGNCNDISGTIGITGTPIIDPNTETI YFWAKGYRDATTKGWKNGAYRFHAIDAWTLQERPGFPTNIEGKYADNDKTRYFNGGSV LQRTSLNLINGVVYAGFGGHCDLFNYTGWVVGMRASSGEFVTAYAAAGGDRAPKQDGT WEGGGGGCGIWQSGAILASDNPSRLFFATGNGYGVMANQQLAAGGRTHLDTLSEAIVN MAIDPVTGKVTQQDYFEPYTYQAIDSADRDLGGGGVSILPFGGGGVKQLAVTAGKNGQ AYICNADNLGGYKMGTGGGDNIIQTITPPQGTAMFGNVGAYPLEGGYMYITPVGAPTY AYALGYDSSGRPAFSVAGSTPDKSAGRVGTGSATITTLNGQPGTGILWMSDPDNGIRA YHAVPRNGQLVKIPLPATPVLSKFQRPVFGDGRYYTSTYTGQILAFGSPVKLPFDCGG PFNLGEVPIGTSKTINVTCTAKITVNQITNITTGNPLYKVSLADLPKTAVTAGKSFTF PIKFDLGGYTLDPGSTSSPTMQPGVQSGSVTLTTVNAVTGYSTTQPLSVTGNTVTDGP FAAINPNAVDFQPLVIGSASAEGGSQNTVVIQNLGKGPMRILGYAFTTDSPNSAAATF TNVTIDGTRSKLDADGFFIAEDLPVIGSTVAAGSSVIIHMTFLAPKLGSFATTFTVFT DGGMAYSVLTGSANSQPIALLEHSTSEGGWVTIPFCNDPTQTCTFNIDMGTSPGLTTT EVTIRFTNKGGSDLMIDKSKPPMGSVLGAQNPSSDLFEGMVIKPGKSESATLFFTPGA APLNADPIVYAGAWTLNVNDLAFGVHVVNFIGTLRATKVGPTLPDGSARFKYLGCYRD SSANRLETTQAQFPADNDNGKCQQYAITNKAAFAGTQYTYECWVGRSIPPASLKVDDY LCNTYICPGDKSQFCGGVGSYMMMWYDTTGYFPENGTLAPAFRPPASKTVVGDWEYAG CRTDNSASPATRALNDRIVGQSSTNTIESCAQACAGFSFFGVEWGVECYCGNNLNSGS TVADEKTCNYVCGGDPTELCGGSGRISVYKQKGAVIGNPSTGVSSSSGPASGTASATA SASSTSSSAVASGTPGNPQSIGQYGSLGCYSDAVASRSLQGKNTQSNVMSLDDCATYC AGYKYFGTEYSAECFCGNDLLNGAAPVTDGRCNMLCNGNQQQICGGSNGLSMYQLNPN GTSSSVTASGSATQSATASGTVSGTASGTASGSSTALATTTSSAVPTMPAVSVKCPDN NNGTYLSLNGKTFLLECFTDHEAGDLALAYVDSYALCAEKCSTTDLCMAFAYVPGGTG IQAPCYMKKSVGRGFNNQAVWGAQIIAVASASTTTSAAATTTNAASATGSNSVVDPSG SVVTGSTATGSATDFTTTGSTATGSTAAGSSVASATGSDAISAAWPAASGTAATSIVA SGSGSGAASGAASTAASTVASGSASASVTPSASVTPSASVAPSVSVVPSDSVIPSVSV VTSGSIAPSDSIAPSATSTTPSASVIPSASVATSNSVVPSASTTPSASIISSASVASS ASVVLSTSIAPPASTAAPESVAPTGSVAPSASVSPGSNTGLAPTNSASVAPTNSASVA PTNSVSIAPTASDAPTTSITLSFAPGSSSSTSAPAIVSTTLTAPTVTSVSSAAGTTTT SAAAVTSTDPAPVTITTTTAITSTTDSTSALPTTSTTTTTAAAVATTAPTQATTTIAT AATTSTANTASASPTIPAVVNWDYQGCASDSNTAVPTARALNGTYLYDKDGMTIQKCL NYCAQQNYPLAGLEFQSQCYCGLEFKPGYSLGQTGCNLTCVGDPSTRCGGRARLDVFR QTNYVTPRLVNPSVVNGRLATPQGCFVDNSTARILKAHSYTNSTSMTVEMCHERCQSR GYSLFGVEFGKECYCANSLADTAVAASELYECKRLFCVGDKTEFCGAGSRLLLYSIQP AGTTSPARRSIGRRDEGMVKVKLPNLGKDGEPDNELNWDGDGGVGQVKRSGSGWKKIE RRWGRSVGR NEUTE1DRAFT_143819 MVRKNIIISSLFLGLVSASPLHLKRQDLPIDSYDESVAAGADST DTPPVGDAVPQNVETFDSNTVAAEVVATVVDTPPAVAQASNDTPPDVIAVNLLKRSDM NVARADGPATSCIVRTFNGPQVSDPDTPGAFTSYPAFSTAAIDSVKAANVPSGYAAVP NFVNLNAVAQDKSYITYTSSKLTSYDPKKCAAICDATAGCTSFNIYYERVPLEISKAT QVPDAALGCPGNADAASATLIKCAFYGMPLVATSAKSVYQYQGKAFKVVYAGSTAFTK SSGPTVDGLQGPVTFGDAAINAPAPVIDHGYLRTQTFGTNVPFAPELCAASCKAQTEY NAKHGTNKGQACIFFNAFVIYKNGKDGVFTCNYYGTPYGVSYAKNRGQWDDSGNHWTI SNSFGYYVPGNYVAK NEUTE1DRAFT_134426 MSNDLTAKIISSYGSRETHSTGEGGLDVNSATAGWDSSRPGSIY TSSRQSAATLPRAAKRKFKSYRLRGEYEKPWLADPAMKKTRWNNWIVTAWCLVGFAGA AVLCYTGVRQYQTGPLCLVFEDDFKTLDTSVWSHQVTLDGFGTGSFDWTTTDTKNSYV DEEGLHIVPTLTTETTDITADQLYANYTLDLRKDKSCTGTTNASCVAHSDPKTGAMIP PVRSARLVTKDTKTLRYGRVEVVAKLPKGDWLWPAIWMMPQDSTYGVWPRSGEIDIME SRGNGHDYAPGGRNLYYGSLHWGPSSATDAYWRTTSAKRLKRSDFSESFHTFGLEWDA KYMYFYLDNRLTQIMHVGFKAKDDLWKMGEFAEMRENDTLFENPWAGSDSTTGNAPFD QPFYLILNVAVGSKIGWFPDNKGNKPWLDSATNAQWTFWSAADQWLPTWGEGDQRGMT VKSVKMWKQGAC NEUTE1DRAFT_107022 MFLPGVARAMSDSGRGGRTREHPEQREYGDIRAVGTAVRGLPVI LNVPSPLSFANRRIIVESHEMAKTGPCSSQPQEPLVLQFNLSGTPLLVPASGRVAVES AVWVTVVLCCVVLWVRFVAIHYTCVEGVTVPTCAEIATSACEQSLCSPLRHSQKFGAM TGDDDGTIEASHLPPRIITEYEKNVHVDVNLISLTRDLRP NEUTE1DRAFT_37265 MAGSHFPLRPLPPSRNPSGTPSDYPLLRPLPPSRNPSATPSDHP LPRPLPPSRNPSATPSDVSSLRPLPPLKIPSSTPSGFPSPLNSPPRSNFQHGPTSGVD TLPSPGLQGSESFYNQNATGSALSVASDQTGVSAPVAYADEFRFKIMIQYLSKQIDKA GWIPSEKNKKTPNRYGVLYKKSRGRYVTYPEELSQALVACVQRLNLVVAFTMKPDMLD GILASLRPNQSELKLMDGSQLQIADSLNSMVPANVKKFQYACLVRQEGILLVWHDDLL HIVPTAARIEEKLLAMVWGTGQLPFGRLQAPSRPGSILSTNTSIYKVDKPSTPGPGNM GALPNDSAEQLEKDESVDAKESLQRPVQRTSAFFVGMAMCLGIVLLFGTYIQKLLIEC VIDGTYTRLALIVCVPFLLCVSLFFFQVIFSNFFQIFGPIGGQHTNSRFYSCIKPSLR RAYMDGFTAPHITIQMPVYKEGMESVIIPTVRSLQAAISFYESHGGSASIFVNDDGLR VVSEEEAQKRIEFYHDNNIGWVARPKHGDDGFVRKGKFKKASNMNFALNISQKVEKYL QEMVDAKFAAEGTDLIDQQEEEEMYEAALARVLEENPLAQAAGNIRMGEYILIVDSDT RVLLLQPVDCLLYGAAELFLSPEVAIVQHSTGVMQVSWDYFENGITFFTNLVYTAIRF SIGSGEVAPFVGHNAFLRWQAVQDVGVPEPNDYTAYWSESHVSEDFDIALRLQIKGSI VRIASYHGTQFQEGVSLTIYDEIARWQKYAYGVSEMIFHPFHRWIFKGPFTPLFYTYL GSNIMYSSKISIFAYMCSYFALASALMLTLLNYFLVGWFIDTLDHAYMSSWEVFISLV VVFNVMGHIALAALRYRTGDRGLLSSLCENFKWTPMMTIFFGGLSFHVTTALLAHLFH IDMQWGATSKEKENSNFFQEIPKILKTFKWMYVFVILVAAGMIYLGNWAPEGWEIKDF SAIVPLAINLISHALTPLVLNPSLMVFNY NEUTE1DRAFT_143820 MVLAKSKKSVGLGNTLMNDRFGKGKGSDRKRVSAVTRIDHATGK EYITNDKQDAAWVKMRSITEQGALDEFLATAELAGTDFTAEKMNNVKIIHTDQRNPYL LSAKEEQAVLGKQRANKSRLTVPRRPQWDSTTTRDELDQRERESFLEWRRGLAELQET QDLLMTPFERNLEVWRQLWRVIERSDVIVQIVDARNPLMFRSEDLEVYVKDVDPKKHN LLLINKADLMTYKQRKMWANYLKGEGIDYRFFSAQLAKEMIEAGGYADSDEDSEDESD AGEGPSTKEETPKAEEKASEEKEEAKEEEITEQNDPDTHILRVDELEDILLQYQPEGQ DRKLQVGLVGYPNVGKSSTINALIGAKKVSVSSTPGKTKHFQTIHLSDNVLLCDCPGL VFPNFANTKAELVCNGVLPIDQLREYTGPAALVARRIPQPFLEAVYGIKIKTRPLEEG GTGIPTADELLDAYARHRGYMTQGLGQPDQSRAVRYILKDYVNGKLLYCEPPPGSGVD GPEFNAELYDMAHLPENRRVAMLSALEGADIDDTATLTSEMTALALPQGQKSKKLDKA FFKSGGNGGHIQQAFHQKYSQQGQAQNMVKHMSGRKLRALIAAEQGVNVKEVQMEMGG KKHFKGGMGLKGGKGKRRAGRSGNNDDDD NEUTE1DRAFT_75403 MARGKWIDKKTATHFTLVHRPQNDPLIHDESAPSMVLNPTQRPN AHKSSTLSALASELGSDAMSIRDNEGEAANYGVYFDDTEYDYMQHLRDLGTGAGEAVF VEAKPVANQNKGKGKATLEDALKQLNLQNNAQDLLDEEILPNKNLQRLTYQAQQDVPD AIAGFQPDMDPRLREVLEALEDEAYVDNEEEDIFQELAKDAKEINEHDFEEAYDEFDD DGWESDHTVKASKEYRHDGDDEVPELVNTGAGQEGEGSGPSDDWYDAFKQYQQDKKAE KKNKGPAAPSEMQSSIWTTTTMGGRKKKRAGAMTNPSMYSMSSSALVRTDALSILDAR FEKLEEEYNADMDDLGSVSGVSAVSTVQGNVRADFDGMLDEFLENHSTAGKKRVKKGK YQSGLEQLDEIRRGLGPARLRPSFI NEUTE1DRAFT_107026 MATTAMDYENANGDRFDEDAPRYDRDRSASPRRDDGHDSSRRRS MSPNGNDRAPAKDEGQSKGGEEDGARNPGSNLFVTGIHPRLEEAEVTRLFEKYGEVEK CQIMKDPHTGESRGFGFVKMMTPEQANAAKEGLQGEVIEGRTLSIEMARRARPRTPTP GKYFGPPKRGEGRFDDRNGRRGGGFGGGYGGGRDDSYRGYRGGGYRDDRGYDRGYDRG YDRSGGYGGGDRGYERNSYREDRGYDRSYRGEDRGYDRRERGGADEAYGRGGDRYRDD RGGERYGGSGRGGPDERRAPGYDRDRGYDRPSDRDARPPRDAAPGTSSYGEPAPREAR EPYGTYSNI NEUTE1DRAFT_119578 MPCVTRSSAKNGPGGLFDFIGVAIANGNVKYDIPEQYQHLLPSN LHQQEANNAEPLFIHSSAKGTYVEKGKNRKTAAIAERADKITAAASAHATKVIAAKQG KGGLDINIVLPVGGGGVGVGVGVGKGGKGKRKVLEAGKKDGNKKRKLERIEEVVEDEQ VEDSEKEEEEEEKKGEDDDDEEYVPDGKRPAKKRQKKTVKDTRKKKQQKVVKAAAPKR GGREKKVEVEAEAEAETESAASALVSGKKEVEEEEKEKGGEKEVKETVELEVAVVAVV DGNQGQGEEEEKREEGEKGEDGGGEDEDYEQQDRNPDAEPEE NEUTE1DRAFT_75410 MAEFPDEKEKEISLPNPVADQRHRDDEIEEAHRSDEDVEKIAIG SSVVEENDEKRAELNRMRTNATNTSVTTAATIHPHVKPKPWYKQPNPLRWGKIAPIPE TRRPSPEYNAGFFRSLFFSWMGPLMTTGYKRQLELNDIYQVNPARSVDPLTERMRESY KRRVEKGDKYPLLWAMHETFFWEFWIGGMCQLAASILQVMSPFTLRYLIQFATNAWVA THSGAPPPGIGSGLGLVFGITVMQILQSLCINHFIYRGMLIGGMARASLISLIYEKSM VISGRAKAGGADALDVPAAKAAAEKDAKKKSKKKGKKGQAGVEGDGAGWGNGRIINLM SVDTYRVDQASGLFHIIWTAPVSIIITLVLLLVNLTYSALAGFALLIIGIPVLTKAIK SLFARRKAINKITDQRVGLTQEILQSVRFVKFFGWESSFLKRLQEFRDREVSAIQVLL ALRNAIMAISISLPIFASMLAFITYSLTNHGLAPAKVFSSLALFNGLRMPLNMLPLVI GQVTDAWSSISRIQDFLLSEEREDEAIIKPDAPNAIEVHDASFTWERTPTQENESTVG GAGPKSKPEKGAKGKPKDVEAATPPSGDDSSTLVEEQEPFKLQDLNFTIGRNELVAVI GSVGSGKTSLLSALAGDMRKTSGEVVLGAQRAFCPQYAWIQNATLKDNILFGKEMDPE WYRDVIKACALQPDLDMLPNNDLTEIGERGITISGGQKQRLNIARAIYFDADIVLMDD PLSAVDAHVGRHIFDNAILGLLKDKARILATHQLWVLNRCDRIIWMDGGRIQAVDTFD NLMRDSEEFRQLLESTAQEEKKDEAEAPAATSEEEAPKKKKKAKGLMQAEERAVASVP WSVYTSYVKASGSYLNAPIVLVLLVISQGSNIMTSLWLSWWTSDKFGLSLGQYIGAYA GLGAMQALLMFAFMVSLSMFGTTASKNMLRQATFRVLRAPMSFFDTTPLGRITNRFSR DVDVMDNNLTDALRMYFFSIGAIISTFALIIAYFYYFAIALVPLFTLFLFATGYYRSS AREVKRFEAVLRSTVFAKFNEGLSGVASIRAYGLQNRFVEDMRKAIDDMDSAYFLTYS NQRWLSTRLDMIGNALVFTTGILVVTSRFSVNPSIAGLVLSYILAIVQMIQFTVRQLA EVENGMNAVERLLYYGTQLEEEAPSKTIDVRPSWPEKGEIIFDNVEMRYRAGLPLVLQ GLNVHIQGGERIGIVGRTGAGKSSIMSTLFRLVEISGGHITIDGIDISTIGLQDLRSR LAIIPQDPTLFRGTVRSNLDPFGEHTDLELWSALRQADLVQDDQATTTTATPSASGNA LVVAEAPAASNGNSNNRISLDSIVEEDGLNFSLGQRQLMALARALVRGSQIIVCDEAT SSVDMETDDKIQRTMASAFRGKTLLCIAHRLRTIINYDRICVMDKGRIAEIGTPMELF EMEGGIFRGMCERSGIRAEDIRLAREIVDNDRAL NEUTE1DRAFT_24610 LLSTGVLAQQPSSSTSISPSSASTSKVTITPTASETSPTSTGTE SVPPLTIGNTDAATGPPTLLTGLPTLTKIGIPDYPAPTVPPTQNAPFMKHSSLPDGTV FICVGAILGAFGLAIVIWRTVIACLLHRSVERAAMAQNLGDDKGFPSPPKFYKYSDLN SNPSLVGGSNTGLASNRKSRGPAPAAGTPSQSQLFFSPTAQPGDRNSTMANNRHSTFL PSGFYASSAAAPMGHNHGNSISMSNLRPMSRRPSGGSMMGQTPPESPNVGPVMGTTTR TSPPRGFSSSSVNQLNRPESGRAPSAFLDDLLGDNPQAFPPPGG NEUTE1DRAFT_134434 MCVKRMIGKNDERRKTEVQKKQELELQKKKEAAVREIPQMPSSM FFQHNEALVPPYNVLVDTNFLSRTVGAKLPLMESAMDCLFASVNIIITSCVMAELEKL GPKYRVALMIARDERWTRLTCDHKGTYADDCIVERIQKHRIYIVATNDRDLKRRIRKV PGVPIMSCGKGKYAIERLPGAPAS NEUTE1DRAFT_115709 MSPLMSRNNSLTWRPAKRPMPTPDKTIAVINAAGRQAASFIRVA TAVGFHVRAQMRNLEGVVATEVSTNPNVTVLQGELYTKETPAESDKGQCVDVTKNGPI SGIGVNDALISELFRGAQLAFINTTFYGDEERIGMALADAAKKAGVQHYVYSSMPDHH AYNKDWPSLPLWASKHRVEDYVKEIGIPATFVYTGIYNNNFTSLPYPLFCTDLQPDGS WIWQAPFHPNAKLPWLDAEHDVGPAILQIFKDGVKKWGGGKRIALAYE NEUTE1DRAFT_115710 MPPKKQSSSGGNKPSGSGSSSGSSSGSSCRCSCRCRCSIGGWLK FFAILFALVAPIAYVLEQRLESFYVFDTEHLHDLSKRAISAHGNDTKAIVKYIVDELN DRNGVAPYVNNDEEWVFNNAGGAMGAMYIIHASITEYLIIFGTAIGTEGHTGRHTADD YFHILTGTQTAYVPGEYEPEVYPPGSVHHLVRGTVKQYKMPESCFALEYARGWIPPML FFGYADTLSSTLDFPTLWRTSVITGREMINNLLKGKF NEUTE1DRAFT_119585 MASTSSSPSMAIPGQFLGPISKFQPGPGTHIHESNLYSSLLGVV NITQPAKAPGPAKRLNKIINTQTTPAELPTISVLRSAGSSDKREVLPEVGNIVLCRVI RIMPRQAVVAILICGDTVLEAEWQGLIRVQDVRATEKDRVKIYDSFRPGDIVRAEVIS LGDQASYYLSTARNELGVIMATSEAGNTMYPVNWKEYKDPETGLSEPRKVAKPY NEUTE1DRAFT_75426 MTMTAAPVIVEPVTQTLSTAPCCPQCGIDLEGTQPFLEMQAAVL DAHNKIQELQSQVRLLNEKASSAVDRWADYEDEIAQLRGQLKQQQQQQQQSQSSPVAS QISSPQQQQQQQQHADAALATPPQSATPSTTATANASPAAPRSVSSVSSFLPTGAASR LSAFLTSRKSTPNLKSAQSSTGPVPHMPTHQSTLSQSFTLSSPLQQPFQSTSTSLQQQ KEISDLQAALSQEKSLHTETRAKLAKAEAKLTATSREIEELSVTLFSEANEMVASERR ARAKLEERVKTLEKRDEQKRERLEMLEGAVRRMERVRDVLKETSQVMERGTHGGEEGE EDSDYEGRLPVAVVRRQNSRLDLDD NEUTE1DRAFT_119587 MAQNSLAEGVFAINKPYGMSSAQVIRDCQHHFNPSKLFAPLLAA DRALREKEGKYQKQRRTHAKRDINVKIGHGGTLDPLATGVLILGVGKGTKALHDFLGC TKTYETVVVFGASTDTYDRVGKLIKKGDYSNITREQVEEALNSFRGKFQQMPPLYSAL KMNGKPLYEYAREGKPIPREIETREVDVTELELTEWYEPGTHNHRWPTEEAEQAEKNV VKSVWQVAKNQQDGTTSTEEAATLIPEVVEKEVKALANHESNKRAAEERVDELVSEEP AGKKRKTDTGAAEPMMSGALKDKKGKDKKQDKYAKNRGLDLAPPPPSPDTPFPWEGKG PPAAKIRMTVTSGFYVRSLCHDLGEKLGCGAMMAELVRSRQGEFVLGTDNCMEYSDLA LGEEVWAPKIQKALDLWNNKDKAGKAQAVEPVVKKEEVKTEEVKAEEIKAEDAKTEKQ PTPTPTPEQPAGEVKVEESASVKDEAKA NEUTE1DRAFT_56748 MAGPGRPRRRRGSSASIDSTDDRRRRWTRELAILKPVTPGTSDD LWPYFAVLTDATIYQKDGKTLANPLHVDLEGPFIVRGKLEPVEDGDDEARECFHKPYN KATYIEISRSERYSIGYDPNTLWVSGASGWFEIIPSRKYETMYNEVMEAITLYYGIMG PYEEHKRLLKKADKKKRKDVKPPSLDEIFFSYALTAGDGAVTVKEEVEARCLKWAQFL LAHFPKESEIRWQDTGFAAWLQSARPDLQKKISDVAAGLLTKPAAEPEGLLARDDHSP QSQSLAIREPPKGGRTTRTSRQASELSDQRSDKGKGIARDSPVETPVPAPVSNRHLSS SSPRPRASPAVITGSDDLPDDPVDRLIALLGEVAQRIDIKTVKMSKINSDLFYNCRIK YYNAARELCEHFAKDLLERLPPMWDNTPYKAWLEDVVENGRPAPVEFVVEDIPQYLTR RTRRQTHISRLSSALGPTPSVEAESKDEVRAGKQPRVGRPSGKVATLRVAGSKRLASD MIDEDDMPPRRGRKALKRTVRVEEDEESDANSVVIDIADDTGVIPKDAVRIVVQAERL PTMSPAGPNGTWTCDQEGCNYVVRSADEPEGQDLISKHFKDHEEQAQKINLAMEESKA GHLPINHSHLLDKIQAMGKNALAKKRGSLNGEPLPLPIKRRLLI NEUTE1DRAFT_143833 MSSWYSNLSNNITKLQRTYFSGEADGDTEDDTHVCRVLRAYYIE KGQHFPSWLPPDPKAPPPVQQQQQQQQGQQQRYNGYGGQGQQQLSSLWDNNNGGGRGG GAGAGAGAQQGSAAQSRNPFARGAASSAASSPVNAAPGHHGGGYQAGGQHQAGGGVPP QSLRAGSTSSNGGYGHQQSGPYGSAAGGGAASSVASMPAQHSGSSGISAQDKLKQRLW GGSRTTSPGNSGGTGPFAPPAAASQQRTGSVGGGGGGGGYDGGHGNVGLPSGPRLPPG GGRMGLPSNPRMR NEUTE1DRAFT_75433 MSDEIVWQIINQQFCAFKLKTTKGNNFCRNEYNVSGFCNRQSCP LANSRYATVRTSPKGTIYLYIKTIERAHMPSKWWEKIKLSQNYAEALQQIEDRLQYFP KFLLHKCKQRLTRLVQVATRMRKLAAEEDRLGEKLVPKMAPKVKHREEARERKALIAA KLERTIERELLERLRQGMYGDQPLNCSESVWKKVLQGLEKEGEGTRDKDLDEGIEDED EEDEEEEELEEEIEDGNVEYVSDFEETDDELNDIEDWLGSDDEDDAEDDDDEDDDDDD EGDSEEDTKKKTKAGDKRKRGKVTKMKARKKKEIEVEHETDREKLLAF NEUTE1DRAFT_75436 MSLAVGRLPLTPSLGVYNSLCPTKLIPKPRYAAVRTLTEPSEPG KDASLPSAANTNNVLDTDALVLYFPGPKTVTGEDVLELHVHGGPATVKAVLSAIPKST SSSTIRYAEQGEFTKRAFLNNRLDLAQVEALGDTLSAETEQQRRAAIRGTSGVLGKTY ESWREQLLLARGEIEALIDFSEDQHFDESPTELLRNVTRLVKEILHSIKLHEMGSQRS ELLRNGIRIALLGPPNVGKSSLMNLIVGREASIVSSEAGTTRDIVEASLDIRGYLCSF ADTAGIRTRSSLLPAEEKEAPIGKIEEEGIRRARQKALDSDVIIVLASVEPHPNGIEG YRLNYDLSTLDLASSAPESLLVINKSETVPPSVLAQLIQSFKTDVLSTALPEDSPLRS QDPILISCRTAEQRSGGVDDTDPGKIHHLISRLSTSFAELTAIPQDMEHLLGVTARQN ELLGQCRDALDDFMAEANPNPLIVEGVPTEEEVEADIVLAAEHLRVAAGRLAAITGKG EAGDVEEVLGVIFEKFCVGK NEUTE1DRAFT_34563 MAVMGSSTTRCPVAYGRSGYNKDGDDEEKDKNLINFGRSGYNKD GDDDEENKFRNFGRSGYNKGDDGDDEEQK NEUTE1DRAFT_127289 MNSSPSDRPPTRRTRIVCISDTHNCTIKLPKGDVLIHAGDLTNQ GSLSELTKAIQWLEKADFEAKVVIAGNHDKALDPASSSDSSQHQAISPVICHFHNLSL PNPEECLSLFGAHGPSITYLRHESAEVKLTHPKGPRTKFKVFGSPGTPGLGEKWAFGY ERDVNDPNCARNPHQENGGGRADATEMKSGSQSNPEKETGRSDPQSHFSPISNSISAK EIWSSIPPDTDILVTHTPPYGHYDLGVVRGSDGSDDGPSPTRTGETGEQEAETRTETD RHLGCHSLLQRLSVVRPRLHVCGHVHRARGAERVRWSPVSSPSASVSMGMEDDCEIGW IESITEKWTDPSPDPKSGKISLVDLTGRGREEGSGKLDWVDVDTVIGKSTGGREGRKE TCVVNCAILASNYPHVGGKRFHKPVVVDLELPVCEESQEC NEUTE1DRAFT_115712 MIGPRRRGDLLPRFYTEVEKLTVWFSACPIRDLPRLNKFSFAVG VVRNSAC NEUTE1DRAFT_97578 MARPRSPALALMSCQGRVRGLVVLWMVQVDGQPRYRDWQIEVSS SAPCVRPDLGRTSTCNAHIPVALDLDGPQSMRYYRVHPNKDRWRKRLTVGSFNVALLH QRHRYNSKFLIPTGQTRDAPQHCEGKKACMCSRREVLEEPEGYADCGGAENAVDQHIE TASYWLDARWLYAELFLLWFPCQAPAYAIK NEUTE1DRAFT_75440 MSSLPPDPWQVLGIAKTADKTEIRTAYRKLVLKCHPDKVQDPTL KAEKQDEFQKVQQAYELLNNDEERAKYEHQVRMSELNQQKARAASKSAAHSPAARSSP RHKEFAFSSTPERATHRTTTSTREKMYFQPSRSHEEVPTARFADMNFNEERRARRATS YEKQPRPEDERPSRREEEKAPRREDERPARREEEKPVRREEEKSKDKRKDEEEKKARR QRDLARELEEMADSGRKAEKKKTDRERERPEKERKSEDKSRRHKGPSIEVMEEPEEPP KSDKKSAKSSSKKYTETKERERDGSRSREYDYGREKSASRPAAGFVADDPLEKAKLYI QNRGTKVRDGERMPKLGRSQTDFWSYKPEVPRPSPADYDEEEVPRSSARPRRCSHETP ARSKEGPNIIPVSPRLAPTNSRPNPINVKASKTHAVPSPLNSPSRMQRSATTNDIHSG HQFHPPPMTRHTTWAPSSDRHFDKVYHNDSDDDYGRHHRSRYADSPSDGVRYKADGGR SSRRDEPTYPQAYSRGTSATRAPPEMYGSARMYSTSGMKVKEGKTFTTTDVKYADIPY PHRAYPSVPSY NEUTE1DRAFT_127291 MTSTSTSADAFAGQGDNILRPRPRKPLHTQVSQLSHDANGDSDH ANGTGATSVTGVSSGRSTPIPSDAPPSIQSLSTARKQVRAEQRRRIFPTIEFASRVSH FDPNSDYRDFHGFFNLFWIGLAIMAITTCLRNIKDTGYPLRVQIWGLFTVKLWHLAIA DFLMVATTAVSLPLHKVFRAAPAGGVLTWAKGGMAIQSIYQAIWLAVWIVIPFWLEWT WTAQVFLLLHTMVLLMKMHSYSFYMGHLSETEKRLRALDDPATASKAPAYLYPTPENP LGTVASPKQRAVEAAAEASSKETKEISTPNEDEEITQLREDLARELTSPMGNITYPAN LTWANYLDYLCCPTLCYEIEYPRTAAIDWQNLLSKVLAVFGCIFLLTVISEEFILPAL TDASIRLDPTLRAAAGDSGPLTALEGLLVLAETISWLLFPFMLTFLLVFLVIFEYVLG AFAEITHFADRHFYSDWWNSTDWMEFSREWNVPVYNFLRRHVYSASRPHVGKANATVI TFLISAVGHEIVMACITKKLRGYGFICQMLQLPIVMLQKTELVRGKKTLNNVCFWCSM ILGLSLICSLYVLV NEUTE1DRAFT_75445 MSSRNSYSHFCSSPPPLSSSSSSSFREAPYAQGDGDAPRKDAAA AVAAAGFLNEHNVHQNSALNLDSPPSPKLTSAETPAGSTSVSTPKRERAGTLCSNLDQ IQFRSHTIAPSPTLPRFTSASTPPRPAASASADSNLHSSPRGRQNLHSPSGHGLRLQT EINPIVNPFATATGDSSTFGTLTSSPTLIRSSRPSLTLHHKSSASSLRPISRTPSLRA GSLPNPFSPISATSSCYPSPVIAAMGDVTPLPSPLLPSDSPGPWKKLGYQKARDTIPE SHPVENAPLANTESSKATAAMVLPKKKSYAGLGSGRSGAANDSEHLHTPSTSASHTRN RSISEYIPDPMHIPKRMSTISGTRVRPELKNVEPGYDGHMRREPHLSEARGLAPPIEK PPTPPPSEASMSANDVSSLAAFGLPNKESYHEYFEAYGKHDRKLRRWRAIKMLGQGTF SRVMLATSQISPSDDEDCSSGTGMHTPEPTYYDRRSLVAVKVCEHGPRGGASEDRIEM SLKRELELMRVLQHPSLVQLKAWNIEMTRAILVLSYCPGGDLFDIASRHRDILSPTLL RRIFSEVVGAVSYLHSEKIVHRDIKLENVLVNLTPEELSIPTDWATYPYSVITLTDLG LSRRVADDEKLETRCGSDDYAAPEVIMGQPYDGRATDAWSLGVLLYALLEGRLPFDPP PGAGDYAMQMRMRSRTSHRIARVEWRWIEYGVPNGEDGEGKHEADPAKFSAKGLQGAR EVVEGLLRRARTRWPLTQAAATEWVSGGIQVEGGIRFREEDDGEEVTR NEUTE1DRAFT_56764 MAAAETFEFQAEISQLLSLIINTVYSNKEIFLRELISNASDALD KIRYESLSDPSKLDSCKDLRIDIIPDKANKTLTIRDTGIGMTKADLVNNLGTIARSGT KQFMEALTAGADISMIGQFGVGFYSAYLVADRVTVVSKHNDDEQYIWESSAGGTFTIR PDTEGEPLGRGTKIILHLKEEQLDYLNESRIKEVVKKHSEFISYPIYLHVQKEIEKEI PDEEAEEVTEEGDDKKPKIEEVDEEEEEKKKEKKVKKIKETKIEEEELNKQKPIWTRN PQDITQEEYAAFYKSLSNDWEDHLAVKHFSVEGQLEFRAILFVPKRAPFDLFETKKTK SNIKLYVRRVFITDDATDLVPEWLSFIKGVVDSEDLPLNLSRETLQQNKIMKVIKKNI VKKSIELFNEIAEDKEQFDKFYSAFSKNIKLGIHEDAQNRSALAKLLRYHSTKSGDEM TSLSDYVARMPEHQKNIYYITGESIKAVSKSPFLDALKEKGFEVLFLVDPIDEYAMTQ LKEFEGKKLVDITKDFELEETEEEKKQREQEEKEYEDLCKALKNILGDKVEKVVVSHK LVGSPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSPIIKELKKK VETDGENDKTVKSIVQLLYETSLLVSGFTIEEPASFAERIHKLVSLGLNLDEEPEAAA DAPAAAEGTPAAETGDSAMEEVD NEUTE1DRAFT_97583 MIYGCLLHPAIGQPMGRTIQNHRGTCLSCSHGKAERWEPRHPTI RRQRALGAARANCMRMGRFIGFGEVSTAAIAARYDGLGVRLFVDLA NEUTE1DRAFT_115715 MNGIKLAPHRLRVVAPRLSLLRPSFLFSVPKTLNSFPYTTPQTN SKHNFTTTSSKMTIPQLDGLQIEVQQEGQGTRETRRGDNVDVHYKGVLTSGKKFDASY DRGEPLNFTVGQGQVIKGWDEGLLGMKIGEKRKLTIAPHLAYGNRAVGGIIPANSTLI FETELVGIKGVQKGE NEUTE1DRAFT_75453 MSSRRVLGFTAEQAHICNRNGIYPVQSQKPSIRIMTAAAQWRVA AGQHARTSQLFCRAIHTSHINAREWTITDPKPPSPPNRPHHPPGPAHKKLSPIAERTR SLMRLFPHSVVVCTSTDTHTHNHSLQPHKPHKPTMRGMTMSSLTCLGLSPSPIVSFNI THPSRTLDALRASRRFNIHILTDDIAGAAIADWMTRGNSEGPEKVFRGLESECGCVVA NHEAMDMRRDDGEAPLLDGDGVLYIMKCRVLEEPMAGFVPVRDHVIVLGEVEEIVEGL GVRRGAEEGKEVSSLDPAELEKSEGKGIDGYQFGLVYADRRYRQLGNCIVPLHGPVRS THEDEPVKNASSRISTLPETTNS NEUTE1DRAFT_127296 MSSKLPTTLLRRPSALPSTTTYTAYSASRPTPPSCTAHAQGQQI RNATFVPRHRRPYQFTQLVQLSDGSTFTVRTTMPTALYKSAKDSRNHLLWQPSDKSLK NVELDEAGKLAAFRERYGRGWDLDAKMTPEEEAAAALAAAGGAGVPGGKAAKKAAEEA LLAKKKKEEEEEAAKKAAEAEEADPFDSLTDLISGYATENMNPGLNFKETRHHGKKK NEUTE1DRAFT_56774 MEDPWADSAIGGESNNSSSTVPQSDDTAPADSTAAPSTSSTLTP STHTSSSRPSRLTPRRLVAQPTRLEAVEDDPLGPLGASTPTATDTPLAPPQPPLKEQL PLRTTLSGGPNQIGSGARRPGGGPSDPHRIEEEELYNDSSSGPRQPPPVPPALPSPVR TSMQPSVSIEQAANPTFHISVGDPHKVGDLTSSHIVYSVRTKTTSKAYKQPEFEVKRR YRDFLWLYNTLHANNPGVVVPPPPEKQAVGRFESNFVEARRAALEKMLNKTAAHPTLQ HDADLKLFLESEAFNIDVKHKERKEPHLGESKGVLSTFGISVGSGNKFVEQDDWFHDR RVYLDALENQLKGLLKAMDSMVAQRKAMAEAAGEFSASLHALSTVELSPTLSGPLDAL SELQLTIRDVYDRQAQQDVLTFGIIIEEYIRLIGSVKQAFSQRQKAFYSWHSAESELQ KRKASQDKLLRQGKSQQDRLNQVNAEVADAERKVHQARLLFEDMGRLMRAELDRFERE KVEDFKSGVETFLESAVEAQKELIEKWETFLMQLDTEDDETVFYRPPVVQANKPAGDT AVDRARARIDDDSD NEUTE1DRAFT_143844 MSNPPPANTGQAPGGATYGGGQQHQQQPQSTTGGAPTTGGTGGT GAAGTTSTSSTAPTPQNLNQIVTDYLKKKGFTKTEAVFRQETAHLGPDGRPAQRNEEN GPRKYLKAFILLRDWIENNLDIYKFELRKLLWPVFVYSYIELVSTGYVEEAKHYLATL RPHFDAVHREALDLFTTVTLPQHIRENQTIKLYRENKYRIPLNQSLSGNLFHFLEREA DAGGSTITFILQTFCQVDVSARGPIEPFSFEAIYRRTHNLDLDEIDQLEGIPGVFTGV TNRDVLDSSAPLKLGPLPMEPELREDVRAELEDEDQRHPPVEGRSTLVEEFDQRIKRE ESADAPSRTDLPLPPSRARDVVMEMQKVRENRDRFKIEGRTGGAGVPVSACMFTFHNS LGSVSCMDFSNDHELVAVGTMDSYIRVWSMNGKPLKSSVASEKDLQVNNRKLIGHSGP VYGVSFSDSIANLDRNVFPEADGAKPDTSTKLLVSCSADGQVRLWSLDHWACLCIYKA HDGPVFRVLWGPHGHYFATGGWDKTVRVFAQDRASALRIMVGHDTPISAIAWHPNGTY IFSASDDTDKSIRMWSVVTGNCVRIFTGHTDYISALQCAPNGKILASADTGGNIFFWD IAKGARIKRCRGHGKGGIPSLSFSAESSVLVSGGLDGTVRLWDVELPADPSKSSQLAI TSSGAAVGGGASGQQADGAATGDSIAVASGGGPNGNSITVGGTGSAATPAAAANATGN TGTASGSANGSSGAVTGKKKGKEVQITPDQISAFATKKTPVLKVQFTRMNLVVAGGCY DPER NEUTE1DRAFT_75464 MDNIAQPQGQLQLQSQMDIDIHEPFTTAEHIQQLSNIDNDIVSL LELTSNALRCLASPPPTSSDTQNQTEAEQQKQQAQDQEDATTRFTQIQSTFLSTLDRV DKQLRRQIYAMEEAGIINLRSSSSNSNPNNPDDDAKIETHRGAKWVRPVVARLDPDGV GRYGNLDVGRMNMAIDTVERDMERELWLRAREHAERVVKEKGVPAAGVGPLGAGGVIK KEEAGADRMDED NEUTE1DRAFT_97590 MAPSILETLALLLSASAAFLTTGAGANPLPNPSSNSGAKAKSCV NGPPATDSSPGSLPIADYSLVAPAANLNWTSYTVKKSWWDKHFLSGPHKSELTNMDQI QNSTCPTPTTPTPTAHSSASTLATRRVINARVTFCGTVPDINNANEHINCVLFDDIID PSIFVLGGNSTIGAGGYDRRCQSSTA NEUTE1DRAFT_143846 MINPISFRPGPVTFWTTLIYLALLIPIVIINEKTPAAPKTAEPF KGVNLTEAWLDLTTITRAYHPYNSKFNEEVRRYLLEKVETILEENGPSWVFDGQMTTV KDGKSAAVTVFDDNVSNSTFVMGKSNGTTFTRTDSINNAAYFEGTNILVYIRGKEDDE GEWWEADYAHGMRRNAKGLTLVNAHYDSVSTGFGATDDGMGVVTALQVLKYFTAPGHQ PQRGIVVMLNNGEEDWLYGAHALGQHKLNPFIHTFLNLEGAGAGGRAIVFRATDREVM AAYARTSHPFGTVIASDAFGLGFISSGTDYSVLVDAYGQRGIDLAFFKPRARYHTNQD DTRHTSKGSLWHMLSAAIHTTKQFSGDTGNTFIGQRPDKAHGKVANGRSSNGVWFDLF GKSFVLFGLRGMFAWSLTLLVATPLVLVGITWLLRNLDKDYFFTSTVKTKEHPEYEAV PIGGWKGFFRFPFALGVAVFFTISSALLMNKVNPLIVYSSRYSVWVMMLSIFYFSFWM IMRGANFVRPSALHRGYANLWLFVFGWIVLVAVTALEDRRRIAAGYIFVFLESAIFLS CLISFVELLALPRKSSYALQVQEDYDGQEHDHNGYQGFRDSTDEPSLRARAESSASAA SPPSPTVAQEPSKSKAPAGTTNGLSTAPSVAAHSSQPQPAPTTPIPGRSSGAPSTASR DENESEDDDEPTERTPLVGGNGTNDRGRTTFATTYRRSITALVHGARKMEEDGEPYDH EQEWSGHLPSWAWFFQFLLLGPFMIILAAQTGLMLTDAVYQTGSDGSKLITPYLIIFV FTVLLILPLTPFIHRVTHHIPVFLLVVFIVTLTYNLIAFPFSANNRYKTFFGQYIDVA TGDNKVCYTGIEEYVRPIIAELPSASGREVTCGKSLRRGSTISTCCFDGSAVPPKLGS EDDNGLPQDSYADLITINATRNTKRGDSSRTTARIEITADNTKSCFLQFKKPVSALAV ENGSGWDDRFGQYPEDGVGLVRLWHREFGKTWVVNAEWKGSETRKEYDENDGTVICMW SDANTPGTIPALDEALQFVPSWAAVTKFSEGLVEGRKAFKIV NEUTE1DRAFT_119602 MNPPRFSDQRLAIHDPLVPSEQFPAIEGRNQTTTVIQDSLEYLP LSRSLKDGDTLLLLTPAVAPHHTTSETGHSTSDPFEPLGKALAKKHPWVRQVPYIPRN GITGTHVVHIRLAKSVVFVISGPPCFGQPPQVSLAKTVRSLCENRPLIVLACCNVKWL GPLESSFPTVIQSSGFTPPELEAAARVMVENVKQPHTAGPNVQNLILAPKSWQVEAWN EHRDVAPAYELWCQCFPDNFRLSRFSFQSLLCRDGYAMHYVVREPGTTQLLGFCATYT TYIGSDSERLVGSLAALFVRPSYRQRGIGLSLHDHASRQLRKTRGVCRLQLGSTFPRL FYGIPMESPSEDWFSRRNWPVKPQSSLPGAGLETCDWLLVFDDWPTTRTTTTTLMNSE LIFRSCEFSEYHMVMEIVERESREKDNMGWYDQYAKLEGTMNIEDIVLGLEGRTIVAV ALTPKPWCGQGKRCHHAQAS NEUTE1DRAFT_75473 MVYHLLQDTSILSQTYGVLFNLLDTAAIRPQLCHVLALITRRKH VKPFRIQTILA NEUTE1DRAFT_115717 MVDIIHDYAASTKTLPPLLINFFREFLQVWDGRDKREIVVETLS FLPLMNFKELYQILLQPFESVLLDNTSESQLSLL NEUTE1DRAFT_115718 MVSTSPSARPLFAEERQQITTFNAFLMDLCNVLWRGRAFAVSDA NAKGCLVPRTLEAALRGYLKKVEPDGELVLGSVFGLSHSPVLCLQSISFLRELEDREL EGEMDISDRGLRAR NEUTE1DRAFT_143849 MPLPTSSIYQPPSAEFMKWPSRRSVVHSTKGIVACTQPLAAKCG IDILNAGGNAADAAVAVAAGLNMTEPCSTGIGGDMFCLYYDASTGKVSALNGSGRAGG KCTLENVRKSLKIPEGTVGKIPMSSVHAVTVPGAAAGWVDTVERFGSGKLTMEQILAP AIELGEKGFPVSETTAYFWGRQEKGIREASPNFAEMLKADSSAPGGVRAPKAGEIIKL PNLAKTFRILATEGKKGFYSGRIAEELVKIVNDLGGHLELADLQHHLETGSESVEPIS LKFPNPHGTSETDGVELWEHPPNGQGIVALMALGILKHLIKQGTIPAFGPSDHNTAPY LHAIVEALRISFGDASWFVTDPNAAPGVPTSQLISASYLAERAKLFSPSSANTSVLQP GDPYASPALTSSDTVYFACSDSQGNAISFINSNYGGFGTCIIPAGCGFTLQNRGANFS LDAKHPNVLAPRKRPYHTIIPGLVTNLQDSSLHSVFGVMGGFMQPQGHVQTLLGQVLC GLSPQQALDAPRVCIGAGMPDEGNVYDATVHVEEGMPEETVDGLRKLGHKVEVVKGMG RTLFGRGQIIRWTKDVDGTGVWSAGSDMRGDGAAYPA NEUTE1DRAFT_75477 MAAVTSQTTQTGQDEVTDLSKQYDTPMGLAHSTMQALKDRIKLH YDLASDYYLNLWGEHIHHGYWATDEAKAKDTKEVAQVNLIRLLLEISKVSEGARVLDT GCGIGGTSRFLASELGCTVTGITISTKQVEMATRLTKAEAAKQIQGDHKTVTLDADGF IALGKGKVRFLELDAEKMGEYFASDAGTFDVVWISEALSHFPNKALFFQNAFKVLKQG GKLVLADWFKGEELNQTQFDNDIKPIEDGMLLPPLCTQPDYVKFATDAGLKVFHEPKD ISKDVSKTWDISWSLVQNPSLWAFAFSQGRDGIAFLQAFRAMRRGYSNGSFRYAVMSF QKEQSYPTSIDISRPQPPPPPAHLLSSGPVRSDPSKIMATYPQPRNQRGAELGILVPP RMDVGELRNSKTENRINGWSPNA NEUTE1DRAFT_97596 MDIAVTSHLYKHHVLTPNRPQWAIIKAAPNSKSETSSRKATENL TRLRQSAKNSAIHHPSPLTIEQLDVAQAPEGDLFLVVGELLYSPDSSEKAPKPKQGTS DSNGGKQEGEVEEEEEEPNVRASHLVCTKARAERCNLFALVSDREGKSWTFLSLPQTV NDWVAYYQEFTGYPVEVQESQHKKRRAAWKQRITAFCAPLLGTDNCQGGDKTSAVRVT KELQVLRTSAEVGQNSSYAEHIEQSRAFQLTMRLLYPDDPNDGLDEYEVEEIAGTCQI TKQHIREISHCVWIGLLANKPALSPFKHQAFIFNCSILARNAAKRNMAVVWDNIIEDA FDMAWNTTCPQLSDRGAWSSQAIVIDD NEUTE1DRAFT_93606 MADQLQEILDVPREFLKDGIQFIKKCQKPDRREFIKISQAVGTG FLIMGAVGYLVKLIHIPLNQVLVGGA NEUTE1DRAFT_119607 MQFSIATLLTIAASVPFTSALTQSQRVQGYRLDWNYGHNSFLLY DVTMDDTTNSVYLANGYGLDSKARGWYGYSEKSKDGGVCQGVNLGRMPEGCVNINEVA KLVGRVKCVQSVIV NEUTE1DRAFT_56802 MAAGPYVLRPLLHDVPLSAEGNDEDIKINCVDYLDGNLYVGTSA SELLHFFRIPRDPNDPNSTDTFILASRLLPAYSETSGSPNGPKPGVQQILLLPRVGKA CILCNWTVTFYSLPELSPVFGTTQVRNCNWIGGVDLNEDFEYPGTAVTILLSLNRRIQ VVRIGDDARVIRKIDFAGSTLSVRRDSIACVADSRSYALLDVDRQLKIPLMSISSLDD SAPGGQYGQTQNIAGPPENGGHSRSASSATARLAPADFQGHSRSTSLSGLIGNMRGNQ RLQGEQEDPVFQQPGTPKASSNPESNPSPGSKDADKPLPPPLLDSAASLASQASGPGG VSPARIASPKPQPGLVFLKPHIVSPSSEEFLLVTGTGPLDPGIGMFVNLDGEPTRPTV EFERYPREIAVDGGVPDVSSPGASTMGREDEGYVFASMGKEFEDGVHQGLEIQRFDVS VGEDEAEKFWLEVPNKDDSATAAATNAPIGIRSLLASEEMQFEEVVKRLCQRRFSPFN GGSATHTVSTKGADSRTASSIERLAREKELFDRDLGSEEEPLPENWETVRNRENEDFV RVLAKGSSRLAVWTGANIWWAVRNPLLLQLESSLELATSREQQSSSNNSEQKTELLGL IKTINNREPKTELEFMTLGYVKQRASIMLVTHFLNSAESPFSNFETRQMEASLLDGDL DARVVLSLIPALRNEIVVGQKGIWVYGGIKSLVEEYIAAEKDNEATQTVDALPRHVLD FLRRFLTAWRNKRGFGSISAEIFRTVDASLLLVLLELDKNTPVGKSGEPGSPRKELYE LVDHGVDCFDRAISLLEGYRRLYVLSRLYQQRKLSPYVLKTWKRIIEGEEDRGGELGS DGELQVRRYLSNISSQELVKKYGVWLAKRNPKLGVEVFADDKIKAPKLVPTEVVALLR EEAPDAVKYYLEHLVFRKGSTAYINELITYYLDIVINDLQSSPESRATVTASYEAYRA LRPPKPTYRQFLTDNAPPDNEAWQSRLRLLQLLGGANDYDAGAIRQRIDSSLAEFSSE HGASHTSKQKQQQPQQKQRLLVPEAIIINGRLHDHEDSIRLLVHHLGDYDSAVSYCLR GGLSLSFNNSTAPAQQKQPLPSKETQSHLFHVLLAEFLAIEDLSDRVEQTGALLERFG GWFDVLEVLGMIPDGWSVDVVAHFLVMALRKLVEERHESLVQRALSGAENLRVGYESV VKMDEIGPTVVGGGDGEKGGGGHEAEEMQEMEMGDMGGRGVGIGVDDDQFA NEUTE1DRAFT_115722 MVSSSLSFLFRARRSCSSVSPFSLASLSPSALSPETARTASSSS PVANSMLLRTSSS NEUTE1DRAFT_34872 MKLAERKEGAGRIKRNTCRIKLTLTEYTLLFVAKKATGTCRPIS HRTAMLPCCHDADPRTRRCHDARGRDTMVGTLDAVLTINRERSSVLRRSRFCAVETMV DVVDGGDGG NEUTE1DRAFT_56808 MAAPLLPEINAHSAPADSLKKISEYFNLLAVKVQQSKFESAVPT CDLSKVVMPQAPSPLPPPSFGLTLRHVALGRGTQNYTCDPSTPTAAPVANGAVASLFN ASCIVSAYPDIGAMLSTVSLNFNLSDLASIASSVASALPFPPSFLKQTLAPTSGMAVS GAHYFTNASTPFFNMDASQWKIGEAPCAKNSSTPAPEAAPRGQQGEKAVAWLKLITRP GATGGLQEVYRVETAGGSAPETCQGMPEHFEVQYAAQYWFYGN NEUTE1DRAFT_75495 MSSLKQFIRNVRAAKTIADERAVIQKESAAIRASFREESHDHSV RRNNVAKLLYLFTLGERTHFGQIECLKLLASPRFADKRLGHLATSLLLDENQEVLTLV TNSLQNDLNHPNQYVVGLALCTLGNIASVEMSRDLFPQIETLISTTNPYIRRKAALCA MRICKKVPDLQEHFVEKAAQLLSDRNHGVLLCGLTLVTSLCEADEAEGGEEGIIEKFR QFVPVLVRTLKGLASSGYAPEHDVTGITDPFLQVKILRLLRVLARGDAQTTEQINDIL AQVATNTDATKNVGNSILYEAVLTILDIEADAGLRVLGVNILGKFLANKDNNIRYVAL NTLVKVVAIDTNAVQRHRNTILECLRDPDISIRRRALDLSFTLINESNVRVLIRELLA FLEVADNEFKPNMTSQIGIAADRYAPNKRWHVDTMLRVLTLAGNYVKEPILSSFIRLI ATTPELQTYAVQKLYTNLKKDITQESLTQAGAWCIGEYGDALLRGGQYEEEELVQEVK EHELIDLFTTILNSNYATQVTTEYIITALIKLTTRLQDTAQIERVRRLLQYHQTSLDV EVQQRAVEYGNLFSFDLIRRGVLEKMPPPQIKESSRVLGEAAKKTTKAAGKKTNKAAK PKEEDLLFDLMGDTNLPSPSVPNGGTNNADLLADILGGTTSPPTSASPAPAQSNVASI MDLFSQGPTSTPSPAPAPAAAPSAVLDLFNTAPAAASAPAPQAAPKPAAAAGHACYDN NGLNVTIQIQRNAEGMIQATARFRNSFPGGPLSAVGLQAAVPKSQKLQLLNISSTDIA PGAEATQMMRVAGCTGALRLRLKIGYTHPTAGQVLDLVNWTEPS NEUTE1DRAFT_115724 MADSLTEEQVSEFKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK NEUTE1DRAFT_75501 MWRDRTNLYISYRQSYAHHPTQRNRYAPQNPGDRFGGTSGGAGS STGVLFSADDDRRGLLSAGAYDVDDGDAVIEMDLLPPRWADSSDEVAELLADIARKSQ KLERLHQKHVLPGFDDDEAKRDQEREIEQLTQSITKGFHDCHRVIQRIEQMVREGKHN GQMSRADEVMAKNIQINLATRVQEASANFRKKQSAYLKKLRGMSGLASPTLDRVSTTS SFLGPSGLPPGNSSNSASILESDADRVFSQSTLQASTHQKLLHSNDQAISQREREIDE IAQGIIDLSDLFRDLQTMVIDQGTMLDRIDYNVERMATDVKEAAKELTVASGYQKKTT KRKIILLLLILIAGMIILLVIKPKKHGG NEUTE1DRAFT_115726 MAGSQLLQNDSPNGWIVQKFGGTSVGKFPDRIAEDIIRAYVKDH RLVVVCSARSTGKKVTGTTSRLLEVFKNLKAIAAYASDEHKQNALLKDAKRVMQDICS DHTAAADKFIQDAELAAIVKEETVKECQLLIEYLEAAKRFHLEVNSRAKDRVISFGEK LSCRFMACMLKDRGVDAEYVDLADVLHLDASIERLDATFYREAAEVIAKKILACENRV PVVTGFFGNVPGGLLDGDVGRGYTDLCASLAAVGLKADELQIWKEVDGIFTADPSKVP TARLVPSITPSEAAELTFYGSEVIHHLTMDQVIKATPPIPIRIKNVKNPRGEGTIVKP DPLLAPDQTLQRHQQNGTCNGTKTNGTGQKSNKPKRPTAVTIKDKISIINIHSNKRSI AHTFLARLFAILNKHRVSVDLISTSEVHVSVAVHMGNAEMTNFDAAVKDLGDCGDVSV LHGLAILSLVGAEMKNMIGISGKMFSTLGDHRINIEMISQGASEINISCVIDARDAER AMNILHTNLFTFLE NEUTE1DRAFT_107066 MSDYRNSTPKLYDDTTSLVVTYTLDLPKIHILQAMVTGVAVRRA VLLPQCGGSGAGLAALLPFQQSPTMTSSILSAKFRRPFSSVQRSSTTSFAIVSVPFSS SHLGSQPCVQSQRWTSPFGLLRQLSTSQTRLREQSAKEAAAETAEEAAKDVEKVKEYT SEEHLKVHGFTKSERAHKAAHINMSARLSKDGKSQSGTKPGFAEVWRLIKIARPEVKA MSVAFVLLLISSAVTMSIPFSIGRILDLSTQGPADEVRLFGLTLYQFFGGLAGLLTLG ATANFGRIIILRIVGERVVARLRTNLYRRTYVQDAEFFDANRVGDLISRLNSDTVVVG KSITQNVSDGLRSMVSGAAGFAAMFWLSPKLTSIILIMVPPIGLGAVLYGRSIRNLSR QIQKNVGSLMKIAEERLGNIKTSQAFAAEVQEVGRYNKQVRKIFALGRKEAIVSGVFF SSTSYTGNLAILALLIVGGNLVRSGAMSLGDLTSFMMYTVFAGSSLFGVSGFYSELMK GVGAASRLFELEDRKPAIPQTVGLKVESAQGPIKFSNVTFAYPTRPAVTIFNGLDFEI PSGTNVCIVGPSGGGKSTVASLLLRFYNPTSGSITINGIDISKMNAKSLRRRIGMVSQ EPVLFSGTIAENIAYGRPRAPRTEIIAAAQKANCGFISDFPEGLETQVGARGAQLSGG QKQRIAIARALLKDPDILILDEATSALDAESETLVNSALAELLKGRSTTISIAHRLST IKRSDKIIVLSSEGTVAEIGSYTELSANKDSHFSKLMEWQMSGSDVSPDHRPPSGDPH ISEVEEIEEELAEAENDIDDAVEKDVKPPIRHTPTPQSTADGNEFEALSATYSRGTSS PVGPLTQRDILSLTGPNPGMNLPQLPPYTPPSKWPKVRSMLHAMLASEEEGIVTFFTV YMSHRMLESEGERHCWGG NEUTE1DRAFT_75508 MAYNEDAVLSKLSALTETHESIATTAQWIMFHRRHAAQTVHLWL TKLKDLPSPKRLNMIYLANEVTQQSKARNKDDFLQAFSPFIADATALSYKGASSDIQN KLRRVVDVWRERKIFPMEVQDAIDSRLRELDSARSGGTMFGSTSLSSPAAAVPPELAP LVTSQQAVIKSAQALKTSLTTANSDYSKLMDPAHAPPQAPVYAARLNGLLKNLANAEG AVTECIKTREELISALEKMLSSNRQALEAEQSQLRDLGTRKTAVEEKKQAIELSIIGG LPHNAQEPATGEERAPSSSDGHDIARPQVEALTPPHVQDHDDLYDHRPSEQQQPQNGH SHPTGSAPGIEMLSALASQYESVPTRQAKKRKIDETADIPDVGIDADVAEMIQKESTI NEUTE1DRAFT_75510 MADRFPSLEEFDSGAQTEIKEGSGSPSATNFLEREKALLGDDAN QFATVEDAGFDDDNDLLGGGLDSSAGAGAGLETSAAFESQFPDLSAGNESVAPGGTIT GAGPSVSYNSGYAPYAQEEQEPEVIREWREKRDAKLAKRAEQFAAQRAETIAEAQKNI DEFYENYNNKKEKAIGQTRKEAEEFLASREDTTSGGTSWERIAKLVDVSGKGAKGGAA GSGKERFRELLISLKKDEKAPGASGI NEUTE1DRAFT_35100 PPSFPAGSRQAELPPSGLQSPPSTSPCTTGSPEPRNLKHGLVNA PNHVPEKQRYYQQAFKNHTRLWKIGPRSGIIMTTFNIAMWGTFGASMYAMTRKVLGYN TWFSED NEUTE1DRAFT_143863 MRVVPPVLRHVARSRRSTISHLYTDAVHLQASGLPSCVNFSTSA RWSRSLTAYALSETLAAEEDHGVRNTQKARGSAVQALPGQASTTYDLSAWSGFRSSRI ANHPFNRDDWDILTATEEDLRFQCDILADSPEDGKPRLVDWPQYANDITLWSCILEHC QRTEGDAGVAAVLEGLQKRRTLTEVEGSTAEKFWQAILDVAVHDQATLHSAWSYAEWL HSHRGVLWPNLYAGVVSFFIAQQDTQSTLLWHLRLSSSFCSDSEPLTQVLKQFITNSD AKVREILQALYMTSVRQNLYDEMIPYLFAQGEEGIARDWRRLFISHNDLPTTEASRPF LQFLVGFHPKIQLTDKEQSFLIPPSKAALPSSADLNSLLRDDKSQVGINFNYQISKGL GNVFGIKEQTDNDSLGARWFATLDFSIETIIGTISTLGFSEIGPLSLQSIARREPSPG RLLHHIAQLQESGIGIGNSSYSKTLQHFATVGDEELFEGLVNTTMHPEEFDNFTKHPS FLSFAAAEGDFLLCRLLVEASHLRTRQVLKDSTNEALLVCLKANSASNMVGFLNIMTD GNIEMMHSTADAISKHVCEEIVPRPAKDGVDISSYATMYRRLLRFGYPLTSKASQIIL FRLGREGLHDERDDLALDIVSFYEGTLGPEGGFFRVHKLDLPDAVAQQLPKSERFMSL PSGMSTTSPYHPLHLVFGRELLGSIIRWGFRSSRGMPRDATEFSKPEHFFFARGIKLV AMLKEKGVRADDSWARSVIVPALTVLLVSGEIKRFHRPPKHGHHYVTPKVRETLSLKE AIRLCNYAWGSYVLERLPELVHHSMKEWKLKLKQSQPELFIPHKREYAVGSKLWVKYG PGGRPPFATKLKHQHRQQQEEADANLVPDAQSSSVWKSSKAKTEDDFFQDFEGFDRFL K NEUTE1DRAFT_93615 MSAWSGVLWMNMVDISQAHCRRPCPSHWRQILTLRGKSGTWALF AALLISRL NEUTE1DRAFT_75517 MASERPGLSLSGLPADSRLKNPGTIAPPGSLLLKKRGGEPSTER ARTLPQPKMPSRLPQSLPQRRPSDTQPEPIQPRVTAGIKNSKALGNTTLITSAASSRL PAPTMKPRNVLRRKNSGLSQEVVNSIRKGSRDETGNHAKTRPSSSSSNPRSQTSIDSS ASSRSELNPTMNTMEIQATHQVEVAAGAPQAPTLYPELDRYRDVKAPACNGSLSIEVP YLLTTHDLPPPTPLFSGSSNTTPTSMSSQSPGMVAPLRFPGAGVRMRQVDSTQTRPPV SRRRGGSISNELDLVSADPQGLPSVRESLTSSSSNSTVRELERKDSKKKKQLSPLAPS PPLRISSHKFTKSHDGSLSALRPWRAPPTQPTAPAAAAAAQLLPKPASFSRPRPAQAA QQAAPPRGQPPRRPSRDGTPDLHSQLGLPVPVIQSNLTSAPSEKRSSRLLAPTTIARP GLLSSTSDTHIHLLRPSITREATPAPKMSRMGADATTIKAESGRTTRTPSPGVSTLRR FPLFGRRTRTTQEVPQQKDEKKPPRKGPAAGTGHEGYGRVGPARRRSNSLANTGLAIP GTMSSQESIGTNDSFLLERMAPVVIAGGEIIENRNVGSEMSRTESSQSITRERPSVEP QNGSQVSLNSIPRNTLWPSAFPRAGQHTQSSSISSCRPSESSESEAPVLKSTLALRRS IQRLKSGEKEPPRIPAPIVTQPHVTSPSITSIDASVLVTDDSMLAPSIDAAQGIKASE GSKNLSGPKRLMKRARSPRKWNFFGRNHSQPAPETKKVDIPENAAPVAAVAATVKVVQ NKPLAFYTMMDSSEQEDTDIPDLEEVLREAKSSDSPTHKQIPDLRNKEVEERRPSVTQ ENAHSSFLTSEASAQVPSVVEFEHMSPVKFSKLPTPSSRPSSVATQAGITTSPVQGRP SRLAQVGRIPKVVSARAEQISPKSFSRPFHRLSTQVTPSKMDLRDAYSVAKGPSPPRP STPVRVEPATTAEMETPTLTRISGSMLPTYPSEIRQEFLSFSPRKGSLCTTNTTTSSS SGGFNFAEATAIIPEPGAPLAEDEIWDEYDDLFGDERLRQPPSTRSSFGNQFHLEKYT ATPTRRIEPPLESPTLRSQFQEQQVQSLTQIMHSQRIPVSSSVYSSDMAEKVKQLLAD HPSPRCSLEPDVFRESEMQRQGEAVCKQSELEKEVETEKQTNSLPVDDSRRSDSSRCS QSSEDGSPLALVNLRVGSMTVSKWLTFGHVLFSPVRDELLNDVGSLKRPSILVIDGLG NDDWSFYAAETYPAATFFNLSPRPPRPAARNGSTGLPLSPPNHYQAQYMSHTGKFPFG AESFTAVVFRFPVAAPEAHYRNIISEARRVLKPGGYIELSILDVDLNNMGNHGRKAVR RLKERIHAKSPDVSLGSTSDLILRLLGRKGFADLKTCRVGVPVVSVIPQTPASENGKR SKAATKGKSMKDERSLPEMISDGSPVADESITKMVAKVGQWWYSQCYESAASTDIGPG TVGSMWRDKALLAECEEWGTSLKLMVCHARAPDGRPRLASI NEUTE1DRAFT_143865 MTRRIVRNIIQISTAAVITFILIFFLDRNFRVLPNAIHEYLPTH HAGLVITDITVTTCTSYNPFSSCDLDTSVWHRVDKDLHLGKTLFSHAYLHVSRKREEE LKEGDKVVVDVTVGRLDPSVADAEKAAAKGLDIKNEKWESRPAGLWVKRSSKRGASDS KNAVTGIDVLFGDDAVEARDAWSMTGTPLLLDVGRSVPSAHLTVRRGVEHPPVKPVLR IRDNGKFKIVQLADLHLSTGVGACRDALPEGQKCEADPRTLDFVTKILEEEKPDLVVL SGDQVNGETAPDTQTAIFKYAQILIKHKIPYVSIFGNHDDEGSMSRAAQMDLIETFPY SLSRAGPESIDGVGNYFIEVLGRGSSSHSALTVYLLDTHAYSPNERKYPGYDWIKPNQ IEWFRQTAQGLKKKHREYTHVHMDVAFIHIPLPEYQNGRNLTLVTSWKEPTTAPTFNS GFHDALVEEGVVMVSCGHDHVNEYCAVDFKEDGKPALWMCYAGAAGFGGYAGYGGFHR KIRVFDFDMNEGRINTWKRVEYGEDVDKKIEEVMIVDGGHPVMPMQD NEUTE1DRAFT_75523 MSWRNQGITGSNNIPLGSRRRFAGDGEEEDGARSVTPSAPSSVT NGDRDRDRDGPVYSNDRDVKRGRSPERSEDGPKRRKKRNRWGEATENKAAGLMGLPTA IVANMTSEQLEAYTLHLRIEEITQKLKIDDVVPADGDRSPSPAPQYDNHGRRVNTREY RYRKKLEDERHKLIEKAMKTIPNYHPPSDYRRPTKTQEKVYVPVNDYPEINFIGLLIG PRGNTLKKMETESGAKIAIRGKGSVKEGKGRSDAAHSSNQEEDLHCLIMADTEEKVNK AKKLIHNIIETAASIPEGQNELKRNQLRELAALNGTLRDDENQACQNCGQIGHRKYDC PEKQNYTANIICRVCGNAGHMARDCPDRQRGASWRNDGPGAGRTAGRIGSSGGGDAVD REYEQLMQELGGTGAAPARIEAGPGSFSNGPSGGNGDAKPWQRGPTGGPAPWRTRNMD RDHEGGPGGPGGPPSGPSGGPAPWARDRNERRHDDRDRGDSYYGGDRRHDDYGRGSSG GGGPAPWHQPPPGAPSAPAIPTAPAYPGAYGGYPGYGAPPGMGAAPPPGLPPPPPGAP PGLSGPLNALIQQYANAAPPPPPPAAEAPPPPPMDLPPPPPPGA NEUTE1DRAFT_56840 MASQNSDIHLYTAQTPNGIKVSILLEELGVPYKVTAIDISKDVQ KEPWFLEINPNGRIPALTDKLEDGTPIALFESGAIMQYLVERYDKDHKVSYPQGSKEY YQTQSWLFWQMGGLGPMQGQANHFTRYAPEKIEYGINRYQNETRRLYRVMDAQLAKNE YLVGDRPTIADFSCWGWVAAHGWCGIKNFEAQFPHLNAWLNRLLERPGLEKGRHVPSK HTALELNKLSEEELEAKAVSSRAWVQKGMAEDAKK NEUTE1DRAFT_56844 MKVSTLLSSGLFSVGALGAAVGSTCKCFPGDACWPSTQDWNQLN QTVGGRLIATVPLAEVCHGDQYDSAKCSSLQSSWQTPDTHMVDSASVMAPFFANQSCD PFTSRDKPCTLGNYVRYAVDVQTAADVTAAVNFARDHNIRFVIRNTGHDYLGRSTGAG ALSVWTHNLKDIEFKTWNDKYYSGPAVKLGAGIQGYEIMAAGKAQGQVVVGGECPTVG ISGGYTQGGGHSALSTSFGLSADNTLEWEVVTADGRLLTASRTQNSDLYWALSGGGGG NYGVVLSMTVKTFPDAKVGGATLAFYSTAMPTDTFYAAIDAFHSALPDMVAAGTMVVY YFTSDFFMISPMTAYGKSEDEVKQIMAPYLKTLDTMGVTYTAGYSETENYYDHYDKYF GPLPTGNIQVGIAQYGGRLIPVDTVKNNATAFAKTARFIAESGVTFIGVGTDVSAFGQ NNQNAVLPAWRKALVHATLTTPWSFAPEDWDKMIENQHLMTDVIMPAIEDATPGSGAY MNEANFQQPDFQHQFFGSNYNNLVCIKKKYDPSNLFYATAAVGSEAWTVSNDGRMCKS S NEUTE1DRAFT_107076 MEHRGFSLLAVLSQGRAGRKTEVAEHRRHLANRWTRRETEQVNA DDLDHNRINDKSHQLLRSDAADRLPSSENHGRSLALIFPTDTAQERSLKLGRNRSVSG AQTIRHGTGRPFRLAAADDESFPATPSALGGGWLRGPLRLPLCSWCHPFYCP NEUTE1DRAFT_56847 MAWTYNTTDPDAPTIGHQITGVAIALTVLSFITVCLRTYVRALI LKAIGFDDWVIIITWVAAAGFAVVTIVQTRWGLGLVDMADFPSQNMYNFNILQYIGAP FYISSIFGFKLALLTSYLRFIPKGGYRYLTLGVIVSCFLFHLSFLLVQLNLCQPAARQ WDYSIPGKCLKGVPFYTSMASLTIIFDVTAMLLPFPVLLKSQIQTRKKVVLLGMFGLG LFITIIQIIRIQTVKRLVNPIDSAPLIMWSTVENNLGIIVTNVPTLAPLIKYFNERSR AGNTGSRTRGTGYPKEVGSRYALQTWRTGKSKGCEKLDSINDMELGSVEGHTSKDANN STEFILDGGITKRTDVVISRE NEUTE1DRAFT_107078 MDEVVSYCTPAVRYFAATDIDPMGRDRCYFANDFGALMPDFVLT NQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QSITSDLRNLTKDMLKGPKVRRSTEICKSGKSGGLSLPVSSPVALC NEUTE1DRAFT_119625 MLRRLASVRHTNGRGTPDDQEPMSPSPGDDVSHPETTKSASGGL ASVFKGLAGGKLTKSPPPLQPPMATMTTVASQPSRDRFDALPVTPVLRGLPQEHMEHF ARLKTGQLNDRIAGANALRYAITDYPLNPIMEVWSAAKDLIDGDKPENARVAGWRLLT ECVKDTSATDFERREYFRTLTAQTAHPDDFPLQLEALEYLTNRGRNVAGFYYDIFPLL TVWLANTFDAARLARRANKSAKGKTQVTVEDQSFSRLFALIRDVVKFNFKYASDAAVG RLMDNLLEICNRTSQEDDLAACISVIDAMVTFGSVPNGKLRDCIQVLSSIHCLVPSRQ KDAWHTLSNICKSHHGQSTVRILLDVLRNAPAAPDKERDRDVIRDIRGALTVLKKLLG KSAEKGYPGVPLALLVDGLANVCTSSSSRVSTEILRLVNSLFDDGDGIINPLIAEEHW SPIFSVAAQCAAKSVPAALKDVDPSIPQSSAPKDDSEGGDNINYQLRTFINRVEKLLT EEKTDFFQREECMRFLAEVHHVLPDSAAALLLDHLKEFRSCYPSEVDWKKNLELVLEG IYLDRGRSAPTRIQALAMVIEIYDFLCLVRDLVEEESIFDLVKRVLSGMSVETDAVVL QETVTFMVRVAEIAGAEQFDFILDTFKNIVCKEADEPTPSTGVQKFNGAQQISNQPSE VGGQSLPNVVTKGTDASFARKAAEDAAHPPRLGRLDQAGFPRTPIRGASYAPGQTMER SGPIRPLQHPRQIPYQGQRLWSLPDPEALPELPRSTPSHLLFSYTEGADGEASTKASN AVLKMSSWLNIVLNIFHQGSDWEIYSFILAHLPAQLSDHPIFQDAIPQLQELRGHICE VIRTNRFQEPPLVSGLRRPDVANCLFHSLIMLVSYHQHFQKLDEDEIVRAFLHGIADK TAKTCIHGLSICCYELPLSVSKSLDMILTRMSQVITQPFVAMHLLEFLACLSRLPALY SNFRDEDYRVVFGICFRYLQYVRDKKHHARSTAHMSEPSTPNIIVSGSSDFLAQQAIT DDLPQYVYALAYHVITFWFLAVKMPDRLGHISWIAKNLFTDVDGSASNEEQAQITLDF MQRVAFSDASDSAHDPLFNRENFGEIQQKRWIIGNSIVTVKQAKESGWAEITRRYPSG TSSYAVRVEFTPMTAQRTAESSDDAAWDGRFQMGTTLLPSHVFLQLLAPMPQMSDPAA RPVPLPDEEAVDRAIRLFDRNSAVDGHKVGVIYIGEGQTDEVEILANTIGGPDYHEFL DGLGVLTRLKGANFNTQGLDREYGTDGEYLYCWRDRVSEIIFHVITQMPTDLERDPRC TAKKRHIGNDFVNIVFNDSGLPFKFDTFPSQFNYVYIVITPTPRHSFASARLMAKQRE EEGRKAPTPFYMVQVMSQPGFPEISPAAEPKVVSLTALPTFVRLLALNASVFSQIWAT REVGEHISPWRNRLREINRLRERYGTKSAQQQPNLSPPPNMLGGGGGGLGSSVVTSPL ASGGQGGGIAQQSLLGQDIGASRPVNAVRDSFTSLRRSSVATFFTNNSTADPTSHRSS MLSTTTPDTEVMFPAHGADALIESVDFSKWT NEUTE1DRAFT_107080 MPFSKTTTGSCHHRPSLGTYVVYTVSTDRPVLWRGGRYLGSKDG EASDQKLLKPPMRARDFLLSFVHCKQPPGIRPSSIYDSLQFSFIWVTLQIPSNSTFLI AHRLVIGTKRRNPVGSLATQHQAVVVAHQDIVQVLGFKCHPYHVPSASYNCPSSSSSS SSTPRLSSVRRMYTSTLASGTNCSHEASTNRDRPNRLVNCPIVRTLKPATGNSTVSPS TVLRDYTVLARLARFGSSLPIVNKGLGCAPSIAPRPPICLAA NEUTE1DRAFT_127323 MAANLDHLAQLLQATLDARHHRKAETALKEEAKKPKYSLSLLSI VANDAQPSNIRLAAALAFKNFIRHNYVDEEGNYKLPPDEVATIKQELVGLMISSPPTI QTQLGEAISIIADSDFWERWDTLTQDLVSRLSTTDPKVTNGVLEVAHSIFARWRPLFS SNALNIEVNHVVNTFGDSFIQMLGVADQQIEANKTNEKALKGWLETMSLLTRIFFDLS CQDLPPIIETNLQPITMVLHKYLSYANPLFDDEEDEATPIEILKSDICDALHLFVTKY DDDFGGYVQDFTSNVWNVLSSVGPQKRYDVLVSKALHFLTAVASVHRHAQVFNNEEIL GTIVEKVILPNVTLRESDIELFEDEPIEFIRRDLEGSDTDSRRKAATDFLRKLLDDFE ALVTQVVSKYINHYLEMGKTDWKAKDTAVYLFLAIAAKGAVTAAQGVKTVNSFVNVID FFQQHIAADLVATGGEPIPKVDAIKFLYNFRSQLSKEQWGGAINPLIQNLASPNYVVY TYAATTLERVLFLTDDQGQHILSRADIQPYAKDLLQHLFALVEKDTSAAKLQENEFLM RCIMRVLIVIKDGVLECDIDNILDHLINITNVIKENPSNPRFYYFHFEAIGAIVRYCS NAPQVDLLSRLWAPFTYILNEDVTEFVPYVFQIFTQLLDLNKSGSIPGDFKALIDAVL APGPWETRGNIPPLAKFIAAIIPKATEEIVKENKLEPILSIFQSLLNGKKTDQNAFDI LESVICSFPASVLEPYFGTILTLIFTKLQKNPSDSYKTRVASFYHLVSARSGEAGLGT DYFIKHAETIQSGVFTPFYLQVVIPTTREFARPSDRKLAVISYSKTLVESKAFAERYM KGWGFTCNALLELLKNPPKVSAGAGDEILNEADVDDIGFGIGFTPLSTCKRPPRDEFP EITDVQQWVTGFLKASDKAHNGLITKYASERLNDEAKAVLAHYLM NEUTE1DRAFT_97620 MSYVGQIDDRYVFFSPSGPSGSWRVNVVYLENKRFTSQKGVRKT ASRRLRSRLFADGAPMGPRMVTRHPFILVKRSRSPVSLSPRRRFGRPTPPHPAPSPTL LASKQHIIISELTKTATSLRSPKKNLSPSKISSLRQAENPKMVP NEUTE1DRAFT_56855 MEGILCVPPDRGPIIGRAVWKPRYVVLGNGLREPQIQSGLGRSQ SNRSSTPARMQIKVSPDTMYLSIYKSKVQMLAHRKQGPVLPTMVVNVAPDPAAEKMRK RRSSRTAGLTTGKDSIPSTLLFRAGEDSQSYPSLHEWARFIQQLIRPNVVPEGQATQP PLSPITPASPSFVNPFSPRSGDQQHRPNSGSASSRPSFHPMNFAPLFTSRERPVTLVD TPSLHSKRSDVSSQTSSMNPGLGHQHYTAMFPADLPSPATTIGEYQGEFIEGWTSAQG RSSALSSPVRGRDNSVGSHSPAPLPHQMLDPTSPPGPRETILDRAFQMRCIPGSERDV PGEEKLSSLARFDALMRELDDKRKKREADEARSRSATLATSDPATERPGLKSAWDVAE ASSPEEDIDEEDEDSDLPEVERDSEDGFMIPSSTRRALEFITGRNELASPQKQSSRQP IRVPLMYNADALNALSSGGGSSSRPQTGYSHRSRPSIGARTQSQPQMTEMLAAYGSPL MGVLEGHSETSFEESNIATAMNSTTSTPLQHSHRHSTSSGKRLSFSDFTKRLSSTSSL LLVQTNNSTGSSRGSSNSEADSTQAQPQQRPPSPLQGDKMIPRSAGSPPQRSIDRDAS DKRCGWRGSVGVFGGPEGGFL NEUTE1DRAFT_119628 MDVLDFFLLPMFVLSFTPDHRDWIQGHPLPSNNAHTQLPNGHDC TRAVIDACRGNDHQQTPFTFFALSQRRTFRLASIRPSSLLTIQQRCGNGHRSRARYDF TVADRTPNQQVPIDPPNSDQHSNTPQPPASSSSTVELAPISAPPFPAAPPQPPSVTPS VPTSLPPLYVPQGPHDRPYAYTPSQVLGRRRLSDLDENGQDEYRPASIDVSVNATSSA AATTTTYTSLRPLKRRRGIMSADIDGQNSSNGVGQSLSNGSRAEITMAVSNGNGTLKA ASGPPNGSAWEVNRPRLPSGNYHGHNREQFTRLLIQAMTEMGYNDAADKLSQDSGYRL ENPTVAAFRAAVLDGDWGKAEELLNDAQVAGIADPGLRDGLILAAGADRNMMKLWLRQ QKYLELLERRETPRALVVLRTELTPLCGDQHQKLEFLSSLLMCASAEDLKDKAGWDGA RGESRHTLLSELSKFVSPSVMLPEHRLAALLSQAQEIQISNCLYHSTLQTPSLYKDHV CDRKAFPTIAKYKLDEHNGNEVWQVRFSHDGTRLASCGMERLVVIYSVPEFKVLHKLD TGDEQHDNRGVGNIAWSPDDKMLVTCAMNAKVWDTETGALLRTIDQFNEPVSSCAWAS NYVLITGSFDKDRSICSWNFGSADVYKIVWTKDHRTEDLALSPDRNWVVALDDQKRFH VYNYHTREQVYSHELKDRGTSLCISQDSTSLLVNTQVGTAILYDILTKDVIHQYNQHK GGEFLIRTYLGGANEGFILSGSEGTFTDGSIYIYHRSTGALVARLKGAHAPRCNSVTW NPSDPRMIASCGDDGLVKIWYPEWPDWDENMEHSGANNNGSVHDTARPR NEUTE1DRAFT_56861 MTVDVNTGSLSTPGGISDPGLIKLVNKLQDVFTTVGVNNPIDLP QIVVVGSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLVLQLINRTATQNGFGNELDDN TDKAANTDEWGEFLHIPGQKFYDFNKIRDEISRETEAKVGRNAGISPAPINLRIYSPN VLNLTLVDLPGLTRVPVGDQPRDIEKQIRDMILKYIQKSNAIILAVTAANVDLANSDG LKLAREVDPEGQRTIGVLTKVDLMDEGTDVVDILAGRIIPLRLGYVPVVNRGQRDIDN KKPINASLEAEKNFFENHKAYRNKSSYCGTPYLARKLNLILMMHIKQTLPDIKARISS SLQKYTQELESLGPSILGNSANIVLNIITEFTNEWRTVLDGKSTELSSQELSGGARIS FVFHELYSNGVKAVDPFDQVKDSDIRVILYNSSGPSPALFVGTAAFELIVKQQIKRLE EPSLKCASLVYDELVRILTTVLSKQLYRRYPGLKEKIHQVVISFFKKAMEPTNKLVKD LVAMEACYINTGHPDFLNGHRAMAIVNERHNGSRPVQVDPKTGKPIPQSATPARAASP TLAGSNLDEGGSNGGFFGSFFAAKNKKKAAAMEPPPPSLKASGTLSERENIEVEVIKL LIQSYYNIVKRTMIDMVPKAIMLNLVQLTKDEMQKELLENMYRADELDDLLKESDYTV RRRKECQQMVESLSKASEIVSQVQ NEUTE1DRAFT_119631 MVGQPPLTGLKVLEFAGLAPGPFAGLLLADAGASVLRIDRAVSG PVARQVPDQLTRHKSSLVVDLKSPSGIALVKSLAAVSDVLIDPYRPGVLEKLGLGPSV LCSDECNPRLIYARLTGFRRDGRFATMAGHDINYLAVSGVLSLLGRKGEKPTPPINIL GDFAGGGAVLFQGILLALAARERTGKGQVVEANIVDGASYLATFNRFALKTAVGNAPR GENLLDTGCPYYDTYETADGKYMAVGALEPQFFKELVKGLGLEGQRWEERRGEKENWP ELRRVLEHKFKTKTRREWEDIFDGTDACCTAVFEYGEMERERERLEGDQRPVVTLRET PCLALRSDAKEASHGQGPGVKGEAYVGIPLKPGKGGESVVERWLGWKKGKEFDVLNGS AVAIKSKL NEUTE1DRAFT_115736 MVVSAAAAAAAATARSTRKDETRRGERGIRPLMRPVFGTLAYGE LGSCYVGGVG NEUTE1DRAFT_115737 MLTRSLFSRQLFAAASRPAIAPKAVSSAFRPVLFYQNRFLSDAT RQAIDKAVASAPVVLFMKGTPETPQCGFSRASIQVLGLQGVDPNKFAAFNVLEDAELR QGIKEYSDWPTIPQLYIDKEFVGGCDIIVSMHQNGELAKLLEEKDVLVKGEEGAAEEQ TEKKE NEUTE1DRAFT_127329 MASQQAPPTVETFNLTYSFPDRSNGVSDITLSLPPRSRTLLIGA NGAGKTTLLRLLAGKRLAPANTIKVSGVDPFKEGLEGVTYLGLEWVLNAIVRTDIGVV ELLRSVGGDAYPERRDELVSVLDIDTNWRMHAVSDGERRRVQLAMGLIRPWTVLLLDE ITVDLDVWSRAQFLGWLRRETETRECTVVYATHILDNLASWPTHLVHMHLGTVKGWGK AEEMLKEVEDGKNVIEGVTGNSRLGELVLRWLRDDLKERGPRSQHRRGPEGLSYNSPG LGGYGLEAKTN NEUTE1DRAFT_119635 MADEGVANHYQVLEELGRGSFGVVYKGIDKTTGETVAIKHIDLE SSEDDIQEIQQEISVLSTCASSYVTQYKASFLRGHKLWIVMEFLGGGSCLDLLKPGNF GEVHIAIVCRELLLGLDYLHSEGKIHRDIKAANVLLSEAGKVKLADFGVAAQLTHMKS QRNTFVGTPFWMAPEVIQQAGYDFKADIWSLGITAIELAVGEPPYANIHPMKVLFQIP KNPPPRLEGKFSREFKDFVAHCLIKDPAQRPTARDLLRHRFIRTAGRVEALQELIERK QMWDAKQDRVKLPVFYQETLHTMSPKDDDDEWVFDTIKSVALPKNLLNVSTTPTKSRD PSRVRETEEGMRRMEVRDGPLQPSTPQTVRKATVRRQPSAAAAHQQRRLSTSTGSPRP PVAAAKRPLQTDMSFGNSGSTMRLFRRVPSEHEGPKIHQEQPQEVVNDENRPPSAMQA PIEPTSKEALLGRRLYHKAIEPALDELHAQTGPSTKREALANLSDAFAHLDSVDPEGA YHLLRHLLCSISQDNRLSAALLNASKISPGQHLHRKSVDGTPQSKNRDLNQRPSTSAG VTQNHNIPQTPSRSPQKPMLSASPTKLMTSQLNTPLASPRPRRESVVAAPMSTPKPTA ERTSSSSSSSSSSSSRPSSVMAAAQGTPIQQIQQPQLIQSTPSPQKKEPQLLQQHSAP LLQKKENPPSLQQQLSHPVLQQEKQRREASSQQLAQQLQQQQQAQLQQAAHRRKESSN SSSHQLPPPQHHQRRESSQSSHASSRSPEKQERVRESSSRELRERAALEAKYPGQPAV AGMEHCKALSDLLYARWTDGLQHRWGPVAGS NEUTE1DRAFT_75559 MVPAPPPVPTRFPCWVRAVYSWGGENKRDLGFIEGDLIECLNAG DGSWWTGRLWRDRRAIGVFPSNFVELMPENFRPTSRSTSPMASSNSPSPSGTPQKSRT FRKPFEAYSKAPHYTTAKVPETYKDGSVSRPPRAGSIRPRSRGPIGHPGGREGGHGYG YPHGGPHPPSHGGRAPSPAPFRAASPNPYRAPSPAPYPVPIPPPLAGSPAPTGPFRAA SPSPYREASPAPYRPRASSPAPYVPSPAPYHPHSHSPSPAPLRNTSPSRSRSPYKHQL DYRGTPPIRDASPARAYSPMRAHSPAPPSPAPSYRPYRPPQLQDGQYQEGGPGGGDSP PPPPPPHRNPVSRHGSKNSYNGDQHSQYQPQYYEDIPRPNSTAPYNQSSNGYPMARTP SPCPPSPAASGAMTPSPLREAMDDVMEQLDVLSGGGKLPRDGSPEPLDPWAPEAFEEI HQKSRKARDKRPQSTMLIDEGYETYSGTGSSLVGPTSKPPQPQDEPSRLPQLSNYVER MESRLRTMHQHSASMNNITEGPPPPPKSPFMNRPKSSIESRVAADPRLRKKKSSYDMG RQTLDRSSTTKTTSTNASDAPSNVTFSSATTDSTNRSLMSGVSAGGISATSAGSLARK NRIRAHSALSIREESDRPETPFTGITYHSSHASENVHVQPKSRTDLGQEDSMGNLGGL VTPKPKKQNFIKRILESAKTGVANSRNSIASAGSSSPTKSATNPLSALSGGPSLLPAK NIGREGMGSGVDWVQVRRDVNRSNSLSQNERTERKERCQMLDHPALNPVEELYNSING DEGADGMPVQEPFNYLTINLSQVDKNSRFVASLPPTTTAASLAATYVCRPYHSDVQRL RAIFTWVSEKVCWEEDFEGPIDTRRVIHSKRGCSEEFAVLVAEMCEAVGLHCEVVRGY LKSPGEIPDANIVPRPNHWWNAVIVDNEWRMMDTCLASPSFPRRALYSSWNSSQADPW WFLARPTEICWTHIPEHHEQQHICPPVAHEVLLNLPCACPPYFKNGLDMFDYNTSLIR IEDLEMVHIKFNVPADVEIAAEVETRALTKDNDGDVFESGEVVKKRALAQAEWFGGVK RYTVKALLPGDEGTGTLKIYAGKRGLMHSIKDIPHPLAFALPIIHTGENPPYEFVTRH PTPHAQRHDLYIVQPQCQRLALNNTFVFIIRQHPSSSSTSDGPMSPSITSGSASPIPF HRPSSAMSMTSSAAGGSQPSTTAGGSSNSSSSSSKKPAKLAIQTPGGKILRLMRKEDR GNGRGTGIGFGSRAAAQAAAEPGEEGDGGTWETIIKCSERGTWRGLVLADRTARWCVF AEWVCQ NEUTE1DRAFT_119637 MFLANHALFPVDFVLLLDSGESGENSGRARFTNGVSSRRGPEPP DDADMTLSLSFTGDVAVVAAAAAAAVVVVGVVTHVAPLSGVVLLISPLAARAIRSMFL MLSINPSALLGLEVGSFRNEFRARISALSVEMSSSPRVALGLRLASGCGRLMIFMYDP GFCRVLPSNA NEUTE1DRAFT_75561 MATKDRITCHILDTSLGQPARSVRVKLELLSSSTSSSTAQTPSK TFESITDEDGRIKTWLPYSSALSSGEVPVYTLEDVFVNDIKGPSRWMLRFDTAGYFDG EDKTFFPEVTVVFRVEEGQTYHVPLLLAPYSYSTYRGS NEUTE1DRAFT_115739 MPSRADITYFGAGPAALPTDVLETAAQALLDYQGTGLGIAEHSH RSELAAKIINEAKADLATYLDFSPEQYEVLFMQGGGTGEFAASMYNLVGAWVSRQHAA VQKELGEGAAADEAQVLAALRQRVESGLKVDYIVTGSWSLKAYQEAVRLLGPEYVNLV CDARTINDGKFGKIPDESTWKLSKDAAYVYFCDNETVDGVEFPNFPKILEPKEDGTGP IVVSDMSSNILSRRIPVNNYSLLFFGAQKNLGCTGVAVAILKKTFLPPTLSQPSPALL RKLALPIPPIVLQYEIIAKNNSLYNTLSIFDVYIAGQVLKKLLATFPNGVDGQQALAE KKAELIYSALEAHPEAYKIVPDKSARSKMNICFRVIKGGNVDEAEKAFLKESTAINLT GLKGHRSVGGIRASNYNSIPLEGAEKLANFIHEFAKA NEUTE1DRAFT_119641 MNTNKPLATNNTTTPEGIPGLLLGHSTPHPFSLITPLSTRSSVQ SLLRTLLDPLLPFFSPLKSRIRIPGATAVRFDQTASEIEGLARPLWGLACLLAGGGEY EHKDWWIEGIRNGVDETSKEFWGWPRDNDQRMVEMCPLGFALAVVPGLWEGLGETGQR GVERWLGESVNEKNMPNTNWLWFRVFANLGLKKNGGKFSQERLDADIEHLNTFYRGDG WSNDGPEGIHQMDYYSSSFAIHFLQLLYAKLAGEDEPERAAEFKRRAQVAALDLVHYY DKEGRAIPFGRSVGYRFAMVSFWGALAYADVELPAPLTWGMVKGIVLRHLRWWQTQDN MFSPAGTLTIGYSYHNMYMAENYNSPGSPYWACLAFICLAVPETHPFWTSSEEDPWPV IPAVKPLPQPGHIMSNLGGHCMLLSSGQACSYPMKGTHAKYGAFAYSSAFGYSVPPGC FTLEQYALASQLGFSDDGGEYWKTRRLSTSRLVFKENNPVLISDWTPYPDVFVTTYLL PPTPAAPNWHLRVHHIHAQGREVMTADGSFAILNERTPDGRYLDLYDAEKCEGTFPKL IGNYDLNTPEAWAPAKKGAFAVSRAGAVGIKALEIEKETRGGREAMLVNADPNSNLVE SRTTIPTLQHRIKKGEKWWYVTGIYAKPAGEDVGKERYLEGWEEGPEVPRWLRDIIEK DRQ NEUTE1DRAFT_119642 MSSHDKNGRATSSSGKASSFFSRATGKNKADKRNASDEGGRYLA ADNDAASTHTARSSRHYRDSSVISLDRPDTPESGLNMTAGVVTTIPYDPVSPDNRSPV ALDHLPRPDQMPLRRELVPHHLNKGTGDFHQYPTIDQSPSSPYNGQSPSASSSRSTLA TANITMASTGRQAQFQQWGPPRESSLPGYNSRGHSYMTTRSSGDNASIYSGRDGHYQE APGTSHRSSRIGLPSTSSQSSYLSPHAHKDRDTQRLTKVAAGHQGSEGFNFPKPDDDN VIEQMFINLMQKRGWHNLPDQAKRQMIAYPAAKKWTLIYQDRLTEWQGEQKRRQTAKI GQYSNVDITQSSDDEGSPEWYVRKVMENALDSKGLGGLEVNLRTQQIGWVKRFIDCQG QIALTNVLLKMNRKTAYGPAQPDNGRLDKNLDREYDIVKCLKALMNNKFGADDALAHQ QVIVALATSLISPRLTTRKLVSEVLTFLCHWGEGKGHVKVIEAMDVVKAQQGENGRFD AWMRLVEVTVDGRGKMGSLVGASEEVRSGGIGMENLLMEYAVATLILVNMLVDAPEKD LQLRIHIRAQFTACGIRRILNKMEAFQYELIDKQIERFRSNEAIDYEDMLERENSSIK DSIEGEVKDLNDPVQIVDAIQQRLNGTKTQDYFVSALQHLLLIRDNDGEERLRMFQLV DSMLSYVAMDRRLPDMDLKQSLNFTVQSLLDKLHTDSEARQALDEALEARQIADAAMA ERDEMKERLALGADGLVAKLQKQIDEQAMFIEAQKRRAEGLKSELASLQTLRAKEAQR YELETRELYLMLRDAQDVAASNASKGNAKLGEEDVARMQGILDRERLLERLQMQIERQ KTQFKLEGRVWGEVIGPSDRLRALREEMDGFGDDTPEGVPPKDFTNSMLGSVKRSTRI PRKPVGSRSEHVEDVLEESDVEIGDDGEIVYEKPRVVKIRRPVVDPKQTNGMFNELTG KVRRYDASDSEEGDGITTGPSHPSMESQTPITPSDTETPKIQVTDATGPSAPATASGP PPPPPPPPPPPPPPGFLPGAPAPIPRGLPGAAPPMPGAGGPPPPPPPPPPPPMPGMAG MPPPPPPPPPMPGMPGMPPPPPPPMPGPASGRFLAQNAGLPATNSVGLPVVRPKKKLK AFHWDKVDTPLATHWAAHTPSPESREEKYHELSKKGILDEVEKLFMAKEIKKLGGGGA KKDDKKQIISNDLRKAFEIALAKFSQFPVEKVVQMIIHCDKDVLDNPVVMDFLQKDDL CNISDNTAKVMAPYSKDWTGPDAGKDTREQDPNELTRQDQIYLYTAFELHHYWKSRMR ALALTRSFEQEYEEITEKMRAVVAVSESLRDSVSLMNVLGLILDIGNYMNDANKQARG FKLSSLARLGMVKDDKNESTLADLVERIVRQQYPEWEDFAKDIEGVIAAQKINIEQLQ ADAKRYIDNIKNVQMSLDSGNLSDPKKFHPQDRVSQIVQRVMKDARFKAEQMQLYLEE MVRTYNDIMVFYGEDPSDDNARREFFSKLASFIMEWKRSREKNIQLEEQRKRNEASMK RKNAQFKALQASEAQGPASPTSTGAMDSLLEKLRAAAPQTRDQRDRRRRARLKDRHQV RIASGQQVPDFNEIPEVEAALQTGKSAASATTDDDGAGNGLVSPDALPPTKEAPDAEE DDVARRAAALLQSFQGGDGAEDDAEKRESLRKSRRQTAAEERNMRRRRREKAQTSTAG EEGKDESTAADGSEAAPSASAEDLSAAEAKTDGPSSPRPGTATGAEASEETETTPTAT ATDTNPEESKETTG NEUTE1DRAFT_119643 MVIKIIFRPDTWKGRVSPVQERYSALFHNVRAVKPRWLHPCTAA GQVVVIMGADFSAIKTLIVPAIIALILYLVLTFAVIPIWQRHRNRYSQYLPLETITDG ASSLRARLTDRITTWFITSAWRARLQDRIDVADNRPSTDFDSDDGEELNEVDEALRRA LERREQPTIDDSNRRLSRDYGLASLGGINSSRRRDSLKHSDEWPISDSDPPPSRHIPN SHISSGMYDDPALFTHVVPRNSGSGIVGLNESSFTDG NEUTE1DRAFT_17924 KSQTLTQTTIRSPVFSYAHLSLVSPFPSSSQNTPSLDTLTARHY LTTALRQFLGDTGASLAIDMLLVEGTECWVRVPREDLAAFAAAVTAYPGHNVGGEEQM LMRVVGCGDWLGALVGREGEGRVW NEUTE1DRAFT_56893 MSIDEAKEGGGGPVMSPRAFALAHQRDRGSFVGCSRIADYEVLG KLGEGTFGEVHRARSRKTGALVALKKIIMHNERDGFPITALREIKLLKLLSHKNVLRL EEMAIEHPPRTDKRTRPIVYMVTPYMDHDLSGLLDNPSVRFTEPQVKCYLLQLLEGLK YLHANHILHRDMKAANLLINNKGVLQIADFGLARHYEGDIPQPGKGSGEGKRDYTSLV VTRWYRPPELLMHLKRYTTAIDMWGVGCVFAEMLEGKPVLQGESDLHQLELVWDLCGT PSEETMPGWRALPGGQAFSSKPRPGNLARRFEKHGPVVISLLKELFKLDWRSRINAID ALNHPYFRTAPLPALPGDLPTFEESHEFDRRKFQDRKAALPPAPKGGTVGRGAVVNSQ GPDTGFSGRDGYGGGGRNGANGGRYPPYHRGPPPGDERVPSWHSARGLPPRPPMPADY HGSGPMDHTDGYRDRPPRRGPGGPPGGGGGPSNVDTYIPSYDRDGPAPRREDWRRRDD WDDRRGGVDRDRRRPEYDVRSRDSRTRSRTRSRSPVRDRDRGRDRD NEUTE1DRAFT_119646 MAGDGEFERVPRPDNYPHGPEFQPRTLHQRLQGKWWYRVPPNHS KPIYQFLSIGLGASMWFWVSARITEGRHHQSSFIRSEDRPRIASVQQSGAS NEUTE1DRAFT_97642 MFPFISKLLDNLNDTWCDSILDSGVLPHAVIRVLIRRELRRRLD EITPPFPDKVIATVSAKGNSSLKAKLEEMAPPKIALLPGVLAPISRWSETLAYKMNFF ETVRHQPIAIETDAANRQNYEIATGIMANMLGPRIKYSCSYYPTGNESLGEAEEKMLD LYIERLGLEAGMRFLDLGCGWGAAVLYFAEKIPGMEVVGFSNSRTQKEYIDAKAKELG LMNVRIITGNCTDYEFERDYFDRALSVELFEHMKNYEGLMAKMSRALRPGGQLLVHHF CHRTTPYHYEDGWMARTFFTGGTMPSSDLLLYFQKDLVIKKHWWINGYHYSKSLEHWL ENTMARKERMMPHLAETYGEEKAVVWHNRWQIFHLASSEMFKTDGGDTWGVTHALFEK PDMAMQVFGLLAL NEUTE1DRAFT_56896 MNGGDDAHHASWRSSQSFDRRRASHDHRPGLYTLGSNQSNASIF EDVEMAHDELYSGPMAESLPTSVSAFNHRRARADSTTSFSFYQEDIDEHFETGEVASV EDLDELPFEDDYEGDVGASEMGSSDLERQAPDDDYMLNRRSSTQSRRSGHSRILRRDS GLSASSGHGGNRTSQKVYMVNEDLYIAIAGFRTSTLGLAVYILICLSTFGLGWLLFRW VPRWHVKLVGRPSSLRDCQWVVIENSWNEMAILNVDSKPYGRVLSTVFGTPGKLANYS MDEDYDPILQDLRMLNYRYVRFFYHPFKDKFILCNGWKDPLWTDVQTIRSGIDSDEKS HRDAVFGGNLIDIEEKSTFRLLADEVFHPFYVFQIASLILWSVDEYYYYAVAIFVIDL VPGDIYEVSDPSLGQFPADSLLLGGDCIVNESMLTGESVPVSKTPATDQSLRNLDLGA STVLPEVAKHFLFCGTKIIRARRPQDDHNEEAVALALVVRTGFNTTKGALVRSMLFPK PSGFKFYRDSFRYISVMACVAMVGFAASFINFIRLNLSWHLIIVRALDLITIVVPPAL PATLTIGTNFALGRLKSKKIFCISPQRVNVGGKLDIMCFDKTGTLTEEGLDVLGVRVV DRTNNRFSDILDNPDDLVPRQDHGTGIRDSSDTLKAALYTMATCHSLRSIDDELVGDP LDLKMFEFTRWSFEEGHEGGGATDGEEQGTLQPSIARPPTVDKGYTDADRQDAAQNGR APFELGVLKSFEFVSQLRRASVIVKTFGQKSGDIFVKGAPECMRDICKPESFPADYDD QLNYYTHKGYRVIGCATKHIPKLSWVKAQKMTRHNVESDLDFVGFIIFENKLKPATAP VLKELAESNIGSVMVTGDNILTAISVARECSLINKTAHCFVGRFVAGHSRDPNAKLQW ESIDNPIYQLDDRTLLPLPPPPEGDISLPYDISNLRNYSLAVSGDVFRWVIDYAPPEV MRRMLVTGKVFARMSPDEKHELVEKLQSIDYCCGFCGDGANDCGALKAADVGISLSEA EASVAAPFTSRVFDIRCVPEVIREGRAALVTSFSCFKYMSLYSAIQFTSVSFLYASAS NLGDFQFLFIDLALILPIAVFMSWAGPFPELCRKRPTADLVSRKVLVPLLGQMFICIF IQTMAFIAVREQPWFIPPKVEHEKVNIRNSENTALFLTSCFEYILAGVVLNAGRPFRQ PPWNNWPFVAAIAATLAFTEYMILGPAAGLVSLMDLTPISWDFKMFIFTLGIMYFVLA WVGEHVMFQRLARLIGKASQSLTREPKKRKEYKVILERMIC NEUTE1DRAFT_56901 MAEPIRNKRADPPIAPTPQNTPLNTAPISSHAQQPGVASIKEED LDRAAAASIFAKDPRLVSLIQGRLGSLVGRSSGYIESLPTDVKRRVAGLKGIQKEHSK LEAEFQEEVLQLEKKYFAKFTPLYQQRAAIVNGQTEPTEDQVQAGEEDEEDDEETEAA PKEEKATESAEKVSGIPEFWLSAMKNQISLAEMITDRDEEALKSLTDIRMEYLDKPGF RLIFEFAENEYFTNKTITKTYYYQNESGYGGDFIYDHAEGDKINWKEDKDLTVRVEQK KQRNKTTKQTRIVKKTVPTESFFNFFSPPKAPTDDDESTTSDIEERLELDYQLGEDIK EKLIPRAIDWFTGEALAFEELDEEDFEDAEFDDEDDEDDEDASEDQDDEDESDEDDED GSKPKQEAAECKQS NEUTE1DRAFT_115743 MTVPREIAQSTGDKTAAQFSVSPSTFQSPISSSSFMASALAHRS APKQLKPFNTEDVKILLLENVNQTGQDILKAQGYQVEFLKTSLPEDQLIEKIKDVHVI GIRSKTKLTANVLREAKNLLVIGCFCIGTNQVDLDYAAQHGIAVFNSPFANSRSVAEL VIAEIITLARQLGDRSNEMHRGTWNKVSAKCWEIRGKTLGIVGYGHIGSQLSVLAEAM GMTVIYYDVVTLMAIGTARQVPTLEDLLAEADFVTLHVPETPETKNMISNDQFEKMKT GSYLINASRGTVVDIPALIKAMRSGKIAGAALDVFPNEPAANGDYFTNDLNQWGEDLR GLNNIILTPHIGGSTEEAQRAIGVEVADALVRYINQGVTTGSVNVPEVTMRSLTLDEP NHARVIYIHRNVPGVLRKVNEILAEHNVDKQISDSKGDIAYLMADVSDVKQDDIKNIR DSLDSLSSRILTRILY NEUTE1DRAFT_143891 MSESYDVGTRAWQPDPTEGWVASEVVKKTVDGNKVTLTFECENG ETKTVEVSLEALQSGGHESLPPLMNPTMLEASDDLTNLSHLNEPAVLQAIRLRYAQKE IYTYSGIVLIATNPFARVDSLYVPGMVQVYAGKQRATQAPHLFAIAEEAFMDMLRDGK NQTIVVSGESGAGKTVSAKYIMRYFATRESPDSPGSRVKKGGHESMSQTEEAILATNP IMEAFGNAKTTRNDNSSRFGKYIEIMFDKATNIIGAKIRTYLLERSRLVFQPLKERNY HIFYQLVAGVTDKERQELGLLPVEQFEYLNQGNTPTIDGVDDKAEFNATKASLKTIGV DEGKQTEIFKLLAGLLHLGNVKIGAMRNDSSLDPSEPSLVKACEILGIDAPEFAKWIV KKQLVTRGEKIVSNLTQAQAIVVRDSVAKYIYSSLFDWLVEIINHSLASEEVLTRVTS FIGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYLREQIDWTFIDF ADNQPCIDLIEGKLGILSLLDEESRLPMGSDEQFVTKLHHNYAADKHKFYKKPRFGKS SFTVCHYAIDVTYESDGFIEKNRDTVPDEHMAVLRASTNQFLVSVLDAASAVREKDLA SASSNAVKPAAGRRIGVAVNRKPTLGGIFKSSLIELMNTINGTDVHYIRCIKPNEAKE PWKFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLVPSTQWTSEIREM ANAILTKAFGASTGKGLDKYQLGLTKIFFRAGMLAFLENLRTTRLNDCAILIQKNLKA KYYRKRYLAARNAIVSFQALIRANKARNSAQERRTTKAAITIQRVWRGYKDRKQFLEV RNDVIRAQAAIKGYMKRKKIMEERVGNAVLIIQRNWRSRQQLRSWRDYRRKVTIVQSL WRGKTARKDYKALRAEARDLKQISYKLENKVVELTQSLGTMKTQNRELRTQVENYEGQ VAIWRNRHNQLEARAKELQAEANQAGIAAARLEQMEAEMKKLQASFEESVANVKRMQE EERELRESLRATSVELDSARIESQRQEAEKNSLRQQLADLQEALEQARRQVPINGDIL NGNGIAPQVPTGLINLVSSKKPKRRSAGAEPREMDRYSMAYNPRPVSMAVPGLNRQTT LSGSTFVPGVDSIELELEGLLADEDALNEEVTMGLIRNLKIPSPSTNPPPSDKEVLFP AYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGEEAINPGAFWLSNVHEML SFVFLAEDWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLFKMIIPAI IESQSLPGFVTNENNRFLGKLLQSNTAPAYSMDNLLSLLNNVYRAMKAYYLEDSIITQ TITELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLEHL MQATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAVAS RVSEKSDVLLLQAVDMDDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSQQAI AQQEKMEFGSQAGDYQHGDYDIQSMNDLAEVDEGQEIVEASA NEUTE1DRAFT_56911 MSRTLPNIIITGTPGVGKTSHCELLAERTGLKHLSVNDVVKSKE CHEGWDEEYQSWIVDEDKLLDAIEDEVKQGGCIIDWHACDLFPKSWIDLVVVLRVESS VLYDRLTERKYPELKLQENLDSEIMEVLLQEARDSYDEEIVVELQSNNAEQMDENVDR IEAWFKQWKENNSSA NEUTE1DRAFT_56913 MSAPSQARHIVNFITGNANKLGEVKAILEPAIQVENQALDLLEI QGTLEEVTLDKCRRAADLVQGPVLVEDTCLCFNALKGLPGPYIKWFMNSLGHEGLNNL LAAYEDKSAKAVCTFGYSAGPGHEPILFQGITDGKIVPPRGPPNFGWDAIFEYEGQTY AEMDKAEKNKISHRAKALAKLQEWFAKEMTA NEUTE1DRAFT_34384 HLETNHAEGETPFVVADKPAEAKGGHISEKEEDPHYIECPAKDC GEVLLAADLGYHLELHAAEQGGSASSETETGSPIITPSAPSPSPKGQSPPARRPTTST RTPPSHSSSSSPRPSTTSSSSKAHRGETEGHRRGERKDDHHSDRNRDSNKSKSIWRAF FGIHHPRHSSDAPSMSPKPPKKRHKEKVSERLAERASGGTAKVMRGTRLGKAQLGKYA HEEQMPDWLVKHLEKGLYVKAEGSIIPVLAQLFEQCSSTRHAFLCHPSTNHVSKLKRE GGFCGYRNIQMLSSYIIGTKYPGYQHFCGGIPTIFNIQEMIETAWDHGINAQGRVETG GIRGTRKYIGTPEAMAMLRLLQIPFDVQAFKNPEPGHSEKDLLDMVERYLQTGISVPE DTDLTTQQIKKVWQTDLPPIYFQHAGHSMTIIGLEYDKSGARNLLVFDPMFHDASNVT KHVGRDVHVHHHLHPLAANMVLNPYRRGSKYLGRFREFEVIRLRPRPEINA NEUTE1DRAFT_56918 MSESQRQQEPAQATQQPLKRTFHGCLTCRKRKVRCKGGDPCQNC ARMNITCHSSFDTNLRIRVSTPTGQRDVDVGATSSRSSRPTPPNQQQSPPQHQHQYQH QQQQPAQYQPEPMPAFMTFDGHSQSFLPEDPNHFAGYPTSATIGGPSTSYAPVPPVTH VNNFDMASASVSIASSSTAGVPDMAQLQYQQYPDAAAWEYDYPYDPNDPNNLGYDYDA AMDMSMQALLPDDMQHSHQDAGGWYGYPAQGPSGQQQNWR NEUTE1DRAFT_119655 MSADLGHQAGFNMKIKAPSCLALPGDVAERGGNPEKIRESQRKR FAPVEVVDEVIALWEDHRKTAYSAMQLNAKINEIQKQIAPKKKAYNPYPSTTIAKEDV SDLLKQKADLEKEKKDLLDSAAEKEKLLKVKVKTIGNLVHDSVPVSNNEDNNTVERTW APEGVTFEKKDVLSHHEVLRKLDGYDPERGVKVVGHRGYFLKKWGVFLNQAIINYGLE FLSEREYTPLQTPQLMLRDQMAKTAQLSQFDEELYKVTGDQADKYLIATSEQPISAFH SDEWLQAKDLPLKYAGYSTCFRKEAGAHGKDVWGIFRVHEFTKVEQFCITEPEKSWEM FEHMISNSEAFYQSLGIPYRVVAIVSGALNNAAAKKLDLEAWFPHQGEFKELVSCSNC TDYQSRDLEIRFGVKKQTDIKKTYVHCLNSTLTATTRTICAILENFQTPEGVKVPEPL RKYLPGAPEFIPFAPEPVKEVKEGKSGKKGEAAPKKAEALPVRQ NEUTE1DRAFT_143897 MPSPATKQRKIAIVGSRSVGKSSLAVRFVDGHFVESYYPTIENT FSKEIRWKNQDYSTEIVDTAGQDEYSILNSKHFIGIHGYMLVYSVSSLASFEMVQVIR DKILNHLGTDNVPICIVGNKSDLRPEQRQVTPEDGKALAEKYKCAWTEASARYNENVA RAFELLIGEVEKSNNPTATNENGKCVVM NEUTE1DRAFT_75610 MASLSDYDFPPKKRVTTYGKATRRRVEASTSSISINRSASSISV KTNQSEEDIITKAPVTATLTSPSPPSSPGPAVSRTTSSSNRGRLSTQQKYGRTSHPRL HDEPHSQPLPGSIETGEDDARAKKRKITRTYSEQTDVLAGPYSTPNSSPFGTETPVDA SNEQVAKTVPKAKWQQKAINTPVKDVKMTDAFSSRLIPTHTATKHQIPLRLSSTKPKP LPLPVQRNPSPPPQTEASVTAAPPRRKRLIDALVAQKEEDSSSDEDGNSPLLESPQGK QSPKFQDPSSPNPSTMTPSKRLARPILATKKSGPKFTYTQQRSMLAENDPLFDGGGLG GMGLDDSLSGGALFNIGRLTKSAALDAFSFMDEEDETVNAGAVRSIHELRQAGANSRF ADEIEDILDRVGPPSEKPSSLRRGALFELAQKTNEKSFRSQLRNHGGADDVFKSLDKE ADLISGFAIAAVVANMLATSASTLLLQQLKAQGFAALIERLLGEPRDIVQLAKERKQN VSRNGQTTLGTIKASLLKLPVWDTALPKLVSPRTLALKCLDLIMRQSTQMSDEFEVFS SKVTDQLFSILAAGTSDQACWEFPQEQASIDFFLALYVLEGHSIYAMQSHLSPKWTTQ YVPIAADVLETTLTRPADKFNDLESLTLRITLNMTNHNHDASGTLVKKGLLQNLAHSA CVAFDVVLNSMQADAFLSKVYESLIMMLGVMINFCVYYPPAGKSLDTRGGTPGPQLEK LIQLFADNHSNTSDADSKEKAQLNVALGYLAILLGYLCLREPIRDKFVSVHPKKSIQP LVDSLNEFIIYHQRVEEAQGGSGETKVGDESSAMARLQRLVSVLKSECA NEUTE1DRAFT_115747 MSTASEIAPTKCVGPDYRAEEQKPTATVSTAVNFDNVTVLSQTP QLIALLSIIRDKRTDRGDFIFYSNRIIRLLVEEGLNHLPTVEHPVTTPVGRIYDGLAF QGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETAQPKLFYDKLPEDIADRW VLLLDPMFATGGSAIMAVEVLKSRGVPEERIIFLNILASPEGISNFASKFPKLRTVTA FVDQGLDDKNYIIPGLGDFGDRYYSM NEUTE1DRAFT_97656 MLPTTDAGGGVPQSDRVPWLRISETSAPCPPNSQHRNGWCRYRG ELSSPSDTTACKLLEKSSKVRTLEGRCLDVKCQICPITSPRSICSRSSQSWEGSFVGQ GGDRIGGPHAKAPALSCKWKCET NEUTE1DRAFT_56932 MATGLEGVQDALLAIAQGQTKLISAVESISQRVSSLEKTRETSS KPNGEAPNPVTTPLVSGYVQTPPLLQADAGTMSPSSPPADVAKSNFSNRVVLTTYPKQ IGINPLPMEWGAADPVKRGPVAVIRSGSTIRRRNAIGAHGGSYSVYYALALASKELDP DHKPDFTNTEPAVNIGPFPQWGDPKKIVAMDPWGHQVPWIFKDLMDKEGVDLRPTIAI TKAHMKLPELEESVKSGRLVPDGKICLNSNGELAVTKFAVEPVWYLPGVAERFGIDEG TLRRSLFEHTGGMYPELITRSDIKVFLPPIGGLTVYCFGDPAKMSDPSKKLALRIHDE CNGSDVFCSDICTCRPYLIFGIEEAVKEAQNGGSGVVIYFRKEGRALGEVTKVFVYNA RKRGADLASDYFKRTENIAGVKDMRFQALMPDILHWLGITKIDRMLSMSNMKHDAIVG QGIPILERVELPESWIPADSRVEIDAKINAGMVVHFDPAFTTPYPPHPQSVRSEREAG G NEUTE1DRAFT_37544 MCHYGFLANGKSKGFGVKSARSSVFLCFARVSIHSVIIRAMVHD SRAQCNGIQKWSTGKLKQARKLVCLQPATAILKIEAK NEUTE1DRAFT_119661 MASSTTDSRRMPRRDSSTARGTRSPSQRPRLLRASATEPSITTS NASRDALANSYSSRRATELLRVAYISGGSSPDALTRATSRATSPISTRTSPPPMYDSL GRHCELSSSPISNPDGFDELRDSYSHSHSQRYFSFPSFDLYESPHQEEDKEPHMKSP NEUTE1DRAFT_115750 MAVGKNKRLSKGKKGLKKKTQDPFARKDWYGIKAPAPFNVRDVG KTLVNRTTGLKNANDALKGRIFEVSLADLQKDEDHSFRKVKLRVDEIQGKNCLTNFHG LDFTSDKLRSLVRKWQTLIEANITVKTTDDYLLRLFAIAFTKRRPNQVKKTTYAASSQ IRAIRRKMTEIIQREASSCTLTQLTSKLIPEVIGREIEKATQGIYPLQNVHIRKVKLL KQPKFDLGALMSLHGESGSDEAGQKVEREFKETVLESV NEUTE1DRAFT_143904 MASDDALPTLKILLIGPSGAGKSALLMRYCDDEFDPDTAAATIG IDFKIKRLAVRGKPYRLTLFDTAGQERFRTLSTSFYRGAHGVILVYDISNRASFISME RWFDEAKANTVEDVALYLVGAKLDKAARSREVTSEEGLALAEQHGASFCEASSKTSEN VRRPFVEIVNQIVQTPGLLTNATAKRRSGTVVVDSTTNNGYFSLPTCSC NEUTE1DRAFT_134522 MIKIAFARAAAQAGASAVGAGRGCCVNTIPTISAVTGASATLMA RRLFSSASAATPSSTTTNTVPPVVSTVPLVYDLHSPENPTVPNKETQPIIFIHGLFGS KKNNRSITRDLGRHIFAIDLRNHGESPHDPRHDYTAMSEDVAAFIRSHGLKDPTLIGH SMGAKAAMTVALTHPDLVQNIISVDNAPVDARLQSSFARYIQGLKKIEEAGVSSLSAA DKILEPYEKDLVVRQFLLGNLHRPRVDPGGKPSPTLQFRNPLGIIGKSLDHMGDFPFK DPHRVRFGKPALFVRGTKSHYVPDEVIPLIGQFFPLFELVDVEGGHWVISENPEAFRQ AVLKFLEPKE NEUTE1DRAFT_56949 MSDSAIAALNSLLRGTSIDDHEEALKLANSAIKAAKGNPADLTT ARHTRVVALVKLDRFDDALRAIAEGGAALDKTCVMEKAYALYKTGELEAAEQLLETTG INTRGMKHLAAQVAYRAEKFDKAAELYQEMAENVEDAMYGEENDLRINILATYSQLEM QGKGWAVSEEHKKLSRDELEVFETAYNAACGSIAQGDFAKAAFLFKRARDLCEASEDL SEEDKKAELAPIIVQQAYVATKLGNLEEAARFHEEVVLSDISDASTRAIAQSNALILK SESNPYMAQRLADTLPVVTGNDRLFEEQATIFRRNKYIIELQAQKFAGVKSKTAKILS KEAEPTISSSKCDLGALNAAAASELQTGKTALRRILPLLETRPTDVGLLLTVIQLYIQ LQNPAQALNLLEAFFKRLEAATASENNADVRFAPGLVALAVALYRQQGRHSAVRSELA KAASHWQSKQKAASSGDSLLREAGIELLRSTHPSDLSTAGEAFTHLVAAQPDDRTATA GLIASFATSDFAKVQPYLGALSPVEKLTAGVDVSALLDAGVAALPVVSHQQQQGKKRA REDDGETTGEQQKQQQQAAKKQRRKRKLPKNYDPAKQPDPERWLPLRDRSSYRPKGRK GKKRAQEATQGGYVKGDEETLELAGGAGSLKVEKANPAGSGGGGGGGGGAKKKKKGKK NEUTE1DRAFT_75635 MADDVETQAEKAQPVTRSIHPVFYIASWIFFSNITILFNKWLLA PDRFSYPTILTCWHLIFATIATQVLARTTTLLDGRKSVKMTGRLYLRAIVPIGFLFSG SLVCSNMVYLYLSVAFIQMLKAAAPVAVLLTAWVWGVEQPSQSRLINVLFIVFGVGLA SFGEIAFSLTGFLFQLGGIVFEAMRLIMIQVLLKGDGQKMDPLVSLYYFAPVCASMNF VVALFTEFRSFNIADLYNTGLWCLLLNAVVAFMLNISSVCLIGRTSGLVMTLTGILKN ILLVVASVMIWQTSITPLQFLGYAIALAGLVYYSLGRDQIVEIATQLWTFLRGVWENS PAYSSVSSSSSDEGNGQQQGGGLPSAVRRALLMGLGVMVVVILAAGYIYSGADPSGGG GAVGVPRPLGGGGGGGGGDASSVKPVQVAS NEUTE1DRAFT_134525 MWGRVTVFKNKHWLNRQQQQQQQQQQQQQQPQPQRKGQNQLDGI LTGHLGWQGRSRLRSVQPKTKLYEVSLFRPTKAKTADHWSGRGIPDPGQHKRLEPSNT SRA NEUTE1DRAFT_75638 MNSDTRRIQSHHPHRAPGHPKNYYHAYPADRQLRDYVTSPTIIV SVILLFLSALYQLLFSDRNTRTRLKNIIWARVVDIIPATLLFKVDGFLNPPLFPRPMT PAEIDNSHEAKSQALGRILGLDKPGGVMESVTSAGLKGLSTLSSVGWNFKNSTSDRPA GLGNNDNSCYQNSILQGLASLQGLPEYLARVSQLGSNAKSTMPTTQAMAGLIATLNDK ANYGRSVWPPNVLKNMSTWQQQDAQEYFSKLLDQIDREVAKATATYKKSLAYDGDLPQ DDGVSSHHSDDSGYHSSSQSSSVPDIQLSRNPLEGLIAQRVVCVKCNHFEGLTMIPFN CLTLNLGSGQLGYDLYERLDYNARVEFIEGVHCPRCSLLKMQQKIKGLIGMVATDEAR VSEFRERLAAVEEALEEDMLDDKTLAEKCKVPAKQRVESTKTKQTAISRPPKSLVIHI NRSVFDERTGYMYKDSSAVRFPSILDLGPWCLGSAKKRADLEGSNGVLPSSTSNGEQT AEDEEKWNVEPTASMVAGSQRPSALSGPLYELRAVVTHQGRHDSGHYVCYRKHYVSPP EKENQPEAPPPQLPNTTDEEDAPTPSSEDDEKTLMREDEEEATSQWWRLSDTDVFKVD EENVLERGDVFMLFYECVEPEMVRIPEREVTRTLSPQAELGNHVDDQSESVTLVGSEK PEDVEMVKAEAPEAGKDMTTPTGTVAGQSPDTKVQVQHADSVAASGGMEQVD NEUTE1DRAFT_127361 MAKGTIGKANKAAAVRKHSRAARRQTSPGIDLDKSLKAVRPPQE SVNLRPTVLAAHHNSGVTKKNKKKQLSSKARKRQEKSMDRAEAIMDRTSTKVAKSHGK ARVIESRKRTWDEVNVVALAEIGKELPTKKEKKADMEKRAEDEAVRAFYADDDEDMDK DGSEWEDDVEGMDQNAAVESLMAAATIPAVAPAMQDDDEEIL NEUTE1DRAFT_75644 MDTPSHFFSDSLAYEDSNPYQEDDHDVPYEVPHEIPHDISHDIS QDVPPDVGMSEAGLQSYHVFDHRLSIDQSPISGDGPTLGERPGLWDGFAEPSAMETNN SLFVDPGLYGGRDQHVNGLEGVRDPDSIDANTSCLSDVMSQISTRRSSSNKINSHRSS KSGSTSTDITPPDQDPPKKRKQRSKKDPDMEEDDHKRNKFLERNRLAASKCREKKKLY TQELEGTKINLEARNVSLQREYSILLSEVSDLKHQLMVHAKCNDRNIDLWLENEARRF VQTSDAFGQTFASLAQSGQAAGVPGVPGSPKSRHASIAPNYPAMPGVQLGVLRPGAEG QGGGGGLTYGQSPGILAPSTNTTPPTHQQPGSGSGLYTPPGGANGGYSSINPPGMKKE PDINYDHMPDDMFSDQSTFGSG NEUTE1DRAFT_36233 MAATAAMCGLAARMSVDGCTIQSVADRNDLALRRRQYAFLYRTF LGARLSGHPKYIKSLEGGFEKKWNASYRDKGCAVWQKFGALEGQVKRKDMVIFPSWLE ARTNSQTTARKRKMDLKLKLNLAGEQASMMKDESSDAKLRWWRQAGGGRVPLFWHNIK QQWPYLMLFCATPILAWRTEQAGQAGKERHKKELASRISRMVDRGGCAGGWTGTTDGC YL NEUTE1DRAFT_97669 MEAAQRAICTLGQGQGFVDGFWCRENGGSRLCHTRIDSIHTFVR KPPTGRAKLLGTPKSIFSLRTLRKSCQPLRVCTKYCFRGVSDASEVAVGYVGSPPGGD AWKGREGNTEQTYTVEVYVMLLSTQLPHVLSSIITYNPALYDQGKALLETNISVC NEUTE1DRAFT_143911 MDSKSSTPRITCAYLSQYVGKLVTVVGKVVQLRGEEATIDADGT IHAFLNREAHLSANNGVQLIGKVNPDLSIKVLSSVDLGQGVDYNLANAVVEVTHRYKP LFVYEN NEUTE1DRAFT_127364 MATPNNMWSAPSPHPHYHQAAQPMEGDELAMQVRPMGLKVLYTF DRDGQVSCLARWAHILQIQILPVDERTTIGVVDLRTCLQAIAQCSPEIVNQQENDYTV YAVDYSEPDTPLVGQGMLSWGLELATDHNSQRHQQPQLVTGRVTRTLLPVFSNGSRET LEVKMKLTAVAKMQRLEFPDMDNNLNNMLRSDPIQPTDITTSEWASFIQSNPGLGNSA NMASMHSPAMSTAPLNVNLNGDGRYMSDPSPQPTRPASIPPNGSQPQHTGPVVNSMPP VQPVPPRPAPTGESASSPAPSQSVEIPITQSARSTSRPSSRASRTRAPTGRPRGRPRK KPLEAGNTSAAEEATDGDDGPQKKRAKVTSAEFTSSAPFGSAPESLRVAASTSGSLRN MRPVGAGGNPSSGSHVQDVPRAPTPVPDGSMLQRQQRRLIFENKMKSDFAGDAESSMY QSRFGQQTMQRSISHDARSPTDSIGQSPDQGYVPEDSPADIASSPPVPRTSAYMHSSP YVSSPVLPPMPVPMPQVDSGFMSGGFDDIFDEDELLQDAPQDHGQELPGQPQDRTVMS PPVPQVSKPNARKGSHAHRQGINFPFQEVNPGPKELLPTKSIFNPAGKTKALNRVAHA TSVPPIPKKNADRPFKRSNTAPNPSLSDHDSLSRQPNDQQDGEAPQEGTASQTSQAVM QSSQTQHRPPFNPPQQLETQNAGNTSAQPQAPVPATTVMPIPERPEPVQSKAAQPASK PVSNSSVPASDPVVGSTGTSSRAVQSEASARARAPAPQEPSEPEEPPRYNKNMVKKQS IKEKLEEAIQRGESPPFCNNCGAIETPTWRKIWTQDHRGVPGLYEFSDKPGFVTTIDI LEKDADGQTIMYQLVKKNLGPTDDKKIWKETLLCNPCGIWLAKFKVHRPQDRWDKDAA RLNQPRKKKNSRNKKRKNDTQTNPTSEAYFTTDPIGPADQESPEEAQHQNMTQPTHQN VAAMEDSNNNNNNNQSLHQMILNSRSSPKRRGLGSTHSRGSGTADSPIAVEDDLGTTR RLLFPSPRKEGAPKVLGELIGNAVNATTASQDPKSAAMGKENIGHQPARRPNTPVLAD QDELDQELFGSPVVRPSTPPPKNPSSGIFKTPTRPTPNHRPITRSISRSIRTVRSAQK SPSQLFTQTQRTPTKTPRGTRTVSGSNGGILVSASKRRSPRHARFALDDSLHVPTSSP THDFEAWGSLNQLLSEADQFTANSSSHGLLDVNMQHYDSDSVQQLVEGAGALDFGNFM GTDMVMASSPPMMRGHHHGDGGNGNLSFEASLSIESLWVETTATSGGGGCEAERNAAA DVDELS NEUTE1DRAFT_143913 MVLLRTLTALVATAAVSMASPLHKSSWFSIPDPFGPLVMHFGDK VVPHDINVTLTSQPPRLGTFQPLSGSSYTVITIQPYDTIVDGVDSNLLWLQTGLKPSA VPTKFETSQGFYRVYELHNEDNKPVFAWSPSNLQSLEYGDVFTFLLDSSNISPQDELD LQIAALNPERFSLGDTMENTQLDAVAWTTFLTPLDSVKKPSSAEKTTYATLPAASNLS EGAQAPLFDDRSSEASASLEEPETIEVPVIHEAQDRAATATSTEIPPTPTFYPMIMET GCPDAHSSASHNRMHAFGLVLVAAMLFAL NEUTE1DRAFT_143914 MVFDDSITALLETYSNCATLLKAYKHDANGEDGNVKVDAQNKHL RKSLKADRAMVERAYSIRLAELGSRLKRGDARSVSAVDGILKRLKAAISSLLRASSNK QTPALNYDALRSLSNSSKNEAIKTIDNLARRLGGSRTSRSVVSMSSKPTRASSPSSRA RRQHPPLSKSASSLPKKSHSDNPTASSISDSTSSRQRRKPSQAEEEARVSKQKSSPKD SIPAEVSNPAASAISSSGRHKKKPPQNHDQTRASKERLDSKIKESTTASEVGDPTASS VSAAHQHKRKSPRAEEVIKVRKEKTIPKQQSQGTVAVAPPPPPPPPPPPPPPPPAGEH VVQIRSHPRHSQFGAAMRNRMSFMSFASGSTRLGEMSDRKWLAQHTIPEANSDEYDVP VLYPLRPYKAEIKEKKFLGLFGRRRQRHHDDD NEUTE1DRAFT_56972 MRPSPESLSVLPLVIGVVLSPSTVDAAAFRYAGQPAGLIDTANS DDLCRGARRSALPGLTWLRESISEIIFGRPSTKHSDSTSPSLPLSQYRDDVVVRFNVT KPTDQVVLAQAIKAKHLDVWASTPQFVDIRLEESQLRPLLRLIPSSMQKQWSVLIPDL EEAVRATFPTKGETTEYGDAAESLDAVYTKGNIFFHDYQPLSVITSWMALMETMFPSI AKMESIGDSYEGRPIHSFRIGDHSGDNANNGTRKTILVTGGLHGREWISTSTVTYLLW SIITAYGKESMVTKLLKHFDIIFIPVVNPDGYDYTWKTDRLWRKTRQQTKVSWCHGFD LDQAFGSVSGQTNVQVDPCSESYGGDKAFQAVEATRLADWGRNQTENGVKFVGFLDLH SYSQQILFPYTSTCDEDPPNRENLEELGVGLAKAIRLSSGNFYTVSSACEGTTTRQDA ANEATGGSAIDWFYHELYSRYSYQVKLRDTGIYGFLLPRSQIIPTGEEMLNALKYFGD FLLGNNGIESISKARERTSHDKDRVDLK NEUTE1DRAFT_75657 MPFLRRRGNVGSESDMRRHTILDSLPVPPANGTHSRPGSATDLP VLVTTPEDGEVPLSSVSVSPVTPVTPATPVMPTVDLEPTMSSTAPQPTGDPVDHSLSP SAPAETPKSKRFSMLRFRNASDSQLAAKAKLQAASEKPPPLPRPPEIITTAPTLEPVV PKRRPSRMGFSSRFGRKSGEHPMMEQVDETNEDRTYQRRKNVRTAGGDQGGKQITFDD SRRPVSSHHAPPAYGDEIHTTTTFFRLPRRKQKQPESLFPIAHLQKKNLAEDSDMSTS SHVAGGSNALARSRSTTHLTPTPSRPSTQHGATTPPTGSSTLFSKSSSPATALFRPSS RNSGRASPTRAQLHRRGRSSTLSSLGKVSPRESAEDYLAPPATARASMSTGRKSFGDL LGLGRLRQNSSSTLTQQGAMTPITPASGNSKNNSIQLPRDSIILPERREDDTPGKYLE RLLEVVSRSVIAAIVSKGTDQFSQAVLRSYMRSFRFFEDPMDMAIRKLLMEAELPKET QQIDRTLQAFANRYHECNPGIYATADQAYFIAFSLLILHTDVFNKNNKHKMQKADYLK NTRGEGVFDEILEVFYDNITYTPFIHVEDDLDINGERIIAHKAKKKSIFPHTAPDPAK RVAKEPIDPYTLIIDNKLDILRPTLRDVMHLEDPYSYLGTARTLNMKELHKTFFKTGV LQIVSARSRPDAFMSDQTANNPQDAHPGIVDIKITKVGILWRKDTKKKKTRSPWQEWG AILTGAQLYFFRNTTWIKHLMHQFEDHVKRGHDGDPCIFKPPLEEFKPDALMSTDGAV ALMDSSYKKHKHAFVYVRHGGFEEVLLAEDENEMNDWLAKLNYAAAFRTSGVRMRGVV GANLDGQGRRAIRRLDTGSQRIQTPTGEVSVSRSKIDHKMAQDILAARRAIMLQKIAD ANEKLLQTEKTLEMQLRNSRHLQILAPIQPKTREQILLSAARMAAQLKWTRMEIWKLK CHRDILLMDLEEEREMLGMQAETAIGALTPSKEPLTREDTRTSRNSGYPQSPQSPVQS LMGKVPTIVRKPDEDSPQADAFQTPPPSATGPTSQQKEVEYTDPRKKSVSSAVSSSRS LAATPSRGLTSSGSTSESKFDQDEIDAEEHDLLAQAGLLESARRGSEQRPPSLATDTD GNAQSEKEKHKIRRSFQRTLREGAGHISHHRSRRGRDSASASVVSDEASQEGPDVLVR STGSFVVHGKKASVINFGTELQLQNMSPEERIRHWKQRDDASIAESTERFGSENGGSR DQAIAEGSDFRSILTARSASHARREHRGSAASASTATAKSFRNLHRKYSASQHATTRS VPPSSANLAIPSDEESDAAVSFSDGRRTPLPPIEGELEPSEENDGLLGRHEMLNMTPP SSSRGENRETVFFTPQSAPAATRVVSPLRRGSEEGKEVSSSSSSDKDHRPASSSAAAA ATDVLQEGEELVVSPPLQEAINA NEUTE1DRAFT_119676 MSTAIQPSPSAPAASGAEAQEATPNPINVPIVVRNFKASDLPLT SATRSAIEGLAHSFKKKGGYDTIRKQVWEKFASDHESEIVKQILQVAEQEVERNPLQL LSLDRGKAAALIDGALDRNEVYQKAQAVIESLIDTDALEAHLRKLRRAEIGDEAAEEE RIRGSKTDEEYAAETAARRAERERVREELRLVEEKKRRLEREIKEKEEQKRREERRKK QLEEDAIREKEREERRARREQRERERELSRERRHRERDRNRSRDRDRHRDRDRDRDRD RGRGRSRDRSRGRDRGLSRDAKARNDHGRPEDVKTQLSKEDNERLEQEALADLLRESK RVAAKQPELEVDTTLAPPPRRTKPASAIDPIRRASPKVSEVKKTADTAGKPGVTEETK DVTEAKEVIDTKDVKEPKETASRVESRRSKSPSPGTRGHRDKEKEKDREGEKDRGRDY DRRRKDNRSASPRARRIERSRSHRREDSRSRLRDRRERSRSRPRLDRRDDRREDHVRD RSRSRRRATDRRDRSRSRVRITDRRDDTRRARSRSRATRDGRDRSRSPRPRVDRRDRS RSRLRPADRDRRVRSRSRSRERREAETTDTTAGAAATQIPKSPNITVTKDIAIAPIST TRVAPPTPGGPTTTGMSTIAVPMITTPLSIASTAPRPPSHLDRDEKEAWKQAEVKKRE QEAKAYLAAQKQAREKGMPIPGIDDKRVGGGNGTPLGSTNGGDRSPDLKRRRGNDRDA RDTPRDSRDNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNKNNNNNNNNNNNNNNN NNNSSSSNRDHNRAGDRGDRDREREREAPLIDSDNRYVPSTAARVVAERDRERDREAR EAREERERERERDRERDRDKPRPGPDRYDGDRGRDERGERDRDRDRRDRDRDRDRDRD RDRERNRDRDRDRDRDRDRDRDRDMRDDRRRSSMVRRRSRSRSRGDRGGDRERDRHRD RDRDSRRERSRDRDKDRDRDRDRESRDHRGGDLRRNSHHHSRRDRSLSTSRRDRDRDR DRGGGRRDRSRSQRR NEUTE1DRAFT_93639 MVHRMVFWAGFGLATRAWSLGIERRPILGRSTLWGYPVFAAIGA SFGYWVQGVDERQQAILQERKQAILDKRARRAARQQEQAHGEPEAAAH NEUTE1DRAFT_75663 MAIETTGAPAGAPAPQEKGKQQAKPAVANTPATTGGDTAGEQKL TPAQLKAKAKAEKAARRAKAKEVKEVPPAAAVADAKGGKSGKGGKQEGSQSAGSQQQG KSVPGRRPSVNGRRPSVAIIKEDPRSSIPECFSHVPMAKRIQTSQAHKDVHPAVLAVG QQMATFALKDSIARLEATLLAFKKVIESYETPKGNSLSRHFVPHVLNPQIEYLTECRP MSFSMGNAIRFLKSQVNKFDIDTPEDEAKESLLEFIDIFINERITLAEVVISKNAAEL IDEDGVVLTYGRHRLVEKTILRAKANGKSFAVSVIDDPYDQGGKELAKTLQQAGIRVF YSPNLGGVRAHLERASNVLLGTEAIFANGSLHAPAGTADVAMAASAANVKVVALCETI NFDRERVSVDSLTYNEIDPERCSAESFRLMFDNTHSKYIAGVITEFESGTDFAPAQAI LTLLRKREDPTIA NEUTE1DRAFT_97679 MCSSSPRIESKTYLNHNLIPLPGPAPVPQHLPLHSPSTRHRRIN QHIIPRHPHDPLHPQLPTRTNRNLINHQIAHLHSVPIFMEPRHNEPLAHHFKGGEHRG AGGRRHGEDVFEEEVGESEQLEGGEEHPKGVGEEAVVGGAENLVKVLEPGGKEEGDRV GAECVEEAGKEALRGWWVGRWRLVDDIVPLGKCPSLEECGALKGARAQCRGASNWSSV VGSKCSGSAIALLDPECFWFSVGSSTATDPLLLSPRRQLQPSSTFSTLPRVPQPHREL FPTHQQAESIMRASPCLYNSAAALRRVFLESASASAPLLLQTILLPRLSVAAATATIL NQTRCPFSTQGALQVKWGKRKPGQDEKKDAGLPRDLAIKEPWVYLRGLDGRLTEKQRP ADIINRLDRIRYSLAMVWAPPKPKPGEPEPEKDENLPDWPVCVIVDRRAEAAALQAKA KEERRKQVSKKELEINWAIAAHDLGFRTRQLQNFLRKGHRVEIMLLQKKDKKQKKTAT QEECQEVVRMIREAVAEVPKVQEVKPMDGQVGKVVRMVFQGPTPKKNKEDAAAEEEEK EEKDE NEUTE1DRAFT_97680 MGASCSSCCGGKSRDGLYDNVLADSEREAVADLLQYLENRGETD FFSGEPLRALSTLVYSENIDLQRSASLTFAEITERDVRAVDRDTLEPILFLLQNSDIE VQRAASAALGNLAVNSRCFSRRCLCAVEMTNKRTADNKVLIVQLGGLAPLIRQMMSPN VEVQCNAVGCITNLATHEDNKAKIARSGALGPLTRLAKSRDMRVQRNATGALLNMTHS DENRQQLVNAGAIPVLVQLLSSTDVDVQYYCTTALSNIAVDANNRRKLAQTEPRLVQS LVNLMDSSSPKVQCQAALALRNLASDEKYQLEIVRASGLGPLLRLLQSSYLPLILSAV ACIRNISIHPMNESPIIEAGFLKPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKAL VLEAGAVQKCKQLVLEVPVTVQSEMTAAIAVLALSDELKTNLLELGVFEVLIPLTKSP SIEVQGNSAAALGNLSSKVGDYSVFIHNWNEPSDGIHGYLSRFLASGDATFQHIAIWT LLQLLESEDKKLIGLIGKSNDIVDMIRQLANRQIESDNELEDDDEGEVVSLAQRCLEL LGQGNAKAHIEG NEUTE1DRAFT_127373 MDDSCTPPDQAASGTGSDAAGVSPQASQPSTASAGNSNTDPNLN GPLTLRPGHELPFVAPSSYLRPKPSSRTMPDKTSNPLDKDQMQGLRGIREFLKVRTSY DVLPLSFRLVILDNDLLIRKSLNILIQNGIVSAPLWDSRNGTFAGLLTSTDYINVIQY YCQFPHEIDQVDQFRLSSLRDIERAIGVLPLETVSVHPMRPLYEACRRMLKTRARRIP LIDTDDETGRETVVSVITQYRILKFIAVNNEQHTMLLKKPVREIGLGTYTDLATANMN SSVLDVIHLMVKYNISAVPIVDKDNRVMNLFEAVDVIPCIKGGAYDELTATVGDALSQ RAEDFGGIYTCSEEDRLDSIFETIRKSRVHRLIVVDDDSRLKGIISLSDILKYVLLYG EEEDDLQEY NEUTE1DRAFT_35594 MSEATPMQTNSKIEETLCESTIDSQPSMGSAYFRPTMMALRRRG WFSRTFRRHPSGASNASSAKSGRSERKASHRRSISDLASQLVHPAKRDILKDEDLQSL VRLCGKSMFYLPSEYAPSSLILPTCLRATAQYLVQHATETRGVFRIPGSVRVVDALYD YYCAEGDPDEISSTTRCPNLPVHIKAGVHDVASAFKRLLSGLPGGILGSLTLFDAMVS IHSQLNGDPEATRTKQTKLRARMIALAIGSLRSQLRRELICAVFGLLCLIGRVAEIAP REDEFGRPLPTADLMGYNALGIVFGPLLVGDLLNSYSIRGSSVHLILPPVSPPPNCKW EQRKYKQPEEAIAHCFPNMDKVHVANSIAETLITNWREVVKQMRSLDVLRVVGSGMTD HCTSRKGSLRASVSEPFVLRKPLESQQNCPQTCPFQVSEFPVLPSPTPETGGRGPSGG LRAKRPRPTLIIPRQRPRSSRSPSRDQLNTPGPVVLLSPTMEEPSGGGASLSERQSKG SITCADETSACQHREGQQLGSGYVEKRQTGPLTELRTVKADSETPRAIRRRGSLTKSC TEGRDVHPPVSFRDRNGNKSEGNAPQSVFDTPMTNTPPPGDRGSVPEDLEPTPRPSVR APSQDRMSISSCRRDANGMLPDGKVNLKSSGHRFSRFPVWRRRERSDKSTDPVESAAK PPSPITASSGRSSLQKGRRRLSFHLRESTTAASEEERAEQQVVDPSQIPMEHAAGAEE TSKPSVKTHHYAGGPFSHFATLSRATGRIARPDRSQEAPGKPTTAHDQSHAKSRARSL ASKIPRARKSDRRLTRRPSRESVRRSTIPRKSPSEHTLASVPPEVQHKEDHTNPQEEK HEPEFRPSTVLKHDRMTDYTPLTMSFDGDLRPPTTPARPLPYSSKSFTGSAVRAMAAM FKTAAAKDKDLKRPESLPMPMKAPGNVLSHYTVNPPSPQKPQSPSFGSPAQRNGGVWE DEHREHPEYKGNPNRSSGRGSHDGEDGEDDIPMSSGATVERSSKRLDEWMNEQQGHEP SRNTKEKADENNTFMHKGDFWLSRSTANDDDNRSKILFCNQARYVQLPRSPQIPRPFS APTGSPHAIQSSWMPMKVDISPHFLQSDISQSSGLLVQPTPAMDMAIASDILSFQTQI RRLQRQLDFKTEENNHLRSKLASFGAGQCSVPTEVSVRRSTSIKLSEHLRQTERECKA WRDRAEAAEMRVAILERLLRRKMDGEPYEEERITDSEAKAPPTTQHMFTAEVYRSSGT VRDRLRKLYGVMDGCSESDPHEELEEEEEGEEEEEEEEEEEEEEEECAQRCASVPIWS DGEEEDHGPSSDEPKRNGDNQCVSQEKETNIQQNIFVGEGDSDDAEQGLDVSVGHVSR RHHQETDEKVEDQFSAIVGRDGHHDYEWVEEEDAHERDDTRTELKKRMARCTNINPLG WKTCQYCEEGSAESEAKSEGMALMGKRMADDDKNGEEEEEEEERECDKHRFMEIMEHA EMRIE NEUTE1DRAFT_56993 MSSIQDLSLKGAASSSSGGPSTRPSSLQLQSPLPSIAVVDKEQQ QQHHHHQNALEWTAGQGHGLDNSNPPPANYPYSQWNRPADPRTSSTQSLAPSTPTGSY RDPRRTLLVIYIHGFMGNDSSFRSFPAHVHNYLRQALAETHVIHSKIYPRYKTYKSIT VARDNFSNWLLPHESPTTDVILIGHSMGGLLAAEVALLPRQPQDPPAYDDSPFRHRIL GTLSLDAPLLGLHPGIVVSGIASLFRKGPSPPGATNAAQESHTLFAQQSPGLSPDASI YSEICPPPEATSPIPRPTPLSTTSSPSAPDPFFNPKFFNDVSFVDRGWLKNIAHFAQK HSQENLVEAATNHIISHLEFGGCLADYPGMKSRYNRLRRLEDVDELKQSPINNEHLVR VRFVNYYTISTGILKDKESRKGSTPKVASEVAAPEDGPSKSKRLEKAPEHRESFDTAR SQTSTPRSSTEEDWELTELEPVPEPDDGPVHGRVEAEDKPPAYVSHEELDKTAEHSIS NTSGSGNGNVTEPSIETTSLPLPDLPPIPDTPSPPPAIDLSSISDKDARKQAEKDAKQ ARKEYEAAVKKRDKILKERLKIVEKHEKAQAKLARDIQKEQEKLRKNAEKQEKKDEKE WGRKQKKEEKLKAESTASRIGELETHHLAHNQSLDGGSLSASLGTPAGESSSSGNVTG KNKSKKKEKGKKPKGEKEEKQKLRKFCMLPSKINGIMDPTWIQVYMEGVDEVGAHCGL FFAGPHYDKLVGDVSSRIMGWVQDDASRRLLLEGMQGLDLD NEUTE1DRAFT_56995 MTNHIGGPSLSQARKTQNIDHSHTGSSAGHNHRHLHHQHNQQGQ LRPEEELDQTPHQHQHHIHNHNHARYHHQSSGQQQAQEQSHEDGDNTTLQDHKHLDIR SSPDTHQQPEPLSARQVLVVQTVSVIKIIDDAGAFVHFSTLASEPVTQSSDPVAVLTA EVRDLGSQLPTVPVSVPQLGDGTPSPTASVDTESSVTSAILSLSSGVAAPGALTSAPF NSSSASYHDGTSSFPTLSLSSGLFNSSSTRIPSFYPNATHVSYSLFANTTRTSTFASS TSTFASSTKSRASTTQFTSISSGVPTLVAGGTEEGNSGNAGAGGESASPAGTTDSPYV DPDNTDNNSSGLTPTAQRSIIGGVVGGAAGMALIAFLLLFLLKWKKRNGGGNIRLLGE GGSLAAAAQKRGGHEHGGGALEGGEGGGGSGGGGGGTGGRGGGGGGGGGGMTESGLPY AVPSALASLTGAKRFSAASTEPPASEPGFYRVSGKKLISVLESGGDGYSDPDPHESVY YRDSVAFTDGTTGALGLGGQRLKLGSPMRPISGVPVFRSGPGRTAIVQQDGGSTGARA TSPFSDDTHPVTPASPIGSHGPPSPPARASLLPDPIGRSLVSRDGSRGSGSRFTEDM NEUTE1DRAFT_56998 MDHLHGGFQMPMAPPPLLNQPPQIFGGYTEHGIPIQQLPHDLAV AQMFGEHGLLDDTSEAKRRRIARACDMCRKKKIKCDGKLPACTHCINYKTDCVFTQVE KKRSPPKGYVTPPADAQAREHWLIVECLAVPSTLKVSRIGLLGDDDNGATDLGTLERK LAEKTAQSRQTSQAAASNPTSPSQAASGQDGNNSTPRSSLASPLPEAPRDKEGEKRAS IAPEKVEKEETEQEVSALSEMMCSLVTNNNGETRYIGSSSGFSIFSPKGIQWVNEKMG DSSFQQMISDVSVDDHKWTRWKPDIFGDLFRRVIFKELPPKPEAMSLLKDYFENFNCM FPLFHQPTFMHLVERQYSSDPYQGSGWWASLNVALAIAYRLRVMSNLVPQEEDDKAWG YMKNAMAVFSELTMRNTDLLSVQALLGMSLFMQGTPNPQPSFLLIATAIRLSHTIGLH KRGTGFNLNPIEIEQRKRVFWIAYMLDKDLCLRSGRPPAQDDDDMNVDLPDEDPADGI GNIPLADGKGKMNLFRKMVEISIVESKVYKRLYATKATKQSDGELLNTIGELDQELED WKDSIPIDFRPEHEIKASHTPLILHVVMLHFTYYNCLTTIHRMSIHHGYWTSRLSNFA IQGLNARPLNPRIFSSAALCTSAARASISLLKYIPQGDFSCVWMVLYFPVSALVTLFG NILQNPLDPRARSDAKLMSVVVTFLSMLGHEAETGGVHRMLGICAEFERIAKVVIDKA EKENSSRRKRKMQEQASSRKAASSTTASADHASSFNPNATMTPRPPTAGSTATPQPNS GSVKDHLSPGVQSTRTPQSGHSPFSQSPSPGMTPNGWTDAPTGMEGIDYGNWADLTGF GAIANMNPADFVMDGLADPSAGDPRSIYQQPMLPQDLFSLPVTLDWNWAEMSGGEYPS VENGNFGSDVSHQR NEUTE1DRAFT_127377 MAVRFRQSSQQLALCARQALRTTTRPAYRASRLPRASLSSARQL PASRLYSTQSTEKPESKKDDDNKSPKPEEDEEDFEPKRPLPPGWVRMEKQDLKDFDAF IKKAALKTGIKIEGQDVILQGIKHYGCPKETRELLKKWISGNITIFEQLRCMKSLVML AKDLGEYEVEYMKKNPNAKSIASGFNDNTFTSNMSDSDRKAQTSEEQNKQSEGKEAGQ QQPDGQQGKQRGEQQGQQGPKRPGAQGQGGQEPPQGFDGGLMNYVIGTAVLFWTYKVL FPGNDSREITWQELRKNFLEKGLVEKLTVVKDRVIVDLNKEAVRQMYPDSPAAAPGFH YYFTIGSIDAFERRLDEAQAELGIPPAERIPVSYANEFSWGNIILAFGPTLVLVGLLA YISRRGPGGAGGPGGMFGIGKSKAKMFNHDTAVKVKFSDVAGMDEAKVEIMEFVQFLK EPERFQKLGAKIPRGAILSGPPGTGKTLLAKATAGESQVPFFSVSGSEFVEMFVGVGA SRVRDLFATARKNAPCIIFIDEIDAIGRSRSDGGFRGGGNDEREATLNQILTEMDGFN TTEQVVVLAGTNRPDILDKALMRPGRFDRHINIDRPTMKGRQDIFKVHLAKIVTKEDI EFLTGRLAALTPGFAGADIANVVNEAALVAARANAETVEMIHFEQAIERVIGGLERKS LVLSPEEKRTVAYHEAGHAICGWFFQWADPLLKVSIIPRGQGALGYAQYLPSGDAYLM NTKQLMDRMAMTLGGRVSEELHFPVVTTGASDDFKKVTRMARAMVTEWGMSEKVGMLH FDDSAERFQKPFAESTAQAIDSEVRRIVDEAYKQCKDLLTAKKKEVGMVAEELLKKEV LSRDDLVRLLGPRQWPDKEEFSKYFHGERKSAPPPFPTENTDTPEERGPQPAMREEKA DATGNKEGESKEQR NEUTE1DRAFT_57003 MASTTHRDPGTGAGAGAGAGGLHINHTTTGADREAENRHHRTPR TAKWYKIHLFRGMVNDLRRRAPYYLSDWTDAWNYRVVPATVYMYFANILPALAFSLDM FTKTDRMYGVNEVLLASVLGAVVFSVLACQPLVIVGVTGPITVFNYTVYDIMEPTGTN YMAFMCWIGLWSLVFHWILAVTNSCNWLRYVTRFPCDIFGFYVAFIYLQKGVQVLQRL GDAAPFYLSVVVALCVFAIAYICGELGGSSLFNHTVRVFLKDYGTPLTVVFFTGFVHF GKLEHIHLEHLEVSKAFFPTPHEDGWIRGWFIHFWDIPVGHVFLAIPFALLLTILFWF DHNVSSLIAQGTEFPLRKPAGFHWDLFLLGLTTGVSGLLGIPFPNGLIPQAPFHTASL CVTKVVTNDDTDVDSTESKGHPSESYQFKPISVVEQRVSNLAQGLLTLGTMTGPLLVV LHLIPQGVLAGLFFIMGYQALAGNGITQKLIFLCKDSRLTNPNHPLNNKALRRSRVWL FVIIELIAFGATFAITQTVAAVGFPVFIFALIPVRAVLLPRWLSKEELAVLDGPTASP FTMESVGGSYGGVEEEEEERTEEGDMGEESSGGGEGGGVLSSGAEGKGKSLRRWP NEUTE1DRAFT_34726 MAAHGREIHTSSSIGTNDNEYHGPSEKQYTCSLASSSCGFTGQP AASCTFGRFQN NEUTE1DRAFT_119686 MSTETPNISLGSHPLSSVPSAAHEPNSSTAQSVNEAQSTIKDED DNVLDDEEMIDGDVEEEPQAQAQPQTAAERTAQRRKMKRFRERLSREIPGLSPRQVQV WFQNRRAKIKRLTADERDRVMKMRAVPDDFDNVQALHAPYGAVHGLGTPLTSPVDFAA SSYADHMMRPLMVDVRRSDTDDHLSSTGMSPAFGSIGFNPSNSLNNPDTLSPMSPPST DRYGYSNHLSASLSAGARSLNPFARQPGLDASVHMHNHHSQHQIRTLQPLQLRETTNR SRAETLQSPLRTSMSWKGESLDYTTYHGSNTSPPMNSRPQSLYHQDHMNSTSTSNLGS YDPTHYAGSTAQSPTHLSYPNYQSSSLQNNSRRTSRLSASSASLPFGLDLRTQFRSAV GANSLQSTAHSPTSTRASSTAQLGSGGASSSYSASSFPSAPLSAPADYSLSRTSPYRP PPSAGTTDYAIPQMSAPIALPNDFSQAFQASSMSNGGGSSRDTQSLRDNFGASVLGLG HGHGHNNPASHRTTDDYSVNDPLGMKRKRRYSGTAPTGAGAQGIGAYGAAV NEUTE1DRAFT_97690 MPAAPHVDGFKLAAAKVALCSSDYDVILHNARRAAGAAVTGAYL LGFIFMASFPSPGLHAARAWTPCHAIHCNRTIHGGVKQSLGTIVLAEESSTSSGFTNS RSTLQAAFAGVNGVVRTWQLRRHMSGYLSLTCHQRSRSTEAFAELALL NEUTE1DRAFT_35494 MPDAFLRGTVSIEERELGIAVIENSTRRTRKTLADELSNSARTG DLKLVNSQMSTPDYDVAGLALSRVSLTTDELSGP NEUTE1DRAFT_57009 MLARTLVQKLPIGARAFSSTCARNAAEVKRLGVVGAGQMGLGIA LVAATKARVPVTLVDASETSLDKGLAFADKLLSKDVAKQRITQDEADAARALLQPTTI LEDLDSVDFVIEAVPEIPSLKFDIFSKLAEICPKHAILATNTSSISITRIAASTTKDP NDTSNSSRVVSTHFMNPVPVQKGVEIISGLQTSQETLDTAVEFCKKLGKIPSISKDSP GFLANRILMPYINEAIICLETGVGDRDSIDAIMKNGTNVPMGPLQLADFIGLDTCLAI MKVLYEETSDSKYRPSVHLRNMVDAGWLGKKSGKGFYDYQ NEUTE1DRAFT_119688 MEAADIIDDVVHPEVRAHITNLVSALGGYSVDDDGSYKLGDEAL DVLRDLKKWIRFYDEKTNRMDVARCLAEANLVGGDLLQILTLWPQSETDSKYKARIAL ACFEVMVPLTWPIEKERAEMTINHHRHMPVLQLAQLGYKRAIINFDAIPILNTAVRVA LPSMAMPIGERTPRDQAIIKLVLFFLRNVAMIAPPQGVKCEGDETQVSRSATIDAFSY QDIFLTLLTLASNMGEDFRTEDVVVMEIIFHLVKRVDPSSLFVSEKQLNKAKGQELAS EMRKEAAMLKSYNKTTTTRHSRFGTMIWVKRADGKMVTVSGQEALLDAKTRERKMDNS KTFRPPRRARKPEMEPKDLGPPVTLDERARQQLRSFVQDFLDSGFNPLFLHVRQSIDR EALHVLNQHKSQFFYLVAWFLEAERMRRKAKRDESKSTSAAGEEVNSFNLVAAVLQQE MFASMNRALDRSYSDKDWQLLTSVMRCCTQIFLTVQEMSESPNEEDQEIAENTLSRLF YEETTHDLIANIARTYKDQGFEYLDAATELVHTFLRILEGYSKQNVDLQVRSRKRARR KKKAAKAAAAVAAARAAGEEAEDVGVPEDNDADDSGDDEQHAERVTQERKFEFGKFAI RFAPQGVVDTFVAFTKFYRDLNDAQLKRAHRYFYRVAFKLELSIMLFRLDIINLFYNM VQGPEPLDKSSPMFKEWEELSKQIIRKCIKKLQERPALFTELLFSKIGSTTHFLEHGY EKPVTTTMPRPGAELEFKRATERDEQIGIAVSVLIDKQQVEHLQWLKDQLTSAMSERQ AWENVDKAMAATTEGAADGEAADERSNKSAPPHITIRPDTEARRTAMFKNPHLRLLMR LVGMERLTPTLDETPDSTWILPGSHTAEAIQDTIDLINKAEFSPPTFEDGGSAEDQLR RKSAAASRRTRAAYDDDEEEIRGFLGDDDEEDFLFAPGGPTARKPDARPQKKRQRKRR REAGSGDEEDEGVSDEVLAARVKKRREKELEKIRRIKSEMYVHASDDETDDERDREFF ERERKRQETKDSKFDSLLGALGLSVLSQVNGGEKSAWEAVLDDEPESDESENEGRKNA KRRKKQVASGSEEEQEEEEEEEEEEDSDEELPTKQAKSKTSKRKAAALSKRPARRPGT TKKRAVVELSDDDEDDDEEEDAMDVDSANERTTRNEAPLPSSPGEGLGRRINKMAMDD GDEDEDDQPVVAARQRPKARGGFIIDSSDEE NEUTE1DRAFT_57015 MTGKITGPGSGNRVLAALGSSRHVHDETTPLLSESTLEANKPTN EAVRHGDDADIFDDGETTVVADDYFTGDGEISVVADDDSTGDGEITVVADKLSTTRLV VIFGTTWVGVFLGAIDASIIATLSAPIASEFQSLSLLSWIATAYLIANAACQPLSGRM TDIFGRGPGLVFSNVLFSLGNLICGVAKDEQTMILGRVVAGIGGGGLMSISTFMGSDL VPLRKRGVVQGLGNIFYGAGAMLGGVFGGFLNDTSSWGWRLAFLVQVPVSLVSAVLVY FLVKVPPKISNKSLVSRIDFLGSFYIIAFLILLLLGLNAGGNVVPWTHPLVLISIPLS LNMLAGFIYWESRPRNTQPVIPVRLLTHRTVLTACLTNFMCTMVTLMLTFYVPMYLIV LGHTPTQAGLRLLASPLAICFTSFGSGLVMKYTGKYKMLGIALVSTLVLGVAALTTLG QNSPGWIVFVALFMIGGGYGGMLTVTMVACIAAVDHSQQAVITSATYAFRSVGATVGI TVGSAVYQNILKTELWARFGDWQGAAEEIGRIRDDLGELNRLPLAWREGVMESFMTAF RGVWFTALGMAAVGLVAVSLMRQHKLHLTLTRQED NEUTE1DRAFT_143933 MSNPPPGTTVRRQSIALKDKYPSSPPSTDLNTGDNSDKDPNPIA TESDPEVLHPEDTATISPAPDGGPRAWLVAAAAAFTFFCTLGYTNAFGVFEQYYLTSP FSPLHSSSSHSALSSSKIAWIGSLQTFLTFFTGFFAGPLFDRYGAVATVRPGALLFVF AIMMTSLCKQYWQLMLAQGVVGGVAMGLLMFPAMAAVAQWFDKKRAAALGLAVSGSSV GGVVVPIALGRMLNGGSAAGAGLQEREGGHLGFGWSVRIIGFMMLPLLVFASLAIKPR LPPRTTNFFVVKALKQKDFVMLVFAIFFLFMGMMTPLFFIPTYAVSRGIDATLASYML AIVNAASTFGRIIPGVLADRVGKLNMLAVGGVASGISILCFNKAVSTAGLIVYSIFYG FSSGMIISGASAALTVCPKDPRDLGSYIGMGMALGAIAVLIGPPINGKLIDVYGGYLE LSIFSGVMCLFGGLVVIATKIMTPQGIFGRV NEUTE1DRAFT_97696 MSSTKAVCSAKVIGSMESSCTFEPLVRNGTANKSMAGVEKCKAL ARTIKAQRTPPWPTMPTRHLPPREIADQLVDCYLRTFESLYRVLHIPTFKRDYEALWQ AVSAQSHPNQQLQHVDMAFLVQVKLVLAIGATVYDQDFSLRPSAIRWVYEALTFTSEP EFKSRLNIKCLQTDILLLLARETAGLGGNLTWISAGSLLRTAVYMGLHRDPAHLLKRT VFAAEMHRRLWNTVLELTVSCSMNAGAPPQISLDDYDTEPPGNFHDEQLVETRADDGS DHSPAVAQPETEFTQTSVAIAMRKILPIRLAIAKFLNDLGSTCRQGDMASAYHESLRL DADLRAAYRTLTRTFQVLSRNLNEVCWPGSSSSALLFPSKFIDLVINRELSALHIPFY SAALHEAAYAFSRKVVVESCFKIWRAINPWPTSPSSSDATKQDTNPQGAANQDICRLA SHGAGFCRNAGIQVSMLLLGELRAQLREEAETSLAPVNRSLLRPDLLAMVTEAKDWTM RSIKVGETNMKGLLFASMVSAQIEGMMKGLEGDEALAQVLVKAADEVVDKCVPLLEEM LERETRIRMASGGETDSGQKQGFGWGQGEAGGGMESQQQQRQKQQQIETGEVAATYET ETPLGMMGDWDMSLLDVQHIGDFGNMPELMSWALAGATSCGTGGSFVW NEUTE1DRAFT_36538 MVFSFLPSIVPAFPEYTGPYKVGTVDVEIPISRLDSVSAIPNEA DHIHTIQFRIYYPATPDTNNESITWLPPPQRLAVWAYSQFLGLSSRVASVLSFLPRHL HYIKIPVHANATLLSPQSAGNPQSRWPTVLFSHGLGGSRNAYSHIAGSLASHGMVVFC PEHRDASAAISIIRDPSDPKLSHIVRCLRIEHSHTPEVWAKREAQIRIRAWEIGLLME AIHHIDLGDNDLIKANLSTPSPTPLSALYQFTGQLDVHEPGKMIFGGHSFGSATIVQV LKSTYYADHPDIAKWDNPLFRPVENSAIRRQITENTPTFLLDMWCFPILSAASAPLFN LPLPAYSPTAASPVGGNGILAIESEAFYKWKENMHSTARIVSPNPSAKVVSQAAFEKS SGKESTKGSVTKLSEPGVFYVQNSAHLNQSDFGVLFPWAVKKIFKGEQPERILRLNIR AILQFLRANGVSVARTWYGDLVEGGTEENIDLKKEKKGVDGGIHEDKAILEKKPAKEK EGDTKNEENGEKESFWSIEAWRCIDIIGMGAESGPSEAGLQSGEATAQEAEEAERDEE EMEGQMEPGMNQDDVAEPASAATFPSEGITRDARAAVDERITA NEUTE1DRAFT_75709 MSNLKRPAEEAFDAEEGLSPSSKKSRIDDYDKSLTPASAIANAA ETREGSNEEKVEQTNGGNNSNEVKDEEDDDLEAGEEVEVKAPIRQAAPTEGYDDLYLD TIDRNVLDFDFEKLCSISLSNINVYACLVCGKYFQGRGPKSHAYFHALDEGHHVYINM STQKVYVLPEGYEVKSKSLDDIKYVSDPRYTRQEVLDLDRKPHVSRTLLGKEYVPGFV GMNNIKENDYLNVIVQALSHVSPLRNFFLLEDFSNAPELVKRTSILFRKIWNPRAFKS HVSPHELLQEISLRSNKKFTLTQQSDPVEFLSWFLNHLHLGLGGSKTKPHSSVIQHIF QGKLKVESQAITARADQVHDRLRFEEAADVQSSVSRFLLLTLDLPPAPLFQDEQERNI IPQVPLTTILAKYNGVTAQELNAQRKRYRLQHPLPPFLVFHIKRFSKNKFVSERNPTI VTFDARNLDVSPYVEPDPNSHQHGEPIWYDLVANVVHEAVRNKEDVADSVGEERKTWK VQLRDKATDEWVVAQDLFVDKVRSELLYLSESYLQIWERRREPLPGKGKGKA NEUTE1DRAFT_75712 MDTNLWSRRTNSSKLSLTTPGSGGQVDNSSSRTFSKRFGGDSSS HGKTNPFNSITTPGGLVSPTAGASSAFGLGSGAFASFGSAKTPKTTGNPFETTLIGHS AKTPSAEKSSKEGGLGGKIVGKTASNASLADANKRAQGAVSNSPSSGLNHQLRNSWVF WYRPPISKANGFIEYEKTLHPIATCETAEQFFAVYQHLKRPSGLPLVSDYHLFKKGIR PIWEDEENKNGGKWIVRLRKGVADRYWEDILLALIGDQFGDANDDVCGIVLSVRNGED ILSIWARANGQRVLKIRETMRRTLSFPSETKVEWKSHDSSIQQRTAIEESRREKANNR DHNQGDKRTTNRQQQQTDSRP NEUTE1DRAFT_57029 MEKITDKVAALPPDSNYFSLEFFPPKTAMGFANLRDRLERMARG LRPLFVNVTWGAGGSTAQKSLELAEICQRELGLTTCLHLTCTNMSRRLLDKTLEDAKV LGIRNILALRGDPPRAAEYHDENDPEPTDDEEFSWAADLVRYIKKTHGDYFCIGVAAY PEGHAEEAHPREQSLEHDLPYLVEKIQAGADFIMTQLFFDIDAYEHFENTLRDHPSGA FKDVIIIPGLMPIQSYQMIKRTTKLSHAKIPPALMARLDAVKGDDEKVKMEGVAIVSE MIERIKEIKSRTPGPRGFHFYTLNLEKAVAFIAERTNLIPPGTPDEDESAILPDFPQL RINGSSVPTRSIQDLSTRKGSIGSDPRDRVIVANQRPSHPDYEVSPLEAGLPAEPVNT RANTLAISEGEGVLGREATWDDFPNGRWGDARSPAYGQIDGYGVSLHVTVPQALQLWG SPKTTEDINNIFIRHLKGELSAIPWSEEGFNDETEAIRDNLIELNSRGWWSLASQPAV NGLRSDDGTFGWGPENGFVFQKAFVEFFIPSADWRVLEAKLRQPDMKDAVCFFATNAA GDFVSTDTINFRARMAAEAEGTAEADHRPHEPSTNAVTWGVFPGKEILTPTIIEEVSF RAWSEEAFGIWSEWAKIYGKGSDTQKLLEDLKEDLWLVNVIHHDYLEKDGLWKLLSQ NEUTE1DRAFT_119694 MPANFKTYEAQARLLAAVIAAHPELRLNYKAIAQHYGKSATMSA IEHRFRPVRKQAECLRLAVSRGDDPEEIDILETGELARYFGESTADGLQFQFRSIKAG AEAIKNAVDNGEDPIEAFNNVGSGSGTNKTPSGRKRGRPAGTPASGRSRAGAGTPATG KSTSTTAKPRRSTAKKANYVEPQTTTDKDESAASVDYDALDDSPTGHLAKKTRTTPIK TALPPRRMSGAAAPPSQPVFKTEALDEIFEVSDRLPSPSPMPPLPSTATGGNNDFFTN GMAQNERAASEYHTPAPEFPPNASAQTSQSQSQSQGFNIKQEALSYNNAGMSFDGGVT TSFASATTSIFGGNHGYTATTTGGYLQQQQHNTQNSNNNNNNNNSAGYINIVSDDEDD YTQSQSLFGAAVRTLGGGGGGGGGGHGSSGNFSQDFTTTPGMGMGLPSNMTGDGSGGG NGDMGSFYVDEDQYEI NEUTE1DRAFT_143938 MDSTSDLITPLFLVLSPVLSYGDQAHSMHKAKSSAGFSLDIPLI MLVASLLRIFYYPGAKYDTALLIQSLVMVGVQVVLLKIALDHRPAPSSRGGDAAVPFT KAQDEEYQRPYNFWQWKSPKPYWQFVLYLFAGLVVCQILFSPFESLYMSYSSLIGYIG LSIEATLPIPQILINHKSRSCKGFRLSVLAMWLAGDAMKMFWFFTSSSEIPWSFKSCG IFQACCDSYLGVQYLMYGSGEGVSSAIKEHPLHDYPVSGSHSVVTSREGAAARRTSNA DKRIS NEUTE1DRAFT_97703 MSEQLADELEAINSIYGDGTLSPSDDSPATYILTLPGDITSSTL RLQFPPAYPDEPPVVLGTHSSGEHAKRGAAARDLALFRDAVGEVYEPGQVCLFDAIEQ VKELIAAVTTAEKEDEEEEDVEEGNGKRVSSYEATAATHDTQTGGGGGDLLGPEPPWT LSLPMIELKSTFIARCAPVASPQQAAQYLQHLLASDKRVRAATHNITAWRIRGPNGTS FQDCDDDGETAAGGRLLHLMQLMDLWDTMVVVTRWYGGQKLGPRRFALINGAARDAFV RAGLVDEENKQEGKKKKGGK NEUTE1DRAFT_107155 MSQREQDGTLRLIHIPLPLYSTFLQPILQILLPPSQPLPSATPS PPVGNFHHQDETTFLNISITPLECSVVCPSSWARAVFEPAIARLPEDQAKTISVSKDA YAVFSVISAGMDAGSRVVDLTCPLALAGIPIFFITTYYSDFILVPTKDRRAVVETLRA KGGFVFVEEEGGDENGFDGYGSRRGSVTMASGMGYHYASASSGNGGLSGMLTPMMPTT PPPSDAGELQLKTFETLKRRNVVPFVEPGLRLVHCSGREKTSIHGGGGGEGGRGHGHS GGNVSMTGGNGTAYSGEIDGDGSANGGAGYYPRRRRNSWIDTVDTKLYTSIISALVSQ PRFLSVTLAQDDPPSLLLDKALVDMFGDSLVGPTEGTLVPIFLDLVNLPFEATGIVSG VAGRLVKDMPMAESVELSYLSTARAGAVILSCEQAVTALGILEPLLKKQG NEUTE1DRAFT_75727 MTVDFTARPEKPLPYSDDFSSADQYVDSLLDFATNSHLFQFLCG GVHILDFFTSEPGLFVSAVPKEWQDYLVQTEPMVLLDFLMRDDLDTLAAQPGPGQPPE TLIQYVKDIRKLSLRRSFQPSKPKLPVLPRQVALGMNTKKVHEVTHFSGYIDRLSEDV ARVRGQELTHLVDFGSGQNYLGRALASPPYNKHIVAVESKEANTAGAKDLDILSGLAE REKRIRNKKLYHRIMESVDPSEANDEEALKRAAKALGVTDEDIATIDLRSRKELQATY AREEGKGSIEYVVAKLGDADLAPVISQLEYTETHSEDDLSMMAVSIHSCGNLSHYGIR SMVLNSSIHAVAIVGCCYNLLTEKLGPPTFKPCFSRPTLQPLNARVVRESERRDPQGF PMSEKLSTYNDQGIRLNITARMMACQAPGNWTDKESDAFFTRHFYRAVLQRIFLDKGV ISKVYHGPSEGEDEGENGNESPFNFSTNPVVIGSLRKQCYTSFSAYVRGAITKLTTNT DFSQYSQIVREKLSDITDEEIARYEAAYLPRKRELSAVWSLMAFSACVVESLIVTDRY LFLKEHSDIVRDCWVETVFDYGQSPRNLVVVGIKK NEUTE1DRAFT_57050 MKEEKPRDVGDVERLSAPINTRRQRRGVGRKFWGAVIISLLLLH LLVRPASYGMSGCLPGYPREKSVEKRVKRILSHTPLIDGHNDLPILLRAAFKNHINDK NFTTGWEDGTLPGHVDLARLRAGMNGGAFWSLFWPCPTNGSDFSDENYLPAVQATLQQ IDLVDRLKAAYPKDLGPAVSSKAAYKAWKHHNQLISPMGIEGLHQIGNSAATLRRYHA LGVRYATLVHNCGNKYADAALQENPFRKAPSHWGGVSLAGEALVNEMNRIGMIVDLAH TSVDTMKDVLDGSGKDWKGSRAPVIFSHSSAYALCPHPRNVPDDVLRLVKERNSLVMV NFSPDFISCVAAPDRDDGLPDFYPANATLEHVADHIIHIGELIGYDHVGLGSDFDGIP VVPKGLEDVSRYPDLVAELLRRGVSDEDASKVVGGNILRVWRDVELVAATMQAAGESA LEDDLPNLMPEE NEUTE1DRAFT_119700 MPGFADSFWSNDYAAGLGVLFGKLQQGVVENRQLLTIARMRAEA EDVYGQRLSEIAPAVDKIPNGFNRDDGASVRKAYEGVRTEMEDASKNHKKIAQNIRDL VVNPFTRWCDAHESRLQNSQEELQAKIKAHDKQAELVKKLRSNYFNKCRLVEDLEEEN KLAFQDPESAASPKPKQPIPEIKVEPEEEEDDEPYEIGDETYSPDQIKKILAHMLNNI KMGETKVPILGTYQNTSAGTDIVEYLQRHMGTTSISYAERIGQDLITHGFLRLIGNVG NTFANSSRMSYQWRPQAFKLAGVPEKKAPVGRTFSMPLSNGSEGSDSPVVGVVSEYLA NWNIPGVNNGRPNETPSERMRREAREADEKYKAAVQKLDEMRCELEEAIFLHLKFLER CELDRLKAIKTVVLDFSGTISNVIPSLQSTVDNMMLYQETVQPLGDLRYLLENYRTGS FVPKVVTYENYYNKVDEQTFGVDLEARARADKKRVPIIVTTLLTYLDHHYPDLEGDEA RRGVWLHEVSLKDTHKLRAKVNNGKPPSLEVFAEFDVPTVANLLKLYLLELPDSLVSS HVYEIIRTIYNTTQDSSEDARIPVLQQTLSQLRLTNIATLDACMNHFTRLIELTSADE EYVAKLATTLAPCILRPRTETSLTMEEKHAYRLIRDLFAHKDAIFSELKRMSTLGASA TISGNNNRPRAISTDESNRRANMEERNRLILEKANGSRSRATSPAPGPRAHRRDRSVG GPETRFPISPSGITSPTSSQQHGKRPSLGPVLPKRSSLEVPEEAGSAISHPADGNPNG AAPAEAGTPKSETADPLADKRSSLVEKRNSLGRSGARISVGRRIPVVASTTAASQQPS GSEKENLSGGHAPVTLVDAPMDD NEUTE1DRAFT_119701 MRYLFSRVHKGGRPPFRLPSFLVQTHSAATPLFWLPTDRQYRAQ SSPSILSTSYQSQHTRFFGSTPANMAASSEVLSQTLSSITSIKLDQLQKQKDAYESAK DALLSAADKEADVRKRAETLLDGREKLPSIRRADNPMLSADNMKRFVEQAAFDPSVSK DLLREYEETVKKELDMTSNKYRFASMYGRMVREWTAASGQDKKMSVTEGGDKADKDDF VPVGRKEMHEQRKTWEEFVFTPKETDKDAIKRYLEDVFAGSSKDCKRALAELRKSFEK LQDNKNANWSHPFTVLQVKVCIQSILRSNNITGEKRSTLRDFLNNSVVLQEIADVLNM RMDARASWTWEAPVVVEQRRALNGKYRFFLDEDLLHSLLLEYIFRRWAVLIRQHFGRF TATTGVWKPDTKPMSKQDARRRQFFLDEKKPLSDSVAYEREDYFHGKILLDHLPEWMS EVRGGYDSSEADSKEDTRDSPLRVVQGLMHRLEADILVQTHMGNELTVLRSDFQWFGP GLPHSSIFAVMEFFGFNEEWLDFFKRVLEAPLRFKGDPNPDTPFGIRKRGTPISSTIS DVVGESLLFCLDFAVNQKADGTPLYRLHDDMWLWGTTEKCSKAWKVVTEFSEVMGLSL NEEKTGSAIIHPKNKKVGLESTKEEETAKTSHNLPTGPVTWGLLKLNASTGHFEIDES KVDEHIDELRRQLGACQSVFDWVQAWNIYGDRFFTNFFGRPAACSGRAHVDSMLAMFA RIQQKLFPDHAGGVGAYVKDMIASRFGISSIPDGYLFFPTSMGGLGLRNPFVSLFLIR DDLEKTPEEMLADYEEEEERAYRRAKERFETYEMERAVNKTAGGSTRGEDSFKDLEGE PFMSYEEFTRYRELTSPQLKALYQNLLMEPTTRDVELKGDIKAALEDEDDWRDMSSYD KWVVQLFHREVVDMFGGLTVVDKAALPIGLITMLRQSRFHWQG NEUTE1DRAFT_97710 MAAQQQKRNIVIVGGGIIGCTTAYFLTRHPKFDPAQHTITLLEA SSIAAGASGKAGGLLALWAYPECLVPLSYRLHRELADEHNGAERWGYRQVGCGTIGAV VKNTDLKARGQGTSASTTSDLKEPNGTTAAAPNAHLPIQAEDEKISKDWEKLPKQDVA ATSLLSKSVLPPDLDWVDASLVRSWDVMGRRGETETAQAHPFHFTNAMADLAAAKGVD IRLGAKVTNITSSSSSAPNGRVDTVEYQDRNNNDEIKSITGVTDVILTAGPWTGKILP RSKIEGLRAHSVVYEAEVSPYAVFTDIELPSDWTPEHRRVKGQKRKHRGHVDPEIYAR PFGEVYACGEPDKTIPLPETADQVQCDEDQCNDLISYIATVSPILASAPVKAKQACYL PQHVRFGEERGPLIGQTSTPGLWIAAGHTCWGIQNGPATGLLMSELIFDGQTKSADID KLDPKKFKV NEUTE1DRAFT_115765 MVAEETSRHGSTAGGALNVSLKINIPAQKRNRSNESVGERVPSS WVGWGGPLIATPVDVVQIWKT NEUTE1DRAFT_93653 MSSATTIYDFKPLDKKGSELPLSTYQGKVVLIVNVASKCGFTPQ YAGLEKVYKEIKEKYPDDFEILAFPCNQFGGQEPGTEEEIQSFCQLNYGVSFPIMKKV EVNGDNADPLYEWMKNEKPGLMGLKRIKWNFEKFLIGKDGKVKGRWASTTKPESLKEA ILKELGE NEUTE1DRAFT_57063 MASPLNVLMVGTGEYTTGFVGGGASGSDKKVGVVGLSMFDLRRR GKVGKLGMVGVNGTKFPAIREHLNKNITQVYNNLDTSFESFPADNAKDPDSYKAAIDA LQPGDAITIFTPDTTHYPIALYAIERKIHVMITKPAVKELAHHIALVEAAEKHGVYVY IEHHKRFDPAYADAKHRAKKLGDFNYFYSYMSQPKSQLQTFAAWAGIDSDISYYLNSH HVDICDSMVSQLGYVPVKVSASASTGVAVSLGCHEKTEDTISLLVHWQKEDDPTKHAT GVYTASWTAPQKAGVHSNQYFHYLAQGGEIRIDQAKRGYDVAEDSAGQLMWYNPFYMK YAPDEDGNFAGQSGYGYVSIEKFVDGCRAVNAGTLTPKDLDAKGLPTLRNTIATTAIL EAGRRSIDEKREVKIERKDGQWRLV NEUTE1DRAFT_115768 MTFFDAETEDLDIPTIKKHAARLVKDGLAGLVTMGSNGEAIHCT REEKATVTRATREALDEAGFKDTPIIVGATEGSVRGTIELCRIAQEAGGDYVLLLPPS YFRFLMDEQAIHDYFIAVADESPLPLVLYNYPGAVAGIDMDSDLLIKLAAHPNIVGTK FTCGNTGKLTRVAQATDAKTPWAEGSGYMAFGGMCDFTVQTLASGGSGIIAGGANVMP KVCVKVWNLYAEGKSDEAIALQKTLAKGDWYLTKSAIAGTKQAIQSYFGYGGYPRRPL KRLEKARVATIEEGIKEVMDIENSL NEUTE1DRAFT_35893 MEKHKAFARSCSKFQNYWSRREAREMASNLEQSEKANSEVEEWR SFGSGESCASPSVKVVPGTPSACTVPMSLLASSRIKCRGGNSLGDKGPWLGESARLEQ GSRAAVQDYNVPSKWEQANGWPRLIESGYGGLRSPADDITLH NEUTE1DRAFT_75753 MTAPINAPPAATAKDAASARKRRRRAPAGGAADDCFTCAKRNVK CDRRRPYCSQCLEIGNECSGYKTQLTWGVGVASRGKLRGLSLPIAKSPPVNPGKGVTA LKSPTTRQRTSSIASVSQYSETEDRVKREETEQPSSVDLHSPLHSPLSGPPFHHFDMS HMSPVTEAPPPGWTHIPFTSPMSPHDGPGYPGRSMSLSMASHMDSISETDYMSPIAHS FPRDDVSYIHSPLQSPTVVYDSYPGHGPPVQHTHPSAMMIEPHRPPTSCPSLGYSPSE PTASLPLRVSPVDSLEAQLSRKMVQECDVFVPRTPSDLETFSTSVQPHGPFWAPSNAD DESLSQSVQEHIQLPWPTSFPSQSPSPILRVSPDLIHKMPFFMDYYEKTICPRMVFID NPSNPFREHILQLGTTSRSLQHAICALAACNLRIKRRLSLGQHMRDVGEKRLDASPFD GYSDTQPEDQSLSEEYQHRNLAVHLLNEQLNDPEKSTHDSVLATILILCHYRMAESGV AKFQTQFAGVKKILGLRRMSSYPASRDSAWMEALFTYFDAITASVNDREAQLNTSFYG LLPDSQLLPPGTENLVGCDRELFKTIVKLGRLNLLSQHRPVQNLLANAQRARASSLCG TPSPLGGGGFKSSPSEHHHLFGSFQPMRFEGSGLGSTMEDDEITGIGHTSMGYDDHGS TFWREWKDARTALQSWEFDASRVVASLAPTLSPPPTGSQVRDLGSLSEAFRYAALLYT ERLASPNLPSSHSHFRDLVSQVVYYATSLEAGSSAEKFLLWPLFVAGSECVNVLQQNI VRSKCRDIMARSGYMNNMAALDVLERLWAEDVKEDGPGAKMRGMMRSGPFNWSRCIGG PGVDVEWIMF NEUTE1DRAFT_115770 MYPTPPSFRQNLMAKSAPRLNRKVTISSKCSSSLSFEFIHVVLR LDGVEEGGG NEUTE1DRAFT_75756 MGRELQKRKKRSSRAKVQTHTIKKKHLNPQGSGIVAKAWNKKET LSQNYSRFGLVAKLGTAAGGMAKKSAAANYAGITKDDPLAVKSADRGLLEVREVKVER DASGKIVKVLRSNNPLNDPLNEIESDSESEEPKPKNPTHDIEWHGISDDRQEMIAQSS RPEVVRMLEEEASRPAEKTVRYQSERELEWLQRLVAKHGDDVAAMVRDIKLNPMQQTK GDITKRLKKAGLLQ NEUTE1DRAFT_75760 MGWQAWELDPEKIPLFKLIFHVAQIVLAFVVWCLEIAVFKADDV PINGQNGWTFAVFFISIPAWIYLGMAPRFPRTRKLAQPHAMVAVDAAFAIIWLSAFST QAAFNTAGSCMSVCGISKAIVGLGFFVFLFFCVTTFLSIYTLMYYKWNNRLPGYENLH ARTQNIDPDKAAFSLAPHDEEAYAPVNMNDRDDEHSQIGGGIGGHSDYDDTNTHLGGQ VGGGGRSDYSDPYGAPTASSLSVDPYRGHTPLSNMTPDPYRGHTPLSMNTARTPDPYR GATPLTDLSADPYNRGVTPSYLGSQTSRYGASSVAPSENPFRQDNPFDNDNDYQSSVG GGSRYAPPSVHDGDYEDEPSARFPTAPYGRVGIERGNV NEUTE1DRAFT_143953 MAPGMAPMGGGGNIKVVVRCRPFNAREHDRGAQCIVEMRDNQTV LTPPPDAVVKGGKDQGQKIFAFDRSYWSFDKNAPNYAGQDQLHEDLGKPLLDNAFQGY NNCIFAYGQTGSGKSYSMMGYGKDAGIIPMICQDMFKRINDMQQDKNLRCTVEVSYLE IYNERVRDLLNPANKGNLKVREHPSTGPYVEDLAKLVVGSFQEIEHLMDEGNKARTVA ATNMNETSSRSHAVFTLMLTQKRFDPETKMEMEKAAKISLVDLAGSERATSTGATGAR LKEGAEINRSLSTLGRVIAALADLSTGKKKKGSAAGQVPYRDSVLTWLLKDSLGGNSM TAMIAAISPADINYDETLSTLRYADSAKRIKNHAVVNEDANARMIRELKEELAQLRSK LGNGGVVGDTHVPGEEVYAEGTPLEKQIVSITTPDGTVKKVSKAEIAEQLNQSEKLLQ DLNQTWEQKLQKTEEIHKEREAALEELGISIEKGFIGMSTPKKMPHLVNLSDDPLLAE CLVYNLKPGSTSVGNVESNAEHQANIRLNGSRILHEHCVFENAADGTVTVIPKEGAAV MVNGKRVTEPTRLHSGYRIILGDFHIFRFNHPLEAKAERAERAEQQSLLRQSLTANQL QALEKSPNLSPSHNHHQSLSTAVSEGDSSRPDSPAPFSRNTKESDWSFARREAAGAIL GTDQNFAKLTDEELNALFEDVQRARAERVNVREGDEDMESMASYPTREKYLSTGTLDN FSLDTALTMPSTPKQGETEEKLGQIRDVMQSQLDKQKEEYKDQLKTAEAANVEVEEIK KEKARMEETLMQLKVDMQKQLEMQRRQFEDKIEKLDPLKRPKANPKLSADEIERAKAV VKKWRSRRYVLMAEAVLQHAATLKEAQVMSHELNESVVFQFTIVDVGHLLCSSYDMVL NGLTGEGDDIALETALKPCVGIRVIDYKHSVVHLWSIEKLHDRVRQMRQMFQYLDQPE YAQHLSLDNPFVETCMPQYTLVGEVDVPLKTVFESRVQDFTLDVTSPHTSHAIGMVKL ALEPSSARAPTNTLKFNVVMHEMIGFAEREGTEVHAQLFIPGISEEDGITTTQMIRDF DEGPIRFESVHSMSIPLFASQDTSLRVAIFAKVSAMHLDKLLSWDDMRDAVPTSHSKP KGARINESHFYTEEKHDLLARVQIMELNEEGGYVPVEVTQTSELDNGTFQLHQGLQRR VAITVTHSSGDALPWNDATSLRIGKIALLDSAGKTPDMGSASPPLPLRLVTEPTFRVN ANGTRSVTLIGQWDSSLHNSLLLDRITSEKYRVQMTVSWEINSEKLAESIKFSLPVAV QIVSRNFVRQTSMFSSLWQNIRIVHSSTGIFTVQMRPAPIKRVGDLWRMSSQHDYVKG EENLGTWTPRGVSLVADYISARKKKRMMTELTAVQGRLKKLGFGDANDLSNGVDDTGD DSDLPPPKTNSETDSIAELLQDDPPEVPELPTPQEGPSPEDEAAPSAETEQEEQTNSA EPNQPTPDAADSNKPPPEYTDAQTYLLNRCLKLWQRYPDPTVKILSPVNTDPPTDGCA DSTSDQKSTLEFVASVIRVPKNPTVLKGGYLLVPNSDSTKWNKRFVELRRPYLHIHSV ADGEEIGIVSLRNSRVDSQPGILGLLNDDYGTGGSGGFGGSDDGYGLLDNLNGNMDNN NRAANGRPSGLLDTITSGGSGGSGGRVSNSNVSTPQQRRSPSALLISSLWPTFSPLPS PNPMTAAGARSSHSRLASGGSRTSAVSLRSNTSSPASSTGTLATTTITNNNKNNNNGP LSSSGPSLQQGGGLSRLSERLQAGVFAIYGTDNTWLFAARSEKDKMDWIWKIDQSYMM TSPSASVAGSRGGSRAGSARGSRAVSPSPGARRTSARVSQLRF NEUTE1DRAFT_127403 MAPVRDPNTLSNYDAWRTRHTTANLKIDFTAKCLRGSVILELES QTDKASKEIVLDSSYVTVNSVKLNSASSLWETKARTEPNGSPVHIAVPEGAAKGEVVK IEIELATTDKCTALQWLTPAQTSNKAAPFMFSQCQAIHARSLFPCQDTPDVKSTYDFN ITSPYVVVASGVPVPDETKDLGEEKLYKFQQKVPIPSYLFALSSGEIASAPVGKRSCV CTGPNELKASQWELEGDMDKFLEAAEKIVFPYRWGEYNVLVLPPSFPYGGMENPIFTF ATPTIISGDKQNIDVIAHELAHSWSGNLVTSCSWEHFWLNEGWTMYLERRILASIHGG DAHFDFSAIRGWKALEEAIKEYGEDHEFTKLCISHKGIDPDDAFSTVPYEKGFHFVWS LDRLVGRENFDKFIPYYFGKWSNKSLDSYEFKDTFLEFFSAPEYSDLKDKIASIDWEG RFHSTGLPRKPEFDTSLADVCYELAEKWKSKDFTPSPSDVSSWTGNQVLVFLNAVQDF EEPLTVEQSQALGKAYGLSESKNAELKAAYYHIAMRSKDASAYQGVADLLGEVGRMKF VRPLFRGLNKVDRELALKTFEKNREFYHPICRQMVEKDLGVSEAANSS NEUTE1DRAFT_119713 MAHIVSCMLDSDSEDFSLYLNNYIAKEVTPQSSNESLGRASPSG DKPFTWRMFHPEKRYQQCSKDTQSTVSEKSVDPEQFSVLNMGPNSEIGGRSNAGFGLR IQVKEQNLTSRRKVSVPELGPMTTVQEAAMDSPTIPGRPALHERSISAPGLPSRPNQG KGPFKDRVPIERAQPDAARQCINRSQRVQNEARQPFSPRNLTPLVIPTLSSATQRLHQ KTSSSRLRAGTAPVDSTIRAAKFEGSPKARTPFTPLSATSSAPRSAATSAGTASTLPT PISASTDIRSSPMPWDKVDNNVPKSSIECTRGFPLALETGIADSPQNVSNIGHKRSQS ETGSIMERGRPRKRSETCGATVLNRSCSKRSKSAERRAFEQLPKGWKASDAVKMLKPN ETAALQKQALQQAARFEVLRKEDVDNLSKYLRSPRTAKFSQESMLKQEEALAELDASI DDWITKLDQAENRRTRVRQKLLEHVAAATTLSMTPGSVAGVSESLQCAMGIRSLGSAT NGAGNISTPPRSPAKMSFSSHIISAFTPSSPPRVAAHVPSTIMEQPFYEEEEAASEEQ GASSRRAETIMVFAGSDVSALLADVENALTHMGGDGLLADREKRHTVKVEVYSPVTKE YPVKEEEPAVTNETLPPAPPPSDSRRKHIHRTMSIDMLNGLRGKPSLAVDTAEMPIQS CKGGANSNNTTCQSATTARVVNSEEIFLTNAVFRPPPK NEUTE1DRAFT_37175 KKRKTYNTWDSLVVTDPTTSQAIGSLSMGERTGSRVFYHLWSYV LDDVC NEUTE1DRAFT_119714 MASAENQKVNAAASTGEHVSGISLSRGSPPLDAKSGGVSWITRH FPFLRTKRGIAMIVAVILVIVGAGLAGLAALPKKGNKGTAEAGGGAGNSGYSITSDEH FYGQSPPVYPSPNMAGTGAWASSYKKAREQVGRMTLDEKVHLTTGLQSNTSCSGFIRP ISRISFPGMCLSDAGNGLRNTDSVSSWSSGIHVGASWNKSLAYQRGTGMGSEFNKKGV NVLLVPVVGPMGRVVLSGRNWEGFSSDPYLAGALVYKTVEAIQNVGVITSVKSLNGLL KTELGFEGFVVSDWSAQHAGVATALAELDMTMPEGDNFWGFKLVDAVKNGSVSESRVD DMVIRIMASWYKMGQDTDFSTPGIGMVSDITKPHMIVDAKNSTFRSTLFDGAVEGHVL VKNTRSALPLSSPVLLSVFGYSAKNPDHNGPTIGSSAWVFGAESFNYDEFTSGFFGSA AVGNTPIAFNGTPYSDGGSGATSQSLATDTALFWDFHNGNPAVNPTSDACLVIGNTYA AEGADRPGARNDYTDGLIKHVANQCNNTIIIFHNAGIRLVDQFFDHLDVTALIFAHLP GEASGKALVSLLYDDSNPSGKLPYTIARNESDYAVFRPDLPDAGMFRKFPQSNFSEGV FLDYRHFHAKNITPRYEFGFGLSYTTFSYGNLSVKRAADADTDELPTGKIREGGQVDL WDTVIACRLRGIVILDDRERAR NEUTE1DRAFT_119715 MCFGGRGKDDEAEASRSRELDKQIRADEKRLSKEVKLLLLGAGE SGKSTILKQMKLIYAQGFSKNEKLEWRPVIFANILQSFRLIFDAMNEFNIKLEDEDNE KNMVQMMVDYEMRGDEPLPLEYFEPAKKLWQDSGVRQAIEKGNEFALHDNLQYFCSDL DRLWDRNYVPSDQDLLRSRLRTTGITETVFDLGQLTYRMFDVGGQRSERKKWIHCFEN VNCLLFLVAISGYDQCLVEDKDGNQMNEALMLWESIANSHWFTKSALILFLNKIDLFK EKLPRSPITNHGFTDYHGPPDDSKQASKYFMDKFRALNRNPDKEIYGHFTNATDTNLL KITMGSVQDMIIQRNLKQLIL NEUTE1DRAFT_115772 MSEIAHPTIQDGWFREISNMWPGQAMTLKVEKVLHHEKSLYQDV LIFKSTDHGNVLVLDNVIQCTERDEFSYQEMITHLAMNSHPNPKKVLVIGGGDGGVLR EVVKHDCVEEAILCDIDEAVIRLSKQFLPHMSAGFEHPKVKVHVGDGFKFLEDFKNTF DVIITDSSDPEGPAESLFQKPYFQLLHDALREGGVITTQAENQWLHLPLITKLKKDCK EVFPVAEYAYTTIPTYPSGQIGFMVCSKDPNANVKVPLRSWSQEEEEKLCRYYNSEIH KASFILPTFAKKALE NEUTE1DRAFT_97727 MNWTEGTLYRHSRGKLRKANAEKQRQKEYFARAHIRAAEQREAR KNGPPPISYLEPGSDLPEPPRDAFPPKQNSTHGAPHRRGRWGASVPTAEDTPKSEHLL PTVDQFLKEQVGKATSTNTAPSQLLPKGTQVERLRQRLLASKDWGASRIQSPVQVHNR PRPMPPHVGPTQPTRAMEEKPAHIVQSNRGLYPQKHTSHLPFLRGADVQIRVGSQEKQ LKSSTIGNGSLAHQKQNASTEESRHFMTSASVPSIFHPVPLRAIPSHLLLSSGSLDSA NSASHLAQVGRTTSSVPPSQKAENSTWKAWLEESSSAFGAQTNPEPHEPVAQLRISPG VSERQVRHQGYSPPVHALCTVSTQCEPKKGLHRGDQIDAWKTFVFGDDDSEEVERDAF SEARHDAARLIRPYDENSLPSIGDGDHSGYDSNIAMAGTSSSGNAEGSKPYERHFAID NPNQSPRKIHEPASTDSQSSATSAEEHVVIPRYTPFANGHDSVAVERPCVDTSPISNK HETAENITIAMSDASGESASIAISVPTCPTIAPVSLKWKRGRPRKRARDGRADIRALP NYSGDPIEEIEDDDDAPPPSLFGSLDIITMKSEELVFPCQVHKLAKLARQLKGKASGQ EQQFEFFLFFFRRLWTHPGAMVYFQTL NEUTE1DRAFT_115773 MASEATILSNAENLKKFLRLPQNGQLIAEYIWIDSEGGTRSKSR TLPEKESQYLPEELPIWNFDGSSTGQAPGEDSDVYLKPVAVFPDPFRGSPNILVLSEC WNADGTPNKFNHRHEAAKLMEAHADQVPWFGLEQEYTLLDINDRPYGWPRNGFPAPQG PYYCGVGTGKVVQRDIVEAHYKCCLYAGVKISGTNAEVMPAQWEFQVGPCDGIEMGDH LWLARFLLHRVSEEFGAKVSFDPKPIPGDWNGAGLHTNFSTENMRKEGGMKYIEDAIK KLEARHKEHIAVYGEGNDKRLTGRHETGSIDSFTYGVANRGASIRIPRECGAKGYGYF EDRRPASNADPYQITGIIMETCFGAVSE NEUTE1DRAFT_97729 MALFLDPASVTYNLDGPPPNSSILESWRLVHQREDHDDKLDITV PKAHEEVRRAEYQTSRKKLVGDANVRYG NEUTE1DRAFT_75781 MLAAPWLCRSCAHSLRRSGVFVSLQLRKASTGLPPVLLDRARKL AAEHKQLTKQLESEYEPTAAKRAGEISKVVAALQELDKAKSALDELNGLLESDDAELR QLANDDLDATKKHLETLGLNLSATLTPKHPFADMPCLIELHPGPGGTEGRHFADTLFR MYQKYCENKGYSARVISYQEAEDRTPGLDGEVPISEAILEVTDPGAFAAFRGEAGMHR VQRIPATEKQGRTHTSAVAVWVLPSFPENSTDAENDFNNPESDFYVDPTEVKSEKMRA SGAGGQHVNKTESAIRLTHIPTGIQVSMQDSRSQQQNRERAWRLLRSRIASLRREQRE AQAKDLRDSVLSQSQMSRGDKIRTYNYQQDRCTDHRSGLDVHNLPNVLQGGEVLDKVI NSVQSWLTAMDIRNLIAQEEAQARGAVGGSDKKKKGGK NEUTE1DRAFT_57101 MRLTALVSSLLLATTATAGGPSFGQAEKKYLEHVANRLKNHAAK VEAAPAVERDVAKVQEPKFLNDNTTRFAVDGKNIPDVDFDVGESYAGTLPISQDPEEK SELFWWFFPSTNPAAKKEILIWLNGGPGCSSLEGFLQENGPFLWQYGTYKPVKNPWSW HTLTNVIWVEQPVGTGFSTGNVTATSEEDVAAQFMGFWKNFIDTFAMQGYKVYITGES YAGLYCPYIASAFLDANDKTYYDMSGLMIYDPVISWDEVAEQVPVVQFTEYWSGLFPF NDTFRAHIKSVDAKCGYSDFVSQYLVYPPKGLQPSKLPGTDKTGTTRDECWGLFYEIF DAILLLNPCFNIYQVATTCPLLWDVLGFPGSMGYLPEGAKVYFDRDDVKKAVHAPETV KWSSCSEQNVFVGGRDRSEPSSFHALPHVIDATKNVIIGHGALDMVLIANGTLLGIQN MTWGGKLGFQNKPDQPFYVPYNTMTDLSTLAAGGVFGSMGSERGLTWVQIDMSGHMVP QYAPSAAYRQLEFLLGRVNCLNCTVPFTTNPDAPQSQQPLGEGTAPQSWSQFPGKTGK QHGGGRGRFVR NEUTE1DRAFT_35711 MESSVFFKFKSNKEPTRVEFDGTGISVFELKREIILKSALGDGT DFDLIIAADEGMKEVYDDDTTIIPRSTTVIARRMPAKIQGRGGAARYVSGKMPVHAKN SSRKEQPIVKALAKPVANPVVQLNSAMTEEEKMAAVFQAQTENFTAREEEMATQQYVA KSGPKKPANVPDHDPPQGYICYRCGEKGHWIQLCPTNDNPDYDNRPRVKRTTGIPKSF LKTVDKATALGQTGDGDETKTPSGIMVNADGEFVIAEPDKASWEQFQAKAKSNSAAQK ATPEGDKEIQERGLECPIDHKLFIDPMKTPCCEKTYCNDCITNALIESDFICPGCKSD GILIDDLKADEEAVEKIKAFLAEKNSKAKEGSQSPGSPNSPTAAKSPTSTDAPAVQTT TEETKPKSESPTPTDTAPSASQQASQQQTLQASSAASMQSGSTGQTTPPTQANQEERS QQVSKKRPAEHALENPKIPKAPKAMQQKAQQTQQQAMMEQMMGGMPGMPGMNGMNAMN CMPQMFPAMPMMGNFGGMGMPNMNMGMMNHMMNPMLAGGMGPGFPPMNGGMGPGGFPG GPGGMPNGNNPGMWNNGGMGMNGHGGVPNGPNMGGTMRAGGGRMNVMNQAAGMGMHNG GGYQGQQHQFKNFSHQPADDDDAYFRKPVNPHRHQNRQRRVRPSDYREL NEUTE1DRAFT_115776 MDGWIEGYDGMQTKHLGEGKMTANDGRYEKREGRLPGERDLSDS CCSLS NEUTE1DRAFT_115777 MSTAVINADDDHMEPTLQSILDQRSLRWIFVGGKGGVGKTTTSC SLAIQLAKVRRSVLLISTDPAHNLSDAFSQKFGKEARLIDGFDNLSAMEIDPNGSIQD LLAGQGENEGAGDMGGVGGMMQDLAFAIPGIDEAMSFAEVLKQVKSLSYETIIFDTAP TGHTLRFLQFPSVLEKALAKVSQLSSQYGPLLNGFLGSNGTLPNGQNLNEMMEKLETL RATISEVNTQFKDERLTTFVCVCIPEFLSLYETERMIQELASYGIDTHSIVVNQLLFP KPGSDCEQCTARRKMQKKYLDQIEELYDEFNVVKMPLLVEEVRGKEKLEKFSEMLVKP FVPPQ NEUTE1DRAFT_143967 MTTRIAKLTGSGSYIWDQNTMNEKEDARDKVPAPTLRMVDRKPS EAAPTTSGRQSHAIPAASFPTHNSPRTWLITSALTPLAIRLIRQLLAHGDYIVACLPP QEIEHEDRSAEFRELINECKSNRKDREGWKDRIRPIRCDSRVMGQCGAAVAEAVQIFG RIDIMLCCRAEAVVGTVEELSTNPATQNLVRDQFETVYFSQVNFIKAALPQLRAQHTG HIMVLTSIGGHIGTPGMSFYTAAVWALEGFCDSLAYEIAPFNIKMTIVQPNKEILSLT NKLIFSPPLPQYEADLNPAPNIRDVLSTVLNSNPDTYIEQSEDEIQFRYPKLPPATLD KLVMETVHALTAIGGHENPPARHIVGFEGAIAVKEKLKTVTEELEDFVEVSLAVDIFD SELTAEARKGSSIPPRPQRHRNDLAETTMTPDPFLPVAPARVKTLVLPIGRIKRDRFD TFVKSLTPENVVQLRDVTADGRPNRNMFTPLAFPDGAMFYDLMTHVPPPSHLALVPFD LYREPLALLAIADGSEINNVVLSKRQSGGRTVAETNIRSLDQELEDLRDRYPRILVHQ VLLFDYVPSEENPIPIPEGIVTIPPPEQHKRTTMKTVMCDISSLILAEMTTLAKSYEG MTFITSPGHASTAEQMYGGQGPVGDPSRRNSQFALPTNRSVSASGLIDRGHQARMSMP PVPFKGGTFGSNRAVSGQPATPPNGRSGLSNPPTNFDDITGDESASPEKALPPRPDLM DVFRTQSQDRVSVQGFGSGGSTDKKLHRGKSRFQIVLGSLYLQAGRWIDALRELTEGA AGAKSTNDHIWHGKALELSLIALLLLGWAGIEFDVHPILLPTADKGTALANQILEAEQ KDPNQPRWLRRLQVYIPELLDRIISLYSRITAENLQPLPMSETIIRFCRMLTALHIAD GKLDERSLEMMVLGTPPPKRLTTSPRFTIVPTRTHILSNLMKAYPGSASELLTTADRI VLLSGIASVLGLLGFQRKKAMIVRDLVSVLIGGLVEARTRGAADVGIHPAAGLVGLNG LGGNGHAGALDLTENDIEQGIGPFLGLLLRSYGVVTDDPGSTASLEGPATRDDSDEAV VARILKQSDLRNFGIRDIKLNILRTCVSFSEALPDFAGVLKYSSDLLRTAGSGTAPGL RREDAYPAIHREDQIRFATNISKTSNLAKRLGMDHLAAEYWDEFLLRGVKLEPLATTR TPVSHARSVLPNAVTTTRTSQDVNPFIYNPFLKQPDAAAVEKVLVAGEAATFKLTFQN TFEMDLELESIRLDTEGAEFESAVESLVIGPYRTQVLRISGVPKAAGTLRIKGAIIKV RGCRERRFPIFIEQWAPEKEQKVKGIGLAALDVPPAKRTPPTQRPKPESLELNVIAGQ PVVVVKSSTLPQSSVMILEGERQKFSVTLQNLSTTTPVDFLLFSFQDSTQEPLQAAMA SRDATLAELYEYELILAKKQALRIRKEGNQKRYIPPGGTATFDFEILGKPGLTHGLIQ VDYACLGVPPDELEEERFFTRQVSLALTVTVNASIEIARMDVFPLHGSIPEPLWLRAG SNKESTSELTADTHCLLMLDLRNAWPSNMVIQLESEDGARLEEHILPGNVNRVIFPIK RVYLEDPYASIPVLNPSRQRQFIVSSKLTPEMERANREAFWYRERVLDSLTGTWRTGP GGGTIAGGRSGSIELRAMRLTSRMIEAVKVDEIGIEISVLDASASPSSPEKQKHKSHA IAKVESFLQLRVAVTNRTNQPIYPLVRLMPSLCHRPLNVALDFTRKFAWNGTLQKALP LLAGGESAEVVMGVTALCRGEFEISASVEETMVWRPSEEEEEERRKRRSEVEKMQDAA MGMEIAGSKRERRVWCSRRPARVVVIRNDMDE NEUTE1DRAFT_75801 MFTPTTHHHPIESFSESSLKHLHSSESDHTNGNNVSPAKTCSNE KKQKKHKILFLDAYDSFSNNITSLLTTLLDDVDVYVLSIDSPLLDPTSPDFGKRFRRE LAQYTAVVCGPGPGSPDNDADVGLMNYVWQLTAAEEADGEKGGEGGALLPVLGICLGF QSLVKYGGGEVKRLKRGLHGMVREVLHLPHGSGEGDGEGNGSSSIFRGVQPFKATLYH SLCGDIGQHEVSDADWEEGGAKWKPIERCPDLLPLAWVEEKREDGEVERILMGVKHMK KPFWGLQYHPESVCTEKEGNKVIENWFKEALSWNEKKKRVVVEVAEGERLAHQATKPS LLSMLQVPVGDEHMSFSTTSERNKMWWERMGTRPEHRSVTVRLPYGVQVSDVVEELGL DGSDKVVVLESANAAAAASSRADVRGRYSIIAAGLEEALRLEYHTGDEYATFKLQQIN GLQVDLSERVFLGSYGGIWGLMASFHGTRSLGSGVELPDLPFLGGFIGFITYEQGLSD IDIRLETRPHHRPDVCLAWVTKSVVIDHSLGLAHIQELYSSDASLGDAAWMRSTADRL VSVSAHHRHPFLNDLPSPAKKVKTRHPSTSPSIQTPLIPEYEAKVKACQEFIAAGDSY ELCLTDQTKITRPLSDMIPSTFNRKQQQQRRSSSPIPSSWHLYKTLRSRQPAPFASYL RLGGATLVSASPERFLTYNRHGRCSMRPMKGTVRKSEAVSTLEQAEKILHVPKEEAEN LMIVDLVRHDLHSICGAGHVEVSDLLKVEEYQSVFQMITVVEGQLPPTSDEKRYTGLD VLAASLPPGSMTGAPKKRSCELLQQIEQNSERSLYSGVVGYMCVSGRGDWSVTIRSLF RWDDERVTVQGQGADSQSEEEHEVWHIGAGGAVTILSTPEGEREEMFTKLAGPLKVFA DLG NEUTE1DRAFT_115778 MAAGLKTIIALSFVLAVGFLLVILSCALWKAYYPLLVVATYVLA PIPNWICSHCANPDDFVESSGAAVLDLGRFCTGFLVMMGLALPVVLANSAIITVPAMI MSVIGGLLIYGTIISFAMFFQEEQDF NEUTE1DRAFT_115779 MFRNNYDNDSVTFSPQGRIFQVEYAAEAVKQGSVVVGIASKTHA VLVAIKRNAEELSSYQKKLFPIDEHVGIAIAGLTSDARVLSNFMKQQSLGHRLTYGRN MPVRTLVDMIGSKAQINTQHYGKRPYGVGLLVAGVDDHGPHLFEFQPSGMTEEMVAFA IGARSQMARTYLEKNLEAFADCGREELIRHGLKALKESLVQDRELTIDNTSVAIVGVE KQEDGTTKPQPFMVYDGQDVSAWIESVADNQEAAPAAAEGEGMDVDS NEUTE1DRAFT_57127 MSTNGVTSNPKRTKICVYCGASAGNNPIYLEAARQLARTMAANN IDLVYGGGTVGLMGEIAKTLVSLSGPDSVHGIIPEALVRYERDPHYTSTQVSGGQKDS AADEEAKAKQLPVPDENVFGRTTIVKDMHTRKMMMAQEVLTGGPGSGFIALSGGYGTM EELFETVTWNQLGIHDKGIVLLNINGFYDGILHWIQNSIQEGFVNQANGKIMVEAKNS EDAIKALREYKVSEAVFKLNWSDE NEUTE1DRAFT_143972 MPEGNGAQRQPARQEEQQGSSMFSKILQAVAMWVLMQLVMKQFM GGGQNTATVQDATGQTVQVSTSVPSYDQRPQRLDDGAVYNRIPQLIAPIWPENSQVDI IVTISPSFVPTPLKQTSADKVVFQEKNFTIGNWSDARTEERTIDIPTEVQKNGTLWGH FYVGLSGALLDPTQPNYDSGSAYHFVWPLTHYIPRKKEFKTRNLLAGKEDAVEEAEEV EVEQPSGPIITNYYHPNISLSFIPNTGSMPFAQTHPAVRQFLRLEATGARDGTGQNTW YYPILFVNTFWQLKSQMTIINETIKTMPMRIDLNNLAEWKFKTMASIDLSAKEAARAA AFGNPLTTGSDGSEIEMIKEILLDSNPILLGVTIFASIAHMILETLAFGSDIAHYRKK KDNVGISVRSILANVFMQTIIFLYLVDQSQNTSWMILGSQGVGILIELWKITTVVNVR IRPAPGSIIPYRISFEDKHKLSETEEKTKEYDEIAFKYMYMAGVPLLMAYAIYSLIYD THKSWYSFVIATLVGSVYAYGFLMMVPSLYINYRLKSVAHMPGKAMMYKFLNTFIDDL FAFTIKMPFLHRLATFRDDIIFFIYLYQRWAYKVDYTRVNEFGQGGEDDAVEEKKAEG QKAAFPAPTEEQTVGTTSAKASGTETGKAKKRK NEUTE1DRAFT_119730 MLSVIPEHLWLGDSLTYKPPKLDFCPSTNHDTVGSLLASIATHL SDSLRALRFADKRHWGPDEFEQIRALEEALDDAKTDFQELGRLVNGQFYYEGDRTPES LNELRALLVKYEFHARNYKDWIRQGGPINPTWLSETKELQLELHRTQCRASRRIFAAE QEGTWTRCLGAYQVYRQQRRNEEAERIRKQKEEEKREKETREGGSKQKDLESIPPWQR HEYQEQPQPQQQQQYGKGPTDEALRPALRRGRRLSLEDLVPCCNAVGRFERFGEHDVA FVCDFCDGFIVWPDLQRMPSTRAALDKTNGGSASTTASIPTSTTIARNSPRKLILPTI VTASYPAAILPSSTITTTTTTTATTKEAQTETTTTTTTENTYPHWQAQGVSAKTGEAK TVVFAPIAIANHIAPVPGDWLARIWCPYCDEYTYVDQGEDSEDEVKWAQDERGFEDLA SFQEHLEWYHTALPVPSIPTLLPKAAESCLVM NEUTE1DRAFT_127422 MAEEGSSSPLSSVLSSPPVSPPRSIDTAARVVLEEIAAEESTVE EPAVEEPAVEDPAVKEPAVEDPAVKEPAVEEPAVEDPAVKEPAVEDPAVKEPAVEELA VEEPAVEEPTVEEPTVEEPTVEEPAVEESTVEEPAVEEHTVEELVVKKPATKKPATKK PATKKPATKKPATKKPATKKSATKKPAVEQGHVKESEVQGPEEPEGEPATPLAASSAC PENKTEFRPSDQLEARQPEEKASTSWAAINLPPQKLRSVDKPEVPTREEEPTAPSAAP SSVPKKRKSLPEAVSFKGGVADSRNILKPKLTRGACTPCRENKLKPRKGVSAAARPVS SKAEAVPADVGEPKALSPPDAHADSAVQDLEVEKEIATPAIKAATVADSSINGQGFKG PKNEDPSTVTKRARKRTSGQTPEEAALNNDAWSSKVTRHSGSSDEQLGTELGKRKASD QDKSSTAKRPRHAGEAPKKTNLDRKWGAPFVYTDEKSPLTNADLRAILLLPRAWEVLT PDERKDILAKFPDDSHILDPGTEIARPDLVSLRNNDHFRYDCARYLENIERGRHDEQW LQEAWVAHEKHKRGDYDDFLIKEFENDWATKIPEELLQKTSRKNETLETDATVAVSEN KAITKEQHKALPGRTKSASVIQEVPPADTASVVMAETNTSHIADYHPNGHEKSDTNGA RYTELRFEVPHEVD NEUTE1DRAFT_119733 MAPSAQTHHRSHSLLLFQKLLNLRDSASPLTLILDTLEQGARPL LQEFINRGKLARSKILFVSFTTARKPRNVDIFIKARGRSVTALITEIASHCAPVNSQT PKDTATNSQKCLIVVDSLNPLASKQPHVLPLFFGSIINPFASLVAVYHNDVPLVLPRT VNEYEPHPLTLLSHMATAVFKVSSLYQAVETKRAQMRSLREPEWGLHEGREGVLVGLR TGHQPEGLVVEMEMRRRSGRAVAERFILSPSRGGAGASLSLLSDHPLFAPAKDAEGGA EGEMADSTFNLGLTEKQRNDREGIVLPYFDAQTEVGGGEGGRILYDMGREDDFDEEED EI NEUTE1DRAFT_119734 MADRHARNPHRGGARDYSDRDRHGRDNRERDRDHDRSRGRGGGR GGRDDRDRDSRRYRSRSRDRHGRDHDRNRRRSRSPVRDRDGHDRRDDWARDGGRGGRR GGPKDSRRDRDDVVKDGMSKPDRDRKIRRSASPLREPEPHMKSPRSPIQAAAHDDHRL SIRSKSENVPAATTPAPAPVSFKVTGHDQRRPDSTHVEDQQQHQASRERTEEHEEEHI SRGRFDADPMDEDEEEDVIVEDDGLGDMASMMGFGGFGTTKNQKVKGNNVGAVRKEKK TEYRQYMNRVGGFNRPLSPSRWKQSFHLVTLIRIAILLSLFGQGKISSPVFIKMSASK AKDKASTADVSKKDKKDKKEKKASKTKEVTAASEPAEVIAETESASKKEKKSRKSRDD SSRQDAKRKREEDNDDMAKNNDEKAAEDEVKPSKKRKSEDKSKSNDKDEKKEEKKTKD KKDKKRKHGDHYSDEEEEQKDEPIVKVAKIVKGEGLGSNKAEKEKKSKKDAKKSKGEK ESSDSSEKKHKEKKEKKEKKDKKSKTDKEEEEEEPVVEKEEKTESKKESKKSKKEKKE KKEKKEKKKGDETKATEAKESTATLAATTTTIPNPAAVLAANWNVNALDGGASRQSKM MRLLGGKKLAADGAAAAAATKKTFDVNQVSQELEQQFKAGIHQKFETGGQRRGLGA NEUTE1DRAFT_75828 MAQTPQQRRANLKFQKDQEARRGKSEDQIKKRVEKAPKSPVSMF WLVVLGFVVFGGLVFEVLSRLFLN NEUTE1DRAFT_119735 MISRTAAGSAIRTLTRTTTQNTKPLPRTYLQAQAQLRQYSSNPP SATGVFYKTFTRPVAKCLLTALFVYQVVYWGWSKLEVDEIKEERQAEITKLEAQVRAL QVKKEKEAEAAAAAAATAIASNTGASPDSQKKKGWGWW NEUTE1DRAFT_57146 MHLGPLHSRLAASFIAVSLLVVFHITLFSLDCALAAELEDAPPI LLLDDVDSDLDVSQGSVSDLGSPLDQMYEPEFAAFDRSIIGRDQVRDTNTLINNEAFQ LNVRQGDTERFVFKLSQLSERELQVASLELRDEEHTWEDQDEDEDEAKEDEKEEDNDD LSGKGLDRDSVDLNLASAEQKLGKRQQGARRLFLSANTCLQPQAFNATKTTQPPPQLT LYVSTSTDNVEPGPGADSNSQVSMVFNEGAIMYNFTTTTDVYVGVHAPNVAEIFDKPY NIKIAISTDGYYYSYNVDDDADLIWVDSDSQGALLITHNLTDSNDEKEQQRIMNTPPY VMFAQDKSDPSINGVKYSFCGLEQNAQIATTKDGKYSNMVQTGMTKRGQGNFPKQQFF FSGLKPSTSYLGILAKTNVTDTGSPNVVGGGGHVFKATNFQTKSGKLLSRNPGSSQVG TKAQELIQADHGNCNIVLNLTFCDQVAYSVPSNPNFGNASVLAKFYDDYAAEAYGYFK KALAQVACEAPVTQRYSLTRNCSDCEAAYKDWLCSVTIPRCEDFSNNASYLHPRAMSQ PFPDGERLDNVTMSLYAENYGDGKVLGKAFLQSRSSRIDEFIKPGPYKEVLPCDYLCY RLVQSCPSSMGFGCPLPGQKGFNSSYYIKNETNGEVVCNYPGSAHIFSGSSKDAVSVG LTIVVLVLWRCWSFCELGRC NEUTE1DRAFT_115784 MSSPQDRAQQYIGQLDKELSKYPTLNNLEKTTGVPKAYAVIGLV ALYFFLIIFNLGGQLLTNLAGFVLPGYYSLNALFTASKQDDTQWLTYWVVFSLFTVIE SLISVVYWFPFYFTFKFVFLLWLSLPTFKGAETIFRSFLAPTLGRYFQNGSTASGLRA KADAVHTD NEUTE1DRAFT_119738 MTTPVNQKRSQASNSRAVIHGGTSWCSVPDVWSDWFGKGIPRNG QNIKEFSGSGMRRCSVVKFPAAASSGTVDIVIESSYSRMHGTVTRDTFRDPLTLTKAK KRPRSQGSTASIHSAATQPNLDHAFTDVPEVYQSQWIPNDHSRTRELGHPTAQMIQDN MVLASQLHTSREFAMDSQVNASMQNVQYHDSHSMSRQSLSADSFAGNTSFVEDSQMVD HDGNNDHGSYIGMTSQSKGGSRSSANNEVEMRQLYVANRHRNLQEVAEELHGNERGPH SERTRQVFAMLWISQVCSKGKGSVPRGRVYANYASRCATERITVLNPASFGKLVRVLF PGLKTRRLGVRGESKYHYVNFQLREDQPDVRDVSTQPQVSPAEEQSFSQTFNTAPDNT RATERPALPTPDLGQQPETRVRRPPEYVHSLYNQVQVANIDQLSTTATKTVQKLCFAA ETEESFQQSDPLVLPGIEPFLPKNTDPDAAKSLAALYRSHCTSLVECIRYCKEKTFFH LYTSFQGTLTMPVQKLFSNPAVAPWIEECDFVLYQRMMRIVSSLVLQVVPKTVLDTLR NIADKLVPHIRDSFQGQPPHVLKAKEAPATLFAGLLDRVLRVNLTAHAAANMLSNPAN RDLMYVEWINIINLRKVAESVPLRGMDDVVNLLLREMRDLLDPVNIPWEIEGLTLHGE MAMRNGRQPQVGAPEESTASNVLDRWVSFLRSLPSHFPYASHTDIVWCVQRLGTAVMR DITLGQGKSFGSWWVTKCFIDEMILFVAEYGGFLKQKSTHEYTLLVSQQQGASIEASN EASRYRSGSDDSSASRMSESQPDRARFPMPASTATQPSVHDDSGIGIRTPDEDSPIQK FGFQTTTANSQDIFAGTELQDEDHLADI NEUTE1DRAFT_57154 MAVEKESTLEVEGASLYTKSWEPEGPIKAKLIFIHGFSDHINRY YGFFPSLAACGIAVYGFDQRGWGRSVKKPADRGLTGPTSRVIADMVAFIEPHLSDAPG APPVFIMGHSMGGGQVLTLACDEQYQDRIVSRVRGWLLESPFIGFAPELKPGFIKVYG GRFASKIFPHRQMVNQIPPEDLSRDPEVQKSIAADELLHNTGTLEGLSGMLDRTDGLT RGTIRPVGGENTKIKAIWLGHGSSDKATDYNASKRYYDRVIASTVKDRMFKRYEGWFH QLHADGPCSEEFYKDVGDWILERSEDPDSGKFEAKI NEUTE1DRAFT_115789 MGGTLGSGPGSKGHGHGSKWRPPMGRSALQARRVRGFYEAQNET IERMLKSVEEHVAEARQEQGDDHLKFQIAVWGSLVANVILTVLQLYAAISSGSLSLFT TMADAIFDPLSNVTLILTNRAVKRVDPARFPSGKARLETVGNITFCFIMTAVSVVLIA FSAQDLAKHDKEAGTKDFHLPSVIAVCVAFATKFSLFLYTWSLKDKYSQVRILWQDHR NDLLVNGFGILTSVGGAKLVWWLDPAGAIFLSVIITAIWLRTAFTEFLLLVGVTASVE IQQLITYVCVTHSPLIKQIDTVRAYHSGPRLIAEVDVVMDPDASLMETHDVAEELQMK LESLPDVERAYVHVDYETTHKPEHAYKKDL NEUTE1DRAFT_143986 MAITKGEDVYASLLLNDAYLPGALVLAHSLRDSGTHKKLAILIT PENISNEVVEQLQTVYDYVIPVETIQNDRPANLFLMNRPDLHSAFTKINLWKQTQFRK IVYIDADVVAYRAPDELFDLPHAFSAAPDIGWPDLFNTGVMVLSPNMGDYYAMLAMAE RGISFDGADQGLLNMHFRNTYNRLSFTYNVTPSAHYQYIPAYKHFQSSINLLHFIGSE KPWVQGRTQTTGSSTYDEMIGRWWAVYDRHYRGNSNKTTDVVQKFVKGEQQQQQRSVS FQLPPSQSHKTQSHQTQSQYTHSTYTSHGASWDASRHSPPAGSKPEAANFPQTHYTMS SNTQQFIPPARYPSPPKDMWYKVPEAAPTQKPAPIFPWEKQAPEPTRVFPEPPPEQGK LSVASTATPSAAASATASPTLEPKSEAVTPTTPASANPWTSFTTRGNAWDDVPEINRY VDALQKHRRSGSKGSAAATSRPTSPGRAQSRSRKSSRVTDFPTEDDRPSLPVTPAPIQ GPRSSRGENERQFPAAAGVPAQSEWNPAVQLEKLAIHQQETLQKLGERPAFGGLAIGT AGKEIPARALPFGSEDARSPTYVTQYPSVLSPKPLRANTTGTNSTPSPLPTQPSVTTA PPSYQGPGVTFEKGEDFPTHETPALPTEEERDVLET NEUTE1DRAFT_107203 MVAIDQALDLSWRTFDQFPKRSGKVVEASHRCVSDRGCFSRQSK VLAGWCRPGSDEDAVSSMAIFTRDFHIVLRINTMSHVIREQRRPREIPFMLTCEHELG GSTSRLSFNAVLHLYATLSYFVELSHCDSSSASKKLLWANTVLEAGHSEVEGLRTIFD THTQLDTANFERAGRQ NEUTE1DRAFT_143988 MPKSSRAEKAQQSSSAATGGHVSLAEPHQDQNNNDAVAAHEAWR RKLHENARRAVERIGSLCPNSKPSRRIANKSAPSDPPLTPFRRSGRVPVPQKDTTDKV KTGRVMPRGRRGKPTKAKKPKKEASETFYSVRRIAGEKTENGRLFYLIDWANDPVTGK SFDPTWEPAEYVNEAAIAHWELIKDKAPQSDGNTEQENESQDEEDEEDKLPIVHRRQK RRLSLDREEEQQPRKRARTAGTQDGNTGANPRVKPVKIAVEIPCEPTVDPSDFVPVFV SSQGSSQPASQHQEPAAPQEISQRTIPDSQDVGDSLPSLQEAQKEPELLPDDEASDYH SAVEDPNSEDAAIPSSSFASAQAQSTQSGAEVPFRQIESHLAIEPQSIISFDNQQTAA EEASSQQDLEPHESSSPVGKFLTQIDFDWSLEEASSKSGVVVSTSQIVSQNFHNRSQG PANDKPDTTTPTHASSLTSNSAPLQFAQVLLSSSGISQQLRSQIEFTSSIENSTQSER AAYHSQTDSDTVPATVQKKVSGTKELSAPPYMMLRTLDIIQLTKQPHSDSTDRIDPKD LSHNSNTPAPATLPTATSSTPLTPIQNEDCETANFSMDDAFSPETPQLSATETLQRLR DQIYGKQSDEPSALLTSPAPHPDLDTTQPEEQEQQPTIISPANVFPAPHPDPTDPWEI QPSGNILHSIDPSPGLHPGSVISNGETISAVEQLRRSLGLPFTPHAPHTELDISPEPV ETQEHVASNELPATIAPSDLDASADHDALPPREHVDFDQNNDDMSQISRNMDSQEPEE ESELSEFLVTLPMAANSRPQYLETIDKNKASMQEFCQAFSESHPAMPNDFLTAKIDSI FQRLADLCDLPAYADTIPAMTPTEMMKHATGTNTKFSFIYEFLHLARDLNLRVLILCR PGRTFDYLEAVVSTPGFNYNVLGRDESTPSDEASDGLWVVLASPDQDLSAVRGPVDVA IRFDHETRSTRFPPGLVPVVLSLVVSYSLEHVDLQLQQMEHELSDLEKKNALTLAIAT PTARRLFVNPPDEGHEPHKAAELFACFLQNPDHDLSWEPQVLPADVFDDWLSSQNRME STQHVLPSRDATGRKRHLDEVDAGTPKRVRLSQTQTPRNGTPSQMTDLLRSTLEKYPG RPATQFMEVSVEQLEALAFKIFELESDLEREASDENRTRLLARNLGVELASYKRTVEV LQPKYTEALHDRSTFEKTCKKAVDENAAVQKRLEASKHDLDTAKAEMKVLETKIADAQ SQLADSSNPHLARLARAEQELEDTRSKLAALEKRMATATNEMEYSRSAYQNASNAHTE LNNENTALKRKIEELQRKADDNVVRVNEINSDQEKKFLGKQNDEYRAMIRDRERELEN ARAELRHLKSGRRETRQASVPRSPRTTTGIMSPRTTVNRRGAGNTTDTAASSRGNSPA PGNASDGNGNGGGNGGINFNPRWGHLRD NEUTE1DRAFT_115792 MASFFRTAVRGPSAGLFRAVARPQPVAARVSLFSTSSRFRSEHH EETFEEFTARYEKEFDAVQDVFELQRNLNNAFAYDLVPSPSVLAAALKAARRVNDFPT AVRVFEGIKAKVENKGQYEQYLAELKPLREELGITLKEDLYPEEAN NEUTE1DRAFT_75853 MATASPVSFLDSLPRPTLDRPFGIHLWPIFSKAFEAVVGYPAED FRFVPGQTPMSTLKETSIFIVIYYTIIFGGRELMRNREPFKLRTLFLIHNFYLTAISA ILLALFIEQLLPIVVRGGIFHAICHIDGGWTQPLVVLYYLNYLTKYLELLDTCFLFLK KKPLTFLHCYHHGATALLCYTQLIGSTSVSWVPITLNLTVHVVMYWYYFQSARGIRIW WKEWITRLQIIQFIIDLGFVYFASYTYFTSTYFSWMPNAGKCAGEEFAAFSGIGILSS YLVLFISFYFATYKKDGKRPTGRKSLRRMSQAPLPDPKDIVSAKASGARPSGAATARS RKA NEUTE1DRAFT_75855 MPVIVDLDAEAAPKPRILVVSLEYQECYDESVNRFLTPMTELAT VQRVKKAATLTRLLTNESSEPYSAIVLTDAALTLPENLPLWEHVLSYLRRTGATAVLT GQVACFVIPGDLDDFFRVSGLPEWKAGMYQRNIVVMNEQADGIRDATHFDIGDKNGLK AAYSVKSLCLSGVKSEHRWYVPDATQEDPNLNIAARLTRSPEGRDPERVRQQAAVAYG PVGEKGRIGWVGDINTEDPSVKVILALCGLDPAKAHVEPLKPRGLRFDAAQGRFVEIE D NEUTE1DRAFT_57172 MYGEFPEVVDAKRVEQQGEEETVPALPQKSALRASRLLDSLAQL KMSGTVETAELEKPSPLGDVYLSSEEEASSDTDSLSDYVYESSNEKEASSATTTSRRS SREDTARVVSVIFSGRPSIVDLTTLRRGSAAPSLGGGHMRKRSSTVSCNIPIERTVTP ASPMSAFALPERKSSLPIDMMPMRKPHFLNVDPFANGSSISLDVPRADTAEDVTSPAR SPRTPTMTLLKGVTRTFSLVRKRSRPALASPQPLSERTTPPPSRHSMKPPPSRSSMMP LSVTMPLPEEAPEQLVVPGSPATSDKSSRKNFRMSTPSGPSSSPQPPSLPEDASPVSP LSPTSVPRRGLLSGFAARRKSIKLTGRINIA NEUTE1DRAFT_107210 MLQVTDLKLSLGSRHSLPSYPNVTISTFSRPCSCISCYAHHVDI SQMTSYCVITVEHRIAKEFLLMAITANKILLISTDLLPQSAQKESGSCGSNGSGGPRS PKLFTKLPTTGTEGLKSQGRYLHLAMTIEWITPDDDNVPLLPTPRAPRYYTLPGRGIS ASGTPSRNPQSPKAATNACPGYAGGRVTPRSRPPGICDISNGIEAGSFWPVIFQGASL VTHMVP NEUTE1DRAFT_97760 MPPILTMSNIKARFLIISDTHASPSLPSSLPAMPVDVIIHCGNL TQESKLSEFASVLAFFKAINGPLKLVIPGSHDFTLDDAVYETKITETATNLGVKEKEE IYRIYGKMGQARQLLCSEQAKKDGIFFLDEGRHHFALGNGASLSVYASPYTRASSDDY QGQGKENWGFQYTKSQGHVFDIGYTADVVITHGPPLGVLDVTASDAKIRAGCPLLFAA VAMARPRLHCFGHVHAGWGAKLIRWRDRPA NEUTE1DRAFT_75857 MKFFRRNKDKRKASVGGSAIAAALPGFGYSPNRQVLGAGFDDDS RNPYPSYGHARNDSNGPWGSQYRHMVTRESATILANLPAPILERIFTFVCPHSRDTSY ETCEASSTEDACMLCDLRDLAHCVAVCKRWRREGVNLLIRIDQVHYCDREADLAEARK RKARFDRNGEPEDTAQARLKLLCRTLREDPVRLGRIVEFFKTPYMLRESCRADLARTI AVTPNLKYVDLPEGLFADDPAFVTLRLEVQARCLHLRKMIYMAGAEHSLQMLATGKVW PHLEVLELVRVEVDPAILRQVLGCLKSLRVLKINDTTCVTDETLAWNDMLPPFPPLEE LILTNVPNVTTEGLRGWLMDPNAQSALRELTLNSTGVFPWALQEFLPYAPKLKHLSVM DSVAQAVPSANGQYFAQTLNSTSLQTLHYEITPASSTPKYSGITSSYYSFLATSLLTG GLPNLRALYVRDPNFPDMLLGLPTPSPGFVGASMGRPSSSGSNRGFSPNSGPMNFSQP GSGFGPAAGGSFHSHQGSLSGFAPPTAPFVPGHRQFGSVSSVNSFSGFLQPAAGKQPP PNHRFSSNNPFANLVAPPANLPAKLEVFTKGDDETTWSFVKVGGGPATSPGANESPQS GYGRRGSGAGARRSVLIGGAGAGGGFLALPAEDPGRSTRGGSVDRGTGFGGGSGGDEE LWPRPKSSSGEKKKEKQDLWR NEUTE1DRAFT_57176 MAHDNREPREVKNHLLFEVATEVAHRVGGIYSVLKSKAPVTTAE YGDRYTLIGPLNHQSAAVEVEELEPSNPELKATIQAMRDRGIGILYGRWLIEGAPRVL LFDTKTAYGYMNEWKTDLWNVASIPSPDNDEETNEAIVFGYLVAWFLGEFVCHEKRKA VIAHFHEWLAGVALPLTKKRQIDVTTIFTTHATLLGRYLCAGSVDFYNNLQWFDVDAE AGKRGIYHRYCIERAAAHSCDVFTTVSHITAYESEHLLKRKPDGVLPNGLNVTKFSAM HEFQNLHQQNKEKIHDFVRGHFYGHYDFEPENTLYFFTAGRYEFRNKGVDMFIESLAR LNHRLKTAGSKTTVVAFIIMPAQTTSLTVEALKGQAVIKSLRDTVDVIERGIGRRIFE RSVKWHEGDPLPEEKELITSQDRVLLRRRLFAMKRHTLPPIVTHNMLNDHEDPILNQI RRVQLFNHPSDRVKIVFHPEFLSSANPVLPLDYDDFVRGTHLGVFASYYEPWGYTPAE CTVMGVPSITTNLSGFGCYMEELIENSSDYGIYIVDRRSKGVDDSVNQLTQYMFEFTQ KSRRQRINQRNRTERLSDLLDWKRMGMEYVKARQLALRRAYPTSFNGEEEEDFIPGVE QKISRPFSVPGSPRDRTGMMTPGDFASLQESHEGLSTEDYVAWKLPEEEDPEEYPFPL TLKQRTGPGSPLDSIQGLQLNGTR NEUTE1DRAFT_97763 MATFGGPSQLSSTCTVPLGTKESARPNIDAPSPSNTPSMASSLR TTELPFRCMTVPHLNAPTLAASMYLNMKLRRALSSHEPYPKVFRGDPEFHLHYSTLAT NTPMRPRSHSQAGPKTAIEKSQTRSEEIVPLARPERNKTSTSWAVGSPLPGIGSRATF IPIVSSKVP NEUTE1DRAFT_127442 MMKIPNYHRSTQVHHTPNLHNNLIPAQVINGMLSKGIQLPSRRT WSRDWLAVTAAQAHTVGLQTRASANIPPTWGHSSQPDLITASTPLSARRSSTSNLHDI LYHHSLTDNFLSGPTALFSTPSRSHDVTTIDARRVTIYGAFEPSGQHLGTASQTLQKA EPMPPPRRLRKHSPP NEUTE1DRAFT_119750 MPNGSRISKNQPRSLTDEHALGMNTSRPTTSPAAAVVNRSSDPD PVPDPAARHKPNPDRPSASDGPSKSGKPRGRSIEPPSSSSQPAPRHRHPSRHRSPDRS GRSSRFPHRPRGSPRSRRDRSREPRGRDGHFSPDRRHRSPVLARGRGDAAHERGQNVD HGKHHSQLPPHPARASQRGSSRSPGSSKRRRSRTPSPGGPDSKKSRRGRSPQRGEKDD VNSLQLPRDRRRSPVDRRPSRSPSHHERRRSRDRKRSGRSRSPDRSRRRKSRSPDRGV FDRASGRVRRRSPSPRHRGRSDRPDRPERRHQSKSRSRTPPHRENRHPASDAGSRRRP SLDPDSREPYDHPQGSPRDRRRSKSSKKGKVRDDQKFDPASGVNSIEVNMAARNGYRG GYGAPMPPGYTETHDGRNYSHSSGHATPNSSFHGSPPAQSPYGAGRGWNNPQFSPQSQ YGYPHGNYGPPTGPQAHYHSNQGHSPPYPPTGPAAQYPTGPFRGGHRAASGGFRGGSF GVARGGHRGGSKNAQWSPNAHNARGQYITPTTTITTTTENNPAHAQNNAAPSKYADSG QNTQEGTREDVNSSSRPDKDSQGDDTNNSGKEKEEQMQPPTRPAAASQSQPSNKFSFS MKNATKPVVAAPRLEISLKFNAVVPPREPSQKQPPKAPAAAPPTAPSAHRSNRDRHDL PKNVPTEPASARARHNDRRGPDPHRPVDSHRPVDSHRPVDSHRPAEQQKPRTRIVKKI VKKLKEKPALPPDLAKSKSVYHRKPGNESVIGSGTYGKVFKALNVYTKKQVALKRIRM EGERDGFPVTAVREIKLLRSLSHRNIVKLMEVMVEMNECFMVFEYLSHDLTGLINHPN YTLDPAQKKHLALQLFEGLDYLHSRGVLHRDIKAANILVSNEGVLKLADFGLARFYAK HHQLDYTNRVITIWYRSPELLLGETQYGPAVDIWSAACVMMEIFTKRAIFPGDGSEIN QLDKIHSVLGTPTINDWPNIIEMPWFELLRPTQRRANVFAEKYKELVTPAAFELLLWM FKYDPDKRPSAAQVLAHPYFTTEEPAPRQAVELKDIDGEWHEFESKALRKENERKERE ARRAVKDGAPAAAAAPSGGGGSSSTREKDRDPRKRPAESREPPSERDSKRPHLDPHAA NKSSTILSSSAPPPPPPPQQQQQQQREPERSSVPTRPREQHQQEQQQEQQQCRPSASS SSHLPPAHAQARARAPTNAPSGPAASQSHN NEUTE1DRAFT_57183 MATQQSPPFKVDRYVVIHVATTCDEHGVYVTKDSAEVIELGWIL LDANNLEEIHRESVLVKPVNTPITPLCTSLTTLTWEHVRNAGTFRDAINRFDAFASEH LTGPNLDFVFVTLEAWDLRVQLPREARDKAVVLPPYLQHSRTFDLRTEYQRWQQHHPE SLPFGPSSLANICAALEVEAVQSSAPIKHNLPFHLQALAPASPRRAMEEAVTLARVLR SLIRKSQPAHEHPDVLTRPMDARADVRAFLSERSKVLHMSGLPHDTTQSELESWFTQY GGRPIAFWTLRTPEQHKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVL DRASEILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAVSAGPTGEMGYGY GYGPPAMMPAPPHMGHHGHGGGHGRMGGSGVVPFRAGDWKCGNEVCGYHNFAKNVCCL RCGASRAGAAVVADSGYPSPLDAQTSYGMNQGSMGGNSAPGPFASASGFNSAGGYGQH FGGPPSTYALPSGLGGGAAPYPSLNTHFGPAPGSHSAGPFDSRAAEAAFQSASNGPAS AGPGNNFYSQTESDPFAFLSSGIGSLSVSNQDARQNGGAAPASKSPA NEUTE1DRAFT_36312 TLNVEYAQSDEHAGNLHLSLCWTVIGVDVFLIVDTARSSTQSKW RRLKSS NEUTE1DRAFT_115797 MGVASAIFLVIVTIFCPPIGVFAIAGCGADLLINICLTLLGYIP GHVHAFYLEYVYYDRRERLRQGSIVTDRAPGVYSDNVQNGGNPHGYGTIVQPNAS NEUTE1DRAFT_119753 MPGPLQPSHPTRASSSSFPAFSPSLPPLSRPAAPLPPRLSKTSP SSDSGLLIGGRSRKKRQRPGASPVAFPRFFLQSSQTAVSVIPSIAEGKPFPLHDTSAR QKLANTCPAASSSNHRYAKSTGAESRTYSKPVLVRTRTSIVPQYRRGTSSIADSSRVP RSESPDLEAGPRGGQTDFGTETSNQSEGSRSHINQDVNWRKAKNKMSTSDQPENGVSS LPLLWERRSTSSRPIVVKSRNGKPKKLPPMDAFSFKSFMADLQTQGGDNNIGADLDRI AEICARSRYSLSNQYEVHMAPHGSGASLVSPAGGLAPSSSDTALRRRENGSYHSSQSA RTGPTLQAIVPDEEEGGARRRRRGPGTGGRRLKSIAYGTLETIMSSSRSSEEDRSSKK PAAEIAGVVRGRIDRQHSNLGFSAADAGPSAATTINQYNEHTATDQGLDVDDGQQGSG EAATASAAAKLARKKSASFATAVMDNHSRSSAGQLQRYESTCALVSEPALPQTSDSHL GVRTAASPFAASDNAIVTRPSTLTRSVSDMPYQASRTKEYWAGSSSSSTRVSWNGWIP WKGGSGGAGSQRQQQQQQHQEQVMRSEAEEVTPTMSAGLAKPGRTVSHAEGSLRRILR SR NEUTE1DRAFT_75874 MSNLQVPGEQREGKVRAVSNPLPGLASLNFHNNRGDAQQDYEAA QASRANATLDEIEQRGIVPDVNLVERELLRPKSRIKKAGSDAHKIFSSRAAPSHNPTS SSDVNTLDLGLRVYPSNEELDAVVNTLWRDFANDGGLNGLSHQQIPLLYQHWHQRALA INESAKEWQALNVPSPVATKPSASTSTFDLFGSLMTDFELLLEVCKHLRPIDIINLYS ISKTFHDLVNANLQSTILLWTDHMAPTSARIFCHQLYYQYFIDDPSGRNPSTHRDIRY FNQPAPNHVLYQNQHGEGQAGPVNPLPPADPQHNTRKVPSLIWFQMVVDREIKVRDIL ATLSRHGHRTPHDTHKTLKKLWLLMDVASCRGRAVLIHSQTLFTDEDLYRARLFFIKL YMLFADPIFGPKSTALAKLFLGQKGFTPLWQFLRRKKYTTEEEIVEMKTRYDVRPTLL QVLDGHPINGVPPNEMGKMHVEGWGRGVRHLLRPDQMVNWEAYKRGLALHLRAERMML YGHVDFSTGRPLVPSLDEMYMSDDEFDLPANSSWRITKHRKAHGGCGNVPFERGMWQP KHARKARWKTLTEEEKEDILAAEEEEVKEEKKLRYVEGDLDVALADLEEALYDYAVEK EEGSHEEHGVDGLEFDSRVPMPDDILPGDEEADFDDTDWENCAKYMTGLDRLPDQLTR IRQGKAYGQLNRSLIMAKQVFDSKAKSNAEGQEQDEAWARAIVHQLPLYIGGESAYRR GVPVAPRNNNSAASNQAFNAHNGNHDWVQPVYPAQAELPDVRAEYLLKAAVALHKARL DTGDLDPATDAMPDDEVAELEDEQITVQIEKNVNYGWMLHMPRKQPDSEGVRDGSPDY DDHSGNGFTSEVSDSIDMDMEADIDCDDDDDDDDDDDDDDDDDDDDADDDADDYDDDD FKDLITSDFDDFITSPNFGLHESNNTGPNNTTINEPNLNQHTLNHNPNPHNPDVPYPN QMYHYNHDPDEEFDWRAWLDMQHQNDLLSEAASLDQDLLNGAHEAGPEELRRRVESTI ERTQGYKNLRERFLRGYYRQW NEUTE1DRAFT_75876 MARDEIVDDVDVNMEEDDAEAEQRLINEEYKIWKKNSPFLYDMM LSTALEWPTLTTQWFPDVKNPKDKSHTVHRLLLGTHTAEGKPNYLQIAEVEIPKMVEL NPRDYDEERGEIGGYGSKASSGEPLCIRFKITQKIDHPGEVNKARYQPQNPDIIATLA VDGRVLIFDRTKHSITPSGTPSPQLELIGHKEEGFGLNWNPHEEGCLVTGSEDKTVLL WDLKTYEGTSKQLKYSRKYTHHSHIVNDVQHHPLVKSWIGTVSDDLTLQIIDVRRPET DKAAIVARNGHSDAINALAFNPRVETIIATASADKTIGIWDMRNMNSKVHTLEGHQDA VTSLEWHPTESAILGSGSYDRRLLFWDISRVGDEQTQDDAEDGPPELLFMHGGHTNHL ADFSWNRNDPWLVCSAAEDNLLQIWKVANSIVSKEPADMSTPELDDPKPKQSSH NEUTE1DRAFT_127448 MGVPKFFRWLSERYPAISQLIAENRIPEFDCLYLDMNGIIHNCT HKDTSDVQFRMTEEEMFIAIFNYIEHLFGKIKPKKLFFMAIDGVAPRAKMNQQRARRF RTALDNEKARDKAIREGKELPKEEPFDSNCITPGTEFMAKLSQQLRYFIHKKVSEDRD WQQPEIVLSGHEVPGEGEHKIMEYIRNARAQLDYDANVRHCLYGLDADLIMLGLLSHD PHFCLLREEVTFGRGPAKHKAKGLEEQNFYLMHLCIVREYLELEFQDLKKPGAMIYKE ILPRGDGYINEGGKVNLQRLGLLLEELGKEEYRFFEHEHEDQSWLRAKKMLEDNEAET AKAKTKGKLIVTTNQKNLWKQKIRKFLTNRSTNTLDLGTELKAEDRKFVQDLADTAHI PWATKEDDEGHRHLILSFPERDDESEEDEEAQSAVLRIIKKYDNAQVLDLTAADSKAA MEFTYSDSVDFEYPSSLVGIFPPIPHCHCIENIFDLPPVEGLEYRVGLTDGALLNESA LAGFPSLATLPYTASLGFHGVNVFQSESRNQSMVVNILDTEKRTNVEEAKRKLGKRCF VGYPFLQEAKIVGVSDELFDYHLAEDGSGQVVSRNHSPRDIEQWGKTAHRIENFYSKR LGILIGQVESLVHVHMLKGLMKTDEGATIKEYGPIPGMETDYAAQIIVDEVVNEDERF IERAALPLEEEFPIKSVGFFLGEFNYGRPVEVEGYSNNKLVVWLAALKEREPDFARQI ILDAQRDNHYLPSYMVAKDLGLHSLALSKITSSYYITTLGGLRVNLGLNLKFEGKKQK VLGYSRKSETGWEFSMAARAMLVEYMTKFPDFFAAVKQHPSSAELTETDLWPEPAVAS ARVKEIGAWLKTLKTSQMERVPLDAEQLDSDVVMRLAAEADRLQLGQLAAANKKMPNV PRNALLRPCDAEHRLGNQHFSLGDRVVYVAEVGKVPIAYRGTVVGISRVPTAKLLDVV FDVTFMSGTTLGERCPPFRGQTVPSHTCLNLTHRQIVVGSKAAMARQPTNPSVTTLTA HGGYGMQANGKQYRDAPQPPPLQGTWRGAIHGNGRGRGGRGGYQSPHQQNGPQQGENQ HSNLAYRQAPNGPSSHQQPQRQPYGPDPGFKGSPSPGSPQGTRGGRGGNFRGNFRGRG GKPGGADQSAPALANVSGTFSGAAQQQSSPAPGAYSAVPPPASLDSPRGGRGGRGRGG RGRGEGRGRGGAGRGGRGSGSQ NEUTE1DRAFT_144002 MYTSVVRLQNVFGFFTTVAFVVAAFIAASDFLTERAPIVRTLKT TSVQVVRGRPHYYSSKKEEYAVINFSLDADLSSLFTWNTKQVFVYVTAEWPAADHAKT NATNEAVIWDTIITSPSADHLANIGPVAMKKLRKSAGGKSIDPNRGKLSLKNQRHKYK ITHPSGKLAMTDNVTLRLHYNVQPWVGLLTWDQDVDYGYWKALKNGVSKRFKLPAIKE KKPASAGAR NEUTE1DRAFT_75886 MGASDSKLVFKKGIFRLSEERHIPADDTYWTSFWELPETSEDVF SLFAPADVRRTRDNALENLETLILAVTSRLFVLRHHPSFPDPEIAPDSHALNCIRVLT RILPFLYEKESLQAWEENFFWARRRKRTRTSLIANEVLFDESQEVQPRPPEEFEDAKP LAEELIDTLIDLLFFSDLTVPRQPHGSPKVSYSIWQSGVGCATSIPTTKEHESNRCEI LRLLLTLTSQSMYMSPSVLPLKGARALTHICTCPDKQVVLSYNPATWRVPYNTLVFKD PKQILVTYTLQFLLVVLLYPVPEEPGVLTPKNFYRHFAGRLHRPQDFQFIVDGMTRIL HQPLQANASYIPGAQNSVRFAPETIMLFWELTQCNKRFRSFMIDTERAHDFVIFVLFY ALEYKGDASKQGVVRMCAFLLQTLSAEKNFGINLNKMFEAQETLPPAIRITGFRGTYA DFLIQSIYNLITKSQGKLTVIYPALLAVINNISAYLEGLSGSTCTRLIQLYNSMSSPS FLMANDTNHNLLRSLLESINAIVEHQYKKNPHFIYAIIRNRKRFEALRSFTLESGQEE IERRNRKKKEAAASNDPLETNSTRSSLESLRSPTTSITQVPTLHDVPEDGAFAVGDDD DSDNEQRPTPSPSSHSENPSRASSVEPHADGSGVPRQLRGMSEKARGKMPAGPRFSRQ NSTTSLGGYSISGQSQTGAFEPTAEWIDSWLPELPLHTILTLINQLTALLPRQSLATD SPTPAVLQRIQEIQLVGVDASPVRVHMFEWSPMALGWYESLLWGFVFASEMQVARGTV GIWNTTNIKLFHVQQTAAQGPSLTSPRGAVDAVGSNIVSRIGAINLRGAAGPAVPPGG AQAPRRIG NEUTE1DRAFT_35196 MADPSSDVQVAPDEEVKAYKIHIPTKHLDLTRQKLELTRLPHEG SSSGNRSKDWWEPKPIVEPLIDFWLERYSWREAESTLNSTLPQFRTTITLLNLGNAAL RVHFIHARSPDPEAVPLLLIPPFPFTNLSLGHLIKPLTDPDAASEDGTHPRQQAFHLV IPSLPGLGLSDALPANIPPIPASATILETLMLRLGYAQYLVTGSGPGHLSPAAIDFRV VNRLATHHTKSCLGAHLIAPPLKAPTRNEQGTGAWVKWKVAKMLKKEKWGYKGEDWGA WAAGNNGNGGKTTNKEKRKLGLNALGGDGGLTEDPNTLAYALCDSPTGMLVFVLRGLR AMGLDETALSSPFFTHEKIITLTNMLWLPGPETAMRYWAHCAAYPEDDGEKSSASKLS GKKPKVAITVFTGTGSNSSRSPAAGQDSTETTTAKAAAGASSDALPTLNKQVPLSRAQ AAAHSAEQHTYVCPAWGNSVYDVVFQERVSGHAEGLLAFTRPEIIVAGVKGLAKEVLA RDSRLKAAKSQNSHQQQPSKEEQQLHPQQTAAVGGSSLTSFPSPPSTEHGPAGPLAPL DKVVVVPASPVDENLISSSGNPSSGNNNNGLKKPPSVVVAIAPGTSTILEEAEDSDEE KNRGRSTKPEKGKAVAAAIGGRESGNAGKYAEPSAEFLGWTRGCVGGDKGVKHKGGEE GKVERF NEUTE1DRAFT_127452 MATTVTRTVLTPVKQTAPAATVQAGPGNWRHPLLTELEKRRRRT VFGEENVRQIAYNSLALFLIEGLRAVLHPYFRVDFLTLSWKSYGSWIYAALLLVPLVN IVVALLPLFRPADDFADIPLTPSQRKLLGLPPIAKATPGATAISTPPKYSRTPSMAGT PASMKTGGSALSMAKNGSSEITLSEPYSPSPVSPLFQKVMQGNKQITVNAFSPLGAST MSNPFGSSISSNAFGSSTGSSVFGEGPATPTPASGKRSNVSSVTLTNKWLFEKRRRPD C NEUTE1DRAFT_93687 MPAIQRSVVVRDTLNLIAKRSNWAGREAGVIVVFCVVFIVLVGL VALFIHKKCAARRERKAQF NEUTE1DRAFT_127453 MFIGICGSICAGKSTIAQYLVEHHGFTRVSLEHTTKTIDDIVSG ETPNDHVNGLIHKLLPAYITSHTQSSTSARSKGHVFPSADDLLDFITKHWRERWVTTD IPTEGILDMYTRRPFFLLISVDAPLTVRWRRFRERGEKQQRHRNNMKSYSSSAGGAAL AAGDEEQQEEEEPLIFESFAHLNDTQLFLPQTGRLPLMSRATVRLLNTSPSLAHLYAT LGNLDLLNPDRLRPSWDSYFMALASLAAQRSNCMKRRVGCVVVRDKRVISTGYNGTPR GLINCGEGGCGRCNEGQGSGQGLSTCLCMHAEENALLEAGRERVREGAVLYCDTCPCL TCSIKIAQVGISEVVYSQGYSMDGETAAVFRQAGVKLRQFIPPANGLIYLEKTDFFPQ T NEUTE1DRAFT_75898 MDLKFATFSSEIELPFYSALFSSKLDHDKLDSSARPVLGLYEPR SHASPEASTRMQILGSALTSDQDESGPLGMTRAEGYIKNVNTIEEFKNTDKNAMIKKA GEQIWDAIQDGTIYSCPSLLASFRILSYADLKKYRFTYWFAFPALHSEPQWKRTGPIG RLTSDESTALVERIGTWRYMVDRREHGFFLAKKVRREAAGPRSSLDDPGVDIGYRWDI GSLRDFETGFFNDAAEEDRYVAFVDPSNYPEYPSWPLRNLLILIRQRYRLNKVQILCY RDTQPRRHEARSTILPLAMDQVGDVELKCMPKVTGWERNGNGDLRPRVANLAEYMDPT RLADQAVDLNLKLMKWRLAPNLDLDTIKNTKCLLLGAGTLGSYVSRNLLGWGVRKITF IDYGSVSFSNPVRQPLFKFEDCHNGGKPKAVQAAEALKEIYPGVDVEGYALSVPMLDH AIHNETKTKADFDKLKELIDSHDAIFLLMDTRESRWLPTLMGKAANKIVMNAALGFDT YVVMRHGAAPNDGSEETLGCYFCNDVVVAADSMKDQTLDQQCTVTRPGVAAIASALLV ELLTSILQHPLKQHAPAPVSTGTGSAVSYERDPPDHPLGLVPHQVRGFLSNFQNMVIR GKSYPQCSACSKPILDAYKEGGWEFVKTALASRDYVAELSGLAEVQRLAEKAAAEMQW SEDEEGMDEEEGEGELI NEUTE1DRAFT_97778 MKTRQHMTAEQSQDHPQQHQHDIPSSHAIRSYTSALINASTDME TGTETVHGTSECVCNAK NEUTE1DRAFT_93689 MSAHLCKQIYSAWCQDHTGSPKPHATSPLPSPTNSSVYSGYFQR SPSPEQHKRPMDSDRSSTSSWRWNNKDNSR NEUTE1DRAFT_75902 MGVFLYQTNKHASAGLYRSSAFFKPKCLTTSGKRPDIIRSAGGQ RQVFKQRNLSTWVFSSPNEVTKGEGKNVPHVACFIVLPPAVLDVSQGRLQVAGHSRTM IIRIQRHRALGQPLVFVSITLVILATASFLLRSQQYKSIQLSEATDFTKPPPLGGATT TQVHVIHSDTEVDSHQEVPTQEEFEEESRKLLDDPEEGAIYGNTLETLIDKNKRTAQQ NKFLTRSRSKVPYSIDEPYIFNPYPQYNSRTWKSSHSAYTPCLGPTGKEVEDIHVFKG HPSRFPEPGFGSYDLLGIDKNLCFERRTRLGPYGVAPVEDKDGLPLDWETADWGELQL QCLHTNKGRFATEYPTNTFSDGDSGFHVATERKHKADGPADSIMKNMKQWWTPKKQYG SLTNMTGRTDKSATELRSAVLLRSYTGKKYTENDKQVIRSMVTELSLRTGGQYQVFLF VHVKENRDIWSDDEAYEDILDTAVPVEFRGMTVLWDEASMKLVYPKLSKEAIQVHNAQ FLSVQVFMQKFREKQPRKGLWERNERFYIPSYHGDYDTVFRKSVEQAAGQDSIWGAPN LPVVNPIGPKPPLPAPELDRYEWGVGEEADLITLSPMFNPIDSNWVLRDQVWGYQSDA FPGKDLPRRATIITQVRLSRKLVDAMHAGNLRGSHVGSEMVAPTTALVHGLKAVYAPM PVFFDRPWNGTQLARWFNGGPRGQSGGLGSAMGWGREGRFQGATWYFRAVPPPRLYNN WMGYEDTGVGGTEWERSHGRPCLPAMFLHPLKDVRPTPKGYTSESRLPY NEUTE1DRAFT_97781 MADLQPTTPIERPGTSARNLSTGPGNPMTPMRGNGSEGSGPPQR GPHPPGPPPPGLVQQDLKPDDAFAMLNRAETFPRSSHTPEPPHRTPSAPGFRPERPRR PSEAARDAARGPVSGPMPGPRPGAMPGPISMTNDHMRRPSRGPDTSRPPPPRTGLVRA RTTGPDGAPAVPAINLAEEFGVGNPYHTPSESVSSNESSQASFNGSTQDTTTLERRPS QASQASSRTSPPRSVASSGRKGSDTPTFDSSVTDLQSIVDEDGGKLTRTPSTQSVPYD GGIPQMLRKLRPPPLSKRPPPPEGGYDPRIDPRLQRNKSPLASPALDLSGLDDPMIRQ FDRGVSPQPGPSASPLLASATIERKDRSSTATANSQQERPASQSSQRPAERSSRQSLS ALEIQQQEPPQPDSPLSPAEEQQMPQIKAQAKERQPSQPPQPAESPAAKVAEEQQEPK TQTSPRAPGPDEWQRRSSDGTARPDQEREPAPSQPRQAPPATSSRGDCKGCNLPITGK SISSADGRLTGRYHKACFVCSTCRQPFSSATFYVHQDRPYCERHYHELNGSLCGSCDN GIEGQYLEDERRRKHHVGCFRCGDCKLVLKDGYFEVNGKAYCEKDAWRRMQWLASNGG GGPGRKPPPPGPRFGHPGAGGPPRTGPMGPMGPGMGRPGMGPGAHDLPPPGMPRMEKR MTRLGMI NEUTE1DRAFT_115803 MLHPKHFLIPFTIYARRITPNPRGLSNPAPEAGKAQGDRKLYVK LDARWSFRRSSNRGWSVRGR NEUTE1DRAFT_115804 MLDYSNPDRDSQRTTLKGATVATLLLHNTRLFIIRGHLVLIGLY LPLIVVTSRQRQEALSPIVSD NEUTE1DRAFT_75905 MTTNGINGTNGTNGAHANGDGHINTTPKTFVVNSPNVVYTDEEI RSKYTYRTTLCTVEGDNYVAVPKETVYDFKVDRKVPKTGLMLVGWGGNNGTTVTAGIL ANKHKYSWATKEGTQEANYYGSVIMGSTIKLGIDAKGKDVNVPFHNVLPMVHPNDLVI GGWDISGMPLDKAMDRAKVLQPTMKELVREEMSKMVPLPSIYYPDFIAANQENRADNV LPGSKASMEHVEQIRKDIREFKAANGLDKIIVLWTANTERYADLIDGVNDTADNLLKS IEAGHEEVSPSTIFAVACILESTPFINGSPQNTFVPGAIELAARHGAFIGGDDFKSGQ TKMKSALTDFLINAGIKLTSIASYNHLGNNDGYNLSSQKQFRSKEISKSNVVDDMVAA NSVLYKEGEHPDHTVVIKYMPAVGDDKRALDEYYAEIFCGGHQTISLFNVCEDSLLAS PLIIDLAVITEIMTRIQWKAAEAGAAAEKDFKHFHSVLSVLSYMLKAPLTPPGTPVVN ALAKQRAALTNIFRACVGLEPESDMTLEHKLF NEUTE1DRAFT_37447 MVIPPIQSFYQKASPASSAFSQTLLGTPISNHADMSRVPLTETW KPQGSYARVSISELQPGRGKVRFMGRIVNICPAKPDHQPRALSSLPSGFHFMVVKDDT GVVAIKLLGTQSGLINLRLGNLVTVWTGFVAEYSTAATIQVPFVSMIIPVHPGPASQS CIKFHQDGMDPEETGLCRIPLEYNTSSAFSQMPGLISLRAFMKGTHTKGKHEDDILTN ILVCVSSIGPRKTIKANNKPSTLELVEVHVCDETGHCVLKLWSDQIISARAWTAGQTI LLITNPKLYPAKKVNENPGLGIALNSIVDVDPDFPDAHWLRRLAEAQRKRERAYTPFP VGVWDVEQAINGPNRVLYTLADVDERVREDASVVFTGKLNVLILGVSICESQRQNRLC CFEWPYSQKHCRCASYPWYSFCRSLLEHGGSFPQVSWATELLVIFVAFIDG NEUTE1DRAFT_97785 MPVKSRWAADIPRCSLQQWIFDSPCGPLPDRRAYIDPEQPDTNY LDLSGYRLLAKRVALGLQQAGLKEGDRVLLFSGNNIFFPSIFLGILMAGGIFTGANPS FVTRELAYQLRDSEAVFLVAAQGSLKTAFQAAKEAGLPRDHIFVLGGDTPAAQEVALS SNPGPGLKGRVAGAKHWTELLQGNAKEAESWSWKEPKDPQTTTCCLNYSSGTTGVPKG VEISHYCYVANGVQVIHLNNLNPDWEERQKRARALCFLPLYHAYGQTYFVANMPRAGI PIYIMPSFDFVKMLEYVQRYRITSLTCVPPIVVALAKSPLTKKYDLSSVEGLGSGAAP LAKEVSDEAEKLFNGKFRLRQGWGMTETTCTCMSWDPLNKEPSSGVGEMMPNCSGKLM SLDGKVEITKAGERGEFWVAGPNLMRGYWRKPEATAETVVVDADGTRWLKTGDIAYFD AYKPGGIIHIVDRLKELIKVKGNQVAPAELEGLLLEHPEVADAAVIGVTINGEEVPRA YIVRQNPKSKITGQEIANWMAGKVTRYKQLKGGVVFTDNIPKNPVSSDYIFFLMLHVP RR NEUTE1DRAFT_119769 MAPPMIDPALFDQLKDNIEKDSSIRKDLEQIIEELNQNVSYTQG VLTKIHSTPRSKYPALLSQVESGIKKELETTTRLAQFASQYPYYKYNYKWGRTLQDAI ATVLLHAWLGGMGSDSKPGEVGRLLTLEEVGHVFEVPVNLKDRDAFHITIEEYLLALI SVVEDLSRLAMNSVTLGDTELAVQISGFIKDLHGGFQMLNLKNDILRKRVDSVKYAVK KVEDVVYDLSLRNLIPAKDAQ NEUTE1DRAFT_75912 MPLVDPVTMSSSAIVKGAVAQSTSTTKSTPGSQATESSTTTAGS SSSLATLRPVQIKQTPAAQTIRYALPAALTALYLFRFDALVTNPVPVMLNALPVVAAF QMTYALLCLPAAGEPASKSNRKPRPGERKKGGDIGSSTIITALLASVLTSIVTPFLYF AMVLFGAPFLTHGSHTFLCAAHLALLTLFPLFYVHGVDSAAWAAVGGFRAPLDETFGG LVGGLVGAWLGAVPIPLDWDREWQRWPVTILCGAYGGYLLGRVLGGTLFWGKRF NEUTE1DRAFT_144014 MGANLKEHQQQAPGPATAPVAVQKAAANYKGFVAGVFSGIAKLS VGHPFDTIKVRLQTTDATRFSGPLQCVTQTIRNEGILGLYKGASPPLVGWMFMDSVML GSLTVYRRLLRDNLFNPPWHPLHDPAKPLPSHGHGLAGILAGMTVSFIAAPVEHVKAR LQIQYAARKEERMYKGPIDCLKKVYTHHGIRGVYHGLGATILFRSFFFFWWGTYDVFS RWMKQYTQMSTPAINFWAGGLSAQVFWLTSYPSDVVKQRFMTDNLGGGLGDGVRRFPR WRDAAVAVYKENGAKGYWRGFLPCFLRAFPANAMALLVFEGVMRSLPG NEUTE1DRAFT_115808 MAPITKKSAKGKGPKVTKKFVINASQPASDKIFDVSAFEKFLTE KIKVEGRVGNLGETIKISQVGDGKIEIVAHNELSGRYLKYLTKKFLKKMQLRDWLRVV STSRGVYELKFFNVVNDEAEEDEE NEUTE1DRAFT_107235 MNQGKHADIPAKEPQGLVALTYKYYKTPETCKLFFDKGEDKGLF GVTHIGANHRERNEAKTGERRATTGQTLLLQDSCIANLKVVERALWVNCIYNFDTAAQ PQAHVVQASTATAQESTGSVSGRPTGMGPKVDDVTKSSEPNRYNPAGACRPALSSSGQ ARAKHLPRSQASDITRMTRKKCGALTMK NEUTE1DRAFT_57240 MPRDPLIGLVGKPSAGKSSTLNSLTDASSKVGGYFTTIDPQRAI GYLQIDCACARYNVSERCKPNYGSCVNGKRSVPIELLDVAGLVPGAHEGKGLGNKFLD DLRHADALIHVVDASGTTDAEGKVTRGYDPSVDIAWLRSEIVAWIKGNLWEKWGSIKR RHIAVKATAVETLQAQFSGYGSTAAVVARTLDKLGLKEPLEEWSEETVDRVVNAFTDE KFPTVIALNKIDHPDADKNIAKIAKMQDPNSIVLCSAISEIFLRKMAKQGYIKYTEGS EFVDTREDLIADGDPDGGGLKELDEKNRNRIENLKDMVLYRFGSTGVNQVLSKAAEIL GLVPVFPVRNTTTFTSGANESANKAVFRDCVLVKKNSTVADVARKIMGDAPIAYVEGA GGIRVAEDQIVTVGKNDILSFRVGRA NEUTE1DRAFT_75928 MAQPGLPIEALPAWALLNGIAFPHVKVANIEGKGFGVVRDGELK PEVPLMTVPNSLVLNVQTVDEYAKEDKNFKQLLGAVGHHLVLASKTHQAPVGVSNPWT EYIKFLPKTVLVPTLWTEDERLLLRGTSLESAVNAKMTAITAEFDAVREAASSLPIWN DILWPYEDGNSSASLRRWILLDALYRSRVLELPKSGESMVPCIDMINHSTRASAYYDE NAKDEVVLLPRPDSSISPGEEVTISYGDAKPAAEMLFSYGFIDPEATVESLVLPLEPF EDDPLAKAKLFAFKDLPKVLVARDKGSSAVSWNSPFAYLMCVNEEDGLDFRVLQDSVG GRQLRVFWREEDVTDRIGDFETLIQNYEISTLIKLRVVTVVQERLQQQLERAQSFADL ASLPIGDVDLMRDECRQAASLLRRIETDLLEVVIDDLEKERRMLLADENVVAYLGSME TAESDLVGEEASNEPDDFS NEUTE1DRAFT_107238 MGSRPKPQLKEEAVRRGPRLVPESPNHEGYRPVVSQQRPYDGAA FNFAQGFGRKQASHDAIKNARQPSHVAVATNKKKQMAFLDGSPVAPFIPGGAEMPRIS LENPTTTIFVSQVEILLWPGDGNQMESISKPKSLTLGLSVDLRRLSRNTASRQQLKRQ DAVTDDSVLTFPSALVVTLEVPTLEDLRSVLATSVLIGYVSTKSVEVTVLTDHQKGSG TVPSTSTYPTDDQGLLPARFSPQRGINPPRALAASLPRSATLLAGPPASPVPLVPSLL AHSNLNSPMYPRMLLPTEFILKSRRPACRALQIPPLSLDRLDF NEUTE1DRAFT_127465 MPGILPMKVIKVGNSSQSRIAQACDRCRSKKIRCDGIRPCCSQC ANVGFECKTSDKLSRRAFPRGYTESLEERVRALEAEIRELKDLLDEKDEKLDMLSKMH SNRSRSAEPPRSTPAAEIKRDSGTPAKEDTFRVQASPLLLGVENSDSYFMGASSGRSF IETFKRKIQENGKSCTDFNPEAFLHIQGCYPLSTKLAPQSMRIPPRLFSDRCVNVYFQ EWAPLFPVLHKPAFLRVYEEFVADPEKIKNNHKLTQLYLVFSIAGLSSEQPDFQQLAA CETQWHKSLEAVLMDNTMLTLQCLILALMYCTVRADYKRLQYYKGIAVGLSHRLGLHQ SQKRFSFGALTIETRKKVFWTLYTLDCFSAAILGLPKLLKDEDVHAEFPSDTDDEYVT EKGFQPSLPGEPTRISSALALFRGSRILGKVLEKIYPAATSYELSLQQMSSLEGELTE WFENLPQHLKLNFKQDKPSTDVTGSRSPLLALAYYYTRILIYRPAIASSLGPKAAPAL MSVADSSKSIVQIVQLLEERSMSFSFCLNKADILIVCGMALLYQTLGLKHDSKVLKDN EKLVNGVVKIVTKVNAPGSYDFKRIAGMLVTVEESLPQSLPTPPRQSPEACMPTPPAQ QGSPSPPAVDGGAQPTLARHSSASLSETDLIMQRDKLLGMAVTPQHQQYQHQQLQQQH KNELNRARSQTSFDSLRQKAQQMRPHHRHSLSHAQVAQAALMGRTSTGTQSTPNLDYL SLSSPQSPVSPVQMRSQPHQPQQQQQQQQQQPPPPQHQQQHQRSSIASSHSQQGQMFP QKTSTGMSTAEWEALVRSLDGGPVSLYTAIYGGPALAPLETPASATPSSATAWGQDPW DMSSFNLGDFVPGAPTAQSVLSLSEESISSCDDVPSGDMGLNNGNMDYRNTLLPVTSA GTNNFLLDGLHGYGL NEUTE1DRAFT_107240 MAPLFLISTTPPVSQMRKAGSLKHDKSQEALFLARRRRARRILS TRLVLPPFSVVDVLDKHGSRCRDRPYFVRLYEMDYGGVASRRYQSFSNTVHSLDIEKE EPSIAVGTPILSPR NEUTE1DRAFT_97794 MSLEKASLSKVLMMRAEKEPHRGEQELLEPPGDTYADSTAVARP QRCLPAGLKVWNRYACLRTYRTLGHGKGRPGFKCPTTEDLQGRLQTSYTLTAHRAKDT NNCPEGVHADNNGQHNNITFTISYSFTNQEYFYHLSGPSSMNCPGRV NEUTE1DRAFT_75933 MGAFQGAVDVGAHAIETDLHLTKDGVVVLVHDPSLKRCFGIDKK VSECDWGYLSTLRTIREPQQSIPRLQDLLEYLGQPGLESVWVLLDIKIDDDPDVLLST TVKTIESVPTTRAWKERVVLGCWNENYINVAKSYFPSYRFAYIGFSLPYASRFLSEAH SEVDFNLMQHMLVGPIGARFISKIRKAQRKLYIWTVNEERWMEWGIRKGVDGVITDDP KLFLEGRRIEECQDACECVHAADLGGYVYLGLLAKVGNEGKEETG NEUTE1DRAFT_75934 MADYIGGDVEADHLCVLVHGLWGNPNHMASVAKALRSQYPRDKL NILVAKRNAGSFTYDGIELGGERVCNEIEEELQAVESEGGKIKKISIAGYSLGGLVAR YAIGLLYARGVLDNLECMTFTAFASPFLGVRTPLRGWPNHVWNVLGARTLCMSGRQLF GIDQFRDTGKPLLAVLADPKSIFMCGLAKFKRRILYTNIVNDRSAVYYTTGIAKTDPY TDLDKVKVNYVKGYEPVILDPTNPVSPAPPKPKEPLVANLKKWINRVPFILAVAVFVP LGLVAFFINSAVQTVRSSKRVMLHEKGLAGIDVESYRVDLWIKEMREAVEHAYEDLNS SQNQEYLGLSDGDSGEETDDSSNQEILALERKQSHPHQPTLALAPYQFAAINALDALG WRKYPVWIHNDRHSHAAIIVRMEKPTFEEGKVVLSHWVNEEFLI NEUTE1DRAFT_144022 MATPATPRRSTRLSTLARFAYEANNANANASSSSAPVDLRSSPL RATKLEEDHEEDETGVTFGSDIEDAVKSSGLPSPKVKRRNPIGTRKRKRITTTTTTTV LKKEESLPAPTRIKTERRSTRIINQTAEAEVKSDSEIDINDIPVAGTSTTSSRKRTAR KPARKTTDAVTGELKVEPPSDWEEVYNLVKEMRISGPAANAAVDSMGCERLASNNASA RDRRFHTLVALMLSSQTKDTVNAEAMLRLKKELPPHAEGAEPGLNLENMLAVEPAVLN ELIGKVGFHNNKTKYLKQAAEILRDRYNSDIPDTIEGLMSLPGVGPKMAHLCMSADNG WNRVEGIGVDVHVHRITNLWGWQNPPTKTPEETRLALQSWLPRDKWKEINWLLVGFGQ SICLPVGRKCGDCELGLRGLCKAAERKKVIEGRKRRAVVEEEKAEVETEVVRFKREPK DEGDNEEDERDMVVKREKREARMEIKEEEEARVRDVVVNEPVLPVTQEAVADDAVKME SIEDVEMEDADEVQSTIEHSPPIIKQEGMRVKRERLADAEEDSEEADQTSVVKEEVLR VKQEQSVHDMGAGEEEENRLPHIVKREHVRIKQESNIHGEQVESAHRRRIMKREPGRD LATVKQEPGFDEEKADDLQPQAVKPEHPRVKQESCIPKQTEENHRSSTVKRESFNGAT IVKPEPNLNNEDAEGHQARARLAKREPLLERTMVKQEPNLGDPEGREGQNGPTIVKRE VGQLKQEAEDEQGEDEEVDEPVVKSETVERQQEPVRVKREAADEGEEEEDGYVKTEHG GRVKVEDGMARIKLER NEUTE1DRAFT_115811 MASTVGKTITCKAAIAWGAGQELSYEDVEVAPPKAHEVRIQIKH TGVCHTDAYTLSGKDPEGAFPVILGHEGAGIVESVGEGVTNVKPGDHVIALYTPECKE CKFCKSGKTNLCGKIRATQGRGVMPDGTSRFRARGQDILHFMGTSTFSQYTVVADISV VAVQPEAPMDRTCLLGCGITTGYGAATITANVEKGSTVAIFGAGCVGLSVIQGAVANG ASKIIAVDVNPSKEEWSRKFGATDFVNPSTLPEGQSVVDKLIELTDGGCDYTFDCTGN VKVMRAALEACHKGWGQSIIIGVAAAGQEISTRPFMLVTGRVWRGSAFGGVKGRSQLP GLVEDYLNGKIKVDELITHRKKLAEINEAFEVMHQGDCIRAVVDMS NEUTE1DRAFT_93699 MPAPRRLKCSLTECRLPAAPITGDCTFCDGHYCSKHRLLESHKC KNLEDCKKEAFEANALQLEKERTRVIRGV NEUTE1DRAFT_127471 MKFFSALALSSLLPTAAWAWAGSESDSTGADSLLRRAETIQQTT DRYLFSITLPQFTVYRNARSPATLDWSSDSCSYSPDNPLGFPFSPACNRHDFGYRNYK AQSRFTDDNKLKIDGNFKTDLYYQCDTNGYGSTCHALANVYYAAVRAFGRTKGELQEE YDLLLAHYNELVAEAIAKGEDPLYY NEUTE1DRAFT_144027 MTFSGRETLLSSTNSFNPSGSPFASTPQKPLFAQQRAQKRSGAP STRGPLQPSRLGQAVMYNDDEDEREPNQQETKANRSGTFGLPFDDTYDLDDSSILMSG APGGDLEMRDDDSDLMMLNTPAATERVRKEAAGIFRASVAQSGPRIRDFRFAALAKDV YTHMGTASLIEPGELILNTERLVTHLYDEGVGEEEDEEKLDEALAHVAGELTDLWKRA ISDFPQPDEEHTVEIGPGPNTSPLEKATYLANLALHVHHERYEDNTGRTRPTPLPETL FKWLDEYHNVYSGQTEEILRYKPSPACHALFWQAIFVALLRGKVDDAAKLLRQAGWDK VRKDNGENKYINRALESVQLATAETVAMLENCPGYNEDWDIWNSDWTLWRVRTRGALD HLRRFAEGRDTMLGDSTFSDFSASVTSTRNRESMAGLARRAESQVPWEIYEKLNIIFD IVLGSKNAILDAAQDWCEATIGLTAWWDDRRADKFGNPLSMARSQALTLASQSATTDS YLDRLNNAFHVAVEEDFYLNPHNPVEVGIACIFEDNVKGLIGLLRGWSLPIASAVAEI ASLGGWLPQHQPGAFFMEGLDQDDLDVLGVDPTAPDEIDGIKDSTLIQYAQKLTDDED IGTIADHLGESRDGWELAIHVLGRMDSQERSEEMIGILVRHIVDSLHVDSNEVVDKTW SLLNELGMIPYAEEVVETYAEILARDSHRYGEAMWYFALAHKPHKVREVMNLLISYSL IQSTAFPPNEELDDWLHRLLNERNETLEQCAKQDLEAAELLGKMLSGYASLRQYFVIR DDEAVLPNATPYARKQQAATALVNVIASSDDNIRGGLLDPTRDGIVSEDFLLALLGEA LAFVTNPDNTNVHLGQQALPILNLDQIDIILKAVEDLQAVSDRVRNTCEEFLQIVLAS APGGLKGTTPADLLKKNASGSLVLAGSTMVATQLKNSLSGGLVGSGAKMNPVKRGWDW RADMPAKATGDDVMQRLRLGVAKDLAGLWLADADNALW NEUTE1DRAFT_119785 MAAPGSNKPEVGDILVVIHDFQARSSDELSLTKGDRVELIERDD EFGDGWFLGKHLANGNSGLFPEVYTRPAPKPHSSSPSLQKPVLAPLAEIVNEQTSNST YSYGNFNKIGKSADETLPLSSFKPASAPDGPAHSFLSSSLPIGGIGRPGADSHVLHET LNVIDEHITDLNSPQSNGALRNTGDSGSEYSSHIGNRVSYIQGEETDEEEEGNHSRSE VESWSADQVAEYLFTVGVEKHHCEVFRDQEITGEVILGMDQTSLFIKAFDLGSVGRRL KTWQKIKNLQDEVNGEGPGSRVLSQTYGSEVGSDAQGKMRSRTNTLTGSTPRYSSNTS QSLSVQTKRSSETPKMDPVQPVSPVSPLMESPNRMFHERRPSAADIRDLHHSRRHSST DFRLAGASPATPKVSGGTLHGTEVPHKKQPSFDRNWTLGSASNFNHPESSAGQQDNLA VQEPEIQDSAVDLDRGYLSGTDADSRQRNVLKKRESKVPALAESPKTSYTEEQRVRSA TTLSRQSRLGSIDSSREAVGILPAAQKYYGLVRRTASTATMESGRLSIERNHPTVTKL EGSPEQSRSSPRSALKRLSQVNHPDFNMAGMLKNGFGGLRAASDAITGTERAKVASPV DTPSKDSSIYSPTRTGSTTPSAGRSLDLDQETAKSLSTVATAASAAKQAANRKKTKKE TSAYTRGLQKIGPRESIKDADYSGWMKKRSANLMTTWKPRLFVLKGRRLAYYYSEDDQ EEKGLIDISFHRVLPADNERLTGFHATLMGASTSPAIPAGSHVQTLADADAERDPTEE GDQMFIFKLVPPRAGLSRAVNFTKPTVHYFAVPNIKQGRLWMAALMKATIDRDDTQAV TTTYQQKTISLTKARQMLHRPPALMNLVEASEDNTGDDKRGPAKEQNGLGISYNEVDS GVAGFEKLGLSHIDEGGLGFDEKNGSVPQSA NEUTE1DRAFT_144029 MAQQQQPQLKGYTSYKHFNVTSAGPAFVVHVEINRPAKLNAFSE AMWLELGQIFRQLSSDPDVRAVVLSGAGDRGFTAGLDIHAASSNNQLQSSGALDVGRK AAQLRRYITEFQDSISAIELCEKPVIVVLHAISLGLAIDIACAADIRLCTRDVRLAVK EVDIGLAADIGTLSRLPKAVGNFSWVKEVCMSAREFGATEAQQVGFVSQVLDSKVAAL DQAVKLAGLIAGKSPIAVQGTKELLNHARDHSVSESLRYTTVWNSAALQSRDMMDALS ASVQKKKPVFSKL NEUTE1DRAFT_127475 MSSESGFGGSPPGITDDGDDDNNHPWVWVMIPVGLVIVLGGLAL CLHIRRRKQLLGNHKTSRISTPARRPSAHQASISHSRDGQLQFGVHEVDAPSLALIER DLQESWVRGAPTQRRNNTGSRSGTGGGAWGGSRSTGMRRWDWAGIFRPEEGLNELGEA PPPYEKQPVAEEGGDVDLEAGGNSYHGYGNEASAGPAARAGIAAGSAVGEGTSTAAYV GVETRNMAPWNYHTTFSQQTISSPPSGSQASLQPPPPVHYYHQDGSGIHSQSHLSHLS QPGYSSVGTSLLSTSMTQSAATTAPTSPSMTRYPSPSPGHSTIYSPAPEMTDQDQVPP PAYEETSPLLMMGTGTNSPSQQPTTNDETSPEQHGNPATVFSSTSSPAAAPTFEAAAV TSASALGAASPSLPPDPSFGSSNNGNNNASVTRRSSSQSGVPPHY NEUTE1DRAFT_127476 MDSQPNKRRSIFGLATNLFRGSSAPADDSNSMTESPQQNGVTKA QSVPRIDFNSATHESPAKRASDAQLAFRKLIGRPQGPSSKLAQSFTSTDFTELSSAPQ KKITFHTASAAGATRRMPGDNPYKSPSFTSTAKGPVVNGNGAKAASFSGTGTSTAPSN IFRSGTPSLYQRSGGTAFAPRIVPGGLKSSLPQSTPGRTSRGTTAELLGAVPVNTELF KMRIPEPPHHLTGEVLAKEVPDDPNRAGSIYADEFLAHYCPPDLDEQQKKQFFCILDL RRLKYAADEIFTKKDWKINILNFAKEYEKSRSLIMLRYGLYEFKTVRASEEVKKEWRQ KHGIAESEEEASASSNLKTPAGSKRKAEEDLSPIDGALKASTAAGGNDGAAINNPFAT GGAATPTSSSFTFGQTSGTSAPFVFGQSSQNTPSITFGGAQDSTQQNGSSNMFAFGGQ QPSGGGSIFNLAPPVGGTSTGTDSPKTFGGASSLATTPAVGTPEPVAAESKDAQGTHA DGDEAPQEQISLVEGGPGEEDESVVYEVRAKAYKLVAPDDDDDEGSKEKKKPSVWKTQ GVGPLRLLKHKNTGAVRMLMRVEPRGNIALNKIILPNFTYKPEASAPKNIKITTATDD GKGLETWMLTTKTTQALADALEEHKKANEKKD NEUTE1DRAFT_115815 MSLKNDKFPSSAAFDAINSALTGSEADRKDAIKQGNAVFAFVIK NAAGETDEWHIDLKNKGEVGKGLGEKPTVTLSLSDADFASLVAGKANAQRLFMSGKLK IKGDVMKATKLDPILKNAQTKAKL NEUTE1DRAFT_115816 MSILQVYLVLTAIVPATTKLETGQRSRQDCDNYRMVSAVTNTKQ NQREYTTTQQPRNY NEUTE1DRAFT_107253 MRIDHQTNAQRRIGEQGGRFQGVIDEREVHTRSYMGLAIRVHIG ARQHIQPFVRTGHVNTSVVLTGRRKRSHATVGICRTVVQLQAETGRWACFPTGSSHPD GKSRTLVFGEQGSPDLLGY NEUTE1DRAFT_34042 MCYQVVELYAVCKCLYYQHAVDRCAAYGQPGHSPHKKEVLVGHS CGIHSRRSGHSYGASH NEUTE1DRAFT_28541 QYHHGGLYADARRRPTIGRAGPAWVREHWPPPGAPAWDRANSTG HLNRIIGYDHREIAFTHTSSRILNYTHEPK NEUTE1DRAFT_119791 MGSHSDISINATGARVAVQQPAPTRTARSGSILRRPSITNGTSR QTGLGTTASNTSGVTTPTAPAAIRTRRPNHYPPVSSTNNTMKAPRRSAGSDVLGNSDA EARRRRPSAASLGERNGTEPVRTSVSVDGGPRPMPESTRTSKARSVQPPPRGSDGATL AHDLSALSLGSGSSPVTFPRSPKTAVKAPVPSSTKRISVMPGVPHTSHATGLGARTVS PTDTKLMKRMSHNQPSQAPAPVPTAPMPLATSRPSSRSPSMLPRKIPTPASSRTTPDV SIRKSYSSGLSAASANSYNTVRSSTGSMQPRVPQSGNTRLPAPKGVPPQNTANGEEEE EVPPVPAIPKLYDSPGELSFLDKRKSNRAFDTSSINSTSTGSVSGAQLTDPPPAKLQR KPSQRKSVHTSTVDKKSSSANSKKNLQPLRLPPLALGPLSTTTAAKIAALQEQNASDR SLSPSPGRQIAKTPSTPMTASRSAYFTTTRNEDKPTVQHLRSTSTINRGKGGSMDSYS SNESMGGVVSNVMPQSGMSPYILSSAVKGGNQESTYSNRPKTGDPDASVPTQKPSGPR APKPAAAKSSTAKSPPPPTDPEEPPTPSSIGTSIRRKLSLSWKRSASKNGNNRPEKMD SNSVRHDSMPPPRIPISATLGSMPLTTKAPSPTPSSKSNGTGTYLESRRRKSSASSLN AIVAGDRHRGEAAAGKKESSLDTVAERSIHTYSSAQKILKPKSSGATLSQPRDVWTAD LDKDDMVAEEEMKKLGSRRKETEMAARTLDALRKRATAKERVGPQEALRIAVLNIYER GEIVDYKDVYFCGTQNAAKVVGNVQSDLPNFGYDDERGDYSIIIGDHLAYRYEIIDVL GKGSFGQVVRCIDHKTGVLVAVKIIRNKKRFHQQALVEVNILQKLREWDPKNKHSMVN FTHSFYFRGHLCISTELLDMNLYEFIKSNAFRGFSLKLIRRFTKQMLSSLLLLKQHKV IHCDLKPENILLRHPLHSEIKVIDFGSSCFENEKVYTYIQSRFYRSPEVILGMTYGLP IDMWSLGCILAELFTGVPIFPGENEQEQLACIMEVFGPPEKHLIEKSTRKKLFFDSSG KPRLTVSSKGRRRRPSSKTLQQVLKCDDQPFLDFIARCLRWDPDRRMKPEEAVRHEFI TGQKTPPSSSMPVPRLASTRESSPSKRVQNLNGGPRPLPEPPAAAVTTRTSLRSGVVS SGMPQGPLKPTLASGMLPSSRKVSGMTASASGATSGVKRASGGTTGSTAGGFNSGNVG SNGSSLPRAAIRTTSARQQQQQDLAAAGATAAMMSRRA NEUTE1DRAFT_119792 MLSLCASVWGMTPRSRRRMTANRAPAPAPTRPPRPGHCDRGFSR QPTCRTSFWRIQTRLLAQVIPVDDGQPHGSGEPGGANEPSAFGERPGFTVGAMSNNFR RFNARIGVVFKFQAKALRVLSWRRPTHTLSLLAVYTFVCLDPYLIFVLPLAIALFGIF VPSFIARHPAPASSSEAHAVRNLGYSPRGPPLAPARTFKPTKELSRDFFRNLGDLQNV MDDYSVAHDKIIALVVPKTNFSDEALSSAIFVLLCGACALMTVTAHLIPWRYICLVAG WVAISSNHPTISRLIGEWQAQYLGGEKEPSVTAQAVHDNDKKLEQIQNHPPGPSPDSS LAAERAFDAWVRSDIILDDAPETREVEIFELQRLSDTSGEWEPWLFSPSPYDPLSAAR IAGDRPTGTRFFEDVLPPEGWEWSGKKWALDLWSREWVEERIITGVEVETEGERWVYD IYNEHENGVNGSDNGDLGAALGDGDYETPVRSQDQSRYTPKIGLTISWEEGEEGMGRR GSWRRRRWVRMVKRKNVNAASN NEUTE1DRAFT_144036 MESLLSLAFDNLSAFEPAKIKKGLKQVEGLLAQICLSGPAKREL DAAAAGEQPQLQRKGLGDLAGDPAFREFFKLQEGFEWNVAQRLLTTLDFLVARGGDGQ YDLLILNALDLIQGVLLLHPPSKVLFSRAAHMNLLLDLIEPVNTPAIQCATIITLVVA LLDSPANVRVFERLDGLLTVASLFKSRETGREVKFRLTEFLYFYLTPEAPSASMASSP VLSSTPTSPTRDRAGSDTASQQGKGSKANGGVTLSVDVKKRKLDQHLPGVVDALLRDL DRYKPFGGVLS NEUTE1DRAFT_115818 MEHVTMAVTSSSPGPAPLSLLSNNDDFIAPFNISTKFPSAIIPP RTSSNQTISVAIPSNRISPAGLAATQAQTRKRKASVAQISLPSMLPTSFSPYTMAPQP PQPPPNPDRFATEDFFSPSRRTWSEEKEKVLTGPYDYLNGHPGKDIRSQMVKAFNAWL DVPSESLEVITKVISMLHTASLLVDDVEDNSVLRRGFPVAHSIFGIPQTINTSNYVYF YALQELQKLKNPKAVSIFSEELLNLHRGQGMDLFWRDTLTCPTEDDYLEMVSNKTGGL FRLGIKLMQAESRSPMDCVPLVNIIGLIFQIADDYHNLWNREYTANKGMCEDLTEGKF SFPVIHSIRSNPSNMQLLNILKQKTGDEEVKRYAVAYMESTGSFEYTRKVIKVLVDRA RQMTEDIDDGRGKSGGIHKILDRIMLHQEENVAQKNGKKE NEUTE1DRAFT_127482 MEASIQLHVLAMLVWLMPAIVTVAAYENFQAVETGVLLSPRYFQ EYAASNASPLEKRQGQLQCPNDQHSCLEIGNDGGSFCCGNDQFCQANSTALTKAACCA IGSNCGSPCTSDMTLCAATRTITTGDNPSVTLLSACCARQCSVSFYLCPKSLGGSCCP YGQACAEGGKCIATITPSSSISTLVPLVPSGCTTSQTSCDASIGGGCCDLTQSCTQIT GKAFCAERAAFPTISGIAEFYPDHDLSAGAKAGIAIGVVVGFGLLIGVLTWWCLQSRR RRRASEAGGTVPSFSHRSGPSRIIGAIIGGGGREQQPMSEVTSDVQSRSGGTQDYFGP EAAVGPYSDSRLPSTGTTPGIDRDRGVPLQPHGPGDIAVPVEIDSRMMTAQENQGIGA TASPTTHQASETGQLAGNQEEGGDRYELYGSEPLDMEGESVSPLYTPSPHTQTVRTPS EEAPKPVKLL NEUTE1DRAFT_127483 MRSSFLPSVLRAILLAAPLVSAHPSADSVVGSLFVRADDGYENT VCRPSVKEGSDNTTPPCSSLENIEYQCAPNGTSPLALEAHKQCMCGGSFFTEWPFCQQ CLYVHGLRSERDVKRYTEVLSSVEAILCDARATPTAIFADIFTSVANQVPEPTDGATV SSDQAVSKTDISYYMTTTISQGPGKITGSALSATATDAPLAPQTSNTGGDGGDNNDGK TTSTTRGPLTVTTSSSASGASSMAAETSSKHNGAAGVKAGVTSIFGVAAAMAVAVILH VE NEUTE1DRAFT_115820 MPLTGHCLCKAVTYTVKDTEEPLLTAYDHCDDCQRQSGSTYSLV AVVLKDKLEVNGPVKKWKGTADSGNAVWRWFCEECGSPIAHDPEAAPEIIALKGGSLS TEIKKTLKPDTEIWTKSKLPFCQEHLAKPFEGMPQ NEUTE1DRAFT_127485 MDGWCLLQAGTCISFIPSALISPMNGLCRTAVVGSLVNYVGFLT LYAGFFDATLLVFFILVRNGFFGETTLEIYARAAKILAVVAIVFLLFQLLQLFRLRWT SVEIPKWDGAGQILLLPCRTTHTRLSPKSHTFSYAYLVVGVPVGYRGNAANMVSVGVK QISDWTSWFSSSSRLQKGWFDVDASDYLQRQSGELTLREKLDSYLQTQGVDPATYPHA YLVTAARFLCYHFNPVSFWYLYDSHKSLAAMILEVNNTFGERHMYFLKRNYQSSCLNY HLQSNPADYEKQTAGAINEQANGIPFTQAWPKVFHVSPFNSRQGHYSMTATDPLSPRN DGKPIVNVTIRLSTSEGHDKLVASLHHEGPAIDPFSMTTYQKARFIGSWGWVGFATAP RILFQARALFFYRQLHIWFRPEPSKGTIARNANPIESQLEKIFRRFLRHLVEKATIPL EVSYNASGIAHVTERMLSPEAADGERSIERLEFKVLTPAFYSRFVYYAHDIEAFLSEM NENCTIWLSNPKVLPALALRKTFPPPLSISNPWEFLAFKCIQRLRRRPDSIERPLTSN AVPRTRQAAVDIRNFRPSFMDAYVLTHEDPATKAAYKECVLKLFLADRIAFGYVSVLE VQRFVALAWFAWMLAWPPWRGSC NEUTE1DRAFT_115822 MSAWDTDAVKIGKNVSRGGAGPRETVVRGKSALNAAQRSGNIIA TEKKYAAGNTASKPGVEGQRLTMVDRSDDIVKPKTVSKEVGAAIQKARSAIMIGDKAM TQKELATRCNSTQAIIAQYERGEGVPDQKLLGNLERVLNVKLRGSDIGKPKFEKKEKK NEUTE1DRAFT_75996 MPGATSRNTRSARGKLSPSEQAHPPDLGDFARLAKIQQSPSKDS TLKKRAQAETTRTSSIEIVLSSRKRKVTDDSDLESSPTISKKLRQKSDDTKDNENVAP CETPCRRKKTVRFEDTASVKIEHNNGNKKLDFKAIPNFSAPAVSVNRKRRLLEGDDEP LHKNNHDAAEAETLLERLALQSPAKRRSKHHVGESSEHDLPRELVQLLDLQAAFLKTY TMQLAHNGSNLPVDLRTLLPSVTRTWGKRKVTIEDIQRYIGVLNWVSSSSKPTTTTAT TSPSKAPFYISDYGRGKICIELHESHSGPLLEHKLNMDFESNLRALWHSRRPGQVASL FLSTLPQAPIKACASAIKAASLSNKNQRTLEELKNGVVRKQQLQQEKQQQPTTPASSL KPDSTKLSLLDRIRFKENQAALAALSGPSAAEIQRRAALQRAEDVAAVIGMLCTATGA QARMAFTMTALLVKLKDSLRTPISQEDAAGCVRLLASEVAPQWLKIVTVGGRENVVVQ TALQPSKMEVGQMVKVLLG NEUTE1DRAFT_24920 MADPEVATKSPESDALEDIPVAEPTTVPNTIDSPSASAAAPAPA LCDNCTSDRPTPAGQKPTGEIIKLNDIDVYVSKPADYPHTPSKLLLLLTGGTGLHSVN NQIQADRFAQDGGFVVVMPDLFEGDPAPNPTTAPSSEERSATDADTGSAAPTSSFLDI FRTKAAETAKSFLIDMWLARHTEDKIMPILEKVLDGVRDEFADAVAYGDGIYAAGYCI GGRYVLLLAREQTQASRKASISERLGGITLPWAHKPAAPAPAPAPTGLGGSEGNNQSG DDLEANRQNKISGPRVKAGAIAHATLVSKDDFKGLKAPISMVSVEHDPVFPDE NEUTE1DRAFT_76002 MAPKTMKAVDIRNGKGSADNLFINPDTSFPQAQPGQALVKVKAF GLNRMDLIQREGHYPLPPQAPSTLGVEFSGTIESFGDGDHGDFNVGDEVFGLAYGGAY AEYIAVSSKMLLHKPAHLSFEQAAGVPETWITATQALHFVLGGIGEQGKTILWHAGAS GVSIAGIQLSKLAGAKQIFATAGSADKCKFIENELGATAAFNYKEQDWVEEIMKKTDG KGVDLVVDFIGASYFQKNLDVVARDGRICMLGLMGGAVADKVDISKLLYKRARVEGST LRSRDENYQGQLRDKLSEYLPKFESGELKIFIDTVLPFEEIVKAHKQLEANTTMGKII CTVS NEUTE1DRAFT_115823 MKFSAAAVLAAAAGAHAWSNVTYTTEIVTAVTTYCPGPTEITHG GNTYTVTEATTLTISDCPCTVTKPIITTSSVICHSCTGYVNSTVPAPTSAGSVGTGSA PAVVTPTVSPSEVPTAGAGKAAALSGAGLVGVLGLAAILL NEUTE1DRAFT_119805 MTHPQDEAQHFSLTDPERFWGHQAEQLHWHRKPSAVLKRTTKDL KSGTSHSHWEWFPDGEISTCYNCLDRHVLAGHGDQPAILYDSSVTNTKQRLTYKQLLA EVETFAGVLREEGVKKGDVVLVYMPMVPATLIGILTINRLGAIHAVVFGGFASTALAQ RIEASRPVAILTASCGIEGNKGPISYRAYIEEAISISSFKPPKTIIWQREQLVWRPVK KTEGERDWQKLVKSARFRNIKVDCVPVRSADPIYIIYTSGTTGRPKGVVRDSGGHAVG LHMSISYLFGIHGPGDVMGCFSDIGWVVSHSYTLYGPLLTGAATVLYEGKPVGTPDAS AFWRLAEEYKINTLFTAPTALRAIRKEDPDNVFITEIGRRGGLKSLKALFLAGERFEP AIINMYQDLLRRYGAAGSQVVDNWWSSESGSPISGVALVPHAGKNRKTNVKDHPPLPI KPGSAGKAMPGFDVRVVDDEGNEVPRGNMGNIVLGLPLAPTAFRTLWGDEERFYKSYM IRFNGKWVDTGDAGYVDQTGYIHIMARTDDIINVAAHRLSTGTLEQAVTSHPLVTEAC VVSVPDAPKGQLPFAFISTSDGGADVKSDEQLFQDIQKLVRAQVGAIASLGGMIRGKG MIPKTRSGKTLRRVLRELLENAVHDEFDKPVNIPSTVEDPSVVDVAREKIREYWHAKS GLHAAIEARAKL NEUTE1DRAFT_97824 MDRWPVKQDGKDGRSGSHQLSELCKEPEPETRKFYGDDGCLFDV DISMADGRWRPGGAATARFKASNATTTTGDVCIIMSPCYSFGRKVLGFATPQPFFFLH CPHLCRALQ NEUTE1DRAFT_134680 MQQMRSASLLWSPAASALSMRSLESGHLTKQTNLASNGLGEFTV GASESVSWVYSVALRMNWMSPTASKRCRCHGPLENTIFPSDRQKNAPLISPVRCMLSS QGGITLTVLLHFTSSIAIAFGKPFGKELAATPIPVDRQTRAVLAGRLQPEKNLIGYPA QPAASPTISDRRPHSAAPCSRCRNLPVIIGPDQR NEUTE1DRAFT_115824 MNPNGPADVYYGQMSQGSSMPVTTVPSHSHYASQQPPPLLQPGS TYAHQYGNPQYGYANALSSPASIPPSLPPSMNSMA NEUTE1DRAFT_115825 MNSMAGQSVLPLPGSGSMNPAVYAGGGFDTTGQVAPPGMKPRVT ATLWEDEGSLCFQVEARGICVARREDNAMINGTKLLNVAGMTRGRRDGILKSEKVRHV VKIGPMHLKGVWIPFERALDFANKEKITELLYPLFVHNIGALLYHPTNQSRTSQVMAA AEQRRKDSHGQLRGPPGLPSLQQHHHHSMLPGPPSLPSHPSMGRPALDRAHTFPTPPT SASSVMGPMGNSDGYQWSQQSMNGTQGNSSLSLDTNLGSNARSMPSTPATTPPGSTIQ SMQNYPPVSQSYESSRQMYQGQSAQQAQYQSQQHYSSQPQHQERSVYSQSSYIKNDMG PPSGRPTGQSNDASDSKPPTGMIHQGQGQSDPGTHAGSEEDDDANNEAEYTHDSGGYD ANRGSYNYNTQAVNSLPHDHGLAPEIGGSPHQAGSGRATPRTAAAPPSYYSAQGYHTP PRGQPSSSLYNVMSNERTGSNGTQGNEMYAGQADMPSSLPNGYSAQPSVMNGSSGGLK RGRDDDDDGGRPTTGAPNLGPGMDMKRRKTMMDGGSLPSPTYTATMAQAAPPAIAAHR RR NEUTE1DRAFT_76011 MLGPLSPMPTSVPLNLSTPISDRRQEISDIMDMDLDDAPSRRNN TQQTTAGDNADNSKSSEADNTTSPPISRDPSPPKSARPMSRIISGNELSPLKILQHQA QPQPLPESADSPLSPVAKSMAPPPLPQSARKTPIKRFPVKVNPSLPGSETTRSSSRDS QDRRISLQDVIRENGGIKQAIDIFEDDDVDMTGIEGDSGSKDTLLQNTSGNSTGSEHE QATREESVCEGNDTIVSTFSTFSAVPDLTKFSHMRSESASRFPVLGGGVSPTKQTPRV NGLVPNNKTTTGKKRDFDSGNASNLLDFTDSSRYGGYGAPQASPTRRTQFASPRPGST DLATTTPHRPGSNLSNILDFDIPPMPTPRSVPTITPRELETLKSGFLSEISSLKASLS GKEAEVTSLKTAVSDAEKRVGECMERLHEVESMHESLEAEKNTWERRGREMEAVLRQV KEEIVLGRREREELEFKLDEAEKRREAAEMMAQDAESKMAGMRAEVEMAVERVARELH ALYKSKHETKVAALKKSYESRWEKKVRDLQTQVDELSRENEELRKQRDQDKTALATLN PARLTELEEERKADRARNAVQIREFEAEVEKLVAILQTVKDDNDELRALLEQERVEKG ELVRLAEEMMSMQQEPPTPAPAPAPTHLSTSNGRGRADRRGSDVSATSNGDSGHHAPQ PASMTSKIGAKPRLGLGGGPGAVRAPIKTQSASGIAPPSGMEGAFRAGGVGRPTSMRA PGGSGLGLKVSAGTRIGLGGGSGHGRAQSATTSGPNGVSGLPRPGSGMGLRGSGTLGG GGFGGTGGYRGH NEUTE1DRAFT_115827 MSLTNASPADAARAAKSASHVLATLSAEARNDALTVIHAGLTAA RDEILAANARDLELARQAAADGKLSASLVSRLDLGKTGKWEDMLKGILDVRDLEDPVG RVTLRTKLDDGLELERVTCPIGVLLIIFEARPEVIANIAALAVKSGNAAILKGGKEST ESFVAISTAISNALSQTQVPNDAIQLVTTRESIPQLLALDRDIDLVIPRGSNELVRYI KENTKIPVLGHADGLCSIYLTASADAKLAADVVVDAKTSYPAACNSVETLLVQESALT TVFPAVASALAAKGVELRCDAASTAALSPEITNIKDATPEDYNTEFLSLTLAVKTVPD LSAAIAHINTHGSHHTEAILTADQAEAERFMSAVDASGAYWNASTRFADGMRYGFGTE VGISTNKIHSRGPVGLEGLMIYKYKIRGQGHVSAVYGEGEGKRRFKHERLAI NEUTE1DRAFT_97829 MDERHPEKDSPRPVALANTQETFSGGVPEKKGTKEQMTSSGSDT DGPEVVDGSDHEPGPEQDKPHPGGDSTLPQPYRTLSSSRAHTACVVARADRRGLLARF AFIPEVEHPVEYKNKTKWMITTIVALAAAGAPFGSGIFLPALELMADDLHTSPTITNL AVALYMLAMSIFPLWWSSFSETLGRRTIYIASFTLFVVFSVLSAASVNTAMLVIMRLL AGGASASVQAVGAGTIADIWKPAERGRAMGIFYLGPLIGPLLSPIIGGALSQGFGWRS TMWFLAIYGSCTLILIIFALPETLTKRKPLPPPPAENNELKRVSTRQSVAEHSKRAGA ITKRFIIEPLEVLLYLRYPPVLVSVYSAAIAFGALFILNISIQTTFHSAPYGYSTIIV GLFYLPSSLGYIVASLLGGRWTDKIMMREARKAGRYDADGNPIFLPEDRMRENIWLAA SMYPAAMVWFGWTAQHGVYWIVPCVANFFFGCGSMLVFGAVTTMLTEFMPHRSSSGVA VNNFVRNIFSCVGTIVAQPLINAMGIGWLCTMIGLFAWVTGNLAIWLLKRNAAKWRES MDNALNTKA NEUTE1DRAFT_93715 MFILGLLFHYTTHTDAHHHRILPDVSIASSHQHHLAQRRREIKV RRQNSSA NEUTE1DRAFT_144051 MAPHVKSSATPKDRRKSNGVGVSVASSNGASSKVVTLAVTPKNL RAIVDPGYVKEDTPVLKETNDSPVDSAIIPAVTNSAAENASDSNANTPAAGTPAPQSG PMGPPTDGLKKKGVKRAAGAGVNGNGEAKVRGKPGPKKKQRLDDGTIEGGRGGLAAHK LGPKANMGAINAGLRALDRSGKPCRKWTRGGFTLKSFTGVVWELPRWTAPPKPRPELT AEEPIPVSASAAGSSKENIIPGENAQVKSESSNNGVDVEMQNAPSFAAANSAAPSPGP TPAPAPASVPTAIAV NEUTE1DRAFT_127496 MCQVGGSRSIAIERESGTGHLEGPVDNSNTHLPTLHNNGREPAP SPNLPSLRARHLAILSNDNWLTFEYLTRCSTASTHKFTAAHFGKSESNFQVRPFGKVR TCVERGRRSTPYTTSVQTAPEASIHLLPQPA NEUTE1DRAFT_57335 MTNPNPFPRRMSSFASANNGSRPVRSAGFSHLLNPSPDSGSHGS GTSSHAGAPFSSLDAGAAHLGNAPHGLDEGRGSHRTSGANLPSFSRAFDMFTSKEPLL AGPNMTYSGVGSSASVSKTGFLSPSYLRGSGYLEKLAEQHKAKLMADRETTMSKGSSG TVFAGNTGNTESRSVTLHTKLPSGIHRGVALNLIERPPASAEFKDVVSPLPTRWNSDD KDHTVEVFGDGYEVKYTGSKGSEHEAGAIRADNPMPMQCGVYYFEIMVLSKKREENII AIGFETRKAQLSRFPGWEGESWAYHGNDGKIFASGSNSGRSYGPTYGAGDTVGCLVNF RTNQVLFTKNGEELDIAFKDPSFKDPTKSFYPAVGLKRPGDHIWVNFGQVSFQYDIDG YMKKQQKMVTDKIRSTDTANLAPPLNDTELIQQLVLQFLQHDGYVGTARAFAEEIHME KQALNMDADVPVEGVNIKDDEDANNRQQIRRAILEGDIDQALIYTNQFYPKVLEENGQ VYFRLRCRKFIEMIRREAEMNSSLQEAGVKAKSTSQARHHTGNDTQRTYSQNPGGEDE MMTEGEEEMMDTEEDGSELRTGNPEDETMAMRAAGVSELCQDALEYGQELRDEFKNDP SHEVSKHLNEIFALMAYPNPLEVKEVAHLLDGKGRVAVAEELNSAILTSLGKSSRAAL ENLYAQTTVLLEDLRKDGGDGAFVTIQNVIDDIPKAQVN NEUTE1DRAFT_144053 MDARLTVLTAFPPAAGIDNEEYYQNSQQHAKRVRELIRDNAQWI RESADDILKHVNPAVYSLSYLMILEFLLQSPGWTSQQAHESLASYMAHFLLQFDARQI RCKGSTWSDVLKEAYNERGLFPASVAVELVTAALLRLDPSGSIITSHHCNLVELAYNT GNVGAILPLIEKPIIYMPAKGMSTAQPLCDMSLPPPAYINPDSQLTDTLTSAAVLQYD FLCGLCFIERRMWQQAFDAFERCVTYPTRDGGCSKIMTEAYNKWVLVGLLLTGKPPTL PETTSQAAKKIFATQGKPYKLFAQAFKSETAGDLVREFEVINSELLPNEGNVELAKLV LAHYQRWQIINLRNIYTNISLEKIRERTQSAETGAPLPTVEAVDQLVQSMIADGSLQG AIERPKDGSPAYLTFLSSPAQGMSEVEFSAQVNKVMQGIKALEPIIEATNKRLASNRE YISHTVKRQFDAAREHKLGLQSTGGGFEESSFHIEEEEDLMSGLPAH NEUTE1DRAFT_97834 MEPNQNSPVSEIPKPHSPIAIKRRDLNMHWRLQRGLPASPMSMD FSPTPPRNQSATEAAKSEESSPEWEQSRHTAVVTTKSSPRVETVVSHVPFTQNTRYFL ERQRLQQEDEERAQQARRNTRPQYPHQFYAYAYDRGNGRYTRLVPADMLPPLVGVPAY ESDISRLFVLELPQALDADERNTNVEPVQTQVAQGDAVQSQIDSIVLASHSPAANTSL THQATGTAYLTPPPLHGQGPKRAKIFCDKWVHEGTCAFTQQGCKYKHEMPYDRATQHM LGLFHGLPAWYKRQQAELMRQRQQLVSNDESSTSKGPIDLTALRGAPHRRRSLTTASG SPISRRGSADQGSASGSGGEAMGVGGQAHAATNSMGAKSFGGGGYQREKSSSPRELNN WRPSVGGGSSGPSPIPTGPQILSQGPCRFGPIGQPAQPQPRDPRGNPSIINSYRRIDA PPGFPPHPHSYRLLPTTTTPDSSDNEGKEEGGPLGGGGARVQVQAQVHQQQQQQQQAE GGGGGIAAQWMGSAYEDGENSWKWSRDTNQE NEUTE1DRAFT_119814 MANNDNAHPSSDDELFVSTATEPMAYTFQSDLSDDEIEIATAQP APKPISPAASIADRSRAFPDSDAAIPDPQRLIPSPAVAEDDDPALFLEQAAAALAAVT KADTSTKPKKRESESLASWRGSVNALANGVTDHNIAGTRRVDIEVALPWLPPSERAAF TYVEVEDSDEGTKHYDTRRRRNKKLSGVHYRDHILIVAYSTNPSSALPHYELRSRYCF AAQEAPAYDDYRFDDELEGLGLRKRKRRYEDDEELYEENSDDDYGGRHSLHDYGFVNG AKRSTRTSARASSERSIAYESGTESRALRPRSAKKYRDHDRDELQNSDDDDGFFVVTS DIIKTRGRKKRKSTAKLKRSMADGDSDIEFESKRRSSRANKSRKSMKEIFDDDDDDDI FYVQDTKPTAPRIASVREVFKPAPVDFKDAHRPKKTPKQEEKLREENDGVDPITPVDP KLVNNPQNVLFRCSKCHRGWHYEHLPHPNDTRDPAISDPLNLRNHRLQEYQTTWRCKE CIDTEDLKIDKVVAWKPTHIKPSQYVRGQTILNFKEDEIEYLVKWENKSYAHCVWMPG PWIYGVSKGNMRLSFIKRALGEGMEDQASRDEPADALLKWTEKDAIHDSWLTPDIILD VQYAAKSIEDTKKYKAMSLADRMEYDLSRIFHVVKIFVKFEGLGYDDAVWDTPPPADS SMYDAYLEAYREFLNGKHFKSEPWRNQKERIEAFRQMGFNGAIEVKKQPPGLTRGKLM TYQLEGLNWMLYNFRHDRSVILADEMGLGKTVQVVALLSSMIQDNPKIWPFLIVVPNA TCANWRREIKKWAPDLRVVAYYGGRVSQTLALQYELFPGGTKDMKAHVVIMSYDSLKD TDTRSRFSSVKWAGLIVDEAQALKNDENSLYKALSVMNIPFKLLLTGTPLQNNKRELF NLLQFIDPKLKAEELDEEFKDITKENLPKLHELIRPYFLRRTKAMVLTFLPPMAQIIL PVSMTVLQEKLCKSIMERNPQLIRAIFSANGKLKSQDRGSLSNILMQLRKCLCHPFVY SQSIEDRNLSPEVTKRNLIEASSKLLLLEVMLPKLRERGHRVLIFSQFLDQLTILEDF LAGMDLPYQRLDGSQSSMEKQKRIDAFNAPDSQLFCMLLSTRAGGVGINLATADTVII LDPDWNPHQDIQALSRAHRIGQQKKVLCFQLMTVDSAEEKILQIGRKKMALDHLLIET MDDKEGEAANDVETVLKHGAAALFGEGRKKDRIVYDEAAIDKLLDRSAKEETKQDGDK SAESAFAFARVWAQDEGGLKDDVETEQKALTMSVWDQILQQREEEARLEREKELQALG RGGRRRGTANYRGPKFEFEEGQEGGESDRGDQDGDFMGGDDSDGETTGNENGNSPHGE FLPNGGSSRKSQARNAQQADAQDSSDPRHPQQTRSRQGSQNQLVVQIAPAPGPAAPNP KGRPPKPPRPSSHNQELHRIADFNTPQVQIPASGGLAMPSHAIGGDLAVHPRPVPSTH RPNGNPNGASKLTSNTPILVPRPGAGVGTGARIRPPSLNGLPNLNPNRQPNNLPSDVT KQPKPGILWSNQGPPVPPGVPHTSCFVCGFVHPPTWMCPEMSQEVPLRLALDNLRLIP HQTPERMEIKRKFLLEMLRVVQQRKEEAIRAKQRQQSGQQTQEGQQSQHGQQSQHGQQ GQQGQQGQQRQGGQEPPQVPPS NEUTE1DRAFT_76029 MTLSMLCRAARPTVLSQTPAAAAVAVNLCIRQAHSLSAIHAGIR RSSKNESSMTYAERKAAREALPKPTYKIRKGKKDITEYPAKADPQTRRARFYDPESNF GKKSLVYRLKTGQLDEDVKDALVRETGKTPWKEKEAMSAGKKSASTPASKFNKDLLDP ADFHAAFTGASSSEPAKSDRPERRTSAPSRDIRREPRQSSFREPRRDSFRESRNGPRR EGFSREPRAFRDDDNGFSRKPREERTVELNEELRDAIDEPYPEPMEPRRDSFRVSRSE RREQRPERRDSFRDIRSSTRERRETDRTPRRELHRDPDTETPKSLSIPYTTAASQFLY GTSVRNKVETVFVNEEGLKMMDKMSGSRPHNGYILEASPLPQPPVISLGEVVDAESGQ GTTGYKVVLGHQSHEEALINGKSDFVAASSATHKPLVVVVDQILDPGNLGAILRSVSF LGATAVAITKRDSASLTPVALKASAGASESLTLFSIDHLPKFLQDSRENGWLVYAAVP RTSGSGNLQKHLDLHEIEEIDPLGKNPCILLLGSEGEGLPGQIKSKADYEVNIPNMSG GTVIDSLNVSVAAGLLTSAFMKGQVKSEFEQFKVQEEKSHLW NEUTE1DRAFT_76033 MRLLPHSLWLFIAAHLEAAQAQQQASGYHHHQQLPTAIKKMSPD QSEKFHPHYVAFADSSSSSPNSGDPNRPLPRMNFISAEEQQAPEVNPSVLAARRLADE QDALLLRANSSAPISYRPPFANHNHRSQQRRLEEEERAWSLFRRSREALARLQHRQWN CPEGTVSCARIGYPNSCCRTSETCVEIEDVGLGKVGCCPAGATCGGSVSTCADGNMGC ASEIGGGCCLPGFVCAGVGCIEAGTSSTSTLEAPTTSDITAVLPPVRPTSSLSIATTT TTTTTITSPSPTTSSTSLDYCPTGFYPCLASAGGGCCQTGRDCSVTNCPPIGLSTIIN TNGQTIAVPITVVPTTTSATGTCASGWYMCGKEAGPLPGCCPRGYACGSVSCSVVITP TSGELATATEAKELPTHTKPPAAPLVDGAAREVVGVEVIVGVAVVVGGMML NEUTE1DRAFT_76034 MGSQEKDTTTYHNDFPILHDSRLDDVSIHAFMVSTTRGFLPRID PIVTLPAEFDPLESILQRMPVTTASGEPGLLAQGNGQLGEAVHKELPDLTEFVDKYRH DLPLMNALYRDYSFLASAYLLEPCHERFVMGEEYGLGRQVLPANVARPIAKCAEICEF KPFMEYAGSYALFNYRLVDPSLGFSFSKPSNVRLIRAFEHGLDPSSSEAGFVLVHIDM VRHSGPLVAGAVASLDACRRSTLSSSSTRPEMTGLSARQSLNVSLSQMLAALKKINAI METMWKRSKPDDYTSFRTFIFGITKQSMFPNGVVYEGLPDSNGRPLSFRGESGANDSM IPLMDNLLQIPMPDTPLTKILDDFRSYRPSNHRAFLEWVKRGSEEVGLRRWALALGDS GRGTDDTMTEEERAEVRHSRSLWIQVLNQVRDFRWRHWCFAREYILKQTSHPTATGGS PIVTWLPNQLQAVMGHMMGLYERMGGDEAGGLGEECKDIMELVRRQDETLKKEVRKYC EERGVSGA NEUTE1DRAFT_144058 MATTSAAAPPRKWWQVPRPLQKVFDTFPLLAYDVNALPGRAQSA TSGDLPTLYVFSTEEEALLGAPSFNPSCLKWQAFLKLAGVKFQILPSTNHASPTGALP FILPARSSPTDVPSPIPSSKLHGYALKYGTSNPPEVSALRLDAYQALLDVPIRNVWLQ ALYRDPEYTDLLDRFYITPASSSYWVRGALRHQLRRAAETEILKTGPGGAASTAISLL VDEHSVYRAAVNALEALATLLSESKTGWFFGAETPSMFDASVFAYTHLMLKYMSDAEG EVEGEKGYILASRKLGTLVRSAGSGELEQHHRRLFELLWLADSNAELLDAKA NEUTE1DRAFT_34024 STPTTQQTSSMASIQRSPVAAKIVPPQPAPAKTGNSTDAFLKDF TLVAEAAKRAQMAVMMRDFEDIGLS NEUTE1DRAFT_57354 MDVNTLFGIKGKVVLITGGAKGIGRMISEGFVRNGAKVYISSRD AAACETAASELNALASKTSSGGSAVALPADLSSAEECTRVAHELSKREQKLHVLINNS GATWGAAYDEYPDNAWTKLLTLNLHRVFTLTQALTPLLEKAAKKTSEGSEGVVIDPAR VIHIGSIDGIRVPLLPTYAYSASKAGLHHLSRHMAVELGPRGITSNTLACGPFPSKMM AATLRDFGEDIKAANPLGRIGTSEDAAGACLFLASRAGAFVNGATVTLDGGVALVSKI NEUTE1DRAFT_127505 MASDPQPPLNKSSADDHAIQSQFHRLRSLFKDSDYSTHPEHWDT LWKESFTPWDRGGPSQALDEVLSLHRELFPNAPSSAHDWDKPKPKALVAGCERGHDVL LLSAHGYDVYGLDSSVTSMEEAKKNEKRVAEEDAEGKWKEVYAPRRELGVTTKGRVMW VTGDFFEDDWVNDSGYGKVKTGFDLIFDYTFFCALPPEARPQWAKRLSRSSQPRRRKT SLPRVAT NEUTE1DRAFT_76042 MESETLEQMQARHRKEQRDLVSRITNKKKNATKKTRKGVNDECA QLELELKARQEEELRKLQGGDDNDDNDEQVQELEEEQDVEEPTVNDVTEKLQKTTVSE QSSKTSPPPPVEQSSSGGGKKRNRQKERLARRQAEIEAASAAAEKEASSMVDHRGIEK AYMLREFKAHSLEEKDIEPDGHCLFSAVADQLAVHGLPVDGALSANKGAQTQEKLPPY RIVRHVAVDYMEKHTDDFAPFLEEPFETYVAKIRDTAEWGGQLELTALANAYNVEIRV VQDGRTEVIQPNAVANGESNGDKEELKVLWLAYYRHGYGLGEHFNSLRKAAV NEUTE1DRAFT_97845 MMGFGVKKNVGTLGKRGARTDEGCAMGGQTRAGIGQSDIHMKLH PLYIQGLDVVNARLPYNVFETRERIGNFDPPIDPNHAKEAGNNEPDAVGAGNAIKPA NEUTE1DRAFT_144062 MKVSVAILAAAGGADAFWRMECRGRLGVARLDPLVNYGEPGSHA HSIHGSSGFSDKSSYDDLLKGDCTSCAVTQDMSAYWTPSLYFQHADGSFELVPQVGGM LSYYFLYTDAANPDSGIKAFPPGFRMIAGDTNRRNYTITGTNVKDADPQKSEWASLGQ TSQLDLAQRATGFNCLNYNKQPEGTLYRHYMPDKDYLDANCADGIRAEIMFPSCWNGK DLDSDNHRDHLAYPDLVMNGNCPKGFETKVPSLMYETIWATQNFIGQSGQFVFANGDV QGFGYHADFMNGWDEDFLQQAVNTCTNLSGRIQDCPIFNIQSEAEQNQCTFDKNPEVS QMLKSSLNEKTTGVIGDSLPGGVKIAYGPEPADAANAGSHTTTVEVPTATYSQGATVT DGNYMPGGVFKAAKFGVPAAESAVNSISTTTEALPTITEAPTVAAEDDGFTAIRTEYI TKGNVVSMVIVKEALEYVTVTTTTVTAVQTVQARQYSHLHRHKVRAANNRA NEUTE1DRAFT_35156 MSAAAAGASLPFGALVAIVLLGTFTVSATLAFFGIWLSRRRRLA VVAAGQGQTNKERSGGCHVYDLTGETTIASTALTVHVGNGHDAVDVGDFSPKRSPRKL QKLPSAAWTTASALKYNSTTCLLPKAKGKGIWQGEGAGNGLRGREHEMDGEGEDGVLS VSMLPGMSWMDLGGAQEQGSLLPFSRLRKTSSGSLLRLHKTKLLGVSRKKSSANERRM ANSAWIDEEAIHGPEMSGMSNIPDENGTSNDSDNNSHRKSWLFGWRKGKGRDWIRESW PLKTRAPTVPRLDDYQELPRSQMLSQSQNQSQLNDQRAEQLETETMTGRLGIPSTDSG RSAVRSAYGAMEGGGEGLSVSLLLPEPPQPAIVRGQAGTGGGFVVQHRHTKSEPQRIL RVTNPSTPTSLARPTPIPTTQSTSTKNRQVSTDSTLSEILRSTEKRLQKASTSGVSRR NRATIQVASGPHRGLSLHVAERLEESRSPGLRRSDSLKETRGTRVEHQLPVISPSSSG LVAPLTLVPKSSGQQQQRPDSRESSSSEPDSLLAEPAYSEMPSGLTSPSRVSSIAGAS SVAETEIEMLLLTVDVPSNRSSMTSAASLSTIHSVDESSEGGARVSVGTKTPSPDRAA MVAGTGRPTVSLQTSMVDSERFALPAPLSAVDASAPSLPSGSSARPFTSYGCPVPRPL STRSQGETESNRRSFVRKSIIAQEPIGPMPSMPTRLLPCPGVPSGKNCVIAQTSCGTW HTTMANPFQVPVSPTSIQASTDSASPTSSSGSMASRETLRGLRSPPNKSTTYNNTLLN SIVLPPPTQHRYVDAKTVVAEIKPPASESSSVYSQDIAANEAFSPAPVPTFTVPTPSS PTRTSLPPSPTTVGLAAAQALNAANFQRNSILFAGSQQQTPSCRTSRTIKPNRASVLA AQRDLDDEVEDDEGNKENTAAMTPKASPIAATIAQLRRMNSVLSTASSVSSINTDVPD GGGNGYPSRRNSRVGVSSSPTQSALRGGGFNPIPRRDSHQSYGHRRSGSRNYLFALSG GAGAVPSTPTTPKVVKRTSGSGGGSGGRVRPNPSGQMNGHLRTGSESGSGIGRMVSIS RSGSPKRITLTPGHHRSLGSDYGGSSVIGSSGLINANILKNRFQFDDHDDDDNNNNKG KRASNGNGITKKQNGSDFLVGAKNDEGKEEESKRKLPVRFTLPNSSTATIGLTANSPP SSPSQRSKQVQTGMVYVTPKGKRWRQSNESLGLYDRDGFLINSHGQPPPGASSSSVRA NEUTE1DRAFT_57363 MPPLPHQHVPRLITYYQTHHTPSGDPISVLPFLQKPGINLTHLI LAAIHINSDPEGITLNDHPPSHERFTTLWTELRILQASGIKVLGMLGGAAKGSFARLD VPDPDSPDKTALERFERYYQPLKRLIKERGLDGLDLDVEEEMSLAGVIHLIDRLRSDF GPDFLITLAPVAAAMLNPRHNLSGFDYEALEVMRGQEIAWYNTQFYCGWGDCSNPVMY EMMVMKGWASEKIVVGLITNPENGGGWVPWPALAGVLPLLVGRHPRFGGVMGWEYFNS LPGSRERPWEWAQVMTALLRGRQASDAGAQLLGALGAPLHLDVAQQQHQEQQQQQPQQ QAKQSQPEQEKEGGGYGLVDKDEVDTPIAVPNSFDYHTDAEDEDE NEUTE1DRAFT_97848 MCPGPRRSGHVLFCTTTKDSFEVSPSLEAFAMLSSAGRGDGTEG TSAPLRPGPLFGVSERTKKAMCLWKAETSSSNDDNGPRRRFVYRLFGRTRTHREGEVG SGVTCWVKLIHDRKMGQ NEUTE1DRAFT_115835 MSAAAQNSAYSITMSPPPFDLGSYSRSMHQHTKRQMEAASQTNL PRSSGSPSNNQNSNGQSQSNATPSLPNGVSGTTRSRNSSEYSYQS NEUTE1DRAFT_57368 MSERKVLTKYYPPDFDPSLVGRSRQPKQTGPKVQTVRLMAPFSL RCSTCGEYMYKGRKFNARKETPVDERYLGIQIYRFYIRCTRCSAEIPWRRGLEGELEE TDEQRLDRIEREMADENGEIAQAEERNAMAELEQKTADAKREMAVADALDEIRSRNAR LEKAQREGGVDIESLLGGRGLSEEEERRRREEEEDAEAARKAFEFARLQQKLEETPAE EEVLGLPSIPGAAANGEGSSGSSPSAGVGPSSASSTAATATAVTASNPASAAATDMPP PSFKRQVKKKKDHSALLGIKKKQPLV NEUTE1DRAFT_144067 MDVYDNNTFNGNDDFPRKILSQLLGSNGPVHAVTYSASPGTYIL TGSADRSIRLYNPIPASPSSSTTTTTTLSTSFITKPSQAPSTTPPQGRLIQTYTSHGY EVLSLSVSSSNATFASSGGDRAVFLWDVSTATTIRRFSNQGHTTGRINSVIFAGVDDS LLISGGHDTTVRIWDVRSQSSSRPVQVLGDAKDGITSLAVSPGGAEVLAGSVDGRVRT YDVRMGRLVTDVFPGAVTSLCLARDGKTVLVGSLDSKIRLMDRSNGQCLRTYGGDGNA NGDAAGGWTNKEIRVQSVLGGGERWVVAGDENGAENSNGEGRVWAWDLLTGKLVAKLG VPWGPGGGKVVVGRDGKEKERKNVVSCVAWKDGGFGNQFCVGGTSGVVTVYGEA NEUTE1DRAFT_57376 MAIARPVRALALAAALVWCFFIWQLLSPSGTAMTKDKYLSFERD PNLDPTGEPEGKLIRASEDYAPGAKNSARINATLLALVRNEELEGMLQAMRDLERTWN HKFNYPWTFFNDVPFSEEFKKRTRAVTKAEVRYELIPKEHWEMPSWINQDLYHESVKI LEEKKIQYADKISYHQMCRWNSGMFYKHPALANTQYYWRVEPNVHFFCDVDYDVFRYM HDNNKTYGFTINLYDAPASITTLWPETEKFLAEHPEYVHENSAMNWLTDKTRRPDHTK DANGYSTCHFWSNFEIGDMNFWRSKAYEDYFNHLDRAGGFFYERWGDAPVHSIALGLF EDSSKIHWFRDIGYQHIPFFNCPNSPKCKGCVTGRFTDGEAWLNREDCRPNWFKYVGM G NEUTE1DRAFT_127512 MAAAVHQELVHYHLFPTSHTANSLARAARSDAQSLQGGSMSYLS ELAALTDELIDAVAAVPKTQKRKLQSLRESTVQSLLNQNFLRTNQFEVQNRLAGLVER FRIINREPLGDALEQRLDALKPHVNDFTPEVLHFLLELSDKPAQKSRLSDLERLKEPE KDAVPKLTWRQIAKEDGWHQERALWKILDHAPSSDEEDYYEREHVASDVSIASPSTAS SVPDDAPRTAQDLAIKLSGGEELLRRILDSQDWRNATHDFDPDGRQKKTPITSLQLLR EVVFMLRGLPTSLFDLACKPVPDYVLEEVSWQTYDALVNTFSECGRKLAPLRIYASKK EKEEPLLQVFQDSLQKALQSFDQELTAIQGRFVTIHKDVIVSLVAMLAELGPSLAPLY VLSGIVQQVHRERNTKAFRYLELLYDAVGMAQMEGSLDTYKLLGTIFLDCFQVYLKPI RFWMEEGELLAGDRTFFIGKSSARPQLHQIWEQQFHQLVSSEGVLNAPRFLSPAVDRI VRAGKSIVVLKHLKRYSPVADRMKAEEPPMEFAAVCSDGTSFAPFSELFGNAFNKWIQ SKYHSASITLLDILFDSYGLSRAFDALRCLYLMSDGATSDAFASTIFRQLDNFSTSWN DKFTLTEIAQEAFSQCVAGHRLSADIEQRYIANSPAASRASVRNTLPAIRLIYHLPWP IQMIISPAEVKGYQTMFVFLLQTRRAIYLLKHPLLGTTTTPARHQSTYYLLRTKLLWF CNTMMSYLTTLVFAPNINKMDEALQHALDVDDVGEVHSKFLDRILSESCQGKRLRPIR DCILDIYDLAIKLEDSHQAEIARLEEEQQEISRLSTFSASQQQQQHKRKTRAGRTASL YSKTGLGVGIDGEDDGDDDEGENAACAIREEKWKRSIMEDALARGNNKSTAAVMKEMH SDFERHLRFVANGLRGVARGSREDAAAKWDLLAEMLEGGIKEARHVF NEUTE1DRAFT_119830 MCPYTRALTGDMPSTNSPDEAQASRDVSTNQNVGRQQLPSLSSL FGPSTSQFRPLRSPTFSDRPALYTTSSSALDHPRGPAGSWDRPYSASSSPYFPPPSLT SQPRSTPDPRLDERPHFPPPSRALPGPLSPMVRESELQRPYSQSGFSAGNKWSLRHET GQEYALGNREYAPYRSSPTERPHSQLSNGIPGREEDLGLRSERPATQPPTPVSTGASE VIVGKDGLGPKIWTGTHFLPRFVREEKVDGETYFIYDDGTRCKDVIDGEKVNAHWGVT KAGKPRKRLAIACITCREKKIKCDPDYPRCAQCEKFGRTCRFKNAPRGGHNTSPSTPA AEVDDARRLPSIIRPPPHEHARTSVHSSGSVSPRTTFRPASPEMASSVPSKRLRVGYD IYAPAGEHRPLMAPAADHMIRSNMSWHRQPELPRVHEDTVCRAWQTDPYVSDPESVIS TITSFFVCIDATSFRFLPEKAFKIWVQNIAHHKNKSPEDLMLVYSILALGSLLSIAGS SGLDHKTEKTNHYAQVARYATEHATMSIQLVQARILLSLYYMAISKPIDANEMSSSAM SAAAYLQLNLELDQSPDVGRKSFPYGLTRAGFSECRRRTFWSCFLLERLNGLFPTRMA VINTADIFLRLPMDIRSFEEQEDVSVPVSTFENINSSGLPEPDQKHRDMQAVDKGTKA GMMGYLIQVVALWGQVMALIYQVAHSGSHLSEGEVDVMAGFHQRLLSRLESWRIANDI KASGNASPDGTSMLPPFTSFAVLEALDVLSAEGRIQHLPELIDSLAIARSVLEILGMV WEDARAHKTMLDHRLDRLVALREKTEGLDDALTVPLAGRGRGNMSEYEFEFGGTRVYV ANPDDSLDRTYHNGEDGTVCDAKDEKRAAATVSEGVLSWQMSEMLETRFPREMDCIYA GLQTTHAPRSMTN NEUTE1DRAFT_35161 QDEMITKDTRIGDDFVGYVAVMERDLPDRVLWGSKNRRRDEICN TKCIQALRLPQIPGDWDATGTPPSPGGGFNDLRGRWVPDWEES NEUTE1DRAFT_107294 MSPSSKPAQSLLDSITVHPGFVRGAAGLNSNQSAIARCKWTYAQ LVLSRGRNLGVFPFRKQHYEYGVAVGIRLGHGTKMKGYEADIENRQVCSSSSYSKAWG RQNPPMRLGTQCCRHVTTRGRLPFASGWKLITLFTTKKTTSQSLSARVQAPNLSTLPM CC NEUTE1DRAFT_115838 MHIVLRWRCYTELPYFEFGTSRRQIWQLAKINNGQKLVVNSLLN TLSAKLT NEUTE1DRAFT_97857 MEGSVPVYTTDDLRLPWFSRIKFRALSNAAARNSSLHSCFRLLQ AGIYRCRRRSDQTMHRWYSEVPSGS NEUTE1DRAFT_76069 MKPVAFTNNITVSSHLTLPSPNDQAMMLDTTVMHTAYGMAWLEQ APPAFTTSDYAVMPFSSQATSTHYRPGENLTAATDMLTTEINCWQPLTTKLPPASTYT FDNGHGCAVNVSFFQAHPYNNDTSIILYIGYHGSPILDYYLESPLCSKNSTNQFLTIF ASRHMDEKLGSYETNMTALFCETSYHKQPVSVTVSAESGRPLNESLVPIGVKEHLTQD EFNSTAFSYLTGVGMPPDTPTATRDFPAATTFEPWGSLSKENVAGPTMPMVNLALGLS GELASDFQHAPVMERAFTLAHKTVFSAAISHLASETRENKQADGTSSYILNGVVVSRT ISAVLECLLALLVFLMGGVLYTCMKAKSNLVSDPATIGFAFRSVRASRAVLNRLAMED CSDNGTLQRNLAGEQFFLEQGTTGNVLEMESKADDAVNMADRRQNVQYDPVRPKESHP LTGCLLIAVLLAGAGVLIYFKKMEQKLQGLPRPSENFEVLQLLENYIPTALTTLLDPF LVLLTRLFCMLQPFNILRKGKCNPQHTLETKYTSLPPQLVLWRAVRSRDFLLSTLCLM ALLVNVLTVALGGTFNELPVQLQYPTTFAEARTTTLSRDTLLDTTYMIRYVYHDHYYA ASTNISHNTTLPPWVSTKYTFLPVNITSESPRSPDSYRATLRGFGVEPKCEAMATSPS STSGSFANVTHLINGFTVEGTTFNFRRDDGTWQTCEPTDLNVGSNTTGLGAREVITPL TIPTDQSGSAASQDHICEDRFVAGWIRMDTKDPANTFRSTFLSCQAVLRTATFDVDFD KAGHILAYTQRGDFDDITSLMSRNMSQRLIRQANKLVNNSGRPFAIYAWHNTTLVSDW WNYLMKMYLNSTDLVDPSLDIPKPEAVIPTVEDLYRRLFAIVLGKNLDLFEEPAKPTD VPGIAIITETRIFLDDKAYLLSVIILCANAAVLMWAYLAQSDAYLPRLPSTLGSVLAY GAASRAIREYGDGINTDQEIWHNEDFYGTYSFGKYVGVDGNAHVGIEMDPFVTPINGT MLKRRASARLWFRKKEQEPHD NEUTE1DRAFT_115839 MANQEQASAQSDDPVHCAFFYGTLMVPEVFNTVCYNNKTVPDII ARQHKFTPAILHGYIRRRVKFADYPGITEDAEHTVFGMFAEGLTKANLDKLDYFEGAE YERRTVKVKLLEKVGDVHGEGNVEGEEREAQVYVFLLEQHLEQKEWDFEEFKRDKLKL WTRGDHIFADCDPDRPATVAAAV NEUTE1DRAFT_127516 MLFTQALVATGGFAVLSQAHMLMNTPKPFASPQLLNGPLDPSGN NFPCQAGSGAVYSGTPSSMALGSSQPLAFTGSAVHGGGSCQVSITYDTNPTKESVWKV IHSIEGGCPAKNTPGNLPENANLADPFDYSFPIPDNIPTGNATIAWTWFNRVGNREFY MNCAPVVLTGNGGSKSNWESLPNMLVANIPSINTCKTVENHDYKFPNPGKSVDVFGSD FDNGFTGDCGGSTTGGGSGGGSNGGSGGGSGSSPSPSTTAPAAAPTNAPGGGGGGGGI FITAPVPDSPTTSAPAPPAQTGGGSSGGGSGSGSGSGSGSGSGSGGSGSGGSGSSGAG SACSTDGMWNCVDGKSYQQCAAGVWTPVMPLAAGTVCDVGQSTSLNIKAAGKKMRRLA VRFRG NEUTE1DRAFT_57391 MANLIDDVIRKLCTPEIELRLKVEAAINLRDSLDHYVTGPAYSP FLKRVIPPFIMILRQPPVFQSKTMDQVNMQKLRNCILEILHRLPTSNTSPEPFEPFAE EVVDLLMGLVREDNEDNAVLCVKIISEIMRHQHKVLGSKVQPFLNLIQELFEQMALVV KEQLDDTSTSVVGPSGAPSTPGSTQTSFMPHQQSPRPGSPVAPGAADFSADAGQQSGR PLLKGMQSFRVLSECPIIVVSIFQVYRNSVTANVKLFVPLIKSVLLCQAKAQEKAHLD AAARGTIHTGVSPNIKNRVAYGEFITAQVKTMSFLAYLLRSYSNQLSDFLLVLPEIIV RLLKDCPREKSGARKELLVAIRHIINFNFRKIFLPKIDELLDERTLIGDGLTVYETMR PLAYSMLADLIHHVRDSLTPDQIRKTVEVYTRNLQDNFPGTSFQTMSAKLLLNMAECI ARLPNKVDARHYLMMILNAIADKFAAMNKQYANAVKLSKLYAQQAADNTPETYLADKE HPPQWDEIDIFSAMPIKTSNPRDRAADPVVDNKFLFKNLMTGLKNTFYQLKSCNPTTV PDLANAPAHWVDVAYGFTAEEVEVIVKLFREGCYVFRYYDSEKPAAESPFTSTVEYMA NFFMVSLTKEEKDLLETFATVFHVVDPATFHEVFQQEIPRLYDMIHEHTALLQIPQFF LASEATSPSFCGMLLRFLMERIEDVGSADVKKSAILLRLFKLAFMAVTLFAAQNEQVL LPHVVDIITKSIELSTKAEEPMNYFLLLRSLFRSIGGGKFEHLYKQILPLLEMLLDVL NNLLLAARKPTERDLYVELCLTVPARLSNLLPHLSYLMRPLVVALRAGTELVGQGLRT LELCVDNLTADYLDPIMAPVIDELMAALFDHLKPHPYNHFHAHTTLRILGKLGGRNRK FMTDALPVKYRQFADDVASFDIRLIGSKIDRAFPAHYGVDLAIQKLMEVPRFMKGVSA APTKQQDAYYKRQALHFIKTQVKLRVGFDNLPEDLPRLLRLQAQDLINRRKDIDISAF EGSDRDRSIAKKNEDEGELKRLIKALMFALSLPEFKPEVDEFLLNLARHFTIIEVGRA WVDSKRSITPFNVDSGAGPLFVDTRILSDALLESLASEKPEVREGAERVIQEVYDSAA TIFGSSSHVSRLAYFNSLANTFCHGCYEEEWFTKAGGTLGIKILLTNVDLGDSWVATK QIEFVRALMYVIKDMPQDLPEKTRRSAQVTLEILLQRLTKNAKKEDCLPAQPAPQQPG QPQPQKQSRLAQICMMLNGELSHMNRHVRSTAKRSIELIAKATGAEVWELLEPHRKQL LQPIFAKPLRALAFGIQIGFIDAVTYYMSLKPDFVTFDEHLHRLLMESLALADASDES LAGKNQEFRTREHIVNLRVSCIKLLSTAMGFEEFMKGSGNATRAKIVGVFFKCLYSEQ APTIEAANDALKSVLSHTSARLLDHIKVIADPNLLQQISFTFFEQHASIKVIASVFNI FHLLPDGAKQFKERVIESVLELEEKLRRTHHSPFRKPLYKYLNRYPPDIWEFTFSRID QLRYGRFLAQALRDPESQPLRDHGVANVEALVKACSDVVNQNKETKFAAIVNTINILE ALCQNSNSLVWMENREHIEWLKTVGKELEQNLKMNKLPANVRLAADQASEQLMVILTK SLERNPKDIEPLLSLIESITSDDFRETQTMLSYLYKNIISSDSIDFWRTTVLRCLEIY AGRGASQRMKWYLLHNIVNPIVAMDVMRHWNRGEPAKGGQRFLDRAVIESINTKIWKV NLADPHDDLSQPHIDHTRMEVLQLSAMLVKYHHAILQDARKDFIKFGWTYIRLDDVIN KHAAYVVIGYFIAHYETPAKIVSQIYFSLLKTNQNEGRTLVTQALELMAPVMPKRCNT APGDRNAVWAVAPRRILAEESQNVQQMTSIFHFLVRHPDLFYDSRDKFAMLIITCLRK VAAPPNPSNESKKLVLNMMTLIWQWEQRRVEGKRSEPVRSVSESPNAKKRKLDEQQSV SPASARQSERPEFEIPAMGRQKMIKYLVEFIAQLNERYQLPSAKARDTPATAVPALAA PSGELCKKAMALLYNLLQPQYWGDLDLDLFQNVTDIVLTSEKASLTLSNEPSDKEKAD DKFLTNIINTLQVIRIILHFKSDDWVVKNMSHIQKILEKCLKSDNPEIQDCLHTADKQ CDGGREVRPIIKRILDAVPEDVPMEDADAEGETEAPTSEVVTFLSGIATESMAAANYV SGINILWSLGRRRPTAIDQHIPAIMKSLQSKLARDHVSHYAALAQGGIPRPQPDNANA ATEMNAYDLEIQTGLIIKAIETVSLRMDILGDNRRPFLSVLATIVEKSLHTALCEKIL DMVEGWVFRSEGTWPTLKEKTAVLSKMITFEHRQDPTMLMKFLNLVLRIYEDPKITRT ELTVRMEHAFLIGTRAQDVDMRTRFMAIFDKSLSRTASARLAYVILSQNWDTLADSFW LAQAIQLLFGGVDMNLPIQLHQDDFRTLSASQLIGSYAKDTREPALISDDKYEAFMAS HRRFIAELGDIKARDILEPLTQLQHIDNNLSNDIWVVLFPMFWSATLKEDRPELQRGL VTLLTKDYHSRQMDKRPNVVQSLLAGAAKAFPECRLPPHVLKFEAKTFDAWYTALCQL ETAAIKPGDDSAIVKESNLDALVDLYASLGEEDLFFGTWRRRCQFVETNAALSYEQNG MWDKAQKMYEAAQIKARTGVIPFSEGEYMLWEDHWVLCAQKLQQWDILQEFAKHENMQ DLLLESIWRNTEYWQNQENREQLDNHIKGLMDAPTPRRSFFQAFMSLLKYHNKQESLQ DFNRVVDEAIQLSIRKWHHLPKRLTTAHIPILQNFQQLVELHDASVICQSLSATNSNN LDARSGELKLLLGSWRDRLPNTWDDIVAWQDLVTWRQHVFGLINNTYLQLLPQQGGQS AGGASFAYRGFHETAWIINRFAHVARKHGLHEVCINQLSRIYTLPNIEIQEAFLKLRE QAKCHYQNPEELQNGLEVINNTNLGYFTGQQKAEFFTLKGMFLEKLGQKDEVDTAYGM ALSHDISAPKAWAEWGYFNDRKFRENPADVLAAKQALTCYLQAVSSYKNHKSRKLIAR ILWLLSLDDANNTISSGFDDFKGETPTWYWITYIPQLLTGLNHKEAPRLQVVLSKIAK SYPQALYFLLRTSREDMLQIKKAQENKERLRQQQQQRAQSAAANANRASATPGQPTPA ATATATPKPEGDSRPATATGDAAGQVKPEVKAEGAVNGVPVAPGQGSVPPPNPAQVAA GHHAAGAVPGAQPQAVQKRPPWELTDEIMSMLKTAFPLLALSMETMVDQIQKHFKCPP DEDAYRLIVALLNDGLSYVSRMPTSYARDVKLPVATEANITRFAETILPTHIRGTFEQ EFVKAKPTMYEYIHKLRKWRDKFEEKLDRRRTSMPLENFSHYTPHLSEFRYQKFDEVE IPGQYLQHKDKNQDFIRIDRFLPNVDLVRTSNVSHRRLKIRGHDGSVHSFAVQHPAAR QSRREERLLQLFRQLNQTLSHKKESRRRDLQFTLPLMVPLAPHIRIIQEDTSYITLQG IYEDHCRRSGVHKDEPFLFTMEKLRGLMDGKLPNAGQNAAARLEVLNAIQEKWVPHTL VLQYFQAIFPDFAEFWLFRRRFSYQLAALTFMTYILHIDKRYPHKFNIARGSGNIWGS ELTALMAANKPYFSNTEPVPFRLTPNLQTLMGPLALEGIFTVSMMAIARCLTDPEFQL EHALTLFVRDEMIFWFTSSHRPLNLSDNQLRETVQANSEMIVKRAASLAQAPAGNLPA YQTIIDLIAKAVSPLNLAQCEALWMPYL NEUTE1DRAFT_76079 MRPDVIPTKLWEHPDPESTPMWRFLQNVNKRHGLDMKTFSELHE YSLQNRSQFWADVWEEANYIHEGTYSRVVDESAPIDAVPIWFEGVKLSYAENVLYSRP KGSSSSQTRSTVGKEDDKVAVTEVREGASETRDATYGELRERAGRLAAAMKARGVKKG DIVVIVGSNSIDTLLVWLATSWLGAIFSSSSTDMGTKGILQRTVQVNPKLLFMDDAAL YNGKIVDLREKMAEVVEGLKDCSNFDNIVSIRRFSEARDISAVPKAITWDDFLASAGA TPAIPDFVRIPFHEPYLICYSSGTTGTPKAIVHSLGGVMISYFKEGGLHEGLTGSDVT LQYTTTGWIMYLSNVAILLYGGKTIIYDGSPFKPDSSILISLAAQHRVTKLGISPRWM FEFAKNGQSPREMADLSALRIVTCTGMVLSDQLFEWFYDSGFPPSVQLANISGGTDIA GCFGICNPLTPVYVGGTQGPSLGVAVEIYDSMVGDGEPGRPVPEGTAGELVATTAFPN LPCFFWADPIPEDQKGVASPGTRYHSAYFARFPHVWAHGDFCVIHPTTRSLHLLGRAD GVLNPSGVRFGSAEIYSVIERRFADRVQDSLCVGQRRPKDHDESVMLFLLMKPGQKLD EALVKEVKEAIGKDLSKRHVPKWIFETPEIPTTINLKKVELPVKHIVCGRKVKPSGTL ANPQSLDFYYQFAKVEELVNPHPNL NEUTE1DRAFT_127519 MASSQPNMEKFLREWRQDALNKAQYESAIFIGDKLLAMTNDDND AFFLAQVHFAAGNYTRAQALLVKQDLLTSSPSCRYLAAHCLIKQNNFVEALAILGEHT PTHLFATNAKRKTTRGLRGATARASSKTPSRHHEQGDDIPEEDIPNRRYEASMCYLRG LCYAKQNAFDRAKEAYKDALRIDVQCFEAFSQLVKNSLMSPDEEDEFMQSLAFDSIRA PGDDPDMEDEPADYVHMLYQTQLSKYRNPQAFNTAIESLSTHYGLENNADILLAKADL LYTQCRFKDALAITESILMDDKYNFAVYPIHLACLYELRKTNVLFLIAHELADTHPDE PCTWLAVGIYYFTTGKIADARRYFSKASMMDANFGPAWIGFAHTFAAEGEHDQAVTAY STAARLFTGTHLPQVFLGMQNHAMNNMTAAEEFLKTAYSLCKTDPLLLNEMGIVLYHQ DRLKEAVKMFDQALAIAEETDSDPHAWLGARTNLGHALRRLRKHDEALEEFDQVLRDG GKDAQIFCAKGLIYLDQGKPDEAVRVLHEALAIHPQDPIATELLNKALEESAEAGGIG LLGTADAGLGGEAGDEAITASFEQLLGVAARMRSARDRGRRAVAETPGQGGVKDKGKG VARGGRRGAAGGTRLFRGDQEEVDSMELSD NEUTE1DRAFT_57400 MISSRISGALALLATSLSVVSGQTFQRLGTCPTLGCILPPDQQD FLPGQHFDIRFEVHAPKNGSEAFNDGVPDEKFTVTIAKDNGKPQSIASFFELKEPSLE KWTFSWYEDLFAQDAKTPSVVNVASKIYRQVALYEPGTYTVTLNYYSGKTTTAIWMVR PLATKKKAKNVILFIGDGMTTNMITAARLLAHKSINGKYQSTLQLDKFPTLGHQMTHS IDSFITDSANSASALYTGHKTTVNAMGVYVDSSPDKFDDPKVETIVELLKRIWGSAWG AVSTAFIADATPTALTAHTRSRYEYGALIEQALNGFSNTSWTKQDGPDVYFGGGAEQF LPSSASYQGKDYYAEFAKKGYSVSLNKTSLLALPDDKKALGIFCKSNLPVWLDRNIFP ENTLKLKNDPSGASGPAKDIPGQKEMTLKAIDILHKRGGKDGFFLMSEAASIDKQMHV LDYERALGDLLELDDTVRATIQKLQDLGELNNTLIVVTADHGHGFDVFGGADTKYLAA QHDKDDRHKRSAVGVYKESGLSQYTVEQPGISYNTGPNFPVNWDPRYVIAAGTGAAPD RREQYGVGKNGSRTPAVTLPGMGDDDYYVNPTDRTGGFVVNGTLPTTESQGVHSLTDV PVFAQGPCSGNFGGVYSNTDIFFKIASCLGLGHPTKKTDGGKGR NEUTE1DRAFT_36164 AFIHTRTRPNIRINCPGILGPTLLDHGYDQLALSLSSLVLSQAP SLLPESLAMRYADDFRWFDGVVKLWCIPSRTKTAPMKDPQEMDTCPGYRLSDDVHLRA PSDVGC NEUTE1DRAFT_144078 MPFKLEIPIFTTDVEEDDVRSFEQMSFKELLKLCKNFNSAECRR IRLELNAVGSYGDGGLTPDIKDAIRFLTHLRKSEILAEDQQPAIRIMIRPRGAKNDDK SVSPMIEVQDFQYSEAELLAMCQSIEDFKTMGRDVLSPERGDGFVFGVQKRLDGPLNE LMLHPKANKRLTFTAHPYPCYLHRAFDGVLATSQNYPGTKIPIGRLVEKMKGHGFKGV LTSGGWGNATNNLETLGELGRAALKEKEEDKFELIVGGGVRYGNVWDICYAMKELAQH RDFWLHSSCLTGEASPYTEAWTMLMRLNAAEEAAKNWTAASWAY NEUTE1DRAFT_107303 MGGKQWAKEEELIFWRHVVPKSPKRVGGDRQNREMSWKDCAAWM QRRMATVFKEPRRTYTELCLCESSLRCLKPLQRADELRHGQGNGEANDQGNGPGDSDN NDINEESHIPQPLGNAGSPIPMTPARPRSLATQRAKNTDHQEDSPSSMSPFAPGYNNS DGYASIGKQASTPSYTGSRGYSDHLGHRKPGRMSLGPSGSLAAPTMPMAPMDEEELPS LAGLRTASPYSHDSPVTPGQYSEVNSSPPMQMPQAMRHHSVIERQAASAGMSVQDLLN SSPAKQHPSPQASQGSVHDGYSIQSGYNYYPSCVQDLAQGYDNSYSPNYTSNYGQPYV QGYNDGVHSYNQGYDSSPQGHNNGIQGYNQGYDSSPQGHNNDIQGYNQGYESSLNGLP QNRIDDASFPTRAVSSNATLSTHEGATYNNEYHGSNSNNNYQNSSIPNGSGEQSAAYG RRGPQRSVNRA NEUTE1DRAFT_134718 MSKLNLNLSASLNIFKLIAKPSLCLPHVTVPTFNDLPIPLNKAF SGNGEKKVDIKAVVLDKDDCFAYPDHNEVYEAYKERMEALRAAYPGRRLLIVSNTAGA LSWDKDGQMASAVEKATGITVLPHGVKKPGCGDEIMSYFRAHPETGVTSPHQIAVVGD RLATDMMLANMMGSYGIWIKDGVVPHQQKSIWSRVERTVAPFLVSRGYTAPEPVSPFE NEUTE1DRAFT_76086 MPPRRKSTDQHDLVERPSRKRPLPADPYEIPDEDDAIPSAKRQR RQSEDGGTPSKRNATPGKQVDFLQRDLASVPEEPESPTEQTPSKKRIGRPPKAKTGGT PSGKPTIPSALRKKQVDQTPIKLKGISGVDTPGRRGIADRSARRKSARALIDRVLEGA VSDDEDEEQQIAREIYESSEEEEEGDTQHENLADQEAELAATTPSKTGRGRGRGGRTP GSARRKKSPTPPRDLPPHEQYFYQNKPGSNKTSNNTLSSLDLLTHEEYFSLLRNLGQD PHKKHIEALQSQHAASFPQWAFELSQGFSVCLYGYGSKRRILHQFAEYLFSSGGNDTN NTIIMINGHTRTLTFREILTTISAAIDPTFRLPSGNPLAMIQNLFTLLSSFSSSSPQK PRITLLLASLDSPFSPLRKPQTQSLLAHLSSHPSISLACTVDTPDFPLLWDSSLRSSF NFAFHDCTTFADHSKELDVVDEVHELLGRMGKRAGGKEGVAFVLRSLPENARKLFGLI VGEVLVAFEDGGGGGGGTSGAGEFAAGGEGPGVEYRMLYNKAVEEFICSSEMAFRTLL KEFHDHQIITSHKDSIGTEYLSLPFRKEELESILEELMS NEUTE1DRAFT_76089 MADQLSSGMGNLSIDQQPPSGPQMGGQGQMRRSYIPPHLRGKMG DAAPPMAGPNGLNNSAWAGNNNYDARGPGGGNWPAPGGPAPGGPPGPGFEGQQGAGWG GPRPQGGFNPNAYRGNAGAGAGAGAGGGGNFSNRGSGDGQWRDGKHIPGPANPRVERE LFGTPDDPSKQHTGINFEKYDDIPVEASGDNVPEPVLTFSNPPLDNHLISNIQLARYN VPTPVQKYSIPIVMGGRDLMACAQTGSGKTGGFLFPILSQSFHTGPSPIPASAAGAYG RQRKAYPTALILAPTRELVSQIYDEARKFAYRSWVRPCVVYGGADIGSQLRQIERGCD LLVATPGRLVDLIERGRISLCNIKYLVLDEADRMLDMGFEPQIRRIVEGEDMPKVNDR QTLMFSATFPRDIQILARDFLKDYIFLSVGRVGSTSENITQKVEYVEDIDKRSVLLDI LHTHAGGLTLIFVETKRMADSLSDFLINQNFPATSIHGDRTQRERERALEMFRNGRCP ILVATAVAARGLDIPNVTHVINYDLPTDIDDYVHRIGRTGRAGNTGIATAFFNRGNRG VVRELLELLKEANQEVPAFLETIARESSFGGGRGGRGGGRGGGRGRTQTADYRKFGGS GGGGFGGGFGGAPASGGYGGGGYGGGGGPPAGGYGGGGGGAGYGGGGGGGGYGGGGYG NPGGAGGQSWW NEUTE1DRAFT_115844 MEVLLGITGKDFTIIAASKAAMRGATILKASDDKTRSLNKHTLM AFSGEAGDTVQFAEYTQANAQLYSMRNGTDLSPSALANFVRGELATSLRSRKPYNVNL LLGGVDPITHKPSLYWLDYLASLAKVPYAAHGYAQYYCLSILDKHHHPDITLHQGIKL LNLCTDELKRRLPIDFKGMTVKAVTKDGIIDIEFDDDKVVKMA NEUTE1DRAFT_57410 MSSFFTAPAGEKKRKRASANEAPQKRVATGKSTAKSSSARGPAA KSRPAAPKKAVERDESISGSDIDSDDGDHIEREDGSEGEEESDHEGETAAEKRLRLAQ RYLDKARQEVELEDEYAFDAEQIDRDLLAERLQEDVAEAKGKVYRQLASKLDFDKAAH TQFRWNSGTVTSVSVCAPYAYTTTKDGYLTKWKLQDLPKNQWPQTTKKKPKKPPAPPK KKPERVCFNRANPTKAKDKTYQGHTNAAILTVRASADGKFVVTGGADKRMVVHDAETL KPLRAFTQHRDAVTSLAFRRGTNQIFSASKDRTVKVWSLDELAYVETLFGHQDEIPDI DALAGERCVSVGARDRTARYWKVPEESQLVFRGGVSEKKSHKNRDQAVNHDGTMDQVA MIDDELFVTGDSAGTLSLWGINRKKALFTQPCAHGIDPPLKPTEVSADANPDESVVPT PQPRAITALRTIPYSDVILSGSWDGFVRVWRLSEDKKKILPVGVLGSSTALQQLDQAE AQKQKEEAKQKAKEEKEPATASSGEEQADDEASVDGSQDKKKKQESGLIRGIINDIAI FERGNRGRDGICIVVATGKEMRLGRWKYMKEGRNGATIFEVPKVARRAGAKGEESEEE EEEE NEUTE1DRAFT_127526 MANFYNKVKSLVSPWKAIDTLDSQPRATGPPLKKQKTGSEDGRS VTAANEYSKSHFAFFPESIEDAGSDHATRTDRTGSHGSLTGVIEFQNVQGLGLRDGKP RSDRRRRSRAGSQSPRSAQRYGRSIEPGRDDIDNSDRDELAEKSPYVSSGPILRLVNS ATNIVDAADAAILGSPRKRSIELLRPVKRPLHHVDGEEDELALESPTNPRALRRAASS STNKGSTQATKRNNSHDPVPKAQFHRLYSALCLPNHRYIQKGPQGWCFLGEFTNGAKQ LQLCACSGEGDLLPELDWLKITKSVNTIHHNAESSYVKIRQSKTGNVGGQMVLQFFNT KEAQNFVQWVKTNLSANVIEMNSATLQKTYENLMTELQKAISGSPSPLANSRVTRTTS ALDVEGSRNMALEPAGLIAQATAGSPTASTRRRPRLVDTMLSSQRALSNQYEQRSFEV DAPVQRSSRRQNDDTVMIDAPSISSAPVSRWTEDHPEWSKNWRMPLVYHRTSVDKDDI PRLDEGQCLNDNLLGFGLRYLFEEYPGRHNELKKRVYVHNTFFYEKLKPAKSKDINYD GVKGWTSKVDLLSYDYIIVPVNEYYHWWVAIICNPGRLDPNHPRRSTNSSSSGTETSD SNSIEGKLDGNIEKSDDVEMIDIDNEQATDHGQEIKAQESCVSVDRIETDSNKADRTG QDVVDLVADDTDHDLRERLKGITKLPKKDPSEETKILTLDSMGNSHYPAVQALKKYLL AEFEDKKQTKIKDLPKQIGIKATNIPEQNNFSDCGVYLLGYIQEFVKDPDRFAHCLMQ REKPGWEFDPSELREYWRNTIFEKQKEHQAKYDEEKKRKKEEAARRKAASSTPSDAVM SATESQTSGRTGLSHDPATDNVSSAPQFVRPPVVSRNTNPNIPAPAPKPTTALRSPLS YGASSATGTVTNTAAVAAERGGGSKGNMSDSLEPIPRPPTRPQKAADNVVVDLERDES EPKDKVSHLSPDSPLPGEIRRSRNKEYEHSPKQRASSRTPRQSPEVKFIPKLPDSSPA SDRRTSGIAQEISPQTFYSNAPQRPQSPKLLSLKSQSPRPQSPLVERKRKSSPVRKAK ASPPARKTAPSPEPAAQPLESIEIFDPPTPEVQRPSTTSSKVKAEEKDNNHVNHVNKT TYKKSDKHTRQKSKIPRRVVDHTDRSGSGSGKGGRVEQQQQHHQRQPRPSKPKETAET KSEEIPDSPPPAMVVSDIPAVQSAEMTPIDLTDEN NEUTE1DRAFT_35170 MDLFHALIRTHHITSRKKVAHLRKAAGQLNCYALLRSGGCPGIM YCKGSEEAVKGWVSTVQRLRYKDFHLASKPALIETKSAQFSDPAGLFEVETVKEFAAA MEKRGLSQWWEQAMGFS NEUTE1DRAFT_119845 MPFLFSYVCDLLQALDDNQRTKSGLKTTAKIIEDWFAKHRSLIN RDDHNDTALLSTLFPEKRTDRVFRYGPGRLERIIGRGLVLGMTRREELGRHADAQWGM DLAECVERILRDTPNPDPIRPVTVEEIDNLLHDIASHCHFSSPAVRSSPPSSTSVSRE KALGDIYTRFSPRDAKWFTRLFLKNYRPVILGPQLVYRNYHPRLPLIVKVRDDFVDAG RILASHRQERTVTGRDEFAKHLKPRLGVKVGRQPWFQARSIKHCLQMDYGRMSCEVKY DGEYCQVHIDLSKGYDCIQIFSKSGKDSTQDRKALHEWEEKILDFYKIRKHVSRSGAF LSTEQDSQRNPWEHLMIVYYDILLVDDESLLAVKHSERMQRLQEIVTEVKGRSMLVQR QIIDCSRPSAESDLRRAFATCITNRGEGLVLKPDATYFNFGTSVGYSGSIAIKLKKEY IRQFGDVGDFAVVGARYDPTKAKSFGFPNIKWTHFYIACLGNKEEVVRFGAVPNFVVT NVVQLNETQMRAFMTAIQPVAVDPKDDTAFSLRIEDGVDGKKAPTTLFKVPPVFDIRC FSFDRPGNTGFWTPRFPMVNKIHCDRTYLDVLSFSELQEMAIHGTQKPLGEESQELLE WIGRLEQADPKTRGVEIQTQTTASTSQAPSTPNKSSCPDALPPSSLSSSLKCRSVGRD SFTSSASVAIAIRSPIKTKDRPRLLPTVVESPQRSTNELSTMARGEKRPHSSSTDLEC DISKIRKHNNPEGQNDVSTSRRETISSSVVQREPLMAIETTSPRRNPNPRLAAPPRLI GANSMYQPTTLDIEHRRSTNTRGRVSLPHSMSLGITSCDFTFTVPQPTARPHVSSPPT AIESLTENKCRYLGDACTIANISFMLSPCIADYLWVTEDLLGYHGITKFARDPKEWAA EQSIAPTGTPTIMPTITATTATEAMVLNSSAPTPTPSCTPGGTKRKKKIILVDRRRKE ANKVFLQSVRNAGLRHRNGEPRHVSVYDWRVLEELKEEEEKCRESGEWDRVRFDIRRS GSIWRKYWVGLT NEUTE1DRAFT_134725 MTGVAKIPVIDISNDNQDQARVAKELVEAAIEHGFIYIKNTGKD IPVEDVDAAFELARKLFKETPVEEKQACTIQKNNRGWSAMHYETLDPKNQRVGDFKEA FNFGEPSQQGHLQQPIPPTIRSAEPFISRFHTLCHHLSLRLNTLLGQGLFVSPPDFFT SAHLRENGASGTILRLLYYPAPSSIAAEEKGDGDVRAGAHSDYGSMTLLFRLKGQAGL EIQTREGNWVPVPVCPPGTETDPAPPILVNIGDLLSYWTNGLLRSTVHRVVFPSAAGS TVEGETGGEERYSIAYFCHPVGTMKLEPVPSERVRAFGEEQNGKGVINGERKMLTADE HLNMRLQASYLKLYEDEKKD NEUTE1DRAFT_76104 MAASPSSRAASPALSDVDTPSSPAHQPGKSSHTAASVTEDNDAT MAATPEPSESRLPRGKRGRGRDDGSGTNVIGKIRHLKKEDGEPLWRKDIQYDFLKAVF DNEQKVFTNSYEPDKIGKQCFADLYIDTMSRSSKTSKVLRDKLLSDRDAAKGMAMVCL LVNIGRMNTTLNFFPEMRAQLRTYHAIPSLQAQQDPHAYKQLQDAPRLKSILKGGAED RDEPNSIDAIKARATHVPRTNPVNLLFVICQQASKIAELHFPPGREFHDLIMKTNYSS TSRARAFLWLMWFYLESDFTEEGCDENPFGAGVDYGLDVANQGVPRLIEMTKEEEEAE NKDPDEEIKFGLDKQKMRAKIIEADQQFMVESQTRKGGRGRGFPAGDEIGPTTGILPR IRPSKVDSDLDSIRSTPPPRALVGRHPAAFPQTTNRRGGNSLKYQIFEGSSPAGGQML EGVVHRKPRPPTAHQLAVERNRSQRVEWILDRGLTRSHHRSRKQRRVDGSIIRVVNRL DKAKEWADFSDSEDEETVNYNKQALLAGAVNYTGFGENYPFREKGYVGLCQLKDEEDD FGEEFASYSASLRRAQRRLSRWEESNDPDLGVIRPIKRPKLTNGDANGDGEGHGDEDD GEGSPSKHDIDPAETEDEAEIMERNAHRRAYVPANKPVILRTGKTNGIQRQDTADSHD ADTPMDDVDDLDDDDKALLGLGDGEGEGDDVEDDADGNDDGERDAEDQEDLDDLDKTL LGMDGDSESE NEUTE1DRAFT_144087 MALFLSPPKLVLLAVHLAVKADIDSLTSLAAHRGSVLRKELLLR ILLTYLPETLRSSDYVTLIEHIERGDFPESQLDSTENEQKHEIDVSSVESLTEEEAIK KVKKLRLLPLSSPEAPSTAEVAADDTTSLFLLRRSYKVDEEAGLLTELPALLAPFLDH SPAIRSLLLSTILPLLRRNCEYYPNEPIPYTLAAFQRLPERTIANVLLSQTGAREEDY GQIGRDLKGMIAPWTLNRKRWNNKGQESGSAEGLTPRGPDTDEDLCPGWNQVLRWLTA NASKNWRVAANAFDQWEGPQSVDLGGILGGGEETQLNDEQRRHLDWTYAQAALASTYL ISNASIEALEGAYAILNRAVIITNQDPLPPLQNAVGLLAPLAEQVDGIVASPKNVTYL RNDFLDSSNVLTWPTETSTAFCQALIISAFILTRAGSPCTLRRVGELALLQDEREQKA EALKLIHALANQGPKTDDKFWMKARDEILWLRDWGAEDAWGATDSRPKGIFSQLKKEF LEVEFLKALLTNTRYALAKSIYEDSVERPLDEKVLKDAVFSTAMTAYDNASNPNRTRG GLKKCDDIIKAFPNTISKSDPVAQKIEALLQATHALSEFRLVLKQGEPFTPVVLRVHA DPISIIDRILEQNPKSYIRLHDLLDLGARMVKAGLIATTKTPASKQQHSSYTAATISP EEEEKQRLTAERRITAMCIDAALTEDDFETAYSYILNRLSSPPSSRNRSSTPSTVVIE DDYSWKAALQAGKYRRTSRTVRPTHLGTNASANPEIRHLEQRIECLSTALRIAPTPTL QEILNAFRRAEEELDSALKAEEEAEDAWDAAGDSMHGHHGGGQRVPGAFGTPPGGGGT RQHISATGIGSGGSSAAAAAARSAKSSSDSRHQQLKHKQTEEAPMSLFDLSRASVLSA QKNLSALSSLQRVAGGLGGHLGGVAGGLSGSVGGLVGGFTSSSSNTTTNAAGRNSLSS DRDRDMMRPGSRGSTSTAGGMTNSFYSDGTGGGEEGNGGGGQQGRVRKRDQLREAAMG TLVSGVGWLVGAPAPAPTHSQAQGGNGNNGHRREE NEUTE1DRAFT_93733 MSSGYGMNGGPSRCFPFWQEVLACYVVNSNEEDASGRKKCSPML EDYYECLHHKKEAARVQALQAAYRKAEAEGGYKANPPTAGQIRNLGILGKEEDSRAVL GSK NEUTE1DRAFT_107315 MPCLRGIEISLVADPSNERIPEFPHPEGSSARLISCHGGSAKQE SVSTRKAGPTVATYIPSVPGHCFAIKYNINVLPPPPCKYVFFKLFLNGRAITSWGINP TERQHGNVVKSLWAPGPSYNGQAGLECRNFVFLPGQETRSPAEDGGLIEVKVFRAQDR KARAPKVEDFHPQKNYGIASPSIGLMDQPQHACFYHWHLIDARDSPFATFRFHYRSWD SLEQLNLIPQREFKFVRSISTNALSRSTTMEFTEDMLRQADDSQATLVTNDDNGDEES IFEGSKIHGDRRNQPNYSLGSPPIVFPLSSLSDNIPQPSKALRDAYRDSYLQRPLPEL PREEPGVVSRRASISSAVSGCPSLTPSLKKLVDDGAFDDDDAFEICVARAAPQNEPNF SGTPDKSGPRSETLEDVSMSDYATPPASTSEYYPNMASSPGRYLPTTGSSYALGLECF TLTPQKEDGAPASHTESGRKLSTQALPSCYRSEQDLSRVTRIKLSEAEWMSRTPSPAE REPANIARRLWSPSPNTSTRTGRLGGVMKKSLEGSESLEKKEVRSEIVGNWI NEUTE1DRAFT_107316 MAATCLRAEALHTVIIQHVNQVNDSIRVFRFMIPNQTTIQFSAG QWLDVYVPTVSKAGVFTITSAPSAAVAQHGLDGQAPQAYLELAIQKSPDNPPAAWLWQ PVPVVMNAEVKVRVGGSFIWPPAGIDVSSLRKVVLVAGGVGINPLMSILTAIADDVKH GKVSEICEVTMLYSMKDPGPPRDVTKMLFLERLTWLFAKRMVRGTFRLFLTRLLDIQQ RNAVSNIGGGMVNCHGFEVKFASRKISLSDIASAVGDDRDSAVVYVCGVPTMTDEMVE KLVSNDGLGMKRNRILFEKWW NEUTE1DRAFT_17079 FFHHFGTFLLLVATVLLIVTCISAPVINDISIMKVDFGRLHVGG FKRVTFGTFGWCEIADGQADSCSSSHVGYNPANVMRMIEGTSFSNYAENTTKALTKAM ILHPIACGLNFIAFLLALGAGLVGSFLASLVAALAFIVTVVIMIIDFVTFSIIKSNVN DDDSGSRAHWGSAAWTTLAAAICSLLGMIILFITCCSARIHKRRNRD NEUTE1DRAFT_144089 MFFTISRLRRIITHNLHHFLSPHLLLSEISGSLGDLGTLLPLLL ALSLQGSIDLPSTLIFSGLFNILTGLVFGVPLPVQPMKAIAAASLQGNADLETTVAAG AWVGFAVLLLGGTGGLKRVMRWVPGAVVRGVQVGAGMSLVVAAGGGMVRPLGWLWTPE ENGDGGLGKWLDSRALAVLAFGGLVLTLGQQQQQQQSGEKERSRKKRSRMPVQVPYAL VLFLVGIVFAVVRVSLSKDSPQSPPPSPPPPYDQPTNSAPWTWIWNPLNHIHPEVFRS LLNPQALSMAIAQLPLTTLNSIIAASALASDLFPPDSYPQLYDDESADPPLSPSPSAS SSLSSAPPQPPSENPKPLSSLTSEEGPVPLTPLSLSISAMNLLSAPFGCMPLCHGSGG LAAQHRFGARSGTSIILLGLTKFLLGLFFPGPGLLGLLGKFPKAFLGVMVLGAGVELA RVGVRNVEGEEQDRMVMLMTAGTILAFKNDGVGFLAGMGCYGGFKVAAWMGGGKEKGR GGEQGLLGEEEEEEEEEGRVDEESPLLR NEUTE1DRAFT_76111 MLTSAYSLRRLFSTGETDVASATPDTSKSSCYGTMGHRTHIAHA MCGFEHETRKRDTPITQLSQAGHSTFHKDNSRSSSVYDEIVESYSDTAPKRHQEEFSS TARNTDSQPALRREEWSTGAQPRNKPTSPRLSPRNGTFFDAFRNQQYSGYLKPPPLSA SISRPVETARPQGRSRSSPVPLMHRQTSRLSLFPRIDHAPTVIPSPSYSAPEISTPSH YPASSTAISVSSTRPLTAAPPPPPVPPKDTSLRPPVPRKDTPSLPPLRVPSQTLSTGG DASMRNPIKGPALYTQPRPVPSTPGPCRHQRQAMRLNTPYMQMLLALDKIPRLHNILV SCFTWLLLVAFIIVTGSFHTPSGSVVYPMEPWFAVSLGCMALGILGCLWLGIRWRRNY VWLINRLYMPLFLNGVAGVLATLVSVYAQHEGGWCFPAVIAMVVEGVLMVVSVVLLGV YNFWLLRRVKKFKNGYYGRGRKEWEGEEKEERFKSRGWNAPPHAPGSIV NEUTE1DRAFT_76114 MAPSMFKLGAVALAYTFGVSALSSPASGKTYQLSESYNSANFAE KFNFFEGGHDEKVKDPNSGFVKYLGKDAAVSSGLFKTEGDDVRIGVDSTTSGVAGRKS VRLESTATYNNGLFIAKFSHFPKPVCGAWPAFWMVGDNWPEDGEVDIYEMWSLSDRNM ITYHTGKPDKVGECLLDPDTHTEVSQTFNCDNSALGQWVNQGCGVMESTGQWGNPEGG VYAFEWTDEHLSVWSWATEPADIENGTPDPATWGKPHMSVTSKTCDVERGFKDLRFIL NINFCGDAAGPQFGNDARCAAKANSCDAYVSNNPQDFEDVYWKIKYIDVYQLQQALPS TTSSAISSSTTSSAISSSTTSSAISSTVTSSFVSVVSSSAVSSSEVVSSSSAVVSASA SAVRSPEVVSGTKIFSNIASTSVVASGSDVVSITATSSAALPSGTNGTTDCDDEDEGE NDDYSGIFAPGGSATETGSSPVITSAPSGPSAGFHGNSSTGVGIFPNSTITAPQEWTT STVYATSYYTVTSCAATVTNCPARVVTSVIAISTTVCPVTQHPAPTNAPGGGNGVPVV SGGSNNGNGNGEGVPPAATGSAPSTGSGSGSGSGSSEVPVPSGSAPGSGNGTGNGNGS GPLPTVTLPGNNNGAVDSTFSAPLVSNTGLPDSPAGSSSSSHYSLSIAQPPLATATED APVIAGAGKNALMSVGVAGVVAGVAALLAL NEUTE1DRAFT_115848 MVWERNVWGFVFVSACCEGGYEWVVRAALNIVASVDNCNGRKRR RMRTKGND NEUTE1DRAFT_119856 MKTFTTFASLVASATFANAQGLYIDLEGRARCTIPNASYCSGPY IVQCDGTLALDRVNCNAILVEAAPAGNDGQATCWESSAVSGNAACQKNCRVYAVPAPF NLAPDECTPYFPRTTTPAPTIPPTSTSTTATGAVSTTGTTTVTTTATTTATTTGTTTA SNTNTDTNTNSAPTLPPPTTRQPSTTTSASSTWDHHHWTKSHGPWPEWTTTASASASA SASASASAAPTRAPHPGPWTKKPWPSHKTKWETSTDCETDTVTATAAPVTTLPTKVPV PVPVPVPTTLTTQVPAPPPNPPVVVPTPPSPTVVVPTTPPASETSAASPIGVSPPPVV TAGAQKMKKTATAGLMIVGGVVFWFL NEUTE1DRAFT_57434 MVHSEADYVMIRDADGTESPQRIFRRHKVLPRPGTASSTGSRSS SPARPSRTTQLRRSRHRPAGPEPPPTPPAHSRASSSAKSMKSIDQSSPTNSGSPLQST DNVQHVEPRLPTTPPNQRTPPTPNLTPERIPPPAAEPPRRPARPHLQVQTHAQAQAQS RPRPRLAVDGRMPSNATTIDSRSESFRTAPEVPVTPGNEDDGNTTVRPEISSRRTSRS TIRQANRGVKLQPQTVGLGIDIGVNSSVGDGLTPETEREVQLGRDTYDGGWGDEETQG GNLNSDEDVEEEWDENLERNVIVSRRRAAPSSNITKDRNSVFMGPPTTTTVTPTTNAT TRVLPLRAMNLEEQQRGLPLSEPSTSGDTRRFSTTSNQSGTSTIMGTIVVGDDTGSQR RNTLRHVRKPILPLRDSVSDLAPSTTSFASTPAPALVPASDVFQRRPPPPEPKLGAAP RESYFSTTSISSRKARRDVWKTGSIPVVIVPERRTSLKSNGRPPSLRSMSSRRSRRSQ SLSSVGRSYASASNFTIDIYMDQPRRSRTISESDRSRAGDPRTADFPPYIPRRSSSLS APTSRNVSRTGSLTAESLRAHDALYEQHKASQTVERAVERLQREKRPPPKPVNTSRHY RKTVDGNELEPERRQSGTINIKFSPSIQSSNFDNSDPRTPRSFPADHNDDGGPRHSCE YNKRNSVQHTPFSQASVETGASHAEVSEARAILIHTHQNTSVMIVDHSSKPSEASSLD RQRLPPTATPAIAATDAEGNPLIPPQDLSREDDNFSPLRNPRAPPKPPPAINFIPATP SGLTPTTERQKELGNFYDDMPESGEPKPESGFAMLRRKLSRRRTSETTGTRPGFLTRT FSLSKYTKNYESDGGSSGRLKRPHLKRSFTADDAPVEPDKLHPDWRPSYQSDFSDSGS EDEEHWDYPPIDNRPQRPGLMLKRSLSQRMKKAFAIMPLRNGSKYDATYSTDSGDMPD RDKRTIRRTPSGNLRVMKIRQSLESMAQSMARPSISSERPTRPSISSPSDTSRPSIST EAPPRPSISPDSSSTRPSMSSENPRFYPPRVRRNYGALFQRQRPPPPAAAMSAAPPLT PSSVSTFATGQSVSPPITTTAAPVPPPMKRRGSLSEKIIPVLNEKVNIVRRMSEKRKE RKRDEMRKTISHPRVVRDGLGEVIKHDTGREIFAMSQGQPQPQQGQRQSPPAVRGSPP RLGRGSPI NEUTE1DRAFT_57436 MLRPTFIVSCLAAVAAAHGDHDQKVVAGPHQGLWYNTLPGDGGT QADSVFSGISTFGRLPYLPCLASKDINYDIAFIGAPFDTGTSYRPGARFGPSGIRQGS RRLNLYGGYNVPLATNPFNSWATIIDCGDIPVTSYDNTFALSQIEQGHHSILSRKPTT DSALPGPSLQGKTLPRVITLGGDHTITLPLLRSINRSYGPVSVIHFDSHLDSWRPKVF GGSPSEVASVNHGTYFYHAAQEGLLRNDSNIHAGIRTTLSGPSDYENDGYCGFEIVEA REIDTIGTEGIIKKIRERVGTEKPVYLSLDIDTLDPAFAPATGTPETGGWSTRELRTI LRGLEGINIIAADIVEVAPAYDTNAEHTTMAAADALYEIMSIMVKRGPLSVTSAPHDL NEUTE1DRAFT_144095 MSSSSSSTSGSQQQPPIILYHYPFSPYAKRIVWYLHLRGIPYTQ CLQPMILPRPDLSSLLGIKYRRIPLLSIGRDVYLDTRLILSKLEDLDLHSLNPKLSAA PGTDALALQSLLSHYSIDGGLFSRAAQLLFSLDNPLLRDPQFLADRAEFVAGGGGNAP PSKFSREEMAALGPEALADLRDYCQMLETTVLADGRDWILKNTDDNNKPGLADIEAVF VLHWVMSLPGALPKEQFNDDKFPRVWKWVERFRVAVGETTPTQQTAAQKKGDGNSKVA TVKGDKARDLILGAGWNEDSEKKEVEAGEAIVQFHGLKKGTLVEVWPTDSGSAPAHRD VGRLVSLDSREVVIENEKGVRVHAPRHGFRVKPSSQAVASSL NEUTE1DRAFT_35264 MMGPAPRPRPSEKETAQNSVGGREKWMDGMDTRQKGVKWAGILR TVNPVEAADLENGSCSIETAKQEAPRSNHRPGSERAILHGSTSKHSLKLKD NEUTE1DRAFT_57442 MGSSTGAAGRGESKSPKQSNKTSPPRQDGHPESKHEPPLKPSES TTNAEPAKPLAPPPRPAAQQQSTNSPSDYFSQNPISGSLSLEPNPFEQSFGGAPETPG GTKLPPVAALASPSSILPPGSTPFPWGGSNSLRSGPLSPAMLSGPTTSDYFGDHIRGG FPTPNESSLRTGLTPGGSGSMFPAPSPNSTLFAQLAGPAATPGTIDFHRTAISAAAAK AQAQAQAQQQAAQQHQQQSQPPSITSQPASDIPNGIPPLKPETKPPTGPFDPHDNDAA NGLFMLAQGRNASQPPSQSYNVVSAPPPPPSSHSHTVPAPPVQPVNTSPQMNGNVSIA GSSARGVSEVSIGSDDSEFARPNTRGKGKRNSTGAATTGSRRKAEETPAKTPANKKTK TNGSVSSLNGMDYSGSEDESKPGKDDGTGSKSKMTEEEKRKNFLERNRVAALKCRQRK KQWLANLQQKVEMFSSENDALTATITQLREEVVNLKTLLLAHKDCPVTQQQGLHGAFM QQAIEPFSHQMNPYGMGAGIPNQPVGMPPNVAPRRFS NEUTE1DRAFT_115849 MWCLQGHTKLASLVFRAAFLLAFLFNFFLFGVVGEEEPGHGWTG RRAGGGRQFGEAFFLQSDLVKRYQAEDKGVSSGSACSLNSGHGEDHKKLQV NEUTE1DRAFT_57445 MTTVVRTRQPLQILSMSSNQRRSKRLAGKLQSLTSNPKRDAAEA VYDEQDGDFLFTRGSKRARTTLIAPASTLEDAENEPVVISVPAKKPVGRPPKNSARKR ASSPVQESPPPPPPAAQQQQISGPIPRRTSKRKSNGGAAAAAPPPAPAPSAAVSAVNE EDAASKSRGRRKGLPPKQQKNDEVPRPVNGMRAVPEPEDEEEEEDGPNLVGATPMDID DTRDVRTKGRKGAGGRGGGRGSSSEPQQIVLPLSDTPIINRNKEMRKKGGASGSRRSS LGMRGRRASSLIENGHSAIPHREVDPSEFYKHIEAEGLSEPRRMRQLLTWCGERALSE KPPHGSHGSSAILGARAIQDQLLKDFGARSEFSDWFAREEASKPPVVLQPNPRNLEHD AKIAELEAKIKRLKEEKRAWQALAKPLPDLEPLYPDSDPTKAPLPEPSLLDAEEAKIL ASLVEPDTAFSSFRRRTRSRLQNVQSSLEFKIDHLADSVHKLDMRVSTAGREADQVLM LSAARLKEREEREKGRVGTRDLPTIEVLRSLGRILPAEGG NEUTE1DRAFT_115851 MLFPTPDLNAKSASPMVPHAFFERHRICLQNQISPPLPPIVLPV PKELSPRSLNKNNT NEUTE1DRAFT_115852 MASSLRALRPAAATFARAAAVRPASVASRYVIAFSRSASNDSTD NQQKKDLDVGELQGATFKIEPLRRVGEDPATMRARLLYQSRKRGTLESDLILSTFAAS HLGSMTPEQLKQYDLFLDENDWDIYYWATQEPPLPGQENQHLQNSEEALSEKEQLPPN PRAGEWAQTVGTFKPAYRPVPARWKGSEILELLRTHVKERGMGSKGGMAFMPRLEEYK NEUTE1DRAFT_76132 MASLKSLLSGFLLLAGCAQALKFDLEATSSHHSNQRRCIRNFVN KDTLVVVTATLDGYKGDGMNVNMHISDSHGNEYGKAKDIAGEQRIVFTSHHDAAFDVC FENYLTGSKYVENPRRHVELDIDIGADAKDWSAIQATEKLKPLETDLRRIEELVGEVV SEMDYLRAREQKLRDTNESTNNRVKWFGMATTFLLIALWGWQIMYLRAYFRSKHLI NEUTE1DRAFT_127544 MPKFFCDYCDVYLTHDSMSVRKAHNSGRNHLRNVVDYYQQIGHE KAQSVIDSITSSYAAEGQAHANPMLPQNQPGGVPPGLGFPPPGAGVPPFPPFPGGMPP PFPGMPGGAPVPPPGAFGAPGVPGGIPPPGAPGARGMPPMPPFPGMPGAPAGMPGVPP PMPGPGGLPFPPPGGLPFPPPGAGNFPFPPPGAPGAFPGMPPFGAPGQGPPGADKR NEUTE1DRAFT_127545 MDTFKDDPLVQAVTAYVKNYMSNYDASHDWSHIERVVGLSHYIY AKSANKDSLDLRTIHLAALLHDVGDKKYLKESEDPTTLIATLLTSFSCPAPLASKIQT ICLNVSYSTETKNPANPGFINSLIEQHPELAVVQDADRLDAVGAVGLGRMFTFGGAKT GRDMAGSMEHVDEKLVRLVDLAKTDVGRELMKERTERLRVFQGWWEEEVGFVRRVGEG RG NEUTE1DRAFT_34784 MSQTAANSGGISSDGLPPVSPISLKTIPMAGLLVDVYGLDELPA SVSRVSCLWLHHQRTRNKDHMREIAARCVGAWNESSESEKKDRGLIALAYDQRNHGTR LVHDPANGAWREGNKTHAQDMLGGIVGMVGDQTVLLDVVESYLFHDSAEEGQQRKVID HHLALGISLGGHSVWQLMFADPRVRAGVAVIGCPDFMSKSVLVLLRSGNTIATNHLID LITDRARLSKLSTYSADTGAATFLGSKDFPPSLVTAACKTDPKGILFGTAPIPSFTSS SGSPSPSTTDEDERQRRQILLERLQGKQFLLCNGAEDKLVPPRCGEAFTRWFKEAADK YKEARISVDERTYAGVGHTFSVGMVKDAVEWVMEVVKNADADIVAQARNGRSKM NEUTE1DRAFT_144103 MLSPPNHLIIVCGHAIWLGGPENGWDESEWLIEPYKKGETPTFI AHIKAGLEELAKDDRAVLMFSGGPTRPETRLSEAQSYYNLALANSFFDLSPSFGPSAA PFSNRIFLEERALDSYYNILFSLIHYWRVVHPQHPQHAWPERITIVSHAFKRNRLVDG HCAAIFGLDSSSLELEERVRFVGINPPGVGGDGVVERDKESNEKKEAMQGVQLALGQW AEDPHGVGEELAGKRRARNCWGVDQRLFFSEEERRSSGVETRILQDGSEVLVDGDKPR PWAR NEUTE1DRAFT_115854 MKHKSVTVIAKIGLDHQSFLGNTVEEIALQKAGIIRSGVPCVVD DSNESSVLKVIQDHAKEVGGVELHYPSSDSALVQVLTEEGYEPHQVQNLACALLAFRL ACPDVDHSMSRLLPIVKQLQWPGRLQKIDLFPITGQHQAALLDGAHNSQSAEVLAEYV NKHYRSRSRSITWVLAASRGKDVDSIMSHIIQPGDQVTAVQFGPVDGMPWVKAEDPAN LVHQAIRYGVDTQTTHNSGDDVRAALEWASKAAGDEPIVIAGSLYLVSSVLRLMREAE SDQKA NEUTE1DRAFT_97901 MSQRTKPYRPGAMGLQWGMLQPGEDPRAAQPLHLSVLSKPQSPE ACNPVLSPFVRALSPRHHQPSRSLISSLAPSVALSLNPKRTVCRDIATQVNTMGLRLY QAPVESDIQSKSAADKNSAEARSSIRRSRLQNSERVQQRRRRLAITAAINSGNAAAAA GNNHDNLRRRSPPAERSSGSNSDSNSNNAPNNAESSRDTTRDGASRIGGMLDDQVIAL FGRRLAHLHSLSQYPGALTDDEDPEPNPFLAHMTAEPPFAHMAVEPGFLSRRVPPPPP PPVEPRTSSQMNRGPPTPIRERAALRRIRDRTADRASSLYGTWGNPYPRPDAISGRRR GEQAPSVDAPQVDGLGDRNRSFSPEDLSPEGDHVWDIFTTTLTPDPLTPSSGSSFASV SASAVASQNAAAPSVGTSVTVPDAPVEVAEAEPPCESGGENSDTEGDEEDELDVNPLT RFPLAPSLNSIRRSYADVTRNDSSDDSLEILGGMGGLQRIVRNLATREDVPDEWWAEA GLSRMLSRGASGN NEUTE1DRAFT_57465 MAPNPPGSFSTERSYHGGNGKAAAVVATKVPGTPRREAGGHGRE KAVQDPGLKDYRLGDCIGKGAFGSVYKAFNWGTGEAVAVKQIKLVDVPKSELRMIEAE IDLLKNLHYIGFVKSADCLNIILEYCENGSLHSICKAYGKFPENLVGVYMTQVLQGLQ YLHDQGVIHRDIKGANILTTKDGTVKLADFGVSTSTLAGPDKEAQVVGTPYWMAPEII QLSGATSASDIWSVGCTVIELLQGKPPYHHLAAMPALFAIVNDDHPPLPEGVSPAARD FLMQCFQKDPNLRVSAKKLLRHSWIQGCRRSDAPISKAPSNFIQAVEEVKQWNKALKS SEATPRTSIGSDHGNPSTQNRNASGQHRPNLSISTKVPPQQAKPKANAEVFRSPAVEA DDDNWDNDFATAISPSALHLPHIKGQDNFGGLLSSDRLKAFASIDSSREDTENWDEDF GGEFEQTIRPLPKRSDRVSDSKSSQQGHRRQRSSKTTISSASQTKSPVRTQFGNKFEL PPRPESIYREQSTEDYSDLFGDTDSVFNSRLNVAVKDAPQLFHPSDLTSLPRSTASPV NGSSGRRQSASARPPSAPEKPAVRRTRMTVEITKFAEDEGDEDFSDIFGAEQESFTKP PKSDEGSEDGHQLMLLSKLSNNSWLGDDEDEDDPFAMMDPGWDEMDLEANIARDRHAR LAEKVEERVKSLKPTESEDILADLAEDLLNLLWENSEVKDLIISAHGLLPILEILEPC TVKSRQHMILQLLKIVNAIILDDVELQENLCFVGGIPIITKFAARQYSNEIRLEAAAF VRQMYQTSTLTLQMFVSAGGLNVLVEFLDEDYDMSPDLVLIGVNGIWNVFELQGPTPK NDFCRIFSRSKILDPLAAVLHKVLDEDDRDELSELIEARIVNIFYLFSQAENYVKEVV AERFVLKTVLKDLRRMTPAHQITMLKFIKNLSMLSTTLESLHSADAIDYLIDVLSNSM KRGQQHFREISNQVLNTMFNLCRLSKERQEYAASNGIIPLLLKIMKTDRPPKEFVLPI LCDMAHSGSKGRRYLWQNNGLEFYVSLLADQYWQVTALDAIFVWLEKETAKVEHHLLD GNFTMAITSCFNTNKANAFDANLLEPLLKLVRLSPSVAASLAKAEMYAGIAQKLSHKK AVVRLNLLRLVRSIMDGCEVNNTPMATLATSTAGRALRVLFDDIQILADKDPAVLVRN LASEIVRSHIDIDLQHDVAALGALNMGAGPSAIPNPLNNLSSGPRSRSGPRRNTSYTP PGLHASMSMPPTPTHGHRASHSSSAAYIEVASTPKRSGISLAQEREAMYRPHSRDGGV PLINIPRRVSQDTSAGIPSLSSSMGSAGGLGTPSRMRLPRMSMTQYSSPRPSLSSAAT APGAVSATGMGIGNSGIASSRAERERERSESSLSNHNKENYGRIRSGSSVAGPEARIL GGGGLYSVSPTGSAVSLSSSFQGSGGGGGSEGFSRSYGARESSFSVMGGSAGAGNAKR RSRAPSSDMRWS NEUTE1DRAFT_119869 MTLTSILLPRWITCSVPSPQTSHNHGHHKHYHIIHDSSPVHVAA ASSGFHDYIGLHQRCQTPVVNDLVQVWYYNNPSDSAKSVSFAPIKDRHAKHAKQHTEQ EKLECIPFPDPRFCSGGLHANSTGLGPGPPSSPPPPPSPLPHPPNNPNNPIPDPDPSK PPGPDIPDPGSSHQQEERRFFCNMWKSTAFLMNLFVVLEVATLVGTCKEGGGGEVEVD EVGEEGRKAYLVGNMVDQMDQMDQMEQMNKVDKVDKVDKVDKVDKVDKMDQMDQMDQM DQMDKAYLFHHDDQFNRIPGYRLGASWYLCMFGALISMACMAGLVASAFVLPFEDALV VPFEDECDEDSEDDNGGNGFMALTIGNLNSLRAEDAGYRQTESMGLYVHSSQVRNTDT LKVMVDQGDRVLADQIGVCIIHLPKET NEUTE1DRAFT_57468 MSSSRYSLRQTPRKKELFQGMVETPVRRNTRRSKSQVPESSDGE SSASATETVSKQTSAKAKSDTLRKRNLKTTGKFFEHIDEEENTMQPTPPYTSSDEPDV ENAPLLSGNASSSRSSLDANGKPGAEKLIDGWRPGMDPKIDTSGHFEFGGSLGTGAMM IFFPILMWYMWIGATQYNGGIPSREPGQSWADFAAHLCDLVYTKAFPHAKAWLWYWTF LVFEGAFYCLMPGVTAYGKPLPHEGGKMLKYHCSAYVSFYVTFAIAGVLHFTGIFPLY QIIDEFGPLLSVAIISGFLVSIVAYVSAFVRGATHRMTGHHVYDFFMGAELNPRMFGV LDFKMFFEVRLPWYILLLLSLGAAARQWDRYGYVSGEVGFLVMAHYLYANACSKGEEL IVTTWDMYYEKWGFMLIFWNLAGVPLSYCHCTIFLASHHPDEYRWNRFALVGLYVSYL FVYWVWDTCNSQKNRFRAQERGKLLYRKTFPQLPWQTVKNPQKIETETGDSILVDGWY GLARKVHYTCDAYFAICWGLITGFSSPFPWFYPVFFCCMIAHRAWRDITRCREKYGDA WKEYERRVPYLFIPYVI NEUTE1DRAFT_115855 MSDLCPVYAPFFGAMGCTAAIVFTCLGASYGTAKSGVGIAAMGV LRPDLIVKNIVPVIMAGIIGIYGLVVSVLISDALTQDHYALYTGFIQLGAGLAVGLAG LAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALLMNSKATLNTS C NEUTE1DRAFT_115856 MSGQNESAAWPKAEDGALVQELLDCVQQASHYRQLKKGANEATK SVSRGTSELVILAADTQPLSIVLHIPLLCEDKNVPYVYVPSKTALGRACGVSRSVIAV SLTSNEASDLNSKIRALRDKVERLAM NEUTE1DRAFT_57478 MPGLARKVLICAAVDGLVLQPLHSKKDQQRTSLIKIKYGTASVS QTSRDSVPELSNPDSSFEAFGIVGILTVFRYSYLISITGRQQVAQIRGQPIYVVTEVA LTPCTSQNDAAEAVAKTALALNNREAAHSDDDTASEDDAPRDSGSNDEAIDDVLDHTE GEDSSGETGPSAISRSSIAQDVITRRGSYGRFAKSWFSRSGWTMDQKRNMGLSAEQLE RANASKTEAKKGSDAAKRVPRKFPLPSDAVEDDSAAVSLLPKLLRTTQILFGSSRTFY FSYDYDITRSAANPAPPSTPLIPLVNHVDPTFFWNKNVMKPFIDAGVDSLTLPLMQGF VGQRTFTVDSNPPQVDDAAKDSLELKDLDGDNKAAASPASINAGVGCEETHPTEKRFD ITVISRRSTKRAGLRYLRRGIDEGGNVANCVESEQILSPAEGTSVPNAKIYSFVQIRG SFPLFFSQSPYSLKPAPVLQHSPDTNYAALKKHFEWLRQKYGLIQCVNLVEKHGVEAP IGQRYEENIKRLNEEESRPEDEQIAFEWFDFHHVCRGMKFENVSVLLEILGPRLEKWG STVVVNDKVETQQLGAFRTNCMDCLDRTNVCQSSFAKYMLDMQLKELGFDMNLQKDQE NAWFNTLWADNGDAISKQYASTGAMKGDYTRTRKRNYRGALTDAGLSLTRLFNGMFND FFLQTTIDFLLGNVTSLVFAEFEANMMTKDPAISMQKLREQAIELSHKRVIADESEEF IGGWTLLTPNVPDTVRSSSFEEAVLLLTDKALYLCRFDWNLDKVSSFKRVDLAHVTNI KFGTYITSTISAAEVDEMRNVGLIVEYNPGVTDITRVNTRSLTTSVSDASKMGREPTS GIDAAEQEPQAAKDAAAAAASEEKPAPVAAPIAGIAEGIAGFFAPRSQEPQARKIALK ALHSITSAADPMAKSKEDEPGAITRLSEMQQVILIASEIERLAIRSQPKLQSKKDEES GLIEKGGIISLADAKKNTGLLEQLGHSLKKMVWA NEUTE1DRAFT_34580 FHIVFQKRLLYGCARLRCNMDPIEREKDPAPTLCAVSIAPDSME VPASSGSTKRRRPQQANQQQESIKEEVEDERYEPFPVLDKKHQQIILNIFRDTFSDVL FSDTFTSTLQAVKQALYEREFAKAFGDPEYLAVYAARWSPTRALCYSSILGGIRRHLD SIIIEEETEVTSEGEQKQEEKDEETETPKDNTEEESLASQTANLSLSPQRTIPKLNIL SIGGGPAELVALGSFLNQQSSSQSSPSFSPAPLSGSITLLDSAPYSPLLSALQTSLTS TPPISKYASAAAKAANVPLLTPASRISSNFFQHDALDLGKEGFLGLLAGDKKKKDVLR PTLVTLLFTLNELFTSSGIGKTTRFLLDLTASLSLGSLLLVVDSPGSYSETTLGKEEK RYPMAWLLDRVLLATDKHGRATAVVEGRKWRKLETRKSSWFRLAEGRGGELGLDYPIG LENMRYQLHLYRLVDENAPEEEEEGGEGSEGSEGSDEE NEUTE1DRAFT_115859 MSSFTETDQLAINTIRVLAADATAHANSGHPGAPMGMAPVAHVL FNRFMNFNPKNPKWLNRDRFVLSNGHACMLQYALLHLYGYALTIDDLKAFRRIDSITP GHPEAHDTPGVEVTTGPLGQGISNAVGLAIAQAHTAAVFNKPGYDLVNNYTYCFLGDG CLMEGVSSEACSLAGHLQLGNLIAIWDDNRITIDGDTNQAFTEDVLKRYESYGWHIVT VLDGDHNLDLIADAIQKAKEVTDKPTLIQLKTTIGFGSKKQGTHDVHGSPLKADDIKQ LKEKFGFNPEESFAVPQEVYDLCHKASAKGAAKEEEWNQLFAKYAEEFKAEHDDLIRR QKGELAEGWEKHLPVYTPADPAVASRKLSENVLNKIFEAVPELVGGSADLTGSNLTRW KGAIDFQPPATGLGTYAGRYFRFGVREHGMGAIMNGMAAYGTLIPYGGTFLNFVSYAA GAVRLSALSQVRAIWVATHDSIGLGEDGPTHQPIETLAHFRALPNCMVWRPADGNETS AAYYVALTSKHTPSILALSRQNLPQLEGSTIEKAIKGGYVLHEVEGADVTLISTGSEV CIAIDAVKELKEKHNIKARVVSMPCMEVFDAQPKDYRLSVLPDGIPSLSIEVMSTMGW EKYSHEQFGLNRFGASGAYLDVYKKFEFTPEGIAKRAIATIDFWKDVPNIRSPINRAF QQLI NEUTE1DRAFT_119876 MTDEDKAKAEKVAAAKKRLEALKKQKAKKAGGASKKEEKKEDNP PASEEAPAETPSSPKDSVNETEEQPSEEVTSPTAGEPTAEEPAATDTTTAEEEEEKAE SPTPLQTPTLSSLAEQSRARSTSFRNASISGAPGPLSPSFLSPEGETAPDIYRKHVSR IEELEKENKRLAKEAADAEKRWQKAEEELADFREAEDGKQGGEGAENVEKLKNELAAL QRQNTQLQSQLARAGGNGAGGGAHGRSPSISVGGGGSPSAATAQLEAQLQSKSATIET MELEMSRLRAQVEKLSASASGPSEQIAALEEKLARAEKAAGLAQQELADLKQNLDRVS KEAVKEGSQRASAETKLRTLEKEVEEANKAKDELAKKAEALEKKVATLTTLHKEQDGR TQALRKDKELVDKEVAELKQTVEKLEEENLKLRKKDAREGGGVEEGLDELEEEGRLKL EKRIRELEAENTDLRRGIWHEKRKELQVGPEAFETVDLGGGLHSSAHGHQHHKSRGGL GDFFTSGLNALTGAAGGSDHHHSHGGALDELLEDDDMEFDEEAFRRAHEEEQKKRLER IKELKRALKNWDGWRLDLVENRRGGGEGIGEIFEV NEUTE1DRAFT_37285 MNPANNVAESLRRLEEEVEQRQSILRLINLPDKTSEKIYTDKVR LLNGLHAVEQLELLGSELLDNFSIDQFGRIQVHTPDSLCKSFAKEFIHVRKVREARSI LMSLVKELLHDIREIWRAEGPRYPEHFPWFTLLWGVQELGNSEHPIPETILDSAAEIK SRVGGSVTFVMPLGYATLIGEA NEUTE1DRAFT_107346 MSSSSQHGASRKRKRDAAQDSESQGIDDARKRIHRLTRILEEPD SSRISDEAILFNATSAIEFMDDFEKLVTATDISISNPRDILEEIGMDGFGRLFIKRWK QSDHTYHSIFRNISFVIGNRRDALKAVKELLGQVHKLPGISPCISIVWDLASFAHTAA EKEMQNVDYREFLKLCDKAEEKLGQKISEVERMIQFYSKLDIRDAWTVDTLKSTSIFE LLQLRPDDVKALNDLSSVQETAAFLKKKLEPFKKLHGLIEALQMEL NEUTE1DRAFT_144114 MADLAEPEISLPVSGLSHKSEGAPSTDGEAILTPDTPSTPPLNS SPGEHDPNTPTLDVTTPKSPAVLSRNSSFSGSISSTLHDEWDVFPPLDRLTVLDLLDN FALPQQLEKLQRGIHARTEKMRKSGEALKEKSAIARERMVDEWRKRVPTAEEQLERYK KRMRVNVDKLGKRWNDTKVITLREKVAFIFGVMNIFISGYLIGAFPEWFHIWYTVQIL YFMPIRFYRYHKQGYHYFLADLCYFVNFLLLLSLWVFPGSKRLFTAVYCLAYGNNAIA IIMWRNSLVFHSLDKVTSLFIHIMPCATLHCIVHLLDPAEQRKRFPSIWTIKTSPPGS PTAYANVISMLAWSTIPYAIWQLAYYFFITVRRRDKIAAGRPTSFTWLRKSYSKNWLG KAILNLPDSLQEPAFMLIQYTYAVLTMLPCPLWFYYRWLSAVFLMGVFTWSVYNGSTY YIDVFGKRFQKELEAMKAEVAKWQHSPDGMVHSPTLTPHAGAQEEENKRLGEPTKNNN NNTDSNDSSGSSTSSLDNIPLLTEEKSEPPASATATATGAEVDGGAKDVARARRPGAE LFTAGAAPS NEUTE1DRAFT_57489 MGKLIRLELFNFKSYKGHHTLLFGDSYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSTHLRDLVYRGRVMKTSKIQEDGTAAPATNGVNGHEDGEDEDPS QRSSRNDPKTAWVMAVYEDDAGDEQRWKRTITNSGSSEYRINDRVVTAQQYNDALEAE NILIKARNFLVFQGDVEAIASQSPQDLTRLIEQISGSLEYKADYEKLQAEVEQAAENQ NFQLHRRRGINSEIKQYQEQKKEAENFQRKTEERDEAVITHILWKLYHFQKVMDESSA QIQEHQENLKEFRRNVEAFENKLDAARKEQATVGREMGKVERNIKAKEKDIEDKENSL VPIDEKIAQSTQDMGVLRKRIVEVKKDRDSQASSISKLQKDLATVEKAQQQFEKQWAE TLKKQGKELSDEDRKEYTSLQAEAMKKTADNRAKLANLTRQLKSDEVTVNSLKGKIDN FEAAIEKLQTEVQSIKDRKDASQDAVQQLRSDIAAKKKEYNKLQSERVRINQTRTAQE EKLREILRKLEDAESGRRQNEKETRLRNMISDLRRIYPGVRGRVGDLCKPKQKKFDEA VITALGRDFDAVVVDTEKVGMDCVQYLKEQRFPPLTFIPLDNIKVNSSVSAVKGISGA RLTIDTIDFDPSLERAISYACGGSVVCDNLHIAKDIVYGRKIQVKAVTLEGFVIHKAG TMTGGRLPNEKGGKRRFEEHDIQNLQRMAQSLKDEVAALAHSGRRTAKEDALLVEFTA LEQRLKIQEGELAAFEKNLKSKQKELDHQERQLDDYEPKYEEKHGELERTRATVQKFE KAISDVEDKIFKDFCKRLGYENVRAYEAQQGTLEQEAAQKRQDFDIQKQRIQSNITWE TSQHTATSDRIHSLERTLQRHERDLDTYRQEKASIEEELAEDREALEELEQSLEELKV SHAEKTKKVQEAKQDLQRRSRDIEVRLKEISNLEATVQQSSAQKLALLRRCKLEQIQI PLQQGSLDDIPNEDMLLQKDQDAMDVDGEDEDQEAELLEAAMDDYGVEINYDNLDDAL LQDPNDEVEEKLQEKISALTAEIEKLNPNMRAIERLESVKSRLESTEKDFEDSRAALK AARDAFNQVKDKRFELFNKAFTHIQEQITHVYKDLTRSDAYPLGGQAYLDIEEDTDTP YLSGIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAIHSYQPSPFFVLDEVDAALDN ANVEKIKKYIREHAGPGMQFIVISLKAGLFQDSESLVGVYRDQDVNSSKTLTLDSQVQ LSSHW NEUTE1DRAFT_144116 MDIAKTLIRCVVRAFYSTQEILIIDALVQHSCLRDDDLGHLMKL GNKDLHKACAGLRDARFLVVHTRPELQAGKTRPQNKTYYYIDYRQTIDAIKWRVYKTD KDMQGIAKPSEENKEYVCPRVGCEAQWSQMEVLDSVSSRGFTCQRCGAVLEQAKEREA PGHQQLSRMNNQFKFMTDMLQEVDKVVIPECNFDLALANARPIVRLETHEVAPSVPVD TALNKPSAVKGLANTGPKTMQVIISTGDDDAEQAEAARKRQEELLRQNALPEWITSSS VPTTGGFKGSGPAAASLLDSSSAVNDEAAVKDEPPAKKVKMEIQGDISVKDEEHMPMS FKMEGGDEEEDDLEFEDVM NEUTE1DRAFT_76176 MTLDFPVIFLLPTNLGADELHELEEQIPTLTYDINEAEVILGNV HRKQRVLFELRKHKLVTEEISTTETDEPTSPSLKRRRFQTPGSVITEDSDTASDGDFH PLLTQSTQSTLKAAPTTVKVVKLAWFTESLKAGTVLPIEDYTLYRGRKQQSKPAKLQQ ASPAKAANIIKRALADPKPSSHGSRPGSSHSTTSHGRRDHFITKAPALLHMTTSEHEV ELPPIPAYLHTTYACQRPAPTHPPNEPFIEELTKIRTARTLLGDKIGVRAYSSAIAAL ISYPYLLQSAFEVARLPGCGLKIAQLYQEFREQGELQEAKEDESDPRLAVLKLFHEIW GVAETTAREFYNKGWRDLDDIIEYGWDTLTRVQQIGVKYYDEFQQKIPRAEVESIANI ILEHANKIHPGFQMVIVGGYRRGKLASGDVDVVLSHPDKAATRGFVEQIVVALEQSNY ITHTLILSTANTERGQEPVAWKGNEKKSGTGFDTLDKALVVWQDPHWTTGEKKNPNPH RRVDIIISPWKTAGCAVLGWTSGTTFQRDLRRYCKKERSLKFDSSGIRSRADGTWVDF ESGPNGEPAPDMLTAERRVFEGLGLEWRPPEERCTG NEUTE1DRAFT_115862 MAIILTLPDEYGYVLLATVSTFFANSFHSINTGIQRKAAGIKYP AAYAPQEVAEKDPKAFAFNCAQRAHANFTENLTPAIGSMLIAGLKYPVLAGALGGLWS LTRVLYTIGYTKKGPQGRTKFGIASSLSLLALKLMAAYTAVQIAFH NEUTE1DRAFT_76182 MVRRSIPLLCCVIQPWICQAASPTTLPKADESIVLPRQVNGAIS SSTFLRRGYQSSAVLNGRVYIDGGEVSYYSGTDITFQYSNSLLSIDLSQDWTSDSVRF DSTSKPSGAANLAGGGLWVDETDGVLYTGFAGTKSNFGDNADQPQGLWSFKPDGTGGG TWQNLNNTADKTFTEQPRPYKGKTASGNGFGYFLGGYERFGTNASDLTEAPMSSLLTY DFASKKVTNETVNLASTQGSEQFGSMLYVPNFGKKGILVSVGGLIAKLQTDNNDEKRV SLDTARIFDIDSRAWFEQSTSGTPPAPRQEYCMAGVASDNQTYEILIYAGWGGHLGSA SVPFDDAYVLTLPGFYWTKAPYTARNPRHSLSCNAVGGGQIITIGGVDTTREGPITLY KGVFTTTDPFPQGIAVFDLATLKITDTYKANRTSYSPASAIQSYYNDKGRKPESGFTN SQLESVFANQNFKATDNSDASLPPTPTNSSSPSPSGSKDPSSNTGSKSSSNAGAIAGG VVGGVAAIAAGIALGYFLARRRTKKKGLLENEEQQVQQQQQQQPQSPQGQQQQPQEPQ QQQPQQVQEIKWQPGGYEPYPAGGGEAPYTDGRGYDAVGYQHPQAYELPPHHVVHEMP ERRNVSEMP NEUTE1DRAFT_76183 MDYEALKEQWGEVEDRDGVRLSWNVFPSTRMEASRLVVPIGALY TPLKEKPDTPLLQFDPVSCKQPCRSVLNPYCQVDVRARLWICPFCLSRNPLPPHYKDI TANAIPPELHPSNTTIEYRLSRPAPAPPIFLYVVDTCQEEDSLAALKESLIMSLSLLP EHALVGLITYGTMAQVHEIGYTECPKSYVFRGNKEYAAKQVQEMLGLVQPAMRPGMPM QQPGRPFPAGPASRFLLPVSQAEFQLTKAIEQLQKDPWPVAGNLRALRCTGVALSVAV GLLETSFQNAGGRIMLFAGGPATEGPGMVVGPELREPIRSHHDIDRDNIKYYKKALKF YDNLAKRTAHNGHTIDIFAGCLDQVGLLEMKGLCNSTGGHMILTDSFTSSMFKQSFVR IFEKDADDNLLMGFNAVLEVLTTKELKVTGLIGHAVSLNKKSTSVGETECGIGNTCTW KMCGIDPSSSYGIYFEIANQGGPSQNMQSPQKGMMQFLTYYQHSSGHFHLRVTTIARN LSGPAGDPAIAQSFDQEAAAVLMSRIAVFKAEVDDGPDVLRWVDRMLIRLCARFADYR KDDPSSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHEDVSNSLIMI QPTLDSYTFDQEGGVPVLLDSTSIQPTHILLLDTFFHILIFHGETIAEWRKAGYQDQE GYENFAALLEQPKEDARDLITDRFPLPRFIVCDQGGSQARFLLSKLNPSTTHTSGAGA YGGVGAQSAQTIFTDDVSLQTFMEHLMKLAVSGTN NEUTE1DRAFT_115864 MPPKFDPNEVKVITLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATGDWKGLRVTVKLTIQNRQAAVSVVPTASALVIRALKEPPRDRKKEKNIKHNK SVSFDEIVEIARTMRYKSFSKELKGTVLEVLGTAFSVGCQVDGKSPKAVQEAIHAGEI DVPEE NEUTE1DRAFT_57508 MTHLVQMHHMVPPSFNHRASFQAQHGHGHGPSHGQDYFTYHQGF SHYQEGHQPGHQQSHQPPSNLHDHHVSNLNVTPRLFPSRSTQPTLHRITTTFHRSHGP DHSAEHSLRRKTPRGTIDNGYDGSLTHLASGPPPLKQMALPGPSRLFPGTVDQGLSGS STSSNLQHSVPAGSWPYPAPLTSGSLASATETLSLKSGSVTPLGWGAGPSNVGQGFGA ADSNLLALPIPQFGHHTVSNLQPLLGPGYYTQSMTPGVYSPAALPQPAVHREGVYPDF RNSFNLGNHYTQTGAVVDSAFVPPNSAIQQCLPQVYGPGHAHSLRLPFSAYPLDDGLS RYNQAHFTPHATYVGGNNQYMNSKPGGSFQQGIGEPSSPVHFKDRALHLAHKTYNDLV LYLNHLHRCEATKPGSGHRIAYPRPPRTLTFSIPQFSAPSTRPGATDGHPQHSNQNDA ASRPLSYTSGHSNLHSLYLENVNSHLKPCSQGQYYQAKLPKRSFELNSPIGAAKTALD VLSNLCEQSGWKWVDGMLLGGCLHYALERYDQALEWFRRVLSIDESHVEAISHTAATL YSMNRPDEAEHHWMQAVKKRPSYLEAVESLVNMLIYRHKSPEAVKVIDFVQRSLRMSS PGSAHDQVSETGSETDTASTATIREPSPDPFLHDGDKTDSPETFFAFTAENSRQPGFG SSGYAIPGSENGRMISIIHAKGNILYSLKNIDGASKSFEEAVLVSAGRQVKGLQSLIR LIQSVLNPGNGGMVSLQNSTRKNLSAPLLLPPERAKHTAKLVFAASGGHLPGLRYVVS SSQRRSAVSTTSNALLSLAKILQDAMSNGGSGTGVPQQSPSVGDILALYYLSLSLQES PSTANNVGILLASVQLTASQQVSVPDASTAAPIPGIVPGSGLALALAYYQYGLTLDPK HVHLHTNLGSLLKDIGQLDLAISMYEKAVACDGTFDIALTNLANAVKDRGRTNDAIVY YKRAVTSNPDFAEAVCGLSTALNSVCDWRGRGGVLLANGRYDRWHVGEDGMLEDVTKQ GRGSGLMQRVVDIVAQQLREASAWGCGVLQEQSAMQLMAYLKTARAAIADGTLDLDAE LQKWSGKPWEGSRVLRLIERATKATVRRWYRDRYINGQESASGYARPRVPGTLTVPTA PTVLPFHTFTCPLTAKDVRMISQRNALRISCSTLRSSWIPATVYEPPKPPSPQLNIGY VSSDFNNHPLAHLMQSVFGFHDNTRVRAFCYATTASDKSVHRQQIEREAPVFQDVSTW SSDRLVQQIVADGIHILVNLNGYTRGARNEVFAARPAPIQMAFMGFAGTLGAEWCDYL LADTTSVPPSTLRPHRDNLSLEDVFRDEADAEADDWVYSENLIYCKDTFFCCDHKQSA DGSDERGMTWEEEQRRRWNMRKMLFPNLPDDRIILGNFNQLYKIDPTTFRTWLRILAH VPKAILWLLRFPELGENNLRRTAKLWAGEEVASRIIFTDVAPKQQHIARARVCDLFLD TPECNAHTTAADVLWSSTPLLTLPRYEYKMCSRMAASILKGALPKSEAGRQAAEDLIA GDDYEYENRAIKLANGLTYELVVDGFCMPGYNGAGGAGGGHGGYRASYYGKPVGRLAE LRKLLWESKWTCALFDTRRWVRDLEDAYEQAWQRWVDGVGGDIYL NEUTE1DRAFT_97921 MSIRLGDVDKAGSLMYQTGSNAPPEGRAMVHTMRTKNDLAFMEG LRTGAPICYSDFKAGVVTRSYMTISQFLGLVVEGSESG NEUTE1DRAFT_97922 MIPCRRKHPVLGCNLHRIPDGRDPAELSYNYHTWACWTESARPA NNRSTRLHPSHEMPRSERIRLRASSSGTTLPHTMWLKPDSAT NEUTE1DRAFT_76192 MSNMPDQRRGPWSAGEDQRLIKLVKDLGPGNWVNVARILGTRTP KQCRERWHQNLKPGLNHGPMTQEEAAIIVREVDLKGPRWADIARKLQGRSDNAVKNYW NGLNNRKKNQLRRQSAPRRVSASDVLRSSPGQPPRAHMQRPIRYPQDIYTGSRRPSSP SSFNDSLHHRVHESIEWFPSRPQQQQQQQQQARCTTPFTSFFPPSHAFPTTDGYQDGS DCPNGNTLRLLTPPTSRRLAPFSTLPSPTTSSIGDLDEFDRRQLAAFAAPAAYRRPSL PFPQQSSMGYFPSATEYLPTAPSSPIALIQRDEKNHARIPVTSLLCS NEUTE1DRAFT_119886 MADSTSWLRRFQQSRAQPAKQQLQPVITSTSEDSSVTSVTTIDG NENALPLSHPASTSKHHNNDGGIHVVSNAGSGSGSGGTAFGGNTSGSGPLPPKTPTAG VFSSGASTASLRRPSLPHQKSPSDSNVIGTSSRLLRSRPSATFQRVSSLLNLGASGNG ADSPSATAPSSPSFSPGRPREGSGGSTSRFTFFRPLTPSAAAAPAPSLSALPLRNWSA TNGDDEDDWLGGLRRNAARGSPWHNPNLMQMAETLQAVMMTKGDSMAPLPVQYNSLVH NVLEGFAHLTKRLQALEQELAELKNLREKELEQFRGISEEWIQRENGYKAEIKRLEVV LAKESKDGLASVTLARQDTLIDRSGSKRFQAKLKRMSTTANRDRAGESDDDGDKVVDE RFGGEKTRDRTQSGIRESSLRILPVDNDARISQMVKKRAMEDKRWRRSQLQPSMDTPP TCVEETLVDSSSPTSPSPTKPHSGPRSSQNQHVVSVNGQLYSPSTSSSSTASTASREA SRSLSQRRNINNLVIETGDVSTAVNPRQRRIFSYIEGEAEVLGTASAASEQPPAGTNG IDLPDRNSTSTVHPTAEGSMANLSSTRPTEEDSTTTFTSTSLGSSNLTGLTPSNSTGS VIWLGKRNSRTAATATAAATSSAVQVDQPSHYETSVGGEDIGSGNYELGEMTSTTYHQ YNSTMTTTTSPRLGPGFGSGPAIPPRTTSHQQQHMVGNVSPIKDKFEQLLQQQQQQQQ KQSQPGSGKSPARVVEKRDKDTTKSRMNKNHVSATVPKFTEWTHGDLR NEUTE1DRAFT_57515 MDLDIEMDVDDVHDVQDIPQIPEAYTHDIITGEEQEPGEIDDDG PDNVHGNGDHAQSDKALVPTKVHVRGLDTFNPEQVKGYVAEHCGLTQLDRVEWIDDTN ANLVFRSEAIAQEVLVALASVAIADPTALPPLEEVPAKGFSGKPDSVLFVRFAVQGDR KVVGAAARSRFYLLHPEYDPEERKRRGEFRDRRYRDRDDGPRGRKNYRNDRNDRRREL EEEESEPFDVNLYDDDSTALGKRITRPASTRPRSRSRRGSVSSNFSTESNRLRSYSRQ NREKELFPNRRRSRSPRQRNRSALPLRDRDSDARMDLDAERDNRYRDAASLRSREKGR AIKERLAKTESPRDRSRDDNTTKELFPSKANKPKELFPTKVSSTIGSRAMMDQVEEDV GKLASAKLADRITRTTTTTTSAGGFSIRGLATKNISTDQGFRIKGTGTTSTTVKELFP EKFSGTGTNAGKELFAEKLEGRGQRRRRAEDMFY NEUTE1DRAFT_76201 MSAKSSPSPLQAPVNNPVDETTMPKGHCRYILCVPEIKGHRCAC VGFSRQKGIPGATCQCGHLACYHQKEPDSLPGDQLELLKRRIQQLEEQVARGIAEDVV SRLFDVEHDMKKTRGIVSRLTDVEETLEKSREEFGEQIKGTYRNVSISWRSIEQLERK TQHQDEQLREVCERLRAHDEQLDKLQAGQLELRDADISLEERIENIAETMEELEDSQD ARDAEEDDHLAKLNGHHHRRRSTSDISRPNFPVGPLGLLGGGANPIDIHGHSQVGRPP VREYGEGSALDGTLISHPTRTPPLSARSTGAWTVHISLLPHARVPMPFERNTNAYQRC LSRGLHQMVAVHGRDASSFVKAVEKAFGMFLRGRPWMPLQAKLCDAEPLQGLPMLRQL ETSLVDSSYDHDFLRKNCAVCDSSGMIDSLYIAMRHDTISWHTLRHAPVFMEGLEAAW AYDPMLDTDPFDGDMAVDDRERPAAGEITSVLPSLAPPSSLKRSRAEMSRSNSFGAAA PPVDGEATRVKRTCPAPPSSSILELRRRVETA NEUTE1DRAFT_37129 VKLLPIVQLVYNTSPIKTTKVLLFFINYGYELEFLEGLNTNIPR ALIKAGKLYILYKKLKEELEFI NEUTE1DRAFT_134772 MDTRTGLQSITSPEGQVRSAAGMATREAIKVTPLGETTGVPDLC AVGNLPTPPGASSVLANHKSPCCDFIKRE NEUTE1DRAFT_127570 MASQPPAETPAPAPAATTPETPEIIPSAENHYGTPNLLHNSAII VSILAPIGLLLPGRGRGQFSVQNAILGSGTFWGFNQLSFDYTGKSIYQRSNEKWAKVL STGDALPEQAKKNKALIEAERARRKLAQEQQDRNDKHEKDGGVLTKIWMGDEREGWKE KRLEEEKKALESGKGYGSLIMDQIWEVWNQEGKGKKKDEKGKDGDDTPKKE NEUTE1DRAFT_144127 MSAIRALKGAFTRRPSLWARPSSHIAFRSPISLHQPLSRSRTYS VDQSRESSFLQDYFQDQIEKPRSLEKRDRSKQTKEAHSTDGTDFDDREDEFSRILSPK QEKPKKPWLKKREESIARHGTAKADARRSRKTARNIALLEQEIRRRHAAGRPDVTSAI DPERSELTPRTAKEAIARWQELKEKDGHHQAKESRAVRALKRDIRREAKEARIFMASQ GAEKSREQELEQEEKLEKLKASMAQRKNAEWEEEVVPVKTRREVSTQAQKNAVQTPEE PQENDSPEETAENPNEWEDELDDEAEWDGQEKLSGIERRRMKLLLRFKEGLQKKMGIP VGDTKPHPQIDAKCEVWLHNRIKAEKDREKRKKDRMAREHQKFLRKLAKKARKNAN NEUTE1DRAFT_76205 MNDHEYYSQKPLPIPGQATSHQGGGASHYNQNYGQGQQPMPTSY SANFQSPFDTVFDDHVYPASSHQSGASSSRQHLNSQHYQDAAYHGPTRVSSEEDMAYN HPTDDIPLEDRSGGRNKNNDPEMQDHVYDAPQPQQKKSRSGRVSVGQLGMLGSNAKRI PFVVYFFTTVQVAVFIAELVKNGMATGSPIMIKPQFNPFIGPSSYMLINMGARWDPCM HAIPGVQNATQPISWPCPNTTTYDVNASTMKCTLSDLCGFGGVPEPWYNATATMESVP EPNQWWRFITPMFLHAGVIHIGFNMLLQMTIGKEMERSIGSIRFFIVYVSAGIFGFVM GGNFAANGMQTTGASGALFGIIALLLLDLLYSWRDRKSPWKDLLFIGLDIVISFVLGL LPGLDNFAHIGGFLTGLALGICVLQSPNALRRRIGDEPPYSQVVDTNGFLRQGAPPSF FSNPVGFFKGRKPLWWVWWLVRAAFLTLTVVIFILLLNNFYVDHKECSWCKYLSCLPV KNWCEDGNLQITTQDVTPNKLKRDLIFAADLEQLPRLQAYFA NEUTE1DRAFT_119892 MLQLTSKTRRTIKPHILRTSCLTLISNAHPRPAPLYNPARLYST EQPDKPSSHANALEPKDNDFKPAPGKQRLHPRALRSKTTKRRGGAPNAQPLTNFLKDL ETGSLNAGQQEQATTTTPEALEPTTTDGAQDGTPEAAEGDSKKKGKQAKKSKPKPSSS PAAVASDPKLMQRATAVLRKVLEKELRAEQESVGSEAGKDKSKPGKKKKQKSTETKPT EPKSLIRRLQTNGKLKPSGVLEKTTAAAAITPAPDTSAATTKKQTKETSAEPKPRSPE DLQWPPPGRKLHVRRVDPDLIKMIPVHKEQPPVPGLSYGLDRALFNPGVYHMQDPRSR VWNFDPYLARIMPIQEFDFNALKQYITSSKDTTLIDIAREAKKKYTGSTSSMTSTLAH FHYLLSSWRPITTGMMSQSFRADSTNFTRIMRAPSAAFLHWKDGVYAIDADKEFDTAN VLSMLGKSMEKLLTLPKEDFEKYRKTKSHQLTEEERDSPESYHYTKLGDFMMRSQLDA YDPRIPGTGMFDLKTRAVISIRMDAKGFQKGLGYELRHRNGNWESFEREYFDMIRSAF LKYSLQVRMGRMDGIFVAFHNTQRIFGFQYIPLTEMDYALHGTRDTSLGGKEFKLSLH LLNKILNRATAKWPEQSLRLHIETRPTDPPLMYIFAKPVTPDEIEKIQGANKKIIEKF ERDMMGLEPKEEKGEGVANEKTTEEAVEEATEESVSEPEAREEVTTADVWEDMVVKVE EALENEEHGVTSVREAIEQALRSSGLLEASAPEEARRYLDELLEALMSAESGKADESA ADNAAEESASAVSAEEATEVKVENGQAAEAEEEPTLKDLVLRLASQVKADRSEVVKGK AEDDVSAAAADDSKLRKFETILSELISKSRDTTETGEITESGEQIAASTDASATSKTQ NPEDEIASLDETVHTKTNDKSILPETKPELLGMILTIRNKVNGEYVERPEHLRRTDKW EVEYSLEDMPALRAENIYKMVIQRRCKTLDDQEDRDKAWYEMFQGALHKYTRKGRMHR KKEIELGKTRPVHVYGMDKPLDWESVFGEGKEEGMSYRWYGQEAENVETGTIELSPEE VEEDDGSLPVEEVEGAEEGDAEVDVAAFSEEKSDDQPEAVEQQQSEEKSEEKSQEKSE NEUTE1DRAFT_144130 MTYHDAMPPGQPYGIYPQQNQNQQPQQQQQAQQAFQPVAGYHHQ HHQQQYVNGYQNGHPQYLQQSQHSQQPQVPYAQSAYPQAQPTFDSNNPVNLSNYSSKP TTSFAHTPQTTTAPVQFVDPSFLQKQYVPPVQTNRILPAPHSMTLPSPQLPLPQISRH NQQIPLQQIQQTTNQHDQQQRQYQKQQQQQRNTPNQHHQQQARQQPYSQPSQPQSSPH VQTQQLHQPISRQNGHRPDERLLQPQATPAERATPRGSGRPPSVAKSSASAQRTGHVE TLPLLLCVAEDCFAKAHAAASKIARSMSDSEVKQYHKMVATGLGCLEVAMNSNKLWPR LEARLCLRYASVLIEETTNIMEAETALTRGILVCEKHRFIDLKYCSQFLLMKTLFQRN PRAAFKSLDTHIMDATMYKHAPWVYAFRFLKAAFHIQSGTAADHHALENLRRMASIAT TRDEKAMFVMVMLLEGLSHLNTMKDDWINRVQNCIAQAQKLQFDDAAHLPQLDVLLLL LDLACSLRQKSHRDAAQKLSVLQSKLEELRNLPSWSSVSHELLLPIRRVVNDAAPTLS RDTEAVLRRGDGVFDYLVLSALGKQGSFAMAYIFNGIVALYKSTTLGRSSTIWTEAVR LLEDKNSGSGPKPLPDALAHAQWAKGVSNYAQVLVGLQAATLSDWRKVKACLDALGTA QQPEGFLDVLTLYLTGVFKQGTCKLGEALVIWKDPRFAMDRSGLPQNNTSHIISELCI LAALNRIWIMQAPAHRSDGETAQLLDFLRPLCEDNPDLDIRITYNLVLASITLDPPLP INQVKQHMKLSLNGAQNTSNTHFLSIALNIMRNKLFENVVGEQALKSARAGTAQAKKS GNLLWMSVAEGMLAQSLEQQGLKEEAEKTREDGTRLANEARLRTQVE NEUTE1DRAFT_127575 MDWFGRAKISFTHHTSPLTLQQKDGTTTDLLKVCELATPPCQLN PLLFNGHVQTMWTVVKEHGPQIYYRRKIFDSDHKLYAGTFTVDFVTEPHQDFEEKLPP RTAYFSEQDFANLPSDDSKPMLIVLHGLSGGSHEIYLRHAILPLVESGKWEICVVNSR GCANSAVTSGLFFNARATWDIRQVVKWARQNFPNRPLFGVGFSLGANILTNYVGEEGE GCLLKGAVAVGNPFNLDVTNRALRRTHLGRLYQRVMGTNMKKLVANHKETVLKYTNLD YDRIQNITYLNEFDREVQTVTWGYPTEDAYYRDASSCDAVLGIRIPFMALSAADDPIA VEEAIPYQEIKQNPYTVLCLTSLGGHLSWFELGGGRWHTRPICNFLNKMAFDIDLDAI KPDACDKFDSQFKTHFDPVQRKLQILDLNQ NEUTE1DRAFT_57533 MAPTKTIKNKHAANKSGIKGSKDAERSRNDGVLKSKGKPKGKAH TLVTANKGRPNIQELIARKKKKKTYSEKELAIPELNMITPVGVTKPKGKKKGKVFVDD KESMATILAIVQAEKEGQIESKMIKARQMEEIREARRAEAEKKEAERKARLEETKDSL RKKRKRSKQSGGGKGDDDDDSRDVKEFSSAGTKAVKSKKKKSVSFAAPE NEUTE1DRAFT_76217 MSTEQPAPRWAAFARDTNETKIQIALNLDGGAFPPETDARLNVS IADGHATQASKSQTISVNTGIGFLDHMLHALAKHAGWSLALACKGDLHIDDHHTAEDV CIALGYAFAKALGTPTGLARFGYAYCPLDEALSRAVVDLSNRPFAVVDLGLKREKIGD LSTEMIPHCIHSFAGAARITVHVDCLRGDNDHHRAESAFKALAVATRQATSRVAGREG EVPSTKGTLSV NEUTE1DRAFT_76222 MSRPLDVNTRKHEEANQASWEACKGALSGAFKWGVASAMLGGLG YAVSPMYRGFTIQFKVYIQMSGMVLGGMLEADHRIREYEKTMRMRRRIAADQAMWKQF EETYGKDEDDE NEUTE1DRAFT_144135 MQLQTVLLYALLSGLPTTLANPISEVRAPGELHEVTKHGNKKGG HGNGGGNGHGKGHGNGNGNGNGDEWVDQGPWWSVKGFSRHWNDTTHSVELSFAIWDSR DDTEVPCNVNARIPDGQNYKFAEFHSLPCAKNDVGAGKWLISMGYVEYFDAGIMNICD TTVVDGSPSASTWFSFEGINRPKEHGFRDIEKVPVGWTDFGCVYNGSPYPL NEUTE1DRAFT_115872 MTTQTWTSLVRRDTKGPLSTGAIVGIAVGAAALFLASAALFVLY YRRQRRYDAEDSEYYQYANSVQRGQHHMGRTFGPVPRRAPTYTLDYKMDKQGGTGHST PAQFSADPRTQNPESPPLDDMASAMPTHPAYLPKAVVRGTILKPITRRPSEDNSNPVY CPSTTDGNSMPLQAYRGSSSTATATVTGGSRENDARVTGSKEKQTFPSPPGSGGTGAT TFYDDENYYAADPEKDAKGQRSLFAARRLASADQEDDEDSHYHEQQQQQSQGYHQQRQ QQQQQQPHQPQQSPVALPTPASVASSSSRTTRSGLVPTISLPIKNNKKKKFPPPQLNL HQQQHNSSSHNSPNNPNQTTGSGSNLSGGKSSGGLMVDMVMSTSNHRPLSGMEDMSIS GPLAFPQYSHQQYHQQQQQHGGYYVDEYGNPVLAPGSGGGRSKGGRDRSRSFGGDHQG LGHGGESGRREKRHSGNNGRHYEEVEIGRESDIW NEUTE1DRAFT_35385 MSSEIMAAYWQAPPMARTLATAILVTSIMAYFGPLPISWIYFDE SRLFKLPPEIWRLVTSFLLSSPQLGIVLDPYFGKQPFLEENFVVNKDANQNRSLSVLE PT NEUTE1DRAFT_76230 MESLNGCVLSQLRQSGAQVTRTLQKIQSRSYSAPVSGSIPAAKK KYVPTSGTYPQGFSASGINVGVKPKNTTKPDVCLVASDRPCAAAAVFTKNKFQAAPVT FSRSLLQKKGNQGIQGVVVNSGCANAVTGKGGLEDAGKMAQAADECFGQSESTLVMST GVIGQRLPIEKITSNIPRVHKAMGSTHDHWLTAAKAICTTDTFPKLISRSFKLPSSPS VEYRIAGMTKGAGMIHPNMATLLGIIATDAPVSSTVLPAVLKHAVDRSFNSITIDGDT STNDTVALLANGAAGGKEVVANTPDYDAFQTVLTDFSTDLAKLIVRDGEGATKFVTIR VAEAASEEAARKIASTIARSPLVKTALYGKDANWGRILCATGYSLVSEPGLPVNDVPE VVPEKTNVSFIPTDGTAELKLLVNGEPEHVDEARAAEILELEDLEILVRLGTGDKQAT YWTCDYSHEYITINGDYRT NEUTE1DRAFT_144139 MPSAKAEERGESSTSISKAATSVSASSSPTYELPWVEKYRPVFL DDVVGNTETIERLKIIAKEGNMPHFIISGMPGIGKTTSVLCLARQLLGDAYKEAVLEL NASDERGIEVVRQRIKGFAQKKVTLPPGRHKIVILDEADSMTSGAQQALRRTMEIYSN TTRFAFACNQSNKIIEPLQSRCAILRFAKLTDAQVVKRLLQIIEAEKVEYSDDGLAAL VFSAEGDMRQAINNLQSTHAGFGFVSGDNVFKVVDSPHPIKVQAMLKACYEGNVDAAL DGLRELWDLGYSSHDIISTMFKVTKTIPTLSEHAKLEFIKEIGFTHMKILEGVQTLLQ LSGCVARLCKLNMDPKKFVAPKK NEUTE1DRAFT_107373 MRIGCLQFAPQVGDVSNNLSRADAVLAKANQRELEDLDLLVLPE MAFSGYNFKSLEEIQPFLEPSGSGISALWARTNALKYDCTVAVGYPEKVGSSGSPRGS SPEYYNSLLVVNGEGETVANYRKSFLYYTDQTWALEGGGFYGGRMEGFGNVAMGICMD INPYKFEAPWHKFEFAFHVLEVRANLVILSMAWLTHEDPSTFTPLSQEPDLATMTYWL QRFEPVIRDESDEELIVVFCNRCGMEDDVLYAGTSAVIGIKNGEVSVYGLLGRGSKEL LVVNTDAPPYGKLVNRPDAATDNNEEHMPKTTPGTTGNPVSPDQANTTSLYSPVRTHT QPEAAVQAAASNRHIGGNRRSPVEARKRPTLNIPTKPPRFVADEEEGCSDSGTENYAD CQGNCGGCDGHAYVGRAEAVESPVYPSPTVMAMRPKLPIHKGARTLPPITTKRLPSGV KPGNYFNSRDLYTPPITPYDDASLSAARRIPLPFSPAVDTPVEPNWPVSARIDYNGQP FHTLPSGPASRSTNISGGRSRNSSRARGPEMLSPVTSQHPFQSARTGPTERVPRRAKR EDEKEHEYGELSADRAATRKPAKTGFDDLFNTIPIAASPSIFKTTFSEAEIMNNQQQQ RAGESVHMRLRDEPRPQVAPRQRPTVERTASRLRSTSASNPRIPPSFNYPPPPVPQTP QYTPASYTSSSRSSSRSRQVSYTRRGSEQRTVPSTPSTPSPTTPHHYHQHHVLPATSY GSNSHIQQPHKRMPQVVGINDHDDEPEAFYGRGPVIPVGGMTGGRDSRGRKIPTQQQP SRRTHSVDPHRREPAAERGRNGGARVNGRYYPLDLLSPVSV NEUTE1DRAFT_115875 MSSPAESRIQSQKLRYCRYVDTQQFPLLASLILPSCTFNFIDYF FSSPIVEPSAAGPVTLAFDSLASWTAYFSDKFKALQTMHLVSGAGEFTSISEDEYEVI FAVIYHAAPKEGETGWQGAGGGHYHERWVKGKDGGGKEDWFLKSVRFERLYWKYTE NEUTE1DRAFT_97944 MNGTERVGGGIARTGSLLAREADWGPNCRNDQTGTMNHGPSRGW NVIDQSGKRDATSAVVCLQGPPAA NEUTE1DRAFT_76240 MVGGNVRPSLFIVTAAVALVPLTTAIFTTAGSPCAQYCGNTLGA TAGDETVCTQDEYDMTNAGAVYKACMQCQMTSEYSQGNETDVKSFLYNLRYNLAYCLW GQPRNPHPEAGNNPCITSKACEPLKDSVLWQNMTTSTGAFSYCDDWDDQAVTQCNHCL ANYDVGGNYLVNYVTLLNASCTQRPEVGSTISFRGDPFANEFNNVTITEPEPKGLPLP DYGPISLGARVGIAFGGLALILAILGFVIVCNGKRRRRRFLRELQSRNAGQGWPHPKT RHAGHGGSGGGEISETPLSQRPLRGWDESPISAHTDGPALPRYFSPYSSQYNSPVSAT DGLPASGMQWPGTHQRLGQIEERLSPAGSSVANGASPPPPPFSQWPSPITQQSMMAHL QSQHEQRQREIAVGIALGGEDASLRSKPSNSNLGYESSGKGKGRDEMYEMHEVESPYN NNNTGNAGGDGAGGYYQMPAQPQAPVLHHPGYGRAHGSRPGSNGSAKSAQRAETGLGV MQQQSFQQHLQQKQQENGWTEVGVTRGHVS NEUTE1DRAFT_127587 MGAETEHVIKPQATEPAVDTSEWPLLLKNYSNLLVRTGHFTPIP AGSSPSKRDIKSYVSSGVINLDKPSNPSSHEVVAWIKRMLRVEKTGHSGTLDPKVTGC LIVCVDRATRLVKAQQGAGKEYVCVIRFHDSVPGGEAAFASALETLTGALFQRPPLIS AVKRQLRIRSIYESKLIEFDNDRHLGVFWVSCEAGTYIRTLCVHLGLLLGVGAHMQEL RRVRSGIMSEDNGSMVTLHDVLDAQWQFDNGGDETALRKVIQPLETLLTTYKRIVVKD SAVNAVCYGAKLMLPGLLRFEKGIETHEEVVLMTTKGEAIAIGIAQMNGVEMLSCDHG VVAKVKRCIMERDLYPRRWGLGPVALEKKKLKSDGKLDKFGRPNAETPAKWTEGYKDF SAAAESEAAAAAAPAAEAAKVEIAERPKPEEKAEKSEEAEEAGDSKKRKKHDGETAEE KAERKKQKKEKKEKKEKKEKKSKKGGDESD NEUTE1DRAFT_34675 NKWFLKQRTNGNRDVGCGLVKCLLASKLNRFECMHYKFLLPVTN KKNTAEAHLDQYSTCIPGAAVYIRFAQRYNCRACHSSEPGQHHDWCADERHKTLDGIV RGNSSGTQESSKPSPILTNPFHATSPVPPCRFPALHSHRTARLIRCGFFSLQHGIPDP KDTTTSSYCSADDGYASDPVIYGHCTSCLVAGGESNYLANYFTALEAGCQYKPEAGSV IGLNDTIFSKSPVGIVDPSTVLKSEEGPKTSTTIIAVIICISVLVILIISAIFFICLK KRQSRAARASAQLDYAEKRARSRHQRQSSLSFQCQTHVLSPRFWPGVSQPGESGGDET TMMMPDPTNSDANNTTRPLSHQPEHSAPGTPARGLSTRRVTSWKQHNSLISTVAEDKA WEHQQQPQISSSDTRSAVNPLDLHTLTTTGIPRSPTRAYYGTPATPASSNAYYSPSPM SASSTRSTAALLPSIQPYNPSDDHQHQNQQTPQLHSASTFGGPAGSAGLGGQQSPWSA TAASSPLLKNYGWPLPAAPQPQQGNNEQQRRFNGSNVSVTTHGIPPSPRSPRFGGNVM MKKKPAGSPVESVAIRTMFDAPPKSPRK NEUTE1DRAFT_127589 MAAANNPFGAPSAPAVNPFAKPIQTAQPTQTASVFGSSSAPNQN PFGKPFLSQAQSSARSASPSPFTQNPFTKPVGPTNSAGGNKSPFFGAQTTTQQATGFG AANANPQKGPQGFGGGKPSGPNNGFGNSQASQMFEKGKATRQQPPQSDGRDAGAALMN KFQRGQRKNNERPAKTQPNATRAVGINSTSGAPTREPTERTRELSEFAYSYHNRINDQ LKKDNLKPPQWPTTELGNPNKRDDVENLKQSFKKYRTRVYDALRKVELIDDPEKRRKL EDALPFKGICEDMCPEFEQISRIAEFDVKNEEKETQPGGLTAWPEPSKMVKKFGRSAA GQDAPLPMDVRSIDALRRTTDYLFNDLLQSENNLPSMHNYLWDRTRAVRKDFTFHSQK SAEEMKDMVYCFETITRFHATALHLLSRKGFANEDFEQKQEIEQLGRTILSLMEAYDV CKEKHVLCENEAEFRAYYLLLNAHDPSIAQRIRTWGKEYWFESEEIQTALSLIQVMED LRESKGPIKPRRMTTMSDTAFTNFFAIVEDPRVSYTMACIAEVHFTFVRQCILKNLVK AYARQRDAPRTITASDLNKMLRFDTDEEAVAFAEEHNFEFTTWVPPGKPAVSEPYLLL NSKRKHVPSPRVPQSYSGLMVERKRGGQSLPYVIYNTIYEDIADNTSAKRGSDANGGG LFVNPHQALPAFPTSQVNKLQDVATSTPFTSMSGAVPPITKTPENIPMFQTAVKDFGA LSHPFAGSATTNPPQATGATTSSPFSRPSPAQQPQQVANPFSMFGQPSAVPPATQTQS PAPAPKPTGVFGQASQTPQPTFDFQQSRTAISAPGSSAPTAPTADTDTSKPVTSTSLG PATSVFNSGSAPTNPFASLSNQNQSTAPTQSSETTQQTSSGFTFAPTAPNPEPLPSFT ATAGTEQQQASTPAVRTQTQLPGTPSMISTTPSVFSVPPKPVDSTAPTPPATQPSTVF APQAASNSPFGVKGTSNDGAPSSLFPSAPLPQPSLSTCAPSQSAPSPPRDLMGDFSKW FVMGDGGLLEEFTAHYLQELVEGAFNQHLKEEADRRRREEDEQSWREARAHMRNRLQL KYFYRWREAARKLAQRRILREGKERMRLYREQEQRARKKNKEDEEMLRNQERIEAKRR IQADGSRLKQLALNASRVGRGQQQVEEELLASGVFSGARDERAAVRRAIKEAGTNGDW GSPQPGKTFGYGSESGFKLGRAPSTASKCRDTGSLRDSLAGSPESTGSKEGGKTRALR EKFGLGGPRRSFSSAGGSSVQSSMVTKFRHSLNGIGVNGGNSMIKRASNFSTPRKRSI EPSPATEGRDPKQPRRSMLGMSMANTSLPNISLRQGTSTNSPKPEGFKTSHWDLRARG LVPMPDGQWLPEAIATAIKEGKLKPPSPPTRHNSYSPAIRPSTEHAIMSDDDESMGMG STYDNGDDVEVDDSASMTSWRLRVARLTGSTSLVQQHLQTPKQPPADYSTSSRLAKLK ARMGYGSPGVGTGSPAVRPSPTPSAASATARPFSRREASWSAILDRKRKRDLANDEMA AAAAAAIEASPAALGQGRGRSENSPPMAKKAYYAASAVSRGSTAALSTREETSAAVEE TRRMLRELRETMDKLDSDKGMWREEVGGGKT NEUTE1DRAFT_144146 MERYLIKKTSPSAGLKRKASDSPANSTLAPAKRPQPLRPHESRS SAVDIRGQGEKYVGIEYDSWKDQITASIDQARSATRSKEGGIKVLGALLKAINACPCK PGSHGNNKACHISQCVTAVYEESPDALHRAATEPCSCGFVWPSCTDLFHIRAVDSLAD SLDKQEKHVAAFSTALGLIRLDPAHPIGYCRVARLLRNLTKNKDKQTSALALTTILRD SKLDPSHDVHDLTVRFVRSGLYNMEQYRRGKYNQYHWLLRQLSSMLDMPESKRDPVEK LPHELLTMIWSHLDTDSLMRCERVSKKWQKLVLSDSVLWSKVSLGRPGKPGRFFPQFL KKHKNIRSLTINDTSYFKMTHDKLQMIMRGLPSLERLSLHRRMRLNNPDGPEDVPKLL GGVQGKHLTKLTHLSLNKLDAHTAGELLPLISPSLQVLDLQGFSGKNLNGIMTRQPWP SLRKLRLKLSDDDYDPRIHLGQLNMNGLMNLAPHLEELHIKGYGLKFVDLGLHDMPFD KVPLSDVQPWQNLQSLYIGSVRSHDPLEDIFQGSFVLPALPNLRSVEILSDSAHLIDY LFTTCDEPGSPIQGSLNDFTGTTAESGIASAGWPQLEVFRCHGPICTGILRKGLAEAA TNGSLKVLELAINSDRSLFNHRIQVDLLKDWAFTSSPSVHHLGLYHFNWAQHYNSFDG EPFIDWLQQFPNLDTVTVAPGRHSGLLPFIEKLITHPQIKALYFDPTGLSSPEWYEIT EVGKKYGVKMFNLNGGPLRAFDGGDFDGARPNLGLRV NEUTE1DRAFT_115877 MAGLLWYGYRSESVDDYPGVLVPLEQAHLHSHSSRSRPPSDLDD AVLGYDDEGGHRHKDPADDEDTGMLLEMGTEPEYSIEGFRREVRRGNKGGEKWTDYEM KSKLINKAVQDIGMGRYNWQLFVLCGFGWFADNLWMQGISLTLPSLSAEFDISEKTVR YTTSFLFAGLCVGSFIWGIGSDVLGRRLAFNATLFITAIFGIASAFATSWAGVCFLYA ALGFGVGGNLPVDGALFLEFLPDASSSLLTLLSVWWPIGQLCSSLLAWYFIGHLPAEQ GWRHFVFSIGIITLIMFLVRFFIFHLYESPKFLLSSGRQAEAVAVVHGIAFRNGRKTW LTEEILDAVVDGLSSLSPATPRRRQHVTRQRLSTANIIKQRFASFSSNRLRPLFSSRK LGLTTVLIWFCWATIGMGYPLFNAFLPQYLSHGGNNGGQQPAPETSTNIDSPETISAE TYRNYAITSIAGVPGSLLAAYAVDMNSPFFGRRGTLALSTLVSAIFLYLFIKFGTTSA ALLTFSCIEAFAQNIMYGVLYAFTPEIFPAPVRGAGTGVASFLNRVTGLVAPILAATV PGDGRTTPVYLSAALILAAFVGMVLIPIETRGRQRL NEUTE1DRAFT_134797 MADSKSTPQALSVVTSSDKAQSEVTQNDVNYHDDDDLSSPRSPT SPGSPGASPASKNAKKNAKKREKEKAKKAAARALALAEAESQPVTESEAAHDEHEDSG APNDAEKKKKKKKKKSKSKTSKDLSTSIASILGEQPAQTEIAEQTPEIKPAVEEQQEQ QIEEVSQPSEPAPEPETVEVEEAEQPVADAQVEESKPEEAPAPGSTEQTPAMTTEEAP TAVTEPVSTEEQVPAQPEEETTTTTTTTTTTSSQDESAPTYAEVAELKEGDSPASEVV VPEATEVTPEPASRVVLETDEVRESAEPVDTEVQIVEETKASEVDNTPIESVEAPEVK AEVEDDVKVPEVPAQGKGGLSHIFFHLPETTEEVKDTAILISEETQASVEETDIEEEK TETPVVEEAETPAPEEKAEAPVIEEVEASAPEESNIEEKTETPVVEEAETSAPEEKAE APVIEEVEASAPEESNVEEKTETPVVQEAETPAPEEKIEAAVAEVIEAPASEETVETS ALETKTEAQEEKPETTAKEEEPEASVTETPVEQDAEVQEKVEAPAAEEKTEVPEEKPE TPVAQEEIKAKIEKPVEAEEENKQEDIEKSPEPAAAEKPVSEDVTLPEAVSETSAVEE SKTAEAEQTPIVEAVEAVEETEAAEEPKTVEEAQTVETSAVEEVKVSEQAPAVEESKP VEETPAAEEVEVSEQAPAVEESKPVEETPIVEEVKTVEEPQALEETPAAEEVEVSEQA PAVEESKPVEEIPIVEEVKTVEEPQAIEETAAVETSDVEEAPIVENPATQVPKAVEES EFVEETPIVEDVKVEQQEVAAETAKGEPVQETAAEPSIESATETATAAIPETAPEPAV EDIKLAITESATKNVADAAEAVETVAEPDSQVVESTPEVKATDIAQVEASEPVTAKAD EKPIPVEETVGESKAVLPVDDSIKDKIQDTVAAPVHDDVKEVVVEEQTTGEDKTAIEG ESTNDSVQVPTDAVSHEKPASVQQAVEEAPVAETQEEATDKPGSSLSETSVTKDVEEP EKKDTDESKSNATEIAVGAGIAVAGALGAAAVAVADKVTEVSKPVTEKVVEVTKPIIS DSAPKAATLERSAAHGQTEAGSLIAERPKPESNPQTVTEDVKSTSSVEAEAPEIVDET VPLSESFHVVEKEAPAEEEAAKIDGKKGASSEDGEEKTIQSVDQKGTQTDNALNPQRL PTEDRTTNQVSVDQRVPTSAVVLPDLDDPVAQEVSRARNERGPDRNTIKQAEEMVAAA VVIYATADSSSPAATATADKPEINALGLSGVPSEADVKGKGKDVEPPSPTASKDAHIK NVETASDPTIVQQGSSSPELGRSSTTRLRRSLKSRESQEASNKENKGTERGHSKKSSI SSVFKSKKQSSTASDESVEAVSPTSSAGGTDPSSPTQAAAHRQKREERRKDAKEGKKT GFRAAIRRFFGSS NEUTE1DRAFT_144150 MSGIWGWFGGGSAAQKRKDSPKNAILGLRTQLDMLQKRERHLQN QIDEQDAIARKNVSTNKTAAKQALRRKKVAESTLETTLGQITTLEQQINAIESANINR ETLAAMQAAREAMGKIHGKLTPEKVDEEMAKLQEANDLSNEIATAITSANIGQPIDEG ELEDELEKLQQEEVDSKLHETGGSIPVHDKISLPAAGTGALKGKEKAKAVVEDDEEEE LRKLQAEMAM NEUTE1DRAFT_36261 MSNGPLPSQLCLLPDLALKKEGDKVRFLGCVTSYSTVAGVLTLQ HHHQAQDSRCVVALVDVTLALRTLKSDQVRIGEWVNVIGYVTAVNPFPARKGSMRENE TFQARVQTQVRIRALLIWSSGPLDTQRYDESVDALKSCCSITAPNSASKTGQ NEUTE1DRAFT_119917 MTPQLLVSSYRAGQRSRYISRARSAKGLNRLTMAYLACLLSKRG VQIGSPSILFIVGPTPLDLLWPLQGHIILHVHGMLRCSTQALVGLNACFLTGSPIYPV LEPPETFFCLTFQTFLYIPTTSWDAKVNPGTVTTPTVASTPFCEHVQIDPLVAKVSAA RSHSSVSTSTVYVPTQDSASPCPGKEGSFAAAPNTRGSINYYPKPRFCLTEGPAALYG RLSDESWFQAEHQASSPAWPLPLMLTS NEUTE1DRAFT_76264 MWFISASTSAIFLLSIVLLIPIAFDVGGRDAGLAYSLSLFIFYL IYSTSKLLTPESSRVRWFFTSSVGLSQWIIIPALLIWSLNRFSVDAENAGWVSRTFSS ATANHKPSTWGEYFFGQHGFVENVALGAWDKTLSYSSPVFQLLEGFCTLLVIQTAGRV ARWLVTRGGGDTWMIFLLAFSGSIISSAVYFLWRVAHFPEINTIDATLIGATMTSAVF LGAYGIGSGRGNAVESSLLFAYIVLCVYQIFTDYQPSAEAAAAAEQAASLQPDFPPLP PIIMASYSTLMHILSSLPEAFNTSFQFLYAAFQTITPSVIISLTYRIIVFYCATRIIP AVRELGARALMDEPSLLEETDGANRLVGFLSWFSPSILIAVYTSLLLQHFSVSNNGDD IGWTLRAGDAGGNPWRWVNVAATMGLYAVELYLGERNEGMHWRAD NEUTE1DRAFT_144153 MAVATPDSPAGQIAQALVDFSVEGAFPEESVSSLTVDSDALPAA IEALASAKAKLQAEIHTINEETADDVRTWKVNAQLVQDDIILSKALANDILKQSEAPQ ASGKAIEEAETRAAFLARELDYNTQLRNALKGIKAVNQILDQVEQARDERRILDALHL LERSRKALDAVPVNKSCRAIKLLDIRTFELKSDVYQVFDHVWNTLIHVDIESHRVSIS GVKQDEPMSLSDAVVGLKAYNEVDQRATQLWHDIDKAIFAPRLDTKQERLPSIKIDGN SLELEGTSDQSIEAVFQDLEKVFAFLVEKLPPELVETISTVLLPGLIPTMTRTWLDLA VPSSLEGMDRFQTTISTTKGFCTTLKGLGLSHLNELQEWTESAPRVWLSKRREAALDQ IRTQLSRGLGASKQVERVEKQVVSKSEGQQLAANGAKVTEDDGGWDAWESDGEQQTGG QQISKPAATTSATELSADAIDDAADAWGWGEEDPEPDKVEEPQQKKQKQEGGEDDDST EAWGWGDDAQNDDTAPEQTPTAPSASSKPQTRELTFKETYSISSLPQPVLDLISALAE DGAALTQENYADSPVAAAAAGLFSLPTLALAMFRAVAPHYYAPDVGGNMFLYNDATYL SERLAEFAEEWVKRDNISTRAQTLLRLDNDIKSMQSFANRAYSNEMSIQKTVLRDLLG GEQNLIQQDDTESCVSAAVARVRSLAIAWESILSRSAWQQAVGSLVDAVANKIIGDVM DLPGIGQDEAYNIANHIASVTALDDLFLPSRFATAEGRNFEAENEIPTTAQYASSWLR LKYLSEVLQSNLKDVRYLWLESELSLYFSADEVVDLVNLSFEDNPRTREVLREIRARP NPLG NEUTE1DRAFT_57592 MSTAPRFRKVQTFETDYAPTTITQYVSERTGMQVIVADRKGPKI NGYFTLATEIFDDSGAPHTLEHLVFMGSKNYQYKGLLDKLAGRAYSGTNAWTAVDHTA YTLETAGWDGFAQILPVYLEHVILPTLTDDACVTEVHHIDGEGNDAGVVYSEMQAIQY SSQELMDLRARRLLYPENVGFRYETGGMMDALRVLTPDRIREFHKAMYQPQNLAVIIV GEANHENLLEILDKFEVSIKDDIPPPNPNFKRPFVDSPQPPPLKETVVETVEFPEEDE STGEIVVAFFGPSCVDQLQATALNILLTYLCGSSVSVIENTIVEKEELASSVSFWWDT RPNSVIWFQPTGVATEKLAFVEERLISLLKEVVSKPLDMEYMKECISRELRQIKYHAE GSEQFYSSNIITDYLFGKRDGSTLRELQTLGEYDVLASWEEQQWRDFLKKWISDAPHV SILGKPSMELAKKLKKTEKERLAKRREELGEEGLKALKEKVEKAKQNNEKPIPPEVLD QWPVPDTSSIHFIESLTARSGKARCLGTTDNSTQKIIDAAPQGAKPLFIQFEDVPTNF VHLTLHVGTSATPNRLKPLLPLFADNFFNSPVMRNGKRMEFEDVVKQLEKDTISYHLS SASRIGDNEGMALQFQVDLDKYTTMISWLRTLMFDIVFDPVRLKAAVVKALADIPEAK RDGRVMSREVDQSIHLLPESYSVAKRTLVKAVYYRRLKKLLEKEPETVVSWFEELRRS LFTFENLRVLVTAHVEKLPNPIAAWDSFTEIPDLDTSKDLLPVVRPHQMLSDEGKAPG SFGSVIIPMTTLDSSFSVSTASGIKSYSDPRLPAYLVALSYLETTEGPLWNAVRGNGL AYGVHFSRESDSGYIQFHVYRSPDASKAIEASRNMVAKIASGEEPLDKHLVEGAISGL VLAVADEQATMTAAAQQNFVSNVIRGQEPGWNKKLLARIREVTGDQIREALREIVLPV FEPGKSNVVVTCAPIMEENMVKNLEAIGYKTQVQPLTHFYDDYGLKADEDEEEEEEEE EDEDDDHHHHHGCGSECESECGSECDSDEDMSD NEUTE1DRAFT_57596 MMPPSSPQTPRHSPYPSMENLRSAASYSPQEGRALSHKSSFNDP LTPSRNSFNSSVGPTMDIGMFGNGGMDNGGGLGNLADELADAFSDGEDDYFEGQEGEE APNMDGKGEEEDLLPTPMPKDGGGIRDSGVDVASPRSRQRASLKSQLSPNGRGHHHHH RRKGSEYDGSEYGSESDLEPSGLSPRLVEKMAEVESLARRGTENNGSAADGAFQRVTE GLRDLGSQAGVEGGATRLITAHSALTTHLTHQTRQLHSLTFPLLSPLSPAPDAEAIDE LLPMLVSLSSCMPRPSTAAFAQLASLHTITTDLVHTLNYLSDTLHMSRQTTTTATRRL KSARELVAELKREEELREQGERWLSRGNWSERLRNRECAHVCGEVVGGFEEVCNTWRK RLLAQEGGGGGGAGEGPGTAGSVEA NEUTE1DRAFT_76279 MANFRQFRPDDLNKFSKCNLDPFTETYELGFYLQYHAKWPSLFQ VAEDQHGNIIGYIMGKLESSPDYYKFSEHYLPWHAHITAVTVAPEARRLGIGKMLTEQ LEAAADANDAWFVDLFVRSTNHKAIQFYKSMGYSIFRTVKDYYGDHSSDPTKSSEDAY DMRKPMKRDVKKEHIREDGEKFEVDPSDVW NEUTE1DRAFT_76281 MTPSTTAPAGPITTHLTTLLGIKHPIILAGMARVSGGPLAAAVS NAGGLGVIGGFMYTPDQLRSIIAEMKANFSRPDLPFGVDLALPQIGGSARKTNHDYTG GKLDELIDITIESGARLFVSAVGVPPKRIIDKLHANGILVMNMVGHPKHAVKALQLGV DMVCAQGGEGGGHTGDVANSVLIPSVVDVARMFEPTMLNKVLGTTDQPALVVAAGGIA DGRGLASSLMQGAAGVWVGTRFVASKEASCSDQHKEAVVTCGFQDTERTLVLSGRPLR MKTNQYIAKWHAQPEKIAELTEKGIVPIEWDMDQGNEIDPPHLMGQVAGLVKKVQPAG EIVEEMVQEAVEQLKLGQAYLNGGRTSKL NEUTE1DRAFT_144158 MAFIQDPRLRQRWNQISHNAEAVTENAAAGIWTFQHQYITPCLS SLADSIDSCTSLCLGDREERARRARERDRGAHRTRAEYMFDFYDDWDDDFAADQGTAS SGGGGGLFSGWGGEDWDRLLAGTGNDRRHAAGGTGDVVDQPRRKRGMSYGTRGGPRRK ASLPEDDPTVIPRTAPLGFLGRLPFKFGGTLRYKPSAANLRDHPGALLPGQQQQGGRR HRDENEPLLGTSSEEGEHARNHEQHARESPQLRPRSNTGESEGTSSSSYRSRNDIFPS DGEGDEDAVPLDDEFAVALGRVDDGSSNRTRSTKGKRPANGDRESGIARSVSRTTIAS TYSAYRIDDTTIFPTSSSEDALPTPSLEDLQREEEQAEREEYEEIERKRKAASRLATQ RGLRKEEEGAPKPEAALKSGPELESKQAEVDHGSTPEEPTLHKNISQNETRKNSEDEM RETKLPAFTEVMSNDTTAAKQTHNGGAKESMTAKLEGSNTELQFIPARLPHFG NEUTE1DRAFT_144159 MSSANPPATATSGPRPATPMSNGSANHPVDPPPATNGHPNHAHE TRPAPAPPANMAGKKGKPKKAPEPNEASKLIAARITQLEQDAAGEKDQEAEIEREVKK ASRELHSQTSRMNDLQKIDHLTKRCADLLAEMKRLERESIKNKKRGDQLQKDKDNSRN ELNKTTSLKEKLEKLCRELQKENNKLKNENKTLSDTQVRSQNSWDERYSALLRRMDDY QEEKDNPRKQVVDMEMDEFFRQRFKSLIEQYELRELHFHAQMRTKELEVQYNLARFER EKKNYEAELARSRQLNAQVQTFSKTETELRQQLNVYVDKFKQVEDTLNNSNDLFLTFR KEMEDMSKKTKRLERENENLKRKHEQVNGNILKMAEERNKYLAEIEDLKKKCEKLNGI IKQMQQQGRGIPQGLAGAVETGYAEGEGELEGDESEYEDEYDEEGDEEVSDEGDEYDD ETEDEQQMQQHHQPQPYGPERPPPPAPAPAPVPTKAALTANGAYHLC NEUTE1DRAFT_57607 MLRNATKGAARRAVTELSQYPKPGEKLHGFTLLRSKHVPELELT ALHLQHDKTGAEHLHIARDDSNNISFRYPIRDPFFKMLPRTLSNFMNAFTASDHTFYP FATTNAQDFKNLMSVYLDATLHPLLKETDFTQEGWRIGPENPQALVAAEGNAKPEDRK LVFKGVVYNEMKGQMSDAAYLFWIRFQDHIFPDINNSGGDPQKITDLTYQQLKKFHAD HYHPSNAKVFTYGDMPLADHLKEIGAQLDVFEKIRADVANHSPIDLSSGPREVKLYGP IDPLVDANKQFKTSVSWVLGETSNVVESFSLALISALLMDGYGSPLYKGLIESGLGTD WSPNTGYDSSGKLGIFSIGLSGVQEEDVPKVKAKVQEILRSMRDKGFERSKIDGYLHQ LELGLKHKTANFGMSLLHRLKPKWFVGVDPFDSLAWNDTIAAFETELAKGGYLEGLID KYLINDNTLSFTMAPSPTFSQELAQEEETRLSTKISEVIKAAGSEEEARAALEARELK LLAEQSKTNTEDLSCLPSVHVKDIPRQKDSVILRHDNTARVNTQWHEAPTNGLTYFRA INQLENLPDELRSLIPLFTDSIMRLGTKDMTMEQLEDLIKLKTGGVSVGYHSASHPTD FTRATEGLMFSGMALDRHVPTMFDLLRKLVVETDFDSPQAAQQIRQLLQASADGVVND IASSGHAYARRAAESGLTWDSFLKEQVSGLSQVKLVTSLASRPESDPLEDVIAKLKQI QQFALAGNLRTAITCDSGSVSDNAKALSNFVNSLPSEAVTFPSRGPPNFTRDIKTFYP LPYQVYYGALALPTASYTASANAPLQILSQLLTHKHLHHEIREKGGAYGGGSYARPLD GIFGFYSYRDPNPVNTLKIMRNAGQWAVDKEWTDRDLEDAKISVFQGVDAPKAVNEEG MAQFLYGITDEMKQKRREELLDVTKDQVREVAQEYVVKALNNGSERVVFLGEKRDWVD KSWAVKEMDINGSA NEUTE1DRAFT_144161 MVMPTVVSDRMGTIDFIDYTNNHVFSKCQTDSLNTVNNGSLKHD DYPHGLANGKLVAKQMIGDALRQRVESIDSEFCEPGDEDTFFVADLGEVYRQHLRWKL NLPRVKPFYAVKCHPDDRLLQLLAALGTGFDCASKAEIEQVLRMGVDPSRIIYAQPCK TNSYLRYVAQQGVRQMTFDNADELRKIARLYPDAELFLRILTDDSSSLCRFSMKFGAS LDSTDGLLGLARQLGLNVVGVSFHVGSGASDPTAFLKAVQDAHVVFQQAAAYGYNLKT LDVGGGFCSDDTFEQMANVLRAALDEYFPAHTGVNLIAEPGRYYASSAFTLACNIIAR RTIQDGSAVSVSDSSSMSDDGSVNNGDARYMVYVNDGLYGNFSSIMFDHQHPVAKILR AGGRTMYNSVAAHESSAEDAIEYSIWGPTCDGIDRITESIRFREILDVGDWLYFEDMG AYTKCSATTFNGFSNEHDVIYVCSEPGAMALLGL NEUTE1DRAFT_57614 MIRNVVVLSGNSHPKFVDSVCNYLGIPPASRVLEKFSSGETRCE IRDSIRGKDVYIIQSFGVGTNRNATNNNNNNNTDGSSTSATAETGHVTVNDYFIELCI MISACKTGSARRVTAVLPFFPYSRQPDLPYTSTGAPLVSRNHDAVTMTVTEGQGSVSY TFESVPPTPAAHIPRTAGLANGMSKLANGLSSSSSITRGSDIRDMAKVMVTGPASDAG SKSLGEKQPQQQKANDKFTTHDFENPAMAMAFQQKVGFKPMMAQAGSLIADLLTCAGA DRIVTCDLHESTYQGFFDIPVDNLIARPLLKRYIQHHIENYKEAVIVSPDAGGAKRAA AIADSLGLQFALIHKERRRPSKTHPNYPSMMLVGNVTNRICILVDDLADTANTITRAA KLLKREGAVQVVALITHGVFSGDAIQRINASALDRVVVTNTVAQDRHLEAFGAARARG TKELPDKNKNDVDPRVVCGKLEVLDIAPFFAEAIRRIVFGESISMLVRDGD NEUTE1DRAFT_119929 MVTTGDVSGRAAHSAGTKRSSHETRSQAVHPSTTRVTRSSRLQT PPPPSSGNSREEPPTEIARNPRAPPRTENHVNSLDVEAVDSALRREIQQRQTSPGPSS HRKRQRINGDRFIPTRSGQDLQASFSLLHEEGSPATPRQKRRTPQGELHFQKTEEANR TFSTVLRSELFESTVPQIAPESLSPDHRRASRGYLHEGTRSQTPPRNGLQAAAAPTTL TPSTPHKNLFSYLSPRHASLGGHPTPSRTPQSRHGINLDTRAEIYSLSPIKHKSQQLL LSPRKQPRAISKVPFKVLDAPELLDDYYLNLVDWGSANVLGVGLGSSVYMWNAQTSRV NKLCTLEDDTVASVSWIQKGTHLAIGTHKGLVQIWDAEKARRLRTMTGHTGRVGALAW NTHILTSGSRDRLIYHRDVRAPDQWLKKLVGHKQEVCGLKWNCDDGQLASGGNDNKLM VWDKLSDTPLWKYSGHTAAVKAIAWSPHQRGLLASGGGTADRRIIFHDTVRGTVLNEV DTGSQVCNIAWSKNSNEIVSTHGYSQNQIVVWKYPSMTQVASLTGHTYRVLYLAMSPD GKTVVTGAGDETLRFWNLFGKSPRKSVHDLDGGGSGRSGDWGVIR NEUTE1DRAFT_144164 MATPKFNSKSPTIRRILREAQELSASPSPDYTATPLESDLFEWH FTLRGPPNSVYADGIYHGRIVLPQAYPLRPPSFRFVTPSGRFEANREICLSISGHHEE TWQPAWGVRTALVALRSFMETDPKGQLGGLDATEAVRRRMATESRAWKCQACGKTNEE IIWECEEAAKEHQGEGQAEVEVPSDLKMGWRDEMDKNSSGAAAASRSGAQQDEEDTES AELAEGFVQTVPLPPTPQPRSVGITSSSSTPAQPGQGVPQPTRTIPLPSQFNPHPQPM MQHRHPRQVRVSNDEVTFWIDRLIVVLSIALAAMILKVFLA NEUTE1DRAFT_76302 MARRWARKLERYCCTCVTYFPLAFVYTMTSWAVYVDVSLSTTPS RVTWLGHSYGFIAVVLYLLANWCYTYAVFTSPGSTTNEYGYSTLPTQAPPTATSFTVK SNGEFRFCKKCQARKPDRAHHCSTCRRCVLKMDHHCPWLATCVGLRNHKAFLLFLIYT SLFCWVSFAGSASWVWEEIMSNTTYVETLMPVNYIMLSVISGIIGIVLSAFCGWHIYL ASRGQTTIECLEKTRYLSPLRESMQRTYVNQHTPGQGIALPKYGQQLLDIHQNTIPGV TRPEEGEEMRRMTTPSGSSQRNDLAAQHNPELQAGSRRFTYDEMEHIRARKRYEDYLD EQDSTKLPHAFDLGTPRNLLHLFGTNAWLWPFPVCTTIGDGWSWEPNPKWIEARDRIA REREEQRQRERQAGWGPADDDDITPVYTPTWTPPNQQQQPQGGAGRHYLQPSSQPQTQ RNSNSSSPSFTPSRRTPSKADRILGRDPNMYADDEPVIYGKHDVAMSRLSPAGRTLVV EDDVDDDDDDDEDYFQDADRKQEDAEQSALNVVTNGRWGRPAGASGVGLLAHGRPGGA RSPISPISPPAGGFGGSARNGEGRSNDDGVD NEUTE1DRAFT_127609 MSSTTEALLVSQNSPSTLPQAISRTMASNTSPSRRARPAQVQTT LTLQPQAFTNVSPLSAISTSSASPTSQQPSPALTPDTAGAIFTESSSLQGSPATFVSS TTKGMDSYASTIKGMEEPVTPLNLPEPLAPAPLSSKKSSNSLKRNGEKIGEKIPRQQN AMGEALSKSSFAGSLVRRASNTVNKARDILTRRASMNIVNRDADPMVASLRRGSNTFP LGEHNYRGHLLESDDECAIDDAGPLDGLRDAQIPLSIGPEIPVALRQGVMLKKISKKK KEKRITLTLDPDTSKIWWDKSRTGRKSVFIDDIKEVRTAEDIRQYRLDAGVEESEEPR FFSILYTVPEKSGTKVLHLIALNSTDFRNWTTTLDAILQYRQDFTTNLMASNEKAVHE FWQRQMRKKYADRVIPAEGETIELPDIERICRSLHMHLSPTEIRDNFNAAKAAKATSN GVCDPTSPSSLNFNEFKEFVRLLKARSEISHVFKNHTTNPKAGMTRQEFIHFLKEIQC EDVEEDSTHWNNIFSQFARRRRSKDAEQTISGDESLTISEAGFTGFLTSHFNSLLIQE PSEYVLDRPMNEYYISSSHNTYLLGRQVRGISSVEGYISALERGCRCVEVDCWDGPND EPYVSHGHTWTTRIKFEEVIKTINKYAFVKSRFPLWISLEVRCNAATQANMAKIMIDI FGDKLVRAPLDPSSDRLPSPSDLIERILIKVKKSQPVPNAPSEESLKTERPGRRRGNS LPSPYQRPSPLPSPMDNTSVAVPGSPSLSPSPMLRATRQINTITEGEVHSHGGPSNSP SEYESDAEKDSGKKVMSKINPILGELGVYCMGVHFDGFDSPDAKKFNHIFSFKEKTFA ERSQPGEAKRALYRHNMRYLMRVYPNGGRISSSNFDPLLYWKRGVQMAALNWQTFDLG MQLNQAMFDSGTDLSGYVLKPLEGRQIQVVPTDAAGQLSGKRPRKKISFKIEVISAQQ LMRPLNLPKGRTLDPYVEVEIFLADDKRNKQDGATNAPAQETQLKYRTDIVKDNGFNP VFKGNNSYEFIVTTKYPDLIFVRWSVKLGDNKGYNDRSPPLATFTAKLGGLKQGYRTI PLLDHNGYNYLFSTLFCKITKSQPTDIMVTYSSEVPRNSVSRIKNIFNQSGISPKSSM DSGRT NEUTE1DRAFT_34410 TCRWRAAQLISAAKVGRIRKKRCRLLADVNLAPRGNVSLALVTQ SETTDEASFTYLASKPPIGMKTFRTAQPHVIDKGLATGCPASKTGREGDGAVVPCLST SGNAAATTTWVSSR NEUTE1DRAFT_97972 MGSMWQLASSASLAGELEKDGMKWGMPRGGVHWRKEDVDFRLAP MKLIIGMSTGR NEUTE1DRAFT_34239 LSPIDGVGVLLGYGKEHGQTYLTNSIRPRPTPESLLLLLRADFG LDIAKSGRMTGGIRMKYARCRKGQPRLVINGSLAFDDGRERGGRGPGEGRTVMLGIGP EAPPDNPIVNFMMSETRIRKDGSQGFRVACQGSQDSTRSATGIRGKSTETKEGIPMII SGGSRPDDKCIITPGAV NEUTE1DRAFT_34885 FAIYYLNNILIYSKIFIEYKEYIRKILNVLYKYKLSINIEKSKF YIRETIFFKYLISENEIRIELSKVEVI NEUTE1DRAFT_107400 MDSFAISDLEVQFYYLLACLLSFIKKLFVAFAAYGLQSPSKLFS KFRELNSWFNAAKKQQFLERIDIPKRRRRRRRFGLSKVRRRVVNVIFPIKMRLLLSPD REQFG NEUTE1DRAFT_127610 MGSKIVRLINEMHVRLLVPANYRLRGPYPGEKRLEKGEKGWGKN MDDMDRGWYWIIDVLTDNLNISKCVLEVSTQPRAISRDKYDNSHTEDNELNSTGRCTY PPDRFAGRPSAWLLSSSPHVFRSSLFMFEKEKGEKINRKTFGRTEIFPWTFIDPGSAI SRHQCISCVRKRRHQHVVSLCPTVPPVSQTLKQAGHATPRTETEPTIQPTSQPTRVIS RNQLIPNNESVKPTGNRHRQPFCFFVFRQTIAEQTNDYDAAADDDFAYAMPCWISCKK CIACI NEUTE1DRAFT_36127 MRDVCQRRPCLVLWLTASYCRSEGTLESMLLPYRSRSSEEEIFG EGKIPKRYSPVKLGYTQTGYDRDSARLSLVPGLQPDLYLSALNAGVIKW NEUTE1DRAFT_144167 MPFSSKFPMHITNALMPFLPKDVKERYSEYRVSMAEDARQNLEG EMGESQLYLRLATLGKKPHWHRDFLEIGRERMSTLFRPE NEUTE1DRAFT_37150 DGRLLRSGTEDRSTVSQSILPYLRVTLIDVHHQVYRGITWEPND SKKETPRSEFCVQDQV NEUTE1DRAFT_144168 MSGVGLEVLLEGLIPEIAYSGETGVSVSALLRIVRQYHNTLDAR QDATSGEDIAAVAAQITQKDEEKLDESSFSPAEMVSARWAWDWLRTRPQILINKNKRY NKLELQEVLALPEAAESNGAEVDGEPADIFKEPAPKAKQPASKLSKAAASHQKASKSR FKKALLTIRPRIYPAEDLVWQTLTRHGVDYKRVPVLEWKCLLGIASVRDKGILQSDLR RLVDQDKRSLPKRTDSLARKGYIAKRTIVVSKMKTSKLWLIDFAPPILETETGGLDLS VETLTKDLEPVTWHDRWTRDNIDMEAFARTFVGVVKAWQVIRYADLRSKMGVVGKHWQ MKTLAKNCQRIVDLGVLKYTAATFPGARKVFKDCLKFIRDPTDEEWEKFLATGKKTSL YSDATRHREPKPNALALFGKATKADEAAKNEPKPKRRRIFPGWTPEKPLAQNVFEVIQ SAGPAGASNPQVSVATVGYAFRRYMASHLTKVAETQQPPHLKHFQVVSRLERKGKTSA YMFSVANPAAEDDTEDTPPAAAGASAYGFGQVRAKSFPETSDLSLTEICRLAKKPRSM AKRKGRHLSRLEAMSQTEAEASKTPDDVVMSGQPNFEPITPVDQSVNGDDANAENLAS HTETTSPEPMEERPPGAYRGIPGSLNPNPQTTGRPRKSIVIVFRSHRLKDLSFLGTSQ ASGTDRSPASPILGGTNHPKTVLNASYNGISGKLTFSLEGRAVIFDRDLEEAGKEPLA IKADDMLDDPAIRDVPGADGKSLVVVTKESGNGTPSWTFVFIFEDTDEHNQKALAILK EIATLRTNQNTSQLIAAEPSTPQSIVDDLAPARGGAARGGRGGRGRGGSTRVRGGRRA QMLPADSKPYKCETCGGAWKNDIGLKYHQTKAQTPCNPNFDPSTVIERGRKRRRIMSP ERDAASVASNAGDNTTVPVGTQPEKDGRRMRKSSARSRTNVRAGVRAIQNAGMAFRGL NADNVIESVEDAAQLPTHQQHRGASSPLFSHVAHVAPKPKCGGIIRNFSKEDDTLTTP SNPGSQHDAMQIQHLVDAQQTPQRVQPYSHQDEHLSIPGVDASLRRSLVDQRKSVPSV QLSAYTEIPHQQGRQIGTSGYASDRASPSSRVVQMSLYPDPSNMQPSYAGPGPHSNQG HYVQSHQDVHVASYSSPPQPSRYSQEYGHALDSQTVDEAYPEPYPVNIQQHQQPASQV QVEVAPFIPSKDYSRFSTEAKKRTAQARDIILYLLDNNFGVFPGGKSLFYALTKVYLR AFRHQSPPTWKNHQAAIKALETRHLAILHTHMLRTEAGRFIELSLILKTGVKTNDPMP MKVKRRMKEKYPSLYIPTAFSPTQEELATLEELYEKPAGNSKEERKVNANGQKFRSRR KIDEVEVFNAPFYTQHNDEKVRDSTDPLWVLESEKASSYKRPAGDELSDGHPEKRARL DERGTPSKRDGAHNDDSDGSTWEPDHTSPYHTGPRRRGRPAKLYAPTYTKHITDQDEN IEPPSVIEAIKAYGLLPAKYGSKKKEAALRKLHKLLPHVGKVRNPGLGSLPPWFFDNR LRPIEKRVVEVQFLEPNLDIHEAGASTEKGQSKQAAKSVPSKDVESTAGDDATSNNEK AEGVHKPVVFQFAPCTTIQDESRGSWSSKGWQFFENHNGSFAVQGWMPDLDSLTEENL PRSAEAMAKGPGITFNPDSWKDPEYGRVTTLIDKCVAWERSSPGTALLTANIVSSKPI FINISSSTTKTSMKNLTLKWSEDRQFGLDTLPYDDVVQVADDESLPYDGDSPDRVIVR PVRATRTTRKTEPNKPGRKGHGGRPPKIKLPAIKTEREHTAYPTTDNDFLRVSGDRSL ELDWSSDNVKVTAFVVVTTLLGGVDRVVDWGLMMRLFPDMTLSQLRHTWGSLKKDRMS SIVNLTDKFRRAFLKAYGVGVPKINFDNILEYDWKWLIKWASNLDEFRHADLPKSRTA LERDYNVTGIGIEDREWRETYNHPQRSVFNKFQDATSEAMALSIDEDDSKEQDDIRRR ELALAMAFMRSLCVTPIETYSKEHISKQRNSLFPTLSRAEVEGLMVKGVDQLQREGII SKSNSRFQNGWRWRIANRITDLLDKVAHEERLAQALAYKRELDKAFRAGEKKRVTYIT NDGMIMALLNLQAYQRVRIETTGQPHVPMGFEPGNYETRKYTKKYLHFRIDVIPTETY RYDDDQELVDFRKRIMSAPPPNMGPDGATPIWCDVFSRVDATRWLRYLCVVLVTIASK GAMGPEELCKTLKPAIMPFEAELIIKWAQELGILGQQYEGTALTVMEWWWMVLDAQRQ GLESGLAGADVAEGMRTRRMFPGMMKF NEUTE1DRAFT_76310 MPHKHTRREKDLSTFDLPPTQIAKPLPPTTISKKKQPVQKKNTD GIQKTSSKRKRAPETQDAPRAFKRLMAFAEGRKPRSGLDNGAPPPSKKAKKKAAAAAA AAASNETPAPEKEPESKPELKIRPGEKLSEFSQRVDAALPISGLVNNKAKNGKDPLGL KIWRTRKEMKMHKLYDEWRVQDAKIKEKREEELEELEEKEMEEESMGVTWKLNMEAGK KKKKGKKGSRYLGESKEKEDDPWEEIKKKRGEAKVGLNEVADAPPELKIPSKKLLVGG ATVDVEGIPKAAGSLRQREELQKVREDVVAQYRKLMAERRPNTLV NEUTE1DRAFT_115888 MPEQQRLASLDSSTASRLPAYSQTLFEAKTEKGLTFEAIAQHLG RSEVAVAGLFYGQVQASAEDVDKLSELLSVPKEAIAKQMMGFPDRGRAGPMPPVEPLI YRLYEIVQNYGYAFKAVMNEKFGDGIMSAICFNTTVDKEVDETGASWVVITLKGKWLP FARF NEUTE1DRAFT_76317 MEVEEEQKGEETTTQTKADEQKPEQQEEAGSDSEEGSDDEEDND LDLDALDESDSDSEFERDLNEKQSAAGIQANKYQKAAEESSDEEEDEDEIDIDELDVD GEEGEEVKAISKARQTINNKQGLLTALKRFALDTTDKVPFAFHQSIVAKKVTEESIPS IDDDLARELAFMNQALEAARVGRTLLRKEGVPFTRPTDYFAETLRSDETMEKVKAKLI EEATAKKASAEARKQRDLKKFGKQVQVAKQQERAKQKRDTLEKINLLKKKRKDGGGPT GANEAEDLFDVAVDNELKGGPSGNNKKRGRDGKEGGGPNAKRQRKDAKFGFGGKKKYA KSNDALSSGDVSGFSARKMKSGGPAGGKPKKFKPAPRPGKARRKAGAGKR NEUTE1DRAFT_119937 MDEPRKGHRCSKTTSETLIHETSPFHSSNPHGKGLDAQHHHEPK PKPRFRPK NEUTE1DRAFT_119938 MCRFLVYKGSDEILLSKLVLDPAHSILKQSFDSRLRLDTRRGQN NADGFGIGFYTDPKLGLAPCLFTSTTPAWNCQNLQRLASKTASHLVFAHVRATTEGTL SEDNCHPFCHGSLMWMHNGGLGGWKYIKRRLGERLADKWYLGVAGGTDSEWAFALFLD TLERMGFDPSSQPEGGFGPTVLRKAMLRTIDIINELIDNIPESLVHSENIDTRSLLNF ALTDGHSIVCTRYVGSSTDEAASLYYSSGTLWETREADPENRDYQMERSDKGADVVLV ASEPLSFERENWVNVPTNSILTIHNQTVLVHPIKDQYYEQDPQQRRSTAFVSSKGLAA NEKTSSRGPATVLMPAIEIESPQQHAQKKFLGPTIPSFTPNPVRTTTDTAVPRSRMPL ANVESANNPSNVADVRNISAPPQLLRAGSQQPPAQGNIKKKRASLTTALGEAYSAASM GYSLTTTLTNNSTTSSIISTETATSPVTPEAGRSEFGNPNKIAQFFPELTPYH NEUTE1DRAFT_144173 MSAQLRAAGALGPVARRVTLRAIRPYHSQLPTGGLQRTEHASRA LRRSMRFPANSYHNAVIVRNASFIRLLPKLALKFARIPALFGTMMIGGVAWIQYQAIQ VSNSAQEVFGNVRDTVTTTASGLWGSAVDIAEQTKRGWDNTKEEFELPEWLGNLFKSE GGPEGGNNNGSGPGRPEPPKKARMGAAVGASAAAYGYDDAAEEDDRTPEEISKDDQMM YMTKMMIEIRNLLQKVGQSSTVSLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGNNMIT RRPIELTLVNDPKVSADYGEFPDLGLHRITDFSLIQKNLTELNQSVPERECVSDDPIR LTIHSPRVPDLSLIDLPGYIQVAGENQPRELKRKISELCDKYIRGPNIILAISAADVD LANSTALQASRRVDPRGERTIGVITKMDLVDPEKGAAILSDKQYPLRLGYVGVISRAP QQTGLFKRDTGALLRQISKNEKSYFSTHPEFGPDSGVNIGTVTLRKKLMHVLEQQMSS KLQDTTAAIQRELEETSYQFKVQYNEEPMTAEAYLAASLDDFKHAFKRFASSFGRPQM QQLLKEALDQRVLDQLAAKYWNKPIEDLSPAPFEPENIADLPKADPDSQFWHMQLDTA TSALTRLGVGRLAATVVANAIQANVDKLVNKSTFAKHPSARQVINEAAATVLADRSYT TSDGIEFSLKPYKYEVDIQPQEWSQGRDHVAGVLKMELDQCQRAMGNLENSVGGKRKL KEVMNFVDKARKGEIVVEGETRNGAGGFSAALLQKGREAVFLRDRADIINMRIMAVKS RQCKSLENKYYCPEVFLDAVATKLTQTSVLFLNVEMLNDFYARFPREVESKLGEQMLG GGLERFASEDSRIRRHLDLIRRKELLELVLSKIEGLRRFHRADDDVAGAHDYHGHGHR AYNGNLGKKSSSSSGSGSSRGRFGF NEUTE1DRAFT_76326 MEPHTGAKPNADYGRSPLTRRSTVTKSASTLPGPPPLPLALPAN ERGSAAAVDMATATATTTSTPLSTDFHHNSPNSRHMATGLPVIAPAIVYPAADDDYGD DAEGAPRDWRHNSGSSGDAIETTIISLAFDDHDQDDTGSHSLAAPLPPSPSQSPSQDK RSKSQGLLLSPTYKPPISPRSTTFSSDTFLHPDHANLSLLRSPSPDPSSFAMASQDGS ASPTTGESSAPPKNPFNFQTQIISSGPVKSNIGQRRGHRYKHSSISAQHSFFQEPPPR PPPVLPASLPIPTFKEAWHSMQKLQRMRFWWCCCHAAIAGYVFLSAEGSLAMTALSHL VAFDVGSAAVCVAVDVLGNFEVWRRSSIRHPFGLQRAEVLAGFAMSVFLVFGGFDLLS HNIKHALENVGEHAPHHPLPDTLDDTSANLASSTPIAHIHAHDATTPRVSAGAVDFAS LAAILSTLISAYGLRNHSRIGRVMRVPLPYLRKLLPHAGILSNPFHLLTTFFACVMLL LPLLSISYFVWLDRLICASIAVSMFVLGTRLAIAQGLMLLMSYSGPRDISRSPPSTAA SSDKKMDSLAAGENNNNSQVSAVVREIESEPQIKRVEEAQFWQVHYGLAMANLKVCLA TKGMDDGALAQLRSRLARLVQSRLGEGYGRGSNLRWEVTVQMSTDST NEUTE1DRAFT_76327 MNQPISEPASPAAAPDVTPNRPPAVVRKRSNSGTGGLLSRFPFM RASSDQHRPRARRGTNEDDSKPSASPTSSPTTSPPIPSFRTIPSTSNTNIRNSSAGSS VHAPPPPLSHAALQQQIILQQKTRRRRGSLRKVALLGRGAQRERRENYPLSINTQFGV EGANGSNARTSGLGSNIITSSSDSIIKENSRNSGFGLGISGVTSRPSASMAESDSEGI YTVKGTSSNITSPTMISNSTDDPTMTSPTISCTTTDDEDALHMASFRGPGVMPSLSRS STSSSVSSLNLLHPERASVSSPGPDSYFSTMSSTRLTRGPLDITSGNINGSSSAAAAS DSIQGRRRSSAQQQRAKSPLSLTTPMPSQEAVSDFDYYDDYSDTEFWGWIILLCTWIT FVIGMGSCFGVWSWAWDVGTTPYAPPEFEDDPTLPIVGYYPALFVLTWMMVWVWVSVS WVGMKYFRHARVGGD NEUTE1DRAFT_57649 MREVNFSIPNVNKASVGITTALYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLVCILKEGRSKDMMDMWKWNLAFQCVVNIGVRGTENV RTRVVEADMVPVIATILDNYIKVIERVREKAEEAKHKSDPHNRHRISGSNRVHKSASF SSRPTNVDVDQRAFRRQAAPQPIDVSITFAGPSTEAAAPDTETTPTASRFSGQTLGER TPFSAHRSHHHHHGVRTQDSQSAVPSPTRHESQPPAPAGPSSVENVDSFARAVRETDR LRNMGPFAHANLTSQPASPTTPLPTSVRPPSILAPNGRSRRRPSIRHQHSTAAETDDM NTDSVASDENQDAEMSGTADVQNEVGIQQVSVEDGDGIMTGAALDLVTPTASEAQEAG TFNITHQGVVDTSMENNTTPTQVTNLGLSPNRPTIANTAQPSMPNSRVPHYLLDRHVT PNPQMLAAMPREEDVLMSLQLLAYVSKYCNLRKYFQKCHLVPKLKIGKEIKLLDGTDP AELEAELEAEEEECEEEYLLPNDQNIFPLVEKFTVRYHSTDMQYWAGVVMRNLCRKDD TRGGIRQCAYYQCGKWEEYTRQFAKCRRCRRTKYCSKECQKSAWAFHRHWCIAATP NEUTE1DRAFT_97986 MLTLFLKILTTTAGVLAAPAPAAEAAAESLDVSITAASGYVKPC EERAGKCYCLHCYGSTC NEUTE1DRAFT_57651 MADSTFLTSLSYVFGWTYFTCWSLSFYPQSILNFSRKSTSGTTI DFPLINSLAGFFAYTISNIAFAYSPLIRAQYAVRYKGLTPTVQLNDITFAFHGLILCF VTVSQYLFPRAWGFAPSLGSRPSRFILGTFFGCVAGALIIIVRVWASPVRNDTSGAAV TEGGWVWLDAIYAVSYVKLVVTLIKYTPQVIVNYRNRSTEGWSILQILLDFGGGILSI AQQAIDSYQQGDWSGITGNPVKFALGNVSMIYDLVFIVQHYVLYRHAAGAKADGGEGD SDLDPLLREDVRGGGDEERRIQ NEUTE1DRAFT_127621 MADRYIPEHRRTQFKAKSAFKPDELRRRREEQQVEIRKAKREEN LAKRRGIGAGDSRPGASLGAAPDSDDENPPTESQLSEDLPKMVEGVFSSEIDKQIQAT TKFRKLLSKERNPPIEEVIKTGVVGRFVEFLRSPHTLVQFEAAWALTNIASGSATQTQ VVIEAGAVPIFVELLGSPEPDVREQAVWALGNIAGDSPQCRDYVLSCGALRPLLTLLG DSRKLSMLRNATWTLSNFCRGKTPQPDWNTIAPALPVLAKLVYSLDDEVLIDACWAIS YLSDGSNDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIINC GALPCLLSLLSSNKDGIRKEACWTISNITAGNSAQIQSVIDANIIPPLIHLLSHADLK TRKEACWAISNATSGGLQKPDQIRYLVAQGCIKPLCDLLACPDNKIIQVALDGLENIL KVGELDKNAAGDGPDSINRYALFIEECGGMEKIHDCQTNANEEIYMKAYNIIEKYFSD EDEAGDEAMGAQQQFGFGASGGAQQGGFNFGANGTESMDM NEUTE1DRAFT_119948 MTVLALQGLLKAQRFKSDGLARRAAKTLALHMRSRKLGDWAGAI SAFKTLPNLPYGWKPAAKAKPPLFPSTLTPLRAILDDDGDAQPGSMVNVIGVLKDCRA PVATHGSDWKCTLTISDLSIEDESAGVELVIFRPEARMPEVGAGDVLVVLSAKVQRFK SNPKSLITSKITTVCVYKAATIPVYPASAQVALLPPKPGESHKLLKEEHHQYVSYLYN VIDKYDVPDEAEYQQRVTVSLNVKDKFSLLKDVTDGNFYDLIGQVAKDPYTDEMGRIT LYLSDYTENDFFFHYTWEGVRDLASAARPADAYPEDNNPEAAQQHPWVGPYGKRTIQI SCYDAHADFIREAGVSAGTWLSLRNVQVKFGRNGAHLEGFLREERNASARKVNVEILD IVAPDPSVTKVKFDPRLKEAVRRWRDYSQEKKTQILAVKAAQEAGAKRKFARAGSSDE VLLDGQPPGKKFRAKERRKLHRAELEKKAVEEQEKVALGLNELVTCEAHPKPVSTLAS ILEPVMYETMVNGQPVKIPLPFTNTKYNACVRVVDFHPCNLEDFACGRKWTEFDILSD NDHDDDDDESDQDSLNSTMDASDDEDQDKGRTWEWRFALLLEDASTPSLPATVAAMDK DKTRKCDRDPKTRARLWVTVDNTEAQCLTGLDAVNLRRDPHTLATLRERMFTLWGNLE ELKADKARAAVKKREERDEGKGEDEDINGNGRITKKRKGKKATTVAQLENLKPPLEDS DGEQQQQQQQQHQQNQGEEELSNKPFSCCLKQYGVRVSEDDDGLPKTDGAAGGWVRVF GMFGTKICV NEUTE1DRAFT_144181 MNSPFPKSSSAAGEGKIIARLQPDGVSGLETITYQYPLKLISPS KPAEDKSVLVFLLSYGGGLVGGDQVNLSIQVHPQAKLTIVTQGHTKVFTSTKTDIVTR QTMRAQVASGGALCLLPDPVQPFAGSVYEQTQIFELAKDASLCLLDWVTQGRSARGED WSFVRWLGRNEVWTLPGGDDSGARLLVRDSLLLDSAQAHPQMKTLRESMHAIGIMGTL ILRGPKMKSLGEFFLAEFTALPRIGARDWRSEDAKKAEVIEGLSTAETWRRDRLKMEK ESGLLWSAALVRGCVVVKFGAPEVEAGRTWIGSMLVQEGSIESPGRSLHHAAPPSSPT DVMTTTTRQPLMETTDNTATSSPTPTSTARRSGRVTKAPAKFTPEPTSLSKRKRASEN DDGEDGENESPEEGPDEDDDPDASEVEQERQRSRKRKPSSQSAKAKKPALKKPKINGD APSRPQTPAETPASAPALALNNHASLPSRPKKSARVAIAQPEGEGLYTDIFRSDDRPN EVATRWYHQYQADHAEALTDLVNCILLSAGCDQRVTEDDIRDPDNCQNRLADLQNVYA EVGITDYPLISRARSTRSFRDLLVGFFRSLVDVLHETDALYKDVQILENISRWVASMS SSTLRPFRHTATTIALAIQTALVKAAGILDGRISNYMQQLEKTKGKGSKNAGLVESIQ QNLTDAEHYRDVCKDQIQDIFDTVFVHRYRDIDAKIRTECVEALGNWIHMLPTVFMEP EYLRYLGWMLSDVMPQTRHEVLKQLGRIFKNHAEKLGHFIDRFRPRLVEMATKDSDVN VRVVAISNIQILKDSGNLEPDEIDSIGRLIFDPELRIRKAVLDFFAGCVNDSIENKVE EIGGEDAIDELFQDQDEEDFSSPRSDWVSIKCLAELLAAYDTQIEEENPVEPPRNLDI AVDAIPAVAPETRISLAGHVLYEKIDQLKNWELLSGYLLYDHSSSASSKSASKGGSVE TALRRAVAPEGREEAILLEVLASAVRSSLAAPAELDKSKKHLRSEGIHLIGPEEAARK LASIIPKLLSKYGADASTAVTVLRLEHSLDLNVYQQLGQDSTTYDRLLNGICTQFDRH IDRGVLAETTTALLHGRKYPALEETIDEKVSELWDKTINKLRQFDKSSELGIRRNLEE PAIIELGNILLKTSKLVTLKDCIEVLEADGQVEGSESPAIEILIRIVMRGKLDQVDEN LDDPEDEAVSFAVKTCLFYFMWKARVLRDAVSEQRPIPALQIERLNTLRQSFVRNLVW TLSSRGTNDDLRLFATGALCDLHVAFASVRRVIERNAAEGSAVDYSLINPLLDPIDPG LTRELIEIFTAAERAYARCINRQLNNPGEDEGPIEHFSSDEEDDEDEDDSDLTPVQKK RKELKAERVLCELTGKMVLAIVAKVLDQGGSGQGAAGKIKKRMVRNQNKLGHNFKETL AYLVDEGRAARVREAAAKAAGKKKRPALAAPGSRARGSRPAAAGNSGVSVAGKKQALS AEIITAEDNDSELSDIEIEEEEPEAVPDPEERTELVNDPIEDHDEDEGGEGDGKSKKD DDGDEDMDEE NEUTE1DRAFT_57663 MSQPPSRGRLAPRGGRRPAAAAETPASGSSATPAATPAPASAAP STSTSSAATPAASSAAKPGLKFKPKAVRRGEAERQRIAEEQQRLQDIRNEQESRRLAR ANRGRGRGGGRGRGRGNFLMRGGRTASAAGPLSGGMGYGGGSSGGDGGGADGFGTSNG FDANRINADMLYSLSLEDEEEKLENGLVRTKKKRAPMPMGIRRFEHEEEEVVMATAAE IEAQDAGVDVAAGDNDNDDDNSSDLFVDNGEGPVQIKDEGAVDTAVPRTIIKKEGGEM EDVNMESIPEGVIKTPESPELKKKVMATTKEAEKPKEKKPKKPKETEADFAQQDRERL VELFNHGTELEGHMFLFQLPVVLPPLKSAAPAPKVKPEPENDDVMMLDQPTMQKSSSR IDLTSEEASKVKKENGEEADADAEQEDMTREGGYVGQLVVRKSGKVEVSWGGVPLQMG LGIQANFLSTAVIIKEDDVKPTQDQYTGAAYGMGRIQGSFVLAHTFGDEEEWVVDPSE LVIADGEQ NEUTE1DRAFT_134834 MLISPPPTSSSFSFVSSKIEKKEDSPDCPDGDLLACMQVLVAIL ANMLVWGCPSAFGVYQLYYVGTMRAALIPSLWTMTVGCGTVVFGTFITSLCGRGGEPR ESNKYSQISLAEGICTWFGLGIYFIPPLSVVILYFGMEKWSVVLVLLVIGIGL NEUTE1DRAFT_57664 MATAYGSNSCNVSPRTTSTTPKAKVVTFVLHEARIPLRVAIYPH DATESIITTVKNFYGLYYKERAGISFEDERGNTMIARYENFVDHQSVNVRIIENPRGL SPSYNNADDEENNHHASRPTLRTSRFRSPSPNGSRGRRSTSTAIAGKKGRSRSSKTTH GDHGDSVNGYSSGDGASGSASGKNKEPLGNTDISVENIVEGGRRKRAKLFESSMPAAT SNHSFSPIRHNDFHRYPLPFSNATQNPFSNPRPLQTPPNYHNHYAASSTYATPAIDDR RARGSISNDGSGVAPGLRTMLTPDPTVRSCVSEEDKDIKDVAMQLMRLQGDWNVHGRS SGSTLDDTFSGRADASCSTGTTSDCESESEDEMPLARAKTDEFGKQNVFQTTESHFAV PQDGAEVSGDDADYEDGDGAEEGAMAAPKLLKNPKPRSASLNGSRARSQSTGKPKAIK ATKPKSKKTSANPVPMTPASMPASRKQSIVSTSTYSAALGEEEQPDLSTKPRCQRCRK SKKGCDRQRPCGRCKDAGIPAEQCISEDEINGRKGRYGRHMGVPVPVNKEEVSAPATL LPAALIAPAGGLGSVPNPSALDKSKKRKR NEUTE1DRAFT_119955 MDAKPQRHLWAPPVRYGDENIPPADPIHQSKRSDSKIKAMMAVN GTLNAPPKRAACFDKSNTSKPLADGGNKDSLKNRVKPMVTTSSTRDLRSDGRENNRSA VEEHYVRPTATSKAASHGQKYSSTAPLSSHAASGAYGGIRSHNTIAKLSAPVKKTTNV YRDNKVKEESTSHAPTSSSVDDLVAMVDKHIKDPRHYKSQPQLKSDQQHTLHRNQSKY VPDYENPNDVDDPDDLNADYAESYEDAVEQLSRDLDIPANTDPAAIAHANHMSIAQAS YDSMPQGVPLAPSKPARALPLPPNVSDPEEYSEDDDDQDLYDDQGYTTAHSYRSHCDN TTGGPTTLVAPKTTADIQKELDIAKAWVLEHQTEEEVEEENWDVSMVAEYGDEIFEYL RELEERMLPNPHYMDIQTEIRWSMRSVLMDWLVQVHHRFSLLPETLFLTVNYIDRFLS VKVVSLGKLQLVGATAIFVAAKYEEINCPSIQELVYMVDQGYSVEEILKAEKFMLTML NFELGWPGPMSFLRRISKADDYDLETRTLAKYLLEVTIMDERFVGCPASYLAAGAHCL SRFFLQRGPWTHAHVHFSGYTLAQLRPLIISILDCCQEPRKHHGAVYDKYSHQRFKSA STFVEGKIAKGFVLPLRANASISDSAQS NEUTE1DRAFT_57670 MSTAAVVKEAVKETLIGSNEHAAADQVQPTAQTKARFTHYAVQD SETGELFLGPDQFIDAVAPPHEDYHKIKREQYSILFSVADRANKGKVTLSDWTYFENL LEKPDAEYEIAFRLFDVERLGTVKYDDFRRLYELNKGPNSIPFDWDCEWAKLYIGSKT KRHNLDYQQFSQMLRGLQGERIRQAFQLFDKDGDGFIEPGDFERIIMETSKHKLSDHL LENLNTLCNISQGSKVSYANVRAFQNIIKEMDLVELIIRKACGKSQDGKITRTEFLNQ AAKITRFSLFTPMEADILFHFASVGNPSGRLGLEDFAKVLDPSWRNRHEAEARLLPQA VQTKSQQFAHRAGESAYNFILGSLSGAFGAFMVYPIDLVKTRMQNQRGASPGSRLYDN SIDCFRKVIRNEGFRGLYSGVLPQLVGVAPEKAIKLTVNDLVRGAFTDKQGNISLIHE IIAGGTAGGCQVVFTNPLEIVKIRLQVQGEVAKSVEGAPKRSAMWIVRNLGLVGLYKG ASACLLRDVPFSAIYFPTYSHLKKDLFGESKTKKLGVLQLLTAGAIAGMPAAYLTTPC DVIKTRLQVEARKGDTQYTGLRHAAKTIWKEEGFRAFFKGGPARIFRSSPQFGFTLAA YELLQSVLPYPGQKAEKMHAGVADAVSMVKDSVGGDSPYTRSRNALKILLDLDEHFGR VKLDANAAGWKALPTWMQTPPKTA NEUTE1DRAFT_57673 MSSNPGTPPFSPGLNPGIPRIDIPRPPDPQLDPVGYLRSLGAVR ERCKIVTEKALRNELNHFDVDMHKFDDVVTFVANIIKRDYDAPFTSIPGHGRHQHFAI GGRDRIAHLLSTFPEDVDNTEKCKRMIDLFLVSVLLDAGAGTQWSYKSNENGRIYRRS EGIAVASLEMFKTGLFSGNKNNKYQVDKEGLANLTVDKLAQGLQSGPGNEMAGIEGRA QLLIRLGQALEEKTEFFGADGRPGNMLDHILDHPSTQATNGLIVPLPVLWNVLMSGLA PVWPPSRTAINGVSLGDAWPCSSMPQPAQSPSSPTFSPFPNTSGQSNGVAPWESILPF HKLTQWLTYSLMQPMQSIMKIQFAGQELLTGLPEYRNGGLFIDLGVLTLKKEDMDRGL AHYTSYCDRTGTKENEVAPMFEPSDDVIVEWRGVTVGFLDKLCVEVNKALEKELQGNE LTLAQMLEAGSWKGGREIAEVSRPNTKEPPILIDSDGTVF NEUTE1DRAFT_127630 MVSTPSGGGSVAVAAAGTSSNNASARASPTVTSHSSNGKSKTAP VNSNGYHPPKSQIPLSFMKSEPLDLNSVERRGQPTACKEPSKKKNRPHGLEEAPSYCP TEEEWKEPFEYIRKITPEASKFGICKIIPPESWNPDFAIDTERFHFRTRKQELNSIEG SSRANINYIDALQKFHRQHGNNLTRLPYVDKKPLDLFRLKKAVEARGGFDKVCKLKKW AEIGRDLGYSGKIMSSLSTSLKNSYQKWLCPYEDYLRVAKPGVHQQLELEYGGPLTPS PAPSPMKRSNAQTPSDLRGESPSRHPMETAVNGHAKDSDRDVTMLDAPSIPAPPVKSG FTAINTGGFTAINSGFTSINRPLAPASEAITPLSSAKNTPEVRPSAAGSSSLKRQLSF DSTSDSAKKESSVDKDDGEAGSRRSKRLKKDSVPTVAGSHMSLFRPSAPRIPREDSSS GENCEQCGKGSEESSCMLVCESCDNHYHGSCLDPPLKTKPENEWNCPRCLVGDGQFGF EEGGLYSLKQFQEKAADFKQGYFENKMPFDAVLNCHRPVTEDDVEREFWRLVADLEET VEVEYGADIHCTTHGSGFPTVEKNPNNPYSTDPWNLNLLPLHPESLFRYIKTDISGMT VPWVYVGMIFSTFCWHNEDHYAYSANYQHFGATKTWYGIPGEDAEKFEAAMREAVPEL FETQPDLLFQLVTLLTPEQLKKAGVRVYALDQRAGQFVITFPQAYHAGFNHGFNFNEA VNFAPSDWEPFGLAGVERLQTFRRQPCFSHDELLWAAADGITGTGLTIQTAKWLAPAL DRIHQREMAQRREFIGKHDFIATHLDAKHPSPHHLCVFNGETNDKCPIQFAVNDVDVP EDEYACFYCKAYTYLSRFVCLKTGMVLCLLHAGSHKCCDAQESDRFLGKEHALYYRKS DEVMASTLKRVTDKASVPETWEEKYQRLLDDEAKPSLKALRNLLSEGEKIPYELPSLS TLKLFVDRCNHWVEEATNYTIRKQQNRRKSEKAWPVSVRKSVGNAEHDQKEREMRNVA NIHRLLDEAEMIGFECPEIQQLRERAEAIKAFQETAKKVLDLPRDLQPIGSIEELLEE GQNFNVDIPEVEKLYSALERLRWDEKARESRSKFMTLDEAQELVDEGKRLGIQIYEDN IKYLEEKLEKGREWEKKARELINADVVNYSQLDSLSVQAQHANLPISKGTLDMVDAIL YKQREAQRQIIDLNKRSADPDYTQRPKYNEVAEVMRKVEELQAKPPGTLDLEKEQKRH EDWMRKGKKLFGKSNAPLHILKSHMDYVLDRNKWCFNTVEDTPRSPAEPASREPSVDG EHDSSDNEDENGSRQVFCICRRVEAGMMIECEQCHEWYHGKCLKIARGKVKEDDKYTC PICDWRVKIPRDASRPKLEDLITWYEEIPNLPFRPEEEGVLKDIIDMAERFRKHISPL CSGFSTAADAENQRFYLRKIEGAEILLAYETNYLRQELHKWNPVAPEPPPILEQSKST RKPRPTKLQKLLQQHGVQDPDDLPEDVRGRANSLKRKAQNAEAHAGGLGTGPGMHPFG PGHTYFSRQSSAQPQTPGLPMTSSSHAHPSRGSDSVSPSNTLNGRTGSLSHHSPLHHS RYFNGDNSTSGQALSIEDRILRDHHDNDDHADADRAKFRDSIWGSASSATKRSSASAL VTENDPMGIGPPADEGVADNHMFIDLVHDDDEEDERRGAAAAASADLVADHHHHRHEM ETDHDHLLEV NEUTE1DRAFT_98001 MCRRKEMQIEPRPSSSTTSVYRVRVGCLYRHSVCRYRCNTGLDN WMRSKERNENRDGGQGQAETGREQEVEVKFLRVVMCVDGNWVTISWFDGRRDTEDVQI QQGPKASGAAVAVGTKWGRAQLLQRGHGSSERRQT NEUTE1DRAFT_76361 MSTTTQIQPKPIPALYTVYILRSQPRHASLYIGSTPHPPRRLSQ HNGLAKGGAYRTSKISLRPWNMVCLVSGFPSMIAALKFEWALNNPHKSLHIPAEKRAE AVKGLGRRKTGHLKRPRKSLVGVMEALRMLVGVRSFGRWPLRVHFFEEDVWRVWERGG GKGKSGGGGVGELGDLKVEVVTDFGSPTAPQGAGVIGVGEEAENDPHDDDDGDDAQDE NKHGIYALPLDYAPIKEYVAKGQEIWEFERQGSCVVCKEAMVAGRGLYAICPNTSCEA VGHLDCWSRCLLKQETRRMKMDRGDEILPVKGECPKCHGEVLWGDMMKELTLRIRGQA EVEKLLKKKRQRAAKGKGKGKGKVADEKGNNAEEKNTKGNREVKTTPQRVVEDSEDSE FLDIACLQ NEUTE1DRAFT_144189 MTSPQIHERLNRDHEVSQLLDQIQHQSRELLPLYEDKSGVRFKE ILQIGSGDPFEEFYKQVASIKEHHSRYPNEQAENSENWYRPRKQGDDQPFLVESMFSG EEAYGRFFDLHASHESYLNLPNVKRLPYMQYLEVFDNFQPGYGGVKRADKLTDQYFKY LGELMEYLESFIRRTRPLENLEKVFGDWDKEFDSAWEKDEIEGWRKEKLAAKGSATDR TLSSADAVWCEACEKEFKNENVYTNHLSGRKHIKAAEVLAAIHGESANGTSNGTVAPL AHRLKERAVAEREYRIKKLSSAMKTERDDTRVNVERRQGMTERERQQELENLYNTTFR RQAKEAEEDEDDGGDDKIYNPLKLPLAWDGKPIPFWLYRLHGLGQEFPCEICGNFVYR GRRAFDKHFNETNHITNLKRLGITNTHLFRDITSIEEAVRLWNKIQGDSRKTNVDEGS IVQMEDAEGNVMPEKVYLDLQKQGLL NEUTE1DRAFT_107425 MADGGIDRKADEKIQFSTSKEVTVHPTFESMSLKESLLRGIYAY GYESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFSISMLQVIDTAVRETQALVLSP TRELATQIQSVVMALGDYMNVQCHACIGGTNVGEDIRKLDYGQHIVSGTPGRVADMIR RRHLRTRHIKMLVLDEADELLNQGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMTT KFMTDPVRILVKRDELTLEGLKQYFIAVEKEDWKFDTLCDLYDTLTITQAVIFCNTRR KVDWLTDKMREANFTVSSMHGDMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQV SLVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTSEDVRILRDIELYYSTQIDEMP MNVADLIS NEUTE1DRAFT_76366 MPETVTDMLSRRVKLSNGLSIPQIQLGLYMMSGPETTRAVRWAL GAGYRGFDSAQWYYNEREAGQAILSFLSSPENTAGLTREDVHFTSKLRDNSTNYDAVR ASISKSVKASGLGYIDLFLLHSPLGGREARLTSWKAVEDAVLAGEVKMAGVSNYGVKH LDEVMSAPFKIKPLVNQIECHPFNTQTEIRAACEKYGIVIEAYAPLARGMRMKHPVLT AVAKKYGVTPAQVLVRWSVQKGFVTLPKSTKKERLVENANVGGFELAEEDMKKLDGLD EKLVTDWDPTDAD NEUTE1DRAFT_57688 MESTHEPADPVAKGILPTARQSWKDLFIWKQRVVVTNVYGETAT EWAKPVPLKNPISLLAQLSGRDWICFTVGFCAWSADAFDFHALSIQQVKLAAYYGVSK TSVSTAITLTLLLRSIGAAAFGLAGDRWGRKWPMVVNMIVLGILQIATIYSSTYSQFL GVRALFGLFMGGVYGNAIAMALENSPVDARGLMSGILQQGYAFGYVCAACANLGVGGD TDSWKTVFWIAAGLSIGVGIIRCFFPESKQFLEARKEGKAHANPSQFWRETKVMLRQE WKMCVYCCILMTWFNYYSHTSQDNYTTFVLRAKELDNSGASRASIIMKAGACVGGTII GYLSQYFGRRRTIIVSSLISGCLIPAWILPNSERALSATGFFMQFFVQGAWGVIPIHL NELAPPAFRSSFPGITYQVGNMVSSPSAQIVNAVSEKIHIISHTGKLVEAYGPTMGTA TAIIVMGIVVTTAFGPEKRGREFEKALPAGMNLQKQHGKQLDDLETGHMEMVASELDD EKREGRAVQ NEUTE1DRAFT_127636 MKLLGPSVTVALLAYAIYSWGTFIYHNAIVFGFLRTNPMSTFVQ AEDIQYIPDTVHCEDLHYHAPSKTLFTACEDYPETRFQWFPPLTNFNDSSILKTYHGS LHVIDPETKKSQRLTVDNFDGPFITHGIEVLTNPEQPNAVYIYAVNHLPNPAHVIAIQ PPPADVPKARSQIELFHHVIGTTTATHLRSIWHPLIRTPNDLVATSPTSLYVTNDHLY RDHGPMRFMEDMYAKAEWTDVIHLQFSLEAPSTPAETDAVAGVTAHVALDKFHNANGL GHGRYENEVAIASAASGVLSISELPSSPLSKSSMITVLNRIEFGSTIDNPSYFSDPYA GTADGVDHSGFVVAGLGKAHTLAQTRKQPEAKDPVMVWFAKPVKQEENGNVIWEKRLL FEDDGTRIRSASAAVLVAIDPEKERGKRKAWLFVTGFVSSSVVAVKVDL NEUTE1DRAFT_76377 MATTTVTVTRSKKNANLPSENDRFLRCCADIANALIEDHEAAQN GRPQKDINLNSLRSKFAKKHKINNVPPLTAIIAAVPEHYKKYILPRLIAKPIRTSSGI AVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDPF EQARGRVDQLKSLGHSVDKVEYIIMGGTFMSLPESYRDEFISQLHNALSGYGTLNVDE AVRSGEQSNIKCVGITIETRPDYCLQPHLSSMLRYGCTRLEIGVQSLYEDVARDTNRG HTVAAVAETFCLAKDAGFKVVSHMMPDLPNVGMERDLDQFREYFENPAFRTDGLKIYP TLVIRGTGLYELWRTGRYQNYTPNGLIDLVARIMALVPPWTRIYRVQRDIPMPLVTSG VENGNLRELALARMKDFGTTCRDVRTREVGVNEVKNKIRPNQIELVRRDYTANGGWET FLAYEDPKQDILVGLLRLRKCSEKYTFREELVGQPTSLVRELHVYGMAVPLHVRDPRK FQHQGFGTLLMEEAERIARDEHGSVKISVISGVGVRSYYRKLGYWLDGPYMSKWLDGR PGPEE NEUTE1DRAFT_76379 MQAIARQTRPAGKLVQQLSKRAYSSNSSPYAATINNLKINGDTK VLFQGFTGKQGTFHAQQAIEYGTNVVGGTNPKKAGQTHLDRPVFSNVSEAVKETGATA TAIFVPPPLAAAGIEEALQAEIPLAVCITEGIPQHDMVRITSMLKTQSKTRLVGPNCP GIIAPGQCKIGIMPGFIHKRGRIGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPF SGTNFIDCLKVFLEDGDTDGIIMIGEIGGSAEEEAADFLKEYNTKNGGKPVVSFIAGI SAPPGRRMGHAGAIVAGGKGGADSKIKALEAAGVVVERSPASLGKALRDEFVRRDLL NEUTE1DRAFT_57702 MATTRERRVAKELQDIWNDRETSGVMAEPLDSSNLSHLKGSFSG PPDSPYAGGTYEVDIQIPDKYPFKPPSMYLITKIWHPNVSSVTGAICLDILGTAWSPV GTIKTALLAVRMLLESPNPKDPQDAQVAKMLMENPKLFARTAHDWAVKYAGAPRVDSI PLKYDETAKEGSRNDASRYAGYNKDLVDRFVNMGFDVEKVVDAFIFVGIDRNGGADYE LEEAYMGDITARLLGEQ NEUTE1DRAFT_115902 MGQNQSGMGGGQDPSKSKKDKKDKPKYEPPPKPTTRIGRKKKRQ GGASAAAKLPSVYPTSRCKLRLLRMQRIHDHLLLEEEYVENQERLRKAKTAKDSNVPA SELESSDRMADERSRVDDMRGSPMGVGVLEELIDDDHAIVSSTSGPEYYVSIMSFVDK DLLEPGASVLLHHKSVSIVGVLTDDTDPAVNVMKLDKAPTESYADIGGLEQQIQEVRE SVELPLLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGSELIQ KYLGDGPRLVRQLFQVAAENAPSIVFIDEIDAIGTKRYDSTSGGEREIQRTMLELLNQ LDGFDDRGDVKVIMATNKIESLDPALIRPGRIDRKILFENPDQNTKRKIFTLHTSKMS LNEDVDLEEFIAQKDDLSGADIKAICSEAGLMALRERRMRVQMADFRAARERVLRTKQ EGEPEGLYL NEUTE1DRAFT_115903 MSSFESVVVIDGKGHLLGRLASIVAKQLLNGQKIVVVRCEALNI SGEFFRAKLKYHSYLRKMTRYNPTRGGPFHFRAPSRIFYKAVRGMIPHKTARGAAALE RLKVFEGVPPPYDKKKKMVVPQALRVLRLQPGRKFCTVGRLSSEVGWKYEDVVARLEE RRKAKGAAYYERKKLAARQLSEAKKSAKVNDKTAEALKELGY NEUTE1DRAFT_144199 MTSSGTKCMSSTLLPPRHRDPGLDPVGQSTFPRTERETAPRVLG SDAHSSAVLPKTPTPASAQQPEKGPLDPEAVIVVLVVISLPISDTGVKDNRSLDQHTV AKVASGGDSASSGAFVAQLANITSFLKVPTQLQPYLETTAEHLASASEYLQLATGFSP SQVYTAAAGTLLALSVIPVVAARKSKSRKGGKMSWWGRPSLSPWNSGVGQGGVPSVTE EDFSYITTEDLDSPRYSQRYDYTRSPQAAVQGISRYEEPEDDALNIVYKNAVYPEGFP AYSIGDGKLLVSDVKERVKLILGISDRHGRIRLYYKGRRLRDDDKPIREYGAKHNSEI TVTISERESESSSDVGSDEIVIVDRDGHEVREEPEPSKKHRKKRRGRTREERGARSPQ SPQESASDVGLRVPTEDPRKRAASRVRSHSPSAESGFSAASGVSGVSAISAISAGSVT RASPASVAPGGPIEKLDQIAAHFNNTLLPLCRQFATHPPSDPKKRSEEHLRLSETILQ QVLLKFDDIETGGDVAVRTRRKEMVKQCQDILKTLDEALKA NEUTE1DRAFT_93791 MSDAATQKAKQLINDNAVVVFSKSYCPYCSNTKQILDGLNAKYA TYELNQESDGSDVQDALLKLTGQRTVPNIFIGKQHIGGNSDLEAVVKNGKNGKKIQEL LQEAGAL NEUTE1DRAFT_127643 MSISSSGYGARVSITNLKASRPKRLGQGLQELHKIDVQSLDMRL TSQSTTVMLRQSTFKQLPRSFGSAAKAATRTPASRRTFLSPPRSQPNEHLNRLDVNKL SEQQLKYARNRRAFLWTGLFCSVSSMIYVGYLIKLELKKPVHADSSLPSTDPLAGTNA AERKVVMRDEKGHELVPTGNSTVPTFPRTLELGSFEGAIAPGPSASGLAPTITGPDQT EYTLVGFGLRTVTFIGIQVYVVGYYVATADVASLQSALTKKVNPIATTLVAGERDQLR TDLLDPAKGEEIWDGLLANGIPARSVFRVIPVRDTDFHHLRDGFVRAIQARAGKVGDE QFGEAMKDFRQIFNRGKVPAKQELLLIRDGEGKLSVVYDDGGNKKEGRPAGRQLVGTV DDERVSRALWLNYLAGKKVASEPARKSIVEGIMEFVERPVGTIAAQVV NEUTE1DRAFT_98019 MPGQGSLCLLAGRRWKTRSDEMVLRKTGGKSGRRERRVEVRFKI VDDGDLCCKVNGARLLEEDARLSKRRCPGFIVGSGKPTAALVAALTVQGLH NEUTE1DRAFT_98020 MAHGDAAPEAHSEAAQQQAQDDSRSTSSSSTPPPVDNEESDFFL AANDSQSSLGVPNIENMQVADEECRVAPINRLPNELLIAIFVKLTTSSDILHVMLTCK SWARNAVEILWHRPACSSWERHTIICQTLSAPRPYFAYRHFIRRLNLSALAPELNDGS VESLEMCSRVERLTMTGCKRITDAGLLKLLRNNTGLLALDISGMEDITENSINAVAEK CSRLQGLNISNCTKISVASLVQLAQSCRFIKRLKLNECAQVTDEAVIAFAENCPNILE IDLHQCRLIGNDPVTALMSKGKALRELRLASCDLIDDSAFLSLPPNKTYEQLRILDLT SCSRLTDRAVEKIIDVAPRLRNLVLAKCRNITDAAVFAIARLGKNLHYVHLGHCGNIT DEAVKRLVQCCNRIRYIDLGCCVHLTDDSVVRLATLPKLKRIGLVKCSNITDESVYAL ARANQRRPRRDADGNLVPGDCYNNMHHSSLERVHLSYCTNLTLRSVLRLLNACPRLTH LSVTGVQAFLREDLESFCREAPAEFTEHQRAVFCVFSGQGVTNLRRYLNSEHNLTEIA RGARPIDEGVPNRAGPVFPGTAVNPMQVTPPGHHGAAAAFIDDGEPDAVDDDDGLEDG SEMVIDTQPMLHNHHAVMGGTAFPIQGVASTEQLLPPPPPAIQPQQHLVTNPFHFVPG QPFAIPPHGLQFYEAVRATESGAVSGTPTHVPLVGQATGLESTIAEGSHHSGVARHSP GTATSQNPDASQALPPNPMGNIELAILTGNRNSDVSGSGGASV NEUTE1DRAFT_134855 MAIDFEDAAHGLEADNNCGIVARLLVGESDEEEEEEEEEEEGDG FDAFIGLKPAVAGGCEVLFGEHFGLVGVYLFNTSTPTSKATSLETPAFDLPGILQAVK SDVLTCQRAAFPLDAWVQLPPWI NEUTE1DRAFT_57720 MADTSTIAGGDIPPASQTQAEVQDQTTKSSSDSQQPSISLEKET LSFTPSLSTSILLPLTPTTSSALALAHVQRVWDSIRPNSAIYNLLLSDIVLVAAVSHP TGRILAHLTLKPIHLNSKRILHGAVSGTLCDWAGGMAIAASIAGDELKVGEGEQDRQM TTGVSTDMHLSYCSTAREGDTLEVEAWVSRRGRKLGFTGLEIRKRVDGWEKGEKGEVV VVGSHTKYLPFGQKPREAKE NEUTE1DRAFT_119978 MPKFVHLALLGIGYTAVDGMPNGFGRRQGYGQNNGETCTTTQTV TVPVTLVDYTYTTITISANTGGTPIFPSTVTVYSGCPSSLSSIPGLSCSSQPGSNATS SATSAAYTSSNLPLSSSSPPVPSSSGTAFPPAVSTTQTGSPMFGNSTAYAGTSISSEN IPGTGSTAVLTISSSTGLPSSSSSFSETLTLSTRLPIPTSLFSLSSKPAETLSTLGSS SEVTASSSTSSENSVVSSSKLPEYGSISSVSTNTIADVDSTSGMTHITGATIGITRTS TIETTITVTQEVTATVDIPDELETTVSGKDVDSPSTSVVILTSTGHVTVTITPGTVSS ASVPEFDTSAADAHFTTTNSVAPSVADGAPVSYTESEGSYQPTTSYEATSSSSLDSSI TSGDVTSEIATTKYLTSTRYSVTVHSTTPGSSESTTASNTSSSIPLPSTGTQSTGSVT ESSTTPETSESTTTPTISSSILLSSASVLPSSSEGIPSTSLPSSSTSYWFNDDSTSAL SATSLPASDTSTPTVSLSSFSVTDTASPTVTSSWLTGIPAPSFTSISPSTSQGSSDSA PALSSSYDSGYSFTLPAPSLETSAASTSLASQMSSDIPDGVGSTAAITGSETMTMSFP TTLSIISVPYSSKASDDDLITTSSAKGSESTTYGAELRKISGGQDLGQIQRV NEUTE1DRAFT_115907 MVNLGSIWQNLLASQAPLQSMTGNATTMAGLATYTLPQLPYAYN ALEPYISAQIMELHHSKHHQTYVTNLNNALKVHVAAIASSDIPAQIAQQPAIKFNGGG HINHSLFWKNLAPAETPETNYPEAASSLAAEIEKTWGSFDEFKKAFSAALLGIQGSGW GWLVKESTAEKGRLRIITTKDQDPVVGGEVPVFGVDMWEHAYYLQYLNGKAAYVENIW KVINWKTAEERFRGSREDAFADLKALL NEUTE1DRAFT_57728 MCGPDTPSSTPRIGARVDFFSSPSSSRDRHLLGNLLFLNPSTPN SQEATHFSFISPSTSPSTSTTPSTLLSRASMVGGGDSSDASNSELDDHVIVFIHQIGD MTKSVHECGLLTQFTLNEKACQMCALNENLSISVGQDGIIGRRVSMMRGGVVLGDGIV GYNC NEUTE1DRAFT_127649 MPIFTNTPEARLGRADSKNPSTTCRGITSAGRPCRRPNTLPQGG KIKVSDPSDERLYCHQHKDQARLAAAQSAPGSGRPAHAAIAEERSSIDTLAERLGLIK TNSAQVRPSAQHQRPPRPAQQKKSSSLWCCCFSVPLDFELEEQDPPPRPQPKPVQHDG SSRPSHLAPTSGVSPRPGSSNQQPPAGSNVSQTAQFMSLIPHTASPETASLLMKELAK GFSSGDEAGYIYIFWLTPETDPATPPHEAAKALLTTNPRSRSTSRGRAASDALDRYAT SYDDEPRSRGRGGSEKKNKKILLKIGRANNVQRRLNEWQRQCGYNISLIRYYPYVSSS STSDVMRKMPHSHKVERLIHIELQGRGLRVMDRGKCASCGKEHKEWFEVDNTRAAVAD IDEVVRRWSDWDETQA NEUTE1DRAFT_127650 MGSVTRTTPLNEQLSILYVDCFDSFTNNIIGLLEERLGANVIMV RIDDKQADRDLHTLLRAVDGVVIGPGPGHPGNPQDVGFINKLWALSDSELLPIFGICL GFQSLCLNHGADVKRLESPRHGIVSRSTHQGTDILKGLGDLDCTQYHSLHADLELTGA RPTHFWQPNNVCPDLLPLAWDLSDGNNGPVLMAVRHVSKPFWGCQFHPESICTSEAGK DLLVNWWRHALQWSAHNGRVKTMELPGRYLPNSLSSFFVDAPIQSGHLQASHLAQELR SAAGRDDIFLRWAKYPAQGVTPTVLLEKLGHRDDEVILLDSQGHNMGRFDILGLVVPG KTANVTYSSYDRTLRYGNHFKNIDSIEECWPLFQEALDIYYPQNQEIDRSKLRSDMDR FIAGHLPADSPFWGGFMGYISYEAGLETIDVGLPEKPTDGSAIPDINFAFIHRSIVID HHTNQIYVQSLLPNDWTWILRVGSLIDSVSAASSKSGCPSLTSIADTRHLNDTLARST ITRPTGDSYRAQVQNCQDHLRAGDSYELCLTDESSIVIPSDPSSNSHSPLDAWSLYKK MRLNNPAPHGAYLNLPNISIVGTSPERFLSWSRGGHCQFRPIKGTVKKGPGMTHSIAS EILNSSKERAENLMIVDLIRHDLSGVVGADNTWVSKLMVMEEYEKVYQLVSVIEGQLP PAELPGAPRGLHVLKNSLPPGSMTGAPKKRSCEILVDMEKRPRGVYAGVLGYMDVGGA GDFAVVIRTLVRNKLEEQEVWRIGAGGAVTIQSTDEGEFEEMEIKAGSVLESMMK NEUTE1DRAFT_127651 MGPSRDACDRCHTMKTRCQRTPQTRECVRCNRLGISCTYSPPGR TGRPLGARKGGSEKGKKEAAERSRKGGRSSPMVKTHMSASLGIPTPGLDHSLQMMPDG NSGVNPSLLGDFDLFSASAYQAELDYLSFPFMDSFLAESAGDLTFALSSAMDSQPSYS SGSSSPPGSSSPRTPATPHQQSFQPFSGHDQRAWSVNNTDSSSDPGSPSSLFTENIFS PSFIPKPPRNQYFPSDERSDNGEGDGEILVRLLDLQARISKLVKHLNGGRGSAIDCEE VLNAGKSLISLLDSVGAPSRFFSSSSSSPFSDSRMSEPASPMFSSSSRSTSSSTSSAL LSLENTIPSNVLILSLSSCYATLLHAYDLLANRLHHHQQQHSHSHCQKYVSGIQTPLL SPASSISSFSPIEQQQGYFFSNGLRHQAQQPLDGNNAHLHAMAQMVQKLKHTLQRCMG RLGQGSSGSNGALGAKKKKKQNPNVHLDVESCCNAGDDDLLMSLSKPALLEMGWGEEE LWQGMNW NEUTE1DRAFT_76418 MPSFSSTAALRSALPLLITSSISACANALLLRDSHPLNIHTATV VARRTTRFPTATITSTALRQAFRQLCDRHRTTRTPRRPCAPTITPYRPPNINTTTATA TATATAHSFIITATIHQKARYSGPILSKLRRDENSLVMAHIISPQSSFGQITMQSASL PRRTSSLRSRARRGISTDETEARHIPDEAIATALAHADDLTENRSTRSASTASRTTHR LSLTLPIAPPNPNPSRPIPTSTTTASFPSTPLDTPALTSPADINDFITAIAAQERRVL ELREELSRAESDLAKLKKQWATYEAYKKRGERPLRSVGLVPTFQDEEATKRAVELDRR KALLQSLQNQNQLLPENGRRRVFQGGHARTLSLLSPTKPSSDFPGRGDGSENSRNVAF SPTYRPKHQSWAPQTSSQTVGVKGIAQDLRMGLWTFMEDLRQATVGDEPVTGQGMYMR GADGKLSMMPMPKSGTASSSHTGGQDGFRAPGLNTPRNRSSTASDWAPAVPVSRSTSP PKKTQDSTADESLKSPSRPAPALMRSKTDASRSPKRFSWTPLTMDSVDDNDWSNWEPT GTGTSARWSGTTVNGDIIPSTTSEKRTENDKSVSGKQLVSAASGHSPALVTTSTNTML EDPSPPVLTKLRPDNLNKTADYCTKEWEKRSALP NEUTE1DRAFT_115912 MAPQQNGAEVDGIHTGKIYSVSGPVVVAEDMIGVAMYELVKVGH DQLVGEVIRINGDQATIQVYEETAGVMVGDPVRRTGKPLSVELGPGLLNNIYDGIQRP LEKIAEASNSIYIPRGIATPALDRKKKWEFTPTMKVGDHIAGGDVWGTVYENSFISVH KILLPPRARGTITRIAEKGEYTVEEKILEVEFDGKKTEYPMMQTWPVRVPRPAAEKHS ANQPFLVGQRVLDALFPSVQGGTVAIPGAFGCGKTVISQSVSKFSNSDVIVYVGCGER GNEMAEVLKDFPELSIEVDGRKEPIMKRTTLIANTSNMPVAAREASIYTGITVAEYFR DQGMNVAMMADSSSRWAEALREISGRLGEMPADQGFPAYLGAKLASFYERAGKVQALG SPPREGSVSIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSINTSVSY SKYLTILDKWYEREYPDFPRLRDRIRQLLSDSEELDQVVQLVGKSALSDPDKITLDMA TLIKEDFLQQNGYSDYDQFCPIWKTEWMMKLMMGFHDEAQKAIAQGQNWNKVREATQD LQAQLKSLKFEVPSEGQEKICKKYEAIQQQMLDKFASVIDE NEUTE1DRAFT_144212 MRAQASPSTQPVDTNGHHTPLSSFTSNRSDDGASPGRSHITSLN HSSSAGADATRPPLEDTESLPGDTLNTVGSASSHASTSSSIFSSSTRQPAMASASVRN SHTHNSTTPLTTADSPSSLYLSTSLHAKPHSVSPHHADKQNGLTPTLNGSATDSLVPL PDGTERVPPRDPSRSVLCTICTYDPLLDKKLSSSEKKKAKPIYKDYGLNDEDDAPPSD PRLAHGGKLSYINVNFHLPKAQLIDAPSNLKPYPYDPKTSCGPGPPVQILVRGFNPLI AFTKVTTIFASFGDIAESSNKMHPETGSYLGFATIRYKDSKPTSSRPVPVPAHQAARR AVRGMHGRRIEANQVRVEFDPEGRKSKALMEAVLKKSRETSQTPSAAYKIPTGPKPRA GEVIPGPPPTAPKGPAAHRALGGSEAGWTSTKPRHPSIIETEPIVNHIKSEPYIFVAH EYVPVMPTTVAHMKKRLKQYGFDDIRADRTGYYIIFRDSHYGRDEASKCYNSANDTAF FTYSMVMDLHLFGTVGKSSRSSEDHRRHSYGSEKRPPPEHRQRDDQDRRRRDEEADIE EEKKQRAKNLDPVKEAAEVIRREMTEHLLKTIRTKITLPAVFDYLNPVNHAAKRRKLN IDDAHSGTIPSIVFDDSEGRSSPVGTPNSRADPIERRTARADVSTLRVRKLKSRGVNA RKHGFNDPFARARPTQRVDLRSLHHRLNSDSDDDSDDGVDNRYSMIRDTEEPESRPRS RVSSEEDRNKEETGSWVAGEDDSMTEASFALNDTSALLKKRKLDLPAETAIKRQKKAE ELFEATIARIETELPSQEQAVETATPTGVEAPLNGLPDADVKAEPAEDKETEDSRLPT PIPDNIKPKKKAKAKKKSKKQIFEEREALKKQQQETFEREALRAAGIEDIEGAPDAEA KSQVGEPEPVPEPELDTKGEAPEAPEAESKPDLDPELYPSEVVDALVLPKDFNLDIGT LKLVPFQGEDGPDAQRLQRKFGTAKLDCDAELWLWRRNRIRQLNSEDGSIDKPVGIGG YYVPNPTGCARTEGVKKILNSEKSKYLPHHIKVKKAREEREKNAKNGNTNSVAAAAEA ARLAADSLVAKGNSRANRVNNRRYVAEINDQRKNFGQDSDVLRFNQLKKRKKPVKFAR SAIHNWGLYAMENINKDDMIIEYVGEEVRQQIAELREARYLKSGIGSSYLFRIDDNTV IDATKKGGIARFINHSCMPNCTAKIIKVEGSKRIVIYALRDIAQNEELTYDYKFEREI GSTDRIPCLCGTAACKGFLN NEUTE1DRAFT_76427 MLRAHQDQENRIAHQAGNAKQLPVKTPGALYPKTPLKVPLNDEN TTRVFAGKGTIAKGDNVFTKGTGAAMMTPGEAPLGNKTTNAKARAAQQTGGGKELGGD LKSTVKPTTTLRQKQAAPQTQDSKLDVHADIKPKNDDEEEIEYCPPPAQDLPYESDVL PAHALTFESLKPENMFKGYYEYYYKKLDNNGMTAKEREMAERQQRDFARGDEQIKKDM EEFDWSIGDIPESKDLLKKTKVDAAAVSCSGETKKTGRLPSRPPSTLVARRAASALSH TFARERSISRPQVNSKPSLTAVNKGSLFARKPSLSNASHARTLSKDRPISSATSRNTL GYSRGRSVSEAARPASAAASNQQQSGPRPRPFARTSSLVSNGSDNTITPARFAQAQTK QDFQKPLGLLSIFTHDEEEEHSDHETVPHFDDSDDDFQLSTDF NEUTE1DRAFT_115913 MDRIKEKMNQLRLEADEASAKVEELQSKIKVLEQENLQKEQEIT SLSHKNSVLESEVEKLETQVKEFKSAAEDGAQQGTQNETLTRRLQLLEEEAEEADKTL RETNEKLRQTDVKAGHFERKVQALENERDQWESKYEEMAKKYAEVQKSLEEFQADIAN I NEUTE1DRAFT_76432 MERDPAFFVAPRPKKSGLAPPPKKRKIVHKVEEITFDKDARTEY LTGFHKRKQARIKAAKAQAEEEARLERIRLRKQIREERQKNLEEHIQTVHKLLHEAER AGTDDKPTENKSDDEWDGLSEDDVAEEPALDVEEEYIDEDRYTTVTVEAVSVDRDGIH KPRTLKDEEDSETETNNKKKKAAEEAAQQEADKKSKRNFPEKKKKRKFTYESRHDRNV TERKQRAKKAKRS NEUTE1DRAFT_115914 MQLSIVTTLLASTAVHAFSDSSPFVLFSTSKLNTPERYDQLQTS NQVIASAKEILSSCPTKDYVIVSQPNVHAADIRDGSHCKMRSLCDTIASKEIKGRFSV AEVVGDLSTHSLEDYVKEACAAKGKVAGIVKVDLTHLPSLEHKDERAQILASNDDQLG LMLDTLEGDYTLVMVSDPNEFKAYQPDFIEPVHMDLKRGQFSSQEGSDNGNTTYDNRP LFVKYQFFTPGIFVALLALIVMLSILGVGLKALGSLEVSYGAFDKEMGPTAQKKQQ NEUTE1DRAFT_76439 MKVMTLNFLTCAVKNCKSSNDSFPLHPKEAELAKDDIEINPQLL INVLPRIDWAALRTTSTELGFPTLPEQPPSPEDLQSDEALLKELHELLMETQMMEGKL VCGHCGHEYAVKNGVANFLLPSHLV NEUTE1DRAFT_115915 MFSLRRLLLAAALFLGAMLLFAQSAEAAKGPKITHKVYFDIEQG DKPLGRIVMGLYGKTVPKTAENFRALATGEKGFGYEGSTFHRVIKQFMIQGGDFTKGD GTGGKSIYGDKFADENFKLKHSKKGLLSMANAGKDTNGSQFFITTVITSWLDGKHVVF GEVLEGYDVVEKIENTKTGPRDAPAEPIKIAKSGELEVPPEGLEGQSEWASPAYANED EKPAAPVPVTDAKPPAHDSIPAATADDDDTGAPLFAKVLFFGVLVLGLVLYIRLRRAP KGTYGKGME NEUTE1DRAFT_144219 MMSSTNEEDPFLEVQQDVLTQLQSTRSLFTSYLRIRSLFTSSSS SSTDSPELIAARSDLESALSSLAEDLADLVESVKAVERDPTQYGLSAHEVTRRKRLVQ DVGSEVENMRQELASKSAVSGKGTQQKDQLPDPSSFAIPDGENSAAGATGEDDDYAAE FEHQQQIQMMREQDQHLDGVFQTVGVLRRQADDMGRELEEQREMLEVADDLADRVGGR LQTGMQKLTYVMRHNEDTLSSCCIAVLIFVLILLLVLLLIL NEUTE1DRAFT_57767 MAGSSPARPNGNQWASSSSRSVISDILAGDRNSEARHRLLIEAA RREHERVREEAERVYQEHLLRQEQERLVEERKKEEERIRAEEQLAAERRKLNALKAKK IEIPPVLPDFEPAKAPSPAPKPASTASPSQPPATQVNGKTLFEKQPELQPASAPAASK PFGGFGQTLTSAQPATAALKQSTPASAASSQLATSNLLGNNIQVNGTATATTIAKSPT PAPVQQGADRLAVIHKNLKELRKSMLDQAKANQALKARMGDMRREIRKCVGQLTGGGA GVNRQQNNTIAALLREALENRVQSQLVDPNTFLVESRAPVQGPTNNDPQVPSLFIYLL NVFAKATISQFINEGGPRPETADPVGVCIAAVFSDPAFAWRGASMIDILLAKFRIVCP VLWGYRGSEKTEQGRARIGWWKDNGRWVTEQVHFDRMTGLGAGFAAISLRNFASSKKQ NPYPPRHYWAAMAKIVNTPSGEISNTQCVVLKAMIQNYEEKFIHAYGTAALAALRTAL VEFPARAPVKSSAVNSLQVVHDLLRKSTGAALG NEUTE1DRAFT_144221 MDQQQQPQGGVSGPAGRRLHIAHRRSPSELTPLMMEQLAIQQQI ELLQQQQQQITAQYANLGMLPATQPLGPGGFTNFQPIAGLAPQAGFQFPVQLQQQPPL APPTQPLSHRRNQSAIPNMGMGGPPPAPSAGASGSTFGNFQDPTQGHARENSTGGRGG RGGSGGGHQRRHSLALADAKKAAEIAQQKRTTSTFQFPAAPAPGSSEKSEDDAKTTPS TTPAPAEGQIAQGSSFRGGRGGHGRSQSMAVGANGRGGRGGHFSTDSMGQGSDFSRRA PGGGHARTGSRNFDGNWRNQNQNQAQDQTAALPQSQGFQPGHRSRGSVSQSISSIGSF QYPGQPQLVQFPGQMMMPQVFPGQQLNPMQLNQLQALQLAQMNGQPLTGLAASQHAPQ MAAPGQQSQQQQRKTLFTPYLPQATLPALLGNGQLVSGILRVNKKNRSDAYVSTQDGL LPADIFICGSKDRNRALEGDLVAVELLDVGEVWAQKREKEEKKKRKDITDTRSGSISG GQNTGDDNGNAEGGLRRRGSLRQRPTQKKNDDVEVEGQSLLLVEEEEINDEQQPLFAG HIVAVIERVPGQMFSGTLGLLRPSSQATKEKQEAERAARDGGNGRQQDSRSHEKPKIV WFKPTDKRVPLIAIPTEQAPKDFVEKHQDYADQIFVACIKRWPITSLHPFGTLVEKLG KMGDLKVETDALLRDNNFASDEFAEAVLRNVSQQDWSLESEDQAALAARRDFRGENVF TVELDSSVELGNGVHFKQLPDGKIEIGIHVPDVAHFVKPGSLVDREAKKRGTAVHLVN RFCALLPPKLSSELCTLTPEQDRLTFSVVFHVNPQTGAVAEGDTWIGKGIVKSGGKVS LSQIDEAISGSSGFSHPSVKALDIQILNAVAQKFREARLGAGGEPIAPLRLLQQLDDE NIPPKHNIFESSQATELVEELMHKANTHVAQRLAQGLPEKALLRRQSAPNSRRLQTII DRMTALGYDIDATSSGTLQNSLFKIDDSDIRKGMETLLVKSMQRAKYFIAGKTASLLW PHYALNLPLYTHFTYPTRRYADIIVHRQLEAVLSDGKIEYTEDLENLVKTVESCNTKK DSAQNAQEQSVHIESCRTMDKKRQEVNGDLISEGIVICVYESAFDVLIPEWGFEKRVH CDQLPLKKAEFRKEKRVLELYWEKGVPSSAYVPEDERPKAAASQRISNALAAARQAEE AERAKKDREEAARKQIETGTVSHDDVDALFQDEDEDDIEDNASDITEAMAGASLAERP TQSVPGSPTQSAAEAVGTLHRTRSDSKVPVGEAPEARLTNKEKYLKLFKLREEGGEYI QDVTEMTRVPVILKTDLSKSPPCLTIRSLNPYAL NEUTE1DRAFT_76452 MPSITKTLGLITACLAALTSALPAIPKLTDNQLKIHSFIRRQNA LAAAAGLTDVDILQFALTLEHLENTFYREGFAKFPAADFTALGLSESQIKDLQGIGSI EEEHVILLQGAIAAAGAKPVQECTYNFQAVTSAAAMVATAAVLENVGVSAYLGAAQLV TDPGILTTAASILTVEARHQTFIRAASGVVAVPQAFDTPLSPKQVFSLAAPFITSCPD GSNLILKAFPTLTMGGDGKTVAAAGSQVKLQSSDASATGSAKFCAFTTGGIPGGTAFT AFDAATGACVVPQGLKGVVYVNLASAGPLTGKLTDDIIVAGPIVMQVS NEUTE1DRAFT_127665 MSNLPSEPEFEQAYKELAYTLENSSLFQKHPEYRTALTVASIPE RVIQFRVVWEDDNGNVQVNRGYRVQFNSALGPYKGGLRLHPSVNLSILKFLGFEQIFK NALTGLSMGGGKGGADFDPKGKSDAEIRRFCCAFMAELHKHIGADTDVPAGDIGVGGR EIGYMFGAYRKAANRFEGVLTGKGLSWGGSLIRPEATGYGLVYYVGHMLEYSGAGSYA GKRVALSGSGNVAQYAALKLIELGATVVSLSDSKGALVATGESGITVEDINAIMAIKE ARQSLTTFQHAGHVKWIEGARPWLHVGKVDIAFPCATQNEVSKEEAEGLLAAGCKFVA EGSNMGCTLEAIEVFENNRKEKKGEAVWYAPGKAANCGGVAVSGLEMAQNSQRLNWTQ AEVDEKLKDIMKNAFFNGLNTAKTYVEAAEGELPSLVAGSNIAGFVKVAQAMHDQGDW WSKN NEUTE1DRAFT_76458 MSAEPGNRFCLSPHESNRSSPGTDTDRRSAQPSSSLAYTSRTHQ SNGAPSPPPTPACSGHQLGVKTDTAGLFLGSTHTNGDSDASFTESPASDFPPTPRRSP KQQPQDYSRSKQESHQIPTEGIHDHQPGGPRARANTKVRSYVADQDRQAFPRISKPVE LIQVAYDVVVIGSGYGGGVAASRMARTGSSVCVLERGREKWPGEYPTGAADAFKELHT SGTFAPGSLDGIPVETGDPTGMYHLIFGKGQNAVVANGLGGTSLMNANVFMEADKGTL AMKAWPPEIRNKVDSLDKYYEKVEKVLEPAEYPDDWPELPKAKLLKKQAQYMGYGDKW RKVKQTTRFQNGPNSCGVEMSASALTGQDATGVNDGSKTTTLVTYLADAWNWGAELFC ECEVRYVEKAKDENGNDDGYLVYFAWHGRNRGHFKANLHGDLMWVRARKAVFLGAGAL ATPEILLRSKAMGLEMSNLVGQNMSGNGDILAFGYNTDETVNGIGRAYPSPYNPIGPC ITSVIDCRHNLENPLDGFVIEEGSVPHALAHFLQAMLDLMPGSEEPKNLTVLDKAHSA LARYGSRFLGPYFKKGAIERTQVYLIMSHDSNQAMLTLQDDKPVLEFLGVGRSDHVKK LNNLLAQATQAVGGTYVKSPFDAMMGNQQITVHPIGGACMARDNTGRTGVTNHVGEVF TGLGSETHDGLIVTDAAVIPTALGTNPFATIAALAERSVEAYCQRQGLHISEEENGIL DLFGEPQHKPKQHLPVRTVSKIEAMEEGHSLGYATRAIQMAKELCSSGMGFTEVMSGF IHHDPTMKTDERSTYELAYRTAKSRCESARFFLSVQAFDTFRTLSHAQHRAMLTGTFV CPAIPGSPFMVRRGEFNLFIIDDKAPGTRNLTYDFDMTGVDGRRLHFHGYKVVDSSVA LDPLQFWRSTSTLYVTVSEHVDGMCSNLDDENAWRRGKVLAKGIMNIQPKHFLSEIMT MTPTGSNLLKKVASAASFLTFFTRKSLSLFMAPLTPLEYPSMMPTGYVNNTAPTKSFA IYADDGVCTRLHMWEPTHYPDNDKKNIKNLFMVPGAAVDHHIFALPTIRYNAVNYFRR AGYRVFITVHRIGQLMVAEHNWTTYDARLDIKACMQYIREHYGKEKIYTIAHCMGSVA LSTGMLDGTIPTDWFLGVSCSQVFMNPIWQTMNLIKATTGPYDKIYKALAGNWFSCST SRNDSYVQQALNQLLRLYPQPRKEICNNAACHRTSLVFGRCWNHSNLNEATHRQIDRF FGGVNMKLLHLLMKQGAEGHVMANEPLCQRLDTPENIQRLKGIPFLLFVGRDQAVLSP ESTERTYEILCDTFGMDDTSYKRRVVPGYGHLDVWMGRNAWKDVYPFVREEVDRVCRG ETYKFVEPQDEFKAMVDSGELLH NEUTE1DRAFT_120002 MAPSALPQKRAFGEASSARSNIHNLPAASSDSAKKRRLDDVPPS SRFRTFKNDGKGRIPSTQPKSAFESEVLERMSQDISDLKQNNSEKDQAWERPPLPATY NPATDSLCFQSIEAEEGFLHGGQATIKLFGVTENGNSVLLHVKDFKHYLYVQAPVSFG PDDCPKYRAFLETQLAMPTPAIHSVTLTMRENMYGFQGNTQNPYIKVTVNDPKFLPRV RRLIETNKANWKGMWKSDGSIMTFDDIQYLLRFMVDCSIAGMSWVEAPAGSYQLVNDK HSNCQIEAEMSYRDLIAHKPVGEWSKMAPLRILSFDIECAGRKGIFPEPQHDSVIQIA NIVTKYGEKKPFVRNVFCLDTTSPIVATQILSFDREEDMLREWRNFLEQVDPDIIIGY NIANFDFPYLLDRAKHLKVKDFDFWSRTRVRSVAKETNFSSKQMGNRDTKATNINGRL QLDMLQLVQRDYQLRSYTLNSVCSHFLGEQKEDVHHSMITELFEGTPESRRRLALYCL KDAYLPQRLMDKLSCLENYTEMARVTGVPFNFLLARGQQVKFLSQLFRKALEQKLVIP NLRPESSEEQYEGATVIEPTRGYYDVPIATLDFASLYPSIMQAHNLCYTTLIKKRDIE RWDLKKDEDYIVTPNGDMFVTTKQRKGLLAQILEELLSARKQAKRELAVEKDPFKKAV LNGRQLALKVSANSVYGLTGATNGKLPCLEIASSVTSFGRQMIERTKKEVEERYTIAN GYSHDAQVIYGDTDSVMVKFGTKDLAEAMKLGQDASEYVSGKFVKPIKLEFEKVYFPY LLINKKRYAGLYWTKPEKYDKMDTKGIETVRRDNCLLVQTVIEKVLRMILIDQDVPGA QAYVKDTIADLLQNKIDMSKLVITKALTKENYDAKQAHVELAQRMKKRDAGSAPGLGD RVAYVMVKGATGSKNFERSEDPIYVLEHNVPIDTKYYLDNQLAKPLGRIFEPILGETK AKSLLHGDHTRVVSVAAPTVGGLMKFAKKTQTCMGCKKPLTGKEESQGAVCADDAPRV GELYKKTLDRVSDLEVRFGRLWTQCQRCQGSMHCEVICSSKDCPIFYMRMKAKKDLED ANKELQRFDFDAAAMW NEUTE1DRAFT_144226 MADRRPGPNFKPAAPSRTAPPPHTGLSSAGAGAGAGARVGASVR RNLFQQPQSQPAHASRQPTVVPDTQSQGHFQSQQSFQSQTFQTSHSQIHQSNFQSHIP HPQASYLTQPSSYSDSYPYPQDLLSQQHQQLPQHHEEDTKMTSSQESPPLTDVITDPN LEIVIRDKETGEIDLGHDPPTPPYDEEMETIMEARMEQEKERERLADAVREWLTEHEA EGRGDVGPEGAGGGGGGEGAGGGGGGGMDTEDLLEAVKASLKAKVAALSEDNWMFERE EVLHLH NEUTE1DRAFT_127669 MASKQDAKIQKIIARLQKRIAEGQFEEQYEAGQETRLVAARYTK AENWPAAIDILSSVSQALLKAGAGGAGGDLAILLVDVYKQGKVKPDAASRGRLLTCLR LFEFEEPTRKKFVKEVFDWSRKFGDYPAGDPELHHVVGTLAAEKHETDEAEKHLVLGT KESAEVLTRMEYEWYKDSEQPHTAALFAARAILPYLLLANVRAANTSYRAFVSALTAE NSSLGVQNVESSQGSDIRIFPSLPLLNFLGLLLLAVQKGTPDLFRQLKTKYASNLAEL GGAWDGALEMIAEMYFGIQRPRQSNPLLDMMGSLFGGGGGGGGGAGAAGGRPPVRRVE AAPTAEGLD NEUTE1DRAFT_115919 MPQTLDKDHPINDGDQKETPKRSRAYPRWKVCRDVQGCIRAPNA QSLEVGLDVKSSLRPSCGEKQHRRS NEUTE1DRAFT_57787 MDDYQEKIQMVRAITNYGDEPTIAAALRAKTGDLEAVINMILDD LDKLGLAFHIQPPDNNNNNPVLYGYDPGYDPTAPSRPPSRVDNRSPIGRAVEMTTGTY PSGAPTNQQEEDAQLQQALAASMNPSGMHTPQPSTNGHSLPPQLPPPPPPQESGVTSN GAYFGPANRTEYDPNQWAMVPLRLRQTDPRPVSRVRAPGSPAFLRNRSENSTNRHRIG GILTILHSIPAARNALLQIGAAPEYGYGSNSEWWKGQKILPPELQARSEQIDSGRDQL VPPWSDELHRLVAFLDSTERAYGTADILADCRAPGVEERWDSEKEFFDSHLEMLKARN DGSWDDLTMCYKKSLYGTAEGSSEEHVNILDCEGVDLTTGNLYGCLDKVFWVDRNTSI ESLDGAPYSTISKLPEVLTIGLKASQGLPAALDIPETIYLDRYLASNEEKINELQADL SRLVYAYRKCVEEEERLTTWSNNQTGKPVDRRTLIADSIERCKDHIQQVKNRASWRNF QESSSDVGDNGNGYQLYLAGADKEAPVSPEEANVIAYYERKIKFLTEQAARIEQIMQG TIAPQKRRILDALDQHSKVLTVPAPDNSWVPTARYTLRGMTSEPNTVFLRKQEVELID LDNGEVPAEQWYKIWCYSENNYAVQIEKTTYETAISQACAVGTEPLLIYASDKAMKTE PIPMNDALKAFIRFDNKFFSQELAQNEHEQHEDSRKRGPISMSSPDSKRRNRSNSLDS MATNHASAGDLDEEMRDEEFVEFASGSVYGSSAEKATTSSDHDMMDGLPPSLRQEDGR APHPNTLVDLLTPPYEEKVESKEKESSNIDTSMDAASERMRRVSLDEDKMDVEWGQAE VVTNTVRSQGSGSSAHTEDSGITLKPTKGADDGTTPAKSPEMQERSNNPFLARAPNTS IAATTSDSTPQPYSRATMDF NEUTE1DRAFT_120006 MSYRTTASGATTRLMSEMKTLRKEKWIHFEDDDSATLNILKWRF GLMVINPDSAFNGGYFRAEMVFSDEYPYQPPKFRFLIPITHPNVYPDGQLCISILHTP GEDLMSGEQASERWSPLQGAESVLRSVLLLLDDPEINSPANVDAGVMYRDRREDYNKK ARETVERSKKDIPPGFEMPVSFEEKPPPKAENDDDFWAESDEEFDFGGSDTGDDDEME DFEDGEEGSEDDDDDSNSKQ NEUTE1DRAFT_120007 MAWKKPAGKKKPAQQQTPKKNNEGNTTFPGLKAPGQTPPAKTHY QEVQESEVMVLQAIYGEDFTQHEAAHGAWQKSEPRFDIKIKPSSDQELSVTLGVVMVA TYPKTPPLLTIKDDHSLRESTKFKIQKFVETQPKIYAQAEQEMIDQIVEGIRDILEEA AQKKVQGLEIPSLEEERAAHEAELARLAQSEKEREERKKLEESKEEERVLEDMLQEEL KRQRNKAKESRKKNRSHQLSPDRAPQDPGETDETLMFDQPCKITDGSGNALFFQTVIG KTVFREGPITTVYKVKPVLSARTVRPSLALKQVEVKSHGKDSAQFKKQLQYLESQMET LKKLRHQNLLPFLDFRIDRGISDTDSSAPTIWTVSILTPLATKGPIEELLDLAGHIDT NKAKIWTADLLEALAFLHNNGIIHQDLHPANILLYRNEAGDIVPKITDSFYQRELHNL CTKIKTLTSSKAAKSAYWFPPEIAGVTKPQYTQKTDVWDFGIVFLQMIFGLDVVEKYH SPSALMDSLSLSAPLEELVSKFFKSDPKKRPRAFELSSSEFLATNAPIIDDEDIAVPG SLMPMPQMTPQRMRHDSMSRGPMSSRYRQDYVEEARLGKGGFGEVVRARKMIDGHLYA IKKITQRSQETLSEILKEVRLLSQMNHPAVVRYYNTWLEEVPDYADTEGDTSTEGGAT DVTDNSQVTISHGINIEFAESKSRGLDFMSSSGHPGIEYDYSSSEEEDNDEDEDDEED ESDNDSNDDAATVSGKNHLGVTGRRPRRGSARPYKTVMYISMEYCEKRTLRDLISRNL SKETAEIWRLFRQILEGLCHIHSLNIVHRDLKPENIFISSGPDGLDNVKIGDFGLATS GQLAIDRSTASLDASDMTRSIGTAVYVAPEVRTGGSGSYTSKVDMYSLGVIFFEMSYP PMLGMQRAMVLEQLRQSPPILPADFKHMDKNHLEVLLSLLTHNPKERPSSSELMKSGK LPIQMESEAIRRAIAGLSDPNSPYYQKMLETLFSRPIEQAKDYAWDMSSSGPSPQELM RQFIVKDTLISIFRRHGAVEAPTVCLYPSSSHYGQNAVHLLDQNGTVLQLPFDLMMGH ARSLARITNSPVVQKSYSFGNIFRDRHGGGQPDVYGEVDFDIVTSDALDLALKEAEVI KVLDEIATAFPTVSSTPICFQLGHSDLLNLIFEYCGVEVGTRRAAAEVLSKLNIRNFT WQKVRGELRSPMVGISATSVDELQRFDFRDTPSKAISKIKLLFEGTEYYQQASSTLAH LKEVYEYTKRFGVQNKIYIAPLSSINEAFFRGGILFSCLYDKKVKDVFAAGGRYDSLI KEHRPKIGTRFEERHAVGFSLNWEKQLAKPVPKATGKTFLKKAAEEESQGLFNIKRCD VLVASFDPEVLRSSGIELVQTLWAHCISAELARDARSPEDLLSKYRDESYLWVVIIKQ DNMLKIKSMARKDAPDADIPAKELLNWLKAEMRENRDALMRGTGSGSISSGAAIKFRG GGGSGGGGGLSSFGTNNSELNSGLFLGADGEREQEVHVLVAQTKSKKFNRRQVVEQAQ MSAARLLQSFLDGPIAAIETSDSVIEMIRRTSLSDAESWRKVEHNVGTSEKKYVKEIH DMLKGWRWEWEAKKGSEHAFVYNFRTGRCIYYDLSA NEUTE1DRAFT_76476 MSQLQHERALSNDAEAIEDEIDDLERRLAEAKERQRQAQQQRSV VQESNLGVISANHFLLLLSDSALPLGSFAFSSGLESYLAHNKSSSPSHSTSFVTNKLP KTKLPPPSFTSFLPLSLSSYASTTLPYVLAAHRDPAQLALLDDTLDATIVCTVGRRAS VAQGRALLSIWERSLSSSLPPSSASAEAAAAILKPFSALLRSAGAPPGWNDPPPVSAH LPPLFGAICALVGLSLEQTTYVYLLSHVKALVSAAVRAGMFGPYQAQKTLASRQVQEM IGALMKREWERGVEEAGQSVPVMDLWIGRHEVLYSRIFNS NEUTE1DRAFT_144233 MAEVDTRPEEPVSAVIEQAQAGEDATDAQTQELALTTTNTEVAA QTEKKVKKIIRRKKRPARPQIDPALVKSEPPPQTGTTFNIWYNKWSGGDREDKYLSQT HAKGRCNIALDTGYTRADGVAGSFFCLFFARGVCPKGQDCEYLHRLPTIHDIFNPNVD CFGRDKFSDYRDDMGGVGSFSRQNRTIYIGRIHVTEDIEEIVARHFAEWGQIERIRVL NTRGVAFVTYSNEANAQFAKEAMAHQSLDHNEILNVRWATADPNPMAQKREARRIEEQ AAEAIRRALPAAYVAEIEGKDPEARKRRKIESSYGLEGYEAPDEVHFARGKNAVNPRG REGYELEDQQLLMLEAGEAGGWGQEQEEEAYPQEQQQSSGGILSGTTLAALQSARVAV AAKPKAPVSAGPLVAYGSDDDSD NEUTE1DRAFT_76481 MVNITEKIKEIEEEMRKTQKNKATEYHLGLLKGKLARLRAQLLE PGPGSGGGPGAGFDVSKSGDARIALVGFPSVGKSTFLSKVTKTKSEVASYAFTTLTAI PGVLEYGGAEIQLLDLPGIIEGASEGKGRGRQVISAAKTSDLILMVLDATKRAEQRAL LEAELEAVGIRLNREPPNIYLKPKKAGGMKITFQTPPKNGLDEKMVMNILRDYKILNC EVLVRDENVTVDDFIDVIMKDHRKYIKCLYVYNKIDSVSLDFLDKLAREPYTCVMSCE LDLGIQDVIDRCWKELKLIRIYTKRKGTDPDFSEALIVRSNSTIEDVCDRIHRTLKET FKYALVWGASARHIPQRVGLGHPVADEDVVYIVSGWKA NEUTE1DRAFT_98056 MSSNTVLFPKDANMAMDTAMSGEPMDMTPEQKPTLFAAPSADNK ATDTSAPINKSDSMKALEYHRQVLQNKMEIDHTKYVSPSDNIMSPCTAKLSAFRSKQA GKVKPKSLFAQASAKKLEGTTATGNTGTTGTTNNGLFGVKQD NEUTE1DRAFT_93809 TSGGCVRDRGGYVEEFKDGHCVPVFLESEERLKFRRDLVFFSSK AGRKGFGSESDATLEVKVDARGKSKIGKTVGGLIMPRSGKGSFVGFRV NEUTE1DRAFT_127677 MSTQIPIAVRNRVSERAKKTLDVVARFVEEDCIPADTVYEAQIG VGDARWEAHPQILEDLKAKAKSLGLWNMFLPKGHYKESPGFTNLEYGLMAEWLGKSRV ASEAVNCAAPDTGNMEVLAKYGNEEQKAKWLKPLMDGKIRSAFLMTEPQVASSDARNI EMKITKDGDHYVLNGQKWWSSGAGDPRCKVYIVMGKSDPNNKDPYRQQSVIIVPSDTK GITIERMLSVYGYDDAPHGHGHITFYNVRVPAANLVLGEGRGFEIIQGRLGPGRIHHA MRTIGAAERALEWMLMRINDPKKTPFGKQLREHGVILEWVAKSRIDIDAARLVVLNAA IKMDEQGPKAALTEIAQAKVLVPQTALTVIDRAVQSFGGAGVSQDTPLANMWAQIRTL RLADGPDEVHLQQMGRNENKRGKAVTDKINAQKAKAEELRKKYGAKRTEIGSNIKHSK L NEUTE1DRAFT_127678 MFNVGRHIVILPETLKLDMETDEIGIHLHSMEILTQLPLLPSVY YERDEVRITRRHGLEVYQWWIPRLRLWKSAYSSNDYASLAHPALEQSHNHAPRHSSGA RPSVMRIFPEYLWNISTGTGTKPDNPSSRRSRLLEAWFYQRAGLIVDVLFQASPGLRA CPYASRMCRYDLTSTFTYSPTTQSRNPGPRTKKAGAL NEUTE1DRAFT_127679 MEKLKGTKTTSLVPKKFRSSRPLSDMAWLSIFRPESVKQALREK GEVEKEASKIAEITRQLEELNYTEISEQVVRFSLNSKFANGDIDKAIELIRLQQKAFA GIIQPYNPKISMQGAENRGNVTCYLDALLFAMFAKLSAFECMLKNDPADENHGRLAAL LRLWVNMLRSGMLIHTDMTQLIQESLAACGWEEAQELEQQDTSEAFAFITETLQLPLL ALQVDLFHQGKNDEDDHKVVHERLLNLAVPPDPDGKGIKLEDCLEEYFNNKVDVFRDS VEEKKGDDERGPLPRETERLLSEDEDGQASDQGDNSPNLQRRWTSQDSTTRTPVSMLD ITSARPELPAAPRHRSTSIIQRIVVEDRKPPLDAENKTLLQKAKRTSSTVVKAVTIPA WQFFRLIPWHATAASNGEPSNDVEVARHFNQRPVVGICLKRYTMTETGFPIRQNTLID IPDSMRLPHFMMPDDDEKQSNGLSQEYKLVLQSVVCHRGDSLHSGHYVAFARVAPKLL TDNRRYEHDPPPDYEEAQWVKFDDLDLDNRVSYVDDIRDSLRQEMPYLLFYQIVPMVD VTTASTDGSVGEPPSYNESTTVVTGVPGTPRSMEPLPERPGGMSRSISGYFDSASALV HNGGGPNIRFSTELERPARLSLDEDPYGTGSAGRLRAGRSRRGSLAISDTTTTTAITP SDVGAPSIQPSTPPEESTSTRLGRAAAKFKSKSRPSSQAGDTRISLTITRWGLTRPSR DALNKDANSGAGNSSEGGSDEQQQEVKEVEDVSDKDQKEKSKDKEGHHHLHHYRKSKK EKGRDKDDTKEKSKDKEGKKEKGDKLGKSKETGKDGVPDRECAVM NEUTE1DRAFT_57808 MASFSTESPLAMLRDNAIYSSLSDAFNAFQERRKQFGLSNPGTI ETIAREVQRDTLLTNYMFSGLRADVTKAFSLAPLFQVSHQFAMGERLNPYAFAALYGT NQIFAQGNLDNEGALSTRFNYRWGDRTITKTQFSIGGGQDMAQFEHEHLGDDFSASIK AINPSFLDGGLTGIFVGDYLQAVTPRLGLGLQAVWQRQGLTQGPDTAISYFARYKAGD WVASAQLQAQGALNTSFWKKLTDRVQAGVDMTLSVAPSQSMMGGLTKEGITTFGAKYD FRMSTFRAQIDSKGKLSCLLEKRLGAAPVTLTFAADVDHVTQQAKLGMSVSIEASDVD LQEQQEGAQSLNIPF NEUTE1DRAFT_57811 MDTLLTAEIAANAPRYRRKSSTFIDGIHDVTDQDNMAPAQLYST MSGRLFHSGRIAIVMVGLPARGKTHICVSMARYLQWLGVKTRIFHLGDYRRATVGEGG NVPQDYFYPNASPASTMLRQKILKKCREDIYAWLNHENGQVAIYDAVNPTAAGRRALA KEFAKHDVQTLFLESYVDDEEILKENARNVKIHSPDFDGMDPDEAAERYLKRIETKIP IFETMQEEELNYVKMINAGRAFFYNNVSFNYLSHRIVFYLTNLHIKARTTFFVRAGPA EGEEFYKSDAPLSEEGRSYAQKMTETLLRHREQERKANSEQAGHEIRLRPLTVWTSTR LRTIQTADPLKEQGYNVRQRSQMSQINPGLCEKLSEHAIRRLYPEEVEKHELDPYHHR YPRAESYHDLAVRLEPIILELEREQNDVLIIAHESVLRVLYSYLMHCRPMEIPKLKFP RDEIIEIIPAAYQNEAKRIHIPGLDPQFTPGSPEDIRIPVPSIYSSNLPPIDGISSPV EPSSMDNVLTRPPEKVVNNAKEMVADKVADLD NEUTE1DRAFT_57815 MSSTNNNQTKTALVTGATGLLGRQVVRAFQGLSVPTELSSKAGW TWEVKGTGFSRADGVNVLKVDLEKEEEVERVLGDVSPQVLVHCAANRFPDKVDADPEG TRLLNVEVTRTLARACASRGILLIYISTDYVFSGKPGEAPYEADAATGPTNLYGVTKL DGEKAVIEEFSKAGKEGLGVVMRVPVLYGKTEEGRNEESAVNVLLDAVLKAQEGKKVK MDHWALRFPANTEDVGRVCRDVAVKYLSTSEAERTSLPRILQFSGEEQYTKYEMCQLF AEILGVPVDNIEANTEGNDPNASVQRPYDCHLSTKALKDLGIDVSTQDFAAWWRRELR AFRH NEUTE1DRAFT_127683 MFAVHRFRDSVAFSHFDSSEETSKQPGQPQQNGRKADELDRRKR TGMESASNSTSGPTIRVPERKDVPYRQGAEGQLKQTVGNRAGRALKAPTAPVMSSSVA LVETLLAPELRPKRASSPRHCSALRERSRCVSLSDGTVTVPSTSSARGSIIIIITWQD QDRRKKRVDVGSLAISAPYKKGHRRCPATAAADWGYGKKKWKGGSRMEPEVEDVVDIR QVEGESRPFAGKRSTSVTTPLLVAQVPQSAPAAAVVPGAVPSPTSGTSPSLWISARTG KEKPSKPPLHLLPLTKYNSNDDVNRSKWEEVPGFDGDSRDLQPVPASAYWFSTSSSPS LPAHPSPLSSPASKPRLQLQACISSRAGENVDDPQQSDGNEDGSSGSSSSSGSNQEKP NSSNSLSRQQQSRNKGGSQYKDILEKDDAVLLLLEKKVPYPPVPISPSSSPSSEPAHP SEPTTTTQQQHPTRRQTQTQKQAHSYLGPDVHLNLHTNHHSNSPALVRFLVSATATDC AKVDVINETRNWLEQLFKDTIGDTTARERELAHGQDHHHSFLLPLPLVSGWHHSPFDP ATGHGCQPVNGAEERPRSPRHVQAEQAVSVHIKGQEGFALDKGSNLNETKGTNDNTTG AGGLDSHTLQTSVPRVDTGADSRTLAERCKLETDMIMDHHQASAVPPSPALSHSSFSL RGKNRVFGKLPFLSRSRNPESPTHIEIASPISPLQPEPSTSTSPVSPASSMGAPIDKT RSRSQDAKTYAGSGGRGIVPIQDEVPRGAVNGADRRVTVRCKGVTVDLKVEVDTTTVD ILLAYADKTGLPVNVNSSMVIEAYTPLGLERRLRRYERIRDVLNTWDQDMQNVLIIQT ENDRSDENLDLASVPREQKIPTGFVLPLQHSHRPGKWTKRYITLLENGQLVSSKKPDA KLSDKDVLSICHLSDFDIYMPTEAQKRKELRPPKKYCYAIKSQQKATIFLSADNFVHY FSTEDAAVARQFSARVQAWRSWYLVNKVLQLHKKMQEREAEKPPQLSSAPHKPRRAVN DVNADDHKIKASVGESSYPIGTYKPLIDLSRFDKPIDEFDKDWKADRSRQQASQLPPF QLQKVRKATQEEIEAPFGTSGLLGNIYEERKLAQKEEERKKLEVVTDQPFTDRPSLLN GGLVTSPTEETRQHDGRYEPKAWLPSALEHTATQRSTRAPSVRRSAPSNQSPPSQYAP SQYAPSQSAPSQHERGRETHRRQQPNQQLKPSAPLVDLTPKFVEPPQWSREGLGRGVR APEGMPLVDMATGPQLAPGAKPLDLPPRTLVRREPSTRTPGSRPGTSAGQAPPGSSAG SVRAVSRASSVRGRMMSQDGTSGGMRSISGSAFLPPVPPLPGSVLGYGPNHPPPSSKG RYTDGYRSRSSSRGPQPQSKRGSPPSSGYEDDRQRTFSLRNQPHPLLSFDETLEEPRG LLGQADRSSNVSVQRAQSVRVGSSSRRARNGSTYNY NEUTE1DRAFT_115926 MAKTTTLKEFESVFPKLEEALLEYAKAYKLPEQMLSWYKQSLEV NTLGGKCNRGMSVPDSASILLGRPLTEEEYFQAATLGWMTELLQAFFLVSDDIMDSSI TRRGKPCWYRQEGVGMVAINDAFMLESAIYTLLKKYFRSHPRYVDFLELFHEVTFQTE MGQLCDLLTAPEDKVDLDNFSMDKYTFIVIYKTAYYSFYLPVALAMYMLDIATPENLK QAEDILIPLGEYFQVQDDYLDNFGLPEHIGKIGTDIQDNKCSWLVNKALSIVTPEQRK TLEENYGRKDKAKEAVIKQLYDDLKLEDHYKQYEEERVGEIRKMIDAIDESKGLKKQV FEAFLGKIYKRSK NEUTE1DRAFT_120018 MGARNCTCRLYTTLAAIGAACRAAELLDSVPDPVLSDLDQKEAV AGLQGYQHPALSANPDPVEAELEAKELSKYLLAKSFFDCKEFDRCASVFLPDSLLSGL LSTKATDATTPKGKAKASSAPPFEQALPSLSQKSLFLALYAKVISGEKKKNEESEMIM GPQDLGTIKNSHLVVVSRFLERWFAERKAEDSDLPPSQGFLEYLYGMVMVKEKNEDAA LDYLLNSVHLFPWNWGAWLELTNLISGVEQLNKITPVLPQNIMSFIFYANTAVNLYQQ GQDLAASVNDLLKLFPTSSFILTCRALLNYHSKDLYTAEQNFSNLLALHPHRLDSLDH YSNILYVLNMRPKLAFLAHLCSSIDKFRPESCVVIGNYYSLLSLHEKAVQYFRRALTL DRSCLSAWTLMGHEYVELKNTHAAIESYRRAVDVNRRDYRAWYGLGQTYEVLEMNAYA LYYYKKAAGLRPWDGKMWQAVGSCLQKMGKDRDGIKALKRALLADSYYDSTLSSFGSA GPADRMAQMDPEVLLQIAFMYDRLGEVEEARAYMELCVAQEDGGATDNVDTSAVCTSN PGESFVVHGDSPGSDGDAEGGYEGRGGAGQGEGTGVTVATSKARMWLARYAMRTEDYV TANRLATELCQDGVEVEEAKALIREVRSRMELADLTER NEUTE1DRAFT_36553 MLPYKLLAPLSAVSLVVANTITFVSLDEHNRTIFSKHKTKCTND DHGLCNDVSLVDIAPVNVPGLAQINVELPSGWEGNFYSVPGGTPDRTGMLAEIRSQGF ENGSYYDVSAIENPNDNVGVKQFWPAHDPNAEVSGCIQFPCPYTYYRPNDLQTKPATW SDKFYCTLGNADLSKNPHLAQWHMVYDHEKKKWAPLSA NEUTE1DRAFT_57822 MFSNQGARKSVDSLSPIPDAGRFGDFPFHGRGSRSPSRPFHSRR DSTAGSIHSIGGSLDSSTGTWGDAVLESGQNAISTLLQPPIVRTGLLPHTSAPASTAH KPPTARDIPPVTLTNVPRIDNSEFTQYLTQVGALYEQLRRVQADEEEASGTHSRRGSK ADAASAEDGHLRPGKRSGASRRASSSSITSISSIEAPGPARRSSSYRKAASQGPPPLS TIPVVYFDEDFHLENPRTFDVVSERSEVVRPAPGSDDRAHHNEQVSAPRKALATNAIL QEKLSWYMDTVEMHLIQSISTASTTFFTALGSLRELHSEAADSVDRIKTLRRELENLD EEIATRGLDIVHQRRRRENIQQLHDAVMQLREVVEGVAVCESLVDSGEVDAALDNIDA LEKLIAGEEGASHNGRPGMELRDLRGTTGLQGVHTDMRTLRQRIGKTYEERFITLLIR DIQRHVAHVSTQEVLMRWSSASIRARGGHNREPSNREPSAFPSYLTSTDGLRSELLVS LTGLHRAKFLTAAATAYRETALREIKNLIRRPLPSSNDDDNESMMSASTMTGGKQRSQ QERSSTLARNLRALEPRDAEGLLIKIYIGVTETLRRLDTQAKVLLDVASSLGDSDPTS GLRSPPPFSPTGRRLSTAALEAQEEIHTALDLSNLLGQAVDIAQDKVVRLLKVRSEQS TRLTLEWFLRYFTLNLHFANECEAISGRSGTSLKNLVNGHIKEFVQRHGDAEKQKLAQ GMESDQWASQDFTEKDTELLNRILEMSTRDAAIWAEATKIWIPPSYDENVGSVATPVL EAQANGDSGDKAAEAQVNGASKSKARAAVIDSETFLLPKSAILCMNGMAQFIHLIVGI PSMTADVSSSLIAYLQLFNSRCKQLILGAGALMSAGLKNITTRHLAVASQALAFIAAT IPHVHFDKVRRDFQEHQNIIYNKLVEIMTGRAVLASRKMRATNWDAGSPAAHEYMETL AKETTTLHRNLTRQLPEGTVRMIMMLVFKNYKDTFGSTFKGLEPTTEAGKERLSSMLR DVEYFDSRLNKLDGFEDAGQHLINIVKAKEIRKAPSRPTSLTPSHPPSRGPSRAPSPA LAPGSRAGSRAGSRAGSRAGSRAGSRAPTPTPTQTLTGRSSAEIIAEVSAAAEAGGYG R NEUTE1DRAFT_127687 MDYQSVFSARTYAGAASSYVPEEDSNQHIRAQLEAFILDFRLDN SFIYRDQLRENALLKKYYCDVNIGDLIKFNEEIAHRLVTEPAEIIPLFEAALKRCTHR IVFPNEPKIDLPDHQLLLHSNAEDVSIRNLDSLTISRLVRVPGIVIGASVMSSKATEL HVQCRNCGHVQHVHVSGGFSGATLPRTCGRIRAPGDPGEKCPMDPYFVQHEKSRFVDQ QIIKLQEAPDQVPVGELPRHVLISADRYLTNRVVPGSRCTVMGIFSIYQSGGNKKSTS GAVAIRTPYLRAVGIQTDIDQTAKGLAVFSEEEEQEFLELSRRPDLYSVMAECIAPSI YGNKDIKKAILCLLMGGSKKILPDGMRLRGDINVLMLGDPGTAKSQLLKFVEKVAPIA IYTSGKGSSAAGLTASVQRDASTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIH EAMEQQTISIAKAGITTILNARTSVLAAANPIFGRYDDMKTPGENIDFQTTILSRFDM IFIVKDEHERGRDERIAKHVMGIHMGGRGVEERIEAEIPVEKMRRYISYCRSRCAPRL SPEAAEKLSSHFVAIRKQVHASELEANARSSIPITVRQLEAIVRITESLAKLTLSPVA TEKHVDEAIRLFLRSTMDAVNQGGSTGSNRELNEETSRVEAELKRRLPIGWSTSLATL RREMVEGKGFSEVALNRALMILQRRDTIMFRNQGAQVYRNGA NEUTE1DRAFT_57828 MGAFDNLLLSLDEGITDFFAQWNIYSTIIVTAFIGFLTYQISTR KDPDTHPMLLVRQSQGSPVRQPGQSPVYRGQSAPHGMPLQTGLNVKDPGASRWARGRD GDLRDIWRQAVAGVQEDGPNKGAKGKILSVFGTEHVTEHSLDDITRQINLIGGHIKEQ GGSRVAIYIPNSVELLAALFACAFYNLTAVILPFEQPEEDVISMLRRSGADTVITAPG SFPFDLVAKHYPNLRQLIWIVDEGSRHMDWNEVPEGTGSSVNVSTWHEIIGDSPAAAG RELPPLEGQQEPSDVILFWQFKSGIPSEMVTFTAGNFASAIASQLFAIPTSQKMGPSD LFLPADSLANSHTLVLTLAALFSNASVALNSVGTQAYDLAAATRGISPTILVATPAAL VKTHQETASNFSSLIARNLHWLQTRHLTQYGVMPTASFLSSYYDSLRPSIGTKPGKLR LILTAECVGSDTPRLSSQMLSDLRAYTGARIIYALSAAKVAGAVAQTLFYDYRVFEDK CSHFGPPLSSTEVFFKDMGEYKTTDGISKGEIFVRGPSVSGGEAALGVAGYMRDDNTL AYA NEUTE1DRAFT_144245 MATKLTPFLMRTAVRAATRLSSKPSTVAPVSRACLSISARRPSD TLMVHRNTPDNNPDIPFKFSADNEKVIEEIIKRYPPQYKKAAVMPLLDLGQRQHGFCS ISVMNEVARLLEMPPMRVYEVASFYTMYNRTPVGKFHVQACTTTPCQLGGCGSDVIVK AIKEHLGIKQGETTPDGLFTFIEVECLGACANAPMVQINDDYFEDLTPETIKQVLSAL KESVTDVSKAPQPGPQSGRQTCENAAGLTSLTSEPYGPEVTRSDL NEUTE1DRAFT_120023 METLEDIKRRTTDILSSISTTLTSRHHADDHGAHMRGSWEKIKL PALPRSSHSVDIVAGTAYVFGGEAENARQPTDNDMHAIVLPSSSAPADYYAIKAKSPK NTDASDEKNKVSETNESNQTPAPRVGHATAVIGHRIFLFGGRGGPDMTPLDEAGRVWV FDTRTHFWSYLDPVSPVSENPPFVPEPRSYHSAVATDKPRNFNKASPTKKSNSRPDTS SSTSHNVAGDIGEGLIKRANTIRDWAAGDGNVDEVGTPQRPIVGKIAEHAVDADVDGY GTFIIHGGCLADGKRTSDVWAFDIHSRVWQQLPNAPGPARGGAALALSRSRLYRFGGF NGETQEGGQLDYLELVLEEFDDHFSKGDASISVKDGWKSLLQGKEDVGYKEPDPEPVP TVLSSQDPEEEQWPGARSVAGFEAITVGGGREYLVLILGEREGSGKGHDAAGKFWDDV WVYQVPAQGMSLASVGDKVKSVVGRKSREGKWTKIDTIPHDAEDIDSVGGPGPRGWIA SSAMGELEENGILIWGGLNSENNRLGDGWILRLL NEUTE1DRAFT_76518 MAPQVGDLQFEPLAVDQEKAQTFERDRKVDTSKVPLRVRFAENP DSHRTPRCPTSRAASLFIGNVLIVISVAVALFLVAAQFDSLAWDYWRSDISNPGTNVS TCATDTQYLLGVGKGDITGPVVEINLMGYADPKQLGTGLRQRLYSRAFIVGSLERPQD RFVYLVLDTQSGDTAVRYGIIKALKELGPEYAFYGHHNIALTGTHSHAGPGGWLNYLL PQITSKGFDRQGYQAIVDGAVLSIRKAHESLQPGYLSAGTTKVFGANINRSLFSYLAN PEAERMKYFKSEEEDGSVEKDLTMLKFQRASDGKNIGVLTWFPVHGTSMLGNNTVVTG DNKGVAAWLFEKSVRGDSSAAEDFVAGFSQANMGDVSPNVLGAWCEDESGSQCSFKNS TCSNGKSQACHGRGPAFKVHDDGASSCFEIGRRQFEPAKQLYDHLINNPSPVRGPWVK VFHTFHDMSNFTFIRPDGKPARTCPAALGYSFAAGTTDGPGAFDFTQHNGNENTTMPI WKVVRYFIKAPGDEQKACQHPKPILLDVGEILRPYDWSPNIVDVQAFRVGQFAIVVSP GEATTMAGRRWKEAVGQSFKRILDQDMGQTYGYTGGPSSHPIVVLGGPANTYTHYITT EEEYQIQRYEGASTLYGPDTLNAYINVTLSFLPYLSSNSTSPPVHDGKSFPPDNTNRS LSFIPAVVRDAPPLFKGFGDVLVDVDSARGYHRGDAIRAVFVGANPRNNLRLEGTYAA VEKLFVDQVNPQKSEWRTVRNDEDWSLIYSWKRKNSVMGTSEVEITWETGEETDEWWD KELGPGVYRLKYYGDSKSLFGGKVQEFEGVSGAFTLV NEUTE1DRAFT_120025 MPLITTIHESLPYIDPEPTPSERSAAEALIAAERSLHPDDPFHA LLPPPLTPSSHITPLLESEFDRIASHVQANPDGTQAPPPKLSALDLARYSSLPEIPSA SELAGMDSSEATTLLSSLLGKAYTDHAYVAQRRAHLALLDAYGKNAWLIGNWQLEGEL KAIEKELVKAKREIDLVTLQRKQAQDEVGPEILGLEDTWKKGVGRVLETEAAVEGLRR QVLEVRRGME NEUTE1DRAFT_120026 MSSTGFTSPFGNANPFGSSGRSESGPMHRLVEEDENDTITSPTT PHFGVKNNTAPSFWNGFGGDAPSDMPVRRQPDDFPAHYNLGRRTSVSAESLKPVTDNS DNWSPPVHPKTAEQLERLKKAISGNFLFNHLEDDQSAQVLGALVEKPVPAKGIKVITQ GDAGDYFYVVEKGRFEVYVNSTGALQPGPDGMGQKVGEIAEGGSFGELALMYNAPRAA TVVSAEPQCTLWALDRVTFRRILMESTFSRRRMYESFLEEVPILKTLTPYERSKIADA LESQKYPAGHEIILEGDPGHSFFLLEAGEAAAFKRGNDSPVKNYKKGDFFGELALLND APRAASVISQTEVKVARLGKNAFQRLLGPIESILRRTRYVEAEEVDPLQVS NEUTE1DRAFT_76528 MAPRRRRGGRDGSQDGNSSETDAPKNRPPNTAFRQQRMRAWQCV LTPKLIVSIFTVLAAIYLGFGAYLTYLAFTVRDISIDYTDCLREAPRGNGTKEPIPPD NIKSHFTSKALADHPNLDPKKMSTWHVEEKNVTFEWSGVTAPRNICVISFPIPEELPA PVSFYYHLDNFYQNHRRYVNSFNAKQLLGDAVSKDVIDGSTCKPLDLDPRGSGKVIYP CGVVANSMFNDTFSNPYNEQNSTDYVMSNKAGDISWEGLKDLYGETKYSRADIVPPPN WEAAWPNGYTNDTKLPDLKNWADFQNWMMLAASPDFYKLVRKNEHDAMKAGNYRIEIV DNFNTTVYNGHKSIVLTTITAMGARNIWPGIIFLIVGGICLILDIYFVLSFFIWKPRK LGDPSYLSWNQPSAAQAGST NEUTE1DRAFT_144251 MADIKIDSKVFQERVSHFYNAWKADKRSGDALFGGVSSIVILMG KVDENPEFHKNNAIHFWLLGYEFPTTLMMFTLDTIYILTTQKKAKYLDQVKGGRYPVE VLVRGKDAAENEKLFIKITDAIKAAGKKVGVLTKDTSKGPFIDEWKKVYADNCKDVEE VDVAQALSAGAFSVKDETELRAMRTSSKACVALLTPYFLDEMSNILDQDKKIKHSALA DKVFNKLEDDKFWKTVELPNRQKLPADLDPEQLDWILGPIVQSGGKFDLKWQADSDND ILHPGIIIAAMGLRYKSYCSQIARTFMVDPNKSQESNYKFLLAVHNLILKEIRDGAIV KDVYTKAYNFVRSKKPDLEKHFLKNVGFGIGLENKDPTLILNNKNTRTLKDGMTLVVT TGFSDIQNPNPQDKNSKVYSLILSDTIRVTSSEPVVFTGEAPVDVDATSFFFKDEEEA QPTPKKEKRDSRVGAVATKNITSTRLRSERNTTVDEDADKRRREHQKELAQKKQKEGL AKYAESTADENGVEIKKFKRFESYKRDNQFPPKVKDMGIVIDQKNATIVLPVMGRPVP FHINTIKNASKSDEGEWSFLRINFLSPGQGVGRKDEQPFEDASAHFVRSLTFKSTDGD RYADIANQISNLKRDAVKKEQEKKDMEDVVEQDKLVEIRNRRPAVLDNVFIRPAMEGK RVPGKVEIHQNGIRYQSPLSTTQRVDILFSNVRHLFFQPCQHELIVIIHIHLKDPIII GNKKKTKDVQFYREATDIQFDETGNRKRKYRYGDEDEFEAEQEERRRRAELDRLFKSF AEKIAEAGRNEGIEVDMPLRDLGFNGVPFRSNVYIQPTTECLIQITEPPFMVITLEDI EVAHLERVQFGLKNFDLVFVFKDFTRPPYHINTIPVESLEDVKEFLDSSDIAFSEGPL NLNWGVIMKTVTANTHQFFLDGGWGFLQNDSDDDEVEEEEEESAFEIDESELEDASES SEEDSEYDSNASEEASDEAEDSEEEEGEDWDELERKAKKRDRESGFDDEEERAAPKKR RK NEUTE1DRAFT_107492 MVHADASNFAANVTKEEAYQQVLDQAEGLFYEQRNWVCNLSNCA ALLWHAFKSLPAPSNQVNWAGFYTLNHPSTTELILGPFQGKVACQTIAFGRGVCGAAA ATKTTQLVPDVDAFPGHIACDGDSKSEIVVPIVVDDRVVAIIDVDCAELNGFDEVDKV WLEKLADLVGRSCDWP NEUTE1DRAFT_57855 MKSISLMSALASIASLSAATPTPTEREQPHKRADLPAVTVSGNA FWKGKERFYIRGIDYQPGGSSGMTDPLADLDMCKRDVAEFKKLGVNTIRVYMVDNSKK HDDCMKLLADAGIYVVIDANTPLYSLNRYDPHQSYNDKYLQSVFATIDAFAKYDNTLA FFSGNEVINDVVNSTLAAKYVKATTRDMRQYIGNRGYRKIPVGYSAADVSQNRMQLAS YMNCGTDDERSDFFAFNDYSWCSSNFVDSGWDQKVKMFTGYGIPIFLSEYGCITHTRD FAEIGALMSDKMTSVYSGGLMYEYAVEENGYGIAKLGPGSKVEEKPEFANFAKAMSKY PVPTGDGGFTSTTKAAACPTKDSNWLVDSTKLPAIPDGAKKFMKDGAGTGPGLNGEGS QQAQGTSTGDAEPGSGSPTNTASPSSSGESQKKSGSTRGIGSVDKAPFVVTGLVMFFT LTGTLLL NEUTE1DRAFT_127697 MAEGEIAPSFGTVLKDGFKPANVYVANNIAFFDDVQAFFRERSA AEKRYSAELSAIAKKYYDKKAKKSTSLSVGDTPTMTPGSLESASMTTWSTILTKLELV ASEHDRLANEFITKIAEPIKEVAIKLDAQRKRQVEYAEKLEKEKEAQYAALQKVKTKY DSVCQDVESKRKKSESAFDKAKAQSSFQHQLQEMNNMKNTYLITINVTNKQKEKHYHE FLPEVMDNMQDLAEFRTMKLNGFWSEATQLEFSMLQNCSGEVDHMAKEIVRNEPQLDS MMYMQHNTGAFQEPPDKVFEPSPVWHDDDSMVTDEPAKIYLRNVLNKSKGQLGELRRE VDKKRREVEATKRAKQRVLEGTEQTQDEFLVLTQLFLQQEDLHQVDRKRLTAEVETST ITSAVGDVTLGAKSHNFKSQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHSKCEM KVPAECPGELNKEERKKYKQERQELANTLLKPSTSSSHVNEMPDLTRSNTVSSVRSGY AASAQRSISGPISPDDDAPPDVPNTRPPAASPTPSSAPRKNRILAPPPPAFMSEVPGS ASNGGGHQEQKAKMLYTFEAGGEGELSVPEGRELVVLEPDTGSGWTRVRAGYKEGNVP TSYVEILPPTTPTALVPQHTGQSGRPPSTYSNSGSSIAASTKKKGPAVAPRRGAKKLQ YVEALYDYQAGSDTEHSMTVGERFVLIKEDQGDGWAEVEKGGVTKSVPANYVQTV NEUTE1DRAFT_57860 MADLASRITKPDEAPAAAPEAAPVSAPASEEPRAPENETSIEES QSNLVKNEYEVEIKLSDLQNDTESPLYSVSSFDELGLPEAVNRGLLAINFKKPSKVQE KCLPLMLSDPPRNMIAQSQSGTGKTAAFVLTVLSRIDLSKPHQPQALLLAPSRELARQ IQTVVQTIGQFCENLIVEAAIPGAISRETGVRGSVVVGTPGTVMDLVKRRQFDISQLK VLVIDEADNMLDQQGLGDQCVRVKNMLPKTIQILLFSATFPDKVLRFAERFAPNANQM KLKHKELTVKGISQMFMDCPTEKDKYDILCKLYGLMTIGSSVIFVRTRETANEIQKRM EADGHKVSALHGAYEGQSRDVLLDEFRSGRSKVLITTNVLARGIDVSSVSMVINYDIP MKGPGEREPDAETYLHRIGRTGRFGRVGVSISFVHDRRSFEALSQIAQFYGIDLIQLN PNDLDDTERKVQEVIKSSRAQAEYVPSATDSAA NEUTE1DRAFT_98080 MMYTSKSKAVKSRCAALNDAGNLLAILAAANNSEVVPMPFTRRD ALNLPEDAGLRRCSYPLHKAADEWSREEEQAIWGEALVLGEIQVRRPLIGLKRWQVYF GRHSIRDCTCRLAKAGMQEQHPQIDVWL NEUTE1DRAFT_98081 MHATAPSPSVYPPPPICLHMHRKDGVVPPQVRSRFADIHERKHG LAHVGTVSTIDVGI NEUTE1DRAFT_144256 MIGEKELGNCKDTTAGVQATTKAYNICDDVDDSASRTHDVIVAT LILPTGTGHLAAPTLTKGTGSAALSTVTKSAAPASTTATASPSATTTTSSSSLTSTTS PESSSASTESSSAQTSTLSTRPSSVPSMEPTASSTTTPVTSGAPGTPGTSAPAGAPAE KESPQLTSAQVAGITIGCVAVVALGAGLVLLAKCVRRKRMGSGDPEKGFNKMRDSFKS FGRRSITGPDLLGISYPIQRIPSQQGTPTFRPTKAPRADGVGLATLPGSASASNSPRP TVTRATAGAAPILPMPALPPAMVITPASRTQDPLGKKETPKPNLTLAIPKNPPMSAVV PPAVPRAAPATAPNAAPLTGRDSVITEFAEDGEGDYRSGTAIWRPPPSDPQSATTYYF ADKKGNWVLRHSTVGAGTKTQAPPYPASSVELPGPQDKTKAERAYMPYTPGMVVPALR VPSREREQGAFVESPVAMRDDAHPRGNVASSIYSDYNTPLTVVPGPENPMPAVPSAVL SENNTGRNLTGTKIKRKSVKRTSRQRRMSQDSATEIESGDEEEDSQDLSPVAESPCSP SPLSRGKSPVSYPKIHKQGENVNEGLQQLRDAQEALRGAGGSTGPEPPRRNSKRMSTQ RGQGQAQGQGRFPIRIVTPEERDNEGMRIGAVPHGTPRLTVGMSKPMNAPVVNPNRNK NPGQMRTGSPEGRFPIAVPVEHQQRQQHQQSQRRQSSIATGPNGYWNQQQQSQGQGEG SAYYYRAPSPRQWEQQQRQLQQAQRAQQQQQQQQQQSSYYRPSQGHYDAQMLQQQRQY RPQQPHMPQQSWPIFAQPAQPEHQSQHQHTRTNSSSLLTKRLGAEKAAALALNPNPNS SSNKSIGRPAKGWARDGQQQQQQQQQQQTGPQQARQQQQQHWQEGQALKGGKNNSQDP YGDKTNTNEWYVDLPPPPITPGWVPELTPTRRGDDLFLNVQ NEUTE1DRAFT_120034 MGLLALGTALDWPDAKQQAHLVRAWGIKQLLEIWNKAKGKERDA MLWGDEIEYLVVNYSKDEPKVLLSLRQADILKALADSKTLKVTGDCAPGTEANADAGN VTLPVFHPEFGRFMLEATPGKPWGIDFKDLLTVEADMKLRRCIAKDHMLSNEHPITLT TFPRIGSPGVFTEPSYPVSGPKLRSQFVPDEIANPHIRFPTLAANIRSRRGRKVQVNV PVFRDENTPWPWKDPTVNYDLHNWPEDDDVRNGAAPDNFIHMDAMAFGMGSCCLQITF QAKNITEGRKMYDQLSPLGPILLALTAATPVYKGFIADTDVRWNQISRAVDDRTPEEL GEKPLKNDRWRIPKSRYASNSTYISTDSRLRPEYMDPNLVIDPEIKQQLLDGGMDDRL ATHFAHLFIRDPIVIFNEDLQELDLTKTDHFENIQSTNWQHMRFKPPPADNSIGWRVE FRPMEIQITDFENAAFSVFIVLITRAILSFDLNFYIPIVKVDENMETAHARNANLEKK FWFRKNPFPVRTTRRGGSASRSASGTSTPNSGSSRPATPPLGPVEDEYRLMSVNEVIN GTAYAKATSKEVTEDAEEGEEFPGLIPLVESYLDSVNMDVATRCGLARYLDLIRKRAS GELWTAAKWIREFIAKHPGYKKDSVVSEEITKDLVGAVIEIGEREKRGLGIDDLIGQV PDLEKLFGGFLKGKSPCGGGQAALEQKLKDDDAKANGVKRKFNEEE NEUTE1DRAFT_76548 MESIMEGTTPSTSAQGVLQNQRSFIQERRGRRSLIHWPFQSIAT ETSSLFSFTLFGRPPTRATKATTTTRTGTVSSTGGGGGPERPPFARRATWDPRRIREK DVESVDQDLIPDYVINYLRGETPEMVARRKKNEGKLGERAVDIMHQHRPHESRAGILD GLSETSSERLGFWGEDGGGAGEDEERRHILPGTGSRWKRWSGAVTGDVGSRRWKRWTA GWRAGVGLNALLAGIILLVGVICLVILAAWKGKHAFKGKVVIYKGPCSTTSAIDLGVH AVVNILGVVLLAGANYTFQVLSSPTREEVTAAHERKKWLDIGIPSFRNLRYIAKRRSL LAVVILLAAIFTQVISNSIIFISDPAPANRVTNGTFQSTCQLDVYGFLLGITVLLNLI TVVSLISVLIFFKRSTFTPLATLGDTITSFLIDPDPITQGACLLSKDDVKQGNWASEA SQPKHWVPKRHYWLHAPSLPRWIITGLVWVICAGATATALALSIMHDGNGLSPFGNNP LIFPDRDSYSPAPNQPLISLNQPTTLPAWAATIFSSLPHLLLSALYLAINPLLTTYFL SHESSLFYSSHHGRHGPNAQPQPQPLRVSSSALDIDPDSSQTTSLYLTLPRPVSWFLL VLFSAMGFVTSQSLGSLHTEPSSSVATAAETVVGKEEEVTALFTSGVALTTLLALLVL LLVFTLVLGFRTSSSSSPPKSFMSSSSSSPVTAIPSDKLTPASGAASEGGGNENARAV IIGNPVALPGGSCSAVISARCHLLPPTSSDEKGRGKRRSVGFSDLVGGAGAVDTTEIW KRPLVWGVVDGGKGGGAGQNNAPEEAAAGVIGHRGFTVAGRARMVTEGMVYA NEUTE1DRAFT_107500 MERLIWHELMSGLAALDTLSRKSQVQSERRSDVINIAFGRRMHM ASVRRRPKNIGENMGKPRKKRVRRRIEPSTWPPWRSRAALSAVFANRRDHDGKIADDH DEYYISIEAYKVDGSNGSASRVLSSLSAKRTHSSNVIKNAFA NEUTE1DRAFT_34661 MTIWLGRSSIQACFHSQVTTYHHSELMFTGAGAGSRSFGVTALS RQTMGSRSRVDAYKPDKFTGSRQPSMPGRCKSQWATSRHAS NEUTE1DRAFT_127702 MEAGDSAARQSGIPTATTTREHFDPARPYNNRSSRGGTAMATAT LINPSSQYHSHSFSHNGYHPSSSASIPGMISPVDSRRTSDESENPHRQSLPSISEVIS GTKPTSYPPHAPTSLPPTQSLPSPFAPSGPPRSYDVDKHPSPRALHPSSGFPRPDPLP AFSDPARPQLSSRPPPPPPLNTFPTHHQHPSPPVKLEQMEVDQRHAEASPLSAGYPHH PPGHPAGPHYAQTGRLPPGQLPLSAYPVSPRHSGPGLPSPYDSQHRPPMYPEEQEYGH GHARSSEYKAASERSLPDWSSYGEMMYMIAHAGRTVYHFAEGYGAAAREQQGQPLPSR LPTESEISVVIDSAVVAVENLKNLRHLIAQINSERSRENGGRKSGAEDDDVSMYGDGI GKHSSFNEVKKRRGRAAPPGRCHSCNRIDTPEWRRGPDGARTLCNACGLHYAKLERKR QLEQRSIRPKPTDDRN NEUTE1DRAFT_107502 MPSPNLISHISSRVDWLTGSRLGRDQPRSITDVGSEDIALLASV NVVGTDTDNKRRTMMDRPQSSGSIDDLYVQVQHGDIRYSKYYMRHQPAAAGPGTKSMK LYRIVSDLIVMPHGSPQPMELDAPGGGVWYPLVPFVAQVSQVGLCKNWAGLEQDTWPL SARPVASPFFSPTLVSLRPHPLTAQGRTTTNSCLAVLQLPSCLCACEVGDPFEFKFGA GWLVLFWGVDYKIRSSSAC NEUTE1DRAFT_134918 MRTAPTGCNAGILDVSMLPDRDGGILSTASSRSRGLLRVGGSVP EGPDDYGTYTFGCRNNTNFNSDNYYTPSSEWKKQQFSRCKRDPDKPRQPASQPANRGD DNRGPIYTTLNGKHLFSLASQRRYGLGAVPLWVIESMAPQPPTFSGTPRNPIVPDSRD GR NEUTE1DRAFT_120037 MSRSPSPSPGGRSVLAEMTVYQPHGGAWGQQHKYRTPQGKIDEF WTKFTARVPGKRKLTTPAATTVLPKNEYAERLAKRNSAKEVGGGTNAQASFEEAAAIC RAKVEKIVQECRRVNQKYRDPHFDLEYDLKTGRRDCLESLSNENIIDFSSSDSDSDYN HLPRPRSQSRRRRRDNGDGDRDQNTQAESQIKTNKTKIDKRDGVSQLSPQGRMPGSKF RPASVKRVGEIFDDPKFYIDGPTANDVRQGRDGDCWLMAALCTMSNKPGLIERICVAH DLDIGVYGFVFHRDGEWFSEIIDDKNPNETWLPLLEKAYAKAHGDYAAIEGGFTGEGI EDLTGGVTSGLYTADILDKEHFWKEELMKVNEQFLFGCSTGVWGRGYGDRKGIMEQHA YSVMKAVDLDGERLLLLKNPWGKGEWTGPWSDGSKEWTPEWLQKLGHRFGDDGAFWIS YRDFLRKFQAFDRTRLFGPDWKVTSIWTTLSVPWTLEYHDTKFAFTLAKPGPVVIVLS QLDDRYFRGLEGQYRFELNFRVHRAGEDDYLVRTQHSSRMNRSINVELDLDAGEYLVL VKIDATRNEWVLPTEDVVRNNARHHREKLLRIGLSYDLAHSKAKIKESPEEKAAREAH EQRKKDKQRESLRKAIMEEKEMDFYNRMKSYHRQKRRVDKNKERMKRKAEKLKAKMEK KKAKREEAERKQTEETCRGPLGKQRKEGKNCGFSLDAALHPAGERMAPLAVDTAASTD AGQPTPSVSSTGATEVDVADRLPEPVLSNSLEKNCKAPEGPSTDILTPQSAPTQQQGC QLSAQDDKPSSEQPNKASAQDPIEVRPGLSMDIHKTLEDVPQHSQSPRPTCGESGRGD DRTRAIEHKLRAAVNLFSNLKQELEIMLENGVSDNSIKQGQGQKPHNVDNQGQPPPPS PTLRPPAEQRTLQPPRPSSPRPFHPPHPQDQRRHRPLSQLRDRSPRHPPIDPGPSPRR FQQRYGGRPPQRREVFIDDDHQIPPDPRFVDESFPESSSSSADTEDTYSISSISDISD RELDMHIREDARRNGRLLDQGSRNQAILPPLQPQPGPPQPMQPGPIGPGPHPRIRGRD PRGRHGGRGRDGPRNELLDPNAEFEKNPWNAVAVVGLRVYYRVDQDDAATIGDKDAAG VEGGEEKTEMVKLKVIRPNPWETGSDDEKDTKKKKNEERESKWTRGLKKNRKSKTTEE EKNKKGDGGVEAGGNVAGAGCDAELKKEEVEKVLDVDDSAKDATLEGEEREKTVAEGQ V NEUTE1DRAFT_144261 MSGTMSKRQQARNEKVLHELAQAPGNNVCADCSARNPTWASWSL GIFLCMRCATIHRKLGTHISKVKSLSMDSWSNEQVENMKKVGNIASNKTYNPDNKKPP IPVDADEVDPAMERFIRQKYMQRSLAGAKKHNTGSTSSDETPPPLPPKTGNRFGLRSA SSIFPLGSKKKHDTGRSASPGPRSPASPRSNGGFGATVRFESDDADETEIKLSKLRDM GFKDEQKNAMVLKGVGGNLEKTIEALVRLGEGSEARTPLNLNKKQTPTTSSQSLAPST ASQDAARGRPISPASTNPFDMLDQAPLPPQPLSTQSTGTLQNRNPYNMTTTNPFGVPQ QTVPQQQQPQQQQAMSAFDQAFQNLSLAPPSQPLFPHHTGGLVATQQQNLPAGYQQPQ MTGPAQQHYSSIALTSNQTFPLTSQTTGGGYNPFFNNQQQQQQPQTQYQSQLIQQPMT INTTGYAGSYANNPFAKSPTRLQSPTLTQIPEQSQQSFYAGAQAQAPAAMPLSMQQTG NNPFFNQAMGGMPQQQPQQVPQQQYGLAPQYQAQGQAQGQMQMQTSQVPQMPQMPQTQ QGGYAPQQHQPQQQQQQQQQYQQQYQPQRPDKASILALYNYPQLAPQPPQAQQQNPAQ VQGQQPFAQAGPANGAFSPQGQSQQQQQQPQQQQQQQQQQQQVQQLQNQGAGSQMAGS KNPFMSAGGTAQGQQQNMAAPKAYNVSRESVMALGMEWTNGRHSPDAFANLSARSGH NEUTE1DRAFT_127705 MASSSSASGSGSYNTTDNLLFPPPPPSVITAPQTLPDASLEPSS IQSIIASLSLTAFVEGGYMAETDRNPLKIPSPFPLYPATTFSCSDPNQGSSTDNFDPS SYYRPGFDPKLRNASTTIYYLLTGANGGGGQGGSPKGYLHRNRGRIIHTLHRGSGRYV ILHADEKPCRVESFVVGKNVEKGERVQWIVEGGKYKGTFVLPLEDEDEDKGDDEEMIF ISETTVPGWEVFDHDFLDRKGLEMLMEGDEEKIRQLEWLVKEEQ NEUTE1DRAFT_120040 MTFLPSNGGCNGFQFTFETYLDSSSPKIEVDVKSISKYEEQFGR TYHSYRAGSYHYPNDPTETERLDDQYEIAKELMEGRNYFAPFSRKNPPRKVLDIGTGT GTWAIDMGDEFPEAEIIGTDLSPVQPDLVPPNVRFFVEDSSEEWIYAPNHFDYIHTRY TLGCWKDMKSQIIQRAFDHLKPSGWLECQELNTLPVCDDGSMTDDYKLLQWARDMDDA SRAADRQLVIGDDIKRWLEEVGFVDVQQIVLKLPVGGWAKEPRLKHLGMLWQRNLSGG LSGLSVGLLHRFGGKTTEQVEVDLVGVRNSMFDRNAHAYMKIYVVWGRKPDVIS NEUTE1DRAFT_57882 MASTPLPDHIQPTFVDEILKPIESRISELLDEFCPVNQGTERLN DVKKLVVSYTVLQILTQTFDPNLYVTPRPEDLAHAEAWVENYCRDSSIYSGGEPPQNP GFAGDGKEFYSTLTHILADIVPALNSQALSSRYYGFVTGGVHPVAQAADNVVTALDQN VQVHMPSTHSISTVVEHHALSMLRSLLDLDGFHGKTFTTGATASNIMGLACGREAVIS ARLPDYAKNTGGVGELGLLAACMAAGVKEVQVLTSKGHSSLYKAASVVGLGRAAVRDL GLADAPWLLDLKAVERELKREDVANIIVVSAGEVNTGHFGTTGETMKELRQLADRYKA WIHVDGAFGIFARALPKTERFAKLFERTAGLELADSIAADGHKLLNVPYDNGIFFCSS PEVMSHVFQNPNAAYLAPVALTSRSGTTTDIQSPLHVGLENSRRFRALPVYALLVHLG RDNMGEMLARMVDLARRIAAFIRDSDKYDLLPDETADIECTHIIVLFKAKNPDLNEAL VGKINETRRMYEVGGLMLKKIWRL NEUTE1DRAFT_57887 MVNFRWCSLALLALRAVSVFAQEETIGDDSTAAPVSQPELKADI ETTFPDADIFGVKLVNGKVTKALIDITNQEDGPIQVAFVGGALKTTRPLPEDAPVYAQ IVRNLTATRFQIEIPAGEKQTLPYNFVLDMMPQDVVVELLAVVTNPAGEVFEIQLHNG TASIVEAPTSIFDPQIIFLYLFLTGVFGATLYFVYKTWIEALFPQAVKKTKAPKVKKV EVSEPLSGSESAGATSGGEKNFDESWIPSHHLNRPVARRVKSGASAKAKKGGDQ NEUTE1DRAFT_93823 MLPPTSTRPQVSKSDENNNFKDAFGDVDMTLNGLDPSDIEATGE EDIPIDSA NEUTE1DRAFT_57888 MSTDSNQNNPKRAPYVVRETPVPLPSYADNSSTMSSSGRQLRSR RPAPPPPSEPPSKKRKATSSRAAPEPPQETVQPVSPPLPQEDEGQPKATPKQMPILRP PTQTGGTSPPQHPDDIYRMPPLPPYLSVQPRICEPADNYRGWTTPLEFSYKMNVHPLT FYGSFDIWFEQVQKMAEASNCSIELNTRIGKFPDPGTVEAFICQMRFLSCWRILHGTI SGAYWAYMRVLGYSRIPVFTGAPDGGSGEQSGACQWQPQPSDCVYFALEVKKRVTVPN SEQQPRYQTMRMVEEVRSAKVTDYPSVQQYKEGMAWLKEVLNGMILKGDRQVCESMYD RKPDWAPEWPEVPAGGEVEPPWALKGSPLRAEDAFSGSNGSAGVVSISKNAGHIGQQH QPQQAQSNFQIDPRLQAGPPDHHHQQQPNNHAIARLAPMSASSSDGGYSYGGDGSVDF ANSSNNLIFMDGTTASAVAVAAAEAHGLGVGGPSRTLQQQENHDSNNQQ NEUTE1DRAFT_76571 MAKKGTKSSAPAPAAASSSAASQPTSKAKKDSKKAAGPSEGDFI VFSNSDKEPKGKRGGAKAGPSKPAEELDAGPPKPTVKQIIGGHSWTGKLPVNLLSEHC QKQKWERPDYNTIKTKDGFSVFVTLSAKNPKTQEVTTLPPFKLPPSHVHLAYKPTALE AKHFAATYALYRVCSMKNMHMTLPPDYRSLWKEFEALKKQDVKEGKAWMYEADPFQAQ REREEAKAAAEKKRAQLQAAKEKAANEPGVSLAFRGSGSGGAGGGGAHNVMRGWANVP KIEMGNKTRSQLEDLLRRETVWNPNGVVMSASQKAAIVKEFKDLGFRQSHIEEAVEEC KDREEALEWLLVHIPEDDLPRWALPEKYSAGVTVAATDLKREGAITRLAQSGYSIDLC RKLLALHNGDEAKAAEDLQKLLLASGSESVDDSSQDADGLDTWRDADECWEEEMATLE ACFGEKFSKTSPEICEIKLEGVKNGSQTNVDACVQFRKSEQYPTQVILAMVAALPSYI KLSVIKQALAYALTLEGEEMKIFYVASWVQENINDIIEKPGRIREVAAVASAASEEPK KAVKRARRAPRCPKPINWSPDPRSTEEWRARTETPSYKKMLYARQNLPAWQVQDYLIQ TVSENQVTIISGETGSGKSTQSVQFILDDLYSKGLGKSANIIVTQPRRISALGLADRV SDERCSQVGQEVGYSIRGESKTSPNTRITFVTTGVLLRRLQTSGGRVEDVVASLADVS HVVVDEVHERSLDTDFLLAIIRDVLYKRRDLKLILMSATLDAASFKDYFTVDGKNVSV GLVEISGRTYPVQDYYLDDVIHMTGFSIGNNREYYYDDNAGSKSKEDPNDDPINKIIQ RMGSRINYDLLVETVCAIDSELAETQKAGGILIFLPGVAEINRACNALRAVPSLHVLP LHASLETKEQKRVFASAPPGKRKVVIATNVAETSITIDDIVAVIDSGRVKETSFDPQN NMRKLEETWASRAACKQRRGRAGRVQAGKCYKLFTRNLEMQMAERPDPEIRRVPLEQL CLAVRAMGIKDVSHFLSRAPTPPEATAVEASITMLRRMGALDGEELTALGQQLAMIPA DLRCGKLMVYGSIFGCLDDCVTIAAILSTKSPFVSPQEKREEAKEARKRFSQGDGDLL TDLRAFQEWNDQMHERMGQSRVRAWCGDNFLNYQTLSDIASTRSQYYSALKEMGIIPH NYSESNSQQTKSMALLRALTASAFSPQIARIQFPDKKFAASMTGAVELDPEAKTIKFF SQENGRVFIHPSSTLFDSQGFTGNASFMSYFTKIATSKIFIRDLTPFNAYTLLLFSGA IDLDTQGRGLVVDGWLRLRGWARIGVLVSRLRGLIDKLITMKVENPSLDVEKNDIIKT VVKLVELDGLDA NEUTE1DRAFT_120046 MHLGRPGSLGRLGRDGILRGHRVDGVRDPGVPERLDLRGVVIVF GEVNPARAQREFFLVLEELVAELVDAYKGAGFGVAYEDGTFKPTLRRLRIVLVMVDMV VGVAATRRLATDAVDRGDPLQLAKNCNGMKLWPMTYVPSMYISSLLPNAPARAWKAGH GEVVVTPHNLTPSRQQAVIPRLQWIGPGSRRLHCTGGAVR NEUTE1DRAFT_57898 MPSSGASHSSGPNSSSAGLLAPFTSELDKIAPSFRINGSQVRVL QTPTEFYETLKDKIRKAEKRIFLSTLYIGKSEKELIATLQEALRAKPHLKLSILTDAL RGTREAPNPSCASLLAPLISEFGSDRVEIRMFHTPNLTGLRKKHIPKRINEGWGLQHM KLYGIDDEIILSGANLSSDYFSDRQDRYHVYSSRDITEYFSNLQTAVSSLSFLVKPSP EPAGFELVWPEDNSAISPLDNPKKFIAESTALLSGLISPKTAPRTALDNTPAEKRDTS VYMLAQFSQLLSPDTSTELPAVSHVLRTLAAPEYANSSWAFTAGYFNPAPSLTKLLLG TASTNNVVITASPFANGFYKSPGVSGLLPDAYTLLARRFVRAVHESKHRGTTVLKEWR KGTVGEPGGWTYHAKGLWVTMPKSENPSISLIGSSNYTKRSNSLDLEANALIVTENED LKKRLGEEQRWLQDNATVVTREDFAKTERRVGLKVRIAMMIVQLVGGAL NEUTE1DRAFT_107514 MWSWSGLRPTLAQNASASLSSGSRIIARLLDCSHIPAIRIGTII SSRQSWNSTSQKEHHPGVAYLALTNPKAQSLQIVRHASVISSIPPRLPSKGSSATYST DATAPVPPTVPYKQLTVGVPRETYPNERRVALTPQNVALLLKKGFGKVLVEKGAGAEA EFHDSAYATAGATLVESASDVWNNADIVLKIRGPSVAEAEMLKEGQTIISFLQPAQNK PLVEKLASRNATVFAMDMIPRISRAQVFDALSSMANIAGYKAVLEASNIFGRFLTGQV TAAGKIPPCKVLVIGAGVAGLSAIATARRMGAIVRGFDTRSAAREQVQSLGAEFIEVE LQEDGSGAGGYAKEMSPEFIAAEMKLFTEQAQEVDMIITTALIPGKPAPKLITKAMVE IMKPGSVIVDLAAEAGGNCEVTQPGKVINYKDVKIIGYTDLPSRLPTQSSTLYSNNIT KFLLSMAPNKQEYGIDLQDEVVRGAIVINKGNILPPAPRPAPPPAPAATPTTAKEAET VALTPFQKASREVGLVTSGMGAALALGKLTGPLFMGNAFTFALASLIGYRVVWGVTPA LHSPLMSVTNAISGMVGVGGLFILGGGYLPETIPQAFGAASVLLAFVNISGGFVITKR MLDMFRRATDPPEYPWLYAVPAALFGGGFIAAAASGAAGLVQAGYLVSSVLCITSLSS LASQTTARLGNTLGMLGVGSGVLASLLAAGFSPEVLTQFGGLAAIGGILGLLIGKRIT PTDLPQTVAALHSVVGLAAVLTSIGSVMADVDHITTLHLVTAYLGVLIGGVTFTGSIV AFMKLAGKMSSKPTILPGRHLINSGLLASNVATMGAFVTMAPGSPAIAAGALAANTVL SFLKGYTTTAAIGGADMPVVITVLNAYSGFALVAEGFMLDNPLLTTVGALIGVSGSIL SYIMCVAMNRSLTNVLFGGISAPTTTEYKIEGSITQTNVEDTAEALTNAETVIIVVGY GMAVAKAQYAISDITQMLRSKGINVRFAIHPVAGRMPGQCNVLLAEASVPYEIVLEMD EINDDFGETDLTLVIGANDTVNPIALEPGSPIAGMPVLHAWKSKQVVVMKRGLASGYA DVPNPMFYMPGTKMLFGDARVTTEAIKTAIEARLK NEUTE1DRAFT_107515 MTAKYTKDQPAGFTNRIERITIVGAGGTIGYPIAEELLATGKHT ITAITRPGSTSSLPVGLKTIAVSYDSNDGEAALVDALRGQQVLIICLWSQAPPDTHHR LVVAAAKAGVPYVIPNGYGWVWLDDDEKKRKESMHGEVVYQNLKDVEAQGASSWISVH CSFWYDFSLSMGERTYGFTLPDKKVTFFDNGEKKIWTTTTRQVGRAIAKLFSLKELPE DENDQDLTVARWKNRPLLVGSFNVSQRDMLDSVHRVMGTTDEDWTITKESSVARRERG LKLMATDPRDHDGFVLALYASIFLPDEVSWFGKTTFGEEEVDNQLLGLPKEDLDEATE RAVEIAVRMRQ NEUTE1DRAFT_127715 MPSHARRQSHSSIDRVFDLLADLEDTQISLLLDDFNHTTPSNVP VSKAIDLFETDPKRKAKRSSTIRSSSPVRNLQTELERRHSRRVAAKIAPQHLTTQRPQ AKSTTPYITTPNDPSRSLSFSSSASEYSDRPVTPTDVSPAPSFRARSYKRISRPTLLS PTATAELHELLMAYLYGTSSSSNLTSATTSSAPSPTTPDPASRFSPFSSSSSFMSSAS RARVEPEPFFDMFEPSPARAPISAFGSFGGRTRAEPAANMSGIFEVLASH NEUTE1DRAFT_57904 MVFGSLPSDQAPEICALGKAKTSDRLRAFYLNHLSAQEIHEYSP DTLRELKMLPKLPFETLTQIFSHFTLTLPNKSDVFPDYRPVRKNENEDSELARHLQDR RTLRALCIVCSRCRAVASPMLYHTVILHDAAALPFFMRTLLEDSQAGNIVQNLALLIA FNNEYQERDLFNCVKLGLWADLGQWLFGEAARKPRPREFDWNIRVTSEIWHNDRLQVQ IRERKSRTTELVNDLKRDLCEWSGKRTADARPPMHELIQGFLYCILRETPQVQRLLLQ FPPDWGSGQNCFAKFRELHDENASSSPDFCSFLVSLVLASNSKAPGYLYDGCRLSGQF PFQYFSSLETLMAVGPDRTFDPLRENIESIRQLHLLDTELQPQTLGSMLLRIPQNLTS FVLKQRPSDPDNQPKLLDLPTEKLPTLSEVLLLKGSELRELSLILCYTHCFRNFIGLG KRLTSLPTLDRLEKLTIQMHLLFGDTRGFSANLLVPRLPPNLVELNIIDEWEMDVAER ELWLTRYVYHEDDPEHDPIEACIEEQAYFDGENGERHFCHVLHEHPVRDGCRYSAAQK RVELYSRYRMKVKAMLLDLAAQCDAGKIACQLQRANGQQERGGLASLRSVTFQIAPNK PLKLIPADEDDRYDGDWPHRLEIPPVKDSMENAISEIKAGSFLCWIPYRWTNLELLEK NFGIKDREMSVRYIRDVFSHSEDESDDESEIDEADEADDENDENDENDENDENDENDE NDENDENDENDENDENDENDENDEEDDDYDDYDGYDEYGEVGEDGGNSEYSEGDESDE NDEGEDDEEDDENDEGDVLNGNSKSSEANGTSEEPSPQLLTLWKTFRAIPREHFMDVT AAFARSGVRFDCFGPASEWILAIRDAAKDEVEAKALSHVVEEEL NEUTE1DRAFT_35568 MLKFFMGAFDIGPLSNMVTSNSRATSASSAPATGFQFIQQSVDT VAGTAIGLFIAYSAYKNLSEAYRRGDPHRKAPLPPSPPGDFLLGHYRHVPENESFRKY LEWSKKYNSDVLYFETFGTKWVVLNSYAAAHELLEKRGRKYSDRPRFVMFEEMGWAPT LTWLRWGPQWGLHRKVLASPFAKTGCVSFQPLQRKQAMIMCKNLIENPEEWMSAVTHF AVAIMFKIGYGIDVDTPTDGWVKLAAEASEAVGKAGAPASSIMDRIPWTRHLPDWLPF MERLKYAHENKKVIQNITERPFNASMSDYHERYRDPTRKLKECFVHKMYHLREEAARS NRQNVYYEEDIKGGAATMLIAGNDTTAATVNLIILYLTKHPRVQRRAQAEVDYILLTT GVPTEPVEDYRPATPAPWASSSSNRAGIIRLPTWNDIPKFKYVNLILQEVYRINPLSP LGIPHASVKDSSDIDPDGDDTYNGMRIPCGTIVYPNVWAMNRDEKRYREPERFFPERY LPKEEGGWGEPLPVGNFGFGRRVCVGQYLAENSLLIAMAMMLATIEFDLPIGPDGNLK DFEPRFSHKGQSIVLPFKVSIKPRSPMVEELLNRHILVAKMAEKAAEDGKKGGPTPST NEUTE1DRAFT_98105 MRTIGSSCTSLGLSLGWRGEFRSLIGFSFHCRLEHEPSQRSTVY ELVSHHRYCSPYSWAAGVVDGMAIVMVVVVVGACLDHNSYIESWWIAILLRRMPFPIQ AEIGIVRSIPIEVA NEUTE1DRAFT_35244 MKPFLGLRGQPLNLAVGAIAGCDFLLFGYDQGVMGGILTLPEFL GYFEQINPDAPGLTPHESSMRSTYQGISVASYNLGCFIGAIITIFIGNPLGRKKIILL GTSIMIVGAILQASATTLGHFIIGRIITGIGNGGNTSTVPTWQSETSKAHKRGKMVMI EGSLVTAGIMLSYWIDLGLSFAPGSVAWRFPLAFQIVFCIVILIFIPFLPESPRWLVF KGRDAEAKEILAALNDVELDDPIVDTEFHFIHDTVVEMSKGSFKDLFTMDKDRNFHRT LLAYLNQVFQQISGINLITYYAAVIYSGLGMSDFLARLLAALNGTEYFIASWPAVFLV ERVGRRKLMLFGAIGQAATMAILAGVNSRPDDKPYQIAGIVFLFVFNTVFAVGWLGMS WLYPAEIVPLRIRAPANALSTSANWIFNFMVVMITPVAFNKIKYQTYIIFAVINAFIV PVVYFFYPETACRSLEEMDMIFHKVDGWKGYFTVVHQAKVEPKWYDKDGQRIGGADFE KTAGYQSHSIPLSSGSEKPTKAHVESPAADDGITSSSSDGGIRDL NEUTE1DRAFT_93826 MTEPTLSLPATMQALRITSFGAPYTLTTIPVPSPSALRPHDLLV KVAVASHCHTDTIIRLGAVPSISLPLTGSHEGSGTVV NEUTE1DRAFT_144274 MKGRCVCENLQYSLELESLDDARTTLCHCNSCKRAFGTNYGLTT KVPLQGFKYEAGTPKKFKQHNGVTREFCDNCGAYICEYGEEAADKFRYIMWGSFDEPE KVPPKGEFFCKNKPKWMPDIPGTFRKQEIKE NEUTE1DRAFT_127718 MHMRDLFSRGGSDSDLHSVAPSETKSEATHRHELLLKVQYALAD IIGLGALPSIIPPGREHHHCKKRPVFIAWHPVADNLGVLFAEHTRLGQAITKEMGEFP DPTNHWAVLVGDRDTDYYHELWMEKDFTVVNQHGLVGSQKWTNKLQVGETRWNDQAVL AASNKAIKQMKPRYGLIDNNCQIFASHLLLELGIKLGTDINTAKDMRDKLLAEFSEIS WRKKLANKDWQTPKFLKVKEIRRSISPAFGGNVEREPEAHEEVVMQERKAKLRPFSWG KKKEVVAQLPTSP NEUTE1DRAFT_127719 MLFSSFSKILLGALSLATAGCCTLLSPSSDVACRAIDLALPHGR VNYPDSPTYARENHYWSNRQTDNIAPACFVAPENTLEVAATMKVLASLNTQFSVKGGG HTAFAGGSNSDGGVTVDLMYLTTLNVSSDRKTVSIGAGNRWINVSEALDTLGLVVAGG RVADVGVSGLILGGGISFFSGMYGWACDNVRNYEVVLASGLIVNASPTENEDLFWALR GGGGSSFGIVTRFDMGAYEQPGGNIWHSSLIIPGAANASAIAAYQNLTVNGMPIDNKA HSYMVLTHMDMLGGYAVISDLFHADLPNTADSAHDTVPEVFVPFESLPTITKSKSIDN VSTISRAIDVPYGSRQTWWDTTIKATDPSLLIEIVPLWQDHVEKLVAVANGTAVTPYL AYQAIPLNVLEAMEINGGNALGLKQSDGPLMLIQVAAQWADSALDDLMERSSEEVIGK INDLAKSRGLSHGFVYANYAGNRQSVFESYGADNHAKLKQVAMKWDPEGILRKLWRGY FKL NEUTE1DRAFT_76594 MGTATGTVLPSSTSVTSPPPGPAYKRASRKGATKRFACNYEDCN KVYSRAEHLQRHQLNHDPKEIYRCDFSGCEQQFVRADLLSRHRKRHSASYVPRNRVPS FSTPKDHHDLPTPGQSTSSQGQTPASSTSHQVAIESRSIFQGAPHDAAILLIPDSRSQ QPQSQHQVLPSLQHLHPHWTSPTSNISSAHALPPKNGFFQQNVNHGHLAGQQPPLLPY NPNVDFPSEDLARENFAVWLFNPQDYNCDLSVSHLPFLDGGLESALNNNIHYDYDSIT SGRSQVDSTPTRVTESDSDFITEARLQELSSLFFAYNAKQLRCRPNSLRITEVVDKSG CGTMPVLNLDMTRDCLQEYWDHVSARLPIVHQPTFSYNKCSVYLLLVMIALGAASLRM RNCGDCYTHYDVVADIIVLGVRLDILGTEEAIPPIALWVAQALVLLEFYEKLYSSRLL HERAQTYHPVTLNLLRRGSPLIGRSGSESPPEPTGSADYNGNSTQSVTNLDSRTWWSR WAQTESMHRVVFAAFMLDITHAAMFGHKADMVPYEIQLPLPCDDNLWSASNPDNVRQL DQNLRMYGVKPVSFLEGLKLAIHGKEVMTHSFGRMIIMSGLLSVGWHLRRKETHNLMD LTRIPNSKSDSWKMTLLSAFDQWKAYFDKAQGSLGGSNMVDPIVPYAGSNGPIQSAAV LYHLAQFSLFADILDCQILAGAKRLLGKKISDQAYLKVVPKMKAWASMPGTRHAILHA YKVLHCILVDPRGNKGEQNWQNKGHGLPPMTMQPYSCRNEPDPHRPWIMYYAALSIWA FVRASTLTSAAPVSSITSRSRKPEQVNFNRVAAYLSKVAALSELPESAAAGLKDGLPE LLDVLCSVLYEANSELLREACERLTCCKDILTSEQ NEUTE1DRAFT_144278 MAPQLNEEEIDDLIYFARAGEKDDLTTTLTELAEREKVSVAEIL ASAKDEGKSTCLHMAAGNGHLDIVTLILSEFTSRPKEEKQAYLDAANEYGNTGLHWAA LGGHLDVIKLLMAAGASPALANDKNYVPLDLASFGEKHDVVDYFLEQAGGLEDKNTAE GLKAAAEGLKVDDDGNVEFKMSVGDTSEASGSGSAQK NEUTE1DRAFT_98113 MYFCPIRNQYLHPPSQPTVTNSHERPSPPLEQLSPVRASSTRVP HNIVIVPQSHSSDDALSKIEALFETIVDAISVGSPITIPYRRSTNAQVFDPTASASNP RDGRHMDSVRFPGRNPQELRRFEALFRIIEISHEALLSGTLVTKRNIYYQNMELFRSQ SVVDEMVDNLAFTLGVGRNDLNIVATAKGLVAGQVELIMRGGSKINCAESSDSATFRT LSASRYYTVSRAGSGILVTVRLCDLFYSNNADNYTQGKGYPDLATRKFLATIHSVRPR LLMFALVDFDPHGISVLRTYQYSSQRLDHEERTKVFGLRWLGIRSSDVLSNTVMTQDC SANSQGSQSSQDLSSQESIAYSVDGECIVRQRPRSRRTKSHPSDYTAPLNERDREKAV SVLRDICGTTRLDATEREHKLELQRMLMLNIKAEIQAVDDFGDITNWLDERLLEHSRD VNSH NEUTE1DRAFT_127722 MMARKQATEALAVSNGSPKKRSSADNDNHDVKRPRLQESTDKAR WRLSSEGGRHTWHYLEDDEATKEWPQSYADKYFLGMPLDLPELPKATNPLDAVRNGLT FFEKLQLPEGHWGCEYGGPMFLLPGVVIARYVTKNPVPWYYATEIKNYLFARANPVDG GWGLHIEGESTMLGTTLNYTTLRLVGVEADHPVMVKARATMHKMGGAIYAPHWAKFWL TVLGIMKWEIVNPVPPELWLLPDWVPIAPWRWWIHMRQVFLPMSYLWSKKWQAEETDL IRELRNELFVEDWNKIDWAENRNTIHPNDNYHPKTAFLNTVNWLLVNIWNPVFRTKYL VDKAEAWASELIDMEDENTDYSDLAPVNAAMNTVCCYVRDGPGAYSVRRHVERLDEVL WVNAEGMLCNGTNGVQCWDTAFAIQAIMDAGLTEDPRWRPMLIKSLEFLEDQQIRENV KDQDKCYRHPRKGAWAFSNKDQGYAVSDCVSEALKSVIILQKTPGFPTLIDDQRIFDA VDTMLTYQNPSGACSSYETPRAGQWMEVLNAAEVFGNIMVEYEYPECTTAVLTTLSLF KKHWPNHRTAEIDNFITRAVKWIKSNQKPHGGWYGNWGICFTYATMFALESLASVGET YKNSKYAKKGCDFLIAKQREDGGWSESYKACETGKYVEHPTGSQVVMTAWALIGLIKA KYPDIEPIKKGIKLIMDRQQPNGEWLQEAIEGVFNKSCMISYPNYKFSFPLKALGLFA TRYPDEKVV NEUTE1DRAFT_76603 MSATTIAQKGIDALAKGDHATAITNLDKALESSNSPAWLLARSK AHQKAKNLEAALHDAELAYHAAAERGSGTSRSHMIQAQYRRAVIYYQLGRFADADCCA KWSMLLAEGRPAREDDGVEKKVDSEGNYTVTYDEFLADKENQPKPKTSDGNALAAAEK GPYSTDWNMAFSWRSQALGRLKNLPKDHPGWKVNVTKIPPKPEKKKAKSPEPAESASE DELKEQKPPQKEAPAPGSVPDEKMKLRIDFYQTNQTVTVSLFVKDVKKEDLKVEFGKH QVRISPIPREAAPYVKPGDREATSTLVLAGEIDPSASRWSASPRKIELVLQKATPGVK WGRWGEEKIGIVESDDQEPAITATSSSAASTAKPALPSTSTPAKVPAYPTSSKSGPKN WDSLPVEDDKEDGQDINGFFKTLYKGSTPEQQRAMMKSFLESNGTTLSTNWDEVKDKV VPTVPPEGVEPKPWNG NEUTE1DRAFT_57926 MSINIVQGAALVCLGWSCTVFLLQLVGITKLYRNHTTPLPPPAS PSLPENEVPHVTVIRPVKGVEVGLYECLASTFRLVYPKSKLSIRLCVDSKSDPAYPVL CQLVVDFPNFDAQVLVEEEDPILHGSAGHVNNLGPNPKIRNISRAYREAKGDVIWIVD CNVWVAKNAAGRMVDKLFGFQPNSGGGIDRTTPYKFVHQLPLVVDLDNSSSGASAVTK STSAEEQSLLPSTVPKDNQNRNQGLLAHGGRLEEMFMSTTHAKFYGAINAVGVAPCIV GKSNMFRKSHLDAFTTVSSNPLLHSSDSTRGTGIDFFSSYICEDHLIGDLIWRSSFPG SMGYKNHGLVFGEVAVQPMAGMSVAAYIARRVRWLRVRKWTVLAATLVEPGVESLVCC AYFAFAVTTLPWFNSTFGVPQTWSAMATLWSGAVTIWMSIDRWLTNKLFRLHSLDVDE NTPFFARGTARTGGTTQRPFREWIAAWLGREFLALPIWTTAVLLGTTVKWRGRQFKVR MDMSVVEVDDRKSRADVIGGSKPRPRMAGSPDSKDRVD NEUTE1DRAFT_76608 MAENMTITTMAQPSESPSKSGEEAPASTNPAATSAPPRPPRVPY HQIYKLPAPIRTFPLPTFYPSNPLSLFHLAVAWLRQVLLPPPAEPSVIHEGIWDSDTR SVHVKDPKSIRALWEQGFYGKGSLSRSEPNWFKRELSRRGLDGTTVSEERTASRREER RQVKWERAKAELEAIEKQKLEEAKLNSETVNAAILEPLAAETEPKPEVLLASLPDHVL SATSTIPLSRAAPTNEFVPKTVAHGHVEPKPPVGPLELLALPNSYALVRGEEPALSQP EPATTEENVRKELKPPVGPIELLALPNSLVDLVALSVVSVLSEDVGVDEDESSIEDQL EASALTNDEPETSATEANDTTSSSSPPPSTDGLPDSQPTQEDILATPERRKSVRFSST VESTTFQHTDAASEVKNNNGSSDVEAFSSVVSAVEKPFISNVESTVSSQELVDKEHFQ LAPEEAFFLAFGLGALRVIDPVTKAPISNEQLLIKLRANSYFPPRSVDKLSPEDPFLV QYAVYHHFRSLGWVPRHGIKFGVDWIIYQRGPVFDHSEFGIMVVPSFSDPRWSEFEHE ESKKTWAWLMGVNRVLSHVLKSLVLVYVDVPPPLVFDEEMKKGGIAAALKKFTIREVM VRRFSVNRNR NEUTE1DRAFT_76613 MSGTNNVFTQLSGSTPADASISKEHGLAYWESVSADENGMLGGI PTFAGFANTSKIDLQGSRNFLAKFGIGSKPGLRRCKRILEGGAGVGRITEGLLTELLV DENEEGKGPGKVDVVEPIAKFTAKLQGKKGVGKVYVMGLEEWVPEIGEGENKYDLVWT QWCVGHLTDEQLVAYLQRCKSALAEDGLIVIKENTTVLGNDEFDKEDSSVTRGEATFQ KVFQKAGLKVVKAEFQKGFPQTRTMKLLPVRMYALKP NEUTE1DRAFT_134947 MRLIKQHDELAPEPGYVYRFDSTIPHKRYYFVSKLGHGVQSHAQ LVQDRETSLKVVQKVDRKLCPSALDHDEPAEISVLRRLTSSHRLANYQPRWITLLHYE QVVAYQETEKSGRHLSYQVSYWKFCNGGNLYGLVSPYIMEQRPWLARSQNGTAPQAPL PKLPISLVARAIRHICETLEVMYQGGDEAVYHCDLHASNIFLHWTKEDPLPEFYIGDF GMARTASQSLLDSRKFHPRNGIPFDQQPQGTKPPGLAPEGSRRRWDLIMDHDCNLTKT FWSMSKSATRDIGYGSVRLPVPRPQAHRRDLVKQIAQQKNHPTTSRHRHKPNPVCASP DTCNGLSRSWGYGPNSSCQQDIKDTHARLLLELIRNLGFLNQNDQLQSVLQPDLRPPS LARIIEVAGILEEEALQQEQHTRAFKEFVRERKEKALFQEFESIPFVFTRDGAEAKAI LKKALKRPKRNPFEVYRGKSDEPESLEEVWGREHVAGPWRVVQVRA NEUTE1DRAFT_120062 MKLAFDLVIFGLLARLAHAASLVRLPNQHVLAGELDNGDQPPTK LPHEVRKALKKAEIIPTVMDDFVPTLDLRVKWSHGNKASLGNTLKPKDLQDPPSIRLK DLVASTTCLRRSSTSLVIVITDPDAPSRDDPKWSEFCHWIAVGPLVTADCPISDEQTQ IHGCCSSVSLGTLEDVVSYTPPAPPEKTGKHRYVILALAPVNGTSEKLHLSKPKERKR WGYDKPVNGKTHGVRDWAVENGLVPFAANFIYAQNKKQ NEUTE1DRAFT_36062 MHFSRQSTPQPPASPLELLRRHVHPFPSLPEALDDPKKDIFRYF DSFSSAKVLLIGDASHGTSEFYAFRAALTRYMIEHHGYNIIACEADWPDAESTDRYVR RRPGPGPRATIESEAEARRAGREPSFMRFPRWMWRNKETHDFVEWLRCWNKGKDMKKE ATGFYGLDLYSMGASMRAVIAYLEQVDKDMAETAKRCYSRLLDWSEDPHEYGLETLVS SGKGFKGYEEDVVQVLQNMLSKRLEYERAKWDGEEFHGGEQNARLVKDAEHYYKAMYY ARDESWNLRDTHMFQTLQRILDHRGSYSKAIVWAHNSHIGDARATSMGWSSDELNVGQ LCKEAYGKKALAIGCLGYTGKVAAAKRWDGDMSVMHVKPALPNSYERLMHDTGIKNFV LDLRTKHCDRALREALMEKRLERFIGVIYAPATERQSHYSFAVLPEQLDGIVYFDETE EVGALEVHQPPGPLEFDETWPFGL NEUTE1DRAFT_98122 MDPGNRERGLKAAINNPRVSEQAKQRDREILESEFGTHMPEGSS ADIAEEEVLEDFSSSKLGSSSSTAKKGRSKSTGSHNASTSSKTESSTSANLEGKDRGN VSVPIHPTNKVRKSRCTVADKYVSHSIRGLKAAINNPNVSEKAKEKDRKKLQDLGESM D NEUTE1DRAFT_36441 MSTTEQQQLPSREELQQRAVEGHPITTDEVSKIAKTEAELTDGR GPIAGGVAATAQSLKAKQEHFIEVASEVSHKPVNEVTKEDAAAVESAEARLLGHRPPK GSTAATIQSIADKNERLMSETKEGS NEUTE1DRAFT_76618 MQSILQKATGIGPKPVQPTDLNGRVAVVTGGAFGIGFEVSRALA NAGCRVIMVNRKEEQGDDAKATITAETPGAVVEWRECDMGNLSQVRSVFSELREQLDR LDFLVLSAGINTNQYGLDADGIDRHFGVNYLGQYYVVNQLYPVLKKTSKLPNTPAPRV VFEASEMHRAAPSAVHFASLDEINNPELGPTELYGRTKLAMILFAKYGLAEKVIKKNG DNIYAASVHPGAVNTAMQQQWKDAYPGITGKLLTWATLASGRDVKQGSYSALWALTDP KIEEQNLNGWYFSDPDQPGKETSQASDPVLGQALWDLSERIIKDKLGEDALVDWYSA NEUTE1DRAFT_37393 MTQLQTDIPRDINFLPPGGGDSLRIAYARHLLSKSLTEEHAKKH GWSKREGRGLKPIFSPIPSSLDDLKERDGLFSDDPNSSVFKGKICIVGAGVAGLLTAL MLKIGGIYNFDVVEASDRVGGRLYTHWFSDKENPDSEHDYYDIGAMRIPEIKTMQSAL DLIKYLKLDDKLVDYNYVEPTKEDIVPHTWWYKNEKPDCKKFDDAIASVIQSFTQAPS KAFEEYMRGNNDYYSTRAWLMLEADPKLTYEETAMSEASETSTGLFDQAFIETIFDYC DFAQARNVKWKRLEGGMSQVTDRMKELIEKSDWPVKGAPGIKVTTSRPVTVMSETADG SAISVTTTCPKGQSPSTTDYSAVFSTTAMAPLRRIDIEGLHLPDKILTGIRSLSYDRA TKVAIKFASPWWTLVGDVSSTDLPISKVVYPSWNDGPDKPAVLMVSYSWAQDATRMGA LVPDYTKTLPSKNDEVVSVCLNALVKLFSKADPKTMAANVPKPITLEFLRSQYVTHHA FAWSHDPWQGGAFALFGPGQFKDVYPDFHKVYCNGKFFMSGEALSTHHAWISGAVDSA YMSFVLFTTVYKLKEQMVEVKESNLVGARGENPEEIDEFLLRWAAKLSEGVEVEGDQN RGSEHRNYGPGEEKGGLDDDWCDCC NEUTE1DRAFT_76620 MATSNAADGIQFATSSSIIPKDIDPGKHHVDPHLANFDLRQYID FNISPEDLHKCWLPPYNGPCNENWDEFYNAWKRVQIPYLDCNDFGDTLQTMSNDTCIA YQQQHNGLCKLRHAFRRQGDAYIAPEDFDYCPGTVLSSPSKEAIHHFAYRLISMVQKQ EQAVFGQFDGGAKGGSPGKWWIAPPNQSIELPSVETPDKAQPDGDGDGFTELGALDKA RLEAADYTVHWVCYQKCKKGPLTNDDKSQEGTENSHLAVIIRERATGHTWYFDSLHTP KDDATVDLNEKHQSVLSSLHKWLSKNKDDFSPVLDGGRHRHVQVIPQDLPWTCGLHAI AHALAFLRFGLLGWHEIPTYAREKTDKAKLTKLRHDMMKAMELSMGFRPPPRMNASNK IPLPEHYDHELDLSTFRFPTYPSVGVEKKDLHSYDNFPFNWSFPEKLDDQYEETLRES YRRVHVECYDGVSLGHGSVDPFSRKAYSDLHNGLLKMRNHDLLEGEEVHFHATRLMKI IQDQEGAALGPAKEDNQDWWLAPILYAIRCERNKDTGENSAKTTSILPPEIFNDKNFK IMCGDRSNDREQFESAKYTVHWVHYHDSHQNDKGVFEHWAVIIREKATNFAWYFDSTK DGRKQRKDRAIDAFNEWLVKCREPNSDFPKEVTQSFLVPAPQQSSGWECGLHAIAHSV AFIRFGILGWHALPLEAIALEEGSKGTGDQTAASAPSDRSKRLCETMIGSLHGLMGVS LPPTTSKDDGNGTAEAVSTSNKNANSNSANKTNASSSRNSNPDRFGKSNQGGSARKPS NAMAKSSQPPGENSAVTETIPRHHGNKHAVPHPNFFNKVQHEKQRSEFRFPTYPSIPL RAEYVRSVNEEPFNAKMKLDKVYAYSQVSTHKSPSCDRVILNQSPYAEQAFADINDAL LNSRHGDWLDGKGMYHLASRLITYVQKEESVIPPPPLGPFENGHWWIAPHPFIIDVPD PDDDSNLQPARTFSDVDQSVWGFDSSDFGEVEERLFNQARYTVHFIHHPTHWTVIVRE AETGHLWHFDSLARGLRRFRRAIDAFEDWLRRCNKPIPATSQAFQVPVTLQTRNWECS LHAIANAMAMLRFGILGWDKLPCLALETDPDPVGPAGSVTADNLTEIMKRSLHGIFGL MLDEDIPNVAERMKQENADEALLMEMQDDSIMNRANMSFDAVINSVTNNPSELEILNQ QERKAREAREKNRKEQKEKYDREMKERNLRAREEHEQKQKQEQAQEQEKEKEREKERE RERERERELEKEREKEREKEREKEREKERELEKEKEKEQEKEREKENQQQGTSNSLKR GAANDHDDEPSGRLSKRSKHTAEDNPTENPALSPNNKRKTPPPDIEPKPAKRAKGEPP TRSSERQRQAAEKAEAAQEAEAAQKAEAAQEAEAAQEAEAAQEAEAAQKAEAAQEAEA AQEVEAAEESDDDVEELTWRESRRARFRAMLKADLIEECKRRLIAGPGDEHRTLTYRA EKTVEGLIDALMWYDEPEGYEEDLEEKGWEELKEMCKTTYKLYYSGKKADVMRDRLRW FYAEQQRHVQALIEQEEIPPNWKEQDEAEDETEDEDEDEDEDEDEDEDEDEDEDEDED EDEDEDEDEDEDEDEDEDEDEEEVSEEP NEUTE1DRAFT_35647 MKASPSISRLLTRSTNRVLGASLSQPVSYPLTSRLLHSSATVPA RLSTGQTLQQLAYRNRLTPRSASFSTSGPSHQEAAAVAPASQVSPAPVADMPGVYADM AGRLDARLLKALDAMGYANMTPVQEKVLNMGSFTQDCLVQAKTGTGKTIAFLLPALHT LLNAKDLDPSQVALLILAPTRELAQQIVDECEKLVSQCNPRFECHLAVGGSAKASSLS KFLRGKPTILVATPGRLDDYLSDERVKQKFNNLRCLVLDEADCMLDQGFLPALTKILT SLPKKQQAGWQGMCFSATLPPSIHKVLHHVLAPKHAHISTVDENEAPTINSVPQSYMK VDSVDDVLPTLHNLLSAERYDNPKLKAVVFCSTARQAALLYTLFGHTGGASPAKLPVW QMQSRMNQAQRTRTTEEFKNTDSGILFASDVVGRGLDFPDIHLVIQVGIPLNSEQYVH RVGRTGRAGKGGRAVMIITPEDFWFVERNRQFPMAHQSLAKVPDQVKAQAYVAYLGFV NTMRSKMKITPAQMVQVANRYAFSLGCEEPPAIEASTIGKMGLKGVPGLIKNTGPSLR GQRNGGNRPNQSAPRGGGRDAGRDAGRDTGRDAARDLDTSFFGNGSNGGAPRGGGRGR GGFGGRGRGGPSGAGGDRNSSFKKPRDDSAPPGSSGGSRNKRPRREDNRREAF NEUTE1DRAFT_107537 MPVTNEEIATRSVAASASYYSTFDKGHLAFPPAKKYISNAGASD KDALLSIVISQQLLATEAIVIVKHTGCGGMLTCKNEDAHGVVERNLGAEARKELEERK LDFLPFPQLKQAIQDDVEFLRGTKLMKNEVPLSGWAYDVETGKTVRVV NEUTE1DRAFT_57946 MLPSRGLLRSTPALGLARASFKAPSSRQFGTALPSSFPQGSRRI GGPLGITATAAASHQLLSSLRQVRYASTGPDAAVAADAAAAAAAPASSPVDAVAATPV ELTGSDLLNLPEQIGFLKTLGLDYGWGVTSMMQWLTEHVYVYSGLPWWATLAAVAAIV RVAIFKPSLGASQESQKMQDLNKNPKYAAIMAKVKEASFDTTKQNDLVKYRQDMALMT KNAGINYFKVFIPFIQVPIGFGMFRLIRGMATLPVESLETGGTLWFPDLTVADPYFAL PIASACLFVASMRKPIPYMAPQQARMMKSMGLVLVPVSIFATAWLPAALQWYFLVSAI GQYFQASIFHLPAFRRWVGLPELVPGGMRGPSPFAKAAAPSSTIQYVAPRTMDTTATP VDSGSILGDIKDSSNFVKEKLEDWKKKNDNTNIHSRAKEYEERRALEEHEAYLARLEL KKQKQKGRKNH NEUTE1DRAFT_76627 MSAEEISNPLAESGVTISSDSEQYSAPESASPQSPSSSSPAVVL YQPPTVWSLFRSAVINLFLPFVNGMMLGFGELFAHEAAFRLGWSNTKVFPVSRRDARP IGPGVEVVERPRRRVDLDDHLDDLTSLE NEUTE1DRAFT_115944 MADHDDKISSFCELTGVATDAATEYLNNYDWDMDAAVAAYYTDQ DNSASNTGAAPAPARASAAAPPEYIGPRTLDGRPAPQYAQSSSSAPKKTQKRTGLATL SSIGGRRDEDDDEDEDDEEDEGRGPRDLFAGGEKSGLAVQDPSQREPNSDTRRLLQDI LAKARENSRAGGNSPDDEETGAGTARPTRFRGTGMTLGGDGVESRQIPTVDSNTSAPP RQLEGPTQERTLHIWSNGFSVEEGPLYRFDDPANQADLAMIRAGRAPLRLMNVRPDQR VNVKLEQHQEEWRQLPKKYVPFSGEGRRLGSPVPGDGSGFVPPAAAAAGTAVASAPAT SGSAQAPSTGVDESQPTVMLRIQLPDGSRLPARFNTSQTIGDVYDFIQRSSTSLSARP WVLSTTFPNKDHADKSLVLGDTPEFKRGAAAVVKWV NEUTE1DRAFT_76635 MADYDQPTMGASTAAAAAHAQLKKVKKQKVLLMGKSGSGKSSMR SIIFSNYLARDTRRLGATIDIDLSHVKFLGNLTLNLWDCGGQEAFMENYLSQQRAHVF SNVGVLIYVFDIESRDVDRDLATYVNIISALVQYSREAKVFVLIHKMDLIQPMTREDV FDRRVALVRRKTAEAVAIVRKQKPEVSGVGGGLPGPGGGGGGGAMMAGSLPSPELSTP IPDLEVEMQLFATSIWDQSLYKAWASIIHDLVPNLSVIETQLASLGVAIDADEILLFE RTSFLVVSKWTSPEGESNPYGDRFERMSNILKAWKHTCSKYTGTPRNAEQFSDFEYKM GANFSMFVTKFTTNTYILVCMPPGEARFNSAKLNVAAARPWFRFLDGPMIAPTAGIGS SQMLSGLAAAGGEVA NEUTE1DRAFT_127735 MGFSWKPQQQQQQQQQLHPNQPALMADHEIEEAKRLILARRASV QTKSSDQSFGRLRTRSRTFSNSQKPFTYQEINQVLDEMVDANGPVGVIKALLALGADV NFSKRRSVDTWSKLTGRKNEGWRSDILIRATVRCPSETVYAIAHHADQENLDGALHHA MLRGNLAVLQALLDHGANPVQLHDDFLDLISRNQVDLVQAILAGHRLPCLPCRSTGLM IAAKNGSLEIVSLLLKCWADVNHDGGAALVAAVEARRPDLVAVLISGPVQPSPRSLDA ALGRAHKEIMVRSTEEHREIIEMCLSAGAAGEETTRLVTEGVVAAVRTRHIQLLDTVL RVKRPPPQYEAMAMVDAVKAQHIDVLSKLLVLKPQPKSLALAVSQAVEIHNRQTRHDI TQILIDSGAQGSCTAEALVKVVKIIVTEPGMPHKTSLDRAMDKKLFRLLLQQGKADVN YENGEALQITVQKPCLEIAEEIISREPSPEALGAALPWAMDLVNPRARESMIELLLQK HINEDAVGKALVQAFKEGPDNGPLIKLLLTRASVNHNNGEVFIFAIRQYRPETFRMLL DQGISYKALFTAINEALKTSRTNRRAIFADLMSRLQFDHLNTALKYVVLEADTDLTLA KSLLEAGAEATHENGVCIKNAASNLDHDTLGLLTEYSGTHDGIFTQAMAGVVNRGKQW IAFEHVEVMKLLVRGGASVQVINKAVVEVIDTLACKESQIDLANRFLDIFFAAGADVN YENGKPVGIAASRGDPVLLARLLSNGASSMTSTHALSTAIMAHHDENLLLRLIDVFAD RRTAAPDVNQSIPGMPSPIFLCLKSYPKSLALLDSLVGAGCDLESTVLSDVCPEEEST EKKVRAGNFDEPVTVLLWALLPRNSQIDPAVVNGLVRHGANIAYASPRTHTTALILAV KSGRVEAVQTLLDAGAKVTTKDSQGRSALYIAARLGNADIVAALLRINSSLNDGSLHE ASRNLHTGAMRLLVECGHDPNYRSSKHDGRTAFGEMALKATVPRDITIAEEAVEILCT GGASPLLKTNGKTILFLALDNMDNEAMTRLLLDKHLYRILNSPENMYVEGIYHYSPTM YVKKGMLQGPRTAGLIEILEGHGGEDRYYATMEQKQPHDAVGMPEEIQKFERERRARE RRIREAEEEHAATLRREYEKAKTVDVIEDLHHNRSYRHYEDISDQHRRNKYLDHHTEV TIKTEAALVDNSLKMHGASVDNAIQWQRHNNHMAMGVNAAHVQRDIKMQRHAVDMTIG RDAAQLNRSIAIRHHNDGMVMASQQRGAQLAHMRHEHHQVIQQRKDHGVINHTHAENR HALEMRAGAQRHGQALAHQRSAHQLGMRNRADYYNQGLDFQGRAQAMHERHIEGIHQK HMTLAGAKHTMNMTELGAQRGNIIGQTNLNELRQWSAAGEGRSIGYGGGRVQLLN NEUTE1DRAFT_127736 MAPPTQYKPIQPAPLKVAKSGTSSGTGRVNGEKHARRVKAVTQA CHTCRRYKAKCDGLRPRCTSCKTKNKPCGYEGEEGQSRQEAMKSRLEALERLVTALQQ KSPEEAEALLQRIRNADDIVSLSSAASSDSGDRNIPGLHRNDSTSPSMGGSLSDSWTS PSSVPTVSTDIIKRALQSASPSSDESSEGGMQLVSDSGSATARLRVDHSAYLIRFVLP NADATRAALNSFYSSSGKLFHVFSQQDMDRFFTIVFGYDGRPNYSQKMAICCLCSVAA VGIQYNPDDFEKGSEHIFYEVARRYFVDVLEESSLDAIKVCAMLAFFNVMNKATISLA WVELGMSMSRQFGLNTSILLRDEQNDHMIDYRKTWRTLMFFSSWLSSTLGYISGSTGS DFQKFVPIADVEPYYPLQVTETVQREMTKISLLKAEILRMQLEFQDLPRLTVVANEYL EKWHEKLPIEVQLANLANPGLDDTVRRSIYHVHLLYLGAMQLLYRWIAARLIQTTTVN GREVIVPSESVPEEDRKLLKHVSQGLIAAKHSARLLALLHGERGIFQRCWLVIFQSHT SCVVILHSVAQKQVHGFPVSSWAPDLKLAQLCLDTLEFCGRIDPVALRFHVRLSAIFK SLTAALPSNDNQTTRQRTEDWVTMPPDFPPIPGAAGVNNSAGTPLVDPEQLEEPDPEY LLTMPKDAKERVVALSHSLLSALCRPWGGDEAGPAGTACAQGKSSVATVVKEKAVDEE DIPASSATFRWDHTGHLGSRGMSKLAKELGLTKSTDESGLGSCSSSELSLGSDCRFLD SEDPHGWQTAPEYIDMPQY NEUTE1DRAFT_98134 MARPQNCPPLCLPEMRLVDRWRKCQKGGMSLPLDQMGSDAHGDG TDEGWRDRLRDSRENEMQRVNAGHDLIPNAIVAYWAGTCRSYIEGPLEEAPQGTEPFA MS NEUTE1DRAFT_76640 MKYQTIQDRQVVKPDRHLLNTTISPGVVHDPEHDAAAATVTNEY QPLLTPLASSSSNSSASLPPGHLSSDDNAWTPPPRFVFIQIALMSNVFLYGFDGTITA AVYSIISSEFGAANTASWLTTAYLVTSTAFQPLYGRVSDIFGRRVCFFISTITFALGC LWCGLVKSSIKKGMIWVIVARALTGFGGGGLMTMATVVNSDMIPFRRRGMYQALQNGM FGFGAICGASFGGSVADGIGWRWCFLLQVPVSAFALAAGWMVIKDPVNGVLGEGEQRT WGLIWRKVDFTGAFLLVTAISIQLVGLSLGGNMLPWSSPWVVASLVSSTAMLVLFLVV EARTTAIPVIPLRLLKGSLPLLMQFANVCAGLSAYAYLFNLPLFFQVVLLDSATTAGA RLAIPSLATPIGGLIAGIVMSRWGKLIPLVRTGTFLMVLGNALVISLAFEDSGWKYLV YIFPANLGQGIVYPGILFTSLATFDHADHAVTASTVYLIRSLGTVYGVAITSAIVQTT LSVRLPGALGEIPDKWRVVDEIRHSVSVIKNLPPDIQLKAREVYYEGLRLSFATSTAV AAAAVSAALLVRARGLRSTT NEUTE1DRAFT_57965 MRATIASLVSNALLLIQQAAAAPTDTDTWNETTVDISGDSSADS FSVASIYDWTYRRWNGANYACKCYPGDPCWPSTLQWNLLNATVGGNLQISIPPAASCY NTFQGLLGNISTYNAAQCADVHANYASEQWQIDLPTAGLWTYFTNDTCRPTTNPTDSC TGGYFPTIYIKATTIAHIQAGILFAKNNNLRLIIRNTGHDFLGRSVGWGALVINTHSF KDISLTSSYRGACNYTGSAITVGAGVQAFEALSKLHSLNPPKIMVTGECATVGVAGGL VQGGGHGPLTNFYGFLADTALEFQVITADGVLRTANAKTNPDLFWALRGGGPSAFGVV VQATYKTFDDYSSSGTTFALGPANVNNNDTLWWDAIAKFHSYSNHFVDNGLYIYYELY PGLSFRVQPVVGVNKTAAQLEAVLQPLFNDWTAMGLTFDKTTTTYSTFYELYNALFES EVAGDSALTGGWAFSKTDVAKNNAGIIDAFKNVLNNGALLVGHMWNGGHGLPQSQWAD SAINLRFRNVSDKLITILPLSGNAPLAEKEAAQRVLTDVVDGGLRAAGPNGAAYINEA DPFQPNWQTAFWGTNYPKLLQLRQKWDPTGVFYSVSTPGTEKWEQIEYGTRLCKKL NEUTE1DRAFT_134964 MRGALLECFRVATRPKTEDVDVAAPSAVLIDIWKGVSDGALNFS IEADGKIAFDAPQGFRVRLNIIEIGSGCIDRIHVTEPFHEGSVPSMSDLLLIRAVTVV DRGGDGDRPDFQWLLEGVFQKLEQFPRIDDEELHWLAKAAEAVYGPIGYLGIASCIAT TNEAAALALLHKGKKSSQVHVAKRQS NEUTE1DRAFT_144296 MGFTKTTKTSFLILSDTHGKDHIMPKVPVDVAIHCGDLTDESKL DEFRSSLELLKSIDAPLKLVIAGNHDFSLDETAFGNLTAEATTKCHIEPELIKKEYGD FGQARELCSNSEDESITFLDEGIHSFRLQNGAALTVYASPFTPSRDAHQGFQFKHNDE HDFSISPSDNKRVDIAITHGPPKGVLDRTSSNQRGGCDQLFGAIAKARPRLHCFGHIH EGWGAKLVTWRGTEPTTTTPSHFTEIDNGASILIDSLADYKPRKFDSEQDAKDRKKRK ERLFKDGFRSTSHCKDDKHPLVAGQQTLFVNAALEMGPEDEDPDAREQVPWIVELELP DA NEUTE1DRAFT_57970 MATLRQLHLPLRLLSKSLLTASTRRLISTQQSPKPKTPIKSAII TGAARGIGRAIAHRLAADGYALTLNDLPSSSSSSSSSSASSSSDPDDALKSLCHTLHS LGYPNIHPFPADITSPDSVSALISSHVSVHGSLSTMVANAGIVGAQPLLTVTPQDLLR MFNINVIGVFNCYRAAAEQMIKQGTSGKLIGASSVAGLRGLPMLGPYVASKFAVRGLT QTFAAELAAEGITANAYAPGVVDTTMWDTIAEGVIKAGGGNAEEEGAKAQVSKRFVDT LVPLMRSCTPEEVAGLVGFLASEGADYITGQTFAVDGGICLT NEUTE1DRAFT_98139 MTSSAEYFLTLPQEAEPRTLLDLPPEIMRMVADHLKDSELNSLV RTCNANYTKCNWELYKRDSKSVNPQALLWGFGMARTPWTALHFAAVFNQMEATKFLLQ NGADATAVCPRDGYFVLADVNDPLPRTPLFTALKTKSEGVAEVLLRHGVSPLWAPPGT GGHAKETALYLAAHLGMWKLLGLIVNAGVDVNAGCHVDDVPRWSTDPAGKSILRKLCY SKRKPSEKVISELVKLGAKATVREIPGRTNMVHLLLQLITGTGQGKKTNPDWPLASIL LRTGACDGTLKEVEVLAAIQLALFPRNCPYTPHLTRHTLSNLMWYNRKSRAYSIEACL VGRCCKCKLGKGRYPENEPMTGRRLRTELLQELVNFQVRHYGREGLDSHLPFLTETLL TLLARHRHASTTALDIWLELMPDDHKTKVVNVRRQTALHIVLNIETFEEKLWYVGGKP TRNINRAFDQQHDALFYLLERCTSDELLAQDVEKCTPLQLLLGGLYWDQDSEYYAGLV DLDTIPLEPFSEEVWARKCLAFVEKMLMVIPELSDSVYQQVVEELHWRRWELGCESGV IYLGRTGGRGREPHYFPSFKPQDGEMKRPLTQNPPLLPMPFEAAPAAEPTSAESTQPA ELPIRPAKHALPDGPAAPEKRQRTTESQETAENTANNYSVAGQPNVPPSTPVSGFDID FEALMANVQEEYNNAWST NEUTE1DRAFT_134968 MIKLFLSRSAYTFYVADSPEDASDDPDDGLPEDGEELESLQGVE EEEPPENPEWVQPGPDEPDDDPGTPHATALHIAASLGRGDLVEFFAGKSGISMNATDC DGHTPLHYAAHAMLKHPRAVIRKLVQMGARLDARYDIEFGLLIRSVVGGRCAVAVDLL HLRAYTHLTAEQLGYLLHAALTPAAFYSFERGISIANLSSASYSRRSVLLPRSYRDSY DTVFPDYTSDCNMDLLERQELVRLLVKEFGVDVNRILPAWPGDKFNAVDKNGNDILQC IFNSIYWEERHYIDLANFINRSPSDYCYEGIIPARIPQTVGFVEMTMRLQFVEALFGV GRTDDVNLVWGETQGKEKILKIVIARLTEIRRKLKTGSKEQFLMDEFHTFSNFSGVW NEUTE1DRAFT_98140 MTHYICLLSAACISDSVMLSDANAKLISIPTAFLDTFDATLELV TATAIASNQYGRRGAEEEGAYRRRGNCSALDTDTKEIGMSCAYHLSNHPEKFDVTVIE AANYCGGQAFSIPLDKQKHGASWLNQGVQGGSYIFHHTMTMFACQGYAANPVKLQVSF GKDNRFWTNVYPTKLLERHQSEVKRFYRMLSFLRWFELIFALLPIKYLMKLFWFSYEF ANTVALPMVALFLGTGNYTPDVPTIILERLCTSPTYGMWYPADKESIASNMPPMIVFP NFSNFYEDWRKDLVKRGVNIRLSTEVTQILSRDKNGVVVKTIKRTPMPDNHNATSAWA TEDPVMNADADAKETTEHYDEIVICCLADTAKRLLGRTASLRERQVLGSAKFSDDITI THHDSSYMRKHYENFFNPSQAVNSLSGVDHFSRVKFARDNFRPMYYIKPYDADLTKLE MCFDCTNYQAQFPPEVDFDNHVFQTIYLNKQRDGHLWTDDEIDESKIIRKDWWHQLCH SWTHYAFVVPWMWLLQGRRHTRFASSWTMINAHEVAVMSGIAAAVDLGAQYPEDLERD GFALLSFRLYYLLIYGRWYRRKVKKEDRMGEGHEWASGLYGSVYKGPGVIEKEREMWR EERAKEERSS NEUTE1DRAFT_127741 MPTATTVKQPSAAVSSAPKIKKTTTKTATTDAPKPVKEVKHRSS STKPKSTPLAETTDNVVKKKPKPAPLDENKIATTDHAVKKKPTTSTSTPEKKARVHSS STHPREVVSSSSTKKPSTSGHTHAHTSTTKTSSHRPHTHLEEGSKHESTSSHRPGRRH HAHHHHNPDGSLTRHGARATSSSIAKPLAPAKAFSPALDAEGNPKPNLRPATMTAHIK RAVRKSEAMSPSKPIKVEPETALPKVHVPTPQTARQKATAAADVEATKRAQKVAAAAQ EEQAQWDQQQQQQQQEAQKPKKKEKKYAPEAMIPTSRFVGNVGKTAGATLDTTGQVAK GITETVGRTAEGALGGGGVGKTVGAAAGGVGDTLGAATGGLGRTVEGATDGLAKGGPL GAVGGLGEGLGQTVGGVGKGLGDTVGGTVGGLGDTVGGPLGGVVGGLGRGVGGAVTGI TGGLGDGVGKIGQGDLLGGVGDVVGGVTNGVGGLLGGVLGGLGGGGGGQRR NEUTE1DRAFT_107552 MSNQRNKSHSLLDAKSVCSDDQKSVQGARNRSTSSNARTNAYVI PAQRRAMTPSDTPSVSAALAILDWRRKAQTPTSNTRSATEPVLVTRSTSTSFRPMDSV QGLEPTFTAEEKVRAGFSWRYKGDIRNPKNSSLGIAPDDNCALFLTNLPAGTTPKILL DALGIHAPFGRVYATSIAPPTDGRYKSACAKITMFFREEAVRVFQFIAGGNLGIAGRT IAVVWNSNRLAPQTDLPHSASRVLEILGPIEVVDIHRLSRFLGANIKFDTQDVTTVRD DKDERLLRWTFCSFRAQAQVARKALRAEWPGLHIRWGIDPMAVSVNMVPTAMSTMLPL FPQQRTPVAASEVSHTPADDLISFDSDVEEDSDSEEDKSDIYDF NEUTE1DRAFT_134973 MLEGVIVPGLHECHLRWTLCSIRVQAQVARKALRTEWPGLHFRW GIDPMFLPIYMVPDVEITVRLSLPEGEPRMSTVTVHVGEHESVGDLIMFESDSEPAEN IYDA NEUTE1DRAFT_98143 MAIISLLMSPWAPVVLLAGVAFYYLVPYFVTYSALRKIPSPFPA QFTDLWLLSVCRRGNRYQRVDELHKKLGPVVRIQPNHVSICDDAAIPTIYGHGNGFLK SEFYDAFVSIRRGLFNTRDRAEHTRKRKIVSHTFSAKSVQQFEPYMHSNLELFVKQWD SMIKNSKNPDKAAHLDCLEWFNYLAFDVIGDLSFGQPFGMLSSGADMAEIRSSPDAAP IYAPAIEILNRRGEVSATLGIHPALKPFAKYLPDPFFTKGLAAVENLAGIAIACVKSR LDNPPPVTRKDLLQRLMEGRDEKGEPLGRQELTAEALTQLIAGSDTTSNSSCALLFHA VRTPGVMQKLQAELDANIPPEVDVPTYDMVKELPYLEAVINEVLRFHSTSGIGLPRQI PHDAAQGVHIQGYYLPPGTVLSVPTYSIHHSKEIWGPDADEFKPERWERLTSRQKNAF IPFSHGPRSCVGRNVAEMEMKLIVATWARRYEVKLLQDYMDTREGFLRKPLGLKVGLK LRK NEUTE1DRAFT_144301 MDDPWGSPWATADASTDLGHSLTRRRSDLEPPKRALLSASNSPR LPAITAPSPWADAEDGFGGWAAPDGLTTQTTTAQSGWMGGWRSRSPSLAAPSRDNGFR SRSPSLVAPSRENSWRSRSPSLIAPSRDNGFRSRSPSIAASVRDEEFAKSNPIAWPDT IASSNSPIAPVLRQPSPDPWAAEHSHTPHNDDNITPRLVINLPQSIAEEEDDVDKGKL SELNPDWADEEKDACKDGTSEVEKSEPPGAKAETEAEVVQKVSLRISVGSTVNSLLTR STSPSGDDTDHGDERQDSPITSIDDDSHARPRLARKSSSIVQELVEEYDETAQVRSQE PPVIRRAESKSSLSPHHHDVSEDASDFGDFEDAQVKEPPNSQTPEPPSTPEEVQKPSS QHGSSPKTSPTQSMSSHLGHMNELAAKFGALNFDIDLSNVDKMFETSTNMKPLFPYAD VPDHIITDSFNSISERKAWYRISRFGSSRKHNAGDDENYRRVAWPTSTVCQETLQTVR RWMEEDSIAGRVSLGGGVSKTQKNMFGWDSSAEPVTLDAIFGKRTVPTRPSSVQPLQP PSAFGLVDHSPLGSTAVNSLKIQPHRPASLQLPPAATFSWSSDFSASAGKPPLTASLP LTPSQPAQGPFKVTPMDLGISSISATAAAEDNDDDWGEMVSSPSVMKATVGGFPDFNS PVSTLTPTRGPTPGTTTAEYMSQSDHVPVSLTLTSNSGNDTTVPVTSSAADPWASADF SFFESPSQQQTGPTKSSVTPSHPSSMIAASFTPTAPGSSTHSFDLSRVTTPISSASSV IAPHSSMTRNSSPIAAKGTISPAVSSPIARQMTPTQATGDLQQGEPTGDEVTVSSILD GLPDLSYMLR NEUTE1DRAFT_127744 MSLAKVKHIVLVLSGKGGVGKSSVTTQLALSLSLAGHSVGVLDV DLTGPSIPRMFGIEDAKVTQAPGGWLPITVHEADPSAGVGSLRVMSLGFLLPKRGDAV VWRGPKKTAMVRQFLSDVFWDETDYLLIDTPPGTSDEHISLAENLLQKARPGQLAGAV VVTTPQAVATADVRKELNFCTKTNIRVLGVVENMCGFVCPNCSECTNIFMSGGGEVMA NDFGVRFLGRVPIDPQFLVLIETGKRPTYPAGTTVDGKDISTPAGASTSEEEEVKDGS RLVHKYKDCSLAPIFSKITADVISAVQQ NEUTE1DRAFT_57981 MSGLGVPAIPANYTFSPSEGTPYLTINHDVAADLDSTNAFEGPE KLLEVWFAPSAKALPAGVKEGGLKAVNPDCWTPMLDLVHCKVLSIINSENVDSYLLSE SSMFVFPHKIILKTCGTTTLLLGLDLMLRIAAVEAGFPFHNVASLEDPKVAATPLRVF YSRKNFLFPQKQRGPHKSWRDEVTFLDNMFENGSAYMVGKMNGDHWYLYITSPTSSLT PPRTPTSSRGVSPSRRSKIPTGIVAPFGGVIENNADETLEILMTDLDPENAKKFYLEE ATAIAKEKLFSKSEANGDASFDVFSSSGDCDADGQAVLPEALTTEGHALGTVVSEACG LSAVYPTSTYPDARIDAYQFSPCGFSANGVVPAVAGADGTIPKAGHYFTVHVTPEPQC SFASFETNVPGGQNGRQTAEVIEQVVNIFRPGRFSVTLFEAKGALATTPGEDADDSRR SWGAAAKQRMERINGYRRVDRIVHDFEDYDLVFRYYEREDWVGGGGARMGEEF NEUTE1DRAFT_76664 MASLALSIECYVVFRVDPPFLLPWLSPWDARIRPRGFDFEENST SIKVVAMDKFARGFDASILDRESSPTERIRPKTPTHISPPSSPTPSRPGGPRPMMPAK SDSVLSGSTLHDGHSVDGASGSGHTLPKPPLATYQNIEPQRIMPKAPVPQASNHNYSN SSETFTNVPLSPTPYLAQTPQPWSSQPALTQTPSTMASPQAPCPPPPQASNMSYAEAQ QQQQQQQQGHYYQQYPQHPHQYQPYSPPQYHYGPPPQVQHVPVQQPPYYQHQYQYHPS QSSTQWAYPQQPTAVYGTPGPGNVSPMPSTPDYRDADSEPVSPLTRQSSGMSAQVRSM PGTFRDGS NEUTE1DRAFT_120081 MTTTTPTPEEDTRAPSSEAYLARMPLEVLTRITYFVRTPDLGNA RLTCRWLESALFHSFAYEFFRKKQFMLYGPSLQVLVDISKHPGFSPYLKHVIIGADQI LEPHRIQSRQDETKLLNWHLAYADQRTLLASGRARDMLVEAFKNLPNLETVDLRDFHS PSRTRDGDAGWKSYGFNTLSETTGVKHFTGQPRVGEEDYPTLLFTLITHALAAAKARP KGIEVVIRENWAVRDHGFLVPPYLEPDFLPVLAGLKKLHLVLDADLATHRDIEIQYLL FKKFLALTPNLTWLRVNFERSISHYGGKEALLKWLASSLRPGTWSSPGLINADPSRLP PPVEFDHLEQLDIGQLDVSANTLYRLFNKFSSTLKAISLRRVKIQQSSDQQTFDQDDD EQNPLIKLLRSLKKESFPHLRELSLSLLSAEVTSTTSGATLHYPVVGFVNPDEAENYR KGISATGYQNLWGLAQAIGDRTYTGLKLETFLSNPAADIATPGKHITGVPLPGQSDDE SDFDSSDDDDEGSSDDDGSAADD NEUTE1DRAFT_144306 MPTSLTIHLVNTSPTPHIPIHAYITGLAIPSMARVFIQSDGRTT YFPPSPPPGKILQPFPVDCAIPVPPGPHPTVVTIPRIAGGRIWFCQGDKKLKFMLNPG GPGGGAALVEPSVLNPSDPNRDVDFAFCEFTLNDHQLFANISYVDFVPRLPIALTLVE KGTRRLQHVSGMRPDGLERIAQGLRDQAARDGWPWDKLVVHRPGMDRPLRVLAPTHSD AVGAKFDGYFEPLVDRVWERYCHFGKPCKGGHGAVFNTDKPPGPPPGPRPGDAPGDRP PGPPPGPRPGDGPNGAPPRFGLRHVLQKFHGHHHHSHNGHAAAPQQPYYYPGQQQPYY YQGQQQPYYYPGQQPYTPPPPPPPPQVQHQTHHLRINTQAAPGILTGHIPNLPSDPLL VGSEPFHRPTTADIFGCNSGPFTTGPNAVRNAIIPRLAAAFQRSSIIDCEDQPSDVET FYRWHVDGRPTNHYARVVHEWNADGKGYAFAYDDVQRDGGRDQSGKVEGGQVEVWVVE VGGGNIWVG NEUTE1DRAFT_76671 MTNPDPFHNGNDTNALPFPSPRQGWDEDPIATYYLRALNREIQA LKSDYDLACSCHTYVKMAVLSLRSYLQEWEGLMGEIIGCSNSETEGELVSSEGVGYER LKELDEYREVLESMDTPFRPLNLLDSQDLPQLLPSTSLPSRDDAAFDVSCFESGKGGG RVVACRCLVCSPRTSPS NEUTE1DRAFT_107561 MAEPHNTPMPASTPVPASTPRSSSPVPPLPSLAPNASISTISRR LPRQYSNDGRRPIVLHIGDPIKYNLATYTEFSQAFEVIRPSTAERERSEFKKALKEQR WGDFSAIFRPFWGTGSEMGRWDADLISLLPISVKTQKFWVKEVCPAAAEAVADFAVAM VISTFRHLPWCMGAAALPYSLSTPSSSDFMTASVYSIASKTFQACHSLATAVSHNPRN HVLGIIGLGNIGQQIAAKLGSAFGMRIAYYDVVRKPAPLESSLGASYHSSLEKLLAMS DCAVLCTPASADGRPIITTDVLKHIKKGSRFVNVARGSLVDEEALADALEDGRISAAA LDVHAEEPSVSQRLVKMAFGEHDIVRSNGQKEAKSSFDHPGKVMLTCHNAGGTVETHI GFEELSMRNIMAVLGGQKAITPVNMHYLKATE NEUTE1DRAFT_98152 MDTYSQTSGSHSPPMDDSDYTLDSRLRSFRKHFTDIKPPSALRI GPIKPLSLQPKRSTSFPQSPTPDSNPDYSKPSLDSNSNNNTDTSQPLNSLTTLLPPTS TTTSSNTPDDSINLNTNPSTNATNTLTRADIIRHIQLKKFLEAFVRRRKNLKTELEDR LRLLKQEAKNNRRRRQNVFFYPSSATSSTTAANHGNNSNGDINVNGMLDLQKEQRIDG LVEERIRLAHETYEQQLALLINEFVVVGLREEVLRII NEUTE1DRAFT_37385 MVQLIQTTFTFLALVLTLSTAAPLDFTAASTSVLSHSNILTLKS FSGTGQIRTLWDGSSHDDLGCLTASGRWTTDENLCGTFIAVRKDGDSIGATQFTLTSK EAGPCEMSGTTFVCEKGLDGYSFGSLAFLPCDV NEUTE1DRAFT_98154 MSILSPVCGMIVNRNGLWEGALSWLWEEGEYEKKHRCWTTHLNP TFKLTDFKFSALVDFLLWISTSQPPILIQQRDENPVNPSAYLAGLVIHYSEQDQAIIN DFTMSSATGSLLPSQLGLIFTHELMNMSACEARNKWNKLAEASGLSNYVAKILAIALA FAVTVGSGPDQLIRALDQIIKPTVWKEVEREKAMKFVIDTVVPSNKPSDGSHFSLLSL VFSHSHELVLYRVITAQNLTLDRLIISPSTFQLALDDEMQAYAKKGFNVYWNAFVKGP WADDFVRDHIYEKHSVNRYKLPGLAEDKTGHYSRSDIQEWLDSKTILKFYKSVTQRPP NRLSLDSNNSATPNDNLLDMFGSLTLETDSDTGDKGDSKDTS NEUTE1DRAFT_120084 MSSSKPSAHPYSLPDLTKTKLSMEDDWTKVKDRKEKKRIQNRVA QRTYRHRMKARLGELQQKLEYHERNKGTSTYGDAPGVGDSENTSRSPSVQLSTPTYTT DDSPSPRANELMVFDDIHLPNYHSPHVFHTDTSQLFNLSPVTTPLTSQPPRVFTVEQS NITDSGYNSAVVHEYLRLQMQPFDTQHRAADGQANNYALGVSTHGNDSFLVHDSPDID PLLFSSERHGTMTVGYTEEGESKVLNWKAQPPPLATSNPGQAVALTSSVAPDLPETKE TRTSNRTACSTTPAEVNQKAPRQQQQHQPASLPTKRPTLDERMESVMERVETEGFDNF DSLATLYYKAKFGDSSSLAVEQRLSRNRRLPKLFADVFSAAQEWGAREQRPLFDEVLR MSEGMLIGEARGVHSTLHASIGGLARSAKDHETGKSAVPGLKRLMEDNLSNLWALVTA LATENRAVRQRDRSNTVLATILVLQCSGQLPKQSLMALLDACL NEUTE1DRAFT_107566 MRPTSPARFAPPLRAPTVCPRPLFLRAATAVRTSTTKAQHASRL FSCQTARSGLVQNSGAKPKIPYAAFSFEHLGISNKWNKYIMVFFITMGTIETYLWYKY LPAWWEAHSNTATEFEKQRDGPQSHTAN NEUTE1DRAFT_144310 MASSYTMLEEVKSPILPPQPAAVKAAGNFIPHPSQATAPRSEPD EPAALECQLHELSMGPNPLTGIPTFPTQQEHREHILLHMAAVFRNWARMGYTEGISGH ISVRDPEYPDCIWMNPIGKHFGLMNASDMVCLEINTGKIVGGNRTRPVNAPGYHIHSQ IHKARPDVHSICHAHTIAGRAWSAFGKPLDMLHQDICDLYNSIAVDSSYSGIVTAAEE GQRIASVLGKHSKAAILLNHGLLTVGSTVDEASFLFGLLDRSCEIQLRVEAACAGNPE LKKKFVPDEMALFNFRMAGEKNWLYAEAQPDIEYEIEMAGEKIKQGLGKMKVDQK NEUTE1DRAFT_134990 MLTSIVVKQLPRASTRSLRPIPAAITRLQPHRLYHETPHSRASV ETARSLNPTDPFASLPPAWSGPPTTNLKGIRPRLDVTKRVFNPSYYTAVAEIMKLRSK YITNRSIFVEGSDMVPLLLGLGATRADLDALQRVSNNLYSDPTLPFRRSRNGRFCFDF STRSVRRLEFQPFALSVEEDFKRHDSGQIRVFDEVQDELQLNTAFQALLVFKGMICHG VQTTHRPRLDYSSDKWVCTLFNLRTVTTPSILGEPALEGVHTDGVDHTMTTYLGSKNM DLAANSAVTFMHDMNEETGAKYTEIKPQNLRSRVQHRHFLDTLLLVDTENKHSLSPVL PVDETKEATRDMLIFFTRRPVKKGDGGHISENIDSFRPHEELPMEVPLFLPGAIYGKD E NEUTE1DRAFT_76678 MAQKKTIRQQPSDTASKEVTSNPEIYAENMASLRRFLVVSLGNP GEYRDTFHSAGHLVLESFQKKLPEDLGQPSFTSERYGKKAVRASAGSKYTLLQSPTLM NITGPWLARAYKDYLVDHGLSPEEVGLVLVHDDLEEELGVVKVRQWKASHRGHNGIKS VLASLPPIPDAKWARVSIGIGRPDDRDRTTVSDFVLSKIPRHAKGILQDKGGSGLWEA LGKLEIKWNK NEUTE1DRAFT_144312 MSWAGFKKNVNRATTQVMMKTGHVEKTNDRDYEVEERRFKTMEA ASLRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGEAGAKDGVSRSYKQAVEDLDAET IKALDGPYRTTVLDPITRFCAYFPDVNECIKKRGHKLLDYDALRAKVKKLVEKPDKDV TKLPRAEKEMEMAKAAYEQLNEQLCTELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDPDTREQYAQGQLDTRVEQVLQEIRELSISGTV NEUTE1DRAFT_127753 MNITKKFDRAFQWAGEKMGAEQKTTMSEDFKQLEMEMALRFEGM DRLQKSMNLYVKWLGRRCDSYEDKDKSIPTNYLGKTMIGHGEDFAPDSEFGSCLISMG RANERIGQIQETFVSEATTTWLESLERSLAMMKEYQAARKKLESRRLAYDATATKIQK ARRDDFRIEEELRGAKAKYEEASEDVSRRMQDIRDSEVDSVRDLTQFLDAELEYHERC ADELRRVRQSWAGGAISSSNGMSGYGAGLQRRPTASSGDGRSRPSTAQSFTDRLSRTN SRNVYEEQEVETEAPPVRMPIRSAVSRAPLSVQSQNQQVDAGPRPVIGRSNTVASFLG GTNLERERIGGRISGTGSGTTPSGSTYGVNQNVPNVSSLRGQLRPVSRIVTNDNVFAD RDDDNTSDTGSPDNWCTRSASPATSIGSLTRTTSNTVVNNGLGVRKAPPPPPPCRSKK PPPPVPARRDLGSTY NEUTE1DRAFT_76685 MASEDARYRETSQFQAWSFSPAQLAAMREKTNAIARQRIAERML ASASNPPTSNNTSHANTPDPSGHGTPNPTENSTPTLPDFLKPEEEALLVSFYVSELLR AADHLGVPDEVRATATVFFRRFFLTNSIMTYPPQEMILVALFVGSKAEGRFPRIIEFQ QKFNTKQDILAGEFLLCQGNRFNFEVRHPFRALMGATMELRSYGDIDEQRIIAAEKRA HEILLFSPLMTDAYFHYTPSQIMFAALSLADRGLAERLIQETFHFVPPTTNNDNTPAV TPLPGLENPGIGRKEGGSKGITTNDERAAIVGPGIRDKVMGTIEACRNMLSTELPERK SHWTSEAIFKSQIRPVRKKLTKCRDPDRWNLSELQRQRREAGVRRADSEERPAASNGA KDAAIFGGDLSADAPEKKRRKVDGNGLEDPFGGPL NEUTE1DRAFT_76687 MKWSAAAGAALLALPANSAVTAPLPLKLETRSSLNSRLSNIHVE RSASVEGAVSYTYGSCQARREEEAHHSISQPTDAHHDRLVWVIPENVQSDGCISAWSR ANGRLVGRSRPQSFDFKSIKMRRDLKARATKPSDSVAIHMTTDNGINPWGPWFDGVKL LEDKEISALDVEKAKSKNIAIVGAGMSGLMTYLCLTQAGMTNVSIIEGGNRLGGRVHT EYLSGGPFDYSYQEMGPMRFPNTITLGNETYNVSDHQLVFQLAEEMNSLNGHSKNLSV DFIPWYQSNSNGLYYHDGIKNPETGLPPTLAELAANSSLALTRVSNNSTKSLSEKVDA FLPDTDKFFAEMAQNMFKAHADWLSGGLAGLPGDQWSEFGFMVNYLRGSLNDTAFLSA SAHSYWDTLYEGMYFSASTWKTIDGGLNRLPLSFHPLVDNATTLNRRVERVAFDAETQ KVTLHSRNSYKDSFESSEHDYAVIAAPFSIVKKWRFSPALDLTAPTLANAIQNLEYRS ACKVALEFRTRFWEHLPQPIYGSCSTSSDIPGIGSICYPSYNINGTDGPASILASYIS GAEWGDRWVSTPEEEHVQYVLNAMAEIHGEELVKEQYTGKFNRRCWALDPLESASWAS PAVGQHELYLPEYFQTRNNLVFVGEHTSYTHAWIASALESGIRGSVQLLLELGLVDEA KATVDKWMARWIDV NEUTE1DRAFT_127756 MSSGPVETYEGVAGVANNGGNPLLEDLNRYYSAGDFGWIMTCTA LVLLMVPGVGFFYSGLARRKSALALIWLSLMSIAIVGFQWFFWGYSLAFSHTGSAYIG NLSNFGLMKTLAQPSVGSGKIPDLLFCLYQGMFAAITPALAIGACADRGRMLPALVFM FIWTTIVYDPIAYWTWNGNGWSFKMGGLDFAGGTPVHISSGAAALAYSLMLGKRNGYN KVNGLPYRPHNVTHVVLGTVFLWVGWFGFNGGSALAANLRAVMACIVTHIAACVGGLT WVLLDYRLERKWSTVGFCSGAIAGLVAITPAAGYVPPWSAFIFGVVGGISCNFATKLK FLLGIDEALDVFAEHGVGGIVGNLLTGIFAADYIAALDGVSIGDSAIAGGWVNHHYIQ LAYQLADSVAGFSYSFVMTCVILFLLNLVPGLSLRVDHHQEEIGLDDDQLGEFAYDYV EVTRSLDVIPGLTAPNSTAGSISGRDPEKQV NEUTE1DRAFT_98165 MAEPAGQLSSQGAKSAMSNDMKELNEGKEHTSNVIRGHKANLAN PRIGIGIHSDTSEESKKHSEKVIEELGGSGTQESLRNNPVNRSSFWHSGPPHRCIKAL QVMRHERLAARKRSNIMMDSEKYVMNKLGR NEUTE1DRAFT_76695 MLTTTLTTALAALLLPFSSASPIAVRQDNTTTTTPPTNQTSCGA TSFGNFSWALSAFDYHASYIYTNPAHQNSWGYVNFNLTNPAIGPSVTTVCSASSNQLN DFFYGTVQYTCINTPAGVKSDVGKTKFDFNRPTGELRFNQSWTCRDGDPKYPTTFTGY AAVKLHLNCTEARWQNRSWKQGQIYSTRDVRCSPVNLSVDPKEMTAMA NEUTE1DRAFT_120093 MAAGIVAGQSTSRGQQGRTREKGVHAFYSGSTMLRCLMWHCRPG SSAAARNGLSTEWMSGRSFIPEGRREVRERPNGCCRVTGSSAVYHDGGWRLWLKKWKA LPGTGWQRHNTTMNQNE NEUTE1DRAFT_120094 MHWSLLTALALVAPSTALIRFGCSQLTVQRVDPLVNPGISPSPH LHQIIGGNAFNISMDPREDIGEKATCTTCQFSEDFSNYWTAVLFFRARNGSYHRVPQV PNIGFEGSNGGMTVYYMQDGLANYQQTSKVTAFKQGFRMLIGEASYRSKQQATRFRQI TYTCLQDLGTRFPESMNFPTKPCPAGIMANVRFPTCWDGKNLDAPDHMSHMAYPESGT FESGGPCPASHPVRVPQLMYETIWDTSKFNEKELWPEDGSQPFVWSMGDHTGFGSHGD YIFGWKGDSLQGAMDSACYVNCPTLKTQSVSAMNKCKYNEVLVDEPIDGWLDSIPGNP MEEMGKK NEUTE1DRAFT_36610 MSSSSSSAQPELPSGISRCCVTGFGWSGTPTGTALSAGSIPGTT NAVYKTGTNPRVAILIIHDIFGWTFPNVRLLADHYAAEANATVFVPDFFGGFVCPPEL VLEERWAEIDIARFMKENAREVREPEIFAFAKALKSAEGGGFEMVGAVGYCYGGWAIF RLAAAEHEEGSGDSEGNGKKLVDAVTTGHPTFVTKEDIERVSKNVPVQVLAPEFDPRY TEELKTHTFVTLQKLGVPFEYSHFPGVHHGCFIRGDERKEGEKEAMVRGKNAAVAWMK QWLHGN NEUTE1DRAFT_115951 MSSQDKKPLRQVAAEKVNGPNANPSQLGDPISLKAETNQNPPDN VEYAPEGAEVSPSSSSSRSPSNKSLSASHANRTKAYGVPRNDPTDASPGGARTASGKR IPLEGDPTSLEREQVVDDTKGKGKGRRGSKL NEUTE1DRAFT_58018 MPPLARRWGAALLIACVTCFMLFTSHRNLELARLNFSSSSSSSA DAGPDSDWGSPPVSIRDRLQKPPQHPVTKMRQLPTGPPSRLPTVQTTFGPESDNDRKV RLERQAAVKDAFLRCWSSYRTKAWMSDELEPVNGGRKDTFGGWGATLVDSLDTLWIMG LKDQFYEAVAAAANISFETTSRAEINVFETNIRYLGGFLAAYDLSEDKRLLQKAKETR WNFHDASRGERQVAHDAVLLAEIGSFSLEFIRLSMLTGDPKWFDAVQNIMEALQKQQQ KTRLPGMWPVVVDARRMDFGRHNHYTLGAMADSLYEYLPKTHALVGGLLPMYADMYNA AMDTAIRYNLFRPMVPDQKDILMTGMVLVGSENGKRVTQMRPEGQHLACFTGGMLALG GKLVQNDTHIHKGEQLMDGCVWAYKSMPLGIMPEVFHVLPCDSTDHCPWDEEKWKLAV VEAAGWGLSENKENDLHKANRIINHKRLPQGFVSIPDARYILRPEAIESVFLLYRMTG RRDLPDSAWAMFQAIDSNTRTELANSALLDVTVKGGKPPMIHSMESFWLGETLKYFYL MFSEPDLINLDKFVLNTEAHPFKRLIP NEUTE1DRAFT_36391 MCQALLRDEHLLLLIFGQVTHQADYLSLRQTCRSFEAVASQYLF RQVAVSPVGNDLATFLRVAYSPRLSRHVQELIWLELDCPIVEANRLQQWPFPPILVPP WDQTISRENSLFWHSYSPLDVPSGNGEERPYSVHDGLLPVFLEAIARMPKLRTFTSTS MDFEPFHLRCGREITMARSRDLQSQRWKCASRPPPIVPREDSRASDVFLKGTELYVLP ALAQRNAVGNRWLQLHWPNTTYFEHKVPQIPLQLTHVPPISEALVEFTFSIGMVDCPP GGELGRRQRHLEMQYKLLACLANARNLRTLRLTAYQRFPAASSCAKKAGDHYFFDLLV GQEAGPNSGEIYLPHVNSLSLESIMYSQASLEKFIARHADTLRVLKLSDCRLEFDTVK RLATLGLQLNKFEVTSVRWMIQDIRRTRDGPYRSLGGRWTRVFEFITPEGLLGFVNDN TTPEAQWANLEPTRFGRIPYQAYFNFLVTTEDVRGLHRVQGVDGHIAYGDEFERCWEN LVQEGKGIDFRQPSHPEIVRCLGDEHGEGLYYPDDGAVIYPTGETPEFVTSDPTLLER LKLRALELSLSPEHAEEVQRLMESCKREQAEFGNECSF NEUTE1DRAFT_144321 MAPGILVEEAQSTADAAPSVFKHKNAYRNQNAPRDIFPDGIRTS GQHPPLYDVLKPYSEFPKEITGPTVWKREDFVNNPERWVHPFTDEEVQELSDTADAFI ASGTPLTGISQENFPLPKLGKVLTNFRDDLLNGKGFILFKRFPADVWGAEKNAVAYMG LGTYLGYFLSQNGRGHVLGHVKDVGDDPTQIHTVRIYRTTARQFFHADDGDIVGLLCV HRAQEGGESDIVSVHHVWNTLQQEHPDVAELLTKPIWYFDRKGEVSEGQQEWVRQPVV YLENGGKGRLYCKWDPYYVKSLTRFSDKGLIPALSEEQLRAMQILEETCQRLALHMIL EVGDIQFLSNAHLLHARTAYKDFAPPAPRRHLLRLWLATPESEGGWALPMPDSDEKKR GGIQVNNTPPKAPLDAE NEUTE1DRAFT_35704 EHCIDNLRQTTMCKSNISTIPWIYIGRVHANFPSAKTTHICRDF DKLTK NEUTE1DRAFT_135005 MCYTYVPTSQLRDTNIRITYNPRRAVESTEKLDTEQLNTPKIQK DIKDSSDSWIRGGYITELEVYHELHSSDLVRKHFYPDGIGGDRDMTEQQRREY NEUTE1DRAFT_36589 AFVVGWFHLPFLACHVILLPIINLSTMSTPPLTDTSAVSQAPNS ASPTASLTQTIPHTTDWAVFKGNCHCGRYRFEYSLLKSYRLVDIAVRCTCTLCSMKGY IWLPCPSTPDEAKLTWTRDHGPLIKYESPLVADQFCSNCGTGLVGMHSHGPLKGLLLV NVRAFQGVSIFFLESLVKTANLEDEVPRELLPWSPDVMYHGRCHCGTVRFELMRTGRN PEELWPVREDNCSSCVRVRRTLYLYAAIDSY NEUTE1DRAFT_34669 MSPQPPVPINFTGRARALASRSWKVFRVNWHLGFTAFGGPPVHF RIFNDKFVQKTKWIDEQVYQELFSVSQALSGPGSTKMLYCINLIQDGFLAAVFSFLLW SLPGAIGMYALAVGVSKIDETLPGPVYALLSGLNAGTVGVIALAAVELSEKAITDRLT RILVFLGATAGMLYNALWYFPILMLASGIATLVHDLRWMHQPISAIVGFGTNLKVKLI RAWPFGKWPGSTSDADNDNNAAGQGRRNSADDEENIEMSSVAKATATASSSSGTRPRA GQQSQMSDLPVTEQEASMSSSTAESEPRIIPSEFRLDFSWKIGTAIVACFFATFVAVM VTRGVFPNPPGLPLLYHLFVNMYLAGTIIFGGGPVVIPLLREYVVAEGWVNPRDFLIG LALIQAFPGPNFNFAVFLGALTAIGSGNNPVAGAVLAWVGIFSPGLILVHGTMGIWSA VRSKRWVKAVLRGVNASAVGLIYTAVYRIWCVGYIDEGFQYGKSLGADPWWVVVTATS YVGGRWFNLKAPVAIILGAIMGLIRYGVVLKPATASNTAGDNRDDRRGADATQNSRNL QQSLARSSVTGAFDGFAS NEUTE1DRAFT_107585 MSSNHSAPKEYLTLYRDGSLGSALIEAMDELILAGRFPIIPGAA LDHPINLTTEPTGSEAGDPIDITGTTTTTEPSSPIISMKTSTSTHASSSSSSTTPRDL RPQLQSQPQQQRQQTLASLTHKLTDHVLTTFDRVIAQTLATHDEVINKIPVIKMKGKL KQYRLVDDIWRLWVEDVEIAVGSEKRRRRRQRPGNVKADLRDGGSGGGEGKGELGAKR KRDEHEDGDSEERAEKRSRGDGGNGIEQGKKDDDGKGLEVIRVNKLLIVACDGREPDA GKKQKKRGG NEUTE1DRAFT_76708 MDLRPNHQFDPWSADAERFDGPGINFDDIDFNDPASIFKALGGG GPGGLPIPESQSPDSVRRTAKERRDSIFASYAKLHDILERHEDTIQKRWAKKKKEQRL QILLKAWPNMAPNHRPDFEAFLKESEQQRMSGTKYKDWFMWPYINQEDLSRPRLLPLL LNSRGRHHPCTFAAIDATNTKFAFVTKAVVPIFLNEHTMILNGVDEKHPDDYGKLLSW DEHPSNFELAMSQRQFLPGEGLLVLEVQDRLLSFLIKCCTEILHEIPKDKLLSSSYPV QPEPHMKEESETTGFDSLAVLAAEAPYRVPAKLDFGRIESLLGAQAAASEDHLWSLRE DPNYFVDKALELQEHRPETLKDRWGKSYPVNEEVLWSRILSTLVKTAYMDVEVYNELH RQAQELQGLQEKYAKAISVKDDLPKEYLKALLKFRFYLYQATKGVLGELKQTVMSSPP MRYLSIRAQGSLRDLNDVAVAFNPNHKLTPVEKRLVWLLRTLWEDGMDLFLASQPIIV DELERLLETEKEASRMISSYVAGLIGQLSIITQCMRQLDCYQPWARSFESLNIDHEDN IKAAFAETSKPWAAIVRATSEKQGRFHSLVRLGKPASRRFFYPVDKRRTKENVEALRS AEGNLDEFWKGVDRIMYKDANNLENTFVRRLLTQWRSLQRTPEWVESPAPSADDKGAK TTVADVDELIVPFSSFFFGLAAPKSEPTPSPKIKVKTRGTTNASTEPSTPEQTPTPDV TGDKQPTFAVDARSIKVFRTLFYNPNITSSPGEIPWNDFLHAMTSTGFMAEKLYGSVW QFRPTSLDVERAIQFHEPHPKGKLTFMMARRIGRRLSRAYGWMGSMFVSEK NEUTE1DRAFT_98177 MRFIILLSLLTALIAASPIRSPRSPNTALAPINKRFEASVPWIW RPKKADFEQFEDEGDETATESNADANAVINKRNNGPWHWQTSKEISGQFKDGAADVAA GHALDKAPGAAEGAKGGQK NEUTE1DRAFT_35848 MQYEKVPDVESSSESLLHSISTPDRSEQHRCIRREPKYTRAWRL MRDEWPRPARIVLAINVALSVLILCLYLHALWRIHSSPFYQAPRPPYSPLLEDDVIEY ENKRFHPDRIFQEDPSPSVDKAWESILGPSDGIVRLPLVTSQKLSYPSSEIYYAPGSY IYGVSMFHQLHCLDLIRRSFWRGHYFPNTSEAEYHDHRAHCLDFLRQAIMCNGDVQMT YWWNKTYTYVDEDTKEERYTEEYLRMDKKERAYGTALLWDVEHQCRSFERIQEWTRKH QLRDEEYWREAPNFRKAEE NEUTE1DRAFT_98179 MKVLAPLVLASAASAHTIFSSLEVNGVNQGLGEGVRVPTYNGPI EDVTSASIACNGSPNTVASTSKVIIVQAGTNVTAIWRYMLSTTGDSPADVMDSSHKGP TIAYLKKVDNAATASGVGNGWFKIQQDGMDSSGVWGTERVINGKGRHSIKIPECIAPG QYLLRAEMIALHAASNYPGAQFYMECAQLNVVGGTGAKTPSTVSFPGAYSGSDPGVKI SIYWPPVTSYTVPGPSVFTC NEUTE1DRAFT_76711 MKPKRTLPTIVLATTSPTASAQTAESGQRTPTLTETLQALNDSL STLNSYIQTQQFLFAAINGTSGITILAPSNDAMAGLFGGGSGGGEAVTMDDLNNSDPQ LVEAFWNYHVLRGVWWTSNLTAGTGGGGTGGGGSSAAVAAKRRRKKRDDSVDGDGSGI DGNSLFIPTLLAPDITPYANVSGGQRVIARSSSSSDGGGEPVVTFYSGISGSGGSQQI TKSTITQANFNFSGGTIHIIDHVLSLPSRLNDTLASFNPFSSSNNQDAEISSSASSLL SLTASVGALSRANLTDVFNTKQDITIFVPSNDAWNAVGSVVSDMDEDELADIMGYHVL KGQKGKVFYSDLLMQNDDSDGETGGSEGGEEFEKDYETVEGGTVKVRVVDGSTSTEDG TNAEDDDDDDETSGSGKQVFVNGARVVKSDVLVENGVVHVVDA NEUTE1DRAFT_135014 MSYEHRCLMRRRLELYHDLLTEQLRPRIEAAAAAATENENPDSG TDQYIDDDTDNTDDTDDSDEDLCDWDHAENKSSEESYARVTQWRCGLERNEVCETGAP NHCGQTEEGTGQVDLRQQDNKYEACLTAGVRHIEQARSGTAADMSVQNLGGPLAPLLA TLPLNPMAKNEEQGQADDGETASSSFETTEREASNIALPDQGNSSSEASKDGSPDKFT ASPSSSCRESSVTASPVPKNKGNDPTSLLRQSNLINQSQPITTTLKLSQSPRRSKSLP PLNFFPSRCPPTPPPDTSSSTSTYSPLRFTVTPAQLEFFYKHIHEVEYMIRGIDYQFQ MHEYRDEVRRQNRRRRIRTEVEERMRIIEWNNDIPGEDWGSVAGMDEIELVEEAAEEA RHQSWENNTVVTEWDTGGLEGNQPADTEGEGDDDPSSYEPGGVGDGCATDGHGEEDGS VWADGAGDENAAAAAEGTSSDETGWNCAWSSTCLESEKKTQPHQPTKSSSLRKGYTPD DMVWEEAVAEAEYLKSFGHQFKKDKRGRRYWRL NEUTE1DRAFT_120100 MPSSEGPPPLSRTRTGSATTDPADLGTGNPHSLHQAVYARREEY TRPHRIRVKVGTWNVAACPGTDKDLASWFVDGKGIDTTLATLDLNRNAAIEGDTDGED GVHILGRDKIGLYVLGLQEVVDLNTASQYVSRVYSGDEGVTQKWKTALDTALPPGYKL VASEHMTGLLLLIYASPEVVPTISNVSTVSVGTGLLGYMGNKGAVVTRIILGESTRLV FVNCHLASGIEATYNERRIWDVGQILGRTRFKPVSFAGVSEDYEEKIGDEDFTFWFGD LNFRLDGLPGDDIRHLLMLHTKGEYGAPRPKFRRDNSLEGEPIIVHADKSSDAGDETN DGNSTTTHSTGDTKATDYDSDSDLDALPDPDDFLPDPHDDPTSLQATLDSLLPHDQLT RLIKQKKVFHDGWHEGTISFLPSYKYDVGTVGLFDSSEKRRAPSWCDRILYRTRKDKE AYDKKIKEAEDARKKDEEMKARGIDQAGDDDEVLFDYDPDNDGDDDHSPDVSRLEYDE YDEGEEGDNQHDRDDWVDRIRQDIYTSHQRITSSDHKPIISIFTLNYDAVVPDLKAKV HAEVAWELDRAENEGRPSLTVVNDSRDTRGPGRPGGSQDSAEELVDFGEVRFLQKQSA TLTLANTGRVACTFSFVEKPSPAKEDGPILPPWLKTSFISSEPAIDDSHLPDLGKEVT LEPGETVRAQLQVHINHVEQARVLNSGRDRLEEVLILRVADGRDHFIPVRGVWMPTCI GRSIDELIRVPEGGLRKFVHSLTAKNSGKVMSVPEEMDVHCAAPRELFKLTEALESVT ERVLADEQMLEKYKVPRASGWPFEEDTWRVTDKSLRASRAADLIDALDEGMTHIVDAF SAEVPAVERIETVAEVLKLFLRGLTDGIITTSLWSRIEQASLVSLGQGAAASSKIPPQ ETAYEQDKGTVLDILSTAPNHNISFVFLTATLAKVTTDLSPITKSDLELLKSDAPVRL SMLNRRSLTAGLVKRNTSSGSSGQAMAAMAARQAKERSFAEVFGELVCRAPVQKGMKD KERKAVEEKQQAVLELFLRRRGD NEUTE1DRAFT_76716 MSGRPTPRKGRSRNNHSYNNGGSRESGPRYAQQSDYDSDVVNTS YAAQPRDAENRMYDPKNYVPEVDESATYVHTTTRTNYELNMSVLKRYVSGLRAILLTC SFVRLFEWSSTTNSWELRDVEGPMFLCECDPIVLPTGHELPQVNLFVLNRRSMENLTI NLSKVEMYEATNEKFLSLKMEADEAGPGRALGFHLHSNEEKGTGDSQGQFLMEYPDWS LIQAQWEKARAALSAVNNQVVGAQYEQGVPNNGAAVADYAQAPAPWASLGAFVKGMGE VDNTIMTPTSFSRAPKPMSQSSLIDLPEHLAQAAQTQIDLIASCRRWLNNNTPTGCTA SMWREVNAKLVAFVAEYKIRIEDLKLKYFNEEPFQTRNENQDSAIPDNAWKLQVHESK SVEPVLIITTGGDNQTVEVKFKTRDQLESVMRDEYFGYLTKVFQAFEQAEKDLIQLFN MSRKIEEEKAALSQPPEETVQTHIELLKEYNDMKDIGQQLIGLIADNKGVSIGALYED GQYGVTADD NEUTE1DRAFT_115953 MVQLLSAFVSLLSVVAVSGAAIPAPAPEAVADVAPETATVEPTG NFTAQACMYTCGSVCYSSSAISAALNKGYSYYEDGATAGSSSYPHRYNNYEGFNFPTA KPWYEFPILSSGRVYTGGSPGADRVIFDSYGNLDMLITHNGASGNNFVACN NEUTE1DRAFT_120103 MGPWPKPPSSKWKTPSPPMDYLYAPHPQARPPLHSAHSTGSFSR ISTVSDLTEFDNVQPRPSLVSLQSDYDNGGSNHQGYDAKRESGHVYTGAQTGWSALVN LPSLGGGGARNSSYEPVQGQFSEHSSLNQHQTPPFERNRHGQGRSFAARAAHLNRRPD AIPEEDGIDLGLIQSAAPLGGSPAPAPVPYLRVEPVFDVSAALGPSTDADDKFIRSLQ EQEAQGKLTGGLGAGIRTDAVVTESALLATSPISDRGSSMRRSFTRAAARLSRHDTVK QLAQQEANKRGKVIEVVMDDDVVSNLGKFDLSVMAGPDGESKSPYGQMRQTTFPSMKR TEKHVFYPTPDWKPFSMRWPYLVSLIIVSIVAAGGVEVLYQRSAKQPLVVFKSPTDIT PTAYFCVKFLPTLIAVTYGVLWQITNFQVMRLEAFYQLSKEDGSLAAESINVDYFSFF NLFRPIRALHYKHYAVAVSTIASLLANALVPTLCSACIVLSPDRDTRLRNPNDEKRIS IHAVWPRILTLTLVTIAVFGCVLFYQLQSRRSGLLADVKGIAGLASMATVSHILMDFK DMDVATHKDIHNKLKNHRYVLRNSALAPADEVYNKPLSKKEQDRERYTGSHLDENPHP LSLRAAGAIPFITFITLFSVLIPCVLFTPANVLADRAPWVITALAVCLKLSWGAMETD VRMMEPYYILSTKRHAPPKTLTLDYRSMPFGGWVALKGAMNGHWLVSFVGFGSVMAEF LTVLATSLASVEGRVFVKATKEALLLNGRSEFIEAGDDKNDTNSINAWQETPLSFWIS LCLADFVLLYMGVMATIVFVRRRRVFLPRQPNTIASVLAYIHQSKMLYDFVGTNKLSD AEMVKKLEDQVGKTYGLGWFQGRDGQIHCGVDEEELLTGYRRGMDYSKATKPWAEGVE WL NEUTE1DRAFT_37281 MPTPSLGRSNVANIDFQRAFELLLVLRAEALRTSLWGFLALPDD LRPSDWVTETERILEAAREVEERLDFIAVIMAAVTRRIEFIEQLT NEUTE1DRAFT_98186 MVNSLLAWTEDELQYLKAVLRWKDSPSEEYKRLSDSGANDALSN LDPHNSTSPQEPKQEEIKILILGAEGVGKTTLVQKFSKTHRHPSTSKPNRYLLPLPSD SSSSSENSTAYTLHTLELPHPWSTTTRTTHPHLWTRTLTEYDGALILYSVTDPSSLQH AISIASAICEHFASLPQFSENLVPFNKPIGRGSKAHYLHLKKTTRNVVREFAMVMVGN KNDVDILGGAAIAATAAAAAGSLSGLGLGLSEIGERKVSTKEGKEAAKKLGLGESWFE TSAGVTGENVDAVFVQVAREAVRNRKEYKEKKGEIERGGSKGLLKRDSEVGSTATRSK YDSAPGKSL NEUTE1DRAFT_58041 MLPNLRPSLGVASTVIGQRTGLAAVVGIQAVAATSGAFRTCARL STALSPTAVTSQASRSIIHDNPTFQQPRAASPTFTPTPTPWRPTTTRLPPITGPPPWA QQRRFASDWWNRSFNERADPYGVHRRYADPLFTNQDLKRAARHQNTKYLLVFCVAGTV IFYFINLETVPVSGRTRFNIYSRKLVLEAGELSFRRLLYDLQKQGVAILPDWDWRTQR VKRVMAKLIPFSGMKDEKWEIFVIDDPHKANAFVLPGGKVFVFSGILNLARTDSQLAT VLGHEIAHNVADHVGERMSQTLGLNILLYSLVVLCGALGLGAIIAQFAGAWALDTAIS NPMSRKQESEADYIGLMMMAEACYDPREAVTFWEKMERMSKGEVPEWMSTHPSHSNRI EKILEWLPEALEKRERSDCRTTSSFADLFRQALLNGAIEIRQY NEUTE1DRAFT_120105 MAYTTPNPLAVEPMSNEVDTTIPDSPSSLPQTPRNTKSSWVARN RNKLLRRIALFKSPKMEAPMIASTSPTPTDNQHIGTEYVSTQHEEAPRSVSPDSALIG MGHDGTHGEGLQSPPPPPPPASNPQYQYQNVPSPSSYPQFPKEADLGIYATYPATFSG GSNSYSPPPMGMYGVLPTTPQVINFQNWGQADDVQCLISSPQTPFTPFGQPPFAQPVY GQAGQGQPPYAQPPFECSSQRGPYRDKVSWLGITLWSLSIFSTIGSGIWLGVALIQPK WGKTVSSAHGALPPSTASLLTALIAKLIETSFVAVFVASVGQILTKKAFDVGNRGVTL GEFGMKNWVVKVGFTPLGVLCMLATLVAVLYTTASDALVAPKLKWGKPEMIVMKNYAM TSYGNVQFVKQSCHLPDGLLADDQSQDSCLAASLSDFGRNNTKPEGRYMSNRPPVTAT LYDNVTVTGTWINTEHSDPMASYEKYKRTINNVTLAVPHPGVYDAATDPVNEILQPSD LSGVGEYRLAASAVSPALNVLCVGMTEEELAPLVYTTWPHANLTDAELPGEKTGGLNW LDDVPPSSESEWVNGTVLNATVVDDIFRWGKGYQRRPPVFQRFPSEYNVIINATVASS NDWTHKSGSVYTLVNNNATDDYTLCEIRSWLSINCSTHLNISGTTGAHMSANCEDEHD PVSYRKSVPGAVELDESDWKWLAQSWQLAIYMNSGLTTLNSSRDRILTALPLEDHHLP NRVPSLAEVLAALVSPTLISGSIKTPVVHYWHPETTPVVLPGWENNFNASITKQEYTS GSAMDWQRAFLTILIMGFLLNLFCLVHLFIQLRGRPLTDFTDPANLFVLAINSPPSQA LSGTCGGGPTGYQLDVPWRIGYSEQSNHYFFEEATEKRQRLKRKKAIGRRDMVTSEME LVDFKDGDIKAKMDDPRQSYVKLSNHKSWL NEUTE1DRAFT_120106 MRFILFLLAGATHLALSTAKQNCPLYGPLFPKPTNLLQNAAIQA AAKSLDEIFLKYIDHDNTTGADHFSYAVEVFSGSEDTPLWSHYWTAPNLEVFNSTGVS KVDTNTVFRVGSITKIFTVLAFLATVGDRVWNDPVTKHLPELARLAEKTPGGSMTVPD WEEVTLGSLASQTSGLIRDFPLEHLYKIGFPPLPLEEFPPCGTLPTCNRDQLFAGLGK LPPSFPTSSTPAYSDISFALLGYVAERITGKPFKTLVQDSVLTPLNLTHTFMSAPDDS LGIIPGNRHSTSWAFDLAEEAATGNMYTSAGDMSSLGRAIMRSTLLKPAVTRRWLKPS VFTSDAKSAVGMPWGIRQLDIGSNQSFQITHAFNKLGSLGAYTSLLAIIPDLDIGFSI LAAGDPPPGLAMDIADTLTTTYLSTMTYVARTEADRMFSGQYRYMGNITVAVPNFPLS NSSNSTPPKYTNGTIVERKRLNSSLTISTSPSTPGLVLENWISNSTDMSFYAVAIGSN ITDEYLNKIKPSVRLYPAGFSEILPDGKGKRVAFKAVFEDLSLPERKPGLQMGTDCAT WVGVTGVVYASRPLDLFVFEVDGEGKVKGVENGALRVWLDKVG NEUTE1DRAFT_120107 MKDVWKTSTLQFWTLLASAPWPLGCPVAAENLEVFPFMGFLGGG MSWPWSAPKGAVQSCQPKKKKKRICGCLMTKQPKIGLCAFQEAAKACRDEDVYSGLAL YNLSRWHSSTVSLDAGGNSSKMLRRYLADCTCGVQKAGTPLVGPHLISPGLHNAGATF PPHLCLVELRPQLRSPSPSSIVPLPLPASSPPFFQKYNLSPSIPILCNLLPSLGSFPT LTGRTETKPDPHCRDETSHPSAPFASSATNPRFSSTRSFQLWKRDTDQRSIWESSFDN QLSSTLHRNQQTRKSPKPNKMRFSITRPLAALASIAVLVSPVFGEQKLASQALKTCQA NSGFSASLFNVVYTPESGRANVDIVAVSTIQGKIIFDVAISAYGYEIITAQVDPCSSG LLGLCPMTAGKIPLNFTLPVDKNAVAQIPSIAYNFPDIDAKVRVRINMTDGDEAGQTV ACVEAEITNGKTVDLAGVKWATAIVATFALTSSAFVSGLGHHNAASHVAANALSLFGY FQAQAMLGLTGVPLPPAVMGWTQDFQWSMGIIKVNFMQDIFTWYQRATGGTPSMLFDS LATVSVQVQKRALDVADYGADLARRSISYMPRTISEPMEQAMKHELLKRGNIQTDTGS YVVYGIQRAAFRAHIESTNLFMTCLIFFCVLVVITILCVAGWKGYCELAAQKGWMKHD TFLDFRNGWFTVLKGILFRITLIGFPAMTVMCMWEFTQNDSPAEMVLALFFFLGMLVT LGWAAFKVIRIARRSVVMHQNPAYILFSDPQALNKWGFLYVQFRASAYYFIVPVLGYT ILKGLFVAFGQNNGTAQAVGFLIIEAAALIAATVMRPWMDRKTNWFNIAICVMNFINA IFLLMFTEVFNQPPLVTGVIGLILWITNSIFSLVYLLMLICSTIFVFFRENPDNRYQF MADDRTFFMKSQTHLTTTTELDALAATARGDKSGFKGLDLDDDAESMSSDSLRRQTEL QPGMPAGGAGLHFTNAPPKSPVNPAMPLFPSEGRNTQGPQYGEKPGMNFDPRGPSPSP YGGPQGGNATLTPQYRALNNASPWQRGAGYEH NEUTE1DRAFT_36699 MTFPEMLQNSSTPPKFISWVCWINPTRTAASKMHESQKVISHSP ISPPILSSQSTLHPLKPSPTKDLHADQTLVSARAVGVQGVSSLCLFSAGQALPPLD NEUTE1DRAFT_135026 MASVQGMSFAVGDLPLGENKGGDNAFCWQDAVTDDVGSADFFDQ FVVLDDHGPNHSPSPPFTGEEGGAEGGGDGRFENMCREAHESSLSPPLTSLSCPPFDH HRSAEQSLGVGDHGRASSAANNSASGRLARFSSANFPLQHRQVRAGSHVDLSNMDCQY SEQHQLLVAGQRELSSILGTSYQEGPGSGGSISDSELRRLEGLTVNGSSSPRIHLPPS SASVPPSPHLQPGSASTSPRKSRLEAFYTKLRHKAANLHGNKQKQHPATTAVPAVTSS TPSTMGTSPNKMTARPRPHNLSLVRPEMPLSPPLTGQMASEQNSNNDSSHAHLFNTNF IDDPFMDISSNLSLPMHTPIQAPHQQHQSIPAPVTTAADNSWQFSVSGPPPTTPANPS TLHAVGGVSSSACELHPLYISTLNDSNWWDQAVEDAMDTDPDPSSSFHMDTNASNTRE ATLNLQMALQQLHDQGGLDDHHFGLDAAGLVLHMPQPTSSRVSGGNLLHHNQQQQQPN QSQAQAQAYAAAQLMLAQQQYISNGGGNPESLPSQSSSSTSTTTAGGSGGGRSRRPKP RAPSSGASRLPSYQTSPRKVRAVSRSSRESSPTRGGQQPNSGSLAVAGADSASGSGRQ RLHRRSASMQNINHHSTTTTSAAGVGLGLMSPAAVRKRKSFTGRGHASSASMSGSRLS HAASHGDLGASASAFAAAIGASGHRVVPEGSGTGSSRSRGLTSSASTSSLPNSSGRFT PLNMNMNMNMNMNGGFHPHHRGVMGYPPMPPLPAHLQAHHGPGASSLSPPQHHHHHPS KSFSLHPSSSSRHSPSKSKPRSSSHSKVSPSSSSATATAAAAAAARGGGGGIGFVNFT PLDHHTLMSGVAPSGSSKTKARREREAAEQRRKLSEAMVKVVEAAGGDVRKLGELGEL HVGELPVGLGEFQEVANGQGSGGGSFREAMRFGNGNGN NEUTE1DRAFT_127773 MFDPNYSMEDQGQPVAAEQPTNTRDDDNHTAPDVDGFLLDGIPI GHHVRMQEQLRSQQRNYVRRHHVARRRQRPPSHHKVQKRPSLTSTPSTSWSMTSAART TSATSTASAPASTSASASASTAAPVPSFQPSPLATEPAPQSASPSARHVVDKLAHELS VQNLQREALQLEAKSFVQQQQQQQPSQEQEQQQQEPLSPPTAMSLVPDPATTTTADHQ SWAGIGSLEVDDGIEMDDAFELEDANLQSLLPPSFRKNDYSSSATSSIPNASSSSSGN TLESRRQRPEKRQSEHKAHTQSRSIQALVEEKVETGTQCNVRSAPLPTPSAPVLSKLD DLNVDHGFDFASMELEVDERYRDGTVDVLEEERALLESIQVRRAGSGSAGIRKHMVEG SLPLHYQLSAEAAMRCATVVRSRPRMRRRKEHGTGSVTSSVAYSTNSSPMAQPVQPSE QLPSRYVPAIPSSSYRTPP NEUTE1DRAFT_36607 MKNHHHHHHHLLKPKPIISQVDESMVLEDGDGSRLVDGRDLTPP WTQCVKARVEEIRRSSLASQSDCGAAKSRDEIQVQ NEUTE1DRAFT_98195 MTPELGYAWHTHSSATPGIVKIPVMFAGLMVQAEGLGEIERERG WGLSYRVRKPGGFTVVDKLIPVIDDQVGRAQAINRNTCTGSGETVENRWLSVGPAVWS PVHGLWISWSFINSTSIVMTFGNPQM NEUTE1DRAFT_144335 MRTNREFRRRKRNEQDDKGTTEVTSREDTLPAENTLPAEKHISE SAGDTSEPQSVEIVWPAFNHPATTSRPTKVFSLHNRVYCDCSKYFSTSLSPRNRDVFV EAQTGHVVFNGNDDDDDDDDDEPDDFYLWAVWLYVCSGCKHEFQDDHRCRPSRPESGR TASGSSESAARAGEYLYASHKPYGLPQPPVCKSLSNRIAEEEGEGEGDHTRYGGHEDE RRRRNCCGNGGNLSSKVTGDPNAPLEAAAAYMFGYRLCCRLRGRGLHNHRGWLAPDLM LNFAADSFTQDKHYLIQLYGKILGIVRRPGAFSGVVPVPEVGMVNRVDDAARNTKSVR VISVG NEUTE1DRAFT_76733 MSQAFRFPAGPAKIRTLYIRVKPAPTNLAERRAVLRALSQHGNI EMFKQLYDSSSFISVASSQNVAASIVRRSPLQFDVLARNSFDPRVPDSIRLPPPIDTS TAVSLPSVAATGTITNSNSKPGQTDLNSRQLPQCPSSSKLPTLPERDPTALVTKTFVL EAFHAPEYPHKEHIRMSPLYGPWPRDDSDPSSYDTPTSPNRLTLTSAALRASVPQDMA YTGLHDWESAGQDAIDVEEAAAIEEGISADSATMVRTWRAFWRERVDKGDTLQYKTGT KGDMGSAYSHIMKRKMKQENKKNLQKMLSI NEUTE1DRAFT_98197 MPFACRLLGLTSLTFLLFTTLITAEFTNSFTSLSYGLAVRLTWD TTTALVPPGFYPLFITAQLIDNSETDDGSGDTGTVTVYRMNITTEINSSSYNAFEWTG IPSPLRWSPEGLYQVELHGSADGTPLAKSPFFTLGQPPYASGTEGSKPSTSPGDEVCC LVLRLASYRRDNSAD NEUTE1DRAFT_144337 MGFVAGVTGGATLTLGLTYLAISAHQRNRTHQSDLLRAQTRFVN TLARDTLPTNLRTRYPINDEDLAYFPPPRSELVERARHRFVESVKDRWNQEIQNAVRW AQTKDWSSVREKAEDAVASLFGYTIDRSEYAAVKAKEAAKEAARIAAERVRYAAEEGK ETGKIMAREAHVRSEEMRRRAAETARLWSQEAREEMQVAKGVAQRVAEEVRHDADVVR GVVNSVVDKAVEKGRHGIEKVEEAVGMGKAAVELAEEKFEAAMDRKLLHVSDIDKALQ ERYTKREDVMKKSVQEVLAERYIPMDKRDNTRLRSLI NEUTE1DRAFT_58057 MAGAHVKYRHLSRSSAHRQALLRNLVTSLIKNETIHTTYPKAKE AQRLAEKLITLSKRDTETARRSAQGILYTPDQLLPKLFGEIRERYANRPGGYTRVLRT EPKDQYSQAPSAILELVDGPKDMRFAMTAATVARDREQKTESTDLTKKNMDKVTRFRK DGMRAFEDMVASLRDMKFTAGAINPKEWKKLDR NEUTE1DRAFT_76740 MEESEEEDEEEDAQSGQPYMSLLKSFQSATKTKKRKLDRPEEEG PNKATKTEDDDNSDDEDGEKVTEDVDAVDEPEEDPQDAPLEDLFDEDDDLDDSDPFET HFAAPDEATFQARIKAIQANKWRTDRIAKNSNRIYYNTPETGDSAEQKLPHSISGIGD LKLKQRLAESMAKHTEFDEAEKAVAPLLFNYQDMLYCNRSVASSESVRRMACLHALNH VFKTRDRVIKNNTKLQRDDTLELRDQGFTRPKVLMILPTRQSCVKMVEMICKVAAPEQ QENRKRFDDGYVDKSTKFSDDKPEDFRDLFAGNDDDMFRLGMKFTRKTIKYFSQFYNS DIIFASPLGLRMAIGSEEEKKKLDYDFLSSIELVIVDQADALLMQNWEHVEFIFEHLN LQPRDAHGCDFSRVRSWYLDDQAKYFRQTVIFSAFNTPELAELQRLYCHNWAGKARLQ AEYPGVIQYLGVKTRQTFSRFDAASIAADPDARFAYFTKAIVPTLVKRAAKDAAGTVI FIPSYLDFVRVRNYFANNPAVESVTFGNISEYADTPEASRARSHFLTGRHRVLLYTER AHHFRRYAIKGVKRVIFYGLPDNPIFYREIAGGYLQKSEQALMLEHGQGHVKVMFSKY DIMKLERIVGTKRAGKMITEQGDTFDFV NEUTE1DRAFT_115963 MAVDQESFVHLSRPLAPNVLGFGVSNAPLTVNIQPQAVFSIIDH AVRRDDRDTQSTRVIGALVGVRSEDGSEVEVRSTFAIPHTENEDQVEVDVEYQKNMLA LTLKANPRETLLGWYTTSHELNSFSALIQNFFASPETGTFPHPAVHLTIGTEAGATID TKTYISAPVAVSPERAAESCLFIEVPHKLLFTDAERGALGSVAAAADAESRSAPVVSD IENLAQALETVSDLLERVSGFVGEVLDEERDGNHALGQYLMNALSLAPKVSNLAIEND FNNHIQDVLMVSYLANTIRTQIELSQRLATAKLDEGKEGGEKKDGEGAEGDKKTDGQR GQRGQGGKRGGRSGGAGGRGGREQREPREPREAAE NEUTE1DRAFT_144342 MATFQSTGNDVKLSFAKVAAASASKDSATMATATKANAPVTARD KVAVPIMVAAASVSIQSDVHAPQPAQRTADEVKASQDNIVDGLGNLKLETKTSDVSFE VPLTSSISMPNKVVISQTPSDDNSRADSSSELGTKPPSLDGKSITSGTTFNALDEKES LRPDDSASMKAATEDDDAFSIRGSYFNGSRMGSEVAARIHRIQIGDMPPRAITTHAMA GSHGQLGLATPQSGISDKQPATETELPLTSGPGAPDALTANGGFLSQHPDEKLLEAMR SEKDRFSLLKMEQQVIEFVENSKEPFMDFPPNNTFWRMLTHKLADYYHMTHSYEAVSG AVRIYRTPFCRIPPPLSTIAPSTSNTSSPAPAVIPRKIMRRGEDGEFAPSSANPSKPT SEAGSDPKDKPAASKERMTREEREEAYNRARQRIFGNMKESDSSGQDGETSNGVSRAS SVSAKDKPNGKKKANKQRRDDSESFDSRSQYVVYYGGPQQSTWGPAPQAYPINNVPYN VQYQAPYPAAVPTYVPQQAYVPQQAYPQNQAYPQMVPNNGYAPQYGAVANYPAPSVPQ PALPVQQQHRYQPPPNPQVAPPYTPPMPSVSQLAWGPPQQGFNQPGPGYSSRSSPSPG GIPYAYGQLPANANPNDPKTQHPIPGSYNRQTLNPKTQSFLPGNAMLPMQPPSGPYAS SNHSSPQFLPAHMNYGGYQQPMPPPPQAGYGPGPMGYAMSRQGSNNSMGPYHHAPPQH HAMPPNASPHINHSKVAGGPPPPTGPAGPQGYSHLPTYGNPASLPQKPPM NEUTE1DRAFT_120118 MYGLQTSSGVYSTALMRIQAEHDDNPKIRWVVSSALKELEDTER PFSEKQKQVPDECSHRHLQHPPWCTVPRPKCFILATSRASTCRQQQSHLCLDLSQLSI SPLTPCYTTHQSTSESHSFTRTGTHHQLTFLERHYSYECKASAAERPYIPRPSRTQQL FNPKLLPKLTSAVPPQDKKGVADEILAQREAERAKKKELERDDESPSRDVSPRRSRSL LAIPSHLHGEHMRKTSLSHRPRRLRPANAQSTPGVGILAGGQRARSSTHRGKGRYLLV VVRRRPAVSADVTPGGQGHPGATILFPSPDPGHQFAHRSGETAEEKITGQEDTTGTGK MAVDSRDTGVRRRNDLQSANRLPRHRRASGVSALSARD NEUTE1DRAFT_120119 MSSHATLAAAADDRKARLAKLKSLKRKEPEPTSFDDGEESHDSP AQHTNHNDDGDNQQESTVDSKDGTPTADVARLHLSGRNFDFETRGPKLGFEAPPTLTL EKPTLEEQAADIEEEAQRQAALDAQAAEENKGIDLFKLQPKKPNWDLKRELNQKMEVL NVRTDNAIARMVRERLAAKKQAAVQDKTKDGKEEEEAGMLEGTALVEGMKLREREEAE EERRERELEEAELEA NEUTE1DRAFT_120120 MQQAPFICRSLTSSPSAQQSSSQPRPATESEGNERTCSDYYVPL KGWLYQWKQDRRVLQKNVRKSGYYSSLVDLYRWKRRFTQIWAKRALDKKQRDKHRDEA LQSEGRPELHIIQDLLCQRDVDHMRQAWQLIPKESRVKLWRPVMVDALHWFPDQAPDI FRAIFERDKSNFYAIVDSFLYMADYLQRLKGPVQSEYGRAVAGALLHCYHNTRKRYIC LRQNTIYHILRHATVDQVYELLVAIKEYRQPLHVNTKQHFVWYLAKEPKYKPAAADLL REIIQDGQLHVNGLHCAALATAILTFPKISPGDKEDGENLPTLRSQLNQELLEFGLQP NIIHYTTIIRNLCDNNELETAWQVYDLMTSQGVQPDLHFYSQLLHGATLANDHRSFRR ILELLPSEASQDRVIINGVLTFIHTTTVWELRKRKMKPPVPIPSFEYMFRTYSKLFDL GPLQALLNIDLQSYTAETHQRELDGMAPSWSGWQSMRSLRNTLALIPELEAEARIKPG NDTLNIMVTSFIRNFSQPSSLIEFYSNFRNRLKQGDPTILAFVATKGTIIHDTIIKAI LEWQGTNMALAALDIVSDMLKDAAASRALFDADPQKPDLEPRHPAPSIYTWSVLLHGF MHRREHREQGDRIFKMMRDHGVEANRVTWNTLIAGHARLQAVGKTASALQRMEAAEYK PDRFTLRAFSYLLNREGALQMMQRLEDKKQKRKAAFVAKLAEAAAQNENSEDMSKMPD WIAAQDEYRIMEEQLRDISESVVVEESLGERGEEREVVKAAEEEWEETTGEIEGLEAY RTRNVASASSSL NEUTE1DRAFT_107615 MNHTYAEETSSVGLKLNTDKAFLPDIEPNSGHEEQEVGSAPQHQ RRRHSTIFGWLPRVNIGFFSRNPRHRISHLIPPIRPLLLRSACFLLPSFLQSRIRRRR PFCSASPENQKKRDKERHDEEPRTLSPTAYLDGMRGIAAFSVFLCHYFYTCFVIAEGW GSGGSNYNFLKLPIIRLFYSGPPMVCIFFVISGYAISLKPLKLIHSASCSSGSSTTAH ADERNYSKLFETLSSLVFRRGLRLFLPPAISTFLIVLLLRLGAYEWNREFASDENFLR NVQEIHYERFQSATEQFVDWTYAMLNFVHLWDWDAFAGSTGIDVHLWTIPVEFRASMV LFLTILGTARLNRGVRRGVVLGWCGFAFLWERWEMCLFWMGMVLAESDLIREVEARQE KEGRVQGGFAERNGGEGVLPVVEPVLARKGDVMPQQRRHPQRHPQETTARGPDISSDM TIVGSGDETSHESHQPPTPSPPTTAVAGRSLPAGITLFPTLLLVISLYLLSQPDINSH LTPGWITLTSLIPHWWTEHYRFYQSIGAVLFVYSVSRYPSSSDSRSGSSSHPPSVAGS CSPNTGPTTAVPIFSSILNPKTLFESSIARHLGKTSYAIYLVHGPVLHTLGYTMMKFT WTRVTGTETNEQYVTGFVLASLAVIPLVIWVADVFWRGVDEPVVRLARRLEQRVVVGI GE NEUTE1DRAFT_120121 MSFSSLVQDLSLRDPNAPRRRGDASVSTIDDRASRTSHISRAMS YASTAATSVSISGDISSQLHGGYAHPLARSWQAERQLTKSMLIYPLFVSDNDDEEVLI PSLPNQYRRGINRLVPFLEPLVHKGLRSVILFGVPLKPGTKDALGTAADDPEGPVIRS IRLLRQRFPQLYITVDVCLCEYTSHGHCGILRDDGSLNNQLSVDRISDVALAYAKAGA HCVAPSDMNDGRIRAIKLKLIEEGIVHRVTLMSYAAKFSGCLYGPFRDAADSCPSFGD RKCYQLPPGGRGLARRAIVRDINEGADIIMVKPASQYLDIISDAKELGKDLPVAAYQV SGEFAMIHAAAKAGVFDLKAMAFEATEGILRAGATIIISYFVPEFLDWLST NEUTE1DRAFT_35669 MPSRRRTMSTVFIDPSNQEDPTIRLLETQFQGTSQGTSNNRNRT SIDEMASALLEDTVMTSAMESPIDQAPYVAPDETACTITRVYPHPIHNTGIVGDAVLE AGVEEAPAANRKIRQRPVPVVRPSPSLPRRSSRRRSTRQRPSPLAPPRCDYVKGLPKS STGALNPLAMHPPNSRMTKLPASATMPLTNLHEQPNAAPATSSKPVGLDASEIAEKIE KMLAATQALKSEPHLAQPTTAIPSSSTSSKVSRLVKQNGLFKKVSHALSERRLFPKNQ SKDSKVQNIEEEEDEAEVVAPGGVAGKRSGLKSIELRLNEGVNLNKDKVQKIFGGKVH RKPVFMEGKFLRARRSLDDPFASPSSLRRPLTEFETRLRGGSVDDSVLPPLSSQNPFE SERVMESSLDSILPSTPIASSTPRRGYKRTSSPSESPTKKCRGGLRSRSIDLDASLPM GLGLDSEQSKKHPLALSPGLASIFSYVPVVDDVERKKHPSPAKRDLELMTIEFRTQYP DVPLGRAAEQDELDELARSTLLLPDYDSDRQNRYLHPSCTSRPASNRSGESTEDDEGT VPLLRPSRPRPLYTAPVRKAIVKPVGITRSRTDSQLTLNPYLRHMETDELQMGSPAIK HGYRPLDSQEVF NEUTE1DRAFT_144347 MFGRRRAHAPMTSTRTTRPMGSGLFGRRRAHHTTPMTTTHTTHT TRRRGYGRKRHHGQGMVAPAAATTMGTTAAVHHHQKRKTSLGDKISGALMKIKGSITG RPGQKAAGTRRMRGTDGRGRRRHY NEUTE1DRAFT_76757 MALPTLSKADLIALSQTDVTQPISKESIFAALATPPFLYIPGTF NTRDLGLLPLSSSSSSGKGRKVGIRPGLIYRSGGFFPNGGFNDAAKTQLATQLGIKKI FDIRSVREHSHAPDPEIAGVKNYWIAAEATEKEATVNLADFLEGKGERGYVKMYMDVL RGYEEVIGALLRSLLDAPEDQKPEPILFHCTAGRDRTGVVAGLLLSLAGVSEEDVEMD WMLSRIGTELAREQLLGFAMKGAGARSVESPGFVNLVSLKRECWRAFVREVKRVYGGW KGYARGVLGLSEGEVERIGRVLRGE NEUTE1DRAFT_98213 MPTFEKSSVRDANPYSGPIDPPPYQRAPSSELSLPSLRGNNAYQ ETLSNISHSRYSSMDRDSIPKYEHLDSVPSPNITIKSGNMRFMIPPWKHPFTPMDTTP SSSRVPRNASSRVTFDSSPHDLDSPLESGRDYFYGATYEEEPSGSLYNLNEWLETSGN QDPSSSDFVHHTLPDSQVSQELSVTSKGCHQHGRSLEPKVRTVAELAKSSAFDLTGQK GILKAVPSPNLPPLYHQEGMLDLATYIASRDPSPTDHTQAQVDVASHHLAAITPSHGE MNTSNNISACLDSPRHLKSTDSRMNHHYGYSFTPEMQPYNDGDGSKDSAIGQAVTPRG FPKHKSASELVAYWESMQGSNDANNATPAKQGLPYRPRADFTTFSPDFRGSTDRTNFG KAPEEKLYPVAEHISVPSDNKFNSAAMSSAMGNLNRTRAWLRDLIKQQPYKKKFTELP RKDSMHERLLDDYDSTYPRSLLRKSTAGASIDMKFRSTVNNLENLLSEAMELAAATAQ REVDHQCPDYYELDELAYCNVPTPPGVHKSFPSENGSMVNEVGDFDYWTAVERALGID HSSENNIRKPKHYRSAPGMHSRNVAVKIPKRKSSLMKPKIKPSYSTLGGPRYEELGGE PPAQGPAPGPAGLRTKQSSRLEIPVSSSTSTDSPPPSGCLPRVASKLRSYRSFGAIRP LRHISSLRPQADGAMDTPGDESDSTVYQGLDGPATSTGGRSQQFQAASWTYGDDSRGL GEGEPRDAPDTRIDLRGRSHVSLRGYQGFSLARAYRRQPVARDWSTARKRFVAGVACL STAFIGMVIGIYAGLVPSIQYWIADLNHYAILGNFFFYLGLAIPTFFFWPLPLLHGRK PYILSSLILAMPLLFPQAIAVSTWRSPYVSTWRWALLGSRGFMGLTLGFASMNFHSML TDLFGASLMSGTPHQEVVDKFDVRRHGGGMGVWLGLWTWCFTGSIGIGFLIGAAIINN FNPSWGFYVSIMMIAVVLLLNVICPEVRRSPFRRSVAEVKDGKQFTWTSHLLRRAIFL TLLPILGMAYTVSSAGGHIPVPVPVLFATLMGTISALAVAECNGLIMENFDCSDLLPG MIGRPRGYSNKQPKRTNYSSHPRIAAGFAVCHTFGFLLAALATTVAGRAQRNLGQREA TGIVAGVLFLLTVLLLAVLVRFKEIEIIPSSKTVEMEKWQKIRRESIRKSIIEIKAGR PLPVTMTDEEIWRPRLPGNPSSQFRRVNILELGAMTRWTEIRKKNKLIDESAAHLNRA ALESARMAVENATGIGARTTNIIRKVSSRKGRRKQGQSQRTMYKHLGVPLQPEGAGPS RGDTASQNRFGLYRFDAEPARAGKQTPTLTVPPGSDGLSFKAHSDLDTGSVLERPVPE EDEDMVDFITSSEEDGNDRIELDELGPLEGSPSGHARKEV NEUTE1DRAFT_115968 MVRKLKHAEQKLLRKTDFINYKSDNKHRDHDVARRYMIQKPEDY HKYNRMCGSLRQLAHRLSLLPPDNEVRRKHEELLLDKLYDMGILSSKSKLSQVEHQVT VSAFARRRLPVVMTRLRMAETVQAATKMIEQGHVRVGVEEVRDPAFLVTRSMEDFVTW SVGSKIKQNIMKYRDKLDDFELL NEUTE1DRAFT_127786 MSSSRQFLSSALRQCRAAGQRTAQFSTTSPAAAIRDIPTTSFQN APRTNTNTSSPSSNNNNNNAGSNQYKSDMFNLLNSGAGSRGGRDSYNNGRDVDGGQRY GNNSQKVQELLQSEVTSNNYMRMMKRRWNNGDVYAPRDLSPYEMKGRNGGWVEAADVV DELGFSPLDNYRNFSLISDYITPFGRIRHSKETGLKPVNQRKIAKMVRRAIGLGIHPS VHKHPEILKNSNGRHLLPLVVPKGQKANKTKNFDGEDEN NEUTE1DRAFT_144351 MRSHLARNATTTPNVYRRLHAAGHHHGLLRPCSATALSARRCLF DCQHDVAHIPRGVTLCTRTSKRTFISLFTPKPERFVKLPQFDPGYNVLLQYRKSEVEQ ERPPPRDQLVTAFKKLTKFKADTNRPLNPNQAFLLRTVLHYLLVTKPEADAPVDLKMS DLENAMDVALLPPKGSPEFHLALARLLHEEIMRRRLILLNPEERKVHLTKDDFARYIK ALTQYGGSLEAAGRVKEFWQQMKDERSAVNFRGAGRIWIMVLEGLANEGREEELLQFW EEAQETGGIKYIPGVHQVFVTYYARQDKIEETKQWYNKPIHSGFSPTGETLLELVRSS RRSSQKWNEWLLPVFEQVVQDTFAKKGSLDAFLQWSVLALDKGPDGIKTYLQTMASGE FYKSHEVKVDATTINLLMQAAQEKRNPYMAERFWQLAQDFNIKANVHTYLNQMSYRLD ANDIEGAHQIFSKLASGAVEVEFDEDLPVMNKYLRVLCAQQEPNIQHILTITSALEQR HAVLEPETIVALCLVFLNHDKKFDVIDTLSLHSVSISHIERQQIYKAFVDYICSPQTT TDRAWDAYSLLRQYFPETSVDDRVRLMESFFERKKPDMACLVFGHMRAHDDDNMHPTA DIYVRCLEGLGRCPIPEQRPSDPASSLKMVHNMLKMDTRVEMSTRLYNALMLAYAAGG DPFTALDFWEEHITRSAEGPSYNSLAIVFWCCELIPLGDRYARPVWQKMLRMDLEVPQ YVFNAYCAAIARSNAAWGRKMDEVFKLIKGTDASLGYGASMMTLGLTYNALPDPVSKD EFAAWAKEEYPEEWARLESKGQKDTEFQGPVFNITRLFEA NEUTE1DRAFT_16584 PTILSLKSDFLNTQTRTLSQPLAPTRTFTSQNNGISSPHSQLQQ HQSIPQKPLSEAIQKLNHRLTQHNRRAYPPQATRHVAEQIDKLYFTSTSTSASLGKAN EGDGEDEDDEDEDGQAWLRVNADLTVPRLITSIPDSWSSVSEKEVDDHPMEAKRFAEL VAQLKELDQQKRKVLERVAKLRKIKGLVEGEGAEGEGGGGGGGGGGGMKAVQENLVTK NGEMEAELQRMRVLLARVGGRVEKLDKE NEUTE1DRAFT_76771 MVRIIPNRLKSSSNSLATSRSTSPMRSKGDSASPEGRRDTGLVL DVKIIQARNLAAKDRGGTSDPYLVLTLGDAKYTTSTIPKTLDPIWNEHYQFPINSAQS LSLTGICWDKDRFGKDYLGEFELALDEAFAEDGITDLGPGWIPLKSKRTGKKSSVVSG EVELQLTIVDNSNLEATPRELYDQFISVTKSAPVLDTASQVSSTRSKNAYEFTNGDSD TLGIVYLEIGKITDLPPERNVTKTSFDMDPFVVISLGRQTFRTKTIRHNLNPVYNEKM IFTISNYEQMYSFNFTVIDHDKYSGNDFVASVNLPIREIMENAPKPNPETGLYHLKDI PDYAPVQKSGGRFARLGLSRTASVQSLSKAARPGLSRQNSTAAKEPSVAGTPLQIAVD SAQEYGRNALPPPSPALLSPGENPMAAAGAAAMGGEIASQSEGDDDNFTFLTLPLKMK NLEKWESKHSPQLYLRIKYMPYPALRQQFWRALLKQYDADESGRISKVELTTMLDTLG STLRESTIDSFFQRFPHRMEGDDSDDLTMDEAVICLEDQLEAKSRSTVQGMVDKVKDN VKNLLPGHGKDSGTTSDQEDAETPASGSQLTDSTLNVPDLGTSGEEGDLLDRDDLNLS SSGEEHVVEIKECPICHQPRLNKRKDADIITHIATCASQDWRQVDNLVMGGFVTSSQA QRKWYSKVITKISYGGYKVGANSANILVQDRITGQINEEKMSVYVRLGIRLLYKGLKS NNMETKRIRKLLKSLSVKQGKKYDDPNSKAEIPKFIQFHGLDLSEVAQPLEEFKNFNE FFYRALKPGARPCSAPENPRIVVSPADCRCVVFNSIDTATNVWIKGREFTVKRLLGDA YPEDVARYEGGALGIFRLAPQDYHRFHIPVDGVMRQPKTIEGEYYTVNPMAIRSALDV YGENVRVLVPIDSPVFGRVMVVCVGAMMVGSTVITRNEGDEVKRGEELGYFKFGGSTI VVLFEPGRMVWDEDLVQNSLLPLETLIRVGMSVGHAPDEPQWTPDMRKDEGDITAEDK ANAKRRIQGQVAEESPEDSGNDEVPPPPALGS NEUTE1DRAFT_98219 MRQEYCNHSSLDSGRDGRVYQGQTELEDRDVIRAGIAGLHYTCM YQGFSSTAYPPIAPGDLRPDARARG NEUTE1DRAFT_76774 MSDPFGAEAELINIESHFYQGQYQEVIDFDTTSFSAENALPARV LQLRARIALGQAEDVLAEVAGEKKPELEAVGALAQLKAGDAESAVETIEQLAAMDAGE IGIVQVVGGTVLAAAGKADEALALLQRHQGNLEAVALIVHIYLAQNRTELAVKEVASA RRLAQDSLLFNLAESWVGLRLGGDKYQQAFYVFEELAQAPATSSVRSLVSQAVAEIHL GRTEEAQAALDQALKKEPGFADAIANQLVWSVITGQDSAEYKAALEQADPNHPFLTDL AEKSDLFDKAATKYKAKVSA NEUTE1DRAFT_36957 MAQGSGSKITKPNPGLNRSKGGKAPKKSGVTKVKKNKTKADKVQ KKFTAGMAAKTEKMLGARAGHLELIGKGKKEENKEKFKGGTRKFG NEUTE1DRAFT_127791 MGDSSQPRTIYGPPRLLDIQTTVPDPRILFMKNVSIPLKSSPFP IRANIYLPKSCTSSPGLDVNEPQPEVQSKKYPVIVTYGPYGKDIPYSSFHPGSFAEVN AEQRSEFSAWETPDPVYWCKQGYAVVRADERGTGQSPGLLDTMSKDTSDAFCQVIEWC ADQEWSNGKVGLLGVSYYAGSQWRVAARRPKGLAAIISWEGMSDYYRDRCRHGGILSN NFIDIWWNRQVLVNQYGLPGRSKLKFPPDGPGARGQEDTIEGDLSEEQLVSNRNDQTK DNEAHRFRDEDYYASKEYRLEDIEVPVLSVANWGGITLHLRGNVLGYTYAGSRFKYLR FITGRHDLPFYYKEQVELQKSFLDAFLKGEDKVGWSVPNKVSPIEVTLRKGNIGFNDA KKEKAYEKRSEGQWPLYSTEYTDFYLGSDHALSRNKPDPTKPEQIGYKALGSLDKPEL VQFVTAPFEKETEITGHIVAHLNVSVTPENTQNEADIDLFLTIRHIDRSGNEVFYTGT AGDPVPVCKGWLRVSNRKVHEENPKHKPWLPFREYFSTDVLPVKAGEVYGVDVELWPT NVVVGVGGRILLEVSSGDTQGAGIFQHNSDTDRPASKFAGQNHIHFGEGFDNYVTLPI IPEHI NEUTE1DRAFT_115972 MTQIRGLNPCFNTNEDRTAEHQNKRVGYPPRLTTCLLEPRPSSF PSVTHTNQLHSKPIYKNRTKTNRNQT NEUTE1DRAFT_76779 MAFPQPGGPAFRHAQSFADAQSQFDILEWHPYFMSCMRYFLDHA QYHGPVQALAGFINIRLPFQKPNPVLSSQTVRPPPELEASCARGAAGKAPVGGYGLQS YASVNLIPYIRRLVATGYDFPGVLHGFFGDDWEAGIGPLHENERRNYLFAAKSSNWLK VKAAYDMNEEESIPFLKPLRDATEREIVLAERSWSEWLAMQDWMLGPRAPDVQQANGN GNGNNANGGRMQGIKREGD NEUTE1DRAFT_58099 MPGGPATAGLSAAVGQWTKFLARAEAKRLDPSDFARFVPILNSD FYPLPPIVIANLLLKPTKQSSYSLDPRRLQYLTILLNQKLVNPQSVLKVLHHYSTSQA KIQSEYDASTTNVAATATKGGQGQQGDKKPKRVFWQNSYNDEEVIFLRLSKVITHGQG IRHASDAYEMATNLSKWTTLFIDVIAAFSRDTFGTIQNMRTKQDMETSLQAFSLLLVN FLGNQRVVSAFSRPEAKSHRKGLASSIDQLIPYLLQNPNTSGIAERLEFSRGQALAGE ESSDLKDAAVAEMHSYMDNMIGLDTWQIPDMPIVNSRAGLYIYINAAGDLQTTAIHLI LASFDVLANAVFREGSKTGHLLKSYVVNKVPLILGNFAASSTHMYPFDAEFCISQALG QVDTNVFPTLSNMFDMSNTSSSFQDSVRQDFCFACQLHGLLSASAIETLLGEITYQTL PDEGRYVKETLVQACLEDFERSQRLIGELDNMNGNVGAAAQAIVEVIGTLCRNKETMT LKQLCSQLASKPSSLDILLLFDKPYKILHPLCELLDDWGGYDEDQGEYQPVYEEFGSI QLLLLAFVHRYSLTPTDLAIRSPHSFVGKLLGRGQLSRPLDELSDQEKSHLNGWVHGL FDSEAGGLGDDLMSSCPPQDFYLLMPTLFDQIVMALSTGCLNDYLLRSGLELPALLFL ANNLRTDKQPGQGAVIKILQLILRPNSISNEASTMLSSVLNIVAKPLELSLRSYQRQV PASQEVEPLLRALKENLAVSSRTGGADHSELENWTGTHHNGSGSVGGLYGAIRHTVQN LVQWAQHSPGNGVPATYTHRQVLVALQICGARRLLSALLKELKTQTEAGNGSVAYDVV TAIICAPDVHNTPVTDDNDPSSAREGGDAANHPITKKQRRITLREALKFEAEEFKKIQ KSDPLMAETVVRLHRRVEAQMALPPPPPPPAQHHHHHQQTMLQPELSALGVVAGDAMG DSIMNAAAVQVSGSDHHHPMDAMSLDATGMGGLDVGGAGGIDISGMGDMGMGNMGGLT VNTNTGGGMGGGVGTGGQGGGVAGGGGAGASGGNGGGGGAGGAGGGGSGSGGAGGDLS GDDIFSGLGTGDFTTDFGNWDTMDLG NEUTE1DRAFT_13137 SQPPLHTLLSTHDFLSAAASSFPPKTHAFVTSAATDCHTHRSNS HTYSLITLRPRILRDVSRVSISTRILGHPVSSPIFAAATSLGTTVHPEGEKAIGRACE KLGVGMTISTSASFSVGEIARVVKDCETVTERKEEEEEEEEEKAIPPLWFQLYVDKDR SKSEKLLKQAVEAGVKAVFLTVDAPVPGKREADERISAEEAVGLSSGVPMTGEKAEND KSGGGLGRITGKFLDASVSWGDIAWLRSCLPEEAKIVLKGVQTATDAVRAMEAGVEGI VVSNHGGRSLDTAPATILVLLELQRCCPQVFDKMEVLVDGGVTRGTDVFKALCLGASG VGIGRGILYGLGYGEEGVRRYVQILNDELETTMKMCGVTSLDQVHPGLLNTRAVDHLV PETVEEEHPYAKWRPSMR NEUTE1DRAFT_93862 MTRPGYLTWRAKLKSQAAKQVAELLADASIQPPLSQEEIDRVAA LVRKEDLKTDSDTQVLEDVACLVFLDEQFEDFEKRPDIDEEKMVGILRKTWGKMSPSG HALALQMHLSERAKILIEKALGVEK NEUTE1DRAFT_127796 MAPSGRKKGKEVDRSPTSILKHGDYTVSLTSWLAGGPVVLGKKP RRVIDLKVERDRKSETSDQSLVLARKDHDAAASDMEVGTSGSEGALTHTSRQRREPKG SSQPAVSSKPFLTYEEKRVEKFTIRPPPVVFNTPEDVPTGWLEAMLNQRKNGESSKAV SKKAISYEHNDSEDYEAGYDSPPHNKSKLLPKKSSSASRKASEIRIETKSSSKKGTRK VKVITADATDESDESLSDVESRRPMKTKKLERRSMTKERIKKKMHVEVSDEETADKVT TDIESSDIESTDVEPRSKPTSKKKPSTSSKKAGSSKVKVETRGRKGKRKVVVEASSEE EDSSVESMTEAEPSPLKKVKNKNRVTVTETTRKGKKKTITVESSDEADIAHVKSRSSQ KGKKSEIRVKTSDKTKAKKVTFEPTDEPETTDDATTDAEPTPAKAQKGKGKSRTKAKK KIEVESPDPAETTNAVTTDDATQTEAETTDADTTVAEKPKGTPAAGKADNDWTPSQDA SILSMKEGGEPWANIGSAIGRNKNEVKKRHQELVRLGAAQVNKGNQSKAHKTQNKKNK NNEAGPSHASVADETMGFRELPGFGFDADQDNSNNNDNNNNGDGWSGGGDQNNNTDNN GGGGEGAWGGGNQTDNNGGGDVGPGFDTPAWSADCTGGGDQDTNNNNNSNNDTNNNGF GDAGWGGGDQENNGGGNNKQSEDGMGWNTGGSDNNWRPPSAAGSTRSNKPPDNNTGWK SGPGSDQGWSVAPNPGYKPPSNSGWVPKNFVPPQGSKPPSNTAPPGSPDGWIYGSPTE SERGRMAKRVEAWKGSISSPKEQGHWGMPDGGWHATPATDSRPREIAPDNTRGRSPAA RSTSAKDWKQGLTRVDEEPDTGYDVWKKPPSNNGKPGSNAGRNSPGNFNNKPSGEQYG WNSGPDAVAGTGTGWGGGSDRVSTPSFKHPAKDEWTGGTKGNDKPPRTSKNNNRNNNK ATGGNDSLTAFLQNPPPWGADCTGPWDSNKPANNKADKGDVQNALNIHMVRDLPGYNR DVAPMGLSGFPPVVEHYAPVPVPPVTPGPPGRYPSTPYSATPHPPGYLPKSEAQTTLL PLYNNSPPQAQYPSHDAPWNSTSWDTKPAVTTKPPGGPSSYQPGQRSAQCKTLSEEDL RSLYKQVVFSAMSSRQPLLQPKPDRDFTLRDCWVLISLSEQYDHSSASKEKWFELQAK FASATGRMVDVKLLRQKVKQGEKEAKVVEGWEDDEVGWRYKKKWEMETAW NEUTE1DRAFT_107633 MLIQVGYHPIYRAHLLLARGVGGIEFLPPNLQRMDKVAIIFSLY SRDPSEVPGFPSDLSPQDALRPETVFRICTAAKREFDPFITSDLGEFLARIRHVLSLV LGHPDCNDEDSKKVDDAWQCVCHDNRELLCAAWEAGRPYLGPDTKAGRARITKAQASM ELKLADHSSLEPDNPSRESINEACFSSPATTAPTPEDHRLRQHELVIPNPTPPRSFPS GDKKECVKATRTALGALSLSEQNRRRNKTTSPERARRDQHEDEGYNRMSDMGHVSPEN DEDDDEDLDIQNDMGCFRLPDRDGRSSAPTSESRHQNQHEDKGRIRLSDLGYVSPEDD DDDGSGGDYWQVQYHKQLQQLQQQQQQHLNPSGHGETRKMRWGHNDSSSEGMDGQMKS VEAGEYPFSRRQPGDDMWLPPCDHPNQQQQAATGSKAALTEDVHDVLCPHSYHSGCHI RF NEUTE1DRAFT_34218 MCQYYAHVFTCKHVSLTFARFCPSASMIQTRCGERQIWQTIRMA ESCEECKDGGGGGSGGGGDNGGWIEDGSGRSVGHAADTTTGCRK NEUTE1DRAFT_120136 MASSEIDQKFLGKLARLVESENPLLSSVLFKILGLSINLSSQLI TARKQRRQDGSSGNPPLDLHLHIVWLSREGLLLLDQYVLPSATLKKNVELKILAYKLL ASFYHIIVLFHNTPPVTTIGTNTPEGQSTSSVANASDKGKRVAKGNDTYDHGASRSIS EGGPVGPPPGFGPQSPTAFLMKPGDYLPLAQQCFKEAIQLAEQHLWGSHSLRLSVKTE YAAFLYECVHDAEASRRLAKETISEVYEATEGIDNDMFNDASLWKEDPSPDVREKAVE DAHHHGSQARPSTVPSQGYANRLNLPETWLNASVTEQHEQLRIPDADLAL NEUTE1DRAFT_58110 MPKAEVGSAKYLANKMKSRGLNRLRWYCQLCEKSCRDENGYKMH CQSPSHTAKALEAGANFKGVQDTFSEQFLKDFISQLKTSHGEKEIHINKFYQEVIARK NHVHLNATKWHSLTEFAKFLGREGVCRVEEKEGEGLFVAWIDDSPEAMERREKVRRKE MMDKGDEEREQRALREQIKRAQKDAEARGVSFDAEEEERKELKRREGEKIKLSFGKSA TTKKEEPGSGSGEGEKGSTLATAMSTPGAGDGSIPAAAEEKKDTVTPPSTTTTTTDGV SSEQVKVEASSKGEEAAAKTTAEESKPAVDSTAGPAGPAAAAAPATKPVSMKFGMKPQ PKNVFKNAFAGAPKKVMAAPPKKMSEAERIMKEELERKRAREEKGGNFPNKKPRFQF NEUTE1DRAFT_127799 MSENYVAPGQQRYLRACMVCSIVMTYARFRDEGCPNCEDFLHLQ GSPDQIDSCTSQVFEGIITIANPQKSWVAKWQRLDGYVKGVYATKVSGQLPDDVRTTL EDDGIQYIPRDGSATEAD NEUTE1DRAFT_58114 MGNNPSTSRDSKTPNPQSSSSSSQSQSQHQSLASIQNDSARAVK RDTKHPIPVPNQRVAAPPEPSLTQAQGTAVQPPSTTARPKSLHSRMPPSQSGSPGSSV PTSSATPTKPVEVRQPRPEDSHEPAKPVAVPAPCHASSCSPRSPVRSPLSERSDAFET AAMQLSSLQDVSYLTRPPRLPLPIEEEVHTPGSPIIAPTDIGVPLEDADTFTALTRRS SNLSNSSTLDEEDTEELRVDKTRPTVPTRLEWLRGGEKVYVTGTIFQWNRKTRLHPVE GRPGVFAAIINILPGTHHVRFLVDGQMQTSPDLPTTVDFGNNLVNYIEVSPDDVGRTA AQAAAASAAKDSQQPTEPKTSASETEESKAPRDRPVPPAELFENKIPQYLLDFDAPEE SPPYLSAVNAIEKLPTPPALPGFLGKPILNAATLIKDDNSVLNMPNHTVLNHLATSSI KNNILAVSATTRYKNKYVTTIMYKPTTTEGI NEUTE1DRAFT_76802 MPDAKGRRIALGCEGSANKLGIGIIAHDPITGEALVLSNVRDTF VSPPGTGFLPKDTARHHRAYFVRVAKKALALSGVSISEIDCICYTKGPGMGGPLTSVA VGARTLALLWGKELVGVNHCVGHIEMGRAITGASNPVVLYVSGGNTQVIAYAEQRYRI FGETLDIAVGNCLDRFARTLEISNDPAPGYNIEQLAKQGGRVLLDLPYAVKGMDCSFS GILGRADDLAAQMKAGEPGPDGEPFTPADLCFSLQETVFAMLVEITERAMAHVGSNQV LIVGGVGCNERLQEMMGAMAAERGGSVYATDERFCIDNGIMIAHAGLLAYETGFRTPL DESTCTQRFRTDEVFVKWRD NEUTE1DRAFT_37451 MAGWLADWRPALLTWWRDGLCETKRWPKQHSEVRRTRETHLKRE YGYLHPHRLGAVLNSRPPIRSRWSRELGSLQEPNKKKPGHVLPKKWRAKDGEDEEEVD WAVERGRSGRAVGRFGFGGNEWGPVQRAPSPGSADPELLGAFLRIHRASQNTVFYTTH APMVTVCAVAAICG NEUTE1DRAFT_120141 MATRKVRYKKLSVKTQLAVLREDQIEASEYESLTSENQIATGVE QAEENEYHLQAVLKGAGVAADQEIPVPPPQQSELDYDQFYPQKVAKTSTYIRFSQTVE ECISCMYDMTEDDETFLKSYNLKLTPSARLSEDDFERIMDVYEDMAANITPFSAIDQT VPSYQEMLRGLEPLDSTKVMVHAKQIYEYWKSRREISKNRPLNPTLKFETHAESDELD PYVCFRRREIRQTRKTRARDVQSADKLKRLRKELEEGRQLILAAHNRELLKADMLKVE RAIFDQRAIIKEQKLRLGIRTGDEDLVNQKPQKRKAPEAPSAQRPPPPPQIRMPVRPD GRPAESDLVQLSDRLAEKNAELIIEIEKKIQNHIDWNKNYVDLTGKPLSPVQGPRQDL GFRPAKTQYLMTPPASASSGSMDEPTPMDLDKPKPNPPPPVKFRGVAQDEQSLAHPPS YRRRIGRLNRLWIDRRGLPSPARDLSEEQSDRWKYDQSSDDEDDAPVYMLDPFDTKAL RYRASIPLQTVTRPPPPVINRQFIPPGAVPQQLAQSSFAPGQPQPQSQPQPNQSQSLP LPQPQQPVAQPQPQPQPQAQPVS NEUTE1DRAFT_144368 MGNTTSSVLDNIVQGSNFDREEVDRLRKRFMKLDKDNSGTIERE EFLSLPQISTNPLATRMIAIFDEDGGGDVDFQEFVSGLSAFSSKGNKEQKLRFAFKVY DIDRDGYISNGELFIVLKMMVGSNLKDQQLQQIVDKTIMEADLDKDGKISFEEFTKMV ENTDVSMSMTLDQF NEUTE1DRAFT_107642 MLTKIVADPGRLGDAAHLIDTEIIYQIQIQGHGSNYTSKKTSVP DQSDCLLKGICMESGNIPAYLPTPMPPVCSICQPRAHRHGPGLLLPRHVIEELQGDGN RAVAMTDWPSVIGDTTGRVEYQLSYLKVLDDIVYTSEGTVCLSTEVRIYYQVQSFEPR NEUTE1DRAFT_107643 MSPNINGRHVTRKSAASDFLNSCIDTIQYDNAATKNRQEGTSNN AITDLTSTLAACRSSIAGYSFRHCIIDVRSSQLTMSISENQMICYGTCKISSPNRQPF TYPHDSLNTP NEUTE1DRAFT_76809 MEDNRGQRRQNEPPIHGAPNPRYHQSLHDSTQQRRSFAGAQGDR YRAAPLTSSPSGAARGMSGSAGYSGYYQEPSAAGFPTTAMPQGAMSYHHGPSDYGQPD TRQTQGFASTYNPNALMYNVPQGAGPQSTAVYDTSQQFPQRQPATLQMMATDVTAPYF SSEPTNTAAATALQAQTGPSSAQVYGQSSMPGYSSGGMTGMGGMNAQATSTSDVRMED DYPAGGTLDHAYASYQTALKEIFQNIQNGVLATASDSLIRVSDWLLSHVADLGLTSDD QSLHGDRIKLWNDFNHAWLGMLQRQKEMTESGQQPQRSQSVISAEGLKKMGKELIRLC DSIERHGLVDYQYGVWEEQIIEILTECLNVYEPTESSGDDSVAPDSQRR NEUTE1DRAFT_28756 MYNSASRVWEKQRSKLHLWTPVPDPEEDSVEYCSNGRIRSGKQP GRSLISLNMDALCRVL NEUTE1DRAFT_98239 MHLLRHALQEMTAIACLLLCGHNLFWADKDRESETAQWLRVKGR CRSGLIIGHQRYSKVKREDKAQGLPIRLQLVGNRPALTEAAGAQKKPRGGGGGGGGGG GGGGDGDGGMLTCSSSSNGIDGGVNETAVQMMDYLYDFWYGMILRRPGSSTLATRCLS FFLGTVPWGLSGTASRKARTGTSMLAEVCVLHRACAHGGGFLYGPCTVYAKLEVRLRG VAIRSIFFAK NEUTE1DRAFT_107646 MARAAKYFAATLWASWIHIMRVPKETQQFVLVAIQDLRTWRQKA RHFESTDSNGEEDIGNNGNITNQAKPERNTTSRPTEDIRGCQIGWLLAGLGGGDGVDM ISGGDIGRPIGLLQNVRVGDQQSKNKEMDL NEUTE1DRAFT_58129 MMASLRHSITNALRSSRQGCSKSAQWQSLDQQFGALRISSLASS SSRSLSTHAHDHNPNVDGQFIAAPALSIDNFKLHPYARAVPVSPSYFTRTPRFYDNYL SLEKLMEEYEDLPVIPATAVERVAWKTLEDIRKELGEQVKASEFARCLALVKRLNSIH PDLKPQEIKDVLDSFRRNVQPFTNVAKPIPIDQCGRACGVGKRKASSARAFVVEGTGE VLVNGKTLAEYFGRVHDRESAVWALRATNRIDKYNVWVKVEGGGTTGQAEAITLAIAK ALLAHEPALKPALRRAGCVTRDPRKVERKKHGHVKARKMPTWVKR NEUTE1DRAFT_115978 MAFGKLYTYEANPRSTAILAVAKANNLDLEVVKVDLEAAIEEYK KVNPLGKVPTFVGADGYTLFECIAIAIYVASQNEKTTLLGKTKQDYASILKWLSFFNT EVLPPLAGWYRPLLGKAPYNKKAVEDAQATALKAISVAEAHLKNNTFLVGERITLADL FATGIIARGFEFFFDKAWREQYPNVTRWYTTVYNQPIYSAVAPPFALLDTPKLTNVAP KKAEAPKPAAAPKPAAAPAAAAEEPAEAPKPKHPLEALPRASFPLDEWKRQYSNVDTP EALKWFWENVPFTEYSIWKVNYKYNDELTLTFMSNNLIGGFNNRLEASRKYLFGCASV YGTNNDSVIQGAFVIRGDDWKPVFDVAPDYESYEFTKLDPQNPEDRAFVEAEWSWDKP ALVNGKEYPHASGKVFK NEUTE1DRAFT_76820 MMNKLRIFNTPGRFRLTKDWQLLPTTPLLPYLPRNKYFNTFKPF LASQPSTRCIHTAEDSFEADKLCSAFGVQHLAKKGTAQVNETLPGPSEPSHQTVGEQD RVASTGKVQRDSKNENEKQEQRRCQRLGQQHQNKPQQQQQPQDNLKAQTRQTVDQMDP NHFGYQPGYPPIWQGEFVQQQQQQQQQQYQYQNQQHFPQQEQYGMGYAPPPNIGYGFI PPTHLTAFAPGMTIPHWQQPLPPFYSGAGTRSYHTNTNNRPQVPQPADYYRSRSPGPY SRARQYSNSNNNTYTNNTHPDFYRPRSPGPYSRGRRGQYNNNNNINNHHSQQQQIPPT SRPSHPPKLNKKAKAREAAAREALAQAQRTTTMPASSSPSSSSFIPPQGGTPREARDP IVPPSRASGGVPEPSHAYKIRASFLAREVPQPRPILVVIDLNGTLLHRPSRKRPSKFV ERPFARDFLKYCIDTFKVVIWSSARPQNVEMMCQQLLTEEQLGKVVAIWARDKFGLTQ ADFNTRVQCYKRLTMLWEDPTVAASNPEGEPWNQGNTVLIDDSAEKARSEPYNCITLP EFVGDLNEKPEVLPMVREYLNILAHQADISTYIRVKPFNISEDSSGAVTGDEGVALAS TPAAAQ NEUTE1DRAFT_58139 MPAVKRKAPPTLGAKLQRRVRPRFEAEPDSDVEGSSDEAPSEEE GGGFHTGSDTEEEEDGEIEEGSEPGSDDDSDAPSEHGGAGIDASQLSFGALARAQASL GALKKKKKKKGGDEDASDDEEKEEPNWKTEIEKGMKAKVEKHHRTNKHAPIEMTSRKP VSRRRDFLANEPVKPKSRDPRFAPPGIGGSSGKGVVDEIKARKAYSFLDDYQEDEMKQ LRMAIKKTKDPNEKEELQRALLSMESKKKARARKDKERELLSEHKKKEKELIKQGKTP FYLKKSEQKKQLLVEQFASMKKSQVDKAIERKRKKIAGKEKKALPLARRTAEDR NEUTE1DRAFT_76825 MRFADLLVDLAAVANASRSVAGKHVALRASQIDRYTKTSSIANL AKDLGRSKQQQQQQQQQQHYQQQTPESQQQEQRQQDPSASVATQPKIPPSPLVSEARV SAATPEPQKSTSKPFTPAPWADRKPLPQPSPFPRPPRIGVKSTIPGIPADLAALKDGA NSEEAGVDLSNIFMTNKGSKILEELSKEPTVPAVEITSEPVPAVVPELKTTEVEVKVE EEVKVTQPPVEQESVQTVVKEARQPKPEEKPTPVAEAPASTPAPPPAAVKPTPQETKA TKLSQEDADLVAELTGSPLPASTRHELRESKVPASRISRLWNYGGLAAGMMAGAIGEG FSRAIGGGSSGSVMLSPGNVERLVSRLSRMRGAALKLGQMMSIQDSKMLPPTIQEVFQ RVQDRADYMPAWQRDRVLVSNLGADWRSLFDEFEEKPIAAASIGQVHRATLKSNGARV AVKIQFPGVGDSINSDLDNLAILLAATKLLPKGLYLNKTIDNARTELAWECDYLREAE CGARYRELLAADGQEDAVFAVPHIYSEASGKQVLTMEWMDGVGVTRVKDFTQEQKDWI GTQILRLCLREITEFKFMQTDPNWTNFLYNPEKQRLELLDFGASREYPEEFISLYIRL LEAASRGDRPAVKSLSEELGYLTGHESRAMLEAHITSVVTLAEPFLQSAPEVYDFRNQ TITERVKAQIPVMIHERLAPPPEETYSLHRKLSGAFLLCARLGSRVRCREMFEKALEK TEYFGKK NEUTE1DRAFT_58145 MASEPPTSTALVRKRTDTELMPPPPPAKKIKRPKRVIDEDSYTD ALSHIIARDFFPGLQQAESQREYLDALESKDPEWISSATQRLQQAMTPGRHQAPSRSF HSAPSGSGSETPMGYAGDTPMSTVSSRTVQENSKLDTKMSLGAFQAKYTSEDNESFYK LLDKQNQKRAEKYAWLWNGNKLPSKQQLKQREVEQKLLESGKSLVDDGFKKDRLAIKS KDDDRPAAPAHWKAKPNNELMFAPEGVDGVLETPAERAQRESRAEPKRIIYENTRVPQ PYVPPEDNRTRASSPSLSDVRNAIAGNRRACDTETSVGGGGETPRINGYAFVDDEEPE PPRKTSKTPLPVIDLGPGDATPNPFHLQEQRKKEALHHRMVERISQSKRTSAKLGLTG KVERTPVPKFPSSPRVSGGLTPAAQRLWSKIGSSGGRTPSSPFSGEITRTPRAAATPK VKTSGLRNPMK NEUTE1DRAFT_120151 MSRVLFDHRAMAVSPKSLASKGKNFGWTEKVKEKQVEQSEEEDP ADKMCPICHEEIGSPSSEGIVETWSVLPCGHMFGSHCIKHYIQMVAYDRPQCPICRYS LVHGCGHPVLPALFVTEGHNAAKVNKATIRALAMTSMQYNCAYCTEMIARGHRVVAAR HGRKRQGTSKWKLLLKKIFSSPLAIDRWSDLESDRALGDQPSVVEIPTFRQWRHLNIH KLVAALNTLEKIAWVRLDDDTVRFTVIPDTGSQVWASLSVDTIFDSYHIQSNEANNTI NLELPLGPLQRALKSALNSNHASLRLTKRDGIPMLSMTIHTMTKDAPSAARRNKNNSS NNNNNNNNNNDDQMGSYNDDNDPFAENSLYPQESLELTMKREREKVITQDIPVRVLHP DTVETIMQPKVREPDVHIMFPPLLQLKAISDRFTKLAITTAASSSSSSRREAQNPKLE LSANMHGSLRLRLIADTLDITSVWDSCLENPELDPAVLTIPIEEHPSTKYREAGPDKW ATVRVDGKDWSRVLSVGRLEASRVIACFTHEHALILYVYVRRADDDYPGVGAGRGGDD GDDVVTYYVSSINT NEUTE1DRAFT_58151 MASWNPAFMPKSAADLPAPLPTDNTVADTTDHANRVAQDGSDFW GIDGGEEDVPAQNGASDSWFPDYGTGNHTTLPATDAQATSQITSETDTNTPDTATEQT ETAHVTTEDAEAVEPAPTQTDEAPEPIVENAQATEAVPKDAATADLVPEHAEPTDATT TEKADTDEPSDVASKHTSTMSFTRTIPHEPSWSDDGDPEWNLARADTDPFKFLPSSDR TNSFPPVPPLEQHEQQQEKQQENQQVQQVQQQQQPQTEPHLEQSPIVQPQVANFLGDD DEVEVQGDDGFFSQLSEAQNDGFDQFGAQDENNSQQYMGGDLGAKATEALDARFEEGV PLIAHDGNTTAEREDTKDLFGGEDVHDEDDFFSQVQQGSVNQTEFEAQPLERKSTMQV LGAMDMGSVQPSFAPVEEQPEEEADATVETQTHDHPETTSTDLNTGEGHEEQKPAGED VEAKWKELFADDEEDTLSLLDDSTEASNEIKEKEIDPAAFFGSDDEGFLDDSEEFPTM EETTQPRAPSVAGSNTTTTPTTRYMPQTQTPSLTGASNPYAPTAPPLTSAPSNPYFPA APSTVAQTPSVTPFGAPVSAPPPSGRFGYGAVPPPQIERKAQSFVDKKGGYQSPYDLP MEVVKVKKRPSTATLNTPVTAPAAPPPSKSATHLPPPPPTSVPHSSQPGVKPPPPRGE AKPKEKFFEELPVVTKARPASRNTMGTASPAQSSPYGPPPSAGPPSIASQPPVPGMPS AMGSVPNSSFTQHAEIPNLVALPRVNPYASIQSSTTSLAPAVPAPASSRYSPAPSSGS QLSTPVPPAAASRYSPAPPASRPTSSGYTPTTSVPPVLPPVLPHQPRTSSPLAHFESR PLISSHAESGLAEKRSSNSLYDLRLQRVPSLPPTQEVEEEGPMQDGREHDPLQRWRGV PLISWGVGGALVTMFPKNVPRYGMGQTAPMVIRSPGEVKVKSMKDIEPMEERLAKFPG PLKGKSKKKETIAWLINGIEMLERTVPHNLSHQLNPSHDDKRTTERLLLWKILRVFVE HDGVLEGNPTVNQAVREILYPEASTIGGQPEFANALNPSGLGNSAMTSLQADSVDSSA VEQIRNHLISGDNEKAVWAAVDKRLWGHAFLLANALNPDLYKRVAQEFVKNEVNSTGH NNESLAAFYDVLSGNHEESVDELVPAHARAGLQLVAKNSSSGPSKDAMGGLDKWRETL SLILSNRTADDARAINVLGNLLSGYGRAEAAHICFLFARSQTIFGGLDNPNSNFVLVG SDHRRQADHFAKEIEPLLLSEVYEYGQSLAGGTVPVSNPHLAAYKLQHAYALAEYGFR DKALQYCEAITAAITAQTKRSPYYHPILEAYVDDLMKRLKQAPKEESNSWIPKPSMNK VSDSMWNRFNKFVAGDDNEDGSKGSPDAAGESGPFARIAGGTPTISRSPSVNNLETFG ATIPSYGMPSAPVTNGPNMFSPPPPTRTASRYAPGAPQPSTPNYNPYETNSPYAPRSS MERASGEYSRSSVELPRQSLDSQRGYSHSSYAPNRTSSPAQPYTPYGTTPQESSYSLH NMQPQQSLTSPAATTSGYQPFTPQNNVSANDKPSNEPPSAPSTGYQPPSYGYEPPSFT PYEAPAINDEEEGASKENGDSNQGGEGVNTFEPPSFQPYSYEPPSYEPDTPPSKDDDE SEEEKPKPKKKGPIYDDDDDDFPAAPKPAGKSKAEIDRENEEMVRRIAEEEEAKAAKK GWGFTSWFAKKEAAAADANAAAGSSPGKPIRAKLGEANSFYYDPEQKRWINKNASPED QAAKKSTPPPPKGGIPRSSASSPAPPMGMGVGGGSAPNTPGRASAPPTGPPRPAALMP SASENNVGSGPPSAVGPLSPSGSNGPPSAGLLSPGMGPAAMQRPASTSTSAPPAGTSK PLSATSSIDDLLGAAVPRKRGEAKKPRKAARYVDVMQK NEUTE1DRAFT_58154 MPSSDTYHLSKPPDSHVVDESDSDLEIDLEELDPQATDPNNHQQ SLGQHRSRNSSEQRPPPPTTSIALRNLRMGSLRRGAKRNGYGELGRSRDGNDDDDALL RHDRHSVGSATHDEDAGLLSEHGGGGRMRTSSGRRRRSFASDGLRNISMKLPGFMSGD QSNHNDEQEQEEDDPSSSRLVAVGSSQSTRFPPNIISNAKYTAWSFLPVTLYNEFSFF FNMYFLLVALSQAIPALRIGYLSTYVAPLAFVLFITLAKEAYDDIERRRRDNEANSEE YTVLQFDDPGASLGINRPRRKMKSSHTRKGSKRLGIEQENDRLSDIQEEEEQTEGRGL RELPASYLSEVSKKSRDLKVGDVLKLTKGHRVPADVIILKCLAHESAANKETEEEEVP AKEEMLLLDHVDDDDVGEGSSKNAKTSKESNNNGAEGSSSGETFIRTDQLDGETDWKL RLASPLSQKLSTEELVRLRVTAGKPDKRVNEFVGTLELLPSRQDVMSGVAYNPREGDD VKAAPLSIDNTAWANTVIASNATTLAVIVYTGPQTRSALSTSPSRSKTGLLEYEINSL TKILCFLTLFLSIVLVALEGFSTAKGNIWYVKIMRFLVLFSTIVPISLRVNLDLGKSV YSWFIQRDPGIPGAVVRTSTIPEDLGRIEYLLSDKTGTLTQNEMEMKKIHVGTVSYAN EAMDEVATYVKQGFNLSSSASSASLALATPSSTYSAANVGATRTRREIGSRVRDVVLA LALCHNVTPTSEEDENGHTVNSYQASSPDEIAIVKWTESVGLRLAHRDRKNIVLESAE TGRPVVKVRILDIFPFTSEGKRMGIIVQFYEKLQPGNPSLGSSEIWFYQKGADTVMSS IVAANDWLDEETANMAREGLRTLVVGRKRLSLKEYQEFSAKHHEASLAISGREANMQA VVSQYLEHNLELLGVTGVEDKLQKDVKPSLELLRNAGIKIWMLTGDKVETARCVAVSS KLVARGQYIHTIAKLRRKDGAQDNLDLLRSKTDSCLLIDGESLAMYLTHFRREFISVA VLLPTVVACRCSPTQKAEVAKLIKEYTKKRVCCIGDGGNDVSMIQAADVGVGIVGKEG RQASLAADFSIEQFHHLTKLLVWHGRNSYKRSAKLAQFVIHRGLIIAVCQTMYSIALK FEPEGLYKDWLMVGYATVYTAFPVLSLVLDKDVDEDLANLYPELYKELTSGSSLSYRT FFVWVFVSIYQGCLIQGLSQVLTGIDGPRMLAVSYTVLVLNELLMVAIEITTWHWVMV VSIVGTFLMYIVSIPFLGDYFDLKFVLTLGFLWRVAAIAAISLIPTYAAKVIRRTMKP PSYRKVQGI NEUTE1DRAFT_76840 MLLRPLRQTSRVATAALSASTRRACAQRSSNVAPSFLRTYAARG APAAFPTIDTCPSPTCSCAPTPSFPEGFEIDHTTPLNGLMPNHAQQVLICTGKDDWPS KIEDDDNGQNHAAMLRDLIGRRGPYSDPFHNISVLNVSFPPSSSPSSASSPADQEATT TTPKTTSIYLLPDFKYVPSLSSDPNHVKALVKAHLLPEKLHPVHNHSPPAKREALLRD PSYADMLPGGVRDIRNEVIVLICGHGARDQRCGVYGPLLRSEFETRLAEQGQQQQQGP GIEVLKGAAEKAVDLEKKKGVWGARVGLISHIGGHKFAGNVIVYIPPGLKSYDDSDVP HPLAGHGIWYGRVEPKHVEGIVKETIKKGNVIKELFRGGITQGGEILRLPL NEUTE1DRAFT_36678 MHRIKPQLFWQGRKKLGLNAAKLLPVCRTLESTANELRWIKQHV AETPSIIPFGARVWKLCDRRGKGLPLAYVLGNQPFGDLEIKCRPGVLIPRTETESYTI HLSNILRRSLSSSKSESDQHQHQPLNIIDLCTGTGCIPLLLYSQLSRSHPLQSLNIVG VDISSKAINLSRQNLHHNLHAHRFPRPSSPGDKSHPQRLLHFHKADIFSPTSLDPFLS IPSLSSTSSSSTGTGGEWDLLTSNPPYISPSGFALSTSRSVRNWEPKLALVPPVERYQ EEDVFYARLLEIARTYRPKRVLMEVGDMEQAKRVVRMVLGDGKLRGLYFGNGEGTRGG NERGAGAGAVQIWRDDLVGFEGYEEIMEVGVGVDGQNQTIVVKGHPEGHGRSVYLRRV GVVDDV NEUTE1DRAFT_76844 MSARLLRPLTRRLPPTSPACLRLVRPGTYNVSHFNPVIHRNTTS LQIRSIARPYSSKLSPGEGMGHATPQNQKQEQQSNQDQDNQKSDGSSSSSSSSNSKKS KLLLIAALFSGYLFHSIILRPNDLSLGDVPVLLGIVSPPSLNPTSFVPYTIVEREQVS RTAFIITVEPFDPLHRLMGGSEKNARKNANKLRNELQEAWNHGLWCVEIKQPQLQVAR DYTPLPPPVGQEREEMLRGRLRFLIRKMEGGEVSSYLSKLQVGDKVELRGPHLGFDVA RRLVGSLESSSSGHGGGKEQGGRVVFLAGGTGIAPALQVARRLYGPVYEKGNGKKEEE WKEIEGMPAIPPKMTIVWANRFREDCPDCEDLEALRKRGYLPPSLDNAKNAAAGGIMP YLQDIRAHHPEQFNYACTVDTEKKFIDAKTILDAVVSTSPNPKSSSSTTSFTKSTANP SCPLHSSSALINVSDRQDHEARCKCASPTNGKNLLMVSGPDGFIARFAGLKAWSEGLE RQGHVAGVAGELMKKGKMNKEEWMVLKL NEUTE1DRAFT_120156 MPPLYSHNLEPGRDSLELASLASSDPGPDTGDDTSSRPSISSSR KLSLEREDPLDNGNYAASGHRVRPERSTSVNSTFSFAANLFPLSSTTGGGYAPLGAPT ATRGHVNGGLGGGSLEKHKTLTYLNGLSLIVGLIIGSGIFSSPSQVNANAGSPGAAII VWVVAGILAWTGAASYAELGGAIPLNGGPQVYLSKIFGELAGFLFTWVAVLVLKPGSA AIISIIMGEYLVRTFIGAEAETINPWISKSVALVGLFLVTFLNSVSTKLGTRMNDMLM FLKFVALLGVTIVGIVVAATGFSFSGAANLDWKKHDWFEGTKMDASAFAVALYAGLWA FDGWDNTNYVVGEFRNPGRDLPRVIHTAMPLVILSYILANVAYFFVLPLDTINSTNTV AVIFGSKVFGPVGAVILALIVSASCFGALNASTFTSSRLVYVAGKEGYIPELFGRIGS GTAVDQHESLTTMRTRSWFTKKLVRIVADEDTGLFYTPIPALMLNCALTTAYVCVGEF GTLVTFYGVAGYTFYFLTVLGLIVLRVKEPNLERPYKTWITTPIIFCCVSLFLLSRAV FAQPLQTLLVVAFVVVGVPVYYWRRYVNGRSAVKREVGGMREVREERPWWKFWQTR NEUTE1DRAFT_76848 MPLICTRPLGRLVPKLGSSLRPVLSSLAASPRRPVGVALQQHLG TESWKRFYADHKLDLLALDQKWRQKWAESSREKGNKEDEKNKYVLPMFPYPSGNLHLG HLRVYTIADVIARFQTLQGHKVLLPMGWDAFGLPAENAAIERGINPATWTKANIAKMK EQLGHMNGSWDWNCELATCDPDFYKHTQKIFLALHEKGLAYQAEAEVNYDPVDKTVLA NEQVDANGCSWRSGAKVEKRKLKQWFLKISEFRESLLKDLETLAKNEAWPERVLAMQK NWLGKSKGATVKFPVLAFGQGTPSAIEVFTSRPDTLFGVQYIALAATHPSVQQLAKSD PELQAFLSTLPGLSPDSKVGYLLPHIRAVNPLAYHEETPEDTKVSLPIYVAPYVLGDY GEGAVMGVPGHDLRDHAFWKEHHYDAPVRFVLAASEDESTTAMPNEPFTEHGVMNANS GIFKGKSSKEAGEMLVKLLEPAGLAKETEKWRLRDWLISRQRYWGTPIPIVHCGSCGT VPVPDEQLPVVLPEVDEHWAGKKTGNPLESQTDWINTSCPKCGGEAKRDTDTMDTFVD SSWYYMRFIDAHNKEAPFSPEKAKALTPVDLYIGGVEHAILHLLYSRFIYKFLMTSSF SGKEAETAEAAESESSEVYEPFKRLITQGMVHGKTYTDPATGRFLKPDEVDLSDPHQP KVVATGALANVSYEKMSKSKHNGVDPTTFIAQYGADATRAHILFQAPVSEILDWDESK ITGVTRWLSRVHDLVQKTASSSTSSSSTSETPSSASTVKAFFEQQSESVDDLTKLDAS ITIWREVQRTISSVTASYNKVYTLNTVISDLMSLTNVIASPSNYDAADPLIRREAVSA LIRMMAPVAPAFAEECWHVLFPESSSSSSLFSGSGSGEQAARFPVPDGTEGLLKSRKQ TCAVQLNGKTKFAVEIGTPPAGLLEKSAEEKLREFIVGEVLKTEEGRAKLEGRGVDVS KAKKVIVVRGGKLLNVVM NEUTE1DRAFT_58168 MVKVLAVLYDGGKHGEEVPELLGTIQNELGIRKWLEDQGHTLVT TCDKDGENSTFDKELEDAEIIITTPFHPGYLTAERLARAKKLKLAVTAGIGSDHVDLN AANKTNGGITVAEVTGSNVVSVAEHVLMTILVLVRNFVPAHEQIQEGRWDVAEAAKNE FDLEGKVVGTVGVGRIGERVLRRLKPFDCKELLYYDYQPLSAEKEAEIGCRRVADLEE MLAQCDVVTINCPLHEKTQGLFNKELISKMKKGSWLVNTARGAIVVKEDVAEALKSGH LRGYGGDVWFPQPAPEDHPLRYAKNPFGGGNAMVPHMSGTSLDAQKRYAAGTKAIIES YLSGKHDYRPEDLIVYGGDYATKSYGERERAKAAAAAKSA NEUTE1DRAFT_144385 MDLLSTVRKSGSRGGANFSWDDVASSAHRENYLGHSLKAPVGRW AKGRDLNWYAKAEPSAANAGETEEEKEARERREEIRRIKEAEEDAINRALGLPITPRN TSGANAKRKQGSREEIGTATQSDIINGGTGAGVVSVSVVMAATGNVIRNVIAIGIDIA AAAAAVTGNVTGRRTEKGESTALVAEAGALSVVHTAVIGRGIRIGVGAETVEDTTTTT EGAAIVLVGAGAGIEERGMIDDEIVRIVFVQTMDYSQLRAAALQNGEDEEAVTVDTRA LIDKVLARYSGEWTTLRELIQNAADAQATTVKIKWETIPSVSVPAPQTTDPSELLKHT LTHHTLRRLLVQNDGQPFANTDWARLKRIAEGNPDETKIGAFGVGFYSVFADCEEPFV SSGKEAMAFYWKGNALFTRKLQLPVEQHSRETAFVLDYRNTTTPLPNLLSVSQFLGTS LTFVSLQKIEFWIDDWRVLALQKKSSPSAQISIPRDLETRTKDGLMKLTAAEQTSTQI DASFMSVLGWKPKPASSSNKSTESAYTPEPSGLKGFFSRFTSSAAQTAQRTKAAKEEK EIQEAIAEDLTAVSTSTIFLRITAAQIATSVSSSFAAELERATKKPPPKTTRIALLTS SYDETVASEESVASNKAAKAIDIFSSVLPSKKPGGRVFIGFPTTQTTGAGMHISAPSV IPTVEREAIDLNARWVRTWNIEMLRVAGIISRLAFVNDMSDLNEKLKRLSSSKNNKKE SGYALEDVQKFVPEALHILKTYSFEDSTPSAQVAKIIEEAFWTSYRKASIEVYSSQGV LQTDKVRLFSEDYAKFLSGIPIILKDMQAAPFIQKLIDYGLLEHITVDDVVKELGSKA MDKHQLHHFVVWLAKTAASGELKYHHIQHVLDVAVATVSDDGNGGEIIALGSIKNYLN NRIPATLPIPPTTIPVAFTADIPEVQLQSIGWEPLGIVPWLRFLIASGSSKDEEHNLT KSSKFSVQVLSVLSKNWDNLSPSDKSSVVSALEHLPVIPTKLGMRKPKESFFSSVKLF HDLPTIEGCDRLKEKFLVALGVRKTLDLETIFTRLLNPAPAAEGEAEAKKWSHVELIK YLASVKNDIPAEDMKRLKQSKLCPAEDRTSSGGPESRKGTEKLYKLSELYEPKDSLRL LQLPTLHWPGVWKANSPEGAFLFSLGLRPYPAVPELIDMMASPDADTRKHSMAYFINN YQLNQYGTYNLSRIDNAILPLQGNEKKLVRPSACFTNPDAAILGYDILRRDLHDHASK FGVARDPSILECVGRLIASPPKDHQEAVRVFGYFTTRIAELGLSHVTKLRSSAIIPVT RRKVSGDEKGETKVVYITPPNCYLGTSSTYGDIFDFVDFGPNANVFLFKCGAKEEPTK PEIALMACSEPARLLSTLQSSEKYLNLLRSLADEIATLKRDKELFKKMTSSAWLLGSR DISNTKEEPDSGDYDAPIKQYQLASPQKITILDDIICYRLFKDSLLFAPEDDALEAFY AALGSEAISSKVSMEHRIGPAAEKQDAAITMRKLVIERSKIFLFEYSKYKKSSIKHDA RWLEKNLQVKAVTSLALRRSLKGYNQQHTEKRSAAAVYRDGNWILYISTHSRPDLYQV GQAICTMLLSRHGQQAYFFIEPFLKLDLLELRARGYNVDRILRAKAAEARIAEEERRK ALEAEEQRIREREKDWAQQQVATADNAVARAPQTPRLPDQPRMPGGFDDSPDHDTAPQ PIKKFGDFFSGIKKSLGLEADDDSNRPPAQQPGTRAPQQLGPGSGGSGSGSGSGSGSS GGGGGGGGGGADGGSNDGRVTSPAVVQQNLLSAIKSTRAHDASGVFAPPTQTEITEQS TYCDSTPATNMNFVAEAPGGMRVFLAKDVSVNPGQFLGSNTAGISKFETLLKDVANVY NLPAHSLHISYDEQGPTIAFNKSGSIFCNYRFFSQLHLAKLTGGPDAASGMGRAEATV WWWVTIAHELAHNLVSPHNSAHSYYTESFIQEYFGKMMAMTAMWATQSLSQPQDSVRN SLPAPPPPPQQGTGVLGSIAGNPFHTAHSSLSSVAVRGQQGQQEPPPPYEDGRSLTGG QAHGNQGYRSLLD NEUTE1DRAFT_144386 MAFDPSVNAGPPLDEIQWHTPPQFEAGIHSNSILYYFAQSPFYD KTSNNEVVFQQGLNNQAMSQYLATRELFESRLREMSGLEFIVAQEPAETGPGMGTGVW VINKQTRRKRPPTNPARPEDGPPDEIIVHSVYFVVGENIYMAPTLADVLSSRIGAIAT AITKTIPLVDEVSDWAPAVGRRYITPAQPSAGAGAASGTTNYTASRTATPLPDGLPST ATTNKPGAKAGGGTTTNDPLLDSLLMEEALLTHERYGTEYMDENPITGKPGDFHLTST GRKTQTKSALTLKEAAAALPALNTKGLGAAGSNPLAKGAAAAAAANANAVTGKETKSP KTPGGGGPPKPKRRKSKNVITTPGAA NEUTE1DRAFT_76859 MVAIGGKFVPRQRKRKQLDRQRSRSKGTQDPEDTNVLEIAPGQE TTTDAEKKKTELREELRPAGVKVSSKKAKRLEKYIDTKLRKDENRELLAKLAANKIDT SLFSSTKSLGQTKLTKKEELSQLVKEAKAGLITEKEAAKKLYEKREVKEGAPVPKPKP TVDEDSEGSEDEQDSAVEKPKSAPAETSEPTPVQATTTSTSLPVPVGAGLKRPLEVDE SGRPILAKRQKRGGVKTKHNFTSSQAPAVIPVPEVVEWDGFSSEGEEGSDDEESEGKD EEGSEDDSEDDDSAEESDEDMEDAEEDKKAKSSAFKAWAHQARNEALGYKPAESNILE IPKPANFTPRPIEQEPLPIELQPTKNDSRKAFAVVVTRTPEIQETRFRLPVVAEEQKI MEAIHDNDIVIICGATGSGKTTQVPQFLFESGYGVPDGPTPGMIGVTQPRRVAAVSMS KRVGEEMGDYSHVVSYQIRFEGTVDSKTAIKFMTDGVLLREAAIDIALRKYSAIIIDE AHERSVNTDILIAMLSRVVKLRRELAEEDPTIKPLKLIIMSATLRVEEFTQNSNLFHT TPRIIEVEGREHAVTMHFAKKTNHDYVDEAFRKICRGHRKLPPGGMLVFMTGQGEIAQ LSKRLKARFGGGMNTASTTKVRISAKEAPMEVEDIDFGDVEDDRNINDRDDDDISIAS DEEEDKEFEIEDQESGTGPLKMHILPLFSLLPTKEQMKVFEPPPEGHRLVILATNVAE TSLTIPGIKYVFDTGRSKERKYDPVSGVQSFEIGWISKASAKQRAGRAGRTGPGHCWR LYSSAVYERDFPEFSEPELLRMPIEGVVLQLKSMNLQHVVNFPFPTPPPRESLIKAEK LLTYLNAISQTGQVTPIGSTMSIFPLSPRFARILLIGHLHDCLPYTVALVAGLSAGEI YIPENQAIPAAAVQEAKKNDAGGSDDEDDSRAVTFRTTEDVLADDRRAKICAAFNAVH KNFCYLDDKSDAIKLLQVVGEFAHDPTESWCDSHFVRFKVLKEIKQLQKQLIDLLRTN IPAFANLSVPDTLDPPSQKQVQALKQMVAAGFIDQVAIRADKAPTPPEMYRKPKRAID VPYLPLIPLEGEDGRKLELEEKLVYIHPSSPLAHLSVDECPDYIVYAYLQKAGNTGAD GQKRAKTRMHALTDLTAGQLASLAKGTPLITYGKPIKEVKGSESKDGKEREVWVVPYL RAEGQGGMGWPLPARKVKQRKVAGQGWIVE NEUTE1DRAFT_120163 MSEDAVRQEPFPSPSRQATGLVNGVETEVSSMNFSDKIMVTISQ GGRLAQWVQVQLAAPSSASVDMALPGAGSLLPSEHLTATTLLGGGNAERETMGQLYAS QIASLLTLRNPNEQRSLLLGLGLEKVDTTTSDSFYDVVELVQQVL NEUTE1DRAFT_115986 MPTRFSKTRKHRGHVSAGKGRVGKHRKHPGGRGMAGGQHHHRTN LDKYHPGYFGKVGMRHFHLLRNHQWAPILNIEKLWTLVPAEAREKYVSGAATETAPVI DLLSHGYAKLLGKGRLPQVPIVVRARYVSAEAERKIKEAGGVIELVA NEUTE1DRAFT_107668 MAGSSAAIKLNENGIPDFDALPLRKGDPKYSAWGLYGDEDELGT LNRLTDERVAEAAKNEIKTGLRISLNWPLNAQKDSGFFNRKLFHQDLFAKPPRCVNDD IWTFNTQVSSQWDGLRHYAYQKAKKFYNGVTMEDIHAEGSEVNGIQAWAQKGIVGRGI LVDHHSWRLEQIISKKPNPDPRLVNFDHFATTPIPLEDIHACLESQGTEIKFGDILFI RSGKVFF NEUTE1DRAFT_35122 GLEPSVPLFQFLWSNFSAVAGDQPSLECWPPLATRDPVNYGDEL SLHEVMLAGWGMPIGELFDLEELARECRDRKRWSFFVVSEVCNVKGGVASPPNALAIF NEUTE1DRAFT_76873 MESNNGTGAPGAFHTQQVDNAIRAIQHKRPLPEIDFTIHTMEDG SQVSTMERVCKDVQAPAMFKPSDEQFFEDETHTKPDIQFLKQHFYREGRLTEEQALWI IREGTKLLRAEPNLLEMDAPITVCGDVHGQYYDLMKLFEVGGDPAETRYLFLGDYVDR GYFSIECVLYLWALKIHYPKTLWLLRGNHECRHLTDYFTFKLECKHKYSEAIYEACME SFCCLPLAAVMNKQFLCIHGGLSPELHTLDDIRNIDRFREPPTQGLMCDILWADPLED FGQEKTTDFFVHNHVRGCSYFFSYSAACHFLEKNNLLSIIRAHEAQDAGYRMYRKTRT TGFPSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSL PFVGEKITDMLIAILSTCSEEELREESATTSPGSASPALPSAANQDPDSIEFKRRAIK NKILAIGRLSRVFQVLREESERVTELKTVSGGRLPAGTLMLGAEGIKNAISSFEDARK VDLQNERLPPSHDEVVKMQDEERAQALERATREADNDKKLQTLSRRLSTDRKRS NEUTE1DRAFT_115988 MAAEMKDGRFAHDITVAPQTNSVMSLFSLKGKTAIVTGAAAGIG YGVAEAFAEAGANVAIWYNSNKKALDAAADIEKRYGVKCKAYQVNVTSLEAVESVVTE IIKEFNGRLDIFVANSGIPWTDGAALDGPPESYKRVMATNVDGTFWCAQVAGRHWRRQ KQEGTTMDGKKLEGFAYGSFIATASMSGHIANIPQLQAAYNASKAAVIHLCRSLAVEW VGFARANTISPGYIRTDISEFCSPEVKNAWKDKIPMG NEUTE1DRAFT_76877 MRGKVVGAILRSRAVVSRQPLSRTHICAAVTVAKSSSTAQNSRR TFSSSFRRLHEPKAEITAEGLELSPPQAVTGGKRTVLPNFWLRDNCRCTKCVNQDTLQ RNFNTFAIPSDIHPTKVEATKENVTVQWSDNHTSTYPWPFLSFYLTSNARGHENDQIS LWGSEAGSRPPTVSFPRVMASDQGVADLTAMIKEFGFCFVKDTPHDDPDVTRQLLERI AFIRVTHYGGFYDFTPDLAMADTAYTNLALPAHTDTTYFTDPAGLQAFHLLEHKAAPP PSEEKEAASSAAGEAAAEGGKSLLVDGFNAARILKEEDPRAYEILSSVRLPWHASGNE GITIAPDKLYPVLELSEDTGELHRVRWNNDDRGVVPFGEKYSPSEWYEAARKWDEILR RKSSELWVQLEPGKPLIFDNWRVLHGRSAFSGIRRICGGYINRDDFISRWRNTNYPRS EVLPRVTG NEUTE1DRAFT_144393 MAISQTRAAAISSIGIRALSYFFLRWAMLPFGPALIFTLFAIYL PTFVSGYRKEPKYNLVDEVDVVVTETTIRDDNAEADDSPGEPTPSGNEKVVAEQVDIQ ETISIEKRPMHALRTLLSGAPNPRSLALSALTFLINAALVGFVADRLFRERYYGAEDL SFARVGYVGEHEAKLLIREPDQTKMPINIQIHLKDPQPPFDNPLWQSVGGVRWTGNET DYTAVVTIPLRNSGRRTYEWKTSNNHTGEFTTPPKVGEGPLTFLSTSCIVSRLPYNPA DHPLAIPGMRHLAKVLPSLGAQFMLFLGDFIYIDVPRYWAKSTEEYRKKYRQVYASPD WPAVGQNLSWIHVLDDHEIQNDWSANTTGVYQNAIDPYNHYHAAANPPLAKKAGALSA RSGATYFEFTQGPASFFLLDTRTYRSNNKVAFTDDSKTMLGAEQLEDFIAWLRRPEPK GVKWKIVASSVPFTKNWGVNTQDTWGGFLTERKRILEVMWDTASRGVGVVVLSGDRHE FAATKFPPPMNSTWPESATVFEFSASPLSQFYSPIGTYRQRDTEDVEIKYINKGNSKF GAITIENLEGGEQSDLKYRLFIDGEEVWNTVILSPPVVIEDVKPAIAAGSWWERFFAW RR NEUTE1DRAFT_115990 MKLSTITCALLGLASEVAATALTYKLVANEKACFFATTKKANEK IAFYFAVQSGGSFDIDYEVTGPDGNVIMDGQKERQGDFVFTGKQIGDYKFCFNNEMST FAEKFVDFELAVEDEARVTIPSKQGSSPEQTSALEESLFKISMQLSTVTRNQKYFRTR ENRNFSTVRSTEKRIVNFSLIQILLVIAMGALQVFIVRFFFQGARKGYV NEUTE1DRAFT_58203 MASIAAGLSQALPKPKYSGEYEESKSQQRGPRIVGAGQIDETQV VLRRTGPPPYGNRQGWRPRAPEDFGDGGAFPEIPVAQYPWGKDASNKSNALVVQVDGE GKVDYTAIARQGHSSDRVIHASFKDLIPLRQRAEAGDLDLSRPSKEEVEATAERTKNA LAALVSGAVASQKPKNININTKREPTFVRYTPSAQMGDNSKKQDRIMKIVERQRDPME PPKFKHKKIPRGPPTPPPPVMHSPPRKLTAEDQEAWRIPPPISNWKNPKGFTVPLDKR LAADGRGLQDVQINDKFAQFSEALFMADRHAREEVRQRAMMQQRLAEKEKAQKEEHLR QLAQQARAERAAGGRSRRSRSRSYSGSESRSESDDDSERERREARKEKLREEERKLRQ SRMGAERRAQVMAREMDRDISEKIALGLAKPTQSKEGMYDSRLFNQSSGFDSGFNEDN PYDKPLFAAQEAISSIYRPRANAEDFDDEAAGESEMARISKSNRFGEALGRGTFKGTA EAEAREGPVQFEKDTGADPFNVDKFLNEVEKDGASSSNKRGYGLNDGERDSKRTRIDD DED NEUTE1DRAFT_144396 MNFPGMPGGGAPGGGAGAAPGGYDPNDPNIKMMQKAMESCFAKT VMSGGAGFALGGVFGMFMASMAYDTPYHSPTAPGTGPGANPAAAGIPGYKPVDLSSMP LKEQLKHGFKDMGQRSYSTAKNFAKVGALFSGIECGIEGLRAKNDLGNGVAAGCLTGA ILAKNGGPQAAAVGCAGFAAFSAAIDAWMRMPSEEE NEUTE1DRAFT_135102 MASGNNGRAQSVMMVFVYLILLFRTDGHLEGSLKHLLHNGFEVR VVRVRDPHGELIS NEUTE1DRAFT_37552 MGNPTNRALLTPSPSPPSDDRVANLPIRTKDDQNPQAPSPNAML VDTPEEHIVEPNGVDNDEVAIITPEADEKEAPVLATDYKAMKEHVLPALIDEPPILED QVHTWEIKGWRNLNKKEHGPIFHAGGFPWRILLFPYGNNVDQCSIYLEHGFEADEMPE KWSCCVQFALVLWNPNDPSVFHHHSAHHRFTKEESDWGFTRFLELRRLFSQPYDGSSR PLGENESVNISAYVRIVEDETGVLWHNFNNYDSKQETGYVGLKNQGATCYLNSLLQSL YFTNAFRKLQTSNTAVATSELTKSFGWETRHIFEQQDVQELSRKLMERMEEKMKGTPH EKALAQMFSGKIKTFISCINVPYESSRVEDFWDVQLNVSGNKNLLESFQDYIQVEKLD GENQYYAGDEYKLQDANKGVIFQSFPDVLHLQLKRFEYDIQRDTMMKINARYEFPEEF DAAPFLEKDADRSEPWEYELHGVLVHSGDLNTGHYYAFLKPTKDGNWYKYDDDKVTKA RKLEVLEDNFGGPFRLPNGQIRTLPQKKTPIMRPNSAYMLVYIRKSRIDQILTQVTEE DTPPHLRNRFAEELAAREARRKEREEQHLYIGVKVVTEATFQEHGGTDLTYFDTTPDQ DPGAPIYYRVLRQDTMEQLVAKIAADLGQDPKRVRLWIMVNRQNKTVRPDVPIMDLAL TVEETYSKATAQRDESLRVWAEVAEEVNADGEPIWPSHQAQANGAIVKDNILLFLKWF DVESQTLRGVGHVYVRLDKKVEDLVPVILKKMGWGEKVPSGEKIQLWEEIKPTMVEPL RGKETLKTEELQDGDIICFQRTHVHKSRLGLGESKPSEHAKSSDKLTDAREYYDFLYH RKVVRFCPHPQKADVQQYPQFELVLSSKMSYDKLSEKVGEHIGVEPTHIRFYTINGAN GNPRTAVKKLSNQTVERILTPPGYGQMNLNQLSDALYYEVLDISLAELDTKKSLKVTW LSEGITKEDQYDLLVTKSGVVEDLIETLVKKAKIPSEEEAGQIRVYEVSNNKWYRDLD RNYPVISINEYTTVVAERKPEEEIGVTDPNQYITVFHFQNEPSRAHGMSFRFLIKEGE PFSETKKRLEKRLGIKGKSFEKIKFAVVRRAQFSRPIYLQDDDILYEKAEKEDYLGLD HVDRSRSARNGGGDLFLKG NEUTE1DRAFT_58210 MVFGRSLTESRLISSANYVRTELPTRIAHRIRDMQRLPYVVVTN PHMNEVYDLYYSAFDTFRKVPEIKTLEDNDALCATIRSNLKAHLTVIPKLAMGILESA GLMDAKELDKFMNTILRSRISRRVIAEQHLALTDTFHAPWFSPGAKLSESEFIGEVFL KCVAKDVVERCGQAIRDIAQHAYGPDVAIPEIKIDGHLEANFPYILSHLEYIIGELLR NSVQAVVEKHQRYKEKVAAAGGKIDEIGPPPAIEVTICESQQHVIIRISDQGGGIQQD VLPYLWSFSKGPASQQLLTNLEQVPKMAATMQELKVEGTTSSEAGTTVGKLQQQRQEQ QQQQQQKQQIKGIHNGNNFPGDPGYCNSLATLTSRPPNLRLGMGLPLSRVYAEYWAGS LALHSLEGYGVDAFLQISKLGNKNEQLTTRATMDAV NEUTE1DRAFT_115991 MAPATTESASPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGK TTFINTLFSTTIKNYADHKRRHQKQVDKTVEIEITKAELEEKFFKVRLTVIDTPGFGD YVNNRDSWMPIIEFLDDQHESYMLQEQQPRRQDKIDLRVHACLYFIRPTGHTLKPLDI EVMKRLCSRVNLIPVIAKADTLSPADLARFKSRIRAVIEAQGIKIYQPPIEEDDEAAA QHARSLMAAMPFAVIGSEKDVKTSDGRIVKGRQYSWGVAEVENEEHCDFKKLRSILIR THMLDLIHTTEELHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEEALRKRFTEQVKI EEQRFRQWEQKLIAERDRLNKDLEQTHAQIKSLEMELESLQGNAVRSHGRR NEUTE1DRAFT_144401 MKTDFKFSNLLGTVYCQGNLLFSPDGTHLFSPVGNRVTVFNLVD NKSYTLPFSHRKNIARIGLTPQGNLLLSIDEDGQAILTNVPRRVVLYHFSFKAPVTAL SFSPSGRHFIVGLGRKIEVWHVPSTPDANADGELEFAPFVKHHTHVQHFDDVRHIEWS HDSRFFLTSSKDLTARIWSVDQEEGFTPTVLAGHRQGVVGAWFSKDQETIYTVSKDGA VFDWQYVAKLGQDEDMVDDDDLAWRIVNKHYFMQNSATVRCAAFHPESNLLVAGFSNG IFGLYEMPDFNMIHTLSISQNEIDFVTINKSGEWLAFGASKLGQLLVWEWQSESYILK QQGHFDSMNSLVYSPDGQRIVTVADDGKIKVWDTESGFCIVTFTEHTSGITACEFSKK GNVLFTSSLDGSIRAWDLIRYRNFRTFTAPERLSFSCMAVDPSGEIVAAGSVDSFDIH IWSVQTGQLLDRLSGHEGPVSSLAFAPNGGLLVSGSWDRTARIWSIFNRTQTSEPLQL NSDVLDIAFRPDSLQIAISTLDGNLSFWSVSEAEQQAGLDGRRDVSGGRKIGDRRTAA NVAGTKAFNTIRYSTDGSCLLAGGNSKYICLYSVTTMVLLKKYTVSVNLSIQGTQEFL NSKLLTEAGPQGLLDEQGEASDFEDRIDRSLPGSKRGDPSARRKNPEVRVNGVAFSPN GSAFCAASTEGLLIYSLDTTIQFDPFDLNMEITPTSTLAVLEKEKDYLKALVMAFRLN EAGLIQRVFQAIPYTDIPLVVEQFPNVYVARLLRYVAAQTEQSPHVEFCLLWIKALVD KHGAWLSANRGKVDVELRVVARAVSKMRDEIRKLADENVYMVDYLLGQASAAKETNTT KTLALEWATTDSDEQPGAGGMSLNDVMQQDEGNASEDEWIGLE NEUTE1DRAFT_135107 MSEATPHRINITPSNIGLWSKSPTIHPQSPTASTLLSDLLQADM HHHHVFFNKDGFHNHIPHHLLALYGTSAPSEALQKAYDVNASYQRPALPEHQEVVSDL IQNWRDDAGKYLGKEEHYPDFLAFFKREMDSRGGKYEEVVNEYLFSGTPEADNLLVRL YSGFLHPLIQLMYGLEWRQPAIVAEGLAQAAVHGDEIGGYLLGAEEAAKASKLEEDMG MGRVVEDLLEKGVRGNEKLAKAARMSDANKVRDGVLKRAREEAIQLAAKVKVGKEELQ ERTAEMYDSAVFMAGAAALVKRDTKLPRFDFFLMHHVTSAPFFTTVNAQDWISLDTKV RLLEWKIRMDLVQYAARGAPELSLDAITSYQPKEKEASGAPEEIISNLHFFEDDGHSI KLARAARICQLYYQTRDDDYWNKVYHLIVDSVLAPGPNWVRSCGFDEAWEDVPNVE NEUTE1DRAFT_37564 SIAVSPILLIRKPGGSVRIYINYYNINNIILKIYYLLPLIKETL NIIYYIKTFIKFNVITIFNRICVKEEY NEUTE1DRAFT_36851 VLLVKKLGSRIRVYINYRRINNITLKSRYLLLLIKETLDVIYYT KIFIKFDVIAAFNYI NEUTE1DRAFT_144403 MDPPASKDGPDGSSLKPVSSLLAKFENMNKAGDTQQSSSSSART TSPAPKPDRLRDPSPLGAREPPAIPTRPKDRANIPSLQPQYTGVSVASTAGYSSSPIR SVPPPISSLAPRPAQPPFLNVQPPQSPPSRNVDGVAVGERPSFLQTDSLAKVASPPTA SKQFKIPSRPITPATSDPQRSPRLAATQPPSPPPPRRSVELQRPRDVEKPRPVPPPVN RAEKPVIPSRSSVQIERSQALDIKTRTPERISPFSSPPSSNGTPDEDSSPPMLPARPR AQQQQREPNPEGLQRSRTFHVGFDPPPLHPSAARQRRGREEEMGSTRGPVTRHHTGGN QPPALPARPQSIIETSHTLNGMMMMAPPPRPPKPGVNANLDPNPPGSISQKRIVSTPN QYQPSVPSRTHVRSMTVDRTSNRVPNEFRAPPTPISAPTHDRIPERIPERIPEVVPVT SSRTANTGPTITMAYPDATNTNRSKPFISKGVHEIYTKYDTRMLDVCGELVCTTGGYT RVWSLLDGELVMSLSMGEGQKGTAVMFKPGAHVDDEGTKVWIGNNLGELLEADIGTQR ITDSLPNAHGRSEIIRIYRHFNEVWTLDDSGALNVWGPDESHTPTLSNGPSQSFRVPR GHTFSMVVEDELWYATGKDIRVFKPTVDGKTQFQVLLRALCQQDAGEVISGAVLASDP DMVYFGHSDGKVSVYSRKDYTCQCIMNVSQFKINSLAAAGSYLWAGYNNGKVAVYDVT QTPWAVKKEWVAHENPVIKLIADRSSSYKLDRYQVVSLGADNMVRTWDALLQEDWLEG EMKRKDVEYCEFQNLKVLIMTWNAGASTPHSLRYSDSDANFFRDLLQTADSPDIIIFG FQELVDLEDKKATAKRFLKPKKKEGSDQERMSHQYRDWRDFLIRSLDDYMQGDLYHLL HTSPLVGLFTCIFVKADLRGRISNLSSNEVKRGLGGLHGNKGAIVVRFLVDDTSLCFI NCHLAAGQSGANQRHNDVAAILDASAFPSERDPAVRIDSYVGGGDGTMILDHELCVLN GDLNYRIDTMSRDTVVTAVKQGNLTKLLERDQLLVAKRRNPGFRLRAFDELPITFAPT YKYDVGTDNYDTSEKKRSPAWCDRLLYRGRGRIEQLDYRRHEVRVSDHRPVSGRFRFL VKRIDPRRRAQAWMECQQLWEDLRAREAYAEKYYYLTQVIGYDHDTSERLITEQASRK QMNRSPSRRQA NEUTE1DRAFT_115994 MNTVKSFWLGWGSLCVGGAAAYYFAKKEINASRRNQLEELRRKK SMVSSMEQSENLQSQSLSSSATGGSATYNGSPARTDSAGSPSMESSNDPAPTRHAPST ERERVFEKSKYEASVPYSSRKGDRFS NEUTE1DRAFT_120179 MASTSAELIVAKAALTGALFRADPQSCSRDEIESTLTLLNTATA ECSPSNVQLCGISVCPAPYVQKCKQWALTNLVPSSMRIAPFCKYLIALVKSYGQGQAK DLTESEKKKGRETSVKRKRLHALYLLNDILYHVKFRNHDDSFAPKLEQALLVLVSSAS SFTNCPKHIRKIQDLISLWEEKGYFSEAVIKQLRVAVEDGPSSNASVQNTAQPAATST SSMPKPVRAAPYIMPAMHGDPSIPWYDLPAGNWMPVLEPNSTRPMNPDMIKPLVLPAG PAEKTLMQAVQKLLVDVDNIFSKDAANLDGPTPEIGQMGEIIEIDEITGEVIGGETYY GWSRNFCEKMKKRNQRGASGNDSRSRTRERTRSSRSRSRSRSRSRSYSPSRSRDSSRG SDARPAFKRQRLSESPERRYRSRSRSRSQSRSRSRSRDRSRDRSARSRGYDSRSRSRS RSRGRYRSRSRSRSTSRPGFRQRSPRYGSRGRSRSESPARLRGGQHQQPPYHAPPPLT GFPTGMPPRPPQHPGVFVPPPPPPHNQPVGNFHPVPPPIGFPVAAPPLPGQPHQLPSF PVPPPPPPPQNYQGQWPPPPPGPPHQYSQHPQHPTPPPHITPSPPPNFFPPGGPMAVP PHPPPFVPSSGGAWPPPPSPHSNQPPHHAQHQQHQQQQPQYHQSQQHQGRDYQGYSQH QPRDYQQHQHQQGREGDYGGGSGSGYRGGRGGGGAGRGDYGRGGYGGQRGGAPWGGGW A NEUTE1DRAFT_76912 MDKETNTPEEVLGSAEKSMNVTSKTSNDDTKAHEFNEQTNYVPK RTIITIFLACAGVDLLALIDQTTLATSLYIIGNALGSTSQVSWIANGYFITSTVGQLL YGRLSDIWSRKVMLLTGLAIFFLGSLASSLAQSVLQLTIFRAFTGIGGGGLMTVAQLI VSDVVPLRERGKYQGIIGAVVAIANGIGPVIGGALSSKSEDSWRWIFRINMPLTVLTT LGVVFFMPLKKVQGDWKVKLKAVDFTGILVALAGTIVLMLGLTWGGGEYPWGSAHVIA TLVAGFVACVAFVLWQWKGPRYPLVPLHIFKSKIVNGACMTMAINGWNFVVQVYYIPS FYQLVYQYSATRAGAMLLPVTLVQTASSTLSGLVVHWVGRYRESILFGWVCWAVGLGL MSTLDETTGIGKQIGYSILIGVGVGNTLQPALIAVQAGVARRDMAVVTSFRNFVRNLG ATIGLAVCGTIIVVYTWSANTIIYVGSNNVLAGSLGSLDIDHDVSKTLLSNPQMYLRT VSEAEADRIRGVLIPAYRKGFRIIFMTGAALCALAFVIAFFMLPQVELSRPDDEKLRE EGRKAYEDKKRQDSA NEUTE1DRAFT_76914 MANPLNVYKGPDSVRSYFHPESSPPLPLVEIPDCLNPYRRDGVR IYAKMMSMHPANNVKSMPALNLLENSVEKGKTQTVIEYSSGSTVISMSLIARVFHDLH DVRAYLSNKTSPAKLRLMQFFGLDITLFGGPSQPDPDDERGGIRVAERQAKEDDSKIN PNQYDNDDNWKAHIRWTGPQILRQLPEINVLCAGMGTSGTMTGLGTYFKDAKLTVYRV GVCTAPGDRVPGPRSHALLAPVQFPWKSAVDHIEEVGSHDSFSLSLSLCREGLVCGPS SGFNLKGLYQFIARRKADGSLAELAGEDGEIHCVFLCCDLPYQYINEYFDKLGAEYFP TIHNEHLTKVDLYRYDDKWEKEPADALDLFFTQDDALASALVPITSKVKPQPQTSIID LRQPLDFHDFHLPGSVNVPFVHEDTPSPFSEPYILESLWKRLEETFKAPSKELRDLLR GRRILLLCYDGDSARVATSVLRAKGHEADSIKGGFKALRRLREKSDSGFRARNDSGVE VMAVHLPSPIPVAAQVV NEUTE1DRAFT_135114 MADRVTFTSGIFYSMGGIMMNVGGILESILGNTFPCAVFMSFGT FLIGLAITLTPAHNASTAFDPSNPMNPGFENSFSPFLGNSPLIRKIRYTMSSKSQLRP VQCGK NEUTE1DRAFT_144408 MDELNDQTEHAPSCPVPARRLGALEHPMIIKNVDKAMNTFPAHY SLEAIVDSSSPQVSIPLYLRHSDPTVRPIVSHNALTHNVVVKVTVPKRTGRKRKRGTD DPFEGPVVDNNTSTTTNPNEEVFSRDRLDAPRLLRRKLSDNVRKYTVEPIGVITNTHR YRGLADFQYAMGNSPFMSRFVDQVLPGDVAKLKEFAIQPGIESGKNIDLIPPPNFTPM SLPFQYGYAQNPYTKEISPGTAGQADDDGGDSDVDSEAEFRRVVNVTSRVPAAGYFIA HDEYPVPSAPRRQPNLADPQVALIMKEMWAAMEERPIWTRRSMWNRLGSKFASLPKSG SLVRHCLQYAGYQFKGGPWRDALVKYGLDPRSDPKYRIYQTLIFKLHKTRIGTVGREW KAVRRSELGVTNFGKYWNSYGEGANLGRGSERRSRETHVFTGESFSTDGKVWQVCDIT DPLLRRLFENAEVRPECDTEISGFYHRVLWSVAKAIMKCKMLAIRFGRTLSDDDFGCT LEAVKGPNDGGDGGSGTSIGITLPDLQLTAKECEQLRGRNKIKPGLKQGRDRWEERKK KTHYRVRIPLKEVEEREAEKMALLLGIGLKPRERRRAPADPAAGLGPSGVASAAAPGT EVDEVLLDPALASIGVDSARADTTAPTTTKKPSPATAGGGQTATTEPQHRQDHREDEE EEEEGEEEVQAPKILQDIFEDNEDEEFEGLSEEEEEEEGDFSDGDLLGDEDEDEDDER GYDDEDDEEEDRYGGYRTDGGYQTDGGYQTEGGYGYRTDKELGDENEDESEEEDDEEG EGGEGEIGEEGERGRTRHRQQPQAWDEEDVDIDEEDEEEYSDY NEUTE1DRAFT_58236 MKRFSQRVLSRTKDKDSKSKKNKDAKDGTASPNSQGSREATQSP VLTPSSSTSTLVNDSRNKPLPPNNAAHGDHGNSMLGPQGGNSNPGAADRFSSIGGQQQ GANGGSTPVRHGTLPPTVIISPSAPHVPPPGAAETMPHDLAPPKAGQKSLMFDRLHQT PKDVLEGLRTPKRQNSSRFDISAHRELEKLPGFHEVAPNHRQDLFMKKIEQCNVIFDF NDASADMKSKEIKRLALHELLDYVANNRQVITEPMYPRVVEMFAKNLFRPIPPPMNPQ GEAFDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKAYIDHSFVLQLLELFD SEDPRERDFLKTTLHRIYGKFLNLRSFIRRSINNVFFQFTYETERFNGIAELLEILGS IINGFALPLKEEHKLFLTRVLLPLHKVKSLSMYHPQLAYCIVQFLEKDASLTEEVVLG LLRYWPKVNSTKEVMFLNEVEDIFEVMDPAEFAKVQEPLFHQLAKSVASPHFQVAERA LYFWNNEYFCNLVSDNVEIILPIMFAPLYENSKGHWNRTIHGMVYNAMKLFMEINPQL FDDCSHEYTENQSNAPAREAHRQSKWARLEELAKQRKVESGTSNGTSSIPARTTVHPL PRLEEVDGTEDNQKRLDSLKLQDGDRRERRPGMHERQSSVGSSRSR NEUTE1DRAFT_98281 MYEAGKTRHYAIGGRRKLKEAGKQRLNRGFFAGRSYIRRNQDKR TDKDMNWASSQAGGRQKAAAQQTDSTQELWHIGLRDLTTTFREFLTAS NEUTE1DRAFT_144410 MSSQSKDNNRDRKSKSIRLSQKLRGLRDLRLRVSIEGKPHLQRR GSLQQSPQEEEEYRIVHTPDRPQRFISPVEAPTEDDCYDALRTWHHAAEQQRSGVHLY GIQQQRSGGAGEPGSTGVDGATNEDDDGGSRGSPFVPDPSDDAKTPKDEKWWELAYGE FKEVEEINPFREWRGDHQQKETQDQPGTNTGQIFRQDTAKGGPLRKGAAPSHLLTDFT GLPSKTYSTSEADENDIFNGTNDSKGEYTPSVYTPSQKSPTTPTPEMFPEAPRWTFGR PPAKSTTAAPETLLTRDVQRACAIDSDSESTIPPGGRYFDIDEEYDPSGGTRKSSISC KPTATSISDQQQHPRQLPSPPPPQPKSLDNTGRGFLFPTLGSSGHAMQTLHTELLKLA HKQHEQERQKRGRGSGQSGDSGRSGSTSSAFYSAFTSSPRPETPDVRPPSRRGEQQQQ QQTDPSQGTHELGIETAIPSTPRPKPKQTQQKRRYPITPPRGFLKERGPYIPPSSPGT TSSSPRPPTPPPKTPETKAVAPKPRVLLKGLDFSPSRPVPPTPTPTPTKPKSMGSTGM RGVGGHMGGHLAGTVSISSLSSLSSLTGGSGVAITPPRVSSTKLKAPPPAPPPPPGGD EGGLLVLPKTVYNGNSKARMPVKMPVPVPSLLSKFNTSHASPLTSREHGPSTPNRLSP QSQDQNHIQGQERKRHQRGSTEFSIHIQPRLSDPFVDSPASGVDTLGNRLSVGMVDGL YDEGQAKAWEKTMSMMTQTQKPAHVQVYTQGQAKVRELQPGNTQSQKQVQGQGQAQIQ SENLGKMPLQTQTQIQPKVQEKVNLAFKTPPPSIPPSQGYPLEPNPQTSSPGSQAHQP QSTPQTPPRFQAYQAQPALRTPSPRTIPFTPSLTIPFSLQTHLPRISYSPNICHHPST APDSNSASNPHSSLPAPTSSPFIPHTKDKEQKNREQQQQQQQQQRPVSAGSDGGGDSD SSSSYESLQFWKNPTPTPGPTLGPGMTAPVQRSSSSGISRGDQRPGQVGQSGQVVGHE GEGRYEFQEGDDEDEEEKDSTAVVETESGTTVTGKGITVSVVVDDYADIIDQYAGGWR ETMFSESWRRNSSK NEUTE1DRAFT_98283 MDHEHQSRRHKLGVVSGVYIPVCLNILSILMFLRFGSILGQIGL LGMLGLLFIAYSVDFVTTLSLSAIASNGEVKGGGAYYLISRSLGPEFGGSIGILFYLA QVLNTALNVVGLIDCLRLNLGPVMAQGYWWTYLFETGALLVCTLLCLAGSAMFAKASN ALLAIMVISILSIPVSAIFLPSFNDPVSGIEFTGVSLTTLRSNLWPHFAGDEFNGAGT FRDLFGILFPATSGIFAGASMSGDLRNPSKDIPRGTLWAMLSTLISYVLVIISLASST THGTFLRNTNVIQETNVWPPIIFAGEFATCFFSALMGVIGSAKLMQALARDKLVPGIS IFGKGTKKTDEPLLAIFLTYIVAQFAMFANLNQIATFISMGYQMTFFVMNLACFLLKI GSAPNFRPGFKFFSWQTAFAGSVLSAAAMFFIDETYATTAVSLLVTLFLLIHYLSPPK RWGDVSQNLIYHQVRKYLLRLKPEHIKFWRPQIILLINNPRKQTRLIQFCNSMKKGGL YILGHVIVTDDFSAGVTEAKLQQAAWTKYISEFSRIKAFVQLTMSPTITWGVRNLILS AGLGGMRPNIAVIGFYNLDDLRRSRPTLRISHQAPTSHAKSPQTTETKQPVRQRKRGD TSARLMEGFLPTDVIRTEEMMSVIDYLTILEDLALRYRLNVAVGKGFETLETPRKDGS NTKKYIDLWPIQMSAELSADGRNVLTTNFDTYTLILQLGYILDTVPAWKKVYQVRVLV FVEYESEVEEERGRVKALLEKLRIEAEVLVFWLASGDLGTYEAIIHGRFRDQKTEKLV NDCLVGQEWWEELQKIRGTHEETSQPDISSVLNIVESTSGRPGLYNPHSELPDPRDRR RASWAPLADFPKKPTVSQLVRLGVSMGIHTQNLAANVLDSSEMDLDGESDSDSSSSSG TLDGDFNDAASVANNGGLEALEPSRVPLLGATRRMSHGDLFDRLKKPWKDRRSRKAGG PSAQQSYGAVSQDTGHSRNNGSEGRSSKRDDFLSVGTERSSHTTTPTLSRQNSSILKS STARKFTSSLVPETRITSDDTGPQIMFAEDQARPGISRRSTPGTAALLSSKQAARNTI DDDNGGGPRTVCFVEPRYDGASSSPDKSRANSPARTGTGSGSGDVLLDIPELLSSYRL QHQQQQDDDAAEAGSSYSTQGLPLSFNDLPSRAQHLILNELMRQNSADTAVLFTTLPV PEENTCQSEEASLTYLSDVEVLCNGLPPMLLVLSNNMTVTVSL NEUTE1DRAFT_127843 MSNSGKDSGRNSPAPGNVPGRSSSPGGSFRPGSFRPSSFRAREL GTSIPRADSIARLATPVLPQQQPSGTPTPIPIERGDAGALPPLPGAGSHSAGPGVSAL AAALSNTYGASPPRFGTPPARALSPAPSGAQLQRSATPTTNYGSFETRSRLPSTGING FGAYEDPEIIKRHLVQPGDGAGEETGERSKGKQPAEDDDEFSSLQLQGGDITRPIYRW AEEIEQRNKAKRSHSFSYPRPEPENEILDINSIKVPGGFRRNYLRRNARDPSAHPDDS ENGAGQSAPPPRLLTTSFLEFLSLYGHFAGEELEEDDEVLKPGEYFTSGSDEGEWWSD EGSVDDHEPMEDSTLLTPSRRKRRRKERGGSGRNSPMSAAMLLLKSFVGTGVLFLPRA YLNGGMVFSNAVLLFVAALSYYCFVLLVSTRLKVEGSFGDIGGILYGKWMRNLILFSI VISQLGFVAAYIVFTSENLQAFILAVTNCKTYISISWLIIMQMIIFLPFSLLRDIGKL GFTALIADAFIVIGLAYLFYYDVLTLNTSGLADIIMFNQKDWTLFIGTAIFTFEGIGL IIPIQESMRNPEKFPKVMAVVMIIITTLFTVMGAVSYAAYGSKTETVVLLNLPQDNKM VNGVQFLYSLAILLSTPLQIFPAIRITENALFTKSGKYNPYIKWQKNVFRFFVVAFCA MVAWAGADNLDKFVALVGNFACIPLVYIYPPMLHYRGVARSALWKFSDVALCVFGFIA MAYTTTLTVMSWAGAGEGGESPGYCDAKGLNP NEUTE1DRAFT_58240 MNGANPKQNSEPNRFELFLLQDGEKKIEEKVFTGMSNTSDFKIL KEDHTLGNLLAEHLKQAPHVLMAGYKIAHPNVPELFIRIQTDGSITPREALVGACKQL VAMYGQLGREFQKELALRQYADQGEQGGGVNGGANGGHY NEUTE1DRAFT_116000 MTRDQSSPIPITIITGFLGSGKTTLILNLLPQLRAANPSYRLAL LKNEFGDLAVDSQLASSSAIAGVSELLNGCICCNLVGQLSVALSELQSTVRPDRIVIE TSGSAFPATLALEVNRLARETGGQYVLDGVVSVIDVENWKGYEDTSYTARIQARYTDL IVFNKWEGAGERRFDEVLDRVGDLEVDTAWVKSDKGWVDMSVVFGIDGGLARGLTEED GHVHDDKCGHDDKHKHDHQTEVEVLSIELKSGNREATVDVAKLLAFLRKTSKDEVYRI KAVLAVSAKTAREVLKNSDEDTTVSAPPTSTGSEAQTTRYILNWAFGRWTFTPVAAEV KEHESSSEAILRMTMILARYESTKWKKRLEAGGLLELEEENGKGELSVTKVN NEUTE1DRAFT_120189 MSTNPNTVSQPGAEGQDPSDYKPQLDQIAEQKRKGPEEQQQTQQ SGASGIVDKISEYVPASVSETIGLGKKQQQQQQQEEMKPKEEDFSAVPPHRPHHDEQI QEFIRDQHRSKEILGDDEETKGN NEUTE1DRAFT_58250 MSNRHFINDPQHLVLTSLQSTTLLNPGVALDAHNKIVYLRPGYG HASSSSNQRQKVSLISGGGSGHEPSFSSMVGRGLLSACVAGTIFASPSAEQVRTAIMG RVENNEPSSSSYSPEHGQQQGGEDAGGVLVVVMNYTGDVLNFGLAVEKAKAAGVNVEM VVVGDDVGVGRTKAGKVGRRGIAGTVLVQKIAGALAAQGRSLKEVTKVANLAAQNIVS VGASLEHVHVPGRRAEAEGAEFKVLKEGEVEIGMGIHNEQGSSREKGIGLPELVGKML KQLLDRNDEDRAFVNVNSNEVVLLVNNLGGVSPLEMGGIVTEVVTQLAEQPYGIKPVR ILSGTYMTSLNGLGFSISLLNVVNTDIGGPGMIDLLDYPSEATGWTAPISKLTWEEQR ENKNTRENEVKSEEEVRPCGLTYDPQEAQKQLVAGLKAVIAAEPDVTKYDTMVGDGDC GIGLKRGAEAILSHLDSNPLTGDAAIDLAKIVTVVEQTMDGTSGALYGIFLNALVASL HTVGGGESDKKAADENAWAAALKLSCEALSKYTPARPGDRTLVDALYPFVDALEKTGD VKKAAEAAKQGAEGTKGMKAKLGRTVYIGGSGFEQVPDPGAWGLAKFFLGLAGIEKSD DSSAKQAKGSDDGWEEVTREAELP NEUTE1DRAFT_76936 MTSADAKIQELLTKPRNELTEYEVSLLEEHEFSAGPLSILQTAV RSHSQVLISVRNGRKILARVKAFDRHMNMVLENVKEMWTETPRLANGKKGKPVNKDRF ISKMFLRGDSVILVLLS NEUTE1DRAFT_76941 MLVSKSIASRAGLASAVASRSCRPSFTAMPLRAKVLGQQAPIST QAAAELFKPVEGDEPEDVLFNSLYNLRSVELNRPAKYNALNGSMIRKIAPRLLEWERS DMANVIVIKGSGEKAFCAGGDVAALAKQNAEGPEGIKKSVDYFGLEYKLNHLISTYTR PYVAFLDGITMGGGVGLSIHAPFRIATERTVFAMPETKIGFFPDVGASFFLPRMPGQV GPYLGLTSALLKGVQVYYAGIATHYLHSSSLPALESRLAELTPRDYWTIEQRLSVIND TIEEFSTGVPYDENIEIGGKIRLAIDRCFKYDKIDEIIAALKEEAAEGAKGGVQSWAK NTLEELTQRSPTSLHVTLRQMRLGKSWGIAHTFKREHQMAAKFMKSHDFNEGVTALLI DKGANGPAKWKPASLDEIPPGANISEDYFRNDPEVPVLELLNDRSYMQYPYNKFGLPN DYDVKEAIEKGNFTREKLIDHFVETRRGKQGVREAVSDVLDRMAVRSKGTEHVQWKKE NEUTE1DRAFT_120193 MASTLPGGDACLSGLKADRQFGPRTLLSALFTLHVLNTIYTTKS LRTTLSLPATLLTTISLVSSTLLSLLEDQRTIHPSDLLVIYFSASTILFIPRLRTLYL LHVSPSSLASPINPIPHLLVLQVLWTLIFALTTLAAVTESLGKFTLLQPRYKHIVVTK EQTAGFWSRGFFAWLLPFLQVGYKQTLGMGDIPGVDGELRVESAGGEMEEVFRRLLLL AQQSQAKSGNSGKQEKEEGKGEGAGEKKKGWMLIRAAYEANKWPFWSAVVPRLALLGF SLCQPFLIEAVVGYLASRERKGGERGDEEIEAPQPEYFGRALVGGFVLLYIGIAVSRA IYWRQTNRMIARIRSGLIAMVYQHTTALRAVDVKDSAAVTLMGTDVERIVTSIKNVHE LWASVPGVGIAIWLLARQVSYAAIVPLVICLVCVVGASFIGAGTGPAQAAWNERVQKR VAVTANMLGDMKAVKMLGLTGVLGNIIEGLRRAELKTSEKFRKYLLWNIQVSFPAAMQ AVACFGRIETYLTKQELKQSDLSSVEDRGRSAGASFESGTELRSVVGSRPLARETTPG ALASFAAADISWSANTIEPVLQGVNLTIKPGFTAVIGPVGSGKSTLLESLVGETTLQR GSVTTNFSRAAYCPQAAWLMNDTIRHNITGSSEDFEIDQKWYDLCILSCGLRRDLDGM VAGDQTVVGTNGSSLSGGQRQRVALARAVYSCAPVIILDDVMSGLDPATAKDITTRLF SRQGHLRKAGVSVVLATHNKRLLPYMDEIIVLEGGKFADIGSYSEIQSRGDLKLMAMT DDDVIESGEEQQSATDEVSRDSKSPATTQKPSDTTTRQATKPNFERRQGSWSVYAYYA RSAGALSLSLWAFFTILGAITSNYMTIWISNWSSASTPSASNPDPHPNLGYYLGIYTL LVVLAQIGIVGECFVFLINIISNTALSLHTDLLNSVLSAPLSFFSQSSSSSSSSSSPT DSNGDDKNNASSEDSGTITNRFSQDMDLIDMTLPVQAIMFTSAGSYALVQLIIICVLG KYLAATVPLLAVTLFLVQKYYLRSSRQLRLLDIEAKAPVYKHFLETVTAGGVATIRAF GWDKRFRDRLARMMDEAQKPFYMLACIQQWLALVLDLVVGGMAVVLVGVAVGVAPDPE KAGSLKSAVSAGALGVSLVLVMTFNELIVQALQAWTRLETSIGAVARVRDFVRTTPKE DDPAADYDNGGCVGDGLTAWPSRGEIKIEKICASYGNTSSNTNTNTSGPPALKNLTLT IPSGSKLAVIGPSGSGKTTFLLSLLRMIPLSSGSITIDSLNISTIPRSRHIFNVIPQD PFFIPNTSLAFNLDPFGHLSHLPPAEATAKMESALRLVTLWPGRVTANGQTLDSELVT SEWSVGELQLLALARALLLKDQRRILVLDEAMSSVDEKTEAVMQQVIDTEFKEHTVIA VVHRLTYIERFDLVAVLKSGEMVEFGEPRALIERAQACRWVSDSRARH NEUTE1DRAFT_76944 MSAAPAVASHGPLTSATFWSCDAEPIETQTAAPVFTTEYCVTLP TPCHHGPGPCHATYTVTEECTGDRDSWAQPTGVVPPGFVVTTVTDCNACHGGPTQTFT MPTQSPIPTNVGPAPGPGPQPTMSTSVVVMVPSQTGGQMPVQTAGAPRARTMSLKTSV GLLVGAALALFNFA NEUTE1DRAFT_127852 MPSDDYSTVARGPLKLKGVVAGGKVKKHKKKKDKTDLEKNLSTG DNKSPVTSNNDDDEKQLQKRDSESLGADIVDNQDEERTRRATSQSQEREEDDETKTEA ERRFLEAKRKRLKEMMESGRVRPELLKTHKQRVEELNAHLSRLSEHHDMPKIGPG NEUTE1DRAFT_116004 MGPNKTLEMPPSGASLPIVDVEKAASEDARTRSTRPEDQRLPTN TTTDNKMNSSRRALLSVTLCLLFFFGLTSAGPSKWQQRVQCREGAASAEGENLQSLLD AASKDSLHNILHKAFPGRFQHGVWESEKQAIEAVHRDNAPLATAVLRMAKRDDSNTTI ASSTQQPTSSASSSAQATSSSSSAQQVTTSSSSSSVPAPEPSTTTQVPPVTITTTSTT STTSTISTTSTTSIKSTSSSAPQASPSSTGTSVSESERTLVLISTSSSASSVSSSEPS SEAGVSSTTSSSSVSSTTLSTTSKAKPQTTEQPQTTQQPQQPNEPMTPSSKVIVQTLT STSDGVEVIVTQTSTVRVDLTPTVGAGTTRASPSLQTENAASSLQQQPGSGRWLMVVE AVVLGALLFGFALA NEUTE1DRAFT_36072 MASRASFQMAVSTFASRRALKSEAPWPLSASTCAMLDRSTEAKE RRNNSPHPMPSRRIHPLNTINTGQLPRHDGRDSESYHVE NEUTE1DRAFT_120196 MSPRASRPHGVEVTGLLHVTNLTSGSVEVPQPPSMTQPDVFDIT KWGCPWPMPTEPGTEPCRPRRVPGSSTFQKVGLENRMPPAPTTDDRAASKLQYPPPPR WTISLHVIVARHSTDPSHWLPDGHSTLSSPFPKSVRCSPRPEPRSTKLKTSTMSEHLR PPISAPSHSPTLHATDVKYDQQDPEEDITIGTRTPKPYYRQSFELLEPSERLLHRAAT VALSQRQPSSTSAHNSNPASDSGTEADDEHFLKGLPAPKTRSHKGLRGKNEPLSGTST PLLSPNAPDEDGRDPDLNSRSGFSIRLKRSEAERARRRKEVVRRGAEVLLLVCQGVLV ASNHDVQPFIQIFRKANMFPAELSALGWLFSTLLALYPLRLVAWAYRRGNPSKQLPLS VPTSFDPAPALYPQLIPLFVSLLVAPNVEGVVLPNVILSICSLPRPLIPGAGYWEEYS STQWLLSCIPLVAGGSATDPEILTLLYPLHQTLCLLLQQLTTTSLLIAELQLLSVALI NVLLLARSPQVVILKALLWGGGLGIIALCGKVIQWGISLARVPKWRFKRPSVSKSGST SGFWKFFRAAKRTYYDGKTDNEEVLSDSDHVVSGHNRNRSSATPSWDLGFLKGRTRAD SITSAEENSFRQANGVDVRFDVQEPPSPQQPSSRRHTLPSLGRITSIVRSQTSTPSGR RKRSASSSVRAFFSLTQGQAAVRKWLYAGWVYACILIVILVGVRECVGRYALAEHEPV GWALGYLFGDIPEFRLEVVKAGLERWICLPIRADDEASGALGGWVQLVRQSVFGEANT RLLLSGYWLVIIVIGLAVVFRLSPFYEVDTRRKVFHFMMVAMFLPTIFIDPTYIALAL SIVLAIFLLVDLLRASQLPPLSTPIAQFLTPYVDGRDLRGPVVISHIFLLIGCAIPLW LSLAALPRIGEGPLVGWEVPLRDISMVAGVVCVGLGDAAASLIGRRWGHRKWLWGGGK SIEGSIAFATAVFVGLMTANVWLFVGGWPSTVGTLSTVAREAELNDGFGSSEGAAAGV GELAMDIIGRLWEALSQLPKASWSTTVVKTATKR NEUTE1DRAFT_144423 MRWNVAVCGLMGLLAQSATAITMDIDDTQSVKDAAATIAYGMLK YYTGNNTGDTPGNLPDPYYWWEAGAMFGAMVDYWWLTGDTSYVEVTTQAIVHQAGDAR DFNPANQSRTSSNDDVGFWTITAMMAAEDAYPDPPEDQPQWLALVQAVFNQMASRWDD LNCGGGLRWAINDFQTGKDYKNSISNGIFFNLGARLARFTGNSSYGEWASRTWDWERS INLITDEYDVKDGAHFDVTTHVCRNDSGPHVWSYNIGVFLQGAAFMYNISTGTEQETW KTRVDGLLGAVEAKFLTNDTKIIKEWYCESGFSNNGHPYQCNIDQQTFKGYLLRWLSS TSQVAPYTYERINPWIRATAAAAVATCTGPVGAAAPQVDSGGIQPGFKGIDGTACGFK WTETFDGWAGVGAQMNALSAVMYTLTHTGVGNVTKGPVTAAQGGTSKGDPGAGVTDPA SKGGLASLKAITMADRVGAGIVTAILAISIVGGSVFLTI NEUTE1DRAFT_58270 MASPAETSVTYEELRDLENEFEDVETEIIRQQVQLSRPLYEKRE KVVAQIPNFWPLVFEQAPQDIDEYIQPQDSALLLSSLSSFSVSHFEIENGGSGDPRSV LFRFEFAENEYFEDKVLEKKFWSRRSKGGWTGLVSEPVNIKWKKGKDLTSGLLGLVNA VWEEEKAAGNHWSTVKGEDFTDKQKELKNQIEKIGLGGLSFFAWFGYRGRRVSAEENK AAIEKLQEKRQARKATAEAGESKKEEDEEEEEEEEEEEEEEEEDEIELEIFPDGDSLA LALSDDLWPGAIKYFTQAQEQELASDDDFEDDDDDDADEDDEEDDADARPAKKRKA NEUTE1DRAFT_144425 MGQVSFEGKNPESHYPESRVLIIMTGGTICMQPSADGLVPMTGF LKNAMAPRPSFNDPTAPNVQLRAYKNGAKLTLDSLRTPVSAYSRHIRYGILEFTPLLD SSSISSMGWTEIALTIKENYHMFDGFVVLHGTDSLAYTASALSFMMSDLGKPVILTGS QASIFALQSDAVDNLLGSLIIAGTFVIPEVCLFFHHTLYRGNRTTKVSASSFEAFDSP NCDPLAKVTSLGVDVNWGLIRRPTQIAEFQVTKYLDTAHVACLRIFPGIKPEMIESVL KVPDLRGLILETFGMGNAPGGVDGSLTKVIKEAIDRGTVIVNVSQCTNGFVSPLYAPG TVLGRAGVVFGHDLTTEAALTKLSYLLALPNLTYSEITARMSQSLRGEMTEMATPSFS HPAGSIDSAMAWLPAADTAFTALGYAIRNGDLRTVREILEGDEFNHQLLKRADYVGNT PVHLAAVGPNPDVLHELLLRGASVHPRNYANHTPLYLAEKMGNVENVKLLKEAGAHLW RTEPHSGNVSEAGDLASTTDVASVGAADDEKEVKDVAEHRSNEKEQKTSDQVADTVSP RHGLDKSHLVTDESLVHGLKKEDIGKFVN NEUTE1DRAFT_37288 MDCHMLMIWVLSTLVDASPDSGEAINITTTTINDTTQAPTMLLP DNLLASLSPMLDMYLPPAVRDFLVSCHRAITSWLGFDPTILLSLGPIVWAAKRLWSEV KMLVVEDIICNWFTARVEVGDDDPIFIHIMRWVAIQPSSAKTRFLQADTIAGSADDHD EDDEAGAAAAAGAAAVKTAITTTATTVITSTSTTNTTDPSNTTTTAKNKKKQAPTILP NGEACLNFSTHKHQKPPRFLPAVNVPYTFTFAGTYFWLNRTRSNIAHQSEWRGLSMRR EENLVITCVGFSAGPIKNFIQFTKEWNHNRQAATTVVRRPARPEMRIYGGRHVWAEVA DRPIRPMDTVVLDEKQKLMVLQDMNEYLHRDTAQWYGERGIPLRRGYLFHGPPGTGKT SLSFALAGVFGLDIFVISLLDQNLTEDDLAMLFTNLPRREKKRALEVIFLEGKLARKK RKGKGKQVTAEQGALEKELAEKLAALKEMLKEGNDEEKADEKVTDEKNTTSEKEEQEP AAPEGEAKPTFSARDDGEPGEKMTKHESSSTTDKKKKKKPMTAKEKKHKKKQKRKRMS KTFAATSLHNLDLIRRQYGRRRSSPYDRERGISLSGLLNAIDGVASHEGRVLIMTTNK PEKLDEALLRPGRVDIQIAFLNATQEQVRELFERMYEADVVDPATVTFTQVGNKLTAS TTSAATTLPPVVHSPHTGVTSPDGTGTGTTAEKDEEKQQQPPIDPKIVDLKLLDNNNN LRKSSVSSCSSDATVIGEEDNAAAPPLVPTITAAAAATAKDKEKQQEPAEEKTESPVP LHINPLTRQELQKIAREFSLKIPASQILSPAEIQGFLLRRKKDPRRALAEVDKWVEEL VKAKESKSKILDAKAI NEUTE1DRAFT_116005 MASQLLPLELIDKCVGSRIWVVMKGDKEFSGTLVGFDDYVNMVL EDVTEFDYSGNHTKLKKILLNGNNICMLIPGGEGPIAASA NEUTE1DRAFT_76958 MRSPHPIPQAIGAGANAVNSTTSLSLRQCRRRFFASSPSSSIPS ASRYPVHLGAMERKDQTWNSSRGITFAGDSRGRVGYPQLPSLARYHSDSAVSATSNPE PVLPPNHDGVFNSTGVPQGNPDANGTTLDSQGQEFVDAQEGLEEQFQLILEDGNLESK DEDASLKPPFTPLSFTMSEDLFKEAKKAAEGTPKSYWTYNLYRGPDKDGNMNEKVKVH YCRSATTTERVLKQYFMDDKILGLDLEWEISAKESHGPRQNVSVIQIASEKRIGIFHI SLYPRKDELASPLLKQIIEDADVVKAGVWIMGDCTRLKKFLGIEARGIYELSHLYKLV KYSASGEHKLVNRHFVPLATLVKEVLQLPMFKGAVRTSEWSKPLNMDQILYSGSDAYA GVQLFAMMDHQRKQLNPTPPLPYAAELKLPIRLAADVIFEEAGLLDEQFSEVTAAPDA TLSANYLSTVGDNINVEIEGDGSTTLSGEDAFVSATTKPGKNGTTKKTTEPSTTSTAT TSTKRKRTPKEPNESKESKVPKPINPLISEATLWAQNYLLSHVSRKQTFGPKSPLSVA NLRAYYLWSRNPSMNCEALAALLGIKTSTAAQYILVAIQKEKGRLSFEPKRMKEEIFG SGVISEETLKFRWQGVQMLCALYEEAEARGVNGTGVMDGEGVKGKSELELLDEIFGQE QEQEGEVEEVEGEEVDETQAEVEVKPKEESVEDVMKAALEAGDLDFDEELTLGNSSSQ ATVDEEGVERLRKMSRSH NEUTE1DRAFT_144428 MDHPTESGAQVRVTFTTQEEDIQLDESKRQLLVPADIRRYGLSR ILNSESMLDTNSIPFDFLVNGSFLRGSLEEYLNANGLSLETNITLQYVRSLIPPTYEA SFEHDDWISAVDVLSATSPAGRWSGDNFQRGQDRILSASYDGLLRIWDASGNVIGTSP SGSHGGHTASIKAAKFLSSTQIASAGMDRTVRVWKYTESGESYERRGELKPTLELYGH TSSIDSLEVDGASKRILTASADGSIGFWTTSKASAPEVSDSSLLPGAHTSKRRKLATS VTAAQRGPLALMNIHNAPATAAVFDPRDRTVAYSVSQDHTVKTIDLTTSSVVSTFTTS HALLSLAALPRSSASAPLLACGTSARHITLVDPRTSTAATSVMTLRGHANKVVSLAAN PENEYSLVSGSHDGTCRIWDLRSVRPATKDEGGMGSVSEPVYVIDRESQQGKKKKSSV TGDGCKVLSVVWDQLGIFSGGEDKKVQINKGRGVNAE NEUTE1DRAFT_76964 MVTLDEVLGSGLLQKPSGDAPEALQRQITNYKPLHTFKLEKTRP YQQQYADIYFLRLTKIRPAVEAAASAAWEGTVLGGEKVKRVERVLDVRQGELCWVAGT VYMDMPLKPSILEDVSKDRWISAPTSVGHYYSGNGEDSVMLEDDSGRIRLVGNALQNH FMVTGCIVAVMGTENANGEFEVIDLLFAELPPQPERWSLSKGKKEADSSEDVEMMDAS FSGHPRKPSSKKIALVSGLEFSNADTSYAMELNLLLEYLLGEALDPSIQRDELSHITR LIIAGNSISTTAAEQAKPAAAFDTTTVTNKFQPQNKKYGYDSSSYNPVPSQLLDSFLS ELLPTMPVTLLPGALDPANASYPQQPIHPAMFPQSRTYMPPPKAGAGKDRDVPGEEEP GWFDNVTNPWEGEIEGWRVLGTGGQNLDDVFKYVESEDRLGMMEAMCRWRCVAPTAPD TLWSYPFQEDEPFVIKECPHLFFVGCQPEFGTKVIHGPDGQAVRLIAVPSFSETREVV LVDTETLDVERVKIVAE NEUTE1DRAFT_98304 MRRSRPGFDQLLNQTTNLDSAHNFLQFALYHQQHSTDILHSTVT PFATPTYPYSITDFAFGADIPHTSLTGNALAGMMANVDSTRSIRMSPALSANIQSTRF ETPPRNTQPLDLLPPLRPPKRTVSYGGNQDVDPENEDKNLDSSLRRRISSLLKIRPAK RTRNNPPPPPPPPPPQRRSANRRHADSQAVRSFRQVLRAPAIDEDVVTAEVLRAPAID EDVVTAVSAQDGKDIFPLLMLPFEVREKILRYILVSDKPVYVKRLWTEQVRSIRRNTR GRGHGGNGDKSEEEYTNKTTILRTSRQMLAEGSLLLYSQNQFVYLLRDPIHAMVDFAS MLKEAQKKSPKKIEGRNKRKRKADSQYGLYEINIAKYGHLLRHLSIELEPNRSGTQYR ELMEQALDVLTSSDRRGRYLLAGQIVDGKTPRIFLHTLTITVSPENDQGRPGSGSVSK NSSQESRSPYSSAIELFDSRSLVMHALSRIDTQFLRINMHINDGPHNDNEGDDEFALN TGTRKRHLETTIDMRFLPRHTKQVNDHLMKEQRAKRGEEAQTALQTLKIRMYEGFENP DRVVVDGLWEDNSAADVRRKKERAEFEARLEGDARRQNDDEESSSNEDSDEDENDDDD YESRPKKRKLTTRGRGRYGDSLLISVRRVADGLVVSRS NEUTE1DRAFT_76967 MGSVSFPASSEPPKPWVETPLIYSAQLSNAAGCNIYLKLENVQP SGSFKSRGIGNMMLRSTLPSPPSSPKIHFFCSSAGNAGLACATTAHTLACPATIVVPT SAQEPVLRRLRGLGATVVIHGSSWFEADTHLREGEKTQKKTKQVYVPPFDHEDIWSGA ATLMDEVAEQMRVVHRAYVDAVVCNVGGGGLLNGIMEGVWEVGGGKEPRVLAIETVGA DSFNQSVKAGELVKMKQITSIASSLGAVQVSKKTWEWSQMVGGENLVSATVTDAEAAM ASVRFADEHRMLVEVSCGATLATAYNGDLRRYLGKGLSDEEWRNVNVVMVVCGGSHVS VDVLKAYREKYGPEIVWA NEUTE1DRAFT_144431 MKLNESSAVSTTRALLVPYESRHVPTYHQWMEDSAIQEATASEP LTLEEEYENQQSWRSSHDKLTFIICQPVTDNSAPSVHAGQVDSPEKMIGDVNLFLYPN DDEEEQADDRFQCIGEVDIMIASHDHRGKGLGRTVVSGFLQYISRNLEEILHEYYTSE REQAGTATNSPMLKPRLRMLMAKINKDNSRSIALFKSLGFEQEGDVNYFGELKLVLRD LGAYATANVPEGYAELVYERED NEUTE1DRAFT_120205 MDVLRTASARRTFKWQAAGILARTSWVCRSCRSQFVAPKAARRT LATSTTTTTPTAPDNKPFYVTTPIFYVNASPHVGHMYSMVLGDVLKRWQTLKGNQAIL CTGTDEHGTKVQRAAIANDMDTKQFCDLNSAKFQELAAACRIDYDRFMRTTDQDHVEA VKHFWLLLKEKGLIYEAKHEGWYCVSDECFYPESQLEKRQDPFTGEVYVASIESGNKV EWIEEKNYHFRMTALKDQLLEFYKNNPDWIVPQTRMNQVVDWVTNNLEDLSISRPVSR LSWGIRVPDDESQTIYVWVDALINYITMAGYPYWPPGREHLGGWPVDVHVIGKDILRF HCIYWPALLLALDLPLPKRILSHAHWTMEKKKMSKSIGNVVNPFYAMERFGIDTMRFY MIHNGGIANDADYSNDWLTVEYKKHLQNGVGNLSARVTRPKQWSLRRAVQSYQDGTML LQSTGEQINDSCVEHITRLDKLASTVDYEMLQLNPSHALQKIMGLIGETNAFISNAEP WVIVKKQDSEALVDRIILTAGESLRIAGILLQPFMPDKAAQLLDLLGVENHNRTFHHA RPLVDATYGTAFRTFGKEGIFPPPILDDIKGMGPDAGGKKHSSGNKPSSGNKKPTA NEUTE1DRAFT_76974 MVGMDAISALLQTGSWRTSRSKSQNPYSRTPRRTDPLSELDIHI DKPLERRRIQQLPPPTVEDEADSLAKEYGSPSVISSYEDEPPNRGEIDQLILMEPVLE NNPERRFVVVTGPGAGKESEPAPSIVETTPPVTSTDTAPIGETNDCKKFVLVPSDEIK DGDKQEEKKEPELAKRKSHQDLPRLDTHVQEPDSKDAPNEPPSDRQSPEKSPVHQDDG KAARIKRSGSRRRPEACVDEEDTKKASLKRASSRRRPEIPPDVQDSADTSIKRSSSRR YRERPTDQDAKESPIKRSNSRKPRHRPLHDQDFEITADSPDKVNPGASEFSASVVTQT AGGRERRPRHERMVSTPDVPRIDSRSLELEGPGIAPLSMYRYNDADDAMSFMVQEVVI PDHDLTRKSSPPRTSRKESPPPYPSVTERKRMPSQSATNRRRRNSMAAPRDRREYPIG DDPRYQQLDHHEQPQPFRPAVEEDASLMALKHRLGAVDIGSESQDVVPHPSALALRQQ DQFSEASDPGSSRSATFSAEGDYRRGRAGTYSLTSSPPNGRLVPQREDESSPRTRRRA RSRTMGTNPYSNSSPVLSVQGYHSSNGSNALAKVSSPLASPSLTRQDLVSTRPSASFW DQNPFEPAGRRAPSARPILSFRKYSEEVQQGLTPPLPDCPWQIPGLPYSRNPGDRFWI LRGAENFLVCPHCHSELFANSQFRLEFVATSLRPDQPVLCHLGSSFWYRMAYLMTLKQ RLPDLQLIRNVATVSGRHPPCSGPQETARKWYGMWDSYKGDFVPLFEVCVGCVKMLEA VLPNLANTLYPISPEPFIAYCQLHYAPGRKRFIEYFDLFEMTSDYALSRQAAPDIRDL ANRLRRIARVKECPPCPKDQALVGAYWHTMNFLPKFTVCEECYREVVKPMLDADDRRS DIPWNFLPERLVSKSIASCQLSSERMREEFREACRLNDFGYLDGKYIERLGSLLTPVS RGVW NEUTE1DRAFT_135145 MRLLASTYLSLTLLIIAASAAVVEEGSTCIVTTAQVVTYGDQSR LRLSCPCSRNSLVPLQPRTHHIRRLMNTTDLSNVTIYIRGTLISRQLILLDLSLDGKD MTILGCFSSPSPDTPQTRLQIQREVVVRSLTAKAKPGSTKTKRCELARGD NEUTE1DRAFT_116009 MASRPTVTIIGADGKATGTTEVLPKVFGAPIRPDIVKHVHTGMA KNKRQPYSVSEKAGHQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFA PTKIWRKWHVKVNQGQKRFATASALAASAAAPLLMARGHQVSTVPEVPLVVDSAAFSG VNKTANALALLKAVGAGADVQKAKASKKLRAGKGKLRDRRHRQRRGPLVVYSAETDGK DLIKGFRNIPGVETSPVDALNLLQLAPGGHLGRFIVWTSAAIKELDAVYESKKGFQLP ANVVAQADLTRLINSSEIQSVLRAPKGDAKTKRSNVQKKNPLRNKQVLLRLNPYAATF AKEKLGEIKEEGKPQRVPATFKVLLQE NEUTE1DRAFT_76980 MSESSVAYRDGPPRGADGKPLPLDFTHHLSEVTKRRQASQMKKY YRFFQIPGIKNLAGGLPNTKFFPFETLEAQTAKPERWQPTPNHPGTDKDKKNPAAESA HITVPTISGEANPVTKVDVATALQYGQANGYPPLLDWVRKFTRDHLHPDAPYSGGPDV ILTCGSTDGFAKTLEMFVNPWIDGLNDPRERPGLLCETFVYGNILSQAEPKGVQIVPI KADENGMLATGEGGLEDVLANWDVSKGKRPHLMYTVTLGHNPTGFVIPVSRRKEIYAI CSRYDVIIVEDEPYWYLQFPSATAANTAKAKASGLKPSQPEQGGEIFKRTVSTGYPFL DSLTPSYISIDVDGRVIRLDTFSKTIAPGCRLGWITAQPELIDRFNRIAETSTQQPSG FVQSLVAELVMGPQPEAPSSSSTTATTSSSSGWSFFRSSSSSSSSSSQPQPAKQTPSG EGWQMTGWVRWLEGLRGMYERRMNRMCTILDSGSSLISSSTRLRATTNGSSVGTPGDW EVITTTPLYTYSWPEGGMFIWLRMHFDTHPLWQHPLFFPSNGEGEKTKVIDGPTISLA LMLFLTLKPHLVLVSAGNMFSATSQIREDVGWQYFRLCFAAVSEEDVDSGAQRFVDGV RAFWKITDARVIEKLVEQKVDTEEVVARVMGQEGVNNLGGWLGC NEUTE1DRAFT_120209 MGSQERRFNVKKIAIIGAGPSGLAAAKYLIAQKVFEDIVIFERQ DEVGGAWYYSREPTHTLHVPQVSASCPSDPPLHPEGKPPVFPSPMYEVLHTNIPRHLM QFSDKPFPEDSLIFPSRELVQEYLVEYAKDIRHLVRFSTLVQDVRLRQDSDGRDQWDV DALALETGEVTTTTYDAVVVASGHYYTTYLPDVKNIAEFHKAHPDVITHSKLYRTPEP FANKKVIVVGNSASGIDVAAQISRVSQQPLLLSVHSATPPAHLEWIRAQEVPAIEEFL VEERGVRFADGRIEKDVDAIVYATGYLFTFPFLKSIQPPLVTDGRRVYGLYKDLIYID HPTIVFPGLPIKVVPFPFTESQAAIFSRTWANLLPLPSVEEMKKWEDEEAEKRGHAFH VWPKLGDANFINEVHDWIKKSGTEGKEPPYWNGELIWQRGIFFEAKLQFEIDGQKAKS LEELGFRYEPEDKELAKTTTPTKTLL NEUTE1DRAFT_127865 MASADAIDGVAVTALESRTVTPIAQLDPELSDQNSRSVRGEVTI TWPYNRVTHTLAFLLAEPDVRLRRTKGQVRVQLHGPSAKAVSECGLGACDEVLLSLDG VEWAKEESPGRILGARVDWQLQFNRRLVLQVKLNGSDEPKHINVDSRQTELPNELPEP SAIQQLEQTVNEPEPALFDKLSAIRQVPDIALNEYPSPAFIKRARISYGSLFDDGLDI FEEDGGIKGKGRKRSRFARKSNTWRYSSKSPTPEPEIMPEEDPVSQSQSPDGDAMEED TVTEEAPAPKPKMTDGASQTVESEMTPSLPAASGPASAEKLEASPRPAIWEKVDMETQ ETSTDTALQETEAVQAASHILGMANGAPSQSYTYQHTDSQAHPAANSQFGSSKPMVPG LSMFGTPASVPVESEYGIANQVRFGFSHTPQIPQSGVPEPQMHAAPEPGPYPDSYLDH SVPAKYEGMESYMNIAQSNPELQHGQDYNLVPVPTGTESFERGQWEMATQAPHYNPIE GGHFGADALNEGTPAIIEDHSLHADPTRPDQVPKGFSSYGPGTEDPVGFEESESRAES EQDTERSEIEELAEDEVLNEADEDDVGVDDEVEHEEFGEELEEGDYDQREYNKPESDD EGIRQDEEEAELEAAERYGEDDVYDDDDDEMDDYAEDWERERTRYDEDEDEDEDAYES EDPDLSQRLPRRAPAAAASADPVVISLLSDSEDEDKASPPPQPAPPVSRRTMARQLSI RHSSPPVRAPTSTEGTSLQQMEKSLSAEKEEPTVEQPVAKEEPTENSSKSWVGPLDKS SSADQMDHDLQPKEDAKPLGFAMEIVHTQPAARADAEVAPTSDVAHAKDASSELGSPA QNTEPLKTINTPWTKQAEKHESDLKPREEALPKTADDQLKEQQKDPNSESEVNDETFE GFSSDTQIFERPEEDSESAEDEMLDEDEEENNEIAEEDKGDEEEQDASEQVVDLLDTT SEEEDEEEEAEEGHEEEDDDFEPVESESEEEVLEEIHEEDKAVVDEEGFKKVENDDEK EVVLEVEEEKKIKVEQEVEEKDESAKYGEDEIVDEKAVATEATGTVEAKETDTDVSEV KDTEPDVQEVKAEKSDVKETSETADEPKKEEPQADQGEAQGSAKTLNALEETVQETIS IHEERAETSNVGTISEAEPKASFTTTSPADEREQTPDRVEEVDEMEAIQHQLFEDMVH ASREASMMEVSDAEHVSFMSQDTPRPIQLDSDEEMGDGDDGDVEMADAATPRPETHTE EDQVSQAVEVEMTSETIEVDSDSMSVSHEESVEVVVIKAPHVQDFAMEDAEMVVEVEE ETQITVEKEVTVTEAPAVAQASPFATVDVTDHAHQQAVLPSSPPIQPFASQVAEDQVM LVGSSSPAKEADTEKPPPTPDQSGLLGKEVTEPSQPEEAQVAAETATEECMEVITQVE VVEETETVTTKPQVATVEEGVETQDEVSLIVVEEISEEQTQDLDKNQADEADTDTQPL SASYHGFEEADKSKQATPTKKRAPSITEPAEEETTVPKEKPSQTTVTPNQSQTVDDKD TDLSDNLARQAVKASAKRGRKQQSALEPLRTSARITRARSRSVTKSVTAEENEDASKA AALASPSKRSFASSFNSSAAASEDNTTPQPSNSHHPEDSDNNTVATSGPLPNDPSLLK LSLSRLLRTQPQFANCLPLKSIRNHLGKNVDILAIVSSPPTNPTRAKGGPREYMMSFN VTDPSTAPTHVVEVQMYRAHKESLPIVKPGDAILLKQVEIRSMTAGKGFGLRTGEGSA WAVFEAIPEEDKEEEGEKDGDDATAATTPRPPQIKGPAVEDWEDFGGYMVMLKKWYRL LLKDETAKGKLEKAVKKFEK NEUTE1DRAFT_116012 MDTIKNAANYVGDKVQGATATASKEANKDVAKDSNQGVGTRLNA AGDAISDKVSENKHDAKAEAHKQGATH NEUTE1DRAFT_116013 MVDHCGPCAAPASVVQLTEGLDQHSLMQEDIWAVDVCRTFRVTD ITSSQVLNAMAHL NEUTE1DRAFT_76989 MASTTAPPSLESFLSTLPPDLFDQTTLISLASTVAILLAAYSLS RVALDPKTTTSRHRFLFIWHAFDALIHFCLEGSFLYHCFFSSAPLGHLDPKEVFIDPY NYLGRIDKVHGAQAVAGRGTAELWMVYAKADRRWAGVDLSVVSLELLTVLVVGPLACW VCYDIAKKNSRVNIVMIMIATAEIYGGWMTFCPEWLVGSVNLDTSNWMYLWLYLAFFN GLWVVIPAYVIYVASGEIMGAFKVRDAAAKAKKSL NEUTE1DRAFT_127867 MVGLQVQAFEESQRLQMCGPNVSGGRETKHSAHYSQIQSMTVAC GRTRPGLVLPSMNPCSMNGLSSVRPGIHFQPGLTRAVSICPRLLYQRYVFLEWPGCHF GIQEFRATVAAYRHRQPCDCLTTIPMSPFNALDGGGGWLESRVDTRWTSESDSSRAGY GMLQSATNPADSQTFTQFRFAAAKSIRTSTVILASFNTIAAFATAVGILVDTYHREKR ENKRFRFSRNGFSFVPATEAFPLILSVGIVVQGITFAVAQSTGLGSLFGSGCTLIAVF MLPAVFIVPYIQLVFGLEVTIRSLLKKPFAPRGRWNVTICLSVVVLLLLLNLLLAIFD RSSNFCLTSLFCGHFWSALGLRRGHLREVAPEQQDRSRRESCGFKDGLLYDTSDHIGA FDGQRRHALNLSMVTTVVANISGLMTGGLYLFLKSNTISTIGPKNKDADYENRREGYN KTERYESGGLDFDSHIMRPVTSPGQVRRMGSNASLLRTAKDKAENSPTTPTFLFRAPT IPQHAQTPSAASHMRKESYSLFPIRPPTTRPSATPLPSITYAPAASQLADADTLKPPP SMGFLAARGHRRNSSLCSSATVQIGLRLSSVEDVSPLLSPGTDVHTISCPKHNQKDTM KPERKQPPRLITDFDTPIDREFPSRDSMNPKPEEGHEQLETLSPTVYDPKSPAEEPVL LSSKVYTPTEPAERKLETLSPKVYSPTGSAEEVVTLSAKTYSPTTPTKAKLPSPRSPV ADGMELLSSARYTPVKNRHDITIQAKRD NEUTE1DRAFT_76993 MPPSKRKATTASSSKVGGLRQSKLAKENNITAQEEAEIKEAFSL FAEPMDGEKEGVIPIGDVRRAMIALGIPPKSNSELREFTSILDPEDEGFATYPSFLAI CAIKLSSRDRTSEAHMREVDEAFALFVGRSAQEIARLHDDHNDDDDDYGQYEEEEVDG KEKEEPVITLAHLKRVAAVLKENVSEELLRDMILEANGGAGVGKGVRREEFDGVMRRA GVWRLDDAFPVSCGIREREDSGDWKLGTEADHHLLRHDPSLHANRSRATGLETWAGRL AGLECHIPYLELFRRPQGKRLDGDPVVLSACLQSRHGIIPPRVLKSRLKNRAKGQTLE PSEGSR NEUTE1DRAFT_36903 MHIKSIPMSGTGSSDNYAYLVVDDKSKDAVIIDPAHPEEVAPVL KKAIDDGSIKLTAIVNTHHHWDHAGGNTKLRTALGLPNLEIIGGKDCEKVNKVPAHGQ GFNIGNIAVKALHTPCHTQDSICWFMQDGDDKVVFTGDTLFHGGCGRFFEGNGAEMHK ALNETLASLPDETRVFYLTYPMKPGHEYTKSNAKFCMSVLQSEAVKALQAFAEKHRET QGKFTIGDEKKHNVFMRPHDPEIQKATGETDPVAVITKLREMKNNFK NEUTE1DRAFT_120217 MVQYIFTPWRDRRELLAVRRQFYPHQYGSQAASQPQQLSQRGGA GAGAGAKRGRAAQNTQRTTRKRARTDDAAISSETEKEDNNDRQNRHGDERRLAVARVS MWMQRGNCPHMVESTALLMAAILSDEEQTGIAGSSGTASSYGTLGRGMVKREMDVDGF SGSGIGVMSSSYAVRAAYSAAFSRATLKSFVTGLLDSHQDKQRKMSMYGVAKSVGLPA TFVELRHQATHEQLPSLTRLRTAAKKGLEWIWDYYWKHLPEVEDETKEEEGGDDVIML DEGDEQDEKDEDGEDDEDDEGPAWRLYDEGWVPKPIGVV NEUTE1DRAFT_58317 MASQHKVLMLGAGFVTRPTLDVLSEAGIPVTVACRTLASAQKLS EGVKNATPISLDVTNDEALDAEVAKHDLVISLIPYTFHATVIKSAIRQKKHVVTTSYV SPAMMELDAEAKAAGITVMNEIGLDPGIDHLYAIKTIDEVHQAGGKILSFLSYCGGLP APEDSDNPLGYKFSWSSRGVLLALRNAGKWWQDGKIVEVEGKDLMKMAKPYFIYPGYA FVAYPNRDSTIYKERYNIPEAQTVIRGTLRYQGFPQFIKTLVDIGFLDDTARESLSKQ TPWKEATKEIVGAASSSQADLEAAILSKATFESAEDQKRILSGLRWIGLFSDETITPR GNPLDTLCATLEQKMQFEEGERDLVMLQHKFEIEHADGSRETRTSTLVEYGDPKGYSA MAKTVGVPCAVAVKQVLSGQISGKGVLAPMSTDITEPLMKELHEKYGITMIEKTIS NEUTE1DRAFT_127871 MLVEAAGTTGTANPPSICLMGPLSKPPTQLNPISASDKSTKEWL ETPPWRWGAGEWRRFLSASLLRLTAHHHHHPSGTSAHCYRAPQDVDTCIRHGTNSNAV LNGLASHDPLTQQQSTKVQAPQYVIQAEPSLRAHMTQATQAKVMASFNKQDFSYTLPI YLSLQNFKMMPLLVNKNNNNNNNNNFNMGLPYQAPMFSTNMMETPLDKASQQYIAAHT RNMSRGYGGQRTNTSMRITKPSSASTSPRYPFSQSKRKTLIGDGFQNLYTQPAAAAYL PTPAAEFPVEFMYDPETKPTTTARPVSWHPSSQQVAYSQPYPQESTMAFAPYPSYPDF GACTQFQQLPPTPSVYSGSNSPCSASFSPLTLPYPGVDFQQSQHQYASPGTQLYQPAP ESPQSMAGMTGDLSYAAFSKAEGNVMGWTSYAPSSSGLDTYTAPPTPNNFELPEQFTN TKMASEEPISYEAPEDDGSDGEILYGMGLYDPPETNEPADMDLHQSTVFSLLGNAAVY EKPSGKGLKLEDAWEPPASDDEEDNEEQEDDEEEEEED NEUTE1DRAFT_135159 MRVFAGHGCGNQDVGPFEPRRRTHNLEQHIRARQASEGLKAEET QERSHTSLYGNTHAENTSTFACVLATLPTPPFFPHAHPLSF NEUTE1DRAFT_35762 MHTISSVTGWVLRDTGIGLLMIVVNLESRLRVKATKISGITGCN RERAAGGEMSSDKGQQYHCIYQLCLGISAHAVLSSSLSPRPKRVRAWAHQQVETVKSN LFVNPFFCPRQALRVRTVGYSCTT NEUTE1DRAFT_144445 MKHLILVGACYLDTILTVPQFPEEDSKLRATALQVRRGGNCPNT AEVLQQLLLSGGGLDSSSTQNQPDSAPGVVAVTPPPSQQQQQQQQQQQQLKLHLVSIL PDVGSPAIRKILSSFATPPAPQPSPSYDSESLSESVTSAASATATSVDFNHCLYRKGH DEAASSYIIRSGATGSRTIVNYNDLPEMTTDEFMNIADDFVSLQHYHSVDGSSRGFIG EDCWWHFEVGSAIPVIHMLAGQSLHAFRPAPYSLV NEUTE1DRAFT_120220 MAPDRERKPEKDDHSSSSEEDQDALEVSFDPSSPYRRKSSLVNS DARVPLHLRRSQPARTECLVHQFLDAQRRPPRRQNTLDGQVDGTASTSTTPIETRNPI TRGLTDIAHDAVQVSRENSQDQVVDPKGFSSTLGNIATNVRARECSMANANSSDTSDA GQVDEKEWKSEIVKAPNTDPEEKYSRLLTKKQLSEMAWGVRELSRRLSSVRLKFKIKT IFVLTKPYDQELIPKTRALIRWLLDKERDVRYTVYIDKALRCNKKLDAPGLIEEIRRD YVGSGEISEEAGNDISERLRYWDEELCRARPQTADFVITLGGDGTVLFASWLFQRIVP PVLSFSLGSLGFLTKFDFDHYHETLTAAFTEGVNVALRLRFEGTVMRSQTNKRKLVEG DSDKKMVSNKTDKEQGGTDGHDCQDENDPSEEKEEEEKRDLVEDLIGEEKDDERTHRP DGTWCVLNEVVVDRGPNPTMSYIEIFGDDEHFTSVNADGICVSTPTGSTAYNLAAGGS LCHPENPVMLVTAMCAHTLSFRPIILPDTIVLRIGVPYDARTGSWASFDGRERIELRP GDYVTISASRYPFASVQPQGRRSEEWIKSINAKLGWNTRQKQKSFT NEUTE1DRAFT_120221 MPSQNSDVRALLIVLILLWIFFSPESSDPASLTPPEIAHAREAR FQGALDVLNTTRWGDFAPDAPSSTSPRPPTETDEPAGEIGKGNGDNHEQGLRFLNITG FSQLDGKGYAWEDLGRFRNRCREWSRNAFPSAATVGPLDDDSWEPSMVGGTWKNATGT LHGTWVRRPGTVVRQAAEYNLSAIAPGATWPSSLGEWGRNITGDHGKIELRIDEDEGD GVYEEKVEGKTSRGASLAREIQAVATIQDDASEASSWSLLLHGVHWPRQGAILLTTSS EKFDGIFGLPHLAPGPSFFHTGQHLLNETLGKIIQARKKSKYGFPGNPWGSRMEDDDS FPAQCEYVMYLQLQPLEFSRRYESPQLLESYIEELERELRHPTGAPIGRIPDLRASMV AWSPDCSFFLESKGPPEFPSVDGEHLVGMKEAMFTYTAKEWLIAFAAVMLGQIWLFKE QMKQSNTPSTTGRVSFWTIGTMLFADGMIFVTACAWSLNATITLLPCLLVTFAAFISM FIEGAFLSEVWKIQEPERRIREREREQAAAAAANRNASSSSTASTAPPPITQPQPPTT QPAPPPPPSQPRQPIIVPSDGDIDEAEQLNNLLSGASALPLPATARQPGQPAPTNEPR PPTPFSTITGRFVLAGLFLLFISAVATSWPSHIRSFYVNTLSFLYLSLWTPQIIRNAQ RNSRQAFSWRFMIGQSLLRLLPFAYFFLREDNVLLADPDPFAFLVLVAWVWIQLWVIC AQSILGPRFGVPKGWVREAWDYHPVLRDDDLEGVSLPIGLARTGTGLSSSSSSSSPTE ERVRERAWSVVSLGGPAAAAAAAAETGEGSAAVATGSAGGTRNREREKEKEREKQKGV TMRSVDCAICRELLEVPVFNNNKGSGGSSDSAASGITGVFARKAYMVTPCRHIFHTNC LEGWMKYRLQCPICREELPPL NEUTE1DRAFT_77011 MSENGEANTTTSPTATNSADDARQELSVQLEDLLNTLSNKFAGV SSEIFAKMDEMSRRLDNLESQIMAGKEKPNGPA NEUTE1DRAFT_77014 MVLLKSLVVASLAAAVAAKSAVLDLIPSNFDDVVLKSGKPTLVE FFAPWCGHCKNLAPVYEELATALEYAKDKVQIAKVDADAERALGKRFGVQGFPTLKFF DGKSEQPVDYKGGRDLDSLSNFIAEKTGVKARKKGSAPSLVNILNDATIKGAIGGDKN VLVAFTAPWCGHCKNLAPTWEKLAATFASDPEITIAKVDADAPTGKKSAAEYGVSGFP TIKFFPKGSTTPEDYNGGRSEADLVKFLNEKAGTHRTPGGGLDTVAGTIAALDEIVAK YTGGASLAEVAEEAKEAVKSLKNSAELKYADYYLRVLDKLSKSEGYATKEFARLEGIL KKGGLAPAKVDELTVKVNVLRKFVEKAAEEAKEEL NEUTE1DRAFT_135165 MSHESVWNSRPRTYGKGSRSCRVCTHSAGLIRKYGLNICRQCFR EKANDIGFTKHR NEUTE1DRAFT_116022 MSILRTIAPFMRAARAGASTNPLMTMKSPMLNFNRSYASVFQRT KPHVNIGTIGHVDHGKTTLSAAITKRQSDKGLASFLDYGSIDKAPEERKRGITISTAH IEYSTDNRHYSHVDCPGHADYIKNMITGAASMDGAIIVVAASDGQMPQTREHLLLARQ VGIQRIVVFVNKVDAIDDPEMLELVEMEMRELLSSYGFDGDETPVIMGSALCALEGKR PEIGVEKIDALMQAVDDWIPTPERDLDKPFLMSVEDVFSIAGRGTVASGRVERGTLKR DQDVEIVGKGTEIIKTKVTDIETFKKSCEESRAGDNSGLLLRGIRREDIKRGMVVVAP GSVKAHTKFLVSLYVLSKEEGGRHTGFQANYRPQMFIRSADESVSLTFPEGTEDADSK IVQPGDNCELVATLCHPIAVEAGQRITVREGGRTVATGIITRIME NEUTE1DRAFT_144451 MVNYNFLMFAGLAAALPLNINLGAYSPALVVGDGEISFGGKQDV STLMNALEGAAVNAAAGAASNAPVASAPAAGEKKTVQAAAVPAAAAAPTIVPTSPAVV PTTPEGASPAAVDTSNAPKIDPTLAQQAQSIQGLQGMGKKSIAPRAEEPFDEMDMDED EDDITDDITDAMALLDKKKRDLAGFDRALKFSEAALTKGPKVQLGTGAEGSGVGIIVD NNASTRPAAAAEKKKKKRDADETTAPRRRTKVTTMYVRRGIPASLQNNPELATREVAH SHIANVPIKFAKRETATTATEAKRNAIDSVNMNVDTSNEGVTMTFVETLDDEEVEEEE EEQR NEUTE1DRAFT_120227 MGAKTGLFLRGLQGILRGIQFGCAATVLALTSYFLATMANHGLD IDVHLRAVEGISGGITAYTLITILLLCCIPGQPFFSFIMMVVDVCCAGALIYVAIRNK NGASTCDGIVDTPYGKGNADTNVVDNGHGGFTVLPSLHHACKMETACLAVSIIGCVVF ILSPFMELVLVRHRKKEKRYGPSPANDYTSGSGRKPGFFASLRGKGSGHPDADLMNNK LPEHTSPSDVRDSYTTEQTRVGGVAPGNTGAYGSYNPYNETSVGQQQHSTTAYSPPHA GNNYNKYDMSFGQQQQDIAMGQYPNKGDHVYDRR NEUTE1DRAFT_93913 VVLSLVQRIFFLLSSRYGWPSTVHYSTLCGRHTVKRVAFGIWKC HGQGYAKLLSGGAYLPA NEUTE1DRAFT_77027 MLRALTVLSLLFSKSPQPSGGLNITILQPDVPFVPHPHPLPGAA SDSKQIIRQFAELDRSTKWKLVDKVQFEGDTYEPEGIVRIGNDRYFVSAGEYIVPTVK YNGTINGTDRTAGEGFAHMIIFDGKGKRIADASISQAKSLEYHNGGIDYDGTYIWATL SQYRPNTTGTLVRMRPDTLVPEPILSVADHQGGAVHDTKSGAVVTLNWGSRKTSIWNG HDKHLAPPKFTQPRAVVRNPSSFIDYQDCKFLGRYELYETRPVMLCSGVADLANTRIG GIAIVDMETMVPLHEVPVTLVSEHGAAMTKNPMDVAVVDGKMRLFFLPDERNSTLYVY EAV NEUTE1DRAFT_127880 MVKETKLYDLLGISPTATQDEIKKAYRKAALKWHPDKNKDNPEA AEKFKECSQAYEILSDPEKRKMYDQFGLEFILRGGPPPTEGGANPFAGAGGMPEGFAS FFGGGGMPGGGGGARTFSYGFNFTNPEDLFRDAFRDEKMGGGMGGGMGGGMGGMGFED ILFNAARGGAGQRRTARNPFGGGDNMRSARQATPEVTTVERPLPVSLEDLFHGTTKKM KIKRKTFDETGKRTTSDTVLEVPIKPGLKKGSKIRFKGVGDQEEGGQQDLVFVVEEKP HPLFTRDGNDLIHTIDLDLKEALTGWKRTITTIDGKSINIEKAGPTQPGSQDVYPGLG MPISKQPGQRGNLIVKYNVKFPTSLTPEQKEKLKEIL NEUTE1DRAFT_93914 MVDHGQEPHMADRETKANAPRVENKSNTPTILHTLKGVGPNRFP NVVKGRR NEUTE1DRAFT_58350 MGKINTLLFDCDNTLVLSEEVAFEGCADLINQIAATKNIPLEKP FTGESLIVEFVGQNFRGMLRSLQERYGFPLTDEELETYVKKEEDVVIAKIQEKLSPCP NVDGVLERLAAEGKYELAVVSSSALRRVKASIEKVGQDKYFGDRVFSAATSLKPPTSK PDPAIYLHALKELGKKAEECLAIEDSKSGTLSAARAGIKVIGYVGPYKAEERLKMEKV LAQAGASIIMRDWNQFEGYLAAIEKGDF NEUTE1DRAFT_120231 MPAPTLADIVFSEQANHNFSKILGDLKKSNLSITNRLKSIQHDA AFVHEVADVLGLPLVANERCGSWYIDPQLKRSSAYFKSTDGHTGQWKFSTRRLNFHLL EVIGRNDGCIIVDSTRRGKRMPDALSKTIPIWCTVLNQALFPSHPQSHALHVPPTVVS SSEHSQMSSRLPFFLSSLLALQPDIPSLRQNIQKPLRPLWVTPDDELTPEMVDEIKVS FHPVVCCTSSRRVVGTEMSEEGYIQGAGDDTENWAHGLTAPLFWANQRQLLGTPEGDL PGLIEMLVANSEAGGQAVGELKKVAPRLLVGALSAEEKALTSSSQENVCVVSLVPKTT EKETWEKSKRHMEVGLGKSKTASRFLREALPSICDFVLRFLQECVDADAEMGTGTGKG ANKEIVLLCESGRDLSVGAALALYCWCFVDSAGTVRSAADQGQNHNKASIRVKLGHIM TAFPDANPSRATLQSVNSFLMDWRN NEUTE1DRAFT_98335 MSGSVRGRDEKGQIKGGRASYTGDMRYHMQAAIPPRCPTHLTHA LLNGDAKIGNKSLTTLA NEUTE1DRAFT_58354 MSSSPELGHSPQFSSLSSDMIAISAMNDTAVDALQRPKRARTSK PKVKTGCSNCKKECTGYPPPPRSARPSEVIKIAPKPGPAPATPLPGRDAPIQLAPRRV VKYQRRNTPPQTPRESAGTAVTLHRSPAANVPFTDREGLYFQLFREHTANELSGFFDS GFWSRTVLQECHFEPTIRHAVVALGALYKTLEKTSESPPGSPASDRDPTDLAMTHFRM ALEQYHEAIRSLINTADPMSNRTRLMASVLLACFDSFVGDHKQAIFQIQTGLQLLEKL RAERRRAFITQPGEPVEDELIQMFTRLAIQAKSYDMAFHFPQPYVVRLTPIEQDPSSP ASDVSSPGSQFPDPIPDRFASVIEARVAWDKLCERIFKFTETMFKHVPNGPMGLLPPN LKRYGLGFKQDLDAWSEAFEPILQSRTAPSVTTQEKAAIAVLKMFQIMALILFLMTFN ASEMQFDNFNSYFKTIVDLALEVVGDEERRAAAKRCPDPDFCRHGHGHNTSYERARNM FGGHNEYAARHIKPSFSADLGIVPPLFVVATKCRDPVIRRQAIQLLRSSARREAMWDS ELTARIGMWITEIEESDDFSMIVTPGSSPVASYSQMSMSMPMSMTMDESAVVDTMDGA ASGSKSGVVRSDSNGSANGIAPTRWGRRTGSSTSSTSTNTTASGSRTHYIWPTQQQQQ RQRQFAPPPPPPKVIIPEERRVMVRAVEFDLHKRTATVQLGTRGLRNGQMDLKTRQTS ITW NEUTE1DRAFT_58359 MTMMNALWSRSTSSSGDSAEKSAKPASDAVSTTSEQVADLSDRP NAPALPARPALHRNQPSAPPPAAPSNPPPPVPSGAGSGNANNASAQPQDSLSLAQLRR IVAEFPKSEPIAYDYVYTDVGPIEEEVDEWFLYNFWQWVRLNTANRAFDSTWESMFGE DETWDSVGDDDRQRFVRNAVQQLQTSTDKTARGEAIGTLVYIVLGRWAETVKAASLPN FADHKVRSAATKEQLDAMKAGVKLLAECGGIPPMWDALRKAFEPFWADDGDVGQIVQA YAEELMHLMTILYISLQTTLDDLEDMASARKELLALNPNLVHFMLHATAKLRWDDRNI LPQTQVGSTTFGIPGAANQHRMLMSSQVFLLFWKSLLLVFGGSKHIAEAKKATAETPS DVKDKEIITASPLDYHVFRQEITSKYPSYIPPQCAIPLEAEQTSILPPLPNHPTRNNG QNGILPGPPNQSASASILHQPVHIATPAPSPPPSPGVGGKGGKKQNYQTNQNFPFMYP PLDATSNSAGGKGVAGLQDLLVGRKWEGSDVPASIIEAGELFSTRTRMTRATRQLWEE RERFLKFERGWEGVDEDLIDELDLSELTLEEKEELGLLKESDKKKDKLGHEIDLGPRP VDDDIKHRLEAVEEFYKEALPHLQSLVIVLLKAIVAIASSLVQPQPGQQNPGAPQNNG RVSGGPPQGRGQSNGNNAGNDPPSPSDDNVDEARSREIAAKAVTGIMILLLKWLKLSH ILKFEYFTQLLLDSNYLPLVLKLFALHDVQQVVESKTDRIEHSFFYFCGSRAGAIPQQ GLINPTATDFEDVDVSEDEAAPPAIKRNRSPPGAEKGGPPDASSQYISSQPPQQQQSE NSGVPSRPEVDELGYPVNPLPKEPITDFSRRNFFSLINYLRVMQKICKHKAHRNLLLV HYKSSNILRKSLKVPQQELRLYTLKLFKNQVPYCGRKWRQSNMRVITAIYLHCRPELR DEWLSGSDVDAEVEEALPLEQALRSLTHWFNVQRYPERMGAEVTAAMREERDFFTREL EKSDWMGWEGIMAGGGMGMDGAGGAEPMMGMPGMGMGMGIGGLPGMGGMAGIEYAAAA AAAQTEHESSMGWS NEUTE1DRAFT_77044 MFEVPDAKRVRRDELFGSGTSDRGSSPDTADEAEVEAKAQLLNA KLSSLLSLKFEFDDGAARDDNATTAAREPTEASAKQPEVAREKPQKKEKETKQTSQGD AESSDDDGSDEEDTPMQDQAPLEEEEEAAEFEFRLFSTSAPQKIVLPSKDEEDGAGET VIADRPISYYIRGELTPEEQEQFRAAAVTSQDILNWAKQRAWGLEVPWRVRKIVVTVK GKKADRAAAATALHGQPVATGTEVQQQAGGSEQQKKKKKRLGKKGRIAMRIKEKARKE KEEAEQKQKMTKEEHLKEKKKRLNREKKLKRRQKEKEKKMAGKAGSSVGDAMSAVGQD SDSEGDEKENTMVE NEUTE1DRAFT_127885 MSATATYTVSMAELRAETQHGGIWPNYGNPVQMNTGRYNTQESS VPVGSAASSHLVRPRSRQHTMDYHNAPYHHGRPAQEDGDGYDRYPHPSLMNIPSITTG MKRSYSQVDQTPYTEMVQDLRDDYKPAMNHDQKLLSFKKVGDKHTIVDHKGRIHEIEI EAQLHGMFFLSEFPSPGDGNVLNAELTCYRRNLFQISGNICFPQIPLSVMLETGETSQ IKNMEVTISAIESVDGHPVRLIVIPWKTPPPNSPEVNQAPDQEPPSLPLIPWSEEEED NGGDHYAIYPIGWRRLQFRIATANNGRRKELQQHFVLHLKLHGTLANGTKLVLSELTT APIVVRGRSPRNFQARKEIPLLGSSAGSRGQTLVETGHSIVAQAVALNKPPYDSRPRV SSMDLPRTAFTFTSAKQMPQSPMQMRSNSSYPTSWNPSSQVSMPHNPGSTSYPTTSMA GPEPYPKMPLSGAPSYTAEPQEMPIQQTSMPSMQLSMVAQDQQPSAPIRTQYATYASA PPPHLSLPSTADSSLNVPRYVDSNPRPSKSPRHGSHGSLTNETASGEYRYGPPSYLGN SSSDISPQSQHHPPTSGAGAGGASSGAYGTPSQEGGASAPASAPTSAAPPRDYFPSSQ SWTSTAGEGQTSSYTNGGDRSYSFPTGVKTEPHSQPSHSGAPVPGVYGNNHYAWNAT NEUTE1DRAFT_120235 MQASFPSIVIRGSRVRIGSDTGRDGPSEELEILRRARRYVTSAP RESHSGSPIRNPSTCITRCKLDMTVGNMYIFLKSRTSTMASGETTSTPTNLKSPTEEP GYIYYAATAHSIIGRYQSYFHGIHDYALGKVRACKICPGTDATYLSASQRRSTLWTGR RMVNATKLRCRACQLWFLDGVSLRDVSLANSRLELVRQPSIVHYATQPGLDIIEPDEL EPMASLAFSA NEUTE1DRAFT_98341 MELLIAPYHEVDQSFWSEIRELKLRGNGRRVQCWARGNTQGSRG SSSVLSSLLSGSMTHPKGLGAGTTATETTTTHHALVSYFAVFAAISTLEAHLNEISQK RKAKVGWLWSQLHVISGPILYGLRAPERPQIRWDEVASRNGREHQHCSQTAKHVEA NEUTE1DRAFT_35544 MDGNSPQAAALRIGRLSIRTRNHTLAGCNSVQFGRDETVCTYVC HVMIRLYGTVTAPVVSFLQDKATVSQLSYNVNGARLTTSTYLRPRLKWLSLLGNDMGL TIITTTTNADC NEUTE1DRAFT_36619 MASFNYDDSDCPRDTELSSLIAIYPEIQHPRSDDPYAIAIDIPV NPSKPVLVYFPAAADSNPDPRAQGTLQQNGASHINGSASGAHLPPVHLEIIFGPDYPA EKPPVITISADPPWLSKDTIKRLEDDGPRLWEEMGRDMVGFTYIDHIQQAAENVFELV DEKGTLEIDPQHRIAIMDYDIRARRAAFEKETFNCMVCLDPKKGSVCHKMIDCGHVFC VECLQDYYNNAIKEGDLASVRCLAPNCTKEREQAAASSSGNRKRKKPKTYISPSELLQ IPLDPETVKRYVTLKYKTELESDKNTIYCPRQWCNGAARSKKHKKPQGLELNDHDEDE EEEEETSGVSKPYNATDLLAICEDCNFAFCSRCHQSWHGEFVRCQAPRKNEELTAEEI ASLEYMKLHTTPCPTCAAPAQKTHGCNHMICYRCQTHFCYLCSAWLDPGNPYQHFNEM PGGRITGCYQRLWELEQGDGDDVGLGFEGGAAPPGFEGLPEQRLVELLMADMEESDDE EDDFGGPPPFGDRELDRQLAQRIEAEAQNDAGRGVGGVAIAREGPLVLRIDGGRGGGG RNQPAAGRGRGGGAAAAAPNGPAAGGGRGGRGRGDNARGGQGAGGANIRGQHQNRGGA PNNRHNNRNNHPNHNGVNVPDGIRLDPQQEAWIRQFVHLALEDQEDLLFDDDDW NEUTE1DRAFT_77050 MADNVTPLASLSLTHVYYNPDDPISLLCAWLALVPQALCVVYAT LIWSTREAEVILMFAGQLACEAANFALKRLIKEERPARIHSTGGKGYGMPSSHAQFVS FWAVALGLFLLARHTPREQQQQQKQKQREKKKQVTNVKTTTNGSGNGSLFKTLTDSAT DLERYAHEPWSFAHRFVASLGALVLAGAVAWSRTYLGYHTEKQVLVGCGAGTLCAVAW FVVTHVVRQSGLLGQILDFPVVRWFRVRDLVVEEDLPQAGWEKWEEQRVARREVEERK KAL NEUTE1DRAFT_144464 MSSRPGLFTRGLSGLSQSNDASVSSPAAERDDAKRNFLKTMRPL PTQHYWNIWFDRPDKDQQGKSADGSYHSNLEKLGSTIESVQDFWRYNNNMPIENIKMR ESIYLFKAGFKPVWEDRRNILGGSWTFRVPKANGADFWTRVQLLAIGEELQDVLEEGD QICGVGLSVRFNAHLISIWHRDASKQKSVDAILQLVLTKAIPDDFPPLKPDNYFYKKH SDHAGFNPSPEMQAVLDSQKAREQHAAAVAKAQAQAAQQTTAHQGSQSQPEPPQIVEV PPSGEA NEUTE1DRAFT_98345 MAFRPKMNDAMDEVVDLRPHTAQQAGSARKPDMRVDFSTLGNSE LASKLPGFLEEMARANRETQELMAADPKAARIEIDDDEEVDTQVIEMNLYSGVLESEE PKKEIVMPNGQPLAGNGADGDSDAEPFISEPVRVRHTTNNNRKRRAPSSSSSNSSGSS SSSSSEGETRIIKIPILESKNAREGSLDTQASADSTESSGSFSREVSSPKKKIATKEC SPAPPVQGQDVQDWVDDQPSMTGDYTEIPGAPPRKMLIPRTRVGAKESQQNVQDWVNN QADQEADE NEUTE1DRAFT_127890 MFNLLRLRFRNTIVDIEDTLYDISLDPRLMPNSLDVHQRQTRSN VSTPTLRPRDDTASSFVPKDPGANVRVVVRVRAFLPRELERNAKCIVEMDPATERTSL LVPQETDFANARGARTRRVLEEKSFTFDKSFWSHNTEDEHYATQEHVYDSLGEEFLDH NFEGYHTCIFTYGQTGSGKSYTMMGTPDQPGLIPRTCEDLFQRIASAQDETPNISYNV KVSYFEVYNEHVRDLLAPVVPNKPPYYLKVRESPTEGPYVKDLTEVPVRGLQEIIRWM RIGDRSRTVASTKMNDTSSRSHAVFTIMLKQIHHDLETDDTTERSSRIRLVDLAGSER AKSTEATGQRLREGSNINKSLTTLGRVIAALADPKSSASRPSSPVKSGRGRTPGAANS VVPYRDSILTWLLKDSLGGNSKTAMIACISPTDYDETLSTLRYADQAKRIRTRAVVNQ VDGVSAAERDAQIAAMAAEIRQLQLVVSDSQTREKSALDAEQQLEEYQARVRGLQQLM EEKSLVAEGKIRSLQTENEALRLHLKLALESLRNPIKVSSFPTTSLAMSAGDATVPLM AMGEVSTAHGRKTENKLVDDPFVDSGRGVTSDDDQGLIYEHDDDYDTYEEEDDDDEDT IDLSEKAHDMNEYMSGLLKDLSMFRRKIGDDKTRFLDELGVRKPLGVRTNII NEUTE1DRAFT_58373 MDVHLLVYDLSRGLAKQMSVGLLGFQLDAVYHTSIQLNGREYVY DGNIVSIIPGSSHLGRPLEEMYLGKTEFRCADNIASSANGSDRGVFRFTERNLHSRAT FLLGKGIPDYIVNMPQTVLSSPMGQMLMPMLNQQIHANKRGGGILGIQQNTPGSTSKP KAELHHHEGKVHNVTSLNELQSLLEKFQNSCAVVFFTSATCPPCKVLYPLYDQLAAEV GDKGVLIKVDVSAAFDVGSAYLVSATPTFVTFLKGKQENRWSGADRGALQGNVRLLVQ MAWPAHAHQSLNLPTFSNPGTKPVLYTKVPPLEKLLAKMGSDAENPAVQGVKHFIEAR SQDGPAEATLPDMAAFTTFVRQSIKNVPTDTLFTVVDLMRIGLVDPRFSGYLAEEVVH GTVTALLEHVNDLKECPYALRLVTLQMACNLFSSQLYADEVLSNDKLRTCLTQLVSAS FLDDNHNNVRVAAASLLFNIALANSRKRQDGPGDVLPQDDQIELAASILEAIAQEESS GEALEGMLLALGYLAYRMPLDGELSDLLRTMDAGNSILEKKKAFPDMKLIDEVGSELL GKGLNKP NEUTE1DRAFT_107743 MCLLYQQSLLKRRHQRVKRLEESVIAYTVTLFMMIQHGCNKLQS LLGTSSCLHYYYGDMVLTKRWLWWSVPAGVRPASRATARTGWRDATSRPSLSRGHLAS AESSLYEKDSGTVTGEREEDWRDWKSGKIQQAKSPICSVNQEEVLKAFRPKPTAESST PIQKKSDPIWT NEUTE1DRAFT_58377 MRRTSVSLPTKHVAHDPHEKPDRYRRDQHNQEPASVFIRMQNAW MSQSQKARYLKTGAIVFAVLFLFYLFSPSGVEISGADGGPSQGNQGQVPSDSSYGTDR CTRSFSKEKPIVQYVSMIDAGSTGSRIHVYKFNNCGPAPELEGEVLFKMTAKIEGQSS GLSAYKDDPLAAAKSLDPLMQAALEVIPDKLKACSPVAVKATAGLRLIGPEKSQKILD AVREHLENDYPFPVVSKEEHGVAMMDGADEGVYAWITVNYLLGKIGGPDHSPTAAVFD LGGGSTQIVFEPTFEGLASGGMPQKLADGDHKYELNFGGRKFDLYQHSHLGYGLMSAR NAIFATLVNDLFELNKQDKSWMQKPVINPCFSTGMTKTVKVPLGDSHPLGPKVELNMT GPSTPAPALCRSLAERILKKDAECKLAPCSFNGVHQPQIAKTFAREDIFLLSYFTDRT QPLGMPESFTLREMHDLAAQVCGGEQSWDVFTSVPGALEELSDRPEHCMDLNFMLALT HTGYEMPIDREVRIAKKIKDNELGWCLGASLPLLSQSSGWKCKIKEIH NEUTE1DRAFT_116032 MANSGLINESYHSALSGPGLGPGRLGAGVSLSPQLTFHSNDPTA VGPTEGLEPPLLSDSEIAHLAQLSLNVCVDIDVRRYQMNIVAFLRMHRAVSGGITPQA TKHFEQLMRSLAPLHGLDFVTPALVGLAAKKVYLHRIEIVASPEG NEUTE1DRAFT_116033 MSSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSASYKATIGAD FLTREVLVDDRQVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFDALDSW RDEFLIQASPRDPDNFPFVVLGNKIDMEESKRVISTKRAMTFCQSKGNIPYFETSAKE AINVEQAFEVIARNALMQEESEEFSGDFQDPINIHIENDRDGCAC NEUTE1DRAFT_58386 MVSAARSVSPAAAELVPPSNGNYHHRRSYEPEDDDDADSYNAVD RSSHSPSTASVELVARGRSSRSLSTSTTASKGSFRSKMPSWVTNMGRRTLGIVLLLVV VFLWTVSNFLASVMFADGSYNKPFFLLYVNISMFALALIPMGIRHVMQYGWPASKRQL VEAWYEIRQGRSYQKVAMVDDEDARAGESLLIDDTGSLKSNKCEQLSLAETFWLSLEF SMLWFAANYFASACLEYTSVGSVTILSSTSSIWTLIFCALAGVEGFTVRKLLGVLASL AGVVLISSLDMSGASDEMRGDFPEKSRTEIAIGDAMAFFSAIVYGVYVTVMKKRAVDE DRIETFELPPNGTTWAIIWINTISSFFSDIIWAYAMLLTTPLVVTVGLSLTIPLSLIG EMIQYHQYSSWIYWVGAGIVVFSFVFVNNESHEEGNGNGECKANDKEQQTTAEVRNVV GGSSTSDAAIAV NEUTE1DRAFT_135188 METHYSHLGDQINAATRLVHTQLNSQIIRRLPLALPPAANTPST YISGLLHVAPVYITFETLWYMILLSHKEQTKEFRNSSPYRNRPSSPQPPQEKDRFLLD PKTSHRVPFHDGDDAGLSQRPGTSERIFSILESLRIPGLMRADCLWADIGCLTGWSKE MVNEQIEVAARNGNLKKFVTHIKQSVGESPPVLLAYAWVLYMALFSGGRILRSSLEKA GPEFWKTRCDPIMPFGKACTYPDKSHATAPMSQRLRFFHFNTSQDGEDLKREFKRRLV EAEDLLTTSERARIVHESVYVFEHMLGLVSQLDHVFLEGTKDDSVLDINVTRANADAD TPSPSPSPSPGPSLLKPAEFGGRVRDSIVLSKERGVRTVPGMRNHRRSRSVSIDEPLD ERGDRSDVPSPYLVASLLRPMEQGGRLRDSIAVSRERGLRTTHLRSHNHSESISIDEN SESHTLPENPEIKAITPRYTSELSSPSGPGSLGEPLNSSMDAQGTLAGAQPSSSSDTA SLLIRGKTVRFKKPSAVGSIKRTAEPTKDSESYRELEEEAERRPQSSAEKVSPTSDNN SATGGDEPSLPTVPIHIAGVDGSDADDSSDSDKQMKQLQKQQQRTEVAVADRNSGQHG TIFVTITDTAMMERVESNSSSDDRQLAAAASGEESYRAKSGTGISTAEDAGGDDNRPS LKRSSSSSSSRHNIKSWFSSHITKVEKKIEGTGAGGGGGASNEVVVTETVTEAASTST TSSSALSLKANMKNKLAQGQDKLKVKMDHAEEKLKRGKEKLKEKSEELSLLAIGPVRF MWKFVFVLGVIGAVWGVGSMRSMLGWTFMPVTMVVKAAGWVGGGLKGAFAGGAGGNV NEUTE1DRAFT_77072 MALTARLSFINTTQDEFSDDPEDLFAGSLGVIFTDDVTNQHGDA STALHYTSPHLSKPLRIELSDPKIAEDRSLFSHFLWNASLLLAELIEAGTLGLKAGED TTGAGAGTVDVGKRVAVPPLADFDIRGRSTIEMGAGTGLPSLMAALLGAKRVLVTDYP APVVIENLRKNVELNLKDQKGARGVEVAVEGHGWGDLETPLALENKGAFDRVLCADCL WMPWQHENLRRSIAWFLSEDDPGARAWVVGGYHTGREKMRGFFDAEKLREVGLEVERL WERDCDGNDREWAWDRGAEDLDITGRKRWLAVSVLRRIRKDVDDGKAER NEUTE1DRAFT_120244 MPLTFFSLLWLVGANSMRAQDPASVGGIYRRIVSIVTVVVICVR IYLAAIRVREMHNVVTKRRRMIPFSEGPRTATEKLAKYNHISSLSSSE NEUTE1DRAFT_93925 MSARPQVSYLTSTSSASSYSSSDSDLSSTSSVRHSMDSTQPIVS VEVLRCLRCARAEEITSTDDPSSMGMVQIGTNIYYCNRCAKM NEUTE1DRAFT_135191 MSSIRTLGMDALKHIRALSTLKQNLDLRVNLRPVSCVYIMHIMK GTKGIERIDKHS NEUTE1DRAFT_77077 MSGGWNTIESDAGVFTDLLTNLGVKGVQFEELLSLEPDALAQLH PVYGVIFLFKYPTNEPYRGTDKPLDGTFDYDASERLFFAHQTIQNACGTQALLSVLLN KANPSVSQEGDAGYIDIGDKLRDFRDFTIALPAEIRGEALSNSELIRDTHNSFARSSP FIDETQRRPDEEEGDAFHFIAYSPIGGTLYELDGLQPAPISHGACTQEDFPQKVMDVL QRRIARYDASEIRFNLLAMIRDLRIRAREFADEELLASEERKREAWRFENALRRHNFV GFAGEILKGVVSAKLAAGGSAYDDWVNQGKKNMEKRAAEQRARKGGEGEDVEMQG NEUTE1DRAFT_116037 MGFERGGRGGARGGGRGGFGGDRGGRGGARGGSRGGFGGGRGGG APRGRGGPRGGGRGGAGRGGARGGAKGGAAGKKVIVEPHRHKGVFVARGGKEDLLATV NLVPGESVYGEKRISVENASKEEGGASTKTEYRIWNPFRSKLAAGILGGLETIYMKPG SKVLYLGAASGTSVSHVADIVGPTGSVYAVEFSHRSGRDLINMATRRTNVIPIVEDAR KPMAYRMLLPMVDVIFADVAQPDQARIVGINAKLFLKQGGGLLISIKASCIDSTAPPE QVFASEVQKLREDKFFPKEQLTLEPYERDHAMVSCVYQQKEFVDN NEUTE1DRAFT_116038 MRRALDSQAEVAFIGAENGRRLESPSPFIRVSRRKLRPFWLFEF QRRLFGPGDARVLQASYYMALRIVKMPRVDRG NEUTE1DRAFT_107752 MYGWEVSGYLPTPLLLMVVYWVTTVKLKKAACSLCDMDLQQIEL VRCRLQEIQGCTILSQGGYTCTRDWYVAFAASNFLCFKLNETGLFDGNYAMNRGYLAT FTKALDGGMTPLKAPLQQRGHSATGLIAMNFRLGSNLKVPLPTSTYCVRTPCNPEDPT CHCIKWNASKPSLRPDKHQSCLVFTVGESPGSSFGNFRTSPTQHGPRESGGPAAGGKW NRATQVLCRCRCRGKRDPPTDMANSRTTRPFSSGDDTCECTMG NEUTE1DRAFT_98358 MAKSNWTRKLEAQNSSTRVQRPQSTAIVTRIGFGVASQQNTSTS SKLPIQKIVRVPTASWVFGLISRGACSSLPGLMLVRDDEDAMQAKDTDELNCAPHLFL FQGTVTTRFIIILAKLPSSGDDN NEUTE1DRAFT_98359 MPPAHAPPPLPPDAALFLPDILCHSVGHIAAPVPTVLHGTIRAL RAAGLHIQYVGYAQRLARHQECIKISFDFPDFRGYGHLHDIMTTTTTEIATLSPYNCD QNKAGRIPIQSTMRVKSYWTPV NEUTE1DRAFT_120248 MHYPEPPQGGMFSTPVDMGISPEYYPPRSQEYTTSMAYDQSTTL YADAPYNMYHAKSPSLYPEDSNLSVDSHSASSSNAGSPLSNPGNLASWGAPLGPGVSP GIVDQGEYFHGNEYSFTPLDGYNPNFEFSVPKGPGFVDPSLIHPEVRSMAMPSYEQMY PAPNSAYPGSPALSASPQLRTGSTSPFLQNNNYPAYSPFPAPLEPQRRQSLVSYQSNL SEQPYSGDEASKEKQRCPHPECGKTFKDLKAHMLTHQTERPEKCPIATCEYHVKGFAR KYDKNRHTLTHYKGTMVCGFCPGSGSAAEKSFNRADVFKRHLTAVHGVEQTPPNSRKK TGGVNGGNSGNKKLTGYAPDATGKCSTCSQTFSNAQDFYEHLDDCVLRIVQQEDPTEA INAKRLAEVENDLDVHNTLEKNNLPTTTTMTTQMDDIDEDEDMVDEDDNEDPVGGRGP KSSPSRKTKGNPANGVQKSRGLTHSRGGVALQSKARGRKNRRDYPSSWGFDKGQMTMK KRVVAVFDGPRRLAKDDMMLSTEHEVRIKLQDGKSYVTDLDVQTLRRTEGFLNATEEE KGPWISDDPTEEEKEEARRLLMLQ NEUTE1DRAFT_58405 MNYNGQDQWSAGWVPTSSGWSNTPDEHHTGQQVDGVEDLIDGFG ATSIGHQQVYDQNSAYHIQTYQIPAYRYPQQQPYPNTVYQPQPFPHQQYQATLFPSPG YLTSTYSNPALQEQFLSQAGFSQTYSTGNYTHRSQPGYSGGESKPSLPTLNLFLPNAS VDQLQSHAGPASQDHTPTFSDKGKPSEPGTRYKNRGPGDGNRDRGNGKGKTNNRGYSS NLGKISAEPDDDEPFFETEDDLQTQTNGGSQTSSRWNGDGGQHPESTGQVTSPSAESA ESSNGLKFGNGSNAGVFFPDPSSATAYEDSNSQQTMLPMNLALRAGYLLPLGLPSGAI DYSVSTNRSISGPLDQRYKVHPSSKFNPGSVFKILWPEPAGVNLNELSCEIERDLAQD PTHLFYSSIRRYIVVGNDEGNCTCVKTGVKPRQHGIAYHVGNQPQSLEGEPQLGFEPI QIEMLDSPHETLAMESRVNYSKLMTIEHNYKVFFIGRVTPEDFKDIVIPAVDACWNAK NRGMTSDHNRHISRSSVER NEUTE1DRAFT_107755 MLVHRQKVWGKSADRKQVEREAKTRRLGMGPEGKREEVCQEEQP ANKGRARSEGREEERKQEQSVSSHSTYGESVNGSGSVGFGGLAHQSLADMATEGSPHI HGVCKRAVLPPFSVLARRNKGELMFHGPVATSRLDDIIRLIKVTSHGS NEUTE1DRAFT_77092 MAAQVDRIMAQHSANSIPEEPQLPSMAPSLTYSESSEHSESEDP RQSDDMEQPRRRRASTVLIVKSPADIQRITGETTTQLVQRCCGGGCCLTSDGKRVKKQ GIEYERVKFPDNDAYRALNLEIEEVPTVLNNILDLPEQTAFLQPLRRPSNVPSPTEST AALNTDGNTTSATTDLEKSVQKLALEDLDTKIQPPSFVQPHPPHHVFPARIHTARELT GQGAEKRTFHFDIDVTNYPEDEGVDFKVGGAIGVSAPNEESMVEELLDLLLVPRFLRD KPVLLRTTKGRWPTVWGEDQARELVTTRRDLLTWCSDIQSYPPTKQLLRILAEFATNE DERKILFFLCSAEGQGVFCDFRTGPHITVAQLLHAFPSARPPLDLLLSVLQPLMPRFY SLSNDPHESFLRRDGKQHRLIEIAVTVHTSSDWRTGSRNGVGSGFFQRQALKYIEAQE RGEKDIEVYIPMFKGLMANPLAKQFVSDGPMLLIGAGVGIAPFRGFVQRRLKTANCAN KVWVLQGCRDSLVDELYSGEWGVHEDEVKRVVESRRGQGRYVQEEVKAQADLVWYIIN SVDGRVFVCGSSKGMGEGVEQALIEVAMEKGNLEHDEAKQFWELKREVGQYIAETW NEUTE1DRAFT_58411 MSSTQISANAVLDLIKQRRTYYPLSKDLGSLTTERINEIVKEAL QHVPSSFNSQSNRVVVLLGAEHEKLWDITTETLKAIVPEDSFASTAGKMAMFKGAAGT ILFFEDETVVKGMQEQFAVYADRFPVWAVQSDAMLQHTLWVALEAEGLGANLQHYNPL IDAKVAETWSIPESWKLNAQLVFGGKTGDAGEKAFGPVEEKFKTFGSA NEUTE1DRAFT_120252 MPSFQLGPVSARVRVSTLSSTAFGRCPTSTRFTRLEIPFSASTR APPAHQMFGRRFFSNHMPKRPRMQWHPIPIGLGIGLLGVMQFYKVYTRDRDEKRQAAV EGSEPGQAGKPKKRRRIRPDGPWQVQVMSTLPLKAMSRLWGKFNELTIPYYLRAPGFR LYAYFFGVNLDEVEEQDLHKFPNLAAFFYRTLKPGVRPVDPNHNALVSPSDGRILQFG RIEGGDIEQVKGMTYTIDALLGQNSPTPSISGTTLLDESTKAKSVNGDFEGDEELVKK DEEFARVNGISYTLPDLLSGSKKHDKLFKPKDESVTPSKTSETEVSAELALGEKPWYD VLSGGERHTLYYAVIYLAPGDYHRFHSPTEWVVERRRHFAGELFSVSPYLQRTLPGLF TLNERVVLLGRWRWGFFGYVPVGATNVGSIKINFDRELRTNSLTTDTAADRAAELAAA KGEPYLGYAEATYEAASPVLKGHALRRGEEMGGFQLGSTIVLVFEAPVAEHDESGKVI KGWTWDVEKGRKIKMGQTLGHVAL NEUTE1DRAFT_107759 MESLGSQGCGTWPHVERGCSSVREMGSLCSMTWRAEDIFLYACC GAMHLAGTQVLAIPASPQARRTFHRLHCPASVSLHWHPTGTRGILARIWGRGTQEDAI EGHRGEAVRGDPGTGSYKYLRFITGSVMPGRPLCVWHACVMTPGKELATIENLMGWKE IACTSPRSMAVFIVPSSLPFISGLDMSSAPFTHQPPTSRHEPTTISRLDPILIPANIA LYQKSKDGNLYGDPGKQGRRYGVGAPSPPLGRLPHCPSPDFVESL NEUTE1DRAFT_77099 MAANDREPAASLPTAPETTGEDAPLLVNIHFVSPSEGVPDDLDF QGLPATTTVGQLKDKIRDVLTMHPAHDEQRIIHQGRLLARESDTLLDVFGEDKLRTGD QQPLHLVVRTSNHHPSGPTSSSQPGTILSQNVLRERRITQQDASSQNDWRADVQRTQA RIDALRNSAPSAVASATDEQIRNIYEHMTGSAAGISTSDPTNGAATVSHPQSQPQREQ AEVYILSSPTGPRGLLVKNGSELYATPGTFQSHHAFTLPQPPLLYPGVVQPAALNYPP LHTAPPVQNQPQRPQGQHQPVQVRIRIDHRAVGHGIGHPNNAVGAAALVAAAWPHIWL VIRLIFFIWWFTSNDSSWTRVFTMAALAAGVLVMNAGFLNRFAHQALGPIRQHLDGLL HMADPNQQRRQHVPQPQAPPPAGANGEPGVGLVGLHERRDIDNAGAVHLVNFFRRIER AGLLFLASIAPGVAERHIAQLEAHERAERERREREEAAAAAAAEAAAAAVAAAAANEQ GIQQQEDQAEQPANNDAGSPAHHPEHAPERAIPLQA NEUTE1DRAFT_135202 MADAQLNDFPSLFSVKGKVAVVTGGSRGLGLNAASALLQAGASK VFISSRKASACDSAVKTLNALPGLAPGAKAISIPADAATLSGVQHLVSEVAKHTDHVD ILLANAGATWGAPFDEHPDSAFTKVMDLNVRGVFNTIREFAPLLQKKASVEDPSRVII TASVAGLGIGTIGKQGTYGYSASKAAVIHLGRNLAVELGPRGITVNSICPGFFPTKMS NGLLDMSGGKESIAAANPMKRLGRPEDIAGVVVYLASRAGAHVNGATIAIDGGAMWAK GQLNVESAKL NEUTE1DRAFT_77104 MEEGEQQWKGVKSAIEDPEEARVIYCALDSFVQYARIAHFNCTH LRRQSFYALPEAHWKMLAAPPFNYLDTLDKVDNAIESNAELARAIVRVGLVNFQPQDV TQGSEPTIPPRWRGCAKRLDIDKARSTLRQFYRDWSAEGKSEREICFGPVFRALEAQK ESRPKDASPMRVLVPGAGLGRLVFELCAKGHVAEGNEISYHQLLASSYILNCVEKPGQ HTIYPWIHTFSNHSTRDNHLRKYAIPDVHCANELTRLEEEGVAIGEMSMTAADFLCLY AEDYQAEAWDAVACVFFLDTAPNLIRYLETIFYCLKPGGLLINHGPLLWHFENNAPGG HGHDDDDGDYDTTGIADPGSFELSDDEVMALVTKVGFEIVSRETGIESPYLLDQESML QTVYKASSWVARKPHTTA NEUTE1DRAFT_144484 MDAPIQLSLPLPRSMDTRIYIQLTAKSKAVVLFLTTASAEEATS PTPLGSFVYALPDRYNPTQPLSTPLCTVEPTLEFTTRLAKLITRRTQLPTYVGNSVSF ASAGLGGTVGEEMEAFKQVAELVLSKLESANATPNGVQS NEUTE1DRAFT_58426 MAWQPSGENLKTLAQCLKDSLSAFNKAAQKQAEIMLNQAKASPD INNYLALIFSSSEPPQEFPATAADWHVVRCAAALMLKNNIKNNYNKIPEQSLALVKLA VPLGLQDKNSQIRSHAGTLATELINKGGIYGWPEFLPELLKTLTNESGQVTPEAQEGA MAAMAKICEDNAKMLEREHNGQRPINFLLPKLIQATRSAVPKVRAHALTAINVFTPRK SQAMLNSIDDLLQHLFALAEDPSIDVRKQVCRAFVNLVETRPDKLLPHIEGLAKYIIA QQKGDDEDLATEAAEFWLTVGEHDNLWRALEPYITDIIPVLLECMVYSPEDIAILGGA SDDEDEEDREEDIKPQFAKKKLTRAANGNTAADMAKNGNAFEKVASMEEDDDDLEDGE IDDSESEGDENPDEKWTLRKCSAAALDVFARDFGGPVFTSILPYLQSNLKHADWSYRE AAVLALGAVAEGCMDVVVPHLPELVPYLVSLLDDEEPVVRQITCWTLGRYSAWAVSLV DQAQKERYFLPMMDGILKKMLDKNKNVQEAGASAMANLEEKAGKALEPYCGPIIQQYI RCFGKYKDKNMWVLYDCVQTLAEHIGPVLARPELSNQLMPVLLDRWQKVGDESRELFP LLECLSYVAMALGDAFTPYAEPIFGRCIRIIHQNLEQAMKAKTNTDLDQPDEDFLVTS LDLLSAIIQALDNDKASKLVANVQPTFFELMALCMGDQSDAIQQSAYALLGDCAKYVF EQLKPFLPNIMPVVIKRLDMDTILDEEVDNSFSVVNNACWSVGEIALQYKAGMAPFVP QLIQRCVDILSNPRVPGGVSENAAIALGRLGLDNAELVAPHLGNFAEEFLDTMDDVDP SEEKATALRGFTEMVTRNPQAMESVLLHYFSTIANYQELSLQKPVLLELHEAFQNVIN VYKQIIPGFGNFLMQMEAKDLELLQRLFAL NEUTE1DRAFT_116041 MCTGLSISVKCVTIKGVPVSSRATLHYLHDSLSAAAAPAETRAS KRSHVSFLAPSF NEUTE1DRAFT_98371 MELSLSLSLSLSLSVAFLHLSPAPNPSTQGRGVGTLRRPRFSPG HCCRTMSSIVPDSLHVIDGRGTQ NEUTE1DRAFT_93932 MAGPGGGPPRRSHTKSRKGCETCKRRHIRCDESFPQCRNCTKHK IRCPYNDIPVAEDRSTSPDKPDLMWTPEIELVIEQWQRTGLFPFPNLNIYPTPAPQYL STDELRLIHHVASISNQMMEIDANGFTLWTRQIPTIIKIGATHNYVLHSLLAFSAMHI AYLTDCPLVGNMAYEHRGIALKGLQEAIGTFSKDTSDAILAASLVLSWQATDWRSWTH LMQGTSSVIEAMDPWKHESQFGDFIAESSTFPTAPPSPAPDHKPSQPRKEDLDAFQRT LQQLQKVESHLKHNREDTKSVAQLISFLKGARKVSPTLSVAQQFERLRPLRTWLFWLP VMYLQQTHGSPSALVIIAHYYTVALVMERLFPEIGAAYFGSLTIGPVEEIARRLHSLN ISGQLAGDLATPLALMNFPIDTVSEFRSRMGWNQPIRTPSFPVFDNFYASEGSPMPLS AASSSEYLPYGERPAFSYSTENLSVIPECGPSPVQITAPFGQPQYLSIPSPSYGAYSP TTSTFGDYNDAASVTYSDPDEYPSYDMGFSATTPMMGGASPYGVGFVHPIQSVWTV NEUTE1DRAFT_58434 MSPPKPKPDKSPSPRPKTPKITPTNSKNGAPTISPTKSATALGA TTPKSLKKPEPSLLGDFLLGRPSPQRVAAQRSASKRRKTMSMDAQNVREELRQEMRAA AVRKLQQPGGVRDRVKAWQKASQAAVKAEGLPIPVAEDARSEPTEFAVNLSGDDVDEE DRMRIKWRQKPPKKKKPKIETVEPKGTSGSDSTAKEKIKVLGKLADDKPDVIIKEARP RPEDWAQRVELPPPPPPVARRPTVKTYRHAKTGETVTVEEEEDDDHHRGALSEPDMPR RKRSSSGESRRSNSNPRTQQCPPNDGIRVRSLQKRGSKDDGIRICPAKPARSLPDDGI KVRPGPPVSADSSSRGASPPPRRTSTPPRRASTPLRKASTPKPRARSDHSAASDDVIE VIVEPESEVPPPPKRKLPRRRGSRGGARRKPKRRSPSPPTTATQTETTTDDRRPGADK PMPTPRNGGSSGEDSDRRPPTAAGIDDLAEIPFGFSAFSELELPLRGNTQRTHARQSA KPKPKPQRNESLKLVPNVLKKVMTGAMEKMQEMAEPPRPPPTGNKPARIESWLNNTVD PFVEGMPNLPPVVAPEPLRVSTPERNSKEKLVDRDLPAHRERAPERNSKEKLVDRDVS THKARTHEISKRASAELPRRKERPQTPVTHEELRKTSTRSDDSEVTPKRRKSPTTTPD SAAGLKRHSTPRSPTLPRRSSGSVKKPFRDVLKEAFKGESSAHKIAPMVYPSCETDVE SEPETEDDLESRRSPPQQRSPDSYKRRSASPDRPSRADSYSSSEPSTRGPSRRRRPTS DLHDLSTILSEDSREYQEPYGKKDTDSVSTVSQSTVTQAPEEAFGQPTPLTREASQQS QTSQISRKSGSLKRRLTTKHSDLVSVLSLPDDGQLVPPSRSRSIKASRSLHRKPSKAT DSRVNDLLEEFADDEHFYHRELKTLVDGVVPVLLNEFVHGDNVDDADRKTDSMAKAVV NMGVALEKLWTYHKRAPLHDIRRLLEWLEAVSPVYNNYLDVWRLGFQDLIVNLAPPSG KIDENDSLLNALPRNEDGDVLSYNGERVDVAYLLKRPLIRIKWMYKFLRAAVMVIKTP ETEDLLKLYGNLQEKARTRHREEFARITDEDANNTDTTRARDLRNLRPLDNVRIDPSR QVAAKDSFEMDLEHSSGQRLECQIELMHRDRVNVPSDMGDILIRDISNKAKPWLLFPP VPRQYISARKGESPRSMIVMIRGRHNGDEWYELIKLSTTEEVQITDWLGILGSDPMPP LSRPKPPMSMSLIHVSSPKADDLDVPIGEPSVIGSYDDEVRTPSRYHKRQASAPVTSY PAPNKHIPYSESVDIFRSQPITPAYGIESVPIPRPLNIKGSAAVQPPVELGPTTRVKR RTSSPLKHEYHPSDIDSETEESARSDSESSSSSSDELDEDDVPDTIPGYSLKQPLPQI VEESVVSENTIAPSQSASQVGAPQPGQQTPQERTVQRFVASVSYWSNRKGIWREINTE PSRILVFPGSMEVHMLQETPGNKQAYPLQTSGTSEVDMANREAGGIVPLICLILTPVV MIRRSTALDLEVRSRVSPESRLSSIDSGMFRFRAASQDEAKALYEAVHQSRLNNARYI QLAEEARVRSFGQQIQNDSGAGSADGTETSSRRKSIFGRKNSYRASTRAPSQHSSATT SVSAKSFLRRLLGGENTSFNIGKSTVDKQSRPGSIAGSGGAHGGGSGSLYSSGYSSGT GGNDSSTPPRSASGSGSQSPSRWSIGLAKPFTPGQPLEIRCHLNVQNNRWADKGDCIL HIGRPPPGVRQELSLYHGLEKRIIVTHATKKVSDKPLILLDAVLGSKSFSMLGTKGIM CSVWENLRDEEGNVGLAPRTGRVAGKVTKWCFQCKSVQQANWVFGMVTSEVEGLLL NEUTE1DRAFT_77119 MPSSQPISRGALRDSWPPTQLRIDYGDFHDVDLSNIDQDPLTYF LTPTPAANAVDDDDMDFDMDFDAGIEDTKHPAPIVRSVSPSSLDGLSLPPPRPPTPPK SSTPELEFDGYPTPEDKEDYMHLAAAAFDLPVLRLKDLTKKPKMRHSSSYSNSNSRPS SRLDNYRSTSTADYDLPLTPPSPSYSHCANRGRPLTRPGPRTMFRPFRRSPHSWREPS PDVWAIEEETDEELHDSEMSEGHHAEQKYAGARNIELPSTASKGKKRVRFALPFEQ NEUTE1DRAFT_144490 MTLPESPAPGSAQLHIRFVSFNIRFATDNPVPGEQPWTDRRPRL CAQLNFLTSGHDSTFLCLQEVLYSQLLDIQDSLGPSWSHIGRGREDGKLAGEFSPIFF RVDEWDCQDWKTYWLSETPERPSIGWDAVLERIVTVGSFRHKTTSKAVIVMSTHFDHR GQIAREESSKLILMLASEWRRTYRDSYPPLVLGGDLNSTPTDQAYKTLTSSESGLQDT SAVFPDELKYGNRDITYTSFGEPDEEPKTIDFLFVQRPPTLILRLFGILPNKFDDGLF LSDHRPIFVDMEV NEUTE1DRAFT_127913 MSSTRSRIASVEDADDDGNIIQGTSRYAASVGSKENSSSGRARK EHKKSDSSPIVTNGQPDSDSTQNPRRSESSKTARREREKSTSGTKRSSMSSSRPVPRH ANTTGNLHRRGDYDRNGREIEASYYGVSPTMTTSSSRPPRPQTSTPRPVSYYGTGPTR PPPPSARYIASGPPPPPQFMPQSYPATPPTWAGPGPMQPFPIPTPGSLSRQATDYFSA QPVDARLDAQLAALSLGTSPNRPRTALAYRTSRTLEYGEADFDEENERSMPRPSLSHR KLSRDEDARRMPPPVRSSTAHPSGNPHRPPPVPSTPGRRASRYADEDQSGDALFALSP TPYEYQTPAPAASRARSKSRPRHQRRPSVSAGTYEDQESRMEIASRHNRRHSYYGAAL QPVTASGSGYEEKIRQAAKYQTETLGGDPMPLTAETLRRAQRNGGSSRSTKSSEESRD ESDYRHSATTRTTRSSANPHEDVTIRVMKGKGAVLEVNGAKLKCAGGAEINISRNAIT SGRNGNEKAYYDYEEEYDERQPRYIEDGRQQHYEERHSRAERSQTRARAASRARSRPR SMHYAGRDIDGTDYDYIPAYPEYPSDPPTYRY NEUTE1DRAFT_116046 MAPVLRALMARRLVVSGKDIAALGPLAARGILLARDDNDNEDRP DPEKGLVHPQDINNKAMFAFFGLLGAAIVIGAVWFFFWAKNGGFYFKEDDWDDYKSTV MRRKGPNGTIYSGATPSTILGGGSVYKDVADDDGMTEMDNTTVISGTTGITGITGITG GVSDIYGREKRRKKREQKERDKERRREEKAREKEEKKRSKRKVGTDGIMIDEEAEALA EEQLRNYRSEKPARVGGINVASEASEWDGSTNPSWSGVGTSTVDSGSTVTSELIKHQE KRERSPSKKERDEERAARKEAERRERREQREREREHDRELGRDRNPEREREKEKKTGG IRKVYSTADKNASREAERLRAEARRLRERDRASAAAGQREREQRSSKRDFSWQHGVGD ESSIALRRIDERGESVLDDVHEHEEGVIATGSNGQYARVNNQDYDEVDQVPPLRTSRA LVPSSYTGTESEMMESEISGHKVYKHPVHIPVSGAESSVGSDVSYAEERRKKRNGAGY RRHHRRDGDGDSSVGGY NEUTE1DRAFT_77126 MPDYRPGMNGIIIGLLSSFGSAILIGCFFLIFYFFRCTTSGRIF LDRIGRPGEYDDEQQFLREEAEALETMDDMQRTEYLRAKAFIAANPPESLQTDISLSQ YLAIQEKGVSAWEFEPELEIANCFVEARTEIEFFDSECTVMSNLPVPKQNEVYYWEAK IYDKPENTLISIGMATKPYPLFRLPGFHKYSVAYLSNGTRRYNQPFNATSYGPQVVQG DVIGVGYRPRSGTIFFTRNGKKLEDVVHGLKSQNFFPSIGANGPCIVHVNFGQAGFVF IEANVKKWGLAPMTGSLAPPPPYGSEQGSILLEAGTKDGFTSTLGRGRGYSHPVQTYS RQGLAAVDSSHNRTRSGNFRMFPPTSPGPVRSPTDISLAHLVPTEDAGEPSSSAAALV DQDGQPITGGFLSPDQPPPEYTSPVNSRPGSRRHSSDSENTPLIQLSGRSRGASSATA RPIQSGGSHNRPRAGSHRPPSPPIPSYQDAVRQGAGRDRSDSTRSARDSS NEUTE1DRAFT_116048 MNSDKIWQESSSAKINLTNLACLLASHQIWCTLGVSGGGQDEDE DMAGEIG NEUTE1DRAFT_58445 MSDYNSMKVPELKKLLNERSLPQTGNKADLIARLQEHDKQQAKP ADAAPATTKKDGEAEDEIDYEDDDFPAGDKKAATETTDEKAPASAVAAETATESEPKA PVESEEKKTTEAAPAAAASTEQPAASSAQGEEVAAKDTTTTTATTAEDPSKAEEQKPA EPLFSQHLPPTDAKSEAEKRAARAARFGITTDEKSEEAQKAARAARFGLANDQVSALD SALPDRPRKRGRGGKEEGAGEEGGSERAAKQQKTTAAAPQENKGGNQSQGGGGAGGGR NRHGRNRNNNNNNSNNNRGGQSQNNSRQQGNQPKQQQQQQQKKPAAAIDPAEKAKMEA RAKRFAAASS NEUTE1DRAFT_127917 MLWYLLYPLRGTTEAPVLPPDHPLRTAFSRYGTWTARHVKTVLP LSVALVFCLLYPIFFLYTTDATNVTSGVSNLPHHVWTDAQPLSERAVAEPDVIMRSVW VHGSYMKALDREILLGALELQDTLLGPTTNFNPRRPHASRILPNDPTVDMTRDQRDAF HIVNGLTDQSWFFHSPLQYWGGSADNIAADGDIISTVNEKKTQSTSVNITLRHSFVFS GKRFEERRLAAADALVITLIHFKDSPLGRQWVRNAERLAAQVHDKWTVIPHDGKSMAS QLYEFQFRPMSWPDWFLLTIAYSLTLFYLLLSLSKLRAVKSRFGLMVTILAQIAASIG SSFTICAIFKIDLSRVPYYAYPLVILAISMENSFRLINAVIMTSSSISISDRIGEAFG QTAYVAILNRLQNLAILLGLSRITFPGVAAFCTFAAVAIVFDFLYLATFFLAVLSVDV RQRELYELEKASLRKTNDVYEITLFDRIRRIRHGGATVSTRVAGTIVILGFILLAQSH YALESGSQWLNWIFTRSWRVAEPAFSKSSHLVDIHQARSPTSWLRLQDHETAREVINV VKPWAHSYVARVYEPLVFVAKGSNRIPHSPEPMFLPAVYDFIHNEIPRFVVIMLTLVA LLLLLTNYLLQGNDKQAGDPDHPENEPLLSVRSLSHGHDLDVVKMAVSPGHKLVSVGL DRNIQIWDVPLNSRTRVMSDSETPLENPFPVLAMAIDDDSKWLALLTWQRVLLWDTDE QQWGLSIEVELGGHKPEAMFFNYTNKTLDTPSLVVVRKNGTMFELDLEGTASKEFTIC KTPFAMALPFVEPTSANQVSQSLSILTLSRKSCIHIVRQQPGGWTSKEVKLTTGEAKD IHSIVPAPDLSMYLVCRSRSVDLVDLESSAVIQTFNTEPMEPRSLKLLLSSRGAPQHG LPPLSLCYISLETGDLVIQTFYRADEVDPMHYPKDYAPEAPNQPWNEEKEVKKRIEKP GRWEILPNGGIVGVRRVPVASLSSSRRRSFRAVSSGVGVGYGLRRRGAGSGGTAADST DVTTPDMGMTVWEAWAFNNLESAKTKGIETQPLDQQADVEDAMYDNLWVSELGPMVRL GTASVAVGLGNVIKVISVGHEHFDKMVTRDRLTAENLRNQNYLRRRKTGSAAQRARGG LGGGGAAAGI NEUTE1DRAFT_93941 MWTGGIRGSVSGAVAARAARAPSSWEMFRIRSFGSVPLARRAKS AQSRGPHQSNLTGAGTMY NEUTE1DRAFT_98384 MRGSHSSCSGYLKRELRQEEQKEHPELLNARPTGLCRSRYIADG TNQKPTKPHWLHHQPPKAPRGALQVGRYCVVYVHTSMIQRMNLTNRAGQSSVKSQNRA TASEFSHD NEUTE1DRAFT_77131 MPHHRGTRRHITYACGSRGVIVFSRSHRRESAFTAHLLQCEFHP ASFLSFLLFFFFFFVFLSYMYNSRAHPLVSNWRQSHRLVTLGLASLCDRRRCLVGWQL AWLAVMIVMDTSLEGDLSNSGRLRLWRGGGGGPHMLTSFCSCLDLPFSGPFHRLFFPF I NEUTE1DRAFT_77133 MGEEQQERDRDAIADLIVTYNELNSSFIEELHEEPSALEFMRFV ARNTPFVVRGGAANWKATQTWTAEYLSNFLGDETVNVAVTPFGNADAPTVHPETGALV FAKPHEEDQSFSDFLTYVIHQEKTQGLRKSEVHYAQTQNDNLRQEYSSLYSAVPPTIP WARIALSDPHRLGPDEEAQPEAINLWIGNSLSTTALHKDNYENVYVQIRGRKHFVLLP PHCLPCVNERELESGGYVRRKQKGENGDGEGDENGEEEMGDKGGLELVMEKDSETGKE VTVPFAIWDPDKPEENATRYSKLAEPMRVTLEEGDMLYLPAMWWVHSSSEQELVSITR SHSLARKKGFALL NEUTE1DRAFT_127919 MAIQKKHGKGRLDKWYKLAKEKGYRARAAFKLIQLNKKYGFLEK SKVALDLCAAPGSWCQVCAETMPTNSIIIGVDLAPIKPIPKVITFQSDITTEKCRATI RSHLKTWKADVVLHDGAPNVGTAWVQDSYNQAELALHSLKLATEFLIEGGTFVTKVFR SKDYNSLLWVCNQLFAKVEATKPPSSRNVSAEIFVVCRGFKAPKRIDPKLLDPRSVFE DVAGPAPNNEAKVYNPEVKKRKREGYEEGDYTQFKEISASEFINTVDPIAILGQYNKL SFEQPKNGDVALAALDKLPETTEEIRLCCADLKVLGRKEFKLLLKWRLKVREIFGFPS KKTQKAAVDEEVAVVENMDEELRIQEELQRIKEKETSKKKRERRRENEKKQKEIVRMQ MNMTAPMDIGMEQEGPRGEGAMFRLKTIDQNAALNKIAKGKMAVIKEAEKPKDYDFGS DGETDESDEEADRLEEELDNLYDQYRERKAAADAKYRTKKARKENGDDEWEGVSGDEE KGSDDDDEELEVDSSDDDSDSEDGESGKKLITDLDGQPEEKDGLSKRAKNFFSQGIFA EIPGLLEEPESEEEEAQEAELVEAVEDLKVTKKDKKEKKEAKETKAKSKKAAEESDDD DFEVVKNNEDDDWENVEKKKKNGRPDIDIITAEAMTLAHQLATGEKTSYDVIDDGYTK HAFKDRDGLPDWFLDDESKHDKPHKPITKAAAQAIKEKLRAYNARPIKKVAEAKARKK FKQAQRLEKLKKKADMLAGDDGMSEKEKAASISKLMASVAKKTRRAPIKVVKAAGSNK GLQGRPKGVKGRYKMVDPRMKKELRAMKRISKKK NEUTE1DRAFT_144498 MADNSNSTSTPLGVKSSSSLNTTNNIPPIPSSPPRSSAKERRNP SITPRKFRRFFTPRVIANNHPSASQRALRDLAGPLLERSHTPSSPLRPISEEEIPDVL PSLQDGQRSSKRRKITHASTPKRAEASHVSHLPSPAATSPALLPTPELKHDRLGSPIH SIRKLPSQLALDGDEAESEESDDDDEQELPTTRRRPNRAPIQLDRRGLGAQLVQRVTG GMPGAGNRSLSCPVSDWRSETADFYSRREDAHFMNSHEGHPRSIPFCTTSCNTKNLVA VGDEEGYVRLLDTQNEFSQINMSFQAHGNAIIDMAFSDDDLLLATASGDQTGKVLDFV TQQPISILGHHTASLKQVRFQPGRGANSVLATTSRDGSVQIWDLRCRGGPVQDVAIRS EAGLHARLPRTPNPGCVVNSIYDAHSRIPQKQARNLLPGASNVDVARIGEVPGRLGEV SVTALQFLPPGREHLFLTACEADASVKLWDIRAVHTSRHHKYSTPVSFTAPLPSHANW RPFGISSMALGGDGSRLYTLCKDNTVYAYSTAHLVLGHAVELTAARPGEEPPRRRHHN HGTAHEGLGPLYGFRHPLFHATSFYVKSAIRPAKNGHSELLAVGSSDGAAVLFPTDER YIKEAFAWQRQHPNQEDDESYLVGEPTSCIPVTPARPRLTRSATTSLLPSSSLMSMSS SSGGGGRPGTSSSASLFGIKGGHHQSSNTPIIRRGTPLVRGHEKEVGALTWTLDGSLV TASDDYLVRCWREGREEAADLRTGGETGGRRWGCGWADVGDQWDGDVDDW NEUTE1DRAFT_116052 MSSFFLYSSLAHSILLLYLHSSQPRAHAHTPPCLIVCYFFAAFP HSFPLSSVFFFFRASCARLLVAVSMLPIFSLVSDPSLISKGAMVSSIVT NEUTE1DRAFT_127921 MMATVSRTATALTTPPATSHGNKFTWDSASVPVHSEKPVNPKRS SINNENGYPFGRPVLASQKRNSTLLATHNTPPMDPLTHDHYTGDVADDARFTRSTRDG GSPADSLFDPETDESKWIHRDKLAQIENEELQAAGFVLPVARDNRARSKSGNRLKRDQ SQDKLSGQARSIGGGEHVGSRSRKNSSATQDWDKRADDGAEHADSTSNPGAKVTSRIP VPRTKAYPTTPTDEKAAAFGRKRDSSPEEDKEKIAYPKPRGRSGSTGNALTKAANGSD ARPVAKRADTSPMKSKPAAGAKKVSGKAATATTARPRTRGASAKDSTGARPTTRSGDR ELSPTTHKPMEGEPPWMVSAFRPDPRLPPEQQLLPTVAKRLQQEKWEREGKVGNVYDK EFRPLTDEGFLEPPEHPIVAPEHEPAENENEKENENENEKEEEQEKENEKEQPQADWP LKPAEPQCPQSPPPPSLHSLQSPRSPAPVGRTNSYSTMPKIADKPILTKSPSPKNPPQ RPHPSEVIRVPEPPEDPPQKKEKGGCGCCIVM NEUTE1DRAFT_35750 NGDRTCWLAWEDGETGSGGLAGGWCSKGDEGRWQQRGQRRGQQP TGAEGSLNSESASTIKRGKRCLTSSKKKTTALQSLDRVDRVAPTAIPATIASSYWVMG YTSDGDVRLVRVFVGLLSQVGDLVDLSLDGGQWVDCTDWIVCLGGWHHGIVDLFASF NEUTE1DRAFT_77143 MNLDFAALRNKNKVLDSHPCLAVLVQPGRILVLDQIVKSSADHC DRLVGCPEHVDGIHYVVRLALDEGRIRERRKRRKEGSAGHEEGVNEVWLADVEHLHYV LFGDGRAGVAGPVDRADDAVLNRVEHQLQRAHDAAALIRVDHVQVCDLRSSIVRRDQK VKFSQPQLLLEHGI NEUTE1DRAFT_116054 MAFSEDLEIACEFFEAVYAGLQTLDGQGISANDMKAWSKAKEYL APRILKDASGN NEUTE1DRAFT_77145 MSDDEEGDYNTITHTSMGRGVYIHPTPSAKDNIPGYIALLQQRN QHRDDRPVSSSSRDPSNTPASSDLLLAWVPESQLGEAANLYVKVDLCDGDSPPKQSYL VPPPPTVTTHRGSVGPYAFAIPVSAIYSLLIRPPSVGWWWGSIIINSRGGDSFPALFF HDSECQSTILQKKKRTADTFDPFGEAGQMFWGGDEVLRWLRRYVQIERSGAEPNIYLV EPSKEDSVAFGGKPTTNTAAQAGSSRGGPGGANAKDQDAGMDPFVKLIKEAGWNLMEK FSKVTTFTRQAANDVLDNPRVPPQMRRLLRNPEVQTLQDEFDSARIYLARWAMGIAEQ SDRDRNRRIWTARDVMELEDTDVGEFELVDGANSLSLEERRRVLTLKEWNGFFDEETG RLNITVDEVKERIFHGGLDPEDGVRKEAWLFLLGVYDWYSTADERKAQAASLRDAYIK LKGAWWERQVDLGGEGEEEKDVHRTDRNVPIFAGEDIPHPDPDSPFASTGTNVHMEQL KDMLLTYNEYNKGLGYVQGMSDLLAPIYAVLQDDALAFWAFQHFMDRMERNFLRDQSG MRAQLLALDNLVRFMDPKLYAHLESADSTNFFFFFRMLLVWYKREFEWADVLRLWEAL WTDYLSSGFHLFIALAILERHRDVIMTHLKHFDEVLKYVNELSTTIDLESTLIRAEAL FQRFRRLVEAIDRKDHFPGPRRPLSTASSSSVSAVLADAEGSKSSSPPLPPRPQSGPR TASSPSVATTKDNKGKTTATATAEEDKGIVEKIITPALRKLLSREVEIIRAPAREGAG YPTRERA NEUTE1DRAFT_77147 MLRGILSYWIFPIISGVVWLGTLLGLLIYWCTDEHRRHYSSMES RQTIAYISDVGASTLKPLFIAGCSVTTVFLDLSFGADRWLRHKGRLVPNTTVTEKVLS GITIVFAIIGTCGLILLSIFDTARYPRLHDIFLLLFIAGYVISAIFICWEYQRLGMRY REHRVLRISFWVKLAFVVVEIILAVAFASCSYTQHYNPAAVLEWTIAFIFSFYIFSFW IDLYPAVRTKGTGGYRGNKGMNEHMEHSREEQGIAGSDRTLMGEGYAAAHGHGQRNSY YSGNGYSGSRPGTAPNQQSGLRGMALPNDF NEUTE1DRAFT_120280 MANSPLVARPTDALQPPKSLPPSSGGTPSGGLPRSARGQQIAAL ARPTMDLMEQMNEDEKNKYIKGKKLGEGTYANVYLGHSRLDSSTQVAIKKIKVQKEYQ DGIAPDAIREMKYLQKLRGHANIIILHSVFSSKDQNLNLVLEYLPLGDLEMLIRDVDH VRYGAADIKAWMGMLTRAIWWCHDNYVLHRDIKPNNLLIAADGEVKLADFGLARSFAD PGVRMTANVITRWYRPPELLFGARHYSGAVDIWSVGLVFAELIIRAPYLPGNSEVEQI ALICREIGTPTEENWPGVTQLKEYTVPSDVVPVAGKDKYMGRFGAVGSDGVDLLTKTL VLDPRKRITAREMLEHRWWKADPKPTPKHDLPKKTAGAEEKLAADLKRRNGMVEDDDV RGSKVARKLDFGAAR NEUTE1DRAFT_144504 MSNFKRASMAGAGPKSASSSAMMAGGGHSKNGSILNALRATTMI DTKPVLPAEILVTILDYLPVSDLLRFARTSRRMREMVYDDTRWVARLRSMGVWDEAEA RRRFEEVMKRRREAAERAERERLAAAAAATGATGGSMPIGRGQPFPGQSLELFPGLQQ QYPTPPPVITTTLFDVGEEELRRKRIAEEQKKREEAIQAAAQRRAMEEMEGLQDGFET MKVGGGQGGLLPTAPQKDPFSDPEALLNVIKNARSIRGHARQEYGKIYGALAPFYFDL AKAKSHTDPVVFRVFRDPERQAQMLANLRTFAKSDWAAGWRQREEKLATMAGIFESAV LHEFETGYEFWDVDGRMHKYAHVLDLLNGGTAAVELFIHKHPIFTDKEVLANPMDCVN QALADDVTLEPSRRFFEVLTAKVNEQADIIQRVFPKPAEVFWLLMGKIRDDILTDYVT PLFDETHERSLAAYVKAVSGLFEQTLQFFRTVNAPKKEENPKEQEELAKEYALKVFEQ HLDLYLADELDYFTQQAEAEVGEWEKKLSEQDATAESFYMGSFTNRQADKKDFLTSFK KVVMMPVSALPSLPLGSPFASKPATTPTTATTAAANGGELQAPENPEQSHPVSPSLAA PVTVDRTGSPLPDKAPTDELAAKAALMTSRLEGIKSLFSIEVALSLVHTAKSSLGRAA VFIQLGGQAGGEAREQCEAIFVALLRILGSRHIKPGFDKAVAHLSQYNPREVSHHDKG GVAPLVTFIELVNVGDLISQMIDVFYEQQLTTPKIADRNDFLDPAGLAKKKFEQMLDE SVAAGLNKGIDVLMDEVEYVCATTQQPTDYNPAMTTDPFNDAASISGMSVTTEGTTST TTNSSSNNNRKSRYKSGTPSIWSLPSLPGTPPTPGGSSGTTNSLGPQDIGPTATALRI RDLVASHTSMLTGSTDKSMLDVFNGEVGLRLFTAVCKHLKRQRISTEGAIKLIADVNL YYEYIRTLKNQDLLAYFKALRELSQIYLIDAKHHAKEMATIIADNERFSGVFRAEEVY EYAERRADWYNVRKEVERAMYGLECLVM NEUTE1DRAFT_35029 MAPPPPRQPRQLSLVLTLFLLCFNALFGQAAAQEDEAILNSEIA RLNNQSLLWGPYRPNLYFGVRPRIPKALMTGLMWGKVETYTDYQTSLRYTCEQNEGMK GYGWDEYDTRRGGVQSIHDTENGIDITTSFVKVPGGATGGSWAARVKGRLQEGVPSDR KTAVILYVTQEGLGTLEAAKGDDENGYEGDVTLNGNSAALGDYKLVLTKGKGKHPKSD HKVTEVRGDAHTVVQSLTYQEEFLWQAKGIVFKQLKESVDAFVEKYFDKEDPPPAWQV FQLENRPGAGNVHIIQRVFEGDFEFDILFSSASAGKELSSADLTREIQEATESFGERF SSVFAIKAPFTAEKYEKFGRSMFSNLIGGIGYFFGESVVDRSYAPEYEEDHEGFWEEA AAARARNKPTLEGPYELFTSVPSRPFFPRGFLWDEGFHLIPIADWDIDLAMEIVKSWY NLMDEDGWIAREQILGAEARSKVPEEFQTQYPHYANPPTLFFIVDTFVNRLRNANGTM PAVKEHLSQGVSLSSASIDNVEVGLEYLRRLYPLLRRQFYWFKKTQYGDIKSYDRDAY SSREAYRWRGRTLTHCLTSGLDDYPRPQPPHPGELHVDLMSWVGLMAKSLTNVAEVIA PEEVEDYTKVLDGIEHNLDDLHWSEKDGCYCDATIDEFEEHRLVCHKGYISLSPFLTD LLKPDSPKLGKILALIGDEEELWSPYGIRSLSKKDENYGTAENYWRSPIWININYLII TQLHNLATQEGPYQETARDLYQRLRKNVVETIYNSWEETGFAWEQYNPETGKGQRTQH FTGWTSLVVKILAMDDLPEAAPVAPVESQYVKDEL NEUTE1DRAFT_127928 MSTNLCVSARDLGALGTWREEEPLQLHIPRRPSTALSAFPSSTS TTRLAASSTALDYSEHLKGGPEVLPQSVSGVVAGKEQRFDVQPRVTKSAYFRRRSLPR AIKQGNKGKGLRKTVAKGLECYGSQTTIEVDEEHRQPNQPDYTKVEDEDSSSIVCAID IRLNDDTSSGNIEQSSRIEESPASNPSTSPTSSPPADPLSPINNDNMTSSSSHSRPTS KSTASKHPASSSSPTPRSPIPSLKSSTTKISIPTIPPSDKHEDEDNNDQEKDQIDKDD WHQIHEPEQRRRIQNRIAQRKFRAKARSLKDQAARDAQNRRYAGCAYTCPEVGDLPVE CDIREEEEGEGYDFEGEGGGCEDGQEGRIGGERVLSGLPWGGLSMRFVVGRGHGYYHY QQTSKGSGSGSRSGSGSGSGSGPSGTGTGTGTVTSLLSPTITGLGTPTPVPIGTSDGD AWPALQQQQQQQQQQQRYQQQQRYQQQHPRSATYYGQHASGSSAGSPLSSYGMMMSMG MGFMGSGDMDMDVDYAMAAVPPESGSGSGSGGHGSSLGVGDGMDMTYYDSSPYYYGYG SGGGGSGGGDTASRSGGSV NEUTE1DRAFT_120283 MKSFLRIQSTLGLLFRPCAVSAPATAPGLNAHARWAHKTAAQLP LIPKPTPFVPDVPTFLTLIGRDLKQHADKFPTWEALFTLTTDQLRELGVEPPRARRYL LRWRQRFREGKFGIGGDLKHVENGVAYLKIHEKEASPTRTSRKVVNVPANQNVEEVSE GERVKVKGYKVKGVSTIVGPYALPVQKGVAKLAVTEGMWEDKRGHKVDGGERRRAEVR FKRGVAERKALREKMGFY NEUTE1DRAFT_77160 MPPKKKSQGQSRQPRSDWEANPENVAAFVDHVRSVGLDPAVSTT DAEQDIEVRPAKRPKLSRGVFKPSDAVCIDEHTVALPVRDTAAIPKDFSLNKQNIGDY VDVWVVNAKPDRRDPERGAWYLHLAPSKNSSRANKNKNAHICYALKTRTISSKLALMA RVAKTQSFDPGSPGYLWTAVDIAIRQEGASVKIDVTLRLMWNTSTDVYQSKVQQGLKT QVLMAYYPNLLVSAQETIAWTPQHFYEAAHTPTPNISDGHLATARIPSLETDLYPFQR RAVKWLLHREGVEWSGLPQPDGQPLVQPSESGDSSLLPAFFHQVKKLDGETFYISPLF GIVVKDITKLPSNDMVKGGLGKTVEVIALTVLHKRPPGPTVAYDAFLGRELQTTGATL IITPLPLLDQWLSELNRHAPTLKVVYYPGLKKAAKMKGVDLSSTQLAQQDVVITTYEV LRTEIWSAVDHPERSMRGKKQYERQTSPLVELGWWRVCIDEAQMVENWNSNTAVLARR IPRVHAWAITGTPVKDDIQKDLRGLLNFLRVEPYASDKEAWGALMSDKARFKSLFGSI TMRHTKSMVRSEISIPSQKRHVITMPFSAVEEQHYRTVFRELVNNCGLDVEGNPIQED WDPEDPFTQQGMRTALDQLRQLTLHPEVGNRNRKALGKRSGRPMRTVAEVLDAMLEQS DGAIRSDQRSLLLMQLKRGQILAGMDRVQDALTIWEDVRAKSVEMVAECRKQLEAELA KDPVVPSGAKEDSESDDDEDISAGLKDARRRLRFALEVLHMAMFLCANGYYSSKTDDK LTVPDSDEFKRLDKLESEGYDLAKAIRKEILQESYGKARKLMDKLDECRQKQDFAVIP AVDIPEESGVECSNIIIALEGIYEALDRQADKLDEWREHVIQLLLKPLVDEDNTDVEA TGQEYEESTKLQDEILAYVQVLKAAIADRQAVISGQQNGLVEHEVGVVLKMARDDMEV EAGHEPPESAKRILELFALRESVKPKFKEGDGHTSLRGIISKLRAVMTDLRHQEASGS KRARLELALAERLLKSTQAQHTDQGKAATAMEQEVENFTDILNARLDFYRQLQQVSDS VEEYTGATNEQALQRAIDEEERLKTKVATAESKHRYLLHLKQADASSDEERMCVICQS PFSIGVLTVCGHQFCKECITMWFTAHHNCPVCKRPLHRSNLHNITYKPQELKVHSEGH NTRDSNKQLAPRDQQPTSPSKSKKHTSIYTEFNPSKLAEIQNIDLENGPHYTTKVDTL IRHLLWLRQSDPGAKSIVFSQYQDFLYVLAQAFERYHIGYSSFYRSAVSSVASFREDP SIEVFLLHARAHASGLNLVNASHVFLCEPLLNTALELQAIARVDRIGQKQETTVWLYI VDGTVEQNVYELSVKRRLEHMERRATVGGTADSSSSSSSSTNTKTRGEAKDKEKESET LEDISLDAANAQEMQQAHLSKLMGKGSDGVVGEAVDQNDLWTCLFGGVKSAGRTSTTT ETGGGGVGNNNEEAERLLVENPVTRGFLAGEAAEGRNRM NEUTE1DRAFT_77164 MASTSYAFSTSSGSDFSTPRSSSPHSSASVASARSSHSSTFSAG KRLSMSSVRRTSASNPMSSVDLSTIEEALKMANLDTLKGYCQKTYGEVHQETTTEYIS QEEARGYQVLNQPHWNKGTSFTPEERVAKNLTGLIPHVMEDSEKQVERALKMIRTRQT DIDRYLYLSTIKSQNVDLFYRLLMDHAKEMMPLVYTPTIGDVCLQYSTLYTRPEALYI SIKQRKSIRTILRNWPYPQPEICVVTDGSRILGLGDLGVNGVGIPIGKLALYTAAAGI HPDKTLPIVLDCGTANETNLKDPLYLGLRQKRIPVAEQQEFMDEFMEAAAEVFPDMVV QFEDFESEKAFNYLDRYRDQYKCFNDDIQGTGAVVLAGYIGAVNLSNVPIEEQRLVFM GAGSAGVGVAKQLVEYYTKRGLSEQQAKDKFWLVDTKGLVTKDRGDKLAEHKKYFART DNNGHQFRTLEEVIEYVKPSALVGLTATHGVFTESVVRALKASVDAGGLGRRPILFPL SNPLTKAECTFKEAIDWTEGTVLFASGSPFSSYTTNGVTYHPNQGNNVYVFPGIGLGA ILAKASRVTDDMIYTSAAALANSLNADEVKQGLIYPRIDRVREASVIVAREVMKSARR EGVSALPEEQWLEWEEWGDVDLERYIKQHIYDPKF NEUTE1DRAFT_135230 MVRTRRSVAAADEVVVAAPIAEIKKPRGRPRKSATPAVSATASS VPTPATYTSGSEYATPLTSHAATPTPSLLKENVRSTRSTQSAPKIEVAIPVLKASHTM QTTRRSSTSATASKRKRNMVADSQEDDSDDGHDAKLARMLQDEEDAKVASSSKTPSFG VDHLGSTPRSMRSSHRGNINVSSSKTKKEVIADSEDDGFDDSPDATLARKLQAEEYGE EDSEEEAATSNVRALGLRRSSRRLNSSPVRASSKATGKSTAVAKGKQSAPTRNSTRRG VAQSSTQVLGFRTAKDLIADSQDDDDDFDSLSAFESDDNDSDISAQGGRPSAAQKGKG KAIAPPPESEDENYSDYSENLARFLSKRFRKGRPSAAQKGKGKAVAPPPELEDENDSD DSVLSLPDEADEMSVLGTGSYVSIDEDPDFLLPPNLQASVHGSDDDEDATLGAHIAEA GEGLDRIRAQMNNRRAYRSYRSNRRVKKDRLRLEKQHPELTTMWKDLENMPVLKAGRA EQPKSISRQLKPFQLEGLAWMTAMEKTEWKGGLLGDEMGLGKTIQAVSLIMSDYPAKK PSLVLVPPVALMQWMTEIESYTDGTLKTLVVHGTNSKSKNLTVKNIKSYDVIIMSYNS LESMYRKQEKGFKRKEGLYKEKSVVHQTEWHRVILDEAHSIKSRTTMTAKACFALKVT YRWCLSGTPLQNRIGEFFSLIRFLNIRPFACYLCRGCPCKTLEWGMDDDNRCKHCNHS AMQHVSVFNQELLNPIQKFGNRGEGALAFKKLRILTDRIMLRRLKKDHTNAMELPVKE INVERQFFGEVENDFANSIMTSGQRKFDTYVATGVLLNNYANIFGLIMQMRQVADHPD LILKKNGEGGQNVLVCCICDEPAEDAIRSRCKHDFCRVCVKTYVHSATDPNCPSCHIP LSIDLEQPELEQDEAQVKKSSIINRIKMENWTSSSKIELLVHELHKLRSDNASHKSII FSQFTTMLQLIEWRLRRAGITTVMLDGSMTPAQRQASINHFMTNVDVECFLVSLKAGG VALNLTEASRVFIVDPWWNPAAEWQSADRCHRIGQSRPCMITRLCIEDSVESRMVLLQ EKKTNMINSTINADDAAMDSLSPEDLQFLFRGN NEUTE1DRAFT_120286 MSPTRSRQQNNRAGRQIRGPQSALTDFLASHNISANQIRLDADA RRRAAEQENGASSSSNQQQVAEDEVGESSTVTTSVTVTTRTSRRKKDQKVIDKIKASK TYQKRKRNAGEDDADDDLLDTLLRERAPLPGQMDNCANCGKRFTVTAYSRNHPDGGLL CSPCGKEMDKDAPPKKKPAKRAAAGPVGRRRQIQSKILDGTFQLGAKSLMTLCIETLA KNIDLAEDLGDLPQPVIDKIARKLSKHRLLNPTTLSLFLQPTADEVCIYDGAKLSADD YIRIFQTVPGLKRLKARNAIHFKDEVMDFLVSRKTELEDLYLHGSNLIAEEKWLEFLQ KKGKSLQSLRVYWTDKHFGDSALAALPSSCPSLVRLKACHNQKITGEGVKHLAQLHHL KHLSIDLRNHVHSDVYVNVLSSIGPNLETFSITREIELDNTVLDAIHNHCRSLQKLRI TDSEVMTDEGFARLFTNWENKCLLFVDLQKCRQVDSANPRQNPDNIGLCDRGFKALMA HSGRKIQHLNVHGCRHISAKAFEEVFPSDGKKVYPELKNLEISFCEEVTDFVVGSIFK CCPNLHELNVFGCMKVKSVRVPKGKILVGVPNALGMVIEGVDDDEE NEUTE1DRAFT_25812 TVTDLVRDPTRRSATPAGSVHTVSTVSGKSSKIADPPVYHNDKS KDTVTFEVWHRLVGNTLKVNTDHFADDEAKQAYIENRLAGYTAKDLQPYLRDTHPNQI KTSQELMAHLKRQYDNPNIARQVVEDFEKLRIYQDDFHIFKNKFVRLAGECQLAQSQW KEAFHRRLSPELQVGMALYATLDAIDFDGY NEUTE1DRAFT_10080 VELLPIVQLVYNTSPTEITKISPFFTNYGYKLELLERLNTNVPK ALIKAKKLYILYKKFKGKLKFVKQKINQYFNLKRLEGLRFKEGNKILLSIKNFKIKRP NKKFNDRRVGLFKIKKKIS NEUTE1DRAFT_34888 ISIVLYFTIIIKPNITFILFRLTYFLINPKLIYYFVINRVKVYL HSTNYYAL NEUTE1DRAFT_77168 MKFDFLVLAAVASASTLTPNVLPLFVRNPYLSTWLGSARKAPWE DWPMFWTGSHLGFSVMASIPDSGKIYPLIGRPHDSLRRGHKLYSITFPEYLGPTFDAS TTNLTYLLHGSSLDEPVKITLSFLSPITPSSTLRQSIPAAYLTIIAEGCSNVTIYTDA NGEWVSGNRANEIKWDLHEPKVPEESAEKTTPIKTWKWSRQTEQHLTEFYDHAEWGTM HFTGPADAQHEAGTSGLLRQHFAEKGYLRNQIDRDFRRIMQEEPVFAFAKTFKLAGES KKKGCSKTEDSAVFTFALIQDPVVQFASARGLTLMKPFWASHFASPDELVRYHYNDFK TASWLARNYSDSLARDAFESGSESYQDIAALSARQVLGATQFSGTPDDPIIFLKEISS NGNFQTVDVIFPAFPFFLYTNPKWLGYLLEPLLEHQLSGQYPNDYSMHDLGAHFPNAT GHSDGNDEYMPVEECGNMLIMGLALANAFRYDTEPAFVQAAAPHAVANAISSAKDWSR SVDEYGFDLSGHRDRKTNLQTTGSKAAEKWLSRSYSLWKQWTGYLVRESLIPHNQLCT DDFAGWLANQTNLALKGIIGIKAMSEIADIVGHEDEAKHYRKVAATYIDKWQEYGISR DRTHAKLAYTWYGSWTTIYNLYADSLLCFHVPSSSSSSSSSSMKEHQDERRKRGFGRW FGTAGDQKPIGGGGDGDHDSGDTEKPFIPDKVYQMQSDWYSAVLQKYGLPLDSRHLYT KSDWEFFAAAVTGKKTRMEMLEAISLWVNETVTDRPLTDLYETEGNGGYPGIYFMARP VVGGHFAFLALERACGGKAVEALRFMDEEPAGKNAVDVDEDLKADMLEAKQKGWVGDL NEUTE1DRAFT_107794 MVSSTALKQTALVPPNNTGRLRYADSKDLPSYPSSGLKDNNGAA SAAASLGWTNRKSVEYPKPEISTAPASSAATLGWTNTKVVEHPKAELSNTHASHANTA AVLANGQKMASPTSNGLEVSRTKSNAAGSQAAISALRAAQRQQKQQHQPQPQQPKLTL QTSNWGNSAANLAFKGHKSPTSSTLTVPETQSSALTRQASLRAARDAVAGRRPRSKSQ PQPMTDNTAYPDKENATSNALSAAAKSMRSPSIRSTDGAGAVPYTTMSREMFTSRPPV KPEVDEKQRQDVLHASALAMAKRMYTQQQKTIDQSKQAQGRRSSFERHGTSNPTDQNN EVERSPVVYNSLQDAAYRLAQERLAKLHDEHQKNREMQEYYTGASPSSNQGNSAGSAF GSIRNKLTRRRSSSDGDIIAANDRQHSQRIRKQMSLFNTKIAEVNEQKRARDREALLQ AAQRNVKARLQGMDEKLYAEKGVMIPHTSKSDWEVKAHAAAQARFDATNSGKRSMDAG QVDIGGGKMVDRAKVEDIAAKRVQPLLDEINDLAEKERERKATLKMEEERKKEEVEKN KMREKEVAMLHKQLKDQQKDKEKALAAEVKREERQRKEEEKAAKAEQKRLAKEAKEIK EEKQTGKGKEPATAADLDRSSPDNQPMVRPPVVHRRTDSSGLARLSMPFLQRRTSPLQ RVSTSPDEGTSPISDPQSVASPTSKVKAWLKSRFSRPRRVSGASVASPKVVTTADGTA DAASPNANRGFIGGMALTRKLGENNPSVPSIVGDDHSGPESIREVALAGLSAPSPVQA SATLAPAITLRATAQDEPGESSGLTTVPTNPTGALGTAALKSSSRPISAIGSLDALAT VTTITTTTTTEPAVRDRPPSPSAQDQGQVQQPHLVLPYVTTTTAPAEGEAEINSVSSL SSSDLSDSVYSSVSNNSASRPAQDNDSDNEDDEFLEARSIFSSDGPHTPPSAGFPPVV NGMQVSVTQPTPSIGSEGHGQFLMVKPRASTGSGFGVGSVSGRSLRERPVSPGGLSVG QSSISGCGRISPFRESRFSENFDKED NEUTE1DRAFT_116059 MEEIVYQLQGFKGRVFNDVITPCNNEAAGPGRVTASEWLRVGFH DMATHNRVFGTGGLDASLQFELTNGENTGPGHNTTLRFLANYYSSQSSMADLIAAGVY ASVRSCGGPVVPLRLGRKDATSSGSTGVPQPQNSAETFRQQFDRMGFSTEEMIQVTAC GHTLGGVHSEEFPEIVPSGTGTNGQKPLDTTDATFDNKVVTEYISGTTQNPLVVGPAV AVNRHSDFKVYNIDGNATMNGLTSATTFRDVCKTVLQKMIDTVPSGVTLTDPITPYAV KPVDMQLTLNSGGSSFLLSGYIRVRTTNRASGAIKNIKMTWKDRNGGCASGSCSYTAT VQGAGTGFDDTFEFFPISTNIPTSSGISSFTVTVNLNDGTSESYDNNGNSYPLSDAII LQKPQSCLLQSSGALTVSALVRNDITATPSLGISYLTPRGVVRNGNPVPILSSTTVAM TKGDCAGPYTFYSASYTIAGGLSYAAKLSVTAGSNSDSFNKASDLSGSCATFSGTASC TSPGDGGGGGGNEPDASSTIASSSAAGPSSTSSVVEVTSSSHITSSTTVAPSSTTSST TPTATGPARKPTVAGYTRLRCATEGSGVRALTGASFAYDTMTLESCAANCTAAGNFAY FGVEYARECYCGNSLAASSSEAPDSECNMLCAGDATEYCGAGNRLELYAKPSSGGTGT TRTTTITTSTTASAAQPTATLGRKDTVGDYVFVGCQTEATEGGRALEGKAWADDGMTL EGCAEACSGWEYFGVEYGRECYCGNTLRGGSAPAPLADCSFTCAGTPYEYCGAGNRLE LYRLASASSSSSKTRRFRLERVRRL NEUTE1DRAFT_144513 MTRSPSSSPNLSHALAVVTPRSRPPRSSTESPPRHYRENDDLLS NLTPRTALDTIRNPTGEFRACMASASPTEQAFALRAAIASNKIQEWLDELSSWPWPSG ASSAGFQVPDLKRRKFESASQLSDKLYCGSLPAAEVAKYEKRVDEIYKDMEEIDIEEI KNHVLRNHIVPLSAFGSPILGSSRPLPSYSHMDDLTAFLTATIMQTLPNLARLSRLLN SWSIRLLVLKRVPAFLDTLADGEIALQSGRNVAGLDSNARAIPSRPPSPLSWAEFNVI KSVLEKKVAKAGRDLDVMLDSLEGWEYTLPDEWLDRVESLEKQYGEWVAACEHKIKQA DLKIDAPTNSDTANKLDLDERKQQQTNKAPTNGAVALTVQDQQSDDLTDNNKTMNVDV PPLIKVHVPLEGIDENDAEKGENDSWTFVDETAAPETNDESLITEERPLGGFDGSDID GAPASGMMPSPNIVASSKGSRPHSSSLSYATSDGEYFELDPFDSDFDFDDNLEHDLPG FPRSRRDSSFSTTSTIVFGNRVLEQETPDRSRRRSKDLDGSLSRDVSPPSSPPRFSRS KSRLRSLSRGFSDMPTVAELPDFDESNFESSAINEDGNPSKSNAGNSDEKLDLLQQQI SEILESVPAKIRLTSEPSPINLNPPDFTALNRKSSKPELRNRSQSSLSTRSTLSSRAG TPSFTLAPAPSRSSRQRNRGSHRDIKIYHLTRNNGEAPIKLFIRCVGENGERVMVRIG GGWADLGEYLRVFASHHRRNVALEGKVEVNMPRVTAGGSSSPTRPPSTRSNQDRQSPP DTPLNVRKTRKPAEANEENAGTPKATPKTPFISAGKATAVSSPRAPSVAARSRSISTR SRSSSRLSHRGDEEIVLGLAGPRTKNIEMSEEDKAWVEDMKAKVQNASGMNRQVSDSP ATAEGKFGDMGKIGGTKRVFKRQGGLP NEUTE1DRAFT_98409 MLPDGDALLWRSSLVLASGRSDDSSVHFPTHTRHSQASLIQEQV GTGAVVIVIPRAKLYGFHVVDHPKGGDGAGIPSEPSWRDVGTVPATEPSQRQRAAGEQ PAGAEDSQESTQDSTRPSDRVQIEMSGPNRVKRIVPEG NEUTE1DRAFT_120290 MSDSGEGSQCTPSPSPSTENESTGPVCTSPCEEKPSSLARIEAA TPSKKRPSKAIPADSQNPRPAKRRAARACLTCRNRKVRCNVVEGGLPCNNCKWDRVEC VVMESRRRKKNMLAGQAVPNGVAAVSGEFGARTLGHQPILSSQQLAAHANASLMAGFQ MMNGAGLPLSMGNALMQPLYAQATQTQGLWNNPSIPETSARLWQNPQLMTTMPGNLTN PSATVVNPRPTPISVSTPPSQPFLQPNLQPKPNRTVSPNLPNFFKPLPPKDAVDVQYM MAKGAFTIPSPEVQNAMLKAYIEYVHPYMPLLELRQFLTAIHSNGQSGQISLVLYQAV MFAGSNFVDQKYLDAAGLGSRRNARKELFMRTRVLYDCDVEKDRLDLVQALLLMTYWY ETPEDQKDTWHWIGVAISLGLTIGIHRNPANLAMPPAQKKLWKRIWWCCFMRDRLIAL GMRRPTRIKDEDFDVPMLEESDFEIVELPADNQLLGPNCAVVRNVATQRQLARLCIQK ARICVAISHMIKTQYTVLNHDGGLPAGQTTSGTTMLFPNKSLNNIQEVQKVDQMLESW RLQLPEDCQYRPLLTEALAEEDQPVAVHRTLLHMVYHTTVSALHRPHYLTMEQAATQP AQTSLIAQQARSKVHHAATMVTRMAEDLLRHGLAKYLPTTAVTVTLPAMTVHLLHSRS PDPELSQQARRDFEVCAKLLLQLRGMYAAAEFAHGFLMGVEARHKATAVSPQGPQAAP VSLGHQVHLGPQKEPTPPPETSSFSPSTNTTFYRPPQADAMVSGVGHGPGALVLVNVD SGGSTPPQTDVEDMSSAGLTPPVDTTAYEEVQTTAMECDTNGAMANYFDDAFGSILDP EAVEGDFMEAFTMSHENDDEWMFDGPCNSNAVPAAVC NEUTE1DRAFT_34627 MVVVVVRDIFVIPDKWLRSGLKSQEGFCSVRYVPSVNQNTAPAG PQKPLRRSPAPA NEUTE1DRAFT_135241 MIIYGMCSAEIQQQQRQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQ NEUTE1DRAFT_98412 MAVRSNFVWLTLALSLSDLSCNASPVRQRAAVPDGFYAAPYYPT PYGGWEDSWKDSYAKAQALVGKMTLAEKTNITGGSGMFMGNPCVGNSGSAYRVGFPQL CLQDGALGVGNTDHNTAFPAGITTGATFDKDLIYARAVAIGKEFRGKGAHVFLGPSVG PLGRKPLGGRNWEGFGADPVLQGIAGALTIKGVQEQGVIATIKHFIGNEQEMYRILWP FADGVHAGVGAAMTSYNAVNGSAASQNAYLINNLLKDELGFQGFVMSDWLSHISGVAS ALAGLDMSMPGDTQIPLFGGSLWKFHLTEAALNGSVPVDRLNDMATRIVAPWYQFGQD KNFPAVNFHSYVSSEKGLLYPGAVPVSPIGKVNWFVDVQADHGSVARQVAQDAITLLK NDDNFLPLSTKSSLGIFGSDARVDPAGPNACGSRACNKGTLGMGWGSGVANYPYFDDP ITAIKKRVENVKLYDSDDFPHTLTPSPTDDDIAIVFINSAAGENSLTVEGNHGDRDND KFSAWHNGDKLVQKAAENYKNVIVVVHTVGPLILEPWIDLPSVKAVLFAHLPGQEAGE SLANVLFGDVSPSGHLPYSITKKENDLPDSVTKLVKEIIGQPQDTYSEGLYIDYRWLN KQGIKPRYAFGHGLSYTTFNYTDAHIKIVNALSSALPPARQPKPSVAILSTEIPPASE AYEPADFSKVWRYIYSWLSKSDADKAYAVGTSSSSKSGSQIYPYPEGYSTVQKPGVPA GGGQGGNPALFDTILELDVTVQNTGSRHKGKASVQAYVQFPTDSEYDTPIIQLRDFAK TKELGTGESETVTLRLRRKDLSVWDTQKQNWVAPGALGANGKSKRYIVWLGEGSDKLF TRCFSDTLVCERGVEPPV NEUTE1DRAFT_58496 MKASLFLASALAATGLAAPSTSPNMAKRQFVVTSSELSKLSYWA EQAATSYCNSNNAAGDLIACSNNVCPTLVSNKAVTVASFASGTATDIRGLVSVDPVKK VITVSFRGSSSVRNWITDVVFVKSSCDELVSGCLIHTGFYTAWREVATKVTAAVQSAK AAYPSYSIGITGHSLGGAVATVAAAYLRKAGYTADLYTFGSPRVGNEAFAAFTTTQSG DEYRVTHENDPVPRLPPISFNYRHTSPEWWIQAAVPTTSQVKICPGYASIDCNAGTLG LKRDDHLHYFEDIAGCSSGGFNWKRAEANVAANDISDEELEARLNQWVVEDVNFVNSN DLN NEUTE1DRAFT_58499 MSRIFSSALQAAFKPSAFLPKTATRSFSILPSLRPAALSSTPST IFRAPNAITAPSASPSATTGIDGEVLDLLSASSLISSHPALSGLGSQIRCGPRPTMSG ATRLVQKRRHGFLSRVKTKNGQKTLKRRLAKGRLRLSA NEUTE1DRAFT_120293 MEFLQRLARFLDRPLFPWKKLIIGFSLANYFIEGFLGYRQYQVL KKTKVPKVLEHEVSQEVFDKSQAYGRAKAKFEFFSGIYGQIQNILFYQFDVLPKLWSF AGNLLVRFAPARFSGEISQSIVFVLSFVVISQILSLPTSLYHTFVLEEKFGFNKSSAK LWITDKIKSLFLTFVLTPPILAGFLAIVQKTGNQFFYYLWVFVAGLQVVMITIYPIFI LPLFNKLSPLEEGELKSSVEDLAKKLKFPLSELHVIDGSKRSAHSNAYFFGMPWKKHI VIYDTLIEKSETQEVVAVLAHELGHWKLGHTTSLFGISQAHFFAIFSLFSVFINNNSL YADFGFHTVHPIIVGFLLFSDVLGPTDTLIKLGMNVLSRKFEFQADEFANKLGYNAEL ARSLIKLQIQNLSTMDADWMFATYHFSHPILTERLKALNWQSTGKVEGKEKAKDSEEK TDVATATGRDEL NEUTE1DRAFT_34631 MLVESLLIVSASKNAFLAFAGIVTGVAAWSIFGGEVFPQDQADP KGNPEEWTREEMRRWLALRNLHPQDSDTREQLLERIQANLRIPRQ NEUTE1DRAFT_135248 MPQEIGDIKKFIEICRRKDASAARIKKNKATQQIKFKVRCQKYL YTLVLKDSDKAEKLKASLPPSLTIADVAKRNKKQTA NEUTE1DRAFT_77192 MTVTEIAIIRVYAGITDSTLRDTFSRAITVQDAWHARAFPDRPS SASARAVACFRGIDDETQILLTTSWGSVEEHWAWILSDDNKQQMEGPDLTKIIANQGP PNQPGGLILFHLDTNIFGNNQATTGKDVIALLDSPVISVERFLVDTEKKESFAATLQK VEASMEKVVHPHLVRSGRSVDRETGGEEFVLVSGWSCVESHTQEFVASSQSFLYSQLV GIASSEETQHYRRFL NEUTE1DRAFT_144523 MAEQEHNGVNGFHSESEQGNQPVNGDASEAVNGNPSNGLRVTIE ESASSDVNGGSPINSMVTPIRQRMERKKSSPMMPTFMVSAPGKVIVFGEHAVVHGKAA IAAAISLRSYLLVNTLSKSKRTVTLKFPDIDFNHSWNIDELPWKIFQQPGKKKYYYSL VTEIDQELVDAVQPFLADVSIDKPADIRKVHQNSAGSFLYMFLSLGSQSFPGCQYTLR STIPIGAGLGSSATIAVCLSAALLLQLRTLSGPHPDQPPEEARLQIERINRWAFVYEM FIHGNPSGVDNTVSTQGKAVVFQRTDYNQPPSVRPLWDFPKLPLLLVDTRTAKSTAHE VAKVATLKKKHPQLVGTILTAIDQVTQSSAQLIEEQGFNTEDEESLSKVGEMMTINHG LLVSLGVSHPRLERVRELVDHEGIGWTKLTGAGGGGCSITLLRPGVPREKLDKLEQRL DEEGYSKFETTLGSDGVGVLWPAVLKNGMDEDEEGGMEIDLEKFLSAGSNEALEKLVG VHGDRGEREGWKFWRVENRD NEUTE1DRAFT_144524 MPPKGKAATKPKATETTTTSNPTTTNTTSQTASQPSEPTTVLER SQQRFEKTRPFEAARRQQGLSRLSKEDQKGWICDQLLHLTNRLPAASRSCLLGPKASK EIWWTVNGTNSIVRGLKHKPEPYTTWGTDRNGHDVGSYTIERFDERFRKRIALTALQV SSRVFRENVEREKRGFVDARSGREIIVTEREIDEEKVRRSKMAALKKDLYGAITGKLA ESVEWEDVVPIPHEEPEGALAAITYPAEYAEAMSYLRAVMTTKEYSPRCLRLTEHIIA MNPAHYTVWLYRAANIFALDLSIPDEIEWLNEVALANLKNYQIWHHRHLLVEHYYPTI SSDPDALAQFAKQERDFLIAILSEDTKNYHVWSYRSWLVGKLGMWEDEEELKSIEKMI DEDVRNNSAWSHRFVLVFSNPKYATPGKAATEKDEKVPQELVDREVKYAQNKVYLAPQ NQSPWNYMRGVLVKGGQPLASVQGFVEEFVNKLGEGEEEEEVKSTHALDLLAEIYAEK KENEKADLCLRRLAEKWDKIRGGYWEWRRKCLTQSETEKAPGEQVEKAEEGIAAA NEUTE1DRAFT_98421 MATISKDEAIIRSSGEAARAFTDWYYTQLTDGKPVSSCYVNTNA KYLAASHPPADICINGQVVATPEEWDALLEQQRLITFTQQKGKVKYEVEGFDVHVINK DYRFAAPAEIVAATDAKMMQRTEDARLMMVVTVAGSVTFGGKEKGTPPKQHFSDVFVL VPNWDYVTRPKFKGHKRYLVASHTYRAY NEUTE1DRAFT_120299 MDGIANVVTVLGDRITNNVKGTFASMTAEKWIRLIIIVGAYALL RPYIIKLGGKAQMKKHEEESAEALRGTISPNELRGQKAMVRLPGEDSDSEDDEAQNGE SSAADWGKKARKRQRMVIKKLIEAEEDKLRESKEEEEDKDIEEFLLKD NEUTE1DRAFT_58522 MADASGEDVQERLKSALWFAISKMVDDESLRRNMNATPQFIGAL TELVWTQIENVAIDLETFSQHAGRTTVTTDDVLLLARRNSDLQSVIKDCVDRLKAAKV KEKTRSGKQK NEUTE1DRAFT_127944 MAYRQTTTKTTFEVKNVIQPIFTGGSVALDNGARILATTLGEQA VLTELNTGKQLAEIEGDGEPISTLTITPSASHLIVCSRSLTMRIYSLKVSPDYDSIEA TLVRTTKPHATPVVVLAVDRTSTLLATGAADGAIKIWDIVGGYVTHTVSGPSVLISAL HFFEIAALEDQASNKNKKNRRKSTAEEEQAQDDSVASKFRLAYGTQDGKIRIFDLSKR KTYPVYADPTSRREAHESNVQSLDYSPELHALLSGSRDKTMTVWLWKEGAWRGTPMLR HEGVEAVGFLNNGSLMYSAGTSGLLRLWDTTTHQEITAKQDAKSEAESIVSALALPHK NLVVCAQSDFTLALYRTPSVADATKKSTKPLEPFRRISGTHDEILDLVYLLPDQSLMA LATNSEDIRLVSVADKQPQLGDEEEGAAYFGHDVALLKGHEDIVMSLDVDWSGHWVAS GAKDNTARLWRVDPANNSFECYAVFTGHIESVGAVALPKTVPSENSEAFKNPLDHPPA FLISGSQDRFVQKRDIPRTSQKGAVSTSLRRLAHEKDINALDISPNGKLFASASQDKT VKIWDSATLEVVGILKGHRRGVWTVRFAPQGMPAIQGETGTAVSGKGVILTGSGDKTI KLWNLSDYTCLRTFEGHSHNVLKVVWLRLPKAADDGEDEAAATASKAKQRIQFASAGA DSLVKVWDANLGETECTLDNHEDRLWTLTVHPKTNMLVSGGSDSRVTFWKDTSAETHA AASSAALKLVEQEQQLENYIHAGAYRDAIILALQLNHPGRLLGIFTNVVTTNAPEEGS LCGIKAVDQVLGNLSDEQIFLLLLRLRDWNTNARTAPVAQRILWALVRLYPANKFSNL SVKGARGQKSLKEVLNALRVYTERHYKRIEELVDESYLVEYTLQEMDSLAPPAIEERD GDLVMADA NEUTE1DRAFT_120302 MRLGPLTSQALGRSPYDEVVEGTGEPQPGAPEQLYDDRGRPVNP ETRRINRDIIRSHNEVMLVIGVAEPDSGINEAQALYEAATKHQYYEDGIGRRLFLTGE VLATVGVWGVNGLRQRILLYKDYAHVPFSRLFHFHRSQQSWGEYLWAGLPSYVLSDSF AGFDMPEYPWVKYVFSWVNLHLEIFVFMQRTGLVNTSRWVPTLGFFIPGCNDSPIFLP RLPSSFTPGSIISWLGAFVAGIAPFAGYWFFSKTYARLVWSLRRKIQRRLPKPINFSR RRTLKEVVPYLQPPSGTYIPPTPTPEEQQQRQETQPRTPTPTQQQQQQQAPSANAIEA GWEMVHAPSDTLPTNDALPTGPPPDGTRRRQSIISVSGPGPGTPGGPNGSTSNTNQSQ SQSPAATADEAPFLSDDDEETEHVNPTLITFDVDTSDSATSDSAPIPPGAAVWAAELR PNVPDNSAGSNRNSQQTQTNSSSLGLDAPLYRDNGLTRLPHVLAAGALGLCAARAMMT PFESLALLGLARPYMARRMGLEGATLDMAFHGLGFMDAFSLGRMFNALALEMVHLAIQ CDLWAGMMCVADWFRMSDEEWCEREGVKRRTQE NEUTE1DRAFT_127946 MPGRHRAYTTEHPAKGFKWFPWKREKKVVVEPVQVWSDWVSSED GTYFYRARKLADGTWGDYEYTEGYYMGNYAGEYAGGYAGGYADGYMGGIEIPQEKEYH PAVAVSPWKLPEEPVAIMPESSEVMEDPEPRYAEIAESEPIGEFSVADEEEQHQGTTT NATSVSGDDAFDSPSEDDGYRESEPLPTVQEAEPMVFTHEPEPFRALSPVPTLRREYK PRRRHTTGADTSVPASYHRPRVPHRHTFNEGQKEGHKDSHSQDHHHHRRTKSHDSNHK QPLLFSIFYAVTKTHAGPQIRRMPSASPHRSSTRGKSTTRTSTRPSTATSSSSSRAPS ASVSKHQDNTSTRVAVPKTSTQKPTTKKPPVTTRSTKPKKPPVPTASETTVVVPLDTG VPSVIAPPGKATITKTKKFNSKIKSEKEMKVDSKKMIKGWLAGMEPGAEDKKMKGKGI GKERGRRMTK NEUTE1DRAFT_107812 MGQGQMAPYSLDYVAVQAAVVAVYSMMQRGPGYGISRGARKSGE RRGAFSVLHHVPSLADRQWLDEAVEDVEVARQRLACAQKMRVGKPPHFLSKTNTKENQ FAPPHHQPTSPRNNTVANMTSTIGIPIKLLNEAQGHIVTLEITSGQTYRGKLIEAMLT NALPPKTAEDNMNVQLKDITVTARDGRVSHLEQVYIRGSHVRFFIVPDMLRNAPMFRS RNVRGRGVGLARGRATVSRARAGGRGGAR NEUTE1DRAFT_58534 MPPTLGLRRALQSRGRGLGFGQRTAPSSVCLFCSFATRPSTFPT SSPLNKLRATRAKPQEAPAVRPRYQSTGATPLRPFISSPSDAGDTAAEPEPIDPRKDL ESALRDLQTLAPNHVNLPRLQLALRNLSQDPGHESIRVAFLGLTTGDKPQHTARELLR LALADPLKSAQNWEAQLKAHDPSKPLIVHIGPPEEQATPLSLAASEHVIPEITVPSHV YNDANLEMIVMEADQATVAQAGEQPHTFDEAILVPAVDTAATTAGHSAPIVTPVHMAV LVADGVLGAASILSLPISPESQDTITAVANFKRISAEDKAACPSLVITSVEAGAQGLD LFRESASNAMKYEALWTEAGVGHINKWLRSNVLSQAEQEGTTKAPVRKLIESILHNAG AALQAAEYRDMKVSVEGGPSPHTIARLNQALADWAKDAHEELQQQLEIAFVGEAWRQM NWWKLFWRVDDVGMLSSEMIAQRFLPEAEKRLIFLAGRLQEAGITTAGGGKPVTYSGP AVPPSFPEGESSVVTTATATTTTESETKGTWPAHIPFTRNYLLAETVPALQALAQKLV LQSSTLAGATTALGGMSWLSGFGIYECGAVAALGIVLSLRGLQKRWERARDFWEGEVR EEGRKAVRATEASIAELMDSVSPEVPEDVAVEIEELKEAKSVLKRAEEALARLK NEUTE1DRAFT_58538 MSLNVAQRRLLQEYRALTNNPPEGITAGPISEDDLLHWECLIQG PEGTPFEGGVFPAELKFPNDYPHMPPTMKFLGDIFHPNVYPSGLVCISILHPPGDDPN HYETASERWSPIQSVEKILLSVMSMLAEPNDESPANVEAAKMWREKRSEYEARVKASV RASLGL NEUTE1DRAFT_58540 MDDLSALDWSASSNSSSKPNPPKTTTTTSAFSSFPAIRPTPSPF ASGRSTPVISSQGSGTIAPKSNNNPFSKPAQDSFSNLGNFVNFASSSSAQNTAKLSLK EQQERLEAERRRKEEERRQQLQAQYGGLDALGQLGSGGSNSRTTSPAFGLGGPGSIPS PAISRVASPLNPTTLNQLNMTTKKPSESDDDLFAAFKAETKVDNASHYPPPTVASPPP VSTTASAQPKLDLSDPSAWGAPKSSTPTPAPAPAPVPAPVNTANTAFAGLDDDDPFGL GEFSAPKSRAAPPAPPAQAFDEEEDDLLGDLAKPVDQVKKQQQQLQQEQQQRQPQVAR PSFSQTREPGKPIEDDEDSSSSDDEPEQPPRLSDDPFDRAVAQLVDYGFTPENARRGL TESGAGLNIQAAVNWLLDDAHRQAKEEARAKNGGGGPQHTGRSEERGASQSRAGHGGQ GGPSWMREEERQEQVERSRSRDNRSPASLTEVDFAKTAAAVGTSLFKTANSLWKTGQK KVQEAVKEFQHEGGDPNQPKWMRETAEYEQGGRRPQAPDVTDEAMMLEGGGRPARRPE STRERSAEPRTASNGPQRHASPVPRWQQQAPPPASLDPRSRLSKQSVEEQSSQAYVSP ARRKKATPQPQPEAPPPAQQFEDEDLLFGSSGPSKSQITTALPSRPAAKSTAPPPPAP RRPPAAIQPKPAAPPRQIPPISAIALQSSTKHRLEGTAHFKRGDYAAAHTSYGASLSA IPSTHPLAILLLCNRALTALKVGEPRQAVSDADAAIALIGPSKGEGESVEVQTSEHGP AEKREMRDLYGKALARKAEALEQMEKWADAEKVWQSAVEAGLGGQTAAAGRQRCQKAL APKPPPSVSASTKPAPARPRPTPSAAASAASQKSSEAVQRLRLANQAAEKEGSEKFAL ADKVDAKIASWRDGKKDNLRALLASLDNVMWEGSGWKKVGLHELVVANKVKIVYMKAI AKCHPDKISTDASTEVRMIAGTVFATLNEAWDKFKKENNM NEUTE1DRAFT_144534 MGAWETEDEGTDPAVSLPTRNPSIRNRSRNQSRASRKSRRSITP TNRSGSSSPPPLPADWVDKGSKRASKAISIDDNISILDPRRFTPTLHASLVSEILSLR RDQEEKLKVIEGLETSLQTTREESESLQTTLLNTTKESRSLKRQIALLEGGTSSALDQ LAKERDEAVESANDTKKRLETTQKKLRNQEEDIERVHQQWAKEKDMWEEEKRKLEVRI HVAESRLKVVLDEVTAFQAAQTTASLNRPKSAAGSETGQENDGASVRTLSLTNSIRFS TVSAMMKPNGKTLADELDFDGDYEDESDYGGRQSVLSNRGHMRITSRDSAFVRLSSRE SGHTRHGSRDTLFSIKTHRRHQSSESLLRSASINRGKLSLNQSTLDRLEGAVIKEDEE LQPQTPKPTYVDTGIQYSPPPSPRIAPIEPWTPQPALTPSHQPYERPSDVDSPPRTDT ESEPNSRRKRVNLGGPLSIKPPNTQNLMVNGATQTMAEPLSPPRTPKWPGQDSLPTPQ ATPSSMVTSFTQTEVPSVQLQPIGLESPSYTIPSISIIPPSSRPASPCEPFLEPLSKD FGCQVSMPARVPMQSTAVQTEEIRVDLRLAKLPTHLHPSAIISRPVSPAVSSVDQHAE EARQFTPVPGNLPPRNPRRLTNKHSSSEIPSSPPIAASPILENMYDAYPGSNDDEPLS HHDAPVRRPRRIGSLFADFNGHSSDEEEFMDPADQSDSEYRTALSAPRPVTNSSFGKR SSGSTAPMSSPEHTFLQRTAGVHNTLRGLEEEENDTSDVFDSVSIFHQRDSRDLSLVR TASRRSGRSTASKANGMRRAALIQSGIVSHSLARTSSHATDTAEPPFPIPKRASSKNP VSTSNPSEGRGATPVRSNEGIHRRGSSRGPYRANSIRKARPPSAVSRKRSQRHGSRSP PPFMPPTQASDSHDLPPLPRNTISNPKKTDRSQRSTTTAQTDDLPGRPTSNSSQSTSV VDAIAKTMVGEWMFKYVRRRKSFGMTDKNGDESSNERHKRWVWIAPYERAILWSSKQP SSGTALLGKSGRKLVIQSVLDVKDENPAPKGSGPLFHRSILILTPQRALKFTAVNAER HYLWLTALSFLAHSNQAIPENLAVPTPDPQPEVFELPKPKPAAVPPPAQAPTSVPVPK ARARRPIRDSIRLTKAKAAIIETEPDRSFPLRMSEDSAVPPSIPTFRPTLAESYNFNP VVMHNREESYDSTIPPAIPRFNERNLHAALHNRKRSSTGGYLPPPISYRGLSGPMSGG SSHAPSDSTAGISVAGSSDIFSNAGAPSVMGSSILGSSGMTWGTGSVSRVSEASSRPS APNFFDAIGTVRMEAFIQPMAFTKYDDDQESYRYMARRRSKEFRRRHSRSWHRDSILS GNTRITLGSDPIEDYGYDRDDPFRGF NEUTE1DRAFT_135263 MSSDPDNPTVFDSNPTVEEAISSSYGYSSSRSAPPPPVPIDLEF ELLILILIE NEUTE1DRAFT_35292 MNDHQPRHSNDHPRLLHQSRRHRGSLQLQRIACASTVGEGDEAG DKRTVSMDD NEUTE1DRAFT_135264 MGNTTSPPKRKDSNHFTGPKHYNAAYTSGAFSFFNPTARYHVLA PPSHNEVEPQVDPATGNKKKPSRASDELSLTGNEAASVLGHRTTNDTTQEAAVASLPQ DTDIPTLSADQIGHALEATDSQLSAQSSLQNAANAATVSPERLAGALGTSPSQIGRIV QLGAGNGNGSKTSVNTTQQKQREQDQKDSGVYYVWRSRDNRKGRHAAVVTKPEILRRG KHKGKKESNGGGGNEERGKDNDDGEECDRTSGGWRHRRKLQDEEKGEGIEEEDAREEN RGEGPRVTNSWVSTWHGVSKMVTSFPWWDISYDVALTFFLAAISRSINGFFVYLPLST PESEFKGEKTGAGATRLITCTLVVISSVLLMLEAVNENRADCFGWALEESLAGNADGP RLRSEEQQGKRCTHHHHVRYVILRGSNPRDQRKAVVVPVSVSVPDDQNLHNEKRDDPQ AHSDGDASDSNDSDGKGEINSHHNHQNPSRAWKWWPSSDELKSHYLHEIGFLSCILQL IGSIIFWTEGFTGLPIVQNNISTGALAGCYWVPQVVGGFFFGLSAVLTMVEVQDRWYV PAPNLLGWHIGAWCLVGSFGLIVTGALGFVQNAGAQYKYAVGMATFVASWAFLIASVI LLFEALNKYPLTVSTAPPSRQPHIRPDAEQETGA NEUTE1DRAFT_58544 MPAMHQAPPWATEIKALTFDVFGTCVAWREKVASTLASATETKL SSASASNATSSDTPPSDQVLSRARSLTREDWAHFAQEWRRAYGDWTRSFVPDETPWRD TDTFHHDSLVDLLAKWQLDGLFTPSEVEKLSLTWHDLPPWPDTIPGLHLLGSRYHTVA LTNANQATLKDLNSQKGVDGSSPLGFQRLLSCDMYRAYKPNPKVYQGGLRDLDLQPRE VAMVAAHLGDLGAAKKEGMRAIYVARPGELDFDADEEKLKKAREWVDIWIDEGEGGMV EAARRLGINVDIDDGDVARVLG NEUTE1DRAFT_98435 MDNASRPHGNRPLWVGFRRMSVVVFGFPELSSSCRSPLFSSKVA LEVGILLITEPNGARLVWARRSPSGCGLLGFIEGSKILRVSRCLELRCG NEUTE1DRAFT_144536 MAVVAMSPNVVSNLFRRDGTCVLCDDRVPLSCPACPEGTACTTI LASCKECAHMICAPIEENTDSNSYQPSDGDSSGSSTNVGAIAGGVVGGVAVIAILTYL VWRYCIKSRRQVVAPEEWDGVQAQDDGLEKSFAQARDNRASTHTVGSVADTVFTRASN IIQIAYIPGVTNRTPTSPAVLVPPVPPIPLGHGGSPSATGDQHFFVPGDLRDSTYSGI SSFTDRTSYARTSYAPRSSVASTIYGKSVVITPAQTGMRAKPAMVSVKSAHSNFSGGT ATPPVPSVNYEKYTGFRPPSPTNSRNSTFSVGSTFLNTATPARAMVVRVGSVKKVNTT KPKTSDSSATSPTSASSAGRDSNVGTIIIDSPAVDQGPFSDPPSPPADRSATHGTATL SAVLQQSTRRASSRATDSPGSRERERSPFGDEHATQD NEUTE1DRAFT_127954 MAYHGRGDGYDGHQLQDLPGGHNQGDQHDDAQAPFLSENPMPYD NDRLGADTPPVRPVSAYSLTESYAPGAGTTRAGVAVNPTPPPHGGYGGGGVSSGVDQG YNYGGDYATDPAYRMSAIDEDDSWLRRQQPNAAPTGGLKRYATRKVKLVQGSVLSLDY PVPSAIRNAVQPKYRDEEGNNEEFFKMRYTAATCDPNDFTLKNGYDLRPRMYNRHTEL LIAITYYNEDKVLLSRTLHSVMTNIRDIVNLKKSSFWNRGGPAWQKIVVCLVFDGLDK TDKNVLDVLATIGIYQDGVIKKDVDGKETVAHIFEYTSQLSVTPNQALIRPVDDGPQT LPPVQFIFCLKQKNTKKINSHRWLFNAFGRILNPEVCILLDAGTKPSPRSLLALWEGF YNDKDLGGACGEIHAMLGKGGKKLLNPLVAVQNFEYKISNILDKPLESAFGYVSVLPG AFSAYRFRAIMGRPLEQYFHGDHTLSKLLGKKGIEGMNIFKKNMFLAEDRILCFELVA KAGQKWHLSYIKAAKGETDVPEGAPEFISQRRRWLNGSFAASLYSLMHFGRMYKSGHN IIRMFFFHVQLIYNIANVIFTWFSLASYWLTTTVIMDLVGTPVTASSSSAEHHGWPFG DTVTPFFNAVLKYIYLAFVILQFILALGNRPKGSKWTYITSFFVFSLIQSYILVLSGY LVARAFSVPLDQQLQLDNAKDAMASLFGGSGSAGVILVALVTIYGLYFLASFMYLDPW HMFHSFPYYMLLMSTYINILMIYAFNNWHDVSWGTKGSDKAEALPSANVSKGEKDEAV VEEIEKPQEDIDQQFEATVRRALAPYKEDETPEPKDLEDSYKSFRTMLVVSWLFSNCL LAVVITSDNFNSFGIGQTASARTAWFFKFLLFATGALSVIRFIGFCWFLGRTGIMCCF ARR NEUTE1DRAFT_58553 MLKIWSMKKEQQKAENAAGAESGGKKKKVTAAQLRVQKDLSELS LGSTMKTEFPDPDDILNFILYIEPDEGMYKGGKFSFTFNITPNFPHEPPKVQCREKIY HPNIDLEGKVCLNILREDWKPVLNLNAVIVGLQFLFLEPNASDPLNKEAAEDLRSNRE GFKRNVRTAMSGGTVKGQTYERVVQ NEUTE1DRAFT_77239 MAARNCTKALRPLARQLATPAVQRRTFVAAASAVRASVAVKAVA APARQQVRGVKTMDFAGHKEEVHERADWPAEKLLDYFKNDTLALIGYGSQGHGQGLNL RDNGLNVIVGVRKNGKSWEDAIQDGWVPGKNLFDVDEAISRGTIVMNLLSDAAQSETW PHIKPQITKGKTLYFSHGFSPVFKDLTKVEVPTDVDVILVAPKGSGRTVRSLFREGRG INSSFAVYQDVTGKAKEKAVALGVAVGSGYLYETTFEKEVYSDLYGERGCLMGGIHGM FLAQYEVLRERGHSPSEAFNETVEEATQSLYPLIGAHGMDWMFDACSTTARRGAIDWT PKFKDALKPVFNNLYDSVKNGDETKRSLEYNSQPDYRERYEAELDEIRNLEIWRAGKA VRSLRPENQK NEUTE1DRAFT_98440 MADPSTTPPLTRASVLAAHSLIKPYIHETPVLTNTTLDQLASTP RTPEELQGTEWEATERPANPKIRFWFKCENFQRIGAFKARGAFHAVERLKQTEGLEGL RKGGVVTHSSGNHAQALSLAARENGIPAHIVMPTISPPPKIAATKDYGANITFSGSTS TEREAVTREVIEQTGARLVPPYDHPDIILGQGTAALELQRQVAASLSTSGTTNRRLNA IISPCGGGGLLSGTALACSDLSPSDPSTPGPILVFGAEPSFSGADDGRRGYYSGTRIE SVKSLTIADGLRTPLGAYPWSIIYERKLVAGMYSVGEEEIKKALRLVYERMKVVVEPS AVVGLAVALFNEEFRSMVEREGGEEGWDLGVVFSGGNVELAALGRLFGEE NEUTE1DRAFT_144541 MAGQITQGALDAMFNDPDRAQQQFPVPILQCLQIKTLDSKNGGA GATERFRIVLSDLKNYVQCMMATQTNHLVHDGLLQRGCIVRLKQYQAQCLKGKNILIV LDLEVIQSLGCPEKMGDPQPLGPRSAEPQQNPNLGSTGFYGVKSEPTQDTKPQFPRQM PSRNASGGQGSSTIYPIEGLSPFSHKWTIKARVTSKSDIKTWHKASGEGKLFSVNFLD ESGEIRATGFNDQVDQFYDLLQEGQVYYISTPCRVQLAKKQWSNLPNDYELTFERDTV IEKAEDQTSVPQVRFNFVNIQELQDVERDATVDIIGVLKEVQEVTQIVSKTTQKPYDK RELTLVDNTGYSVRCTIWGKTATNFDAQPESIVAFKGTKVSDFGGRSLSLLSSGTMAI DPDIPEAHHLKGWYDSSGRNNTFATHNNMQTLGGATGRKDDAKTISQVKEENLGTNEA PDYFALKATVVFIKQDNFAYPGCRSEGCNRKVTDMGDGTWRCEKCQINHDRPQYRYIM SVNVNDHTGQLWLSCFDDTARVIMGKSADELMEIRETDETRLPAEFEQANCRKLNFRC RAKMDTFGEQQRIRYQVMSVAPLDYKMEGNKLNELINSYNQMSM NEUTE1DRAFT_120316 MASSPAVSVPIIDTHVHLYPQSEVETYQWYAEDNPLAKQHSIQE YQTATGAPGNLQGYVAIEVDRKNDNAKDWTHPLQEIAWLRRIVTGQPKPGEGHTADDG RDCLGIVPWAPVVLGSAELEKYLAAAEKEAGPETWAKVKGFRYLLQDKPNGTALSDDF IEGLKLLGRKKFVFDLGVDQHKRGRIQLEEAVEMIDRAHEGVDEEDKVVFILNHLCKP DLTIVNVQTDPSYIAWRTAMFTLSKCDRTYMKLSGCFSEMPEFLRARPAEEILSAILP WLAVVIAAFGPERIMFGSDWPVCTLGLGDEAWKKWRRVVEMTCHMAGLGPEAQTRLWA ETAREAYKLDSRPVYKVVDLTRSDE NEUTE1DRAFT_37633 MTSQIAQPTPISAPRPNLGVMTSQDLFASVNASFSKNSQPSTPS HTIQENDYSVPAPPPPSPVSFREHHWPSPVRR NEUTE1DRAFT_77251 MSQTFTKSQVAEHKDDKSMYIIIDDGVYDITNFLDDHPGGAKIL KRMAGKDATKSFWKYHGKSVLEKYGTKLKVGTLAEAAKL NEUTE1DRAFT_77255 MTSLTRRLGCLLGVLSVGTSLAHGQAITTVNGEEVTMLTGTKAE HTTSSIPTNLYQTYTTRITLGTTTDTASSILASVSENATDTALSSESASETSSATLSY LTGTNSHSTSTSNATASSTESAQPVPTNTRPCNNYPEFCDRKYSNITEVGCHNSPFVR ANSAAANQQLGVVDQLNDGVRFLQAQIQWAKNDTVPHFCHTTCDLFDAGPITEWLTTV KDWVVAHPYDVVTILLGNGNYSTPDFYVPHIEKTGILRYIYTPPVIPMTLNDWPTLSH MILTGQRVVMFMDYMANQTAYPWLLDEFTQMWETPFDPVDRNFPCVVQRPPDLPADQA KNRLYLMNHNLNGEANLLGNVLSVPDLSRINETNSAEGFGSLGLAANNCRSDWGRPPN VLNVDYYNMGDPPGSVFEAAARVNNVTYNRKCCGVAASSAQRIEAMLKVPLTLGFIWA AFWTLV NEUTE1DRAFT_144545 MGLKSLLNLRKRNSLDDQPKERYSPQPQTRDASYERTLQGEEGV PSDGQIKRATRLRRYFAWSASFGYLVSWVFLILILIGNTSDKPVLRNVYFYKLNLADI IPESVPNAQLINSIAQSIGLHDFYQVGLWNFCEGYQSEGITYCSEPETLYWFNPVKVI MSELLSGATVAIPTAIITILSVLRITSQIMFGFFLTACVLNFVLIFVSPILPVKSRWW SLPLSLFSFASMILTLAASIIGTVISYAFKYAAEAQSDLNIHADVGTYMFVFMWIATG FTMWSFAVHSGMGCCCASKRDLKSGRRLIKPDGRIIHAG NEUTE1DRAFT_116068 MMAFAQEFLDIPYKPALSGKRGHDIQIQHRQTVWEWYLRKIHTT RTCMTPRETPLKESIFSQ NEUTE1DRAFT_116069 MSAIQLSFSLRVSSGVKTVHLLGSWDNYAGQLPLSKDKTSSKSG SWKGTFRFQPALVQPGQRYWYYYIIDGYHVSHNPSEEFTVEPTTGRSLNILDVPKSSS SSKSSSRHSRDSVKSSMRESLSVDIPKGRPLSISQIKAPKPMSPHATRHILDADYNID ELSTRFASAGIYDEYDEDVITDFDMRSSPVSSTGSSVSYRSDSSSPSSSLSGYSTPAS DCSSCICERYGITRKGERVRLDCGGSRCGYEDSCSSEDEDNYVERSSRRNGIVVRA NEUTE1DRAFT_120322 MYKTKQTAKRTFPPLKPPNFKAPIQRESSYSDSYSHDSCPTSSC DSCFGYIYDNGYDSNTEEWDEEDDEDDDDDDEAQSSACQSQVTDPFSMSSMSSLFGPP SFSSQQSHGFALSAPPLPLPSTSRAKERAQQPRCSCQKPSKGYCNVTAGPPSGVKGQN QNPNTTETQLSIFSSNGQTGIGSDKQTQSTDSQALGHLLGDSRSTADVKGKNGNGNGN GMIKNLNVYNPNITIQVTPENFNRFSKFFPQKSGNASNGK NEUTE1DRAFT_127964 MPPAKSMTANPVKAPRYRAGKPTGVDSESESDNVPEDEEEAPKK PPTRKAPAPKFANAAGPGRIISRGNDGKINLKAAGIGSNAQDNREEEKKRREAAAKAR IAAEQKAREEGFVTEEEDEEEEESGSEEEESEEGESSEEEEAPRRLMLRPKFIRKADR EAGVTASNSASTNKKSTPEEDEAAAEEARRRAADELVEEQIKKDLAARAAGKKHWDDD TDPEEDQIDDTDDIDPEAEYAAWKLRELKRVRREREAIEAKEKELAEIERRRNLTEEE RRAEDEKHLQQQKEEKEGKGKMAYMQKYFHKGAFYQDESKEMGLDKRDIMGARFADDV KNRELLPKALQLRDMTKLGRKGATKYRDLKSEDTGQWGRLHDNRPEREFDRFGDERFQ PDDSHRDRYRDRGGDGPKGSNAIPLGDRKSAPERSRGDDRPRGEDRYRGGDRDRNRDR DRSRDRHRDRDRDRDRRRDDDYRERRRSPSPRRDRDRDHGERRKRSPSRERDRYESDK RRKVDTR NEUTE1DRAFT_77266 MADPVVAELNERFGTANTELSPDVIYELQSIMRLHELDVQDMFF KWESYCIKMDMDQPKMSMSTVRKFKQDLQDTLERANRAQALEQVKTEKRPGGTPRPGA RNNSDVFGMLDGLTTPAARRAAKLGSARKTPMGTPIGSRLKNEPVSSPMKLEAQLDAM GVIAPSSFKDRPNAGEVIEILNENLPAPEPPIAPFGESRIKLTAASDLKKLGYKPLAM KLSEASEILDDRIDDFTSLVLEHYKLDESVFGSAAMQSTTEIVAVGRVASDTSEGKLN AASLVLETSRKLGGGFRVPLNVSKLKGYQFFPGQIVALRGTNTTGREFTVNEVIRMPL MGNAASTLETMAAHRERLRGGQDAMDTDDENPMPLNVIFASGPYTADDNLDFEPLHTL INEAADTYADAVILTGPFIDAEHPLIASGDFDLPEEAVYDPDAATLSTVFKYLISPAL NRLVSANPHVTILLVPSVRDVIDRHVSWPQDAFPRKELGLHKSIKILGNPMTLSLNET LVGISSQDALWELKHEELIGGTVEDTNGLSRVARYLIEQRHYFPLFPPTDRTKLPKTG RQVIDGQAALGAMLDISYLKLGEMVNVRPDVLIVPSALPPFAKVIESVLVINPGYLSQ RKRAGTYARMTLYPPKLSEADAAPGEMLAHRIFERARVEVTRI NEUTE1DRAFT_144550 MATVLKVPLASPTPNSQPKPQAALTADQQEKYNWLLEQVKNWKE VPATEGKAGPITDREKFWLTRECLLRFLRATKWNQKEAEKRILGTLTWRREYGVEELT ADHISPENETGKQIILGYDKEGRVCHYLNPGRQNTEASPRQVQHLVFMLERVIDLMPP QVETLSLLINFKSSKSRSNTAPGIGQAREVLNILQNHYPERLGRALIINVPWIVNGFF KLITPFIDPNTREKLKFNEDMKKYVPAEQLWTEFNGSLEFDYDHATYWPALQKMCVEK REAKYQRWVAGGQQIGELEDFITGHAQVGVAGPIAAATSAPEPTPAASAPAPAAEPET VFAPETVLASETALAPPPVTASVPVATEAHNEAPAPAPEAAAIPEPTPAAAPVEEKKE EEKPKVVAATEVGETTA NEUTE1DRAFT_107833 MTEEAADTVLETGVETPTALASDDNGQRSDSPVLRNRAKRAFFR VWIPDQTRPEILNTSKHGISSLWSEIQCDYNAITGIPARETSIRTNLKELRQCDSDSN SDEQGQGREPTLPLDLWSRQGSLAGHKSISSFQAVQVRCHGLCPLFPFSSSSQTESLT PS NEUTE1DRAFT_34898 MDDVGLQEDHIAVPVHSCCHVIRPISDLFLSISAVSCSCLNTQR SEDKDEETQTQVFA NEUTE1DRAFT_116070 MATDIINQETLAPPSMKQEAPSPTSSMTPLPTPTSTSYPKDSVS PSPSTPANGNKNHNQSSASRRPPRKSTLTQQQKNQKRQRATQDQLTTLEMEFNKNPTP TATVRERIAEEINMTERSVQIWFQNRRAKIKLLAKKSLESGEDMDSIPESMRQYLAIQ AMESGKGLSYFGRTGLLPYGHQGMLGGEQGGQGKVLIHHLTCKSLTIGKWMRVSQNSM DLIIFYSPDKCTMTYYINNEQEGYKIEYPFSYIKNIYLNNGDGDHMGGITIELLHPPL FFMDAAGQASGFFQVGDFTEDQQASSVLTHHLGGNPKILSGQLAKLVSLEAFMHRHSP NHMFQEMHHPMALSAPVSPARPSSQPNFAQPHVGMFQENQWGINVHQNNNMMMRGGPG HKRQRSRSVPGPIDFQTLQMLQQPPSFHITQPEHHQAPVHNPQIFAPIPQQPNMLGPL NPNLRIDTQAGFGSVDMRQYPMSATTAPHSPAGFNSPNYFAPPDNGNMAGSFTPYSAT FSPMINPSSLGVPPPSISPLSFDHPAIMSESPPMSMPPICEGSAISDDGSNHDMYQPG KHSYTLPMHPHSPFAEPNQAEMELNQYMDLKHFDVDPASLSPESIHHTQ NEUTE1DRAFT_116071 MKFWLRGGSKGDDLEKPRRLLFLRYSGFNQGAVKYWISLCSIAQ RFSLRYGDGGS NEUTE1DRAFT_58590 MAGPDQPDAAEALRDRLENIELQQNEVHIPRPALRRLPASTPSL RLGQRRSTDGRHSAAHGRPSLGLPRHSSHLAPETPTSPLSPASPSATRVRFSLDIPDD DLPRSGRPSLSRPSLQLPSQLYTINSNIDLPSSSLKPSQPGRPSLEPRHSAANSTRSR LSLTLPRQRYDEAYGDASSAMATEEETKITLDTYKDWIGRQKERQKRLTSDPLNRIKA GAENAYQKYIVEGLLRQRPIPPSKDGRHIPLKPGLVRQIPLNDERTGQPYVSNFIRSS RYTLYDFLPKQLLFQFGKLANFYFLVIGILQMVPGLSTTGTYTTIAPLIVFVCISMAK EGYDDYRRYRLDKLENRSATFVLDPNGTVSTRVRGRKRGMLMEMVKGKKSAEGGAATE LAGLERVTTAEVDQSEGEGGGEPWARIEWQDIRVGDIVQLQRDENVPADMVLLHATGP NGVAYIETMALDGETNLKSKQACPLLLNHCSSINAMAHCDATVVSEDPNLDLYNYEGR VTVNGETMPLTSNQIVYRGSTLRNTTQAIGIVVNTGEECKIRMNAHKNVRTKAPEMQF MVNRIVMLLVVFVVALAVGCTGGYTMWHRSNEKKAWYLIQQKVPIKEIVIGFIIAFNT LIPLSLYVSLEIIKLGQLYLLTDVEMYDPKTDTAMSANTTTILENLGQVSYVFSDKTG TLTENLMRFRKISVGGAAFLHDMDIRRDAEKANQEQLAQDLPKRSLSISAKPRPSNVE ASLEPLAEESSNEPIVANQSAWHSSAGVKHGQREPNTEELIRYLQQRPNTPFSRTAKH FILCIALCHTCLPETKEDGEMTFQAASPDELALVEGARDLGYLVIDRPSQAIRLQTRD ADGNPVTETYEVLDVIEFSSKRKRMSIVIRMPDGSTCIFTKGADSMILPRLKQSNLAI QTAGKIGQMASMRKSMEQEKALRRLSLHSIKRDSMNLVRASRASMDHRRVKGSQRRST FFTDEVDSWLVRRETDEFDDSNPPPRRSMQRGRSFEVGRPHDPLDGMVDEHLALNEAA VFERCFKHIDDFASEGLRTLVFAYRYLDGEEYRKWKTIYHEATTSLVNRQERIEAAAE IIEQNFDLAGATAIEDKLQHGVPETIDKFRRANIKIWMLTGDKRETAINIAHSARICK SFSEVYILDATQDDLQERLASTLIDVGRGMVPHSVVVIDGHTLSVVEEDESLRVLFFD LVVRVDSVICCRASPSQKATLVQSIRQQVPKAVTLAIGDGANDIAMIQASHVGIGISG REGLQAARISDFSISQFRFLQRLLFVHGRWNYIRTGKYILGTFWKEIVFYLVQAQFQR YNGYTGTSLFESTSLTVFNTLFTSLPVILFGIFEKDLEADTLMAIPELYTYGQKEKAF NVKLYIGWMFMAVSESVLIFSIVWYVYGITLPSENAALYPVGTLAFTICVIFINIKML VLELHNRIAICFAGLFISVFGWFLWNLLLSGIFSAKMSPYLVRDGFIRGFGQHAPWWV VCIIALSALLIFEVAISAVRRSLWPTDQDLMKEIEHIDGVLEVMKEHAAERGEAGLPA ADVTAAEAGSMRHKNSYGDFTGASMTPAAAGSSSSRPLMPEGRPSHAYTRPNFDSPAE ELEDPVEDVVRPKSKAAGEVEEPKGSMKGKTPRKSALRTNIDVGVTTRFLGEGSANTP IASGSAMEAGEPYFVTSPIEMPEMHSPVVSMAAAGTADTGVESEEAGEGGAVERQLTG TTVNQEEGQPPVTRQLL NEUTE1DRAFT_120329 MGFAQCGWPIWRTDDFTPCFQEDYLKILLPLIVAGLSLLRLLTS NLLRAAKLKPNHGYDRVADNEQDRTYLPRDEEPVDESDEDEALTMNGGRLALAKVTTK GSIVQADTPAGQTVLPVIEILAIAGLVGVNVVALVLEAYSGPHGKIAAIAGLSAWTYV LILAALRLILRNTQWRASHLWNHTAAIYCAQWLFSLIGFRSVIVHPATQLRQTLTIVD FALTSLLFFMSISTRKGNKTVILEWEDGIQPSRENLASLFSHFTFGWVDSIMWEGWKE PLTADRVWNLLPTDKAATVLANYRQVQKTTSLAYHLLSYFKGMVAAQAAWAVMSGAFT FAPTLLLKAILEYVEDPTQAPRNVLWLYVILLPITDIVRSVGDAQALWIGRKICINIR AILVGEIYAKALRRKAAAGNDKVLGEDKKDEAPAKKGFCAKLKRALGLAKDDKKANDQ SAAEAAVTKAEDKAVEADEQANLGTITTLMSVDSFKVSEVTSYLHFLIASAPTQLIVA IILLYSVMGYSAIPGFIIMALLLPVNIGFAKSFQYTQKKIMSATDKRIHTTNEVLQNI RIIKYFAWEHRFETIVDEKRRAELKALRTRYMVWACAVAVWNTVPILITFFTFFVYTV IEKKPLYPSIAFTAISLFMLLRYPLDQLGDMIAHVQESKVSVDRIEEFLSEEETEKYK QLGQDSVDENGNKIIGFRDASFIWGGRNTVAKDGSLAFRLLDLNIEFQQGKLNVITGP TGCGKSSMLMALLGEMTILKGRVFLPGGRSREDVRPDPETGLADTCAYVAQQAWLVNG SIKDNILFSAPLDEQRYKDVIVACALERDLEILDNGDETLVGEKGITLSGGQKQRISL ARAVYSNSKHLLMDDCLSAVDSHTAQWIFSNCIMGPLMKHRTCILVSHNIPLCVPFSD FVVQMANGRVTAQGSPQELIAAGKFGEDLAQKSYPGSAHVSRVPSRVPSSVGEEGDHT IINEADGSESQTKAQTIKKEHKKQDAMEESKATGAVKWPVMKLYLTAMGKWWFWVLSA VVFGAQQFSGVATSLWIKEWANQYATDEVVAPTFNMNSQSYSSQTVSPTYFASIATYI KGNDSNTFSTTSNSDVNVGYYLTVLAIIGIGGAVAAFARDMWIFFGSLTASWKLHQRL MRAVAGARFKFFDVTPLGQIMNRFSKDLEAIDQEVAPIAIGVMSCVLGIGVTVTLIAW ITPGFLIAGFFITILYVFLGAFYLHASRDLKRLESVQRSPLFQQFGETLSGVVTIRAY GAERRFIRENFAKINAQIRPFIYLWAANRWLAFRTDVLGDLVAFSAGVFVILSLGKID PGSAGISLSYAIGFADNILWLVRLYAMNEQNMNSVERIKEYLDVEQEAVAVVEDNRPP ANWPAHGSVEFINYTTRYRAELEPVLRNLTFKIEAHEKIGIVGRTGAGKSSLTLAIFR ALEADEGKILIDGIDIGLIGLRDLREALTIVPQEPTLFMGTIRTNLDPFNMYTDEEIF AALRRVHLIKADEVIPGAVSTALLPQTPVAPESESEPSRPATATNKNIFLDLSSPVTE SGNNLSQGQRQLLCLARAMLKKPTVLVMDEATASIDYATDAAIQETIRELTSTIITIA HRLQTIADYDKVLVLDKGKIVEYAHPWELMQKTDGSFRSMCEMSGDYDTLVKIAKKAY KTKQLVDVDEEDEQPSTATTAEGSGTGDEGKKDGEN NEUTE1DRAFT_116073 MEYDSEGYRPTNDEMETRDGGGVFFFWVGFMMMEENKSAYFILV LNTFALR NEUTE1DRAFT_58596 MVSTKVEEIVNPRRILAVALTDSSDHLGAVIRDLTGTAPTPQQQ QPQPPSQEEDEDEEESSEHTAPEPSLAGITHHLPLSTPYYTASIPIWLDLISSPGDWS ASFLSEEAKEVLGVLGGVVCVFEIPFTSAPGSSASSDNDAKMKAQKEKTRELIKGVGK VVREGLGGWEWEGVGLAVGVTTTATTTAMATASDVGGDGGGEITIAEMDVDMEDEINE WDDLCAEWGLEFVHHRVVGSSNNNNNKGEEKRNEYGEKMGIARVLEALQSNDWSGGDG DEGDNDNNNNNRGLFDESEDEEDYVDENDGDTEDEFDLGFGYTKKQKMEMRKAFLKGT ADSNRTAEEELEASKSVTQTIEGQEKTTKKGEEKGESAAVATDAAKAGPSAESGSGAD KVEEEEEIGEEDVEKIAKMMLKLQAVRDMSGTVPEEQRRKLALKAVEEVMREL NEUTE1DRAFT_77282 MHDNRTHPLLQQLPLTVSPFVSLPSSATLPYTYKPMPSALPPSP SGVGVNTPNHSEGGDPTTSASSNNKPKYIISPASGHAAHPDDIIASCQALQAHVAKLQ SDASAELKAFEERIKKRELAEKRRVAPGWLDSEARLLEPERKGGNSSSTKGENGGGSL LDAQDGEGEEQQGGIGGKDGGIAGLTQRFGGQGFGLAHGNGGPSEMAVPDQGEELDRA FGVVGMGQR NEUTE1DRAFT_77285 MAPTTIRTPPALADFKPLEEHLRQTPETFFGGKPVLYYHATGAK AWIPKSQRGRLPFFPADLEAEPTAPEGAALSEGAEETVEQKVDLYVNSQNLTIFSPSA EVGFEIPYPVISIHAIKTIGTGETKYPSVFLQLELSPDGGADDDDFETVELTLIPPPA PATESQQTETEEEPTIGKKQPDNEATKLYKAISACSDLNPDPVEEGDEDEDYDDDRIV FEGDVIEGFQGVFAGSNSGGLPPPMPGSSGWITAENVHEYFDEQGNWIGGEEEVEIEI EGEEDGGVNGGELGEGAGTVRRREDVEDPADGGGEKDEQGENKRARIE NEUTE1DRAFT_34303 MSTVVAAGLLTTQSEYTTCSSRSNIKTPTHDSCHSYSNLDSIPT PPHTPSSPDPGEAA NEUTE1DRAFT_98463 MARHGYGSHLSFGCDDRLTTSSCTEGMCIHGLPHPHPRVRQHDY RIDLTVLSSRTRHLSRRIVTFPALLSRCKLRAALAAVHQHHMSQKARLNKVLVS NEUTE1DRAFT_98464 MYLPPHSERNPTQFDSPFERIEQPDEPCTRRIGIFSSQKPRHLL ESGLWKQLRPPGAKHSNSSPSELS NEUTE1DRAFT_35966 MSSVQPDQSLAFYLFGDQSLDTHAFLASAFLKPKKGTLAKAYLD QVGYAIRREIDQLPRTERSKLPEFLNLQQLNERYHGQPIKHPGIDGALLCISQLAHYI DHIESNHDDLARPENTCLVGLCSGLFAATAIACTKSLSELVPLAVQVTLMSFRVGRHV YKVAEQIYPTAEKSESWTYIFTNISEGAASQVVHDFNKKSGWNRVNRLYLSASSSQSV AISGPPSSLKAFASGHNFGGKTNVTSIPVYGPYHAPHLHDAASIDEILRLDDEVVIDA LHRTKPRSHVMSCFSGEWVTDRQLTTASLLKQIVHEILVEPLRFTKVLDGCIARAKSF KGKSCLILPLGPTQNGATLVGLIKAHTNLEVTFRDCPPISPEATASRIGNHGSSGKCK LAIVGMAGRFPDAANHEKLWELLEKGLDVHRVVPPDRFDVKTHYDPTGKVINTSHTPY GCWIEQPGLFDPRFFNMSPREAFQTDPMQRMALTTAYEALEMSGYVPNRTPSTRLDRI GTFYGQTSDDWREINAAQEVDTYFITGGVRAFGPGRINYHFGFSGPSLNIDTACSSSA AALQVACTALWARDCDTAIVGGLSCMTNPDIFSGLSRGQFLSKKGPCATFDNEADGYC RGDGCASVVVKRLEDAQADNDRVLAVVLGTATNHSADAISITHPHGPTQSTLSQAILD DAGVDPHDVDYVEMHGTGTQAGDGTEMVSVTNVFAPAQRKRPQDRPLYLGAIKANVGH GEAASGITALCKVLLMLHKNAIPPHVGIKKGSIINKTFPKDLSERNVNIAFHLTPFKR KDGKPRRVFINNFSAAGGNTGILVEDAPKTAPTLPDPRSCHLVTITGKSKAAMIRNAE NLAKWMDKHTDASLADISYSTTARKIQHYWRMSVTASSVNEAQSAILNRLKENFVPVT PEQPKVAFLFTGQGSQYTGMGKELYENYSIFRESLHEFDRLARLHGFESFLPLIDGTA QDVANLSPIITQLGLCCFEMALAKLWESWGIKPAVVMGHSLGEYAALNVAGVLSASDT IYLVGTRAKLLVEKCTLNSHAMLAVQAPVKEVQKALGSMASSVNVACINSNRETVLSG PTDVITKLEEELNAAGQKAKRLLVPYAFHSAQVDAILDDFENLASAILFERIKVPIIS PLHAEVLGEGDRVDASYLRHHAREAVNFQGGLFRAQEKNVIDEKTVWLEVGPHAITVG MVKAAFGATTIAVPTLRRTDHPQAFKTLTATLSTLHSAGLNIDFSEYHHDFLQCVRLL DLPSYSFDEKNYWLQYTGDWCLTKNRIANQGGAKQIGSSKPRLSTTTVQRVIREEVKD DVAIVEIESELHEENLKKVVTGHLVNGAPLCPSSLYGDMAMTVADYAYKLLRPETEKI GCNVAKMEVPKTLIFNDTAKSHILRLTVTANAQAGYADLVFTTGDGPKKTEHATCKVY YGDLEDWQHEFDKMAYLIKTRIDSLKQQEQRGEASKIGRGLAYKLFGALVDYNRRYQG MEEVILDSETCEATARIRFQTTENDGEFYFSPYNIDSLCHISGFIINGTDAVDSREQV FISHGWGSMRFTEIPDRKKEYRSYIRMQPVRGSKMFSGDAYVFDGERVIGIAGDIRFA AIPRKVLNMLLPPRGAAAAGMGSSAPAPVRAAPPPAPTAKSASAGKKKKKETVTPDTI GRVNQKLKGGVVAQVLDILAREVGCSHDELADNIAFTDLGVDSLMSLTVSGKIREELD LDIPSGAFVDHPTIGALKGHLAQYEKAGANEPISSSASDGSSDDESPELDSESNVTTP LDESEANSVKGEGSRIESGGGEKNNNEFQSLVRNTISQEMGVDIEEIQAAPDLASLGM DSLMSLAILGTLREKMHMDISSDLFVTNPSLKDVERALGISDAPKKVAPKLTSKPAKS KSQEPSPTNNSSLTSNIQKEHPRIGLEEPCPPKPPRPTRIVDDYPNRKATSTLLSGSL KTATKQLFMVPDGSGSATSYTEISNVGGQWAVWGLFSPFMRTPDEYNCGVYGMAAKFI EEMKRRQPKGPYSLAGWSAGGVIAYEIVSQLVKAGDEVENLIIIDAPCPVTIEPLPQG LHAWFASIGLLGEGGDEKKIPEWLLPHFAASVTALSNYDAEPIPKEKCPKVTAIWCED GVCKLPTDPRPEPYPKGHALFLLDNRSDFGPNRWDEYLDVNKMTFRHMPGNHFSMMKD DLAKQLGSFLREALT NEUTE1DRAFT_135293 MDGNLLDQRTELREYGQSDRLAATVRSVMSLVQNSGGGDHSARV VRQVRTIAANGSNWRWRGVLRDTPSAPSLTPIIPFWKKARTTDMPSTQPTFVRPTLRT LGKTERDSVTGARET NEUTE1DRAFT_120334 MPPKTLRQPVFFCIHCGNKFTRKEHLERHIASHTGARPWSCVHC FMPFGRQDLLRRHLKIYHSVSDDLDPIPPQTGAHKPDVACQPCHKAKCQCDKQKPTCG ACKKKGITCVPRESRRVVKQETRARRSAAPQQPPPPAQVPLPAQPARDEQNQQEPVME ASLETMIDGEAYQQERRASHIQQGLYQGTGPQSSFIPQVPLTRNLAPGVGFPNFYGFS NPTSYGGFDGYGNPIQSFGPKPTHLGSQNDPSLNIPDLSPGSLNIPDLSPGSLNIPDL SPGSSTSIETGFETLDDIPDMNGFSGYGEVVVNGNNHHSLAGEGTVPWSWETNSLNGY GYM NEUTE1DRAFT_98467 MVLIPQGVSAYLLCLLTSRFLSGTALCLCGGGRKRGRERNWRER KDIALRAFQLSAYKKTPQSNVLQSVRGQLLKYPVGSRVLKPSKVQGTVSQWLGAQGFR FTWKSGIIRKHRATLCPPESIYETVILDLSTLQRPGNDR NEUTE1DRAFT_144558 GTLSDQVYLRFICVSKDRQDAGLPTSHAYWCSVDRRVYTSDVRH IGHNDTQNINRCSALTTAACRQRPRSSHLSKVCEHGARRRHCSSEQVQKRKET NEUTE1DRAFT_120335 MVGGTGCDAKTSTMKSDLPATPNSSFQPGAVGLAKVHLPNKEGV HPWNLPFALLVVFFLAGTRVTHVKENVKAKLTVHSKGTSGGEGPRNHTQVFANSLTAS IFSLLHAYQLHVRKQALIANPTSTGTGSLCFSWGGDLLVIGIIANYACVAADTFSSEL GILSKGEPRLITSWNLRKVPRGTNGGVSLVGLGAGLLGSMIIVTASMLLLPLCTDETA TRLGGAAGWSLGQRRMLILGLTVWGFLGSVVDSILGGLFQASVKDVRTGKIVEGEGGV RVLVSNATNPNNVAGRTKAEIKSSLLSGEGTDAVEDVGAASGNKYDPRNKQRRSSFGD ERPSRVVENGWDLLDNNDVNFLMAFGMSVGAMAVASWYWGVPLQSVLSPWWA NEUTE1DRAFT_144560 MAQAYDDEELSISLSPSQIRSRNKRSGDGASGFGPSTGNLHEYG QHGQQLHPNINAVMADAPLRDKMRTEQRIGAYNIVKTLGEGSFGKVKLAVHRSTGQQV ALKIIARKKLISRDMQGRVEREIEYLQLLRHPHIIKLYTVIKTPTEIIMVLEYAGGEL FDYIVQHGKMKEDEARRFFQQMLCAVEYCHRHKIVHRDLKPENLLLDDNLNVKIADFG LSNIMTDGNFLKTSCGSPNYAAPEVIGGKLYAGPEVDVWSCGVILYVLLVGRLPFDDE HIPSLFAKIARGSYMVPTWMSPGAASLIKKMLVVNPVQRATIDEIRQDPWFLKDLPAY LHPPVEEFLNTGVDPNKAIKVSDIAPNAPPQEQEKLHNEVTEKISKTMGYGKRDVEEA LEADEPSAIKDAYMIVRENKLMQSNRLTTEESVPSPLHDPNMSSARSIASVSTSTSPR PYVSKIGILPSSLPTYHRVFMEREKAKAEGLEPPDAIPTIVEPANQPRSQAEQEETIR RLKPHSRSQLRLDEANKRPQGLTPVHPPKKNKPARWQFGIRSRNAPWEALVCIYKALN KLGAGWIVDEDYERAHRDDDDTDDYDGPSIGARKKSSSSMDPTKTYRLPADPWHIKIR WTTDKLKKHSVASGLSEAGENMHVSRDGSDSKYQVVAMRMEIQIYEMEHGVYLVDFKV DGYETPDGKLLEDKEVTSPFPFLDMAAKLIMQLADAD NEUTE1DRAFT_37656 MTKPTVYPKIDMSATKSHGDCTKVVDVQNIELTESPSSHDHPDH NNALRPPSWIAALSPAERQDLEKKLKRKIDWRLMPAVLIMYFLNYIDRNNIAAAKLAN LERDLNLSSVQYQTSVSILFVGYLLMQLPSNLFLNKFGKPAIYLPCCMIVWGTISAAT SACTSVTGLYVVRFLLGFVEAAYFPGCLYYLSCWYTRSELGLRTAILYSGSLLSGAFS GLITAGVVSGLDGARGFHAWQWLFILEGSATIFVAFACFFVLPNFPRTTSWLTEEEKS LAVWRLEEDVGVDDWVGGEKQTFWQGAKMAFTDFRTYVLVSIPSPPRVSPRMFMVLLF CIVASGTVTNFFPTVVQTLGYSRIHSLLLTAPPYVLAVIVTFANAWHADKTGERYFHI AVPMCVAIVAYIIAATTTHVAPRYLSMMLMVPSVYSSFVVAISWVSNTMPRPPAKRAA ALAFINARGAALHGGHGGELCYGVCCGVCGNGDEDDSEQAK NEUTE1DRAFT_58611 MGSSQKDRGVRIAIDRGGTFTDCVGNYNGEDIVIKLLSVDPANY DDAPLEGIRRIMSHFLKKEIPRGQPLDTAKIDSIRMGTTVATNALLERKGEKIAMVVT KGFKDCLVIGNQSRPKIFDLAIRKPEVLYSTVVEVEERVTLEDYAEDPERHLTKVDVK AGTAEAKNADLVMGLSGEAVRILQRPEKETIRAKLQEIYDSGIRSIAVCLMHAYTFPD HEALVGEVAREIGFTHISLSHELMPMIKLVSRATSVCADAYLTPAIKKYISGFQKGFV GGLGTKGVKQSEGAVGARCEFMQSDGGLVDVDKFTGLKAILSGPAGGVVGYAITSYDE NTKIPVIGFDMGGTSTDVSRYGEGRYDHTFETTTAGVTIQSPQLDINTVAAGGGSILF FRNGLFVVGPESASAHPGPACYRKGGPATVTDANLFLGRLLPEFFPKIFGKNEDEGLD PEASRIKIQELADQIKAETGKEMDLDEVAYGFLTVANEAMTRPIRSITEAKGHDTSKH RLATFGGAGGQHAVAIAESLGIKQILIHRYSSVLSAYGMALADVVDERQEPDSSVWKA DDQSVIQGLKDKMEALKEKSRQALRDQGFEDDQIVFEEYLNMRYRGTESTLMIIKPTA EEAEKHYNGNDWDFASAFVRHHRYEFGFTLEERDIVVDDVRVRGIGKSFRYEEKSVDE QLKTIQKKDVDVKNTHSTAKVYFENGRMDTPIYKLGDLSVGTVIKGPAMLADGTQTIV VTPKSTALVLETHVVVDIEETDKNKDQKGDGEREVDPIMLSIFGHRFMAIAEQMGMAL QKTSSMSTCVRRQAEIWKGKLRKGDVLMTNHPSYGGTHLPDVTLIMPAFNAAGDKILF YAASRAHHADIGGITAGSMPPHSRELYQEGAAVKSEKLVSEGKFNEDRVIELFHKEPA QYPGCSGTRCLADNINDLRAQVSANQKGISLIETLIAEYGEDTVQFYMVAIQNNAEQQ VRNLLRTVHKRFQGRDLSAIDYMDDGSPIQLKVTIDPEAGEAVFDFAGTGPEVYANIN APEAISYSAIIYTLRCMISEDIPLNQGCLKPVTVKIPPKSLLSPSDNAAVVGGNVLTS QRITDVIFKAFQACAASQGCCNNLTFGFGGNVAGEEEVKGFGYYETIAGGSGAGPTWE GTDGVHVHMTNTRITDSEIFERRYPVLLREFSIRKGSGGKGKHRGGDGVVRDIEFRLP LQVSILSERRVYRPYGLAGGEDAQCGLNLWVRKVKKARWEDTLRKIQNGEQDQQEEEE KEVGGEAEGYEERVINMGAKNSAPMKAGDRIIICTPGGGGWGKSGEERELDETRDPMG NWKMGSHAARMDMALQA NEUTE1DRAFT_120338 MIISDNLRTASIYINNQLLSRGLLRDGDTIDFAYPGDNDDELAH TMGRIMGVVNDLILRRDRDAETRESLSQTLRSLRAESLRQSTDIVRLSDKLTDSARKT ALAEQETAHARTQLKAAEQTVARLKEEAARQKSLVQQTRNACANEIRKRDRMIEGLKK AVADAGRTRGTGHTRGASRDGGMGSGLGGVMSIVVQAGEEYNAEAGGKMGVPQGMTGS EGYDLRMETNGFLAELAKGLSEENEVLLGLVRRTVKRMQEMSGWDVVANVVVQQQQQQ QQQQKDEAGDTNMEGGGELRKEEDEGEKHALVIPTSCEELQRDLENVLEHMRIILTNP SFVPIEEVVVREDEIHRLRDGWVKMESRWKEAVHLIDGWRNRMQVSGKAVNVEELKMG LRLSPVKVKNVAETAHGLQLEMPSVREDEDAEEQADREDIERYLEKEKADAATAAAAA ASTNASIPRSRDSSLHLVPAPMGGVPDDPMDEDSEQSSIFEDDDIDLDDLEDDDEEPN VEVLGHSLMLSSPPLPVPPQLSPLKDSPAAGNRGGFGQPNLRRGGGDYTNDLRAAEVG PPVPPHADKPQQTKKSIRPVRQEDDDASVSEREQSRPSTAPSATSPGSIKLVKAEAYG PKAASAARKRPGTATTVPTGPTTRTRSRTRTAESEREAPAPAATSGGTGRTQTTAARK AAADRSKPPITRAAPFSTAPGARSKSQTRSLTSSGKSKETESKTGPKYVPGHRRTNSE KTTYSNASGPGTPGREREVAGSNSRPTTSSGLTKTREATLLAQSPKLAPSPRFTKGLA TANNSENGSSTDSANRSGGGAISQSPIIPNRSPKRTGNSRLPLPRTGLVNTAALQAQQ SPLNVAAIAAKLAASEREADAARVRAKLKAMKRSKQESVGPSQSQRSANGSSQRQSKN QSQSQNQNQNQNQNEKQSEDNQNTNNNGRATPMDCDDNDENELIIERHRAGTPRGRQD KTPKTASRAAREQEAELFDFEQEDEEVDELAGPENHHYSMGMGMEKLHVQKRKREKMR TSRTASRRRSTLNPWELQSLLQGSVNVPPLMPPPPNPMTAGMGMTTDVGMEG NEUTE1DRAFT_116074 GRPYTQQSRSKVTILVQSIGLHRRPHSRDHNDNICLKWVGSRFF VQRNRGRKKRQRSSGVQKDWKSHSRYLRGWQLYITYICISSESNGRRRRDHLSIVSC NEUTE1DRAFT_120339 MAPSPQTIGEFSVLPISIPPVPSFPRNVVHYLYVRRNTPKIPTA NDSRSLFLANVPIDSTELHLRALFASLVGAGRFESASFEDERKEAEAVAAALDAAPAQ AVRLLAAHGKKRKREDQEAAERAEEEAAARLPSTWTRPLRKSGSTAVVLLADEKSVHQ VLKAIAKVHKSKKYPTWGGEGNVVAERVPQLGSIWLKAHNQLSYPDRDQLQAAVDAFS TMFARREREAAEIAKRLRNEPDEDGFVTVTRGGRSAPATRNEAEEAKKKMIEKAEKKK QEMTDFYRFQMRERKKAEQEELVKRFEEDRQKLDAMRQKRGKFVPEA NEUTE1DRAFT_98474 MYDPSDDDDRPEIPRLQGEENFEMWECLAINTLRMLGLEGFIRG TETPPLGNTPEAINALRGFKNRRWNAWNLLNQSVVVARLQGLIYIDGYGPYDQLDDCD PKFLWDGLTSIHYRQFDDIVAFLDRAQWLRRRLERAGMPIADEMMKTCLYGGVFPSYH SNTLETRIIERYNDLNSQEMMSGMRKFSDAIQRELNSYQQEQQEINRRRNFNGHPSGH GGGSRQGGRRY NEUTE1DRAFT_35265 MKEIRTVFHWWELLPLYMRSLDSLIRRGTLPGPTSLSRTTSTSI AALLDVLWLAWRDLSLTHGFPGRLCLDLLRQSEVSPVRCLQDQERLPVFVGMIPQSAQ NTCLHQLVVNGHTGTLEATAKPVSSALKFKEVIELRVVYMTEFPHQVDFGRCVEVKND IPAMNSIPQGLWIEVIRQFVGVISGETKFRMDRLSAVVDDSVGATSSIIESLKVLAVL GSVTRWRCFRPFDKTLKTMYPESS NEUTE1DRAFT_98476 MATSPTESTTSSTFDHEAKELWPEWKHSFGKHLPVFLIPDLQDD ENFEEWRFMAQTKLRALNLEGFIKGTETPPQGDDSRIARLNRKVFAERRQYAFRIIYD SIHPILQQLKSSGHWTLDANDRDPKVLWDAVHRWDVDRPITYKCRLMEELANICHCQH NSDILAYRDRAFWLRTRLKRMGTPIADQLYMGFLVKGLQTYDSIWADYLYKQIEDGSL NPSQLEVDIGSQYPFYKQLRERREDDLKNNLLELESQIRGQPKNKRRRKAKDHRSGPS GVVKRCSNRH NEUTE1DRAFT_36306 MASTHLNSNIPAFPRLHAIPSLSSEEDFEVWHNALLLQLSYFDV HDIITGDEQAPAKTAPQEVQKCFKRKQVLAYTMLSNSIQPIITKLQALGYNEGNHALD PRSLYKAVIKWDSEISAEHKFRLVKELTDIDHSQFPNLHAFLGRALWLRRRLNRALFD VSDELMDMLLLKGISSYDDALTKMILHSKTTGSAVEDIASLIAKKATEQEAMSVTSKT ITCPKATLNKGTQATCTKASQENSTQTEAIPNQTYKQTSHNDAPRPDALNARVPSAVD HNSKNIKPSNLTTTPNGVLQGTTKVGRDSQDMTIDETHNNVNAPTNADATNGTPQSEV NFGRKSPNTNLTTPSPTPNGAWQGENVVANLTAAHNTNSGVTKPSNPQTSGPVTNTGR QTDGNSLQRDGFGLTSNGSSQNGPRSLHPSNAVPGRILNRQKQVHDWLLGNSWTNAEN PPVQSDQKSPPQRAASVLQQQQQQPPQSDHDPFGPESFASYLYARDIANAWTKDGKLR AKIKKGQFGPEDPRHW NEUTE1DRAFT_144564 MTESQSKIDLYAPFERDTILEVRASKMKKMRGLEVMSGIDKTII NGPVYVGRTGLEGDESDPTFHGGVDKAVHGYCSSHYPTWATEHPSAAAAFVPGGFGEN LVTAHMNERNVCIGDTMIVGSPSALTSNPESCLLLQVSLPRQPCFKLNHRFQLKKFAP LTWKHSRTGWYYRVLRPGFVQAGDEIRLVSRPHPQWTIERIQEYLHRNQDDEAMNAEL ASIEELGAESRDAFKARVARSAAKKKRAEKLARWRDFRVVERRQETSRILSFILEAID PIIPPPGDEKSILLKPGAHARVKLGNGLVRAYSIVGPSSCSTINRFQLGIALEPHSRG GSAYFHSHVFEGHVLSVSTTFTNALSGNNNNNNNNSAGGISHHIYVAGGVGLTAFLTS LEQLQSIHVSCELHYAVRSNEDIPFRDRLSQLNPGTVKIYDKTQGERLNIEEIVRQIP WNTMIYFCGPKSLMLEAARQVKQYGVPEGEVHFEAFEADVGGDPFEAVVANRNDKMVL QVGGDETLLVVLQREFGDEEVEGSCCVGNCGTCKVILKGGRVEHRGTALTAEEKGEVM LACVSRGVGRIVIEI NEUTE1DRAFT_77305 MSLLKLPYEMVSYVLDELDLDDVWQLSLTCRHLRSLVTESNIAK RLLESKAPAATETQNARVTKNYAHELRRLLKRRQSISSVTPYLAAVVGFGEDWIYQNG VLCYIRQGQLRILNLHGSAADEVVVDIRFLLDEAIPESRKIRNYRLRPLNVSNNIVSC LYYYKKPYSNEDHFASFPTTGSLVVFSAQAHKILTARRLVGLEPNRVVVRNNDETLFI VRRSHYYRGRVVVWNAYNIPANTWHPATHLRYYPFNFQPFEIGITQCFEIFNGHIYSL SNYSELEEEEADWVSPYHCLRIPLSFNGMSKLKPDGLLRRNHLDGPMDHRWTFMKMFK DEATGEIKVVESRKEWIKGSSSTTRTYYTTTIKWPSENDSHSISDSNEGGLDGSNTNG NNFGENSSSPGGQGNSGNESDQSNAEVQPDNGSPEPGDSESNHQRCDRPPRILPPRDP RDVHPGDDSSKGPVFLRNKCPILSYHPSCQTFLDLVDDPSPSNPGKQRMRIRGGTRHR RRPEEIDDWSRCPKAKSSSSVAETHFEEVYKMYKHEEPISWPPNQDLESPNPALAQLY EILSPPNYVGEITGDWDERSFVYAAGGSGGSKQKALVFISFDPSISLAGTLPYPGPMV FGRPQSLDTTGSRTTASDNSTAHQNHGDGVRRGRQLGRARQTPRGRQTAKKRQTEKNA PTEATQVHGTKTDASWCTIEPAQYQTIRKGFHFCL NEUTE1DRAFT_77307 MKSTIQKCCATGPSVSRRSLHQTSLLRSSPSHNDSNKSPRSPSS SRKDDYSEDFDLDPDDFYFSRPYQIDPTTKSVHTKTGLTLPLSPIMDPTYHSSRNRWK ERKPTSRPPGQRVSKFSQLLESNPFALALAAPLRACTATDVLLPKPFLQKFNLLRHPE TDEPWFVPADLSAHGAAAADPAKQKDQALGPSGYTLSNQTLLHEFTIKGSPYFGRNRK LLRRNATNKTGLTEVLNKAVWREDMDALVLKMMRRRIVDELLYLTGKVTGSLRRKYLT PLRGGYEEARERKLRGALVYVGGGPGMTNGKVTKPPMRVSTLEIKGERYSTKLPVYDA TVLLGEEELKRLMDEETGFWRQAQLYAVGREATTELQMKLWKLEGYMAKGELPRHEEV EVTKAAETKDVKRQVPGGQGQRNATKAPARDMKGPGGGGKPVNGWGGVRRV NEUTE1DRAFT_58625 MNSPRAAMRILRRVGAPATRRCFSQFTGSGSGAPLRPMGNIPMP YITEVTAGGWRTSDIFSKLLQERIVCLNGAIDDTTSAAIVAQLLWLESDNPDKPITMY INSPGGEVSSGLAIYDTMTYIKSPVSTVCVGAAASMAAVLLIGGEPGKRYVLPHSTVM VHQPLGGTRGQASDILIYANQIQRIREQINKIVQSHVNKAFGYEKYDLAAISDMMERD KYLTAEEAVELGVVDQILDRRVKDEAEKKARDGETPAPQP NEUTE1DRAFT_58629 MLAPSLLRAQAPRALASARLSLRSLSTTPRRYNAQEKQLNKVSA NITQPKSQGASQAMLYATGLNEDDMNKAQVGISSVWYEGNPCNMHLLDLSGLVKESVA KAGLVPMRFNTIGVSDGISMGTTGMRYSLQSREIIADSIETVMNGQWYDANISLPGCD KNMPGVLIAMGRVNRPSIMVYGGTIKPGCNMKGENIDIVSAFQAYGQYISGEIDEKQR FDIIRNACPGGGACGGMYTANTMATAIETLGMTLPGSSSYPAESPEKKNECLSVGEAI KNLLREDIRPTDILTRQAFENAMIVVNILGGSTNAVLHLIAIADSVGIKLTIDDFQAV SDRTPFLADLKPSGKWVMEDLCKIGGTPALLKFLLKEGIIDGSGITSTGKTMKENVEK FPDFPTDQTIIRPLSNPIKETGHIQILRGSLAPGGSVGKITGKEGLRFEGKARCFDYE DGFIEALERGEIKKGEKTVVVIRYEGPKGGPGMPEMLKPSSAIMGYGLGKDVALITDG RFSGGSHGFLIGHIVPEAMEGGPIGLVRDGDTIVIDAEKKVLDLEVPEEELAKRRKEW KAPEPKAKRGTLRKYAQLVKDASSGCVTDA NEUTE1DRAFT_77315 MEKFSQFRDRGSGISPFMPSPAPTPSLLSTLSGPLIFLLRLPFF VLYTISYFLLLPLLPSPLRKLLLWGFFALTGTWWSDLQLDGVKRGSLSQQPPSRIPRG GTVIAANFTSPLDAVYLAAVWDCVFVQSFPGSRQVRRLSLWQAISLALSPRQLAGPPA GDNEKLTTIAQLLKEYPTRAIAVFPECATTNGKGILPLSPSLLTVPNSTQIFPLSLRY TPVDDTTPVPGGAKVWLSFLWKLLARPTHCIRIRIGEGLVNTVGASNGVSHGESSAVD VRGRQERGDGELTAEEQRLLDKVAETLARLGRAKRVGLTVEDKKNFVKAWEKRRR NEUTE1DRAFT_77317 MESSENRNALNPASTKLRLPTTYAATATATAPTGLKEISDSQSN ARAQMSSIPTKRAANDSAVAPPDAKRKTLVERAGEPIQPKSQLPAPTPTSAFLTGTIS GASIVQLANARNANKTTATALPRASSATGHRPTYSSTNPSSFTKSLGPSSRPPVTGLR QPSFNQPTNRSRSISKPRPATSMSSHGPSRDVSVAPTQPAPTQPPNGIDVDERLNEVD AEWKVMKEAFSISLQDKKAMETAVQMAKNQVDTLEAERQKLNERNELLQKEMDALRQQ FQQLTLTLERQKMDHEYQLQNKSREHEHEVQELQRKLKQESERLQRINREEKDAFERQ CRADLEGEKAKAAKEVRDLRLKLGAEQQDINKSLLEKERELRQLQSQLDDLRSELSQE QKNKRALQEQINEMATTNGKLEARNQGLRAQIDFLESDSKQQSDSFAQIEARLREALE IAENAKQKLIKEETERRILFNKYQELKGNIRVMCRVRPALGKSEGNEAKIMFPDAKTS SAQIEVTGLEEKSSFGNINRKVLPFEFDRVFAPSVHNEEIFDEISQLVQSALDGYNVC IFCYGQTGSGKTYTMSSPDGMIPRATHMIYDTITKLREKSWTYTMEGSFVEVYNEELN DLLDGSNNSKKKLEIRHDDVRKQTTVLNCKTVALDSADKVEMMLKQAQNNRSVAATKA NERSSRSHSVFILKLVGENSVTNERCEGTLNLVDLAGSERLKHSQAEGERMKETQSIN KSLACLGDVIEALGRGSSHVPYRNSKLTHLLQYSLGGNSKTLMFVMVSPLEAHLKETI TSLRFATKVHNTHIGTAKSTKKAIKDRSSES NEUTE1DRAFT_77319 MSTTHYSPTLTNAWRSPRLIYRAIEDNPVDRHTLWTFFDNDPTS IAMASLRPLNPQPLAFVQRLYEHAHTLHIRVFICLPPSDSDIVQWESLILEKAEKSGL TATLDVEKLKTEFPRPGPTPIGVVTLMPPMGTEELHHRNAMIGVGIGAEWRGKGYGGE AVDWVLDYGFGRLGLHRIWLAAFEYNERACRLYRNLGFVEEGREREAVLYERKWWDML RFSMLEGEWEALRVRQKEEKGEKCKGEDGKMEE NEUTE1DRAFT_77322 MPPKRRQAEDTSDAEPQYTKKSKGNTGKAQPQELTKGSDQDGNT FWELGNNRRISSSVFRNTTLVNIREYYDAGGKLMPGKKGISLSLAQYQNLLKVIPQLN ADLRAQGHAIEDPDFAQVAEQTDVSVETPKVKALESKTESINKEKKKPRKSNIDVTSD EEEAAEDEDDDE NEUTE1DRAFT_77324 MTDQDAPIPGAIPDDAMSSPTTKDPVTADPVPQAKADNDYFDDE MKGGGGFSSAASVTTSTTTDKEEDLATQAFRFLATATPGTLGGVAVGLGAVTYFVLGP LGLVLIGAFGGIVGYIQWEQHNTEVARALKGEKGVDLVARLLETKTKAEVTQNEQDAD KQEAALALRLEDFQPETREAINEIIDAVISGYVKWWYSPLVPSDKFFPLACKRTLTSF ILAISNHLKRKRPADAFLDFLTNSSSIVIVFLSELTKAFAEFPADVQVSAADALYTYL GSHPDSNLAQLLNERQQAGKFRMVAEDLLSFLDKPTYECDPARVFLREILAGVVLEMT LQNCCKPEWINSWIVYLLEEGEPDFSQAIDEGMQTGPAAADATFADFDGNVGNIGLTR GNKNSFETEKVRRKSSIAMSHKKKLSKADEEVELMEEMKKLNQMIAEQESKRDKEAKD IMAKSGSVPLQQTVVAEEPDQFGDALKRSSEEHQLAVQPIVARTEPESQGSTSSNVSS STSNAIKTPLSPLSGKSSPAPGGAQSQPEVPSRFTSFDQIVPPARAETPIEEERPKPP PLTLHNANITILDEPGDRNLGSKPGWDYLVQIEPATSIYPGWMIVRKYPDFERLHEIL RRIAAISGATEFNTKHKTLPQWKGATRNSLRDELERYVKDACSYKPLAESEGMRKFLE RDTANMPVRPKSGFEAFEKIGKGVFDVMTSAPLEGSKALVDGFTGVLGTIGLGHKKNA ASSASGNSASGAPQSALQDVTAASRLSMSTIPRPDSSASLNRIRESIDSQRSSIISTQ PSKVDPMDRRTSCDYRTEVESARYGRTSARDSREQSRASSRAPPSLRSPSSLSLTLES LRLPPPPDIMPDNYQNSPVSPSPSSRIHDYSPHHARSLTMPSLPGSHSRSQSRSPGPG AAPLQGFKSYPKLSEEETRVAVELLFAVINELYTLSSAWNFRRTLLAAAKSFLLRPGN PSLSSIQSLIQSSVLDANTSDDGLAAHLRKLRENALPTEEERAQWPGEMSDEEKEKLR VKARKLLIESGVPAALSGVMGQSATGEALGRLFDCLQIEEVARGLMFGVLLQAVRTVT H NEUTE1DRAFT_34714 MTHPPTEEWAHRCFAAPPTVQKGLTLAEVDEELEKLGKLCDEVY GTAIASKNDFVAGPSSGSKPNKVTKPKAKKAKFPIEEIIARLTQAAQHDAEARKILEK IWHGP NEUTE1DRAFT_127990 MLATRKVLPHPNDPKSYILSLLPTTPPTPTLSIGTTTALPPTPQ SFTTNPHFLSILNSVLAEHAYSDPGLQSQAQAFASPAGFSFLPRPGSRKVGKKGSDTG AGGASAEGGAGGAGRGGWVHLSDERTPVDFGRIAWPEDIFGSVEVDGQGKIIEGTFQG SGTYRVVTNQGILGLSPFLMEKLVARFKEEEAKEKQKA NEUTE1DRAFT_120353 MIVQSPRQKRTKNGQTNAQDRPSRPQPQAAAAGFFALKNPAGPS NPIPSSSPAFATPVHPLKPFQPPVLTKAAILPIILPPATLRPLAFRTFTKKHSLTLTS TALQELATFIGRHCGSGWREEGLAEKVLEEVARSWKNRNGGVIVEGNSPELKDILKTL EGNMSGGKILTGPRSLSRQNSLLLEPDQEEQHAHSRLGLRPVPSLTREDSNTSFGMSG LEFTEEPEDDSVRDVRRWLKVIHAFDQPRLMYNVGKKHFERDPSKPSLLPAASHKTDA FRNRYNVIHQRILRNEAFQSSSVQGSSLRQRTNASGLSSHKITPIANLLGRHGSNHML LGMLVVLPTGSLAISDLTGTITLDLSHAVAIPEDSAWFTPGMIVLIDGVYEEEEETMT MGNGLSGSSGVGGTIGGRFQGFFIGQPPCEKRRVTLGVSGPDGTGADHTIGGGFGWID FLGVGSERAVGSKMRKLEHRILRQPALLEDGRPSRGRAVIIGELNLDQPRSLQALRKI LGLYASDPEGCTPMTFVLAGNFTQHAVMARGGSGGSIEYKEFFDALASTLADFPSLLT TATFVFVPGDNDGWMSAFSGGAATPLPRKPVPDLFTSRIRRVFAAANAEAGLANPGKE TEKDAGKRKGGEAVWTTNPSRITLFGPSHEMVLFRDDISARLRRASVRLKNTSKAPVE PEPPTNGNDDSAMDNQCPPSSLPDAPDADDEDMADTTMTDAMDVDSAPALNQKTTMLP PSSIPYDVLAARKLVKTILDQGYLAPFRQAIRPVHWDYTSAMYLYPLPTAMVLVDTTA PPFCVTYEGCHVINPGSILVPGRKGAARWVEYELPGVGGTGTGGGRVRECTF NEUTE1DRAFT_107863 MDLRDSDDDCQQTLGQIARQHGQSIVELLVQIQKARTEEWKPTK FLQPLECLQLIGRPQSHTALRNNLAGTIKPLHSDTVTVPKLLEDIKVQRGLPRIFTGQ GVSVQNEGRFMNRSHLNVLDGQTKYIAVSYTWNPPDGHDNTSGRYWIAKRAGNGFEQS PIRNSLLDRITNYMRALCVEHLWIDQHCIVQTTTCTMSHCAHDDCNEKREAVHAMDLV FASSEYPTAFLSQSIDTWWDLRLLHGLLRHSWLMHYSSYCYGVSLRSHRVRHAKDTLK LVHRLMCDPWWARAWPFQERHVTNSRLQLLWRYPPHLEKRKQALFPEMQVPGEISIQA IEFLTQADMLCRAMVRHISSELHPEEKEMIRQVFQAVVHDGPWLGSLPLIPPLVDTVI SKDIAKPWDRVAIIELQYLQQTDKSLSISILALVLLNGEVLNNRRKRDQQRAADMTVS QYLTSRLCRTGYYASRCGFLDVTFTMKGIRTEGHLWKLGKVIDGTVWSVGDPVIFKPD PSKWPMDNSKDANEHRCWWRAVRCRILIAKLKASGYSNLAENLQQLLRHSITACLFRP NHSKGGQSTPPLATLEVIDAIGRGKKVRVGCLWHPGLPSKPSSYSALFVWEGDELEDP EDHQQNNTLFSTMVFTATRVNDFSSPFDPIDEHPKFSSEEGKFHRHLSLEVEIENPGA KNVVFSKRAGNLPSLKTKRWISGLHFPDICMRPSRQVVFPWPHELAAIGGRHRVHDSR PSRTKIYGEIASNLYKWDNPIYERTGRRLFDTCFFTNSICPLLTPMGLRSRQSYFNAI YSTSFKQTKTFRHHYYERIVMPVVRIDCTGPLYREAVPTLKHLCSLGKRCRYKCTLAD ISKYNTPIPNFII NEUTE1DRAFT_98493 MMWSLLVSLALLCFGTVARAAVVTINWNVTWVWASPDGFGRPVI GINNQWPCPKLEVNVGDTLIVNLHNGLGNQTTGIHWHGINQLQTPEMDGPSGVVQCPT PPGSSVQYKFLLDEPGTFWYHSHEKGQYPDGLRGPLIVQDPNDPYKGRYDEEIVLTVS DWYHSQSIPLVQNMLSPANTRFAPPFPDTILLNDKKDVKFNFVKGKTYRVRLINMSAF ASAFLWIDSHPLTMIMSDSTYLQTETAYQLHASSAQRYDFLISAIDRDSGNYPILVAL DINRDFRNQTLAPAFPHNQTAWLMMDENAPLTRPTNTIDVWRPADDTHFKPLDNLAMI PAYDHLVKLDFRFCLDANGYPRACLNGKPFISQKVPSLYTAATVGENNTNPLVYGQIN PIVVKKGDIVQLVINNQEAAGHPFHLHGHSFQVLDRARPYAGDWPGRDVNYNPVPNRR DTVTVWSWSHAVLRFRADNPGVWLFHCHIEWHVEMGLTASFIEGPEQLRGKQFPADHL ENCRVGGVPTRGNAAGNELDPLDTTGMLTISPTGYTGATWIPPPANTVTTTPGNSTSS LARRRAASRVQRRDAVFENS NEUTE1DRAFT_116080 MSSTPRVWLITGASSGLGREIALRALAQGDIVFATARNTAKIPE SLTSQELCIPETLDVTSPDAVLAETVARIVSHPKNVPGRIDIVVNSAGYVLAGGCEEA SAEEAFAQFNTNVLGQLAVGRAVLPVLRRQRSGTLANVGSILSYLGPAGGGLYSGTKA ACSILSEALTGEVKHLGIKVTCIEPGYFRTSVLDQGHLIHPARTIPELRGPNGSEEMK KTLAMWEAANNKQPGDPKKLAAVVVDALSGNGKYADRELPARLLIGRDAYEIWAAVQE KQKKQMEEWREECTSTDFTE NEUTE1DRAFT_58654 MDHCDVLIVGAGPVGTTLALELALQGVTFRIVDKSPDRSEKSRA LIVHPRTLELLDRHGTVDKLISRGTITRGGVLNIKQKLVARMNLDDLDITDTKYPLPL MVSQAETEQFLDESLADYGKAVERAYTATSVVQDANGVTTTLEKPDGTQITVRSKYVV GCDGAHSLVRHSAKNLTFDGDAYPQDFILCDARLRNSNVARDRFTINFGDTGLLAFFP IQSSHSESEGEEGLIRLIASGGRSVLKHLPRSGSGSPVVPAEQQQQQQQQQQQRQHGE QTHEPPTLAHFQSLVDTIAPPGSGTVHSPTWLARFRLHHRGVNSYRSDRLFVAGDAAH IHSPAGGQGMNVGIQDAINLGWKLGTVLKYRDTLTEEAREALLDSYHAERYPVGRALL QGTDRIFSFTAAATSWVLKVRNTVLPWVMPWLVGMKWIRKRFYGFISGFGTTYSGLAS AGESCVVGGANGVNTSWSSWLWSSSSPSPSSLPEKVSSGDRLPDGKVIELGRSHGGIT ASDVLAKRKETSLHQLCRGASWHLLLFSGIGGTEGRDAVTVEGLTLAGERVLAVVKKE DRGSSAGQGQQRPGDGQLQPVQTVAATGKSAVTSTYADPGGRVHGVLGLDNGSAGYVM VRPDGYVSHIGYLSSLQEFVKEWETRVCV NEUTE1DRAFT_77340 MKYIPKSDPEPQQQSPPPPSSPAPAKAPVNPPSPPRTQVSPQLQ PQAGAQRKPSVVAPSPPPPITTTSIPIRTTTSNTSPTPKSPVRVSPSAKHELIRYTKI VRRLKWKLPFLASGYALALKGRNPKHGDAVISGGVLNEGEIMFKLDFFEYYMLIERAL VHLLGVFGITVSGNGIQGKGLAGRNGGGKFQHRYHAHVLEAFDSPVHPLHDILGKGEV RKQLQRAKDLRNRWKTADSEAEEKERVKLTAPLESYDVEKMLETIFQGFDAAFLIAEW HVRDKEGGLEKGAQSSLNWADDDDEDGDATMMDWAAQEADQWEFMVDAMDWEAV NEUTE1DRAFT_36260 MATTEKGQFSYNSVPLPDANTHVRLLELCPVSDNKDELYCKLYA APVADLPSYSAVSYAWGDNTKPHSIRIVDYDRAPTSTEEGLDKENGHTCLLPITSSLD TCLRQFRTYKELRLTPLWIDQVCINQDDDEEKSCQIQLMNQIYSSAKEVYVWLGLDAD GSDEVFQAFRQVAECMWDSELFGKDRAIKWFPAPRAIFYNRIDTPEVEELLRKVVPIV VPLLREKKFLAWYKRNWFLRIWTIQEFCLNQYTFFVCGDRAVLDEVVLLVWEVFLILP DFHHDTRFRNAFKDHPEILSLVDSVPATLLPPPMQHLFDTKGSLKRGKRASLRELLVQ VSTRLDLGDHRVDILSTKYRDRVYGLLGLVADAEGLDIRPDYSKSTTSAEVLTQTARS IIKTEGSVSILRFSQFPKHNVEDPDEAGHCQPEQLPSWAPDWANGTQYSYQFLDNVFS ACGKFSSTADLIPTSSPSILGLRGLVVDKIEAVGLPWRADWGLKAYHRYILQYFAIIK DMKRRSAEKNSFSSDNDKIYPNPSRRNQSLWRLALGDCYVNGPQKRGRATADDKLQKL QQQQQQQQSETGSGNNNEPSPPELDQETLDFMVDRWDELQSKGSYLNNMMRMDGKRPY LTAQKGYLGMAPKHAQPGDVVVLLCGDSIPYVLRPAGHGEGDDDNHYSTSSNTYTFVG EAYCDGIMDGELEGRLERERQEFFIE NEUTE1DRAFT_77342 MRLPYVPNPPPTSSEAEQAIVDRITARRAPRPLQPLDLTLLHSP PVADGWNSFLGAIRTQTLLPADERELAISRVAVCNGAWYEWHHHAPLAVQAGVSEGED GLGVVKRQEPLLIEEGDRQRGGLNERQWAAVCLTDEMTRNVKVRDETFEKVRGLFSKR EVVELTAIIACYNCVSRFLVALDVGERNGTGPDDAAH NEUTE1DRAFT_144580 MATSTSTSTAAPAVVEEHQFPLPPILTHPSAAPPTLITQGAEGR LYKTTFFSPDIPCALKYRPPKPYRHPVLDARLTKARLAFEAKVLERCRREGVPVPAVY AQNAAAGWIAVEWIEGAPVRVKINEWLGQRPKNEEEERSAAEQDQGPLIELMKRIGAA IAALHRTGVVHGDLTTSNMMLRPHTKKTTTTMTSEQTNGVSKEEEKAKLLEGDVVIID FGLANQSQSDEDRATDLYVLERAFASTHPRAENLFEHLLESYKQSFKKGASVLHKLED VRMRGRKRSMIG NEUTE1DRAFT_77349 MAKLILSTGNIISGGPSIVRKPGASNLELVNSLKVSFQDAQLDY ADEIENLNANGTTKTTTTTNGTSNGVHEATNGDSNTTDPVAGPLYWTAQDQKNNTIFV PRIDWETAGLSEECSQYDITVKIFFLPTTTPDVSRRAQYTTEAMELVLKELGGIPDVD LLVVSFPGMSFDGDCEWEADKLNASQGDDESEIATWQAAVEELHRQGLVKRLGISEFG TEKLARFIKRVAIPPAVDQINLKNCCNVPPPLATLAKEQNVELLVHSDCTDILPEGTL RELLGPQGAGLLADPATGSGGLTGNLTPQWVIKYTAVVKNRGVIENKGYFAGAELN NEUTE1DRAFT_98501 MAIHYLILLSRQGKVRLAKWFTTLSPKDKAKIVKDVSQLVLARR TRMCNFLEYKDTKIVYRRYASLFFIAGCSSEDNELITLEIIHRYVEQMDKYYGNVCEL DIIFSFTKAYYILDELLLAGELQESSKKNVLRCISQQDALEDMEVEDEVTKIM NEUTE1DRAFT_116083 MGDGESCNKGDEQVAGPAQQSLWSLVGSGRGRAIARWKKFEYEV SYHKDIISVCRESTSNS NEUTE1DRAFT_58673 MKFLSLPAIDVVTSALNFDTPDCHITGSCDLYTTKAAGSDKRLY KNIQQSLQTQHDNLIKFGKSLSPPQRESIADTVNFERSSPFGSLNEKANRNTYAYLIA TLNASHPDYDFSNVLRPDDFKREKELRRVMAHIDSTLQSVRPNSSFLDVTIPCMGTSP AAASSFGSSGLAMSPVWGPGMWASIDKEMDLKDCSIFSYQPVDAPFDEEEGAIWALHY FFFNKTLKRVCYLYVRGMPVMSHSPRIPGHHAIISAKGGLVRPRRALYGIDEELDDAG ANKRARYWLGDQFAERVMADDDDMEADDGLIWNRDADGDVNCNYHDDDYDDYDIESLG DYEEDEDLEDAEEDDHDNKNTNGGRSQASAAKGGWRSENVPYRGVSEDIASRMEIDV NEUTE1DRAFT_116084 MATDMGFLRLSDCYRREASTRIDEDKPDKRVTMKTTLDMAWHGR AIRWNDYSTELRSFNSF NEUTE1DRAFT_144583 MASAVPTGSFGAGAGGKPNNSNNNIVPAQLGFLAIYNPSLGTTD DTLEDQIVYYASVTTLKDQQRRRHRSDRSSRRRHHAPTNSVSGSSGAAAAPGTEAVSK EEKNERLRQIGLAQGMVEFGKSFSGGKAVNTIDTEKSRVVLHELEPGWWILASIDLTR LPLLNQGGTSNNSKTSASPPRSPIPSSPRKGVAEEDQKAEYSSRELKPAALLLRDLLR AHACFLLHHDSSLSSLFVRAQRPKFVSLLSRYWDLFLSTWNVLLHGNPACNIYGGIKI TASGELGVGVGEEDRGSGEREVLEGMVDRVEGLVDLVVGRFGEPGEEGKEPTDWLGTG AEPGADDGAIFLGTGALSRQSLRSVVWWMEDMYTWGENAYGVIESPAATRVTPRRQHR QTGSRAKIAPLGEVMSPGLKVSDLRLPPPKPKPTTTPQSETEQSKTTSDSDGRPSGPP RPVTSLHPPAKSRSPASPAGTGTGTPASSTTDEQGGVGNLFSYLKMGYGTHWSLGMGG SSQSIEKQQDKVENQKPKKPPKDCQFLIGLMGDLEGTPATSPDGEPIDPFEAEDSNSR LLLRTLTVEIESEGENRRPSQVQKDFGSHDTELAESEPQGASTGTVTVTDEDGLRRVT AVTTANSSNPTTKSGSAASRSVNHPGSGPGHTSSMGRTGQAGTTGITSAATTFDSQDR NKTKKLRVVVYVMRPFIFVFLFQLRTDSLAWEGFYRSLHQQMAPLRKSLLRATAYRPE RPSDSVAGGSGQIYDLVWDPKELSVHSTIPNIPEPPVPVAAGVVGGSETAPAKAARAP WSRIEALNTHNQILNMFINTRDDNLTGYERTCKTSRGWWIVWNRILERTAVAESQPYQ HLLSVSSTRTASPAASTSPVVASFAGTNQDLFANVNKPRRARAASDKTLRKRRTSGSS SSSSSSSPNAEATSSTDPSNSTDPSGTAASASASDDSLSSIPADQSQLPGDDADNEQE EEEEEDYEEEHTSSETAIPRSAAASGVGSDSSSSSSSAARRRRGNDMVEVSKEIFLIR KATAGESGTGLPIAERHHGHTRGVSSVGSIVNAASAAVGGGGGGGGGTAGNEKGESSA AAGPGQAAAAAAAGGGGVGGWADGATKLAQGIGVDTKRYIEGLLTLNR NEUTE1DRAFT_116085 MGQTLSEPVVEKASATGGDERLIYGVSAMQGWRISMEDAHTTVL DLLANNPKEAKDHSQKLSFFGVFDGHGGDKVALFAGANIHDIIAKQDTFKTGNYEQAL KDGFLATDRAILNDPKYEEEVSGCTACVGLITDDKIFVANAGDSRSVLGVKGRAKPLS FDHKPQNEGEKARITAAGGFVDFGRVNGNLALSRAIGDFEFKKSAELAPEQQIVTAYP DVMVHDLADDDEFLVLACDGIWDCQSSQAVVEFVRRGIAAKQDLDKICENMMDNCLAS NSETGGVGCDNMTMIIVGFLRGRTKEEWYEEIAKRVANGDGPCAPPEYAEFRGPGVHH NFDDSDSGYDLEDNGNKGKPFGMGGYKGRIIFLGDGTEVLTDADDTEMFDNVEEDKDL ASQVSKSPSSITTNDQDQKEQAAVAAAAADNSTQNAKKEETSSPAKA NEUTE1DRAFT_128001 MASLLRLLASLLFVAVSNAAPGVYFPISSQIPPIARIGEPFSFI FSKSTFTSTTSITYSLANSPHWLSIDSDARKLYGTPKEADVGLGDRVNVPFGLVATDE TGSTTLDITLVVSRKAGPKLDIPFNQQIPGFGFFSNPYTILSPPMNQFSFVLDPKTFS VPSDKPLTYYAVMSDNTPLPAWISFDAGKLAFSGQTPAFEALVDPPQTFEFQLLATDT PGFADASLRFNIVVGNHRVTADQTTVVINATAGKSFSYDGLRGNIDVDGQPMPSGDGI IVVSTFNTPSWLTLDMDSLHITGTPPLTAKSTNFTLTLEDSFADRFNLTVMVQVSGTQ AQIFGMLKEELPVIQAHAGEHISFELDPFLKDPDGTEIVVDDDTSPSWIRVREGTIFG DVPKSSKDSIVSATIRLTSKASGASESVLLSVHMLADTGDAVDTTDTDSTPGSTSTGD LGGTKPTQKHAPTPIGLILLVTILPGLLLLGALMGMLVCCLRRRREAKRPKLSTRDIP GPLPGTFTINVTGPDGQSSMEHITGPYNTQSTISQMSLAEQDRKSDPESGISRHQSFD ADVPRPLSTVRMLPTNEELLPASSSLLDITGSPLMSGAITGTPRNRRHERTQTLLSHI SETSYYEEHSSGITIENTLEFLGNSNTRGSFRDGVEVDIPCLGDLSSIQPTPNSAYTG ESYWSKLGSGPSVHNRSPAIGSVHNDPTGAARTQPAMLVRKLVWPWFKGRVISIKGVA EKFGEAAKTTLAGLPSLSSVQASLHDKTPDISLLSNKQSESSDIPDFPSPPQGTKRPI MTKYARPVTRRAVGTGRIVIPRQRLVSTKVEVVGGPTEDLYKPAEDKKQASPTSSFDR PSRNSLGISYADMASNSPFHQSSTWSTIPSSHEWHDETLQSLENADSVLPSSSLRRSR SASQPNWAPYKDSLSNINDGASSKYPQSQWSFAPIPRPQPLGDASSIASQGLSNSVSG HARAPTLSSVGFSKAPSSFRLDGNENTHTDDAEKENKWAGGTSGFLNVLLNNRLLCGY GHQGVQDSRASLALLISKRTSYM NEUTE1DRAFT_77367 MSARSRSSSPEFDPLAFDQDFTPLPEYKAPTDTALDFGGLLPEP LKLHEDLKTGCGGQLWPAGMTLAKHMLRYHGDKLQKARILEIGAGGGLVGLAVAKGCS FETPMYITDQLEMEELMAYNTTLNGLNDKVKSMILNWGEPLPEEIVALKPDTILAADC VYFEPAFPLLLQTLKDLLALEPNATVYFCFKKRRRADMQFLKAARKTFKITELEDQDR PVFSREGLFLYAFTRK NEUTE1DRAFT_116087 MKSTTVIISLLASFAVAQPRHGLNHQKKDHGHHHKRSLVTEWVT ETVYETVTKYIDVTATEVIVPPKATSTLITSVSQAHSSAAAVVPVVPASSAKVEAPAP ASETTSSSQAAPPVQTVAPAPLSVESSSAPAPVVQPTTASVASPQAVESSTTESTSST SSSHNGDLTYYDVGLGACGFDDNGLDMSDNIVALSHEMMGTQSNGNPYCNRKVVITAN GKTVTATVRDKCMGCAFNDIDVSKKAFLDVFGALTDGRKKVEWAFSD NEUTE1DRAFT_77373 MPKIRRHHRSSRRVPPPKDSDFDHEITLIDKEDASPTSSRESLA HVNTNGNTHKASEPSGSRPQKRLSVSTEPRPGVENGDATPHRIETSQGTAIAENDATA TISLDGPSVYVQQPTPEPTEVERKLSFPSLKSKPTKASNASKVPREANGSTRRKSLTT PESAIDILYENQRGCFLCGSPLFSGKALGPLDPPAWTNFAHSASPTDITNAQVPDPSW EWAWPEWRVNHEKDYADEEGWEYSFAFSKRLSWHRPKCWNSFVRRRAWIRKRVKKAAG YEALHEGQDASKLNPEYFTVRASAEMNRERSRSRSRSMSRTRTKSRSPSRASSVMNSR RSRASLRSISEALEEENEIMEDIENVEQLLAVLRECRIDREKIEAVNNYLEHADDNLE GLAGAMHDIMSMFIFQASRRAMLARLTEVYDKTVEERERKEKEEKEEKGKGKSTDDKA PPPTDGAMSSPASPPPLSPEELQEKQSRREENLHQAVKRADEEVRRLEYWSDVKEMVI EGETKDAVSTKKGWDPSWQGVDNSGPAQPPAPSHDDPQTK NEUTE1DRAFT_144589 MSQSRILPILGAGVVGLGGYYIYKSGGSPSAAEKKFEADLHRGA AKIKSELPNSSQTNARQEGAHYGKEIGGKIDEAVSQADKTYSSTKSQAEALAKDTKAE ALKKIDQFDRTVEQKAAEAKAEVSSWFGSGNSK NEUTE1DRAFT_120372 MSLGVKQLWRVLSNPNGARGLSLIPRECTPALARAAPTSSRSTL RALHSQAPSLPDQSQSTDAEFEANDVRRRQHTTTEVHDSGENPVPAAQTPTEPSRASP PPPLPLPREEAQEQTQTQARDVQDKQDGLPPVKKAKTAKSAKPKRGRGSGLVNRLAKV PVRAHPVLESVFVRPVTEYPDQYETRGSVRTHMKDNHRQKMVMGTRVPTNRTDWRAVL RNLLQSTPMYLPEQFADNVKVIIPRETAPRLLSDSEYSVWDIKSRTNCGMVLYRAGDA GYEEGTEDQHPYLIIWGHHHSVNTAIDEILGVTRKVTIISRTEGTEKVLWNGKGDGKD FFLRAPIVFVSAHRTPSQLAPYHVNIRADEFPKPEEWTRKTFEEYVDALIKSRMPSAL ASKLYPEHGRHEMAVIEQLVAVFNDEVAAQFVTNAAFKMALHYMTRGGETWRPEVLSM FNYAQSGRVRLDVGVFNILAEAAVKTRSLYRFSAVLYLLVTRGYQPNLRTWILFLRMF EAEEVKRYVLQAMHDRGLFNARGALRMVADEMAPHDAYRAVQLGWDVPTFLAKQDELY GANRKWVSIDALNKIFHVFGSYSMFAEIRQLLDLVFAGKLIAYPDHVTVNTILAHCKA QKKLDLAVEFIQLFESHRAKNNETPLKLDNLACDILFDLAHWQKKPHVLSTIWRYAHL VNSTTFDMRQKGTILLKMDAAKLKEEKSVRRLKLGDEERVQFVRNLLLGEFIQANGGD EVFGKIIAGIAKGEQEHQREMEEIAEQEEDDDDRIPSTLGDWFQSQSLLDPSSSPTIP PSSSAASLPASSSNPDHIRSRIPQPKSQSSSEPESPTWGNIYGSFRSWSFASKYLYLE PSAPIGSLLQQALDRDRELHLALRTDGLDRHAIEELMKPVEIPTKLRTKPAFEEVKKL FEREGEVTKRAVKKGGVKEGDRDGDEEVQEAMALGPVDGQTLDKWLNGGGSGNEVAPA AAKNAHVDETAAAAAAAKPNPSSLSDDSKKVVVEEQKQEQQQPTAAAATVGAEVEVEV EVEAKGSPENKVQEEARKRAEKEPMTTTSKSKTPPPPSPAPASAPAPTQPTTSPLTTS SPTQPTTSPLSTKTPTKSPTKERKTKEEAASLKLRFPSSSSSSSGKRVKWEDLADL NEUTE1DRAFT_77381 MAGIEQLEIHSKSYIVRWVKVDEGHTISWSLQPHKKSINFAIVK HPGSGGTAAGSQTFEATATPEHPATEAAVSDAKSGLFRRDASTAQDQLAKKGFIPIQW HGKCEADKVTVGTYDVTSAGMYGLVFDNTFSKQTSKTATFVLLTYPTGAPPQTAHHLP TVQAGAGSNASRTSLGAGTTPRLGAANSDSVDSLPSNETAGRGRAASNARSEGGFVPG ATYHVGVLLKRRRKKGQGFARRFFSLDFTTCTLSYYHNRNSSALRGAIPLSLAAIAAD ERRREITIDSGAEIWHLKASGPKDFNDWAKALEKASRIARGLETLNNGASLGVDRPAS RPSTSVPSAQQEDEREWAQVESLVSRVAGTRDALRRLVKDMAAEKQAPGASQASLLSP NTPAIAEDSETYFTPSTESRRPFWKRKTSNASPGAQPGVQATTGAALAVPSPASATAV AEERNPYDNCAALLNDLDSVVVEFSQLLSKNKRRRIPSQMMPPNMMPAASRKSYESTA STIDEFFDAEAGEQGGAGQNQVMIIRQSEEDMPGSDAEEVDIHDSSSVSSVEEEEEFE GVGTENHDSLFPVKPKSLAPLPITDTVTRRTTIPAAKVPPPSLIAFVRKNVGKDLSTI SMPVSANEPLSLCQRIAEQLEYGQLLTEAAKKTDANERLLYVTAFAVSQFSNGRAKER ATRKPFNPLLGETFELVRSENEVPGGFRVLVEKICHRPVRLAVQADSALWSFSQSPAP SQKFWGKSAEITTEGRVRVSLHLPDGTDELYSWHIATVFLRNVVYGEKYVEPVGNMNV NNETSGAKAVVEFRSAKGMFGGRGEEVHVETFGPDGSNTGQALTGNWTSSLKTAPGGK EIWKAGSLVPNAANTYGFTTFAASLNEITPLEKDKLPPTDSRLRPDQRFHEQGDLDSA EEWKVKLEEAQRVRRKQMEERGEEYKPKWFVKVATGQDGEEVWKLKGGKEGYWEERAK SGGQWTGVLDIFSG NEUTE1DRAFT_58699 MSNSMRDLIDGEAELDDEEDDESFDEEAGDRPRRRPNIDDSSEE EEDDEDEEEARKIREGFIVDEDEEDEAEDSDARERRRRKKRRREREEEEQLDEEDLDL IGEAIPEWERKPQPQRLKRGHRDDHRPTERRGLAEIFSDEDEEHDDRGYGRPSGRAQA DEFDDFIEDDYPEDDEERRHREEDEEVARPKDRGLNIDTTGLDKDALEDMDAIFGNGE DYEWALQLEEEQEHGERTKEDIELQDVFEPSQLKEKLLTDEDNRIRFNDEPERFQLDR KAFKNLQMTSDQFKEEARWISNLMLPSKNLSSELHGPFNKAVGKVLEFFVIDGVEVPY VFQHRRDYLIHAKKMRNPNRRDDPDAPEYTVDAEKLLTQDDLWKVLDLDIRFRSFLEK RNALEQTYDKLKEKTRDDILEEMIRQAQSIEELQDLQDYLNFQYSAELKDLAANDNSA QREIKRAGGRTAQFERIRRSNAYKFVQALGITPDRLAKNILRESSKVTSEDDSRLPDD LADTLVDADFPTGELVINAARQMLAEEMFASPRMRKHFRKNFYGMGIVSCRRTDKGLR KIDEANPYYEVKYLKNMSIADLAVRPELFLKMMKAEEEGLIEIKVSLENDREFRQQLF SDFASENFSELADKWNAERQKVIDLAFDKLVKVIVKGVKDSLRTACQDELLKTCRELY FKRLDQAPYKPKGMVIGTTPRVLTLSNGMGDPNREPVSWVSMDEDGRILEHGTFTNLA RDESQREALAELVRRRQPDVIGISGFSADTHRLIKDVEGLVSEKGLVGPEYDDPETNE YRSDLLEVIVINDEVARLYKDSPRAVADHPSLNPMTRYCIALARYMQNPMKEYAALGK DVTSLQIHPYQQYLPQAKLLKHLETAMVDMVNLVGVDINVAMQDANTAHLLPYVAGLG PRKAQLLIKGINKNGGVVTSRDELVGDPERHKLPVLGPRVWNNCASFLFIEYEPTNPE SDPLDNTRIHPEDYDLARKVAADALGLDEEDVKAETDENGAGAIVRKLFKDDEQDKVN ELILEEYAEQLEREYQQRKRATLETIRAELQVPYEELRKKFESLTVDQVFTMLTGENR DSLCEGMIVAANVRVVKDDFAIVKLDCGIEGRIESHDVSYRHSIKDVLHVGQVVQAKL IDLNRKEFVSKLSMRDEEMRRPFRRHFDHGRDQWDYRKEDEDREELREKDKSTGRAQR VVNHPLFKPFNSTQAEEYLGSQPSGEVVIRPSSKGNDHLAVTWKVADGVFQHVDVLEL QKENEFAVGRVLRVGKYTYQDLDELIVDHVKAMAKKVDELMQCDKFQKGSRNETEKWL TTYMDANPNRSTYAFCIDTKHPGYFFLCFKASRNSRVNAWPVRVIPHAFELMKSQYPD VRALCNGFKLRYQSEMLKQQSGGR NEUTE1DRAFT_116089 MPSSGIPVPQTRYQLKEKEDWTLNRKDKSLLAGIYSKRGSLSPG GEGDTKSREQANVFRVERG NEUTE1DRAFT_34238 MADPFAPRSMKRKNVKGLALAPAAPKPPPTAETFYKDKDDDNNA QLEIGIEFNLDLRPEDLDLIKELGAGNGGTVSKVRHIPTNTVMARKVIHVEAKKEMRK RIVRELQIMHGCHSEYIVTFYGAFLNEHNDVIMCMEYMDVGSLDRISRVFGPVRVDVL GKIAEATLGGLTYLYSKHHIMHRDIKPSNILVNSKGHIKLCDFGVSGELVNSVADTFV GTSTYMAPERIQGDKYTVKSDVWSFGLTVMELAIGKFPFASDQLGDDAENAPAGILDL LQQIVHEPAPKLPKSDAFPQILEDMIQKCLYKEPERRPTPQELFDRDPFVQAAKRTPV DLREWACSLMDRDNRKSHLQPQLSPSSHDFLRSSDEPTPTSGEIPILGTMVSPRDQHG SVPTRPPPSSRTGSVGRTGGPSVHPGLGSRAATTGSQPRYPQQAPPPPPFEKRPSMST GHSYTSSSSSSRSGFALPIRPGPPGQPMPPPPPRRQLTAEELQRESRRQAAMQPPTGR F NEUTE1DRAFT_98517 MSNITNNTSKRFFAPLKEPFTAAGSQLRKLEGVVFDMDGTLCEP QTYMFALMRSALSISKSTDILDHIYSLPTPSAQATAMESIRSIEREAMVTQVAQPGLV TLMSYLDARGIRKGICTRNFDAPVNNLIEKFLAGSVFHPIVTREFRPPKPDPAGILHI AKAWGLARRAGAGESGVPEVGEEEHESAAAAAAAAAATETTTTTNGASTEEGLKKTEE GEWVADASGLIMVGDSIDDMTAGRRAGAKTVLLVNDVNRHLVDHEHTDLVIERLDQLV DVLEEGLL NEUTE1DRAFT_77391 MAPYPQGPPAGRRVSKRSVSEIQKLRVGTFIEPSTGERFRRTFT LRLPLRRRSVQAALNGNGTSANTAILPVFSDQQSLSERSHAVAHNLRAGVVRGFQWLN SPTGRGVLKCTLAYTIASLATFLAPISDFLGKPDGKHVVATITVYFHPARSAGSMIEA ILIAIVAVAYAQVVSILSMCTSVLFGNVWGNPALAHTLVLVVFIGGGFGFIGWLKQTM NNPLVNVGSTLASLAIIGVVTKETAVLTSVFSNQKIIQILKMLAMGITTTTVVNLLVW RVSAVHLLRQSMTKTSTALGDMLAMITHGFLSGAEDELLSKEFNAASAAYQSAYPQLT KNLREAKFERYFLGQEKIYQLDRAVVKSMETLAQSIGGLRSAANTQFALLKESFEQAD QPPLSPRMQRAASTILKSGKDRSLVLQAIREASDEGDDDDDRVPPESDARRSDVSTVP SFRNSGEIFELFIALLGPSMKSLAYTLSEVLRDPPFGSAPKYEIMINDQFRQSLTDAL GLFNDARAKALHELYKHLEMDRTRSEQIQADFEEVAAACGHFSFSLQSFAEEMQKYLD VLDDLKHESQFQRRSWHWLVWWRSKHHHSRFFPSLPYNQVPEEQEGLIRPIKKTAIPK GIPDSMVQRRDTYNWEAAKSQSSRVVATLSQKLLRFMRRLARDDVRFGLKVGIGAILW AMNAFIPQTRDVYQHWRGEWGFLSFMIVCSMTVGAANTTGWARFLGTMIGAGAAYVNW NVTQGNALGLIALGWLMAFWSFWMMIARGQAPLGRITLLAYNVSTLYAYSLSQKVDDD DDDEGGMHPIIGEIVWHRFIAVTAGILWGLIVCRLIWPISARKKFQQGLSMLYLQMGL IWKRGPLAILLRSDCSRSYLKSGEMTALKKYAARLDALRASAASEFELRGPFPAAQAG RLMQCANRLLDAFYAMSLVTQRRGHLTEGERALLLYTAEERKALCDRICHVFQVLASS LMLEYPLTDAIPSVLGQRDKLLAKIFRFRKEHMPCRTLHSISVDGDRDRDRDSVTATG RANSYVAAASSFGQGADGSWNNSLRGSIDSLENGSGGNIINIDNNNNPLAHVTVEERD YALLYAYALVTGQVAEELSEVAREIEGLFGVLDKESILLQ NEUTE1DRAFT_77394 MRIAIREQLAALVIFAVLLSLAIVSIPVWTFVNNFVIGVKTDGL ALSASLKASRISSEIDLIQTACTTISTRLLLQQAFEDFYTNEYYGNQTADVWEQALED LASALSTSNVSGLLQARLYSRNTTGDPRGLLNITGQDALDDPVYLPYKSPTGADIKLG DPDYGFPPMLYPNITYENKNLPSPYKANTVQFSANIFPGVSLSNGSEGNGILLGPLVV NESYALVSITVPVRENQSSRFILGYMTVIASARQLVQVQRSNEGLGETGVALFIGPVN PWNHFDSKMPASNQTWFPPRDEFARTNQVHFLLPPAPQPGQEDRHSDHSFESGAYDAP FDPATYQAVLDLFVEKNNQTNNATSKISTKNEQGKKVAIGAARPQTSLVTWAVIVEQD KREATQPIRTLRNILLGCVFGTAGLVLLLTIPCAHLSVMPIRRLKEATEKSIAPPGYE ELSDSDYDEENPSSGGTTSGRSEKSWLKSLKRRMRKSKRARLRAAAAQDAHRHAFKIP AKVEDRKHYITDELTELTQTFNEMSDELLKQYTLLDEKVAERTRELEISKKAAEAANE SKTLFIANISHELKTPLNGIMGMCAVLMEEDDVLRIKQSLKTIYKSGDLLLHLLEDLL SFSKNQIGQQVSLEEREFRLGEIRSQMLAIFDKQIRENKITFTVSFVGTDILESSPER RSGIDKRLPALGPPGVGRLKDMCLWGDQHRILQVIINLVSNSLKFTPAGGRVELRIRC VGEMEQPHNQSRTSSLSKNSHRPGRSRHRLGSGSRDSQSSKGAPHSPNLNQSSGTALS INPMDPKSPHVHIRERSPTPPPPNAKTFMFEFEVEDTGPGIAEHMQQKIFEPFVQGDL GLSKKFGGTGLGLSICSQLATIMGGTIGLKSTVGVGTTFTMRIPLKYVRDRASSTASS SLASRPPSAGSLDGETIRNPLPKQSTIDIHRSHSHGHSHSHNHGHDQHSTAPPAAASV LDTQPRLVGLSQPFFATTPKHPPPTTPTTSGDVDDKMAAIDRAMAAKQLQQSSSGPGK LRVLVADDNSTNVEVVSRLLKLEEVYDVTIAKDGQEAYDLVKANMEHNLQFDVIFMDI QMPNLDGLQSTRLIRQMGYSAPIVALTAFSEESNVKECMESGMDEFLSKPIRRPALKQ VLKKFATIYEEQGETETVPSVTTGSMKGEKKDEKVEKKDKKEGREWKGMKDGKGDGSM VNGTITPKTASSTTVAATMTNGSTMTGPTTMMNGAPIRPKPVDVYSDEPSPKTTPKSN GTVNGDYLKGGR NEUTE1DRAFT_98520 MKYSNTFIGAVAAVSQLAQAAPTNNNNHIRGTSSNDDGPLVILS PDEVVPIVPISQVPHHSYAGDSAPVKSLYSALVAGGQKSTPVWYSKAAKATPTKGQGY AVAATTISETPVIIVFPPPSPVTTLIPFVASFGGAQAPAASSTSSDSSSSDAPLVPTS SSSSSAAATLTSTTASTIGNGTNPITSASSDASSAPAVETSTTTSTSTTTSTLTSDAA ATTDTDAAALTTTATATATATATATATATVRRRDGFVDGNNGSQQQIYGGIDASKDGR FGGSGHGIYYDGGKVDDGTKQDADAAAAPAAAAAVSSASVSSSTPAAAAAAPSSASIS SSAPAAAAAAPSSASISSSAPAAAAAAPSVSISSSAPVARPPSSSAPIVSSAPAAAAP SSSSITPAAAAAAAPQGAPVPTPAPTPADIPAGASAPAGSNLVAQIPDGQIQVRPLST PQVGGASSDPQAPSSASVNAQNVPVAVPSPWASVVTGGSASVDGGRLWKTMGMGLVAT VVGMYLW NEUTE1DRAFT_58716 MTDKGGRRRRSSSILQVYHEPLEPVEQLSDQAALPNLNANWVNA KGAWTIHFVLILALKIIYDIVPGVSQETSWTLTNITYMIGSYIMFHHVRGVPFEFNGG AYDNLNMWEQIDDGAQYTPAKKFLLSVPIVLFLLSTHYTHYDLTYFIINFLAVLAVII PKLPYSHRMRVGLFSGVPEE NEUTE1DRAFT_98522 MSGGSKAGPEEVETGGDVPARLSGMEFPVCPPKKESVGESAAGN GIQEWRRNQEATRTQTDP NEUTE1DRAFT_128014 MSAPGSPSSRKRPADDDSDASGVKKARVGNPEAASNGPADDFEE GEIGESPSGSEAASTKDDEAESTPAHGGWNRGVSNGLRTSFISLSTSNLRKKSQKKEA EPEPASEVASASPVKKTRGAKQSKSSSPADQKVLDGWLALPPHEPFAHFRARPRYPES WQNRFVDFCEAVIRHNIRWPETKMDEAQANKMNNPDLLHRAWVQWLQDHADQKCCSID QVAAGSKLASAHKLSRESLEKMISEALNGEPEGPKTQARKKEPKKKEKSAQARWTKFT LQGTRFENLTMPPLVPKSDLDELKKNKDLWMTRFLQWSQDLINRNQDLLTADTPELLG VIWTSWCAWFKQIVTKNKTPIGKDVARNFFLENNEDVEAVYRGIMAASPRPALDKAEE PPKDEETEAADEYSERPQATPARNEEQLSQPTTPRNEDVDLHIRHKYFCGLEQDQLFC IECASDSHGSSQCPFLTCRWCSAVAEHPSYACPSRRRCTECRQLGHESESCTEKLALP RDQMECAICGSRDGHLEETCVELWRTFKPDPLTSSKVQALPIYCYCCGNAGHYGADCG MNMARPDTITVWETWSKSNVEQLYVDPESEKVAIALKPMPKGSVLAPYNEEDPYADWD ANSNGGRPDFGHGIAPQRHVFFEDDDEDEEDEGFIRPPVQKNQGSSSRIQFGGGGNRG GRGKNGGSGGAFNPPLPPGPPPGRLREFQSYNHGRGGDSFKNGGGGGGGGGRGGRNGG RGGYGGGKRGRGGKQW NEUTE1DRAFT_77403 MAPNTKPHADIYCLWKHETIRERLFDLLDKRDLCAVRAANSTLS SLLTKRLFLRTHVTFTANTFTKQTRICALSRIGHHVEHLTFYFPHSDATFLPPLIHPT TGREISFLYQPITSMAAALVRPKFTNSELGQILTEQYPPLFHAASNVPSFIHAMRHLP NMRHLSIKTPGQNPQDRYRRDCVDYALISLRISLERAPLTKLSKLSLSGVHPTAFNYL RHTPGSGYGSSPSAERRWRQIRKLYISVESWDFYHPTSPGLDHLKIMDEFIRGFAPRL EKLSFTWDGHRKGPCPIALGGDPLFAPAGARSKQQQRKKQPNDLIDSMSPLPERPRRR DIDMPRLKYLSVRNATMNASQVNGLVKRHMRTVKEFQFEEVLLVGEGTWDEALKPLFD EEARRAKGGKRGDIWCRRSISGSVESGSVMRQGQRRQVSGLSSTTDGCETLLTESSAA TAMKMGLLNLELETMLFNGGGLEDGVDALEMGVQEWAKGVTDAAVVSGMTTNTVIHDG GRVDKRGSGTITTIREEREEEVDVAGLHMGTNVSVSTRIKKQRLRKKGSKKHHHGDDS ITEGEETGGSRRRNRERREHASDDERRHRSSSEKEKDKGRLSRSKTNYSRRGDSSDRH RSRSSSSSRQGKHYRHHRRDYPEDDKVTTLPTLPELKTMSEVNGADDEDLPQPPQPKN VPHTPPQVAAQRLPPSPPQSAGSASNKDKALPPPPPGYASPTPPRSPPRMTEQDHILS PRPSLDTPQAVRLNITAPILNANPSPAVLSPTVYDPSSKTGPLLGISGPQNARGGAVG TLLFRDSTSSNPDGPSLFADLEAHVESEQDRAAALKKAREQVLEKLSKEFAHGPYGPP STRESIDQPQPQPQAMRPQPPKRSNSHSIKPQLSNPFPIKRANSHNHHHTGNGHRRKH SGHHGHDSPAVMAAINASRARPGAAESAPTSAVTGDKDHEDMLAFSIPYDQHHVDCGD TCAPPTVPPPSHHGISSSSHGLGTRLKEGLIGIVRAGTPGFSRHGTPVPSRTGTPGIS AMAGTETVVHRGRRSEDDAGRRQRERDVKERREEHRDHYHKYGHGSTESNASGYSKGT TGTRDTHVPLMTNHSVLGYKGN NEUTE1DRAFT_128016 MSIVYGEERELFQDENYPNIDDHITHDSHHQQHHSQTTHETQQQ ELEHSLHDPHPQAHDAHHPHDSNNHQELTEQHHGSPGDTDRFTNANDVVVSDLSNDLV EVARATCNAHAAAAAAGAAFGAVQTDPRLNDYLAASAPEPEPQPAPVSTVDVPVSSSL AVQASLPIQLTPPLEQQQQQQQSRVVHPLLPPQLVAQITQPLSPAAPSPPSHTQQEQQ SPSTPAPSGRIKPIPKPVRQATKNAEGKFVCMWPGCAEDIKEFGRKCEWNKHMDKHDR PYKCGAVGCEKLPGFTYSGGLLRHEREVHGKHGGPKNPLHCPHESCKRATGKGFSRLE NLNEHLRRVHTHSADGTTNGGIGMILPNGGGINANVGAADESDEATSDDTSFGGQAQA QAQPQPPQSHSHQLLLKRKRRHRDFDDNFGVGIGGGETSELREEVKRLRQENEELREQ VQKNTQQTTLLVQKLQALTEAMAPRNNHNHNNHNHNNNMGPPPTGQMATTTATASSY NEUTE1DRAFT_77405 MPSVKNPNGPSKNRLIARAASLRKQRQRESAQGKLEKIHKITKE DLARGARPGLLPNSGPRKALGKKAQRKLEKKLGYAIRRKMEREGLSKMEVEKVEEMMG IGKTSKKSAETEEIEETPATKEVEMDFS NEUTE1DRAFT_128018 MAVSRPKRSLARRAVAFVKRLIHKHPVPDLSLPVPTATQAASRP DKKTILKHPAKKDFLKQIVPEAVNDCPLPSFDPGIFHSELLDLKLYPEVDPNDPRKIR EPEGNVREGTYLGTQLALTQAYERVEQTYVSLEQQRNIYQFTSYPNNADGTPADFPPH LQHIPVDQNHTVFGVFNNAGLLETAVILQKLIPDEDGFIGRTKQWLLEKARAAAYGGE PEKGITIQDVEDYNRFHRKVGTDIAGGGNIGLLDDWYSDRRFAEQQFTGTNPTTITQA GKQWIGEFLTAARIGGYNAWISALEAADPASLFVQDGSYFRDAVGVTDPTAVLQHKQP GSNDNWCVGAVSLFQLHDDGKLHPVAICIDYRGSMEQSVTLFNKRLTPRDSTKTEKTD WPWRYAKTCAQVTDWMRHELAVHLTLAHLVEEAIIVGTNRSLPMEHPVYRLLSPHWYK TLSVNAAARATLVPQVIVDIVGISPEQCHSFIRHAYDTYDFVAHYVPNDLARRGFPNT AEGLSSSTRYRNYAYAKDVLALWTVLRSYVAEMLALSYPSDESVAQDRYIQSWCKEMR QGGAHMSSFPEIRDLESLTDAITMCIHTATSFHSAVNYLQNFYLAFVIAKPPMLCTAP PTSLSALQQMKEHDLVASLPINRQRQWLLSAQVPWLLSFRTPQDRSLLNYAASMWRVY KTKKGRREKMVAEASERLYARLRETQRVVWENSKSMEKGSLPYMVLDPGNTAVSILI NEUTE1DRAFT_77411 MPADDAIAISGESRRVHCYCKPPKEIPEIDHRHPRYRTTEDRRT FWRWTTEDRRTFWRWTEEINALRRRREETHATRPLTTEEREAFRRWTEKRFAQWIEER DAIRRSIYRKNIVHQSASTHSRYCNKCMGIDFDKIGPFGPPNQGRRIAWANMDTCPMC AFFHAFNVRQGFGLSNRTSLVLFKDPFNGWNTMDFVGPRPNYNSFDTGPPVSIQPALA RFPGSPHLDEIYQKRRVYPRLKNYRFIADWLDGCARFHGNNCLDSLPSFDLIPGLRFI DCMARRIIPASEAVGKVYVTLSYVWGQSSGSTDERVEEAGSVSSLPIHLPKVVEDSVK VVKELGFRYLWVDRYCIPQRNKQAKHIQIQLMGTIYARSAMTIIAAAGQDAEYGLPGV GSRGRNLQPWVKHSACPLSLMLHTEPGNDIRASSWSTRGWTYQEALLSKRRLVFTDNQ VFFQCQSMTCEESQAPSTHRRILTSRDPVFPKPADFKDASTVWDRITEFLERNLSFER DALDAISGIFNMYRTENSQESDVAFLCGLPLVSQTSVHQPGDVRTRVDGEINQKHKSF CEPSVLTRSLLWAGEWDLRPIDTPKVGFSSKRLIRPRRSGFPSWSWVGWKSTYGRVLK VSNPYGNSQFTKCAVRAVYEDHQRDLDWEKDAGRILENSALGLNPKFLDIKGTCFDVT VSLAGAGLPWVYADPRFCSPIRWCIPFQNIPANILAALKGREHKFVALLLAKTVDSLN KTVSAGFLLLQPVEHSGGKWIYERVTSCCETFTVDPRWRRVAGGQFEDVLEERELRLR NEUTE1DRAFT_77414 MSDSDTQPLLRILTAITFIPSLPLCITHGVLSHNPIPAVGFAPM ALSAGYSVLLLTYRRRSKRKQNNRRSIGHAEEDNDLESVRNGDEAGISSSQPLIEGGA EEPGYAASERSQAEQTPAWEEEAKEKKTPLYAHTIFIFLVDSILAAGVMVVLVFTWIN TQKGRTGIINPVLGGKLAMLAAYATIPLLANFLIHTYLALRGFAIGLALYPLVQYAAW HTLPPNCPNCSSRLRPTSRPKIPWYDFVSRPRNLCARPTFKAPKWWSNRQQRRTAVDV VGAGDVDNDATARLFVRNDDLESVSHLGEGVLAVERYRDEPDADEDAGVENFETRSYR DEPEGEDNDHQEREQEGQPEGQGEEGQEQQKGQTEDMLQAQPEGQQEEDGQQQQQPEQ QQQEQGNDARSERLERIESHDQVLALI NEUTE1DRAFT_77416 MLFANKTFGSFAYALLLLSSGLQYVLGASDAPSAWPIQGNDLQD QIQWDHYSIIINSGRLFLFGGEMHPFRLPVPELWQDILEKVKAMGMRMISIYTHWGFH APTPDQIDFSTGAHNLTRFLEMAKEVGLYVLVRPGPYINGELNAGGLALWSTTGAYGE LRSNGSAFTKAWTPYQTGMAKLVKPFQLTGGGTVIMYQLENEYGEQWKNVDAKIPNPK AVSYMEKLKENAIENGIVVPSVHNAPNANGRPWSKDYDTVGAGGDVDIYGLDSYLVSP NQPSFMPEFQGGAMSPWLSPAGGCAERTGTEFVNFYYRDNIAQRITILNLYMIYGGTN WGWLAAPFLGSSYDYGAAISEDRNIGSKYYEIKNLGLFTRAAGELAYTDRIGTGTNYT NNTNIFTTELRNPKTGARFYVLRHATTSSDSTETFAINVTTSLGSFYVPKIAPCPKLV GHEGRIFVADFHFGKHTLFYATTEVLTYSVIDEKTTLVLWTPNGISGEFYLKGAKKGT LASSSKNQTAVFDKQDDGVVVGFTQHEGATVLGFDNDVRVVLVDRDTAYKTWVPALTK DPKVPVDKTAIVVGPRLVRSASVQGNTISVNGDSNTTTDIEVFTSSHVSTIKWNGKQL RTTKTNYGTLKASLQAPAKFSVPKFASWKSQDSLPETAVGYSDDGPAWVIANHTTTSS ATKGTVPYLFSDEYGFHTGIRLWRGHFSGESGATGVYLNIQGGTAHSWSAFLNGKFLG SYTGDPTVAASNATLSFANTPIFTNQTNVLLVMHDDTGHDQLSAALNPRGILNATLLS PNSSTTPKFNLWKLAGTAGGSDPSRSTLDPLRTHYNEGGLSAERLGWHLPSFDDSHWS SPSTTSPAQGFTGATIRFYRTSLPLDVPKGVDASFAFKFTPVDTSNLNYRTFLYVNGY QYGRYYPSIASENVFPVPAGVLNHGGDNVIGLAVWAQTEKGAKVDIELVTRYAIESSF ESRFDGEYLQPGWTKERLDYV NEUTE1DRAFT_36581 MFGVAWDDQTQQRLAEETRIAEEEQKMKELELGSQDGRSVIGSA ITRRSSSTAGKSGATSHSKGSTVSKLKRAFLGTRLSDKSDDKQRPSSYYAPSSRLSSI FAENNYIISGNSDQLVIPDINRTDESGGLSKVHTMEDQPTAPAPPKQSQEITALEELE GLKTPQSKPPTFVIHTAPSTPEDAGNHTSTDDPPTTTLIQWLDEDSYITRTTTVTYEP RGDADVNDQVIETTISADPSPFSTTKGSKLRPTPPIVRPTAPAADDVVPSFSSLVDNW FTALQGPSRAVLPSPPSAKNNDKSGPSRVIRSAEAHAPTSTQDFHPVSKAPSLPLKSP KLRATNPDVWKPPHDWGCQSTTELFPKTNEKQDKLEVSKHDQKNKNVARIQKDVEFIA ISSPKKVLSRLTQGFGETSDTSSHLQEEQDRKRLMLSVLYDMCGSTATVVDTASQLDQ SVLCAAGQKILALFESEATASYLAVTFSEAAITHLSLSPLPHELFPNVHPLFAPPNKA SISFAANSFNTVYCLSLPSLVPAHEILEVLKQIHRCLAPNGILQLVLLDPFPVARRLG PRTRKWFEKHILSNLQSQSRCVRPSAIFSGFLEEAQFRVCEEPSTKIKFLAIPPDPTD MFIEGQQRIQEELRSVVGRMLWQEIWGKMVTGDDNWWDDPECVEECSRLGTFFEFSRI EAVKLTDAEVLGGFSVGC NEUTE1DRAFT_135355 MVGTTGVRLSDNPDEIPDTPRRSIFRRGFAYATEAINGGVDSVN RKVSGTYVGRFFRLRGSGHAEEIRDANFCTEIRAGLITFSAMLYVLAANPAVIASSGY ECSCRGEDKGRPNCGQDYQACIEELRRDMVAVTAAVSAMSCILFGLMTNMPVAVAPGM GLNSYFAYQVIGIRGSGLLPWRSALTAVFLEGWIFIILSLTGLRHWLVRIIPSTMKVA GVCGIGLFLALTGLANNTGLGLITSGDVVPISLADCSNPDQQGQCSGVSAIADPKLWL GILGGGILPTVLMGFNNKYSIGIGVLFVTLMSIPRSTSVTFFPYNSVGQNKWDYFSSM VGVRKTGFDMSQLRFDFGPHQGNFVVALLTMLYVDMIDCTATLQGLARYTYRLQGPDP DFPGSTIAYCTNAFCISMGALLGSSPVTVFVESGAGAQSGGRTGIAAIVTGLCFLAAV FFAPFFSGIPPWATGPALILIGFLMVRQIYSINWNYAGDAIPSFVTIMFIPFSYSVAY GLIAGLFTYIIVNGLIWIISTLTGVEPHDYHLKQYWTINPVGRKPWIYRAYKYIMAKL QKNRDQTTEEVAVQEFEMMERRTSHPRSAVASASTMDDKHSRTAE NEUTE1DRAFT_107900 MSNAMASDDNTDWAAQSPGLHDTINMIERICSIPSVIGCVFIIT TFCLSERFQKPINRLAFFATFGNLATNVATLMGRDYVTNPTGAGCQLQGFLIQMFMPA DAFWVLAMAINVYLIFECQYDAQKLRAMEKWYFLGCYGLTFVVALAFCFIESPKKGKM YGNATLWCWVSPKWDIFRIATFYGPVWVSIGFTFFIYIRAGRDIYRNHKQIKKFNITS SLAGGPVDDTLVSRKVTEVYITHEIATGNAIGLVDLERNNGAQEHSDNSAASRANPNY SVTISADNQKVPETTNGDNLARITTTTVTGGVSGSANNTRTKNKHNSAMWHYSKCSLF FFTALLVTWIPSSCNRVYSVVHHNQTVKVLEYMGAGVLPLQGFWNALIYAVTSWKACQ GFFGEDVKGWFSRDTDSGDSQRREGGGHHRSSSKAGNGQAASGKANPFHKMGGRAAHP CPETESTEELAACGSRRTSYGGGTDSIPSKDMK NEUTE1DRAFT_144607 MGGRKQHSWRQGKDIQDSGASRRRTPLDSDTDMFGSPSLWCKKK KTHHHHREAKGRRSEKGVGLDEQPRQKHRILHSPFARLFRRTSGHRHRKKTTPTRETR TGHRHGLGCSTAPPPPPPPPLKLSSPYTTPKEYRRSRSDIQITPSTRSFRRTPYRTPT SRIQESSDFSASNTPSMIASSGTPCPSSSSSSSIPLNNATCESCSKTHHLNATLRRSL LAYTRDMYTILQRWSDDVGCGEGTQGFVTDLMEWQPEKAAVVIEKERRPSEMCRLLMK MGSVEDWVVVMRGLEEKEGGGLGAQRMEGVKNGGGEEGSGPWAAIGNESRGGSGSGRW SGYRRMAVDKGGGQG NEUTE1DRAFT_128023 MSQYQYGQHGQYEPYYNGQSGQGTQSPGLLDSPSMHMPGTVTTM APGCHGYGGTVSTTAATTGQAPRAGFAVDQQFQEAHDASTQGNERFTILNHFLHDRNV AWTPMKVVGSLGDSQISNLPINSGYSEYPRYRDNHAPSECDTAVDRTGVFSDSGYGSI ARQSVGNPSVYGDADRGGDTQIMLQMSQFQLQQDSNPQATVIPKDTLMQDLGSDDWGH RNITTCLERKSLICEYCGEYVKTKSELNKHKQKHTKPHHCLEPGCTRDKGFSTKNDLQ RHLASVHKKYNVVYHCCHGACPTKTKDEKDWPRADNFRQHLRRVHQIYLKAEDDLKRY EVHLSQEQVLAGPSSVAVFAPQQMQMMSQQNGASRTNQAQIQYLSHMAPFTETSLQAP ADSSIILQNRTVSVSETADFPALENGDWYTWPDAGTAEVSSHVLHLVSSRRGSLRALE RTRSISIDEPSSGQQPGFESEVLVDGREEMPATAANSDISPSITTVGMNLDIRPMSTG SDHTQSHVNELDGNTHQHQESAEVNGPVNTHHDGEPVEYEEMDDDVPDLGQDTSADDS DEPETALVRYSLDYSIENSSKPNLSPQSAVSFPLTGVNIDDDEGALTFLRVLQAKGNL DQLMAELGYQKKEEKPKQPEPTEKKAEPAPTSAPRTGNSKNPCSMCDKSFNRRCELKK HQKRHDKPYACTFHNCSKNFGSKNDWKRHENSQHLQLEIWRCDLKQQQQQQQQGVVDD RDRVCGWVAHRRESFRTHLSKEHSMDDTTMEKKVTECHIGRNCESRFWCGFCRKTIEF QKDKKLAWSARFDHIEDHFIGRNGVKQQNIKDWEFIEPPVAGGGGGKNGTRTGTGKGA AEMAGREVVDLTVEQAGDDDLEPERSSSSSSLYVAGQRRVQQTFKRRLDAEDGTVTSA APRAKRHKSGNRQREYLWSCCQCTGAMFNVETTPACLDCNHAQCEHCDVEYHRGPREG R NEUTE1DRAFT_35851 MDESRIRYGVRISLGPVKVPGWLGRRSRSTSLLISPKIRDPSGE HQPGRAFYEDISVFVLHHVPIVTRGTAVISEMHEDGDGLLDGKVRKRSKQPASRAVTD GTSRR NEUTE1DRAFT_135359 MAFGLPSSLPLGIREGGRPERSFYRPGPPGLIPAWPAEGTEGKW RKWRSDLQNAMSFNGPIHYPPKPLKPETILKSGFIPYGFTSLKEATQKPDLAQHRAGR RRPSLEWPSLTGRIPFRQGEWW NEUTE1DRAFT_77420 MTNPWLYQDDSYHVPYSYNRMEYTPGLLESFIDPMLQSFTTPSA YPTTMDQHHSMQFQLKEGQKTMVFNSDIPQPATFIPSTLGVMNPFQVRCISPNSAFET SEASGSAQSPPADTNTEPPANYEARSPLQEPLHPEDFGLSQNHSIQFAGIGKGLGNGF FVNPMDVNSTGQMDGYDSETSTLEFTLPQRVNSWESYTTGGVSGCDMEQIQQQQQQQQ QHNSPIVDYTKPVNHYPPASQEKIEEPSLVILPRAPNLKRTRQADADADEYLPATKRT AAVLSRSTSTKTKTTTPRRGRPPTSHFPLTTHRPHQHQPTRTLPSTATKALTPNSSRG NLLCPHPPCHQSSHGFKDQPSLDAHIKKQHSRPFICVFSFAGCDSTFGSKNEWKRHVA SQHLVLEYWLCQEGECANVDNNIPSSVAAISGGSGSRSGKRRKPVQTMIPGNDNDENE DDEDLPLLPNGAIFNRKDLYTQHVRRMHLPSHLTPFLRKESSPAGSNKSSSSSSSSAL TQTNETTQQELNIYIATLQSRAQIKRCALPTYMRCPVPSCPQPPFKGTEAWDQRMEHV AKHLESYAAATAATVAAATASGTRTGSEVEVDSRSSVAEQDNNKVEFGSESDTTLTEW AASREVGIIKRVIRTGSTGTGTGKAEGGGWKWVTRDPIKRRGHGGNGTGSGSGSGSAA GGYGGVGRGKGGRTKVVKTKGKAKAVTTKANMKREQEVEEEIVVQTRTQNHQSFEFED DE NEUTE1DRAFT_107904 MDQAQVSVPQLNLRIVPRLRAGMAVSACLHAHISDGQPSAGSAH PNTCPLPRVFLAGSLAGGAPPISDDLHGHCYLARLYVSNLLHALLCAPSPHFPMRSYT HSYLAICWLNAGKLARTRECGSQVTFVRPPARLRCDLNFFCPTPLLGTPLLVHTGERS RWENRGVVGNWI NEUTE1DRAFT_120391 MYRPNTANTAKTTTTKYTPYHDPWPHVKPLPLYNQAKRDKDMDN HYRLALTSTRNQESWWRKLLRNVICLPLMLLSGTDHGWQVWKV NEUTE1DRAFT_107905 MDTPVAPMGDESPPPCPPQPQESILNRKILPRGLPHSFSSNLNR DVTTPKRSKVNNRSVRSIIASFESAASNQQPPHSPLFEPITPQSSIIRSGASTGASLA SFRRVKGSQGRDRGVSECHDARRSQPGEDGTEGYSDARAGMEGTRSVSTPVIPRMSSI MPFGVEEEDSLTLVNYKSYFNQPLARCLDEFVGFEDEGKDSPKEKKSKKEHDEYKLND KIDDVDKQNIPLRITKRQDRVKTAAAQTEIQPTTSAPRPVRQQTKRPSLTHRKSSASV IALEKLMHELENFSISPPLEEEESEIIAMPEDKPIIRRDPKEVQDYWWGVRRSLWVDE DEVYSPEAYPESNITTSSDIPKIQLPLPGTTAVSARASCAFDKQIPLPAPPIPFRSPS RVSRDVSGGNLPFGTPTRLPNRSISSKSTSPLKYSVLAAGHPPPSPSASVRPEPPTPQ SPPTLYRQSPSPPSRGSNQPVSPPLSTAGKDNYSNGNTPKASSAKEADLPFLNHEHSQ SRDPSSSSLDVNASTSQVDWDSASEYGDEEVRKQKAEENQCRQTQPNGPSLDEIDGLY SGIPTDSAIPSPATAIDVGGSQENTPKAESAFEQIKKRIEIRKEKTRSSHSRHSSLML LSASSPGEISEYQQPNQVLQQNQPQEEQEPSNRHSDSHNIGNRRHSSRRLHLSAMNLP GLRHLRSRHSRSSLSSPDSVDGLKTPTTANSATSFSSTRANISSPVPILSAGISNPIS IPYSATRSACSSFVSQSESGTGSSCQNQGEGQKTPTDKGETYKTGKETTVGKTETSAT VVVYPSRSVTKVPKLEDAPKGGGGFRGHFRWRNKSRGEDKDKDKEMENSSMANGNVDE FLNSHKRMNSLKKEGSGTQQARAVDMEEGRIDPRHPHKEKLHQRVGFTEATTGLTSST SASSIPLSTAHHFNSQSETTGTSNHRLASQDDTHLYKNHHHDQTPTQIQIPHPTYANT ISSFTYTTTPIFNTINNPKNSHTSTTDDTPPSKSNPTAGRSRQDHLSEIQSFLLDNNN KDSFDTSTVQSIRPSLQSQSQPQSRSLHSLHSIPESNSTGSMYSQRSQDEEEAEEDQK REEASMLIGEVLETVRSLGEEVVKRGQS NEUTE1DRAFT_36669 MAARAIVDPSPSWASLLPPSRSRSRSRSSSRAWKPKPTGVHPNH ESQLQIQTGSRAGPRSTTSLVSSGSRNTTTTTHYSGGTVLRRYNITASTTTTTNGESG LRAKRLALLRRSSNFSSREWRHDPKRNKKAQEAARRIIEGCHPREKKGGMRDRVKRWG RELGTLKGLRGSKDGGAAAAAAAGRRGEEGERKKEARWSWKRGWKGDNGLGESGGQKS KEKPAAAAAAAAEQTRWQLALGPGDETTSIPAPSPVPKPPRNSVVMEQLYMAEGIGVG STKTSSGSRRNRLGQAEEKDTANRKYANNAGQGREVHEHEKMQSKQKNRTSRLWKRGM EKVKKLLVLSTDKSKAQTTTTMARDNKTDKSDNESMVAPPTTWYIDDDEVSHFEEGKR PRSAEEKGKGIDYSLYHEDSVFKTQTRGFPPPRRSSGPKERCKCYNCTKALLLRETTP TGNQENGSLLQTYQRPVPSYQTTTSTRSSWSISSNQTPPEDQFPPRPALRSSPMYLGS LLPPYQATWALPPLQISGDFSKAPEFTAFTHSPTGHLSGTFQESAIPTRVWTETWTKT PTKAPLKLPAGPQRTNRSQRLLKHENHPYSHRQILESEEDRSISSSSGHVAAEITSNT TFDSNQEYYQGINTQEHEYEHERYDEVNNYLYGRAPSYVGLSQRTPDMFFTRSSPRAS TAIASSSSCASSSAPVSPALLSHVSEESNSNTEEEDPEIQDNLRHQSADKHGRSHPQN HYKEEETGERERENDGLLRHARTASFQVETDLLIIPLEEVEEYVVPPSSHSSSSSDSR GVDGEFESTSKLGDDGCLEVPSDDAPETNMNGHGERRGGGWRIVDGGNGRVNERGGGR GGVNIEVRELEDEGTETETDTEKGEFVTPGIGQ NEUTE1DRAFT_144610 MRLAWYAGCSTALAASVILSAFHQRANFYSAVVHLGQSSLSLVV LVNLIFVLYGAFMYGLQRLCFGPLRPVEIEQLYERAWFAVTETCLAMTIFREEVGPFF LCMFTALVTGKVWGWIGEGRVEVFEQQPPANPRLFHTRLSVSLLLSVAYNVWMLKYCI DTVVQQARPTMMVMFLFEFAIQTVTSSQTAIRYLFSMWEQHITRVQTRNGLEQRRRQI RERRAEILRRREQGDAEAENEELPSEDDVEEMDIEVPGWDAKGLYILSLDLVSDFLKL CIYTAFFGVLITFYGLPIHIIRDWFMTTRSFIRRLNALLRYRQATRDMDQYADATEQD LGQDDTCIICREEMRPWDPQDPVRLERTRAKKLPCGHILHQGCLKSWLERQQVCPTCR RPVSREGQQPNRNAQGGDFNGLNHPAAQNQQPQQQINGQAPANQDPNGLLPGNQRNHQ NNGVRMFNLGPIRLGFAQGQDIQEMARRMGIPEDMANGHQPLNAQAPPPAQQPVHGHG ATGMEQIQAQLVEVGQRLDQELRELSATIGQFRSLQNIATELARVRHAQQAQALGVTL PHEPVQIPPFPQNITRHGAADWGTAIPAGSPDLPEGVVIPEGWSLLPLERLDGGAVAP GQNSGQVGQAGQQGDSNTATPGTATAGPVPEQRTVVGPRSDNTAQNRAQNQAQGNTAP SLLTTTPTSRPITPTTPATPMSPAEQQQPATNTSSPKPAGTVETQAPRSSEPSTSSTQ PQSRLQNPVTITPDVNTGPLGGMSAGWSFNNVPPAPQPEASQPSKKEEEDAEADGHLS IDVSEGYRPRPRPAPAAVEDAEDEEDSRS NEUTE1DRAFT_107908 MTRRDPSVIHTSSKAPRYKHSIPSYQPLSSSTQLPGTCFITTLQ LQLPLPTITHISGGGGGGGGGGSAVHPSRQKPPSQETARHGTNGQVALSINGRSVEMV VVVVVVAVADVDVNADGEKGINDVPIKFSRGE NEUTE1DRAFT_98541 MYGRQTILEMIRKALWWRNPVWDVIGLSLPTSIFTSLLLAPSWC AVPLGCCRQGLRFCKVSQHPASMMRIAIAGGGSFAYILAQEISKSAHPPHPEFEENLP SCQLALVDYQNVEDLHYVLRGVDLLISTISNNEQLNLIDAARRARVRCFVPSEFEGPL SQRPPANSIDPLDNRGSRAALDLLESWSQSRSHRMNYTVFSCGIFMERFARGGLQPWG IGTQLGLMGPMPTCDYLVNIEQGTAEVVERDAQGRMAYVAMTSMYDVARFVAAAVELT GVGALGLPLERWPREWRVRGDCMAVGEVVRVCEAVRGAQFSVTRRSYQEAEAWANECQ LAGDVATSFYYQRLLQTANGRYCIRSTNLGELVNQSERTAFQPMRFRTWLEQVWGRVL NEUTE1DRAFT_58743 MSTENNSTLKSYIDSATGAVQSAVGNIIGNRGDQAEGELKQEKA HAEHAASHDTAKVPGFTATSDGAVAADHPDRTSGSWKQTAGAAKEFIGGAVGSEDLKQ AGRQQNREGQEQEARGQVKDLGEGAKDRFTGQLGNAAAALVGDREKQAEYQTQHDVGK AQQRGAEHDIQKEAEAQKNADL NEUTE1DRAFT_77426 MSTIAPSVLRQASRLAAAAPLRSARVLSRATTAAAVKTASASRS YVTETKRNNADVQAEHAIKLDHREMEKQGLAISAQNGSSQHVSPMADVLSNATVMDEG QRPIYLDMQATTPIDPRVLDAMMPYFTNVYGNPHSRTHAYGWETDKAVEEARKHIADL IGADPKEIIFTSGATESNNMSIKGVARFFGRSGKKKHIITSQTEHKCVLDSCRHLQDE GFEVTYLPVKSSGLIDMAELEAAIRPDTAIVSIMAVNNEIGVIQPLEEIGKLCRSKKI FFHTDAAQAVGKIPVDVNAMNIDLMSISSHKIYGPKGIGACYVRRRPRVRLDPIISGG GQERGLRSGTLAPPLVVGFGEACRIAKEEMPYDSKRIKHLSDRLLNGLLSMEHTSQNG DPNQFYPGCVNVSFAYVEGESLLMALKDIALSSGSACTSASLEPSYVLRALGNSDESA HSSIRFGIGRFTTEQEIDYVLKAVTERVGFLRELSPLWELVQEGIDLNTIQWSQH NEUTE1DRAFT_77429 MSFRGFQKSVVRAPQQFKQKFNLGEHTKDPVYIDSERRFQELET ETKRLHDESKKYFEAINGMLQHQIEFSKAMTEIYKPISGRMSDPDSLVPHGNYEGIAA CEEYEAVVKDLQETLAPELEMIEARVIRPANELLDVIKVIRKTAVKREHKKLDYDRHR ATLKKLQDKKDRSAKDEKAMWKAENEVEQATQEYNYFNDLLKDELPKLFALERQFIQP LFQSFYYMQLNIFYTLHEKMQHCDIGYFDLTLDIEEAFYAKRGDVQERAEALSIVKFK TTGMKRPPKYQRPGALEGNKPAGLLTAGSSTTTSTGPPEPAPSVTAPRPWEQQQAAAP AVTAPRPWETQAEPVEAHAPPPYSVKPSTLHATPASYAAPVASPKPSSLSMAAAAKAK PPPPKPKPKALMAAAPKVETVTALYDYSAQAEGDLSFRAGDVIEIVTRTANENEWWIG KLHGKQGQFPVIKELLSARFTSQHDFNLYPFLWTRSWLGVICNHNTDSF NEUTE1DRAFT_77431 MKPMIMNVNGENIPLPNRFTFDIRPRCFKSDYSNDSSSSSTFGE LKRVIDPSILSGKISSDWSTINRELPDDIQALLNTIRGLPTSDASSSKPENCQHDASL SSNRPQDSKDSTGASERSETKPQDDEEERFRNMINRLQKRLPTPSLVKPRVPSTKIQP ADPAILSAKLKDGAVFGNAQLNQVTEPHPERKDKFSDSGYASGSNPSCIVHYSTSDSS RDFQDEPAAATKRSLEAQSATKKLNPAAAEFRISTDSVHLPVLSPKKLSRGPLTSLLF NPTPDVAVAGALPSLDRLQTAQTRQGTGTAVDMLQIQQSSPVKSGMKAKQRKAPQVPP IQQACLPPIQHFYNPALSDVNPMALAHPSLSLQPQKPNAALPFPPTPWIGGPGLGNFG TFPPPTAPSMAIPQFNMQSPDMAATLGTGTFLPSMRPPIPPFASAPISGLGSMYPQAA VPALPPVQPSIPLTSAGQQAQPGPKADRPYFPVTTKPRVPDPIKQQQYEEYLEWRKAN EPGYHIKCKIRQANRVVRQHQYQAEAPKLEEPGISLAWKAIAEKAKAVVGAAEARRAA EKKSKQNSVVEEFKAKIIERVMGSSETKDDKEDSEKKMEDEQAVEKVTEKPTEDKVMV EETAEKTTDKTAEKTEEVKEGVKA NEUTE1DRAFT_116093 MEVIPLILRFIQLIFLIILTGLIGNVIALDVDASTTARAAINFT MFVIALSWVVALFGLVAALFQSVAIPLVMLIFDAAATLFTVIAGIVLAAKLGAPDCGN LANDHYGHKWIAYGSADDAKRCREIQAGTVFMWLLLAAFSAALTLAIMSWRRTGGSVR SGPTMSQVVV NEUTE1DRAFT_116094 MSTPSDSYVLHDHSPSTDNDDVDSLPSISSSILGSDDSQYDDES DAQKEWEQSLEQLQLLLNLVLIPFAGKFLGRKFAYWSWGRYMEWAHGVKVQWYNKTLF NIAGWIGAATPVSV NEUTE1DRAFT_34841 MDASPADEIKETAQSHSARTPPLHAALGAFTDAPQATEPQDAAA AAAPAADDGLDLSLMKKKKKKVKKEDDADAAADEAAPAEGDGAIDLTMKKKKKKKVVK EDDEFAKKLEALNIEGKEGEEAAPQEDEQEGDMDQGTGIWAHDETKPISYNALLSRFF ALLSQKNPDHASTGTRSYKIPPPQCLREGNKKTIFANLPEICKRMKRADEHVTSYLFA ELGTSGSVDGSRRLVIKGRFQQKQIENVLRTYIIEYVTCKTCRSPNTELSKGENRLYF ITCNSCGSRRSVQAIKTGFSAQVGKRRKMQG NEUTE1DRAFT_11871 PGPSSSGQAGLPSTIPSASYWLQPSDVSQRLDDYRSTPDLPQSA DTVIVGSGITGAFAAWFLLEQTGRTKVVVGSGAGAGSGAGKEEEENSNGGILMLEARR QPCSGATGRNGGHCQPYVYSASTPSIAEFELATYQFLKAFVEEQGIAGVVDWRTLRVV HAYLTEEVWEGAKALVEKLPEGLRDQVDLVDDEIRKGKEGGETLRDLRVPRAKGAVVQ KHAASLWPWKLVVWVLERLLERFPVRNGSMGGEGFNLQTNTPVLGLEKLGDGRWTVIT SRGNIRANRVLLAMNGYTSNLLPEMKDLIVPVRSQVASLRPPKDAASGKVAELDYSYA FVSEEKFPRDEYLMQRPLPGGELIYGGARSHAENKAVGVWQDDEVERGVATYLRQNLA PYPLDLTLDKSDSTPTDTPKEGNETTELEATHQWTGIMGFSRDSSPWVGRVPESLGGG ENLYMCAGYTGHGMPQAALAARMIVEEITGKPFADDGLKLPQEMVLTEERVRWVR NEUTE1DRAFT_77441 MTNQITDEEWADILAHELPTQNDPILQKYHAARAALIAEEQKQR SDHVFRQSLSPLARRACSIVSRIRLEEQKAIWTPDLEEQLARKEENNGIIIHPGMMFT LAKETMEATKLWRIVRKMPKGALLHSHCDAMVDFDFLLGVVLETEGMGIATPERGGLG TEERRREGEVVVKFVGELERKVDAKSIWAGEEEGGGGYEPGTFINLVEAADAFPEGGR KGFLQWLKSRCILSQTDAIEQRHGSAEIWRKFMRCFGVIGSMLHYEPIWRRFLRRLLQ QLVEDGVYWVEVRFAWQLDYCREGCTTPEPDYTAMFNVIEEEVAAFKATPEGSSFWGL RMIWTTVRAFGPRTIIQSMNDCIATKINFPHLIAGYDLVGPEDAGRHLTDLLPELFWF RKQCAAEGVEIPFFLHAGETLGDGDVVDHNLFDALLLGARRIGHGFSLYKHPQLIKAV KDKRVLIESCPISNEVLRLTGSIMQHPLPALLARGVPCALCNDDPAILGQDMAGMTHD FWQALQGWENLGLAGLGSLAENSVRWAAFEDQTADEWARDVREASMGSGTKAERLKQW AVEWEKFCVWVVDEYGDEYGGDEA NEUTE1DRAFT_128035 MANTVSDQGQFLRPLLYPGNPAGSVSTAHGLRSSTSVVPRSGTY GTMNMASADIEKRPLVVMREELIPRSVKQARTRRVLLSVMWLFASLFVTGFVFHVLGI PSCPISDIHEQLSTANGTYGHQVEGLHPTEPATLDLLKSQQKARQIQSSVTTSSAAAE KTVLRNFEVAPPVLMPYGPADSDGTTPIPAGSTQEACTVLLMRHDFAFSYGVPYIGDY TPPSCKFNRIVMNFTVVSEGRQYDRLALMYLGDTEVWRTSTAEPVAPPGIRWEYLKDM TEYLSLWQQKQKIIFDLGNLVNDKYTGIFNTTLTATFFYSDVATNAAPPSDLIIPISA RQSANNAVSQFTLPTQNATNTISDFPLNARRAVFSVSANGQGNEEFWWSNVLQSDTNA FSDTVGELPGYSPFREVQILIDGHLAGVYWPFPVIFTGGVVPSLHRPIAGIEAFDLKE HEIDITPWLAVLTDGKPHEFTIRIAGINDTASSSSSGHNAVLTDHVNESWYVTGKIFI WTDSHSHSNSIGSNNNNNNNDNKLPTIDGLTPLITVSSIRAPPSSSNSSTPESITYTT SVKRSLRVRSPLVTWTQTLSYTNKGLVSAQGYNQFNDMLISGSESSSSSSSSTHDYET SYTFPLLANSTYSVSPQGNLSISGHLIQGKTVVVSGQMTFPDYDTVAFNGEGKSPRYK ESRLETKKEGQAWYEQTGDGKNSTGSGDSKQVFTFGGVEAQGGRGEELYFRDVEARAG RVVRDVKRLGGKDVVGAAGYGEVGAAAVTAGENDDAAGVFGGVPMVGGGGGDGVKRGG AMRMFLGRNRF NEUTE1DRAFT_58765 MLRTGYGAAETLLRGGAIFRGVSRDTTVARTWAQHISTKSAATP RFQYASLSARIRQASRAGQPKQFTTSSRRPFQSSRSRRSDKSSENEGAKEKARAKEKE PEPTGFSAKMKKLSKEYGWTAVGVYLALSVLDFPFCFLLVRTVGTEKIAHIEHVVVSW FQTVIPQNVQDFWHKYRTAYKDNKRERTGEEPEVGWGVEAAEERSKQAGASLATQLAL AYAIHKSFIFIRVPLTAAILPKVVKVLRSWGYQIGTKKVKTVVKKP NEUTE1DRAFT_120406 MSYYPPPPGASGAGAPAQHSYPPPPMSAPPTQTKFSYPSPPTTQ QQGRHYPPPPQSAGSSATPPPKSSTPSYPFPPQQQQQQQQQYQQPPQQQFSPPPAQQF SPPPTQQHQQYAPPPAQQQVQQPQPQYAAPPAQQQHPPTALPLHMRTDSSASRTSAHT PPPQQQFAAPPPYAQGEQQPQQQPQQPAPTQPVGLGLSTSAAAAITGAPAAGQFSGVS AVSDDVGTFNGGSYRISHRDCNTILTVQLAIGCPLDAKPGSMIAMSPSVSLKGAYKFS MKKLVAGGEMGTSTYTGPGELLLAPAMLGDITSLRLDGSQTWSVSHDGYLASTQNVTK DYKRQGLGKAMFSGEGLWVYKISGTGLLWLTSFGAIVRKDLADGEKYIVDNGHLVAWN TKYILERVASGGLLSGFASGEGLVCKFTGPGTIFIQTRNATAFSAFMTGQTAKA NEUTE1DRAFT_58771 MLRSNVSRIDPKRRNVLDHRKKQFAEAAYKDTDYPHRLNFYSTP PTADITIEQFEQWAIDRLRILAELEACSFRNKTPAETASHMKPLLDKYLPLDTNSSSS SQLFAQRQKDHYSHFILRLAFASTEDLRRRFTRVETMLFRMRLNADDGRERAAFINSL NLDWETVSDEEKRELAAELAATASFGGFKKGQQQQQYEEDQQTWCKVSWLRVPELVEQ RRVFLRQGYAYVPAREQQAMVVSEFSSRLERQLELTARALPRLDEDDRLTPILAHLSK NFITPDASYVGTSSAISSADISARNIDTLVNNHHFPACMSHLHRTLRRDAHLKHYGRL QYTLFLKGIGLNLEECLLFWRQSFNKITDDTFNKEYRYNVRHTYGDVGGDSNRRGGGY SPYSCQKILTEHPPGPGEAHGCPYRHFNMENLQTLLQQGMGVTDRGVLNGVKEDKEKQ KFHMACNRVFEHLHKEELKKAKDEGIMTAAQLETIVHPNEYFKRSYLLKNMGKMQGDV KMEG NEUTE1DRAFT_77456 MASNGVVAPVASDQAQVVVDEQQQQPEQQLTAQISKLEIAEEKQ QPEQQQEEQQPPHRSHDPQFNQKRSDPFQFGSRYLQEQDDVFEFNAWDHVETDDAYKE YAEQQYEMQRNAPVSDFDKHRFNSDPAKWWNQFYKNNTANFFKDRKWLQQEFPILDKV TSEGYGPCTLLEIGAGAGNTAFPILSKNKNPELKIHACDFSKKAVEVMRSHEAYNTDQ MQADVWDVAGDELPPHLEENSVDVALMVFIFSALSPLQWKKAVENVYRVLKPGGEVCF RDYGRGDLAQVRFKKGRYLDENFYIRGDGTRVYFFEQDELADIWSGKLFTKDSEVEDA SEPDIRFVIEDLGVDRRLLVNRAKKLKMYRCWLQGRFRKPLPQ NEUTE1DRAFT_77458 MGGHLVTVATCSLNQWVLDWEGNLQRIVESIHLAKKAGARLRVG PELEICGYSSLDHFHELDVYTHSLEMLRKLLEDESCHDILIDVGLPILHRNIRYNARA ILLNGKILLIRPKMWLANDGNYREMRHFTPWMRPRETELFHLPKILQEIQGETHVLFG DAVISTPETAFGAETCEELFTPKAPHIDMALDGVEIITNSSGSHFTLQKLDVRLQLIM EATRKSGGVYLYANQQGCDGERLYFDGCAMIIVNGNIVAQGSQFSLNDVEVVTATVDL EEVRAYRSSISRGLQAATSNAKYQRIQTSFELSPEEEDTDIWKKPTLPRPPRYHSVEE EIALCGGCYLWDYLRRSGTAGYLVPLSGGIDSCATATLVFSMCRIVIQAIEDGNQQVI EDVRRICKYGKEGELPKTPQELCNQVFTTIYMGMSKQSSAETRGRAKELSDAIGSYHV NLDIDDVYEAQKKLIVQTTNFEPRFKVHGGTVQENLTLQCLQARIRMVTAYEFGQILP TARGRPGGGSLLVLGSANVGESLRGYLTKYDCSSADINPIGSIDKADLKRFIAWAEKK FDLPCLHGFLTAVPTAELEPITQEYVQSDEADMGMTYAELTVFGRLRKLNKLGPYAMF QRLVHDWSADREKVEGDEAPFYTPRQVAEKVKRFFHFYAINRHKMTTLTPALHCNDYS PDDNRFDLRPFLYPPFWKSWSFKRIDMELERIEKKREERAGKGKETA NEUTE1DRAFT_35847 MPPRHTLLVPPSATRLLIPRTSIVRSLSSLTTTTTTSNTTSSHH PHQRRISSPPTPVTTTTTTRPYSSWLLSAVSSAASALNNGSPGGGGGNNSAPETVLRA RRILPYPSAHLYNLIADVSSYSQFLPHCSRSVTKTKTKWPARGDLTVGWGPFTESYSS RVYCVPDDGQGVGIVEAVSGNASTNIPATVLQQFGYQSSPSDTTEKMEGLFESLVTRW TVRNVPAPKKSQGGEGAGDNWTEVALSVRFKFASPALGFAVGQLAGQKVDEMVAAFEE RARRTWRR NEUTE1DRAFT_116101 MLRLQQRHSFTLGLKRSLPSTDVLLTKTYTIQHPTTRFLAVHVL IRIHILISSFTQA NEUTE1DRAFT_98561 MSSRRSINITVNNPGPAYSSTTSSSGYDSSQYQYGYGRDDSVVD DEDDEEVTDDESTEDDHWDDHYDEIDPSDSASATAEYYPHPHHGHSPLPPHSPHSRSH STHSARSPRLLERSESASRRNLVSSGRQSYSYRAPTAPPPMESEYGDEFGGYGGRGHG HHSQPGYYGRGAGHSAYAPSHAGYVPSHFGNQMVPYDYNNPFAPSPMNDTLARTRRGG GGGDDYFGGHDPRGHNPRGHLYDMMPYGGGGGPGAAAGALGYYGAGGGFGTPGPSHMA GLMFQPPPPPPTEHPGKSPTPAPEKKPDPEMEALKKQLEEFQLEKKRKEEEEKNREIE RKIREKAEEELRKKQEEDRKRAEEEKKRQEEQNAEMERAVKEAQRAAEEKAAQARKEE EERQRKHAEALAEAQRKARAEFEAELKAAEERRKREEEAAKIAAELEKQRIEAAVRAK EEELKKKHAEEELQRIAAEKKAAEEAAERKRLEDEAKARLDRALKETEEKIAAAIRAD REKAAEEAAKKAAEEAEKARKQKEFEEWQKHLEAEAKLKAEIEARERMEKERAEAAKA AAAEEERKKAEEALRKRLLDEAENKAREAAEKAKAAEEEKKAAEEALKKKILAEEAAK KKEEEEAEQKKDKAPIRFKDAVGRKFSFPFRLCQTWQGMEELIKQAFLHVDVIGPHVQ EGHYDLIGPDGEVILPSVWERVIEPDWQITMQMWPMDKAPLRNQMPPGALPPGFGAGA PGGHGMGGGLPGQGRPMFPGAPPASRMPPGISDAHGHRMAHDMFPPGQPVRPPMGMPP GMGMGMGGGGGGGGSGIPPPPPPGWPPSGSRHAAAPPSRPAAIVVDVEPEVRQHRSKH SSSHSRKETKATVLGWMAGGKPVKSSSRRSTKRVHWANTPESSTI NEUTE1DRAFT_77461 MAPSLLFNPAKLPPSEALQLAQQAPVVLQGSSSPSETVDQWATY ENLLLACLRTGDDEAAAKCMDQLEARFGPDNERVMALRGLLSEAQAENNGELEAVLKQ YDAILEGNSTNLPITKRRIALLRSMGRVSDAATALVQLLDFSPTDAEAWSELSDLYFT QGMYSQAIYALEEALLLSPNAWNIHARLGEVQYMAATTSGSGGGSQQKYLAEALKRFA RSIELCDDYLRGYYGLKLVTTRLLKEQAKQAKQTDDGEFTLPDPKTIERLDELATAKL SEIVRHSTLKDRGWRGYDEREVAAARELLSQNGIER NEUTE1DRAFT_144627 MRRVAVQSRHSNTSSPSPRYTSSFWATTTATMFEKSLYDLIRGL RNHKGNEKEYIQNCLKECRSEIKSQDMDVKATALLKIIYLEMNGHDMSWASFHVLEVM SSPKYHQKRVGYLGAVQSFKTDTEVLMLATNLLKKDLSASSPIVISLPIAALPHIITP SLALSVLADLLPRLSHSHSAIRKKTIVTLYRLALVYPETLRAAWPKIKERLMDKHEDP SVTAAIVNVVCELGWRRPQDFLPLAPRLFELLVDGGNNWMAIKLIKLFATLTPLEPRL VRKLLPPLTDLIRTTPAMSLLYECINGIIQGGILGDSEDDGREEIASLCVSKLRGMIT FNGDANLKYVALLAFNRIVVTHPFLVAQQEDVIMECIDSEDITIRIKALDLVQGMVSS DNLVSIVSRLMRQLKTSTNPNNDQLDDLSTDSSEEMGAERRSRKRDEAPPLPEDYTID VIGRILKMCSQNNYSSVVDFDWYIDVLTQLIRIAPASRKEVDSGGMALGPKSTTADIS ESIGNELRNVAVKVKAVRAAAVRAAELIIAKLSMETTSHQVISGSLKPVAWIAGEYAN LLSNPSDTLNHLLQLIPRIRPSEVLATCLQASMKLFALIAGNDQTYWTAERKSMVSLL MARVIHTYEPLVLHPSLEVQERAIEFTELLKLTAEAASAQASSTDELEQDPPLLLTQA IPSLFQGWELNSVAVGAQLNVPMPEGLDLDEPIHSNLSSLLAQADSLMLPIQEDDEFS AYYNEKAAPTSISSEPAINRLRDAPETTSGSYQQATEDSYLDADIIARRKAERAERNR DDPFYIGDLGSPSRSQGTSTPIHNILQNENGPDLDIDSIPIMKLDLGAISSSGVGGAP GTPQRSKAPKSRPQIVVAADETLVGSRPSTPRTGSQHDSDADPSSASAKSRAARKLKQ SLLQVDSSHLRNLSLEASHSEDSGGALPHEKLAETDAEMAKAMKEVQRLRLEMQRANE RIHVAQGVPTEGVVVKSKKKKEGKKAKSKSKSEEDGAGAGKDEKEKKVVKKKKKKAET DAAEGGEGEGGPVGGEGEGEAVIAVKKKEKEKVKKKKPKRIIQLGDEDDAGAGARAEA GEP NEUTE1DRAFT_77468 MVGTQDGATSPKSAHQAHQVPQVQDLESLSSSSGSDNSASSSSS SSGTGTPNVANSATILIATDHPDAPLPEITLVEALEADSSPPTPRFIQDAGSWKRWKW VPYPVRRSIVAVIRWAHGPATPQRFRIKPLFPNVQYAPILLLEKKFPRLRHRLWLLFF WIAIWIITFALVMRKELDVDEIPGWGRPVSIGCGAAYWNMDNGCGLNGMQCRPFTDSG FAFKCPANCASYQALNPRAVGDQEVVYRPLVVGGPPATGNDIIPVYRGDSYLCGSAVH AGVVSNAHGGCGVVRLIGTQRNFSSSYRNGIESIPFDSYFPLSFTFEEGVQCSAKDMR WALLAISVVFSSVLSVLITSPTLFFFPVFTGIYWTVGLATDPANITDVPSLISRELGS FLPAILVAWVMYDHMGIRRSLTGLTAQFEKTVLWLGGCWVGALTNYTFDFIPIQRLTP HDLQQQPGARAALAIIIVVLTIITASQVWFFRQEGRLVRYLKFYTLVGAGLILCVILP DLKLRIHHYILALLLLPGTSMQTRPSLLYQGLLVGLFINGIARWGWDPVLQTAYALQG DAQLGSPLPALAAPVISLGTNISSITFTWGKPPGRIYDGISVLVNDVERFRTYFSDDE FDKNAPSNFTWTREGEEVGRDNEYFRFAWMQGYKSEDYTKAGVWTREGEWIAMAPGPS RVKKRGSMGVVGEEEEGRKRLA NEUTE1DRAFT_120414 MSSDGHEPTAMTFELLKTAVKDGGARLGRLAFPGRRTVDTPNFF GLTSRGVIPHVTPDNVEKHLLTNGTYMALEDFIERPQQYMTRSPPVYETPSPNNKPLQ SFTAMPSSIITVLGSRRIPAVAAPMGNTNQSISVFTSTGFQAVTTKQYINAIQTLNPD IAIPLADLTHKTSAPTSKRALRMAERTDDWIKEWFMEMYGRNKEPSKIATFAPVLPIS YSIQWEYLERLSEEHQSNPSVLSGLAVYDADLIPDISSSYQNLDTLPRLALSTPSNPH QVLRQLSLGMDIFLLPFLSTASDAGIALSFTFPPPSSESSDLLPLGIDMSSPEHAISL QPLTESCTCYACTTHHRAFVQHLLCAREMLGWTLLQIHNHAVLASFFASIREALADGT FEEKARQFALRYEPEIPQGLGERPRARGYHFKSEGPGEQKRNRPAWSKLDGEKKIEDK VADLRIEDEQNGTSGLGSGMDSVAETPLVPDENADAKDLEEMGFAEKAENK NEUTE1DRAFT_120415 MSPTRIPQPEDFNPLKPQLSLSLHYPSPPESTTAILLLFHGLGD SDTPFLSFARNLSLPGVLAISVRGIAPLPHSLLGLPLDSGPTNNFHWGDDLKLDGRTG EIDMDPGYDKVWELVMGKLIGEVLMKECGWELSDILLFGFGQGGGVALGLGSKVRTWE GVGVGEDEAKVREVKEGEEVKEGVVDERKEKEKAFKGIVSIGGALPPSMIPSISAREK AKTPVLVLHGSESEYVGEDEVDLIKREFENVKVVEWKRPDDGMPRSREEVLPMMEFFA ERLKSGWP NEUTE1DRAFT_58796 MAQKSSHTPVGETATHVVPYPAAKVPSEGGSGHDAHQLEEGHVP MPEEIQYDTARVEKIYRKLDFRIIPAFWVLYFLCSAIRSNIGIAQTMNKNVHHDLVSV LGLTPRDLSTALALFYVAYVLFDLPSNLIMSRLSPRAWMARIVFAVGIIGCCFTAVKA PWSVKFLRFLLGFVIAGMWPGMSYYLTLFYPPSRTGKRIGMYFTAAQLSAAVVGLVSA GFQEMDGLRGLTGFQWMFLVYGLLGIVLGIALLFWLPDRPLAPGEKRVRHKYLSWLPT SPEALTGEDALIHYQDLKRVYHSRPWNMKDLWYVLIDWRMWPLVLMYFGVVGVGIGTQ LYGSVIIASINPNFSGITVSLLFAPIWMMDFLAILISTPLADRFHKYRWVFFCAGCVI QIVGLIIVTFALSNDWARYGGLLIIGFGLGPTVPNCMAWTNEIFQKRHGEVGVAAASA LVSGLGNLGSITTTYALYTGWPEDAKKGPHQYRKSNYTMIGILAVSIASTILLAVLLR IFGTEPSRRFVANSGSSDGEEIIDGAALRERQMRGFGGVLGRKKN NEUTE1DRAFT_77478 MADLLLPEPFASIPREPLTFGPSPIQPLPRISQALGGRVNVFAK REDLNSGLAFGGNKTRKLEYLVPDAISQGCNTLVSIGGIQSNHTRQVAAAASKLGLHA ALVQEHWVPDWTDPGYDKVGNIQLSRLMGADVRLEPLAGFGIEHKQTLAKLKDDLEKE GRKPYYIPAGASDHPLGGLGFARWAFEVVAQEEELGIYFDTVVVCAVTGSTMAGMVAG FKLVEKLERHSEKLGKVNKRRVIGIDASAKVEQTRAQVLRIAKQTAAKIGLEEEDITE EDVVLDDRYHAGVYGVPDERTIEAIKFGARTEAFITDPVYEGKSLAGMMDMIKNGEID AGSNVLYAHLGGQLALNAYSALGLE NEUTE1DRAFT_120418 MASSSDQTMSRPESAIISPSIKTHSRSRALSISSDKPSTIATSF MSPPLTVSPEAAFIAASAASQIITNDHDSHADAWLDQHGIEPSSEPAVVSPAALQLVN NFLDHLLFNFISVARSATLAALRAAITEVLKPKLAKDVISQADEELQEYMGDTEEDIP DPSATKDWDLELIWKQTRLRCMVYSSLGDLEEEDEDYYMEKELLNSRQEDQSSELVSS AASVFLTSIIEYLGEQALTIAGQAAFQRIRVKYEKELKEGLRKHGVAAEQIVVQEHDM ERVALDRTLGRLWRTWKKKIRSPLITNLDRGLSHSFSRDSMRSSRGTPTHLRSSSVTE TPVPETIPEPTNGTGAKADANETGTKETAKEETVEEYLIAAAIPLPMGENDVEEIEGI SLLARSQSRDGAKERAARKRAVRPKSLMVWPVTKPANPPTPTLSPSHTPLSASKKRSN SLPTPIATPSGSPVRADPQASELAPLPSAVERNFEQSRTSDDTQPVRDEKSDNLKGAE MSRVGASLVGAPASSQQAETKPRTQNSGNSGTEDEVKVSDESEYDDEFMDEEPQILTS SRISISGRSSSPAVSEQGRPAPIITTLGRTRTPSIYSARLIEVTSPRSPGTAARNSPI LDSSEHMRLSRSSSLRTASITEERLRHSPDSASGARISGHGGNKSALSAQVAPENVSP VTDDGVLEAIPEPPSPDELEGHDLSRGSNPFVGSIVERGSPGSSPIRSTPKITTPTSL AGTFYFEDRPKPPPKHHAQSRPRVSVVPEMPDRSSIRRSPSNHSTDDRPTSIASSISS TSTRSTNKLRLVRTSEEGSTAGQSRQDVARNFEELIQSDQTIQFTLTPESMRDIDKQS QSTRSAGSAILSVKTRKSQDSRRDSDRSRSSSSNRKKDAKKDKGAHSQHPLPESNRNS NHSTASGPILHAIPQPPRAHPPQARDPRIARESVADFADFIRATGPTGINTAAMNRSS GQQSGLRNVSGPVSPPYVPLITEAPRPLTARPLTARDPHTEADDNSDLIDFIRRGPPT AGNPRIPQAVAPLRSTPDSDQMSTLEAGGKAADAQLHDVDVRNSQASTDLASNSMHSL QSSVNSQSALLSKNKSQPTTATGRFEGIEEDDVVMPMPSAMPQRKRRGPRDPYAIDLT DEEDFDEDDDYPVKPKRPPTNEESLMDFLRNVPPPPEPTVSRVVTSPKQPQPKKKSSA TSLMSRFTRRENKSNAPPSSSGSMSPQSPARPIESRGLSRRASASSGRGYTPIQVHMP PGVDRYAPSSVNHNPNMPMSRGRGMSQSSGITAPRVATKRFEPRDAYVAPTSELSDLA SFLKNSTPPPSMGAAAPFDFGGPPPPTASATGSRGGDGAGDSNSFSRVFSRRSRKASI V NEUTE1DRAFT_34266 KLRNTTDLLRITAPANLVDQQTPSLQKQGPKHRDPRRHRSQDKR TSHIPLRATHLSTGPAAFNRSGQLPQREESPYQEDQYQSSPSFRSTPFRSSRGTGAGA GVGLGLSSGFTSSLRGGAGGPSEPRSGDGSHDDLPQRSSSSSTVIPSLPPKPKPTSIP ETLWSLQIPLHITHQSHPKTPYICSVPRFSYLALLLPRLTAYYGTPCSSFHHEEVHLR NFAVGLLVDLYQPSELPWRLTVADGMEWDICDTFMNSAKEVNDYQAFTKVNTHLLNAP TALKNVPIRIYIPSSPPPPSSDQQQPQRPGGSSSSGSYRVMQTLVPPRGSNNRTPQTL GQALKSLLPALFPSSRDPVLANVILHGAPVPFSAPLEELMRDAAYPDGWLCLIVVLL NEUTE1DRAFT_120420 MDPGPSDPKRPRLSTTSSWSVGGAHYGVSLLHPTPSPTAGQHHT QHPHHPNHPTPSPQYQQTSPHPFSRPPGPGDPGAAPAVSHPHPPPPPPPPPPAPSQQG PVDDRRHHEPDRYPPMQDHRQHHPSSQPPPAHHQYNSGYHPPPREVVVKQDPADDSNT PPHLRRPNSTGHAPDGMTPGTPMSALPHQGYPDDKRHMSYDNGPQQNMYRQPSFPPQT PMAHGQPYDYPPHYGHHGDLPYIQLAPAAGKRKAQRAAQACDMCRQLKAKCDELKPCK SCRDKKVECKYREAVPKQQDKVAADILENLMGLRNEFSMFNHRITRLEKRLERVAPPL EAHPEMMSSDDDRPASADSAVIEDGYNSSSPGGSENAARTQAPIDTQEARNITKIMDE EREQEPGPFREQEPGPFVRPGAPAIPLNHTTLAALLLKWKSIKRLAQRFLDAENIKFV EEYPIRQEERRGLLRLWGRGEGLDSSRMDRESNHNLGMMEVIDDYSETGAPSPADCWG GISGSPGPMDHRSTMVGVQTLDFSEETVWKYVQSYLDNIQNMHPLIIPRELHAMVRLF LDGIQQSTKKPKGSAGIAKFVGAPPLSVQGETGAKRKRSPVPDGADSPTMLPRSGKPT FQRSINNALVLLVLALGKICLIKDRKLPDVVPVSEPSHGSPMVRNGYPASPIHGSPPS YSSQSHSAGLPSPKDSADRSGPSRRQSFQGGGAPAMRVGSLKRNMDVIPGLDYFAYAT DILGGQLAGTSLRHIHAYILAGLYHGQLGRVMESYAYIKEAGWALQIKMRPDIVAELP LPQSHILAFEEIMPYPNINLAKTHGFEEHVLQSYLAQLYLRKSLNQIHQMLYNPEDPR SLRAQNGTPPGNIIEVITKSLDLRFVPPEYKFTHEDPPAGDLLSARLRAKYWGAQVIT YRPYIRQILESNMAQMATAESPMPASTPSEAMTPLDTQMHSVNGEITQDTIEYAKKGI RALIESTRAFHGLPDKRFVVTNIFGTAHAQWGNLLTLAAVFRDPTLHPHVDEQTLKEL FSRTIAFFRIIAQPTSALAVDMRILEGLERELWHKPNSVDMLMGNNHHVTSFVSTPIP GPPPPPSGPRPATHSAPPLGPPGPSPQMPRSPIPPMQHNMHGPGGPDGMMPPLPPIHQ HQHTHPL NEUTE1DRAFT_94000 QRAKNYPLSSSSYSQVILYLVVFFYAAQSSNVLNEGDSAKAAGG SQDESR NEUTE1DRAFT_107936 MAIITWASTLEIEHDAKYPSQYHSTRCFQVALHDPHTTKALGVS AGGSGWLAACNAESETGIVHACAVLYRVLFRRRIDCVLSYGAVQSTAYGVHMSSRRGT GSPSAPVHQQQILEDVGGMAERATILTLLPPSPGPASSPLSCRDADEGGDMQPHEPHL SHLSSLWHLSHTRSTGTDVATITTITTITTITTTTTTRCALPIVGQQPSVSRQNESQL SSGLIMSLLTKTNSGISDVEKRDLSDAVSLAAILAGSREWDDDEATTP NEUTE1DRAFT_36151 SIPYLLGGIIDHENHRSSIFEPEPSCRPEQRAGAVSRSKSELIT RIADLTVSQLDPENLIEANRQAKRSEARLRRCRAGTVRMASAFVLAFWRW NEUTE1DRAFT_94001 MQRCGAEVFPHTFLLSLPFPHAPIPINALYALYQCLGGQEGERR RLSLVHSFEPYV NEUTE1DRAFT_77490 MTSSPAPSDYSDEELRPSRKESRGRELNVYDAVAGASRRNDSSS KRPNRSSGLHSSRDPRYAPEEVLFRRKGAPVRYEENDIYWASEHLPDGGHHLLPDSDL LKSIHGYTSNYYDAMALRLGPRCVIGSRTIDERSMDETALLAFGILLEEASREAMGKR GDLVLTEPFTESKLPLADERTLDTPAVVDQQPVADGDQGATPEKKRRKKKRKIRRDDD VTAAEI NEUTE1DRAFT_120422 MAESGRQPRLLAGVRVGGLGRVTNPESTLQPAFLWAHQAGPHWS TNTARLVGTFELGAKVSSHATSPIAFHLLVACGTQRLGARLVDLPSSAAVQSLVSHGQ INGSAAANLAVTWSLVHDHIVASGSADGVARLWNIRRHTKVHNGPVNGLTWTDDGAYI VSAGHNRQFSLATTIRNDYNGHMTMFVSPSRLTPRKKEFLFYPNKNGMLVTGLHEGTL IIRLRGMGPAVAATRQRGERTTRNFLSSTNVADGICSGHSDGHIRAWTPQLEALDKED ESTSIEEATGARVKKRKVLDDVFRTLMGRQVSFS NEUTE1DRAFT_98575 MELAIKPPATNVVNSEKNEKLLGTPLLAEPVLFIVDKKLHLAVP YAMQAGTRQGSGWRNETLPVPAMS NEUTE1DRAFT_135397 MTSSEPITLTYLTVDVFTSTRYLGNPLAVVLIPASLRPHLTQDV KQRIAREFNFSETVFLHTLDDEARDGLSVTSREIDIFTIEEELPFAGHPTVGTAYLVL NHLGWKHVDTLVTKAGPIAIRPLEGEGERVKATIPHAVHVHSQTLGGILGSGEVKPET ETMIKASLSDDPYAIYPPTHYGPSGPYPFLLFGSLNEAEKRCIKFPKSWESPVASESG GTSKFKRCEEQVP NEUTE1DRAFT_116108 MLDEKMEKLTHLIIVFFGTRYEGLEDCSKLSTSSQHILPTQLLQ KNFDNHAVPVIGCRLQRTGNSLTYDGRVSALT NEUTE1DRAFT_144641 MKPLDRHEGDSDSDDTNSQPDQSDRSSSDSASEGGGDPVYFPEE ETPVPQASTDPTTLWQNITNDPDLRKLLKDWDEVEKTGKLEKDNSQNQPAELATPDQA KLEALRHEKEEFYRPEITKCIQQSFIHTVMEHATATTLQMTLFLLGLILEQEPDQICS SRHAIPILHQAIDVEVNKEPVRFRGFTSRICKLVDDSNRSAAIAMRNAKEENCLHLVV RHNIHDAKGIISSVSPNSQPNAFTQRRKSAAKLENGNTPLHDALHFERLGRRTIPCQT KELKQSDWCDECKRAAKANKYLPEFKGYYHGIIKELVKGYPDVLKQHNSAGHPPYTFH LETRTQSVESLKRLSQDPPTATTTLTNSKNKGADGQASRPKAKGKSGNDFKLGPRANS WRLDKPPEKDHPNEPINSKTRTEEKHMGTTAKDPNADEDGQKESEGAIEKHKEPWQYD SGSLENSGRIVSYLENNIHDMSGGYEDMIRCFFQDTDTRKTEAQNKQSRPKDCVFRLP TRVTHRTTNNYDFLKFESRLALVSLSLRPELLDSSSNVVQSKDQLKTIADNDAANIIK VFRWLKDKGVTKILKLVVDDNPDRVCSEEAIEKCLECMDEIRFLDWDRPDLAVPTIKK ARRLIELSLYSTGTNAVLHHWSDQNGLVQLPKLRKIVVRAEEGLETPQRNIDNLDKFK KRLKKRFKTDKGQEIDVVIDKTDMEGSGNTPSGKDKSQGSPTKASKHAGFEAAGKFAL ALKNMQRAISTGRKVKVALMDDGVNPEYSDLGTHLACNGFPQSSLDGRLAPFYTSTKG HGSKMAWIISSLCPFVEILVAKIDNQDHPGDLPNPELEVDQAVSAVRWALDEGADIIS MSWNFKVTPEVIDEAELLRKMLDKSTAVVYCAARDNRGSDPADTRYYPAECETSQKES LRKIGAATTYNLPQKYVTPDKTDYIFPSENVLPGAADSDGGNSVATAVAAGVAALVLH CLREDKALDRLVKSVTPAKLLNKVFTKLQDSGTKYVDLAELFTCKTGEVPNPRLLVNR VLVHAGLIHPDVESSVLRETSHNKTDFSSYLG NEUTE1DRAFT_144642 MDNIDNFTFDIPLCASNDEAAGFKIRNKGPYQVNRQYVTGSDRK GTTVVRGRLVHVVHGFHSISFPSHLRLQPDDYFRKPGLANGNRTPCTLIVLEWNMTPG SVETRHRFRAVRISLVFFASDSRPGVPPGGNLSAWDPVPKRIVPSEEDPKLSRFTPVS AVSSSELSFGPTVGYDGIASVNAEYSKGRSVAVQYTASALITGKICHEGRVSGDPNAV QFVFLENKDAKTGVPPVVRTAILLRREDIDLKGRFAMTVEVSTDVNWWQDSKEKMRRA VGARLLDDPVNFDPSDPYVLQNGEKVERKNCKIRGVDWRRLGEVNLNQFLVDYSGPPP SSGEDDDSVSDASDESAVWEDAQESL NEUTE1DRAFT_128056 MYPERQIRTIPKPCKKEPLTGSTFPRRSRHREPPTVQEALKELL KTANDLIENKDPNRVKFLRRDILDFVNNTHLAKKSEGIASSAAAQGQTLRDEISGGAY GTAGDVEAEGETQCGGVSMRDEEQARKEASQIDASNCGDRFDWGSGGPPGPTC NEUTE1DRAFT_128057 MTDRPQSEIIREHPIGTGLDAFRASFESVCKDRGISCPALDAVS QLGQQDLQNLAFRLLNTLQILPITSLLRSNTGRGTLQIDLLKRIPALISDDLYNFDSD QFEPLFNAVITNKPDDEIWRQVYCAVTEATPPPRPIVFSFLQTPKSFNTNSFANSSEY RRHVDMVLKDELGAMYVDLPNFHNIFFGRVAGLKTASEAVFNKCMEGSEPLFRTGWRG WPTDANQDRVLAWLAEVSEKLAMFAEEYTPTPTPQRRLLVQPNKPILGSKAERKLDVG FVDDSKGGKDTQWNWSHVLVPGELKSNRSADIASNAWLDLGKYAREVFAAQDTQNSRR FVLGFTICGSLMRLWEFDRLGAIASKQFDINNEGLQFVSTVLAFLWMSEEELGFDPSI IKEDDKQFVQIKRNGSTERLIINEVMLRARCISGRATTCWKAHPEGDPQTPLVIKDSW QYPERDEEGELLRDATDKGVVNVARYYHYETVQVRGTDDDVRSNVRGGMDVSTAKNYR PEHSTPSTRTATASASRKGRSTSIAGKKRSSSQTDAALPSSKRSYTVSPTSDALPNRV HRRVILRDYGQPIYKASSRAALLAALKGCIDGHESLWRKAGLLHRDISINNLIINEDD NNPSWPSFLIDLDLAVRKERESASGAKGMTGTRAFMAIGVLLGEQHSFMHDLESFFWV LFWICVHYDGPNESRVIEEFDQWNYIRPVMLADLKKAKVDDEGDFIKSAQENFTLYYQ PLIPWVNKLRREVFPNGGRWKKENEGLYDRMRGILGEAREDPEVLRRDSFAN NEUTE1DRAFT_144645 MSLISSGHVDQAAIISAAGDSTWAVSPGFTVSADEMKSIAALFT LADACSLHVGGQRYIVFRGEDRSVYGRQGKTGIVIAKTKQAILIAHHDENTQAGNAAA TVEALADYLVELDY NEUTE1DRAFT_58823 MSTLLVNKPLLGPLVGLNVWTFAMETLLYIRRTPALSKYGVTFD PNTVKQQKAEKLPPFVQWPADNFNNLLEQPTQFYAVLLALSLMDVKDKTTVRLAWGYV GLRVLHSLIHVTTNNVLLRFPVFATSSVVLLGMTAKAAWELFF NEUTE1DRAFT_58827 MSSIINKIKEAVHSDNSAHHGAPEGTAGPHNSRVANAADPRVDS DMDGSHRKVASHGEHGSGHAAYGAGHPEAHTLGGNPTYSGSNTTGTHVGTHTGAHTGT THGTSEGAYGPHGSRAANALDPRVDSDRDGSRTAGTGAGYNTHSTGNTFGSTGTHGTH TTGNTFGSSNTHGTSEGAYGPHSSRGANALDPRVDSDRDGSRTAGNTTGTHNTGTFGT IGTHDRQNHPFSSNTHSNVAGSGHTGMTGTHGAPTGTHGPHDSRAANTLDPRVDSDRD GRANLGHHSGPGPAPNTAGPHKSDMVNKLDPRVDSDLDGSKTVGGNKTYQQ NEUTE1DRAFT_135406 MILVDIISIILRLAELAFATIVAALNGRFLHAARGNSPWDLGRH IYTEVVAGLSILFAIVWLFPFSSSFIHWPMDLVISVMWFVSFGLLVNWLHGVCGYVFD WDNVGFDGVGCGEWKATVAFAFLSAICWLVSALVGLYWVRRHTPAPVDAGYRRRRWYR SRV NEUTE1DRAFT_77510 MDLISSCFGGRTRRADEEEPLLSHYDDDTVLQRKLHQKLHTYQM LRALSKGYMPSNDQAIANLRTLLSSDILNPDNSGLSDSGRALVFYSRKWITQLIQLLE HKNSQDQIQDFLWYLSKARVSVDMEQIAERATKAKAKADTAAVYKSIQTVGSLLLTNS DFRLFLSDLGVVSREVFRDTAFALSAASKEAGERLEPWKDERESIAKPGNDETADKPV QQDLEAEVAEVGQVLGDTVATVAQEAESSIINKLHSDETDTLLYRLKQAVTKLRDRRD YSDSVSTFSLLLKRYAMVYSRIARDTLQTTEEAVDHNPEMDKALENFWLFIKSFGEQK EWEELEKRFKLVMDHAHSDPEFEKLINELGNAAQEMFTDPSFFDHAEERFQELRDRSQ QLTSRSSLRDDIDGLLSQLQSTFHSVMRDRDILGLLQTTAAIGKILSPKQHYINTDLV TDCINVFVPLLIQAINYIPIPRLEIATPEIDILLENLILEPGKTINHTSFFPYKLRIE TLNDIEIRKARFRTTSAMKSLVRIKIDGLSVRAEEVGFWMKVHTGLLRYVDEGIASFA MDERGMDLEIDVEVGRDRMDKILSLRSVRCEIHKLDFTLRQSKFSWLAWLFKPFVKPV IKKTIEMQIAMGIAQSLQFANREILFARERLRATRIADPDDLKTFFKAVLARLTPPDD PDVNIRLGITDPGRGVFDGVYAPGSIVKLWNEEATQASQRIRENDQDGWRNSVFDVHT TLMT NEUTE1DRAFT_77514 MEGGLKRKDTTKGPPLRILSLDGGGVRGYSMFLIIQELMHRTFV EIEGRAPRRSEIPKPCDHFDLIVGTGTGGLIAIMLGRLRLDLETCKELYVRLTRKVFE TDKTIAGIPYRSTIFKATKLEEAIQDCVREHTINDREGNDGAVSDTFSPLSAVSRSSA ASSYPRRHASNASVVSFSARSPSAQSAKPAFSSRWGNPHARLYDERENRTKTAVTAMY KGSPRNAKPAVLRSYDSRKEPAPEFDCTIWQAGRATCAIGLAFKPIQIGQSIFHDDGA GVFNPSMYALDEAVVNEWPGREVGVFVSVGTGKRPKGSDMNSTLWYEGFMGEFADARR KLIAKIEGCEKIHEMMKKEHLVKRGVNIENYYRFNVEVGVGEFGMNEWHRLSDISTNT RRYLQRDDEQRMVQGASAKLAKIHFAKQRWERANSNSTAPGPIPELIKTTPSISMPLA VELPGDMPIYPPQRLGTPPSRQSYESGFDALSVPGHSANGINTPSPRSSAERVHSAKS SSSHLAPSRPAPAVPPPASFGTDDSDKLVAIAPTPAQWRNASGQDKIAIVTEDDQPRH PWYPGYQNQQYQQHFQYPPRIEPPPLPPKTPLSSADGLISPISLNGRQNTLPAAYVPY PIDDDEEPPVVNMARKPNYNVR NEUTE1DRAFT_116116 MGSESWKRDWSSDSALVRDRHWNSASRVCYDASTTRLQQNAGRS TSRRIWLQ NEUTE1DRAFT_58836 MFIDLIGNMKASIDSNPDAANPYIQHYIPFCLRSPLLTRVAICT AAGWLHVAGIIDTTVAMAHKGQAIALLNEHIKSSLVAGDEGIAGVVQLIINEWYWGEK QSLHAHMGGLCEMIKLRGGFRTLGLNGLISKLAITSDVGIALCFEIPPSLRGGPEFDF QDSTQPPLQLALNTPLIPMISSGSLPHFASCHEEFDIDPTTASILDDMRFLVCTVLGL PENASPTELQKLHHTAAWTHDQMLRLSTEGPVTRRPSSRPANAPSPAVDMTLESRTRG VVEGNNGLTAAASPTTQNTQQFSAYGLGVQQQATGRSSEVSAGEMPKERKGSLGGDLA VQPSPEGPDYVYQAIRISAILYTRAIMTRRPFSEVVSMQDFLELWTTAWRVPLAKWRC LLGVFNWMLLPLISSVKNTGHDLWVKSMMNTTLLQMGMGHWEIAHSAMDAAMRLQRWL KGDSVNLKENTGSSNMEPGSSRSRSGSARNELGKEFDERRGSDDKSKTTRLAKGKGKE AEPR NEUTE1DRAFT_120433 MDPPSKRRRLAPKVSDAPAQSAVPSTSPPQPQPQAQAQVQAQGQ PAAQSFPQDQQHFPPAEPLVSPAQQAAQSAPLTERGEFEAYARHLQDAAMYIKMAKEQ LVPHRSVSVLMLRWEEDLSVEADMLLLEKVFRDRYNYSTEKWVIPTVPNPSIKLSYQM ASFLENAGPDHLLIVYYAGHGFVGQDNHLYWACNARDDAARLKWDGVRCLFEDAQPEI LLLLDTCAIKDSPPAGSHGTKQAIAAHTPEQSSREAGPRSFTATLADALAKLASGRPF SVQKLLDEIITLRQHESAQAIAKMVNGTAKLAPPERVPVTFTLTPAKGRDIVLGPLKK EVAQLQSPPQSADVDGDAWRSTRDDQPLSATEVADLTFEESSRVLVCTTFVGDASADM GFFHQWLHNPPSVASKITVEGMFLGPPTMLLISMPLSIWNIVQHDKVCCFLGYISSHN MIHLYQRLVKSAANGQPMTKDFEDGRILLEAREAAAVSPSLNRRDHTSHYSSLTQENQ PRQEHRVPLPTPNGAVPVTPGPPVQTPGPKAKDDAEDSDEMKEAAEQLKMLSDLHAQS NRVGDSIAVRHPEEPDASEVNDAVMDEIHYGGVPYSTPRAKIGGVNGKIPSESPRITT GRRPLQKQVSKQETRCSHCSHAPFKDSSSLRKHIAAAHTRPFPCAFSFAGCASTFGSK NEWKRHIASQHLCLQYYRCSACPQSSADGKGNEFNRKDLFTQHLRRMHAPPILKKPGS KPEAGLLAEWEAHVKEMQASCLVTRRQPPQRSSCPKPDCKSVFEGAGSWDEWTEHVGR HMEKGEADRMGVDPLLAQWALDEGIIERIEDDTYRLCPQNGSAMPADRNANQTSTAAE STKKEDEDPSITVALSAPDSDGMDVD NEUTE1DRAFT_77521 MLAGSRLAALGLLASLCSAALPQAIRAINNGPLAKCPGYKATNV KTNANGLTAELKLAGKACNTYGTDLDNLVLEVTYETDNRLHVKIQDAANDVYQIPESI FPRPQAAQGANSKKSALKFTYKTSPFSFAVTRAKTGEVLFDTSVASLVFESQYLRLRT KLPNNPNLYGLGEHSDSFRLNTTNYIRTFWSQDAYSTPNGANLYGNHPVYYEHRKSGS HGVLFLNSNGMDVVIDKDSRSGQYLEYNSLGGVVDFYFVAGPSPIEVAKQYAEITKLP AMMPYWGFGLHQCRYGYQDAFEVAEVVYNYSKASIPLETMWTDIDYMDRRRVFTLDPQ RFPLSTMRQLIGYLHENDQKYIVMVDPAVSAAEGPENPALTKGIEENVFLKRNDSSIY KGVVWPGVSVFPDWFSANISRYWDSQFTEFFSASSGINIDGLWIDMNEPSNFPCFFPC NDPEKSAIGYPPEPPAVRTPPRELPGWPCVFQPEGTDCKEKKDLTIEAPVKSDVLIAK REETVEASITAGKQLGLPGRDFLFPKYSIHNKAAYMDSWNADKGGLSNKTVNTDTIHQ NGLAEYDVHNLYGTMMSIQSRGAMLARRPGLRPFIITRSTFAGAGHSVGKWLGDNIAD WQHYRESIYGMMAFASIYQIPMVGADVCGFGGNTTESLCARWAMLGAFSPFYRNHNEY LPSISQEFYRWEIVAEAARKAIDIRYRLLDYIYTAQYKQSVDGTPMINPMFYLYPNDA NTFGLQHQYFYGPGLLVTPVTEENSTSVDVYLPNDTFYDWYTLDVVHGKGRTVTVKDQ SLTDIPLYLRGGVIVPLRAKSALTTTELRKQDFELIIAVGKDLTAEGELYLDDGVSLE QQGVTNIKFKYALGVLTVNGDFGFKTDVKITNITVVGALGKKSVDVNIPLTQACTAVL NEUTE1DRAFT_116120 MQLLAIVFLPVVLFATQAAAATWYFLRYNTPSGAKFTAFSGQMV VPTLPKAAVYYLWPGLQPTDNSGVYQNVLDGRSGTWWFGSGWCCSNPSLPWGGGFNTY AGETISFSNVLNSDGSGWTTTTKRGTTGSPVTNTFALAGKSFNQAIFAIELYDVAWNF GPLTFKNVKIVATGSTSTAWCTGNPANYNSATKYTISGARASVSGTTVTCTIDSVVLQ GPA NEUTE1DRAFT_116121 MKQLIFRQVACNCSSTYSSAFSHPSPMLCFLLLWLRTNTYLLLL LPPSRPPPWSHVLMSAYARPVSR NEUTE1DRAFT_77525 MSSEGAAAKRQRSNKDVPYNLIYWPGIPGRGEFIRLTLEEAGAE YVDTAQVEGGVDEVMAYVKGEKPDDKTNPPIFAPPILKHGDLVISQTPNILLYLGPRL GLVPGVEDDPDALYKVNELALTALDGLSNEPHDCHHPIASELYYEDQKEEAKRKVEHY VKTRLPKFLGYFERVLNSKASGEGPYLYAGKLSYADLVLFQCLDGLKFMFPKAMAKLN KEGKHSKLFELYDAVGQRPKIKEYLGGPRRQKYSSGLYRYYEEFDIEG NEUTE1DRAFT_144655 MSLPSTYRRSESSMLEHQTWCNRGFEPTETSLANMVKAQGLVQK FKVTHLLSYLGRLNPIPIGVSKTDVVWLFDNVAFRGPNGSWQAEFVTAVFDARISTKF VEAVGDIAEKVGLSKGDKQEKTIEQRITPFLMEVLPGRRVDIKFGESTELRLGPGGRN GISSELKKLPDSSARSVSKSVAEVPSGAGGLLEMTTFYTEPEGWAVISDIDDTIKITQ TSDPVGILRSTFVAEPTPIAGMPELYWQIKDIVTPSAPWFYLSASPYNLYPFLRDFTH RYYPRGQLVLRDASWMTIPGLLSNLTLGTQRYKVDRMKKLYEWLPKKKMICIGDSTQS DPEAYGEMYRKHPEWIKLILIRKVADIAAIGTEAKNAAKRFEDAFKGVPREVWHVFED PAECREQVQRVVG NEUTE1DRAFT_34252 ITKSEEMLCVFERRNFVGKNGIYDIRCHQLHGPTFPWFLGVCRS GVVCGGLPILIWKSAEE NEUTE1DRAFT_35683 FPILLIKKLSSSIRIYIDYHSRGINNTILKIYYPLLLIKRILNA IYKVKIFTKLNIFIAFNRIRIYERYK NEUTE1DRAFT_35188 VIRVTPTSIVADNINSSILYSILRLPISKNNTILLDLSPNNLAS LQLKLYYLFYLIIDEKSMIGLKIIYYLD NEUTE1DRAFT_135422 MKAKIAEHFTPNKIVAIGIAQRKAKTTRLGYLTPSKEPETVGFD EEFVDEDDIIEG NEUTE1DRAFT_35094 MTKLEGMLCAFKRRNFAGKNGIYNVRCHQLHGPTFPGFWVRVGY RVGAPRFTLNNSLL NEUTE1DRAFT_36522 GISRAFERRNFAGKNRNFNIRCHQLNRPIFLGGGSPQYRSYIYY YFYYSRYNLRYYHYR NEUTE1DRAFT_107957 MPAFVFRVRYWEARVREERVKEERVREEAIELLSTSSIFSKLSK FSKLSKLPELLLNISSELLNFDPAHNMRNHDFYTQNGIFYMTAATWVEWPISATCHGA SGQDQKLGFAKSAKAGSLQEKV NEUTE1DRAFT_107958 MYFEYKELRKEYIEPIISIGTGTGTGTGTGTGTGTGTGTGTGTG TGTGTGTGIGTGTRVLIIETINSRDVECIPSILSTLRYYTFGIGNYVRYRRPGAGDDN IGHRFRGGVTNTGVWRRPPGSAGIGPGGVGGRTVYVRLRSADGKLMVSGSGGSAISIY YESYVIKGIASFISLT NEUTE1DRAFT_35008 DPPKLLALRISKGVIKIKDYNRKVKILIDRFFLKVDIPIEYSIN WIILINSVNLIVISKLVIKEVI NEUTE1DRAFT_98592 MVKVVNQTFMEVSQIARCLRLVKVVECKRGCAMGMTKFFHEALH VLLGVPSSFPRWLGQLLAEHEPCLLGAGEQERRNSAPMHMPLIAGFDSWWRADYVAKH APCDTTHAVAHFAVGSVRPDHNAAPLHASVGTRDHDPLFSHLDSFHHFAGEKMLSDLI RKSTIQQLHQLGSMHHNPSCSMATSSSLGVSCICPGVTQTARFRCLTIQSTTHLQELQ SVRHDGDSGPSCFGYSKGIVAFEQYEIDASSIEVIDIIEGRQDKDDW NEUTE1DRAFT_77530 MSSSDVQRPLRGHAIQDSDNARLESLNEALSTQKYLAGFHGDTL IGNKVVSKVGLLDDLKSILELKNVPSIVAVLVNYLKERRLDIKAGASLLAAVSNNSVA RADIIEKQVKIKYDRMLHPPLSYLGDAFKYRTADGKFNSAINPHLGQAGAPYAKTVPA KTHPLGALPDPGDLFDQLMAREENGRPSQSGLSSMLIYHATIIIHDLFRTNDNDKNIS DTSSYLDLSPLYGFTEEMQRKVRDETYGLGLLKPDTFAEDRLLRQPPGVCIMLVMYNR YHNYAATQLRRINENGRFSVPREYREAKFIAAAKYFDKDSLLENIDNQEILKRFIYAN EVFESNGRVRTKEYQDAENALDIFINKVQPDEKQVKAFKDSYDAAWKKLDDDLFHTAR LITCGLYIQVSIHDYLRALMGFHQYDTNFTLDPRVNQSEAKEVSRGLGNQVTVEFNLL YRFHCAISRGDEEYLENYLEEYFEKHSRSDWNPKEMGLQEFLMEMGQARERRKNDPPV NPCDIEFGLKNKDEDEARKKMAFKRDPITKLFNDEQMVDHLTKVMDEPISNFGPRNVP RSLKAVEVMGILQARKWGVGTLNDFREFFGMKRHKTFESVSGCVEVQNALRDLYEHPD KIEFYPGVFCESDASQSADPGPSDVDSALWAAIFSDAITLVRSDRFYTLDWNTNSLTS WGMKEVTPDNDVLKSSVFHRLLQRSFPEWFPSTSVRFFHPFYTAQKNAEYATAQGYDK DFAIKHTVEKSERNWSGPATVTKTFDTSASNPRKPVEPKILTDPCQIMAVLTDDTDNI VHPVRLEPEILPAKVAEVLAVREQEDTANGRKSHGEHRKEIDTDTDDLMTYFTNLMRD IIRRGSVVMKPGQKNSEVDPIYQLDVTRDFAIPVVTRYVADFLGFGHLVKSEKNLHAK YSENEIYQHITNCHLYFSYNADETKLPKRRKMFKDSISFLFDLTLNEGNVAEVKKFRL TRALQSVGSWLWRSAAGDNNPMSELGLEVSQQVLQQQKGDTGRVAAILLLLALDSSYT SVLAFTSVLDSFINGLYTLAAKEPNALSGVTENKSCDWRDVQRLACAEFLPDVPTNTF DDIKKIVLKAQRESMKLPILRKARITCVVKGSEPVLTVEKDQLIICDINPGDQEYEDD VPTYHTSFTERFTEYHPKNLAENSLTAMIKVLAQLKDLRRGNDTQGHLKKIGLDSSYA TYTNFMAPQRIKHIKTQVRAKIAEAEEADDLTTADKLKKTYHLDRLQCPATDTYMTPE WDEMIPFPTTWKVRFNGYGESTYGGEKLPLLQSPLVPDDFPPFYELPGGPSRSGGSFG EPIHPYPSKPQEKSTSREEHGEHVLYMDRGSDAGDSAIDIDEGCPFGGIPEIVIKPWK PSPRRTYLFKRANLVDTAQGTIISNVNIKIADGVIKLIEKNDPRITSLAHHLRGEDDS GLVIEVDLEGKFLCPGLIDCHVHVGAVPGELSLSSTAAISDPAISLLRQPFVCSQMLS RGFTTVRDTGGATLALKEAIEEGVFPGPRLFICNRALSQTGGHGDLRGAHDHKNRGGG GGGGGEGCCGGSAGQLAVVCDGVPECIRATREQLRTGADFIKIMVGGGVASPTDRLTN TQFTAAEVRAICDVAESYGTYVTAHAYTPKAIRHAVDNGVKGIEHGNLIDEATARYMA ERGIWLTPTLVTYDAMASDEYAGFLPPENQRKNEEVLRQGLKSLTIAEKAGVNMCYGS DLLGPLTREQSKEFGIRSVILKSKQVLQSATVNAAKMLGQESFLGQLKPGYASDILIL DVNPFNDVAMLDEPKKHVLAVIKDGRVCVSRWRKLPMDATHGEELIE NEUTE1DRAFT_135431 MSSMTIDFTKGFIALVCVVLYVLAYLARLVDNFKKGLVCQTPNL PLPPFLKGSEDLELWDAFLLNYLNFYGLSVHLKDDVREPLLGPAHDDWKKQRARVNYI LLSTLTDPDVIVTLKLYNWNIREEDPKVTYNLAKRVFTHGAGNDNCGKLFSDFVALRR DQCGSMGEYLQRLQSLRDQLHEGSWKFDPDFGLTTVLDACGMKENYPDLHAKLLEEWT QNRLTWEDLMKDLRTINFQERTKKRSLI NEUTE1DRAFT_98596 MGNDTLSLLLLRLFLVLSALVALAAAQSGTDCNPIKTSGCPVDI GLTNNPYGVDFTKGTMDQPSWTSVGAGSVTYTSQGAVLTLSNKGDAPTISTNWYIFFG RVEVLMRAAPGTGIVSSVVLGSDDGDEIDWELIGGNDDEVQTNYFGKGNVTLYNRGGN SAVHDVQGTRHNYTIDWQIGQLTWYVDGKAVRTLKEEDAVGGLNYPQTPMKLRIGSWA GGDPDNAPGTIAWAGGVTDYTKGPFTMYVERVSVTNQYPAASYSYGDMSGNWDSIVLK KDGAADGHQMKEGIVKEDGSTGVASATNSSSSSSSSSPSSSQSSPTLYYLSFPLAKAL DLHFHPRRPPSFPPPLTSTHPPSSSQATTSARATSEGHLSITSSPEQGASRDVTR NEUTE1DRAFT_128072 MLSGILIFNQKGENLIFRAFRNDCRPRLADVFRIQVISNAQVRS PILTLGSTTFSHVKHENIYLVAITRSNANAALVFEFLYRLIQLGRGYFGKFDEEAVKN NFVLVYELLDEIIDFGYPQNTETDTLKMYITTEGVKSERAVEDSAKITMQATGALSWR KADVKYRKNEAFVDVIEDVNLLMSATGSVLRADVNGQIIMRAYLSGTPECKFGLNDRL LLDQDGLMSLPSGNRMGSKATKAAAGSVTLEDCQFHQCVKLGKFDSDRIISFIPPDGE FELMRYRATENVNLPFKVHAIVNEVGKTKVEYSIGVRANFGSKLFATNVVVKIPTPLN TARITERCTQGKAKYEPSENVIVWKIGRFAGQSEFVLSAEAELTSMTNQKAWSRPPLS MNFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGSYEIRF NEUTE1DRAFT_58860 MSTGNFKFEAKGHNWVQNDVWTAVDGYTMGQLHPSSKPNASALQ NALDASKAAGLPDISASPAQSKFMALQCRVLGVTHALEVGTLGGYSAIWLASENPQMK LTTVEFDPHHVEVARKNIEYAGLSDRIEVIQGSGLEVLNQLKKEIEEGKRPKFGFFFI DADKPNNLNYFNLAVEMALPKAMICVDNVVRGGRLIDQSLTEPRETAGRILVEGVAKD PRVESVVMQTVGEKSYDGCLWAILKTDA NEUTE1DRAFT_58863 MEAAGAIDDRRIKQSFILATLISTIAGTFTTGVNLFDRVGEARR QRRQRKMDRGQNRRIKELEERLDEAVKSKSEVEERHNSRHRNQREGDEEDLRDSLRRG GPLVQGQYDQLYSRMGPQFAQGDLLAQTQLQGQIITLQGTVIKMLEEALYTGEPPDIR KLYNASEFAREGSMRALRDQYQRLLQSAPIDRALPAPGPRPAPSYRSNRSQSRPIAPV RRTSSTPSLRDYYNTGDYDTSYHPTTTHTRTRGTRGTGGSVAHSHHSHSQSHSHSRSP SLHSSNGIPKQLTYHNSIYCQYATYLQQSGQPLDSSLASSGVCPDCHAHLFDPVEVVQ RGPWRVDKEVVTHNERTGQEDVEYRSYLLTTRFFVKCHREGGGFACCLCSKYRERDTV CKREESLVDHVGEKHSIGEYAEDGDVRDVTR NEUTE1DRAFT_120442 MATIQPPRSSLPAGAEPPAPPSARLDSSDSHTITSTMAGDDGQI DPAQRLPDQQHFNGSTEKPRLPRLSIETLPELDAIPTLMSPRHNTMNPFRRVHNPLEI DDYFTGPRDTQKHSKWPLFMQMHGSILPKMMLPLLGVGAWTTMLTVINFKVTNLGIHN ILLTVLGFVVGMGLSFRSSTAYERYSEGRRYWASLLLACQTLGRVYWVHAKEREGELG KKDVLAKLTVLNLLVAFSVALKHRLRFEPYTCYDDISHLVAHLDTFAQRATEEEPDKT LKSLQPPGFFKAVGEYIGVSFAASNPRKVIKKASRPLGNLPLEILCYLATYTDELIAN GQLPVPMQQTLAYNNMAILNDVLVGTDRVLSTPLPIAYAIAIAQITWVYILLLPFQLL PTLNWITIPATIAAAYIILGLLMIGREIENPFGRDVNDLPLESYCAQVAEDMDIIACQ KKRTTNADWIENIDNKPLWPLSNSGWQVWMNRGEDVIREAVHQKLESNFETRKELDKL QSEMSLMDKRVV NEUTE1DRAFT_35510 MAEPVTEEKSQQYSESDPDKRSVDPGSVTASETVDFETNSVNEK SLLRKLDIRLLPAVGILYLLSFLDRSNVGNARIEGLASDLGMTGNQYLTGLTLYFIGY VIFEIPCNIVLKRTTPRFWLPTLTILWGIVATLMGIVQNLTGFFIARFFLGVAESGLF PGVVYYFSMWYKRRERQFRISLFFGAAALAGSFGGILAYGIGFMRGTVWEHGWRWIFI LEGIATVLIAFGAYWFIYNYPDTAGFLSDKERKFIHARLASDSDATHDERFTWDNVLR AIKDPKCWLYGLSFHTMSLPLYTFSLFLPSIINALGYRAATAQLLTIPPYAFAFLTTL TVATISERLHQRAIFIIGSAIFAIIGYIMLLANKDPIAHPGLSYAGTFFAAGGIYPAT ALALSWPAINVSGQTKRAVANAMQISIGNLGAVLGTQLYRSGDGPRYIVGHSFALGYL VGNVIVSSLLYFILSRENKRRDGVAPEVEEVGHLKDWDGDEDPRWRFQY NEUTE1DRAFT_98602 MPHDYIPLHNRSQRDMSYSASFVHKFLKQLQHRQQQNPAATRWD LPSYDATDEVDQQVIISRSSPHSFQLGTGASIFTSTLIPAIVSARCEVIFVTCFWAPS KTLSAITDALVKLADHRRHLPNPHDIEPLTARICFSSRSVFQKLLHPQSRDGYTYSPA EWQKKLGLPDPALLDEAGIRLQVKSLFFLPFSVMHPKFVIIDRQRAFIPSCNVSWEPW LEGCVEISGDAVASLMSFYALTWEKHVDFRKPLPTTHDSRTSELQGQLLDPQHLIFVP SSAHHLVLPPASSAPLPTLVLPSSHHQNPQFRFLPWQPAARPPATPLNVAMLELFEQA RRSIYLQTPNLTCEPVIAALLDALKRGVHVTIVTGRNMMLLEQLVTAGTTTSWCIRSL VRRFRKLRTHAAHDLESGDPGLGHLRISYFHPRSAAKLGKRGSQARAANDAQVQDPEE EEPVHSHLKLTIVDGEFTVLGSGNMDRASWYTSQELGVLFHDAAFAESVREGVDLVLD QRLSLVFDSLYS NEUTE1DRAFT_135438 MDIKERGLRERNPVHTSQPNHHLHQEPGSTRLLECGVQVGGFGK QLGLFLVDSVTLIPEQSCRPSGPDFLSSRAKQPTSSHLSVLCRHVEHVMWIYMIHHPG VSVEASEPRPKAHPWPIRNDRPCGSGVEPHSEWRPFLPPPRVSLLMGQPSSS NEUTE1DRAFT_77549 MAAKKLLLAAALTASALAAPVLEGRQNCGSAWTQCGGIGWSGAT CCSSGNSCVEINSYYSQCLPGAQVTTTAGASSTSPTSTSKVSSTTTKVTSSSAAQPIT TTTAPSVPTTTVAGGASSTASFTGNPFLGVQGWANSYYSSEIYNHAIPSMTGSLAAQA SAVAKVPTFQWLDRNVTVDTLMKSTLEEIRAANKAGANPPYAAHFVVYDLPDRDCAAA ASNGEFSIANGGVANYKTYINAIRKLLIEYSDIRTILVIEPDSLANLVTNTNVAKCAN AASAYKECTNYAITQLDLPHVAQYLDAGHGGWLGWPANIQPAATLFADIYKAAGKPKS VRGLVTNVSNYNGWSLSSAPSYTTPNPNYDEKKYIEAFSPLLNAAGFPAQFIVDTGRS GKQPTGQIEQGDWCNAIGTGFGVRPTTNTGSSLADAFVWVKPGGESDGTSDTSATRYD YHCGLSDALKPAPEAGQWFQAYFEQLLKNANPAF NEUTE1DRAFT_77552 MSYSGITPYGSAYRQQSQPQEQQPEQQRRLSEPKAYRPYQPYRP PTQQLGPSGSHETNSRRSSIASQSSLASSLAKFDLGDSSLVPAPLRVQHRPVSSQIPT QCQTPQSPQAEQNYKPYSPPSSQHRNSVTSTAGRRTSNSFVAELEGSLPPPVRESNAV EPSSDVPPPKPAKKLETPDSEGLIVVEAEALPSVPGSWPASLEEQSDTGYHTQPQYQK PISPPSLHDEATQSTPSPPPMQYPSASQQQAPIPPPVPQPTYTSAPAQPGYQSLSPPQ SPPLLPSGEIPAPFTPPYRQPGNYSSAPSPGFSQAPTSVGQAPPPPPYQQQTYGSPPH SPPRPPPQSTRPTSLYFSGYQCPPTPTLNTSHLPLTPPTQQGPFSPASPQSSTAGNHR HSFSYFPAAYPPRPSPPTQHQPATTLPSPTITSPSSPFPSSPPAVNLPAQPTYPSTPA HQQQQPQPTITYTTAPSQPTYSSQHSSPTSTPSFSSSSSSSNSAANKPGGALYHFPSP PHPSLNSKLSINGLKRTAAGLGGAIKNVANVVEVAGREAVKAGRAAAAAAGERESGKT YTGSFGRRVNAWVGGLRQGSRGRN NEUTE1DRAFT_135441 MSSAEPISVLVFSRTVAYRHDSIPASIKALEDLAATSLTTSQPF GTTATEDPTIFTPSGLSQFRVIILLQCSGKFLDSSQLEALKGFVHSGGGVIGLHCASF AMESSEWYGRLIGGVFKDHPEPQMAKIRPVVNNMDHPLLTVIKRPQYESEGKKTMFGV TTDKEWEWDWFDEYYNFKTNPWDNPHLQILLAVNEETYTGGTHGERHPLAWAQEFEGG RSFYTSLGHFSDAYLEDPGFMAFIYKAILWTARLDN NEUTE1DRAFT_77557 MRGILQAARTANISRAPKYNLLVCFDAFETLYAPKEPVHKTYGQ FARDNGLHHASDDVVAVYLHDRITTAAKEYPNYGKHVKGMDPEAWWMKNIFVDVEPSL SNAAHKKQLDDLGHKLFQHFSTHDAYKTAPNMPKLLQSLKHHRSNGKLDNPSIDHVVL GVISNSDDRVGGILSSLGLDVSPLRFQRESKQDTHFESARPVDGKSYDIDFTVMSYDV EESKPHKVMWQAGEMLARRAVTHELGKFDESIPWLKLYVGDDIKKDVASAWGCGWNAV LLKEFITEYPPDERLESLEELLTMPVTQLLTGQPRMFTVNKLETLVEWIVKRGLEVPA GSTGADSSEERFKLVEEIE NEUTE1DRAFT_107972 MVTASLVAFFLFFPDSVKLPVCSPTLSVQTNNDGLLTSFAHTHL HRLPPLSLRARVAALYLGNVLNPAGRPEEEEDHFFDDEYEDSVDEEEEDEDETFFKNA GVFEAQDLN NEUTE1DRAFT_98607 MPPLAFLTASLYGLSAYKLCSSSSSSSSSSRTRKQTQTQQRKNE KRSKLFAIAAIATLAIGPLTLLVMSPTNKELMRLNEIQIEPGPLVSDVEEVRELVRKW NWLHVVRSLGPVVGCVVGWFGVFGYGDEEKGEEKVEGEKQ NEUTE1DRAFT_58879 MMAISALNELKTDSVSSPPNRNAPLRRRMTDQNQEPEPLLSLIT TAHPIIESTVQRSSHLYTKTKNFSPRIRSGLEYVEGSLIPVADTVNNVGRRTGIESGV RWILGKRTKSSSDTRESGQNKRHMTSRPVNGTGGEARFSEAPTADASRRTSVSTIETL PAYDDQRSPAYSETVEQNGQAVDPKSALHAQLGNGRVQVTTSSLKETMKEESLRSLKY VLETLRDVTNTLQQGTNELSSVLDQYDRSTTRRDREDHVMTNGSAPEEDRTRLVERMT ELRKSIYSNIKDVSSVVANYTGAALPENAGNLVRHHLLSLPMVWSQASKTTTSSEQPN TQDPNALVRKAAKVALSFSKEGLHIFSQIMEIIRTATDHAEDWRNKKMNQMTPANGTE QEIRPLIDQPLPQVATINGDIPMR NEUTE1DRAFT_116128 MHSPALSASSSSTMQASASSLSSLPPLVLHPPSNGLQPNKPRSR SPSSNRFQTREPAPLSLFDGSPTTELPPIQLDRKRSSSDHSLPSIASLNVGSSLPTLQ PTPTPQPPPQ NEUTE1DRAFT_120448 MRDQSDYLLPKGNATSKSGGHKMQLSPRLELIIASTLVLDCAVY AVCTPYAELQLCAGFNGCCWAGTYWLITTYIAGLHLQVSPRDSLSIHHHMQGERLSVL PRPLSVSLHHIEAPTYPNPSPRLTLMRLMSTPAGSLLGFSGHGQHR NEUTE1DRAFT_144670 MASAIFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSEAEEESS AVPPCFSHEGINYLYIRHNNLYLLALTKRNTNAAEILLFLHKIVEVFTEYFKALEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEIQARPPIAVTNAVSWRS EGIRYRKNEVFLDVIESLNLLVSANGNVLRSEILGAIKMKCYLSGMPELRLGLNDKVM FETTGRTTRGKAIEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECVVESHSGSRIEYMLKARAQFKRRSTANNVEIIVPVPDDADTPRFRTNVGSVHY APEKSAIVWKIKQFGGGKEFLMRAELGLPSVRGDDEHGGGMTGGFGGSMGGVGAPGKG AKRPIQVKFEIPYFTTSGIQVRYLKITEPKLQYPSLPWVRYITQSGDIAVRLPDAV NEUTE1DRAFT_98611 MTSHAHHSRSTASLHGDGYGERHHRSSGRDSKHKSLSKKSSTAT YSSQFSTEPVSFLFSVIEYHVSYGNSPAPRDQWDNTLPPLTRNGYRLNNEAPVFRYRD GIVSEAPEYAWRRLVEGQPGGIYRHDEHGQFLGQAFHYKAHSIFACSPCLPFIIADGD ISLYNSYMRNVGDHGTNTYVEDSARCWHMLHFDHNNGISQANGESYGHPWVAGRNPSW MPSLVPKVFRNHKEPQTLSRGLSGEISIVIGLMAFHSPPRYPGWVFEQQWWTHNLWQG PNSAPVYYPPQADAIPRGFLVHVCLDLYQAPGVSPEHYSEHYANQTASISEFEADRAW VRDVGTH NEUTE1DRAFT_128083 MTDIKKDEFSFDKVDEDLKGFFYAPPGYTDHTFKSSSGVELGVR VWPAENVVQDPAPIVFWTHGGGFLGGHHFVPLPWLTPGFRKRGYHLVSHNYRLAPQAA LDDQVADSIEAVEWCRKNLPSILGEGKVDVDRYVLVGESAGGTLVTLMGLHLTSPPPR VIVDVYGLVDISPFVNMPGRTPEPWKGEFTDEELERFINDRDLNNVLTDACCWNEREL FSEEELRKRWAADIKYDKRFRLQAEAHQWRSVRALPGDIHKAFLHSERFPDKAELDKF ITSIAPLRVLQEDKQRPYPPTAFLHGSADEPVPIQQSYDMAATLRERGVPIIERYEEG EPHVFDIKYTVK NEUTE1DRAFT_128084 MASFSTAQDLFIPLGKDGQRMSYRLLGPSPSSSGKIPLVILMGF RGTMDQWDPALIDPLAAQRPVILTDIPGVGRSEGAVPLSITEWAQSVVDLLIVMRIPQ VDVFGYSMGGCNAQMVALIAEEYSGGKVKVRRLVLAGTTPSDGPGVKRFKGEELEAFQ RLAAAQTVEQQKEAFMKGFFGRCSEKSWAEGEKSWKRIAGARKKTMGQLGKEGSKRQG IAFAKFMGEGSLEKLKGLKMPVLIANGCQDLLLPTENSYLMYKTLPNAYLHLYPDSGH GFLYQYADHFSSLINLFLDGEKLSGTLIPSKL NEUTE1DRAFT_128085 MLFKTTLLSLLATASSATAIPRDSSSYTEINKCLPGQVSIKGTC FKAGGFCGGFAAIPCADAKQICVDDPRDDCDPKKGGADCGGVCIEPLVCGGFLGTLCP KGLTCVDDPRDDCDPENGGADCGGICVA NEUTE1DRAFT_116130 MGFFNRQPRAADGPAPPVAANGTTRNEKRARRHGFEPYTMTTRP TFGQWLKYTWLDILTMAALGAIGLGVYYAHPAPSRSFAVQFSDGEVVYPQFAYPMRKE IIPIWLAAFLASIIPIFIILCMQIRIRSFWDVNNGVLGLLYSLITAAVFQVFIKWLIG GLRPHFLTVCKPDITRATNTQIAEKGYSAQGFAEIYYTKDICTGDPNEIDDSLESMPS GHSTAAFAGFIFLALYLNAKLKVFSNYHPALWKLAAVYAPVLGACLIAGALTIDEFHH WYDVLAGAVIGTIMAFSAYRMVYASIWDWRYNHIPLNRSNPFPFGSRDDMELGGATFT RQVGWGTSGAGFPFDDKHGYAGGGYGGYGGYGGGPAINRKPVASNGVGGSFHNNGVRG EQMV NEUTE1DRAFT_107981 MSGQRESVQTYWVNGSTEISKRASDLASASTISGEQIFESKGNA GEFVVQGAQSVQFWNGSVRSGENYIGDTKGDGVVFLKEGIQMVVKGGKVLGPLPAGTL HRCNTPPRSRSPTHRIVHVSTSSSCTTQRPPHLPRSLSANNNNNSSSYRLASGSERRV SGSGGGHGTAHHDAVVGSGIRYVSGTSHALPPANTHTTTTTTITPPKLPRSASYHPHV PPTNTFPSVSVSRECRQCLEQQQQRRESHSRDNHNHHHATYQPAPYAATYQKRHDGDT FSTAPTYLTSGPAKRDWLDDDSIAPEDSISNVGIYPNGYPSGHAPHVSSRR NEUTE1DRAFT_120455 MASDKPSTDIIPFAKATEIKRLDANTYQANLVDSFCIGAGQNIT NDLTVPNGGYVASCILQAAILHGSSRSPSNPQPHVMNAHFEYLNRTQVGPATILIEVV KPGRQLTTLHATLYQGNLHQDDTSTSDLLPTKTLGKKRVTAYLVMTNLSSLTGLSLNT QFTLLPPPLVPSPSQNPDFSLLKQDRDPHWTAIPSLLPPGSGSGSESSYIRALQNTHF YIPRRGQVRKSIIDLWIRLSSGENFTNASLGYVSDCWPYVVEAHRPRSEEENAKEEEG VGFRHDAKHWYPTVVMNIEQKKAAPEKGKEEEGGSGGWEWLRMRVSSKEVKGGRFDLE VLVMDEKGELVVVSNHVGLVLGSERNLAGRGKGGMKGQSRI NEUTE1DRAFT_58897 MFRGDIFSNPTTILLYGWGDARPKHLAKYVDGYRALFPAAKLVV VLCPILRCLYQTLEARSKAMTPVISACFGSSRESAADHKSSTQNRILVQVMSNTGGMY FAATLNAYRQRFGQCFPHHMLVLDSTPGSTSFLQNAGPWSRAMALGASGYLPGMPFIV TQAMACMFLAALHGFGWLIGASSAAAYSVAAVNNEELCARGAMRLYVYSKEDDIIYWE DIESHAAQARQRGYQVDMDMFEGTPHVGHMRMHSEQYWGAVGKTWREAVGGL NEUTE1DRAFT_120457 MVLQDLGRRINAAVSDLTRAPNLDEKAFDGMLKEICSALLEADV NVRLVGQLRKSIKSTVNFKELPPAVNKKRLIQKAVFDELVRLVDPHAEPFKPKKGKSN VIMFVGLQGAGKTTTCTKLARHYQSRGFRVGLVCADTFRAGAFDQLKQNATKAKIPYY GSLTETDPVVVARDGVDKFKKEKFEIIIVDTSGRHRQEEALFQEMMDIQTAVKPDETI MVLDASIGQQAEAQAKAFKEAADFGAIIITKTDGHAAGGGAISAVAATHTPIVFIGTG EHMLDLERFVPNNFISKLLGMGDMAGLVEHVQSLKLDQKDTIKHITEGIFTIRDLRDQ LQNIMKMGPLSKMAGMIPGMSNMMQNMDDEEGSLKLKRMIYICDSMTDKELDSDGKIF IDQPTRMTRVARGSGTTVREVEDLLTQQRMMAGMAKKMGGNMKNMQRAQSAMGGGNKA QQLAAMQKRLQSMGGGGQDMGGLMKMLGGMGGGGGMGGMDMNAMMKQMSGLMGGGGGR GRR NEUTE1DRAFT_18673 MPRDAQNAQDAQILRNLPPHVAMTTNGLPDEGFGRKDKWEVYGN ASCNGLNLSADDGAWGYKGRRRCREWVVNAWPFLRNRKRQLIAL NEUTE1DRAFT_58905 MAADHDAPVRDVPTTESSSVNNEKAVHPEYTEDVALESAGWDEQ RTKKLLRKIDSRLLPFLALLYLLSFLDRTNIGNAKLELLTQDLKLTGLKYNDALGIFF PFYVLAEVPSNMAMKRFRPSIWIPSIMIAWGVCCTLMGVVQNYHGLMAVRSCLGLAEG GLFPGITYYITMWYRRHECGFRMAIFFSAATAAGAFGGLLARGILEMKGVGGYNGWRW LFILEGILTFIIAVIAFFAMYDYPQTAKFLKPEEREEIAARLKRDRSSLADEFDIKYF FAALKDWKIWVHMFITIGVYTGLYSYSLFVPTIINSIGTFSPEQSQLLTVPPYVVACL FCIGAGWYADKLKQRGIFMLFFMGMAIVGLIMLMATRTPAVRYLGCFFLASGIYPNVP QGVAWNGNNIGGSLKRGVGIAMHVGFGNLGGVISSYLFLAKQSPHYYQGFGTLLGCQV MAFVLSAFMTIYLRRENARRDREYKPPSEYTEEEKIAEREKGDNATFFRYTV NEUTE1DRAFT_34544 MTNALYEHQTTLHVRKASNTPPEVNTSSPTPLNLLNSLMMNISI FMSTKDIRCLYAMDHALRELGEVRAEGTSATQDNDMVLEAIDHVELLVASGQALDDAE LGDVTKACQMLWPSDAQILTQERITWIHRSMQQGILAGKPALLANHFQNFIVYCCMKL EELSQPPQPDSETSSCIIQAAYRWARRGSGKDRPEIPVINMANPITPFAQYIAATIGD LEDFRDEIQIRLDIDKQEYGGSFVALRAAECGDYMEKCYELLASTTDHDEAKAAADDL GYLFKIQSQAQQHEILRRLEDTIRRHKQFLNMLKGNELEFDSDEHDMKVAEEGYIKDE GQQQSRGDKAVEDAVNTS NEUTE1DRAFT_116134 MTDPTISTAIASAIQSNKSEIALINTHIHSNPELAYLEFTAHST LVHSLRRLGGFTVTSPAYGLPTSFEAEYGSGGRLIIFNAEYDALPEIGHACGHNLIAS ASLAAFLGVAAALKQSGQPGRVRLLGTPAEEGGGGKLKLIEAGAYKGCDACLMVHPGP QSKLPSGITAVSYVRMLANVKYRVYFTGREAHASIAPWHGVNALDAVCLSYNAVSMLR QQIRPEERIHGIFREAGTRPNVTPADCCVEYYVRSTTRRGAEELGKRVLKCFEGAALA TGCEWRKEDLPAYFDVRPSKALCKAWMEAVQPAGSVAWEDPTDFFQGSTDMGNVCYEC PGFHGVFGIETEDGAANHTKGFTKGAGTQDAFERALECGRAMAEVGWRVLADEEFAAE VKREWEVDMKVAEGN NEUTE1DRAFT_35109 MITFGPRYSFLLATDSASRLRRAGSDPPANARKEEDARTLRQLT ANARSTKLNHPGGIDKFDDLFGGIDPSRSSSSSSSSSSTDMPGWRYAFALSKDAVKAA TPPGTVVRLLEHNHNHNHTQPPQQIDYTQFPIPTSDPSDPLNWARWRKLSCLCSLCYY AFVCSFASASLAPALGVWNVSFPSDARGIEELSRLTFLNVLVLGLGNIFLVPLANAYG RRIVLVGSVLMLFVATACGTRDNGIQGKEGRRGMMYEGMLVIRIFQGLGSSVSETLGP VVVGEMFFVRERGRWMALYTASLAGGSAIGGICGGYISTRYGWFGIFWVTAALTGVAL LAMVFLVPETLFERGPLCFPAIAAACRKERHRHRHGQHRNHHHDIAEEEKEIDGGGVD GQYRHSNRRSSVRRYYFQPTRTPYLSLGTLPSIRMTMPSRFITSVYTPLNINTNQPQY FRDYWDPRLGLTWYETASESEDEDESEEERLSMGGHGRESSLPTVLRPPPPTAAAAQG GRDVAAGGLFASPGGISARRATAQRPRYRLFPPSEVDMRPILCHEPAPPRRDTNDHLN HFFTHGGGAFVSPFNNGGNSVFSSVPSSQDPPTTHYEPYTYLRSLRFFIFFPPRQRPP PPHTPFDLPFPELSPSSTYQYSPHNFSPNPNLHPNPNPVFRPRHNPTILKPSFFHFDS SPSSSPTQILPFPFPGTTTTPKSLWRHFLSPILTLRLPAVWIATLHSSLLIGSVSVLS TLGPSLLASAPYYWTPDHAGAVLFGGAVMGIVLGGLYVFVVGDEKMKGGVAGTSEGRA RGEGGRGGGARGGGGSTRRGRGSSSRGRRGERGGRTGGKMERIKSWGERLFGSGNSDY GYAEAESRLMVALPGLVIATAGLLVWGFCGEYGPALDAAAASAAGNNSTSGGNGNVTV GVGPGLGSGNGGGGYGVNRYSWLGLMFAFGMVGFGMAGVPGVWFNYLIDSYANLASDC FVMICISRGLVPFVWTFFVSEWVAKQGYLIPFGGLTAILGVLSLLLIPVIWYGKRTRI ATARFVVGNQ NEUTE1DRAFT_77591 MVDHLDPLLHGPIMISMQRSLSSPTNVQSSLHRSPPATSRSPIP PSSESHVDALHSRAHRTKSPSRAAKDANMSRRHDDLSRRGPGLQMPTTLPIRPMPTID QGTEPSPAPTPKDSSDSLNTNIATASLSLSDEKPAKLKFPRPLLSDVSLYTQPFWSPE SEGTTLEELAHVVRLSKYQERKRANTRIRLQRNLISTALSARLMRSGEMAHRSLVDCF RGDDKKTFASLYNAIIDVRKSCDETRRYAMLEPDMELAQAPGLTSTESVDTPTGSIAG TTPAPGSVPCFLNELSSESREALLGVLNQIRTNPDYLATRISSLKSTELAALTKFHQT MEPIESVLPYHSRPAGRGTSGMSGSSSAVGSTFSSSSSAVGANRQSAQERSAIERLLS FQRHDPMSALIYTCFANSAGPDSAEDQRRTSIWANVCARLISNPATGSEQTVMSICNV WVSMRGWSGKSNMEWYLMKILEEGAFLLDRAEDQHGTRFNISDWSSKDQIAAEEFYAK AVDELFEVIDDEDNTGIPEGLIELGNEIIKCLEKKYLEATRKWLVAKFLFSTWLLGVI IHPEAYGMMADYHITEYGRQKILKSVAMNAQKLVIDMLHSKTPVSIPPKIRGHIENIQ NRFRNTKYQPKTRLLPARSITSLRETAEVHPYLVVSPADFATIANALFPDRRPASVHS GGRRSGAPSVSNFSVVSQPMSVRTAPSTQFDALSIVSTSAGSITSDITTSREPLLEEG PGYSPPASSAPSSSVNYEDDGYRLRLALHEMSQVLGQDVLNGSCHPCAERWAVLFISG NGSQLSTHMTFDPDDEVDEEATTSNTDSETDETDNERPTLYHDYHQLRDAILKLVQEY EIPQNLESSGTRKQTFSNRASTLKKYRSKNRMANNMGSRNPYNSCRSRTPSVTSSLSD TSSRGNGKGKELPEAAEPTSLLIAMLTAASSQSRAQSDFVNAHLYWRTLQQLNALTSE SLRKDGFSTLLNIFSRGPRDSIRKSAAAIEEYDAWLIWLKQSQERAEGLIDSMMRRLR ALRNKMWYVTDVRNSAPYDESRNVAVALKTMGMPHRWNSFQRVKSRMQRGPASSYLFK TESQVLDILAAPEEQGGPNKLRDEQAEKTARWLQQYEVENFCCGEERIHRFCCEVDSC INKLLGDSIVDGPVLWSSELFTRDRRNLESKQVSRRDRDFDTQSVSWDDSISVISDPE RRFTPGMRGRSSNTNLRALSGHNLSQLSFDSSSRFSYSRASTAISEIDGSDYFGASSP VHQIDSRETFWSPFHRRPTSPSTVTSRAQSPTTSITNFSGSFNPPNHHTLPSNSQHSI GRSGASVSSSSETVFQQRQSEEKARFLGELRQTLTSLILSDLGNLVFSRGSETDAWFE GLGQDCIDRREAIQRRNREALGKEKRKSAGKGGLKQRILERKKSYTDLRDGVSEKGAS ETQNPVAEAGGSGSVHGNENTAPNEPLSGQRSNRDGKKEAMPDFPFMKSYQRLLRMFC VHPNPYVKLNALHELQHLISASLNSGSRRSRLAWARSDPGSASSTTEEHGAQTRSQPI DDAIDSFKERRSHALMQAPAFSGSHGHSGRVPGGGREADTRSVVSVYPANTDAIANVL QKLFRDSSMRPKTLFRDLQFIAAFVSPTMLDKTERGKAFWDAGLAALSLKQEVCRTMV EVADEVVKNFTTTRNDAYDHRAGSGAGEEPSGTQTPTADNPSSPFNSPPSPLPLTTHS LADAARMWTITAKEGDPTAQRELAIFYLSNPELVERTTLPLSKPREVFKQAVIDKYGG GGGRSGAGGSSSDESARFAGMGMGDVRSDPALMCLAIHWMGAAESGGDELAKTFMAQQ MR NEUTE1DRAFT_35239 VRLLCSSGGMKLPLGSEALSRLETAQEPRNWEAGTMLACLCLNV GRVALPRPSFHAAGGLGLGHTNTNPHTTYIKYVKHVSRRKDDNKYVSGANMPAKNDLR LSVHIVLPGYLVNSSTPFPVCGFMGIVEND NEUTE1DRAFT_116135 MIGLGRRFGRMRKWRPEPPLRFFAPLVVETRWVAGHECWVIDMS SRHAPGQQTPEIPTDPRNIRTVRHRVSHLCENPTGYSRPSSLTPPEPHIETLKYRHDT CSLYFDRRPRFARRRLLQAYTASIRRWVSRHRSQLGQPRANVISCFLEMPMRGNGLVS LGAGEGECEVTGSDIVGGRR NEUTE1DRAFT_116136 MTTKEWVIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAA RVGELEDQLEEQKEEHERVVSELQGRISHFEVQVQTLQSRCRWLEDMLEKEKQARNTL KNSWDNNNMSPQSILSQPSNGYTLQQQQQQPTQQTQLAPKVDSVPIAEPRPTAQPFSI SQIISPPEEAPQSPLDVTCGNCQSSGSCACAEELMQSSNVLMGCGGCTPEGRCACLEE SIRVLAAADLKRPLPPSSPSLGPDEKRQRSDAGVEDMQLETDFTALFSSKKPETTMTP LPAPAQQQSQPITSVEMHESCGFCKDGTYCVCAESMALSAASMTVASVVQQV NEUTE1DRAFT_128095 MTTLNTPNFAAPSSHGRPSSPPQSQTSSPISPKSTNHAFPSIST NLSNLSNNPPPPRLTTTSSQPSPSSKQDDDDISDDDVSNLPFPTALPRPSFLTPHFSP LAYLDSLYASSSSPDPTNATVTTITPFSQRHQTLPDLRSELHTRSLAISSELLELVNA NYTSFLSLGDELKGGEERVEDVRVAVLGFRRAVEEVRTKVREKRGEIGELVKELGYVR GGVEWGRGVLELGERVEGLEVKLNMGSLGSTGGSGGAGTGAGAGVEAWVKKGTINAEE DRVGEEDEYEGWDDGSEDFKEEVVDDDGEGDDEREGFVASSPAELEALAKEYVKIEQM ADRLGGHEVPFVRKMEERMIRCRNTILLDLSTALKEARRAGERGKKRVVRYLGVYRLL DAEQEAVRVLKEK NEUTE1DRAFT_128096 MASTQQCLASLARLSLSTPTRAALPTIPKFLVPSVAASQVRYAT NNPNKGGAKKAPKKKKQYKFFKSWDLTGQQQFSLCDAMRYLRAVEVGQPPLSVKYEVH VKLRTKKNGPVVRDRVRLPTPVKTDTRIAVICPEGSALQEEAKNLGAVMAGEETLFEA IRSGNFSFNKLLCHTESEGALRKANVGKLLGPKGLMPSGKTKTITNNLEATFRDMIGM DEYRERNGVVRMAVGQLGFTPKQLAENIRVFMAKIKSDISKLDDTTPKMVEEVVLSTT HGPGMSLNAEFAPTDDKIKPEDLESVM NEUTE1DRAFT_128097 MKLDELKPLEALLTADFRQIEPRLQALDKHLILRTYLDGYTLGD IDTKIWLALRGNRAAVSFIKRGSLANLARWFNYIEENHPEIQAEIKAKDAAAKAKVAA ASKAGASYALALQNADQGVVTRFLPEPSGYLHIGHAKAALLSDYFAHQAYKGQLRLRL DDTNPSKEKQEYQDAIIEDLALMGIKPDTVTYTSDYFDYLYDMCVRMIKEGHAYADDT DQDTMRDQRWKGIASARRDRSVEENLRIFTEEMKNGTEEGLKNCIRAKLSVDNPNKAL RDPVIYRCNIETPHHRTGTKWKMYPMYDFACPVVDSHEGVTHALRSTEYTDRNPQYQW FIDTLKLRQVYMWDFARMNFIRTFLSKRKLAKLVDTGKVWGWDDPRMPTIRGVRRRGM TIPALRDFILKQGPSRNVVTMDWTNFWASNKKEIDPIAPRHTAITKKDAVKVVVKGAD APAEPVKQEKPKHPKNKEVGTKQVTFANELIMDQADAKSFKDGEEITIMGWGNGFVRN IDTSAEVIPTFEIDLNLAGDVKSTEKKVTWLASKGQTLVPAELWDFDYLITKDVLQEE DNMEDFLNPVTETMEEAWCDEAAASLKKDDIIQLERRGYYRVDKGLNDWKEGEEKKLV LFCIPTGKTGSKRQTRQATIDKTAQAKQAKRTCHRHVKMLRKCNKMQRFKNQVLCNGV EDERNQPAQTDKKGQDNAEKQQVSKRKLDWRKTAWRRGEAVIKL NEUTE1DRAFT_58924 MNPMPTDGNYGQQPQYGYGGPPPQQYGNGYGDGGYAPPQGPPPN GYKPPPTDGYGGPPPSYDEVFKVQKPKYNDWWAGLLFLATVAGFVAVSAISIHGYADN RSQNNGSLNGQRNTFGLTTHTIYLFVWVLICAIVLSYAYMWMARKFTKQFIYATGILN IVMGLVTALYMLSRKYWSGGIVFLIFVVLQALFFWSCRSRIPFSTLMLQTAIDVSKVH GHVYLVSAVGGVIGTLFAAYWAITLVAVYVKFEPDPNNAACRNAGGCSSGKVIGLIVF ITFAGYWISEWLKNTIHTTVAGIYGSWYFNSRNYPTKVTRGALKRSLTYSFGSISLGS LFIAIINLIRQLAQAAQQNAAQEGDILGTILWCIFGCLIGILDWLVEFINRYAFCHIA LYGKAYFAAAKDTWKMVKDRGIDALINECLIGPVLTFGATFVAYACGLIAYLYMVYTK PAYNDGGGFTPVVVAFAFLIGLQVCNVFTTPLTSGIDTIFVAMAWDPEVLMRDHPDLY HRMVQVYPHVQEAIHA NEUTE1DRAFT_120467 MSNDRSWFVLTKEDARGLQEYGLLNLNHDIGYHELHKGCFLSHD DISHALRKSPAKPSDMLKIPMIFISREALIWLGFSNKMADQLWQQWLYLHSNRRPGIL EFRDVILTYFRLPDVAGGIPTLSKFNDDDNEWRRVLHAHGLSQQFVNMIMDPCFRAQR LTESCNYWIEDTLRQRWDLLHRRKRNIDVPISLLAHSGRPDKDEDEVPDFYTAKNLRC GGPVLEFTFLAGLRGPPDAPPCACTVRKDSERHTTQDHLILYKVVTGTQLKNTRVSSS GNLGPVGVSNIDSLVEVPREPEREPFLYNNVTGDGDPQDRVASVMPRRRAHKRADLVF FMCNPEHALAKYKWAVQRLDKRVGTVDAAKFAGGADVQMLEIRISMGEIEYLRRAMLA YHIPYPSASNMVAFVMWQEMVFLSRLKELTRSKFAIIEAVFWRVWTKLQPEIQEARRS AGPTLRAIQNDQWFVLCFSAVKRRGFWNYVSMKLAETVEKGTVTSSKPTTTAPPPTST SNKTIPTSASSSVPPSTAANDGPAPDEDLMSVNITYTGEEYLMSGEVTTQTDEENIYD LEDTPADVGAEAEGDTCTTTV NEUTE1DRAFT_128100 MADPLVVIYGSTGTGKSDLAVELATRFNGEIINADAMQMYRGLP IITNQLTPEEQRGIPHYLLGNIGLDEEPWSVTSWKREATKIISDIRSRGKLPIVVGGT SYYLDGLLFDEKLVESDPATTDGSETAAAKATRDELASQHPILRESAEVMLAKLQEVD PAMAERWHPKDIRKIRNSLEIYLTTGRRASDIYAEQRARKEAKRAEQPPSPWNLLLFW LYAKPDMLNERLDKRVDKMVANGLLDETSSVYDYLQRRLAAGDTVDRTKGIWQSIGFR QFESYLSAVKSTETDTPQAALEKLKQQGIEDTKTATRQYAKYQIRWIARKTIAALQEE NLLSNFYLLDSSNISHWHSEVAEKGSSLLAKHLAHQPLPRPEDLSDTAREVLAEQLER SNRPETICNKTCEVCEKEFRIEEQWQKHLKSKKHQKAVKWAKRQAEGWPTRGVAKGDK VEEGTNDDVDADDADGQVPKP NEUTE1DRAFT_116142 MSSLRFLDLVKPFVPFLPEVQQPETKIPFNQKLMWTGLTLLIFL VMSQMPLYGIVSSDTSDPLYWLRMMMASNRGTLMELGITPIISSGMVFQLLAGTHMID VNLDLKADRELYQTAQKLFAVILSIGTATVYVFTGLYGPPSDLGAGIVFLLILQLVVA GMIVILLDELLQKGYGLGSGISLFIATNICESIMWKAFSPTSINTGRGPEYEGAVIAL FHLLMTWDNKQRALYEAFYRQNLPNIMNLLATLVVFAAVIYLQGFRVEIPVKSSRQRG ARGSYPIRLFYTSNMPIMLQSALSSNVFLISQMLYSRFSENLLVRLFGVWEAKEGTAQ LSAVSGLVYYMSPPLNFKDALLDPIHTAVYIAYMLTACAVFSKTWIEVSGSSPRDVAK QLKDQGLVMAGHREQSMYKELKRIIPTAAAFGGACIGALSVASDLMGALGSGTGTLLA VTIIYGYFEIAAKEGDLQGMKGMIMG NEUTE1DRAFT_58933 MIHPVRRALAVAASRAPRQFLAAASRTTSVRSVRAAAASGSYYS TTSRRLQDAFPSQLENLASSTLPKVVPQVPQTLTEKIVQRYSVGLAPGKKVKSGDYVT LQPHHCMTHDNSWPVAMKFMSIGASKIHDNRQVVMTLDHDVQNKSESNLKKYRQIEEF ANTHGVDFYPAGRGIGHQIMVEEGYAWPGTVTVASDSHSNMYGGVGCLGTPMVRTDAA SIWATGKTWWQIPPIAKVTFTGLLPPGVTGKDVIVALCGLFNNDDVLNHAIEFTGAEE TMRSIPVDDRLTIANMTTEWGALSGLFPIDAVLASWMRAKATVTAMEHPELGDKAKLT HAKIDALLENPLVADPGATYAKQLYLNLSTLSPFVAGPNSVKIATPLKDLEAQNLKLD KAYLVSCTNSRASDIAAAARVFKDAAADNNGVIPKVAPGVKFYIAAASLLEQKQAEDS GDWQVLLDAGAEPLPSGCGPCIGLGTGLLEPGEIGISASNRNFKGRMGSTDAKAYLAS PEVVAASALKGKIAGPGWYQKPEGVEKVIIGEGNGVVEQDKAMSIEDALDKIIAEAES LIANAEAGLTPESTSSSSSSEEESLTEILPGFPEAISGEIIFCDADNINTDGIYPGKY TYQDNITPAKMAEVCMENYDASFGSIARAGDILVTGFNFGCGSSREQAATAILAKQIP LVVSGSFGNIFSRNSINNALMGVEVPKLVQRLREEFGGEKTAATRRTGWKLTWDVRRS KVVVEEASGKKWEQKVGELPPNVQEIIARGGLEKWVKSQIEA NEUTE1DRAFT_120472 MSGTSNFQAKKSAPTRHNTPLLHNQLQCLQQLNLRLRLNNLKSQ RHLSLHGAKRQAQENPNGTSLQPNWSLHGIKPQVSLPLMINLRIVNLSNNCAKPSRPV FSLASYVKEERSGAIECSPATIARGLAVPHHSTILPKHANLTSQSNVPCIPSKPAPLR KRRPPHQALQEKLAAVTEKMEQMRREMDAAKASGQGLAIPATPAPTTSSWDSKGNPRL IQEEGGVRFMDSLLGTMYQELGEIRAMVDTSPDDGSDLILGADTPTFDPMSSWPQPGA VWQLCDIFFQRVNPLMKIIHRPTLERYVAEASSGPFGLRPNIRALFFSIFLMAIVSLD ADECAQRLGYHREQALQDFAQGARLTFTSLQGRSNPHATWVLIGTAIRLARKLGLHVD GKRLGLPPLETEMRRRLWWQLVVLDAKTATISGFKSAAVVRDWSTELPRNLEDTDMHP DAKEDFVERDGPTEMIFCLMSYKATDFLLTSGQDFEGVMIAADLPGGQAPGSDDQRHR RTVEQLALELSAFTDRYHLHPANGPVHALAFKFKEFFIKKLCSAKPQPPPTSDQQGFN DVDRAFRLAIIDLEHHELNMLNDDPAFFWFSRVYFQPFNFMYVVSQLCQFQSPSASDK HMETASAKTDLIDRAWRQISFVYRLNPDLFDTSNRLYSQIARKVLEAWQKRSETLLRQ TGTRPPAPPYVEKLANVLGNNNMNSGSLGATRTDTGTIPTATTLAQTAGTGLAGGNDT SSLMPGPSVVTGPGAASVPTTHALGQGQTQEPPPFMEGVVNYMDPNMAFSGNMWGDDG FGDQFQQPQMPMMDMMEDPTTGMMYSGPPYYYPDTEGDGEPSRGMW NEUTE1DRAFT_98636 MASSTSDLKLAQPLTLPNGLTLPNRLVKAAMAEQMGFGNHLPNP ELSAVYATWARGDWGLILTGNVQVDHAHKGDAHDISPNHPGTTPEQTVTAFKAWADAA RLNGQSKTPVVVQINHPGRQSPMGAGTRGLLEKAVAPSPVPLVLGEGFVPRLLSKVLF GTPRELTVAEIKDIVQKFAVTARITAEAGFNGVEIHAAHGYLLAQFLSKKTNRRGDEY GGSAENRARIVGEIIKECRRQVAEAVGEEEAKKFVVGIKLNSADWQAGRDGKEEETDT AEEVLKQIELFEQWGIDFVEVSGGSYEDPQMANGPKPEKSERTKAREAFFLEFAKIIR TKFPKLPLMVTGGFRTRQGMEAALEADDCDMIGIGRPAIINPSLPANLILNPEVPDAD ARLFDKKRAEPHWIVEKLGMKSIVGAGAEVTWYVSELKKLAKF NEUTE1DRAFT_128104 MALTFSHLTAATFEKDVGRGRKRPRVREAVSCEQCRTRKIRCDR ETPCKPCKDRGHASSCVYSSHKDRNQHAAVSPGTDKTKITKSPSKSPPQTPSIEVVPP FQTYTPSESCSSSSSWETPERMLSIDRALSPFSRQPSPLPSPRSPRFQTSSASKTRMI GLSHWMAPCNEMDVVRAMLDHSEPFNPCRKTFRELKTMLRLHNSVPPSLPTLTSDTDL KLLLPDRPTCNRWCAQYQATYGRIYSILDPKALGTDVDRIYIGILSHPIHFSKVLLAM AIAMQPSSSSDRLYGRSLARQVEIFLHSSSRFQKPCIGVVQVHLLLIVLKTISASDTD KIYDLMGLYGITTQIVLNMGLHRDPALFADVSPYHAEVRKRLWATFVRLNLDYCVRSG TQFTLRLEESDCPLPTTAGLRAPTDSTTTILGCEPDEQARADLDFGIAAARLANVVGP MQQALYSSKAGEASDPCQLQNELRAAYEDIIASLPAELQRGPGSGSPRPSSTDPMQSL QQSILSITFHSFLSIINLASTLGCPPHSTQRPSLMEIWDYSTSVFHEFRSLCQSSSPI PAAVAAGKITDIACHLLWTDACRAVLAACWTVSRLRELNVPMSPHPQQSTTMVHMHVF QQILTDALGFLSGMWKAKFHLGPVAAKTSLILAVTLNVTLAAQQQNSSSSSNLDLAPA LMEVGVVTAEMLIGEFTAALQQQQQRQRLQQQQQQQQQRQRLQQQQQQQQQQQSRQPT SCKLPDLSGLGIMTCYSPSIISGTSLSPLSDPDMEEESPISMSMPFSAAPEATAPGFS CTELPLGHHGYDDFHIINNGTAASIQQQQTQKGMQQEGGLGIYPSLNLDDAYQQGMMG RMGLNGMDGMSLWQ NEUTE1DRAFT_37425 IKLAPLTFIIPTILFLILSLAGCLSSAPTIPSLYVVALTSSSNG TLAPMQVRLGYFGMCGDDGDTRTCLSAAGHYDPDEPQDIIHLTELLFPQVMNNSNARG AIPELQNMVLTGLDLQDQIFGKVLLTGSALFALGLVLMFPLKLVKKKLAKLAPGTPLT KKQQFIRRGCYSTIWAGLMIVFAACIGTTETAGALGHATAHIKGSSILMKEGVTLQVL QWMAFGFMFLFVLTMPIMLFVKRENPLDQYMSAEGIKEKAFGFAKGYAMDKVKKPKRA KFFGRQKSQQEDMV NEUTE1DRAFT_77622 MSASTNITSTALGIKVMSFLTSILAVGIEAYAKLTGKSEDTEDH SWQKPGPDDRRSPCPMLNALANHGYLPHSGRDISLAQLMHGLQRGINLSPNATLIVGI KALQASTTGHWTTFHLSDLNQHGVIEHDGSLSRADLSAPPNFDNHTFVPEIWAATLQV LGDEERISIEKAARMRGWRIEEGPRGNPNFRMSKEDKRFSAIETALYLRVFGRGTEGG AETRWVRVLFEEERLPIEEGFKRSDKPLTIAEVLELQRKVEAVGATPDPK NEUTE1DRAFT_58942 MCQGRIISHFCPSALAGDPRCPAYRLTTLNGGRIPAWSYYFDSA TAHCCGRHSPRVDCGDCPYNTYGRRSDVTRLGQEVVSVCVIQGESICPVCSRRFERER REAGEETEGWAVREMWRGKERRERERLERMEREERIEEGRLVGEMERLRVEDRFGSVE NVEVLRREFEGMRFC NEUTE1DRAFT_120477 MHLMYVPAKNADGKPLARQYTLKKVLDGQVTKSAHPARFSPDDK WSRHRITLRRRFATKFHVNAATRLLFASGARLVAPDDVDTHNNLVKMMEDEKDNFRRK TDNYKFNVKAAVFSYMLDQHMLKQENNFDKKIKAMLEANHPDEYYLDQYYKMVKNMEN NEUTE1DRAFT_128109 MDAPAVAEPSAQSQQNPAPTTTTAPAAATSTTTKPVHSLVIDAN VIIKNDPSVSTLIAQAEELYTIPSVVSEIRDEATRLRFQTTLMPFLKFRTPRPESIKF VTDFARRTGDLMVLSKPDIHLIALTYDLECERNGGDWRLRKEPGQKSVNGAPPAKEGE EKEATTEEKNDAAPVTEEKTEEVKQVEEQLEKLDIGSQEQETTPAPEEEEEEEEENDG EASDDDGEWITPTNIKKVQARESAHVAPEPLQKTLQAALITSDMAMRNVSLRINLNLL DSAFARITVLKTWVLRCHGCWKVCKDTTKQFCPSCGQPTLTRVSCTTDAAGNFTLHLK KNFQFNNRGNVYSIPKPTHGTASGKNQNVKGGGKGGWGKELILAEDQKEYIRRTEEEK RTRYRDLMDDDYLPGILTGQRQGGTGNNRIKVGAGRNVNAKKRR NEUTE1DRAFT_98643 MTLTLGYLVNVILAAQNGIGLPMSTLTMQNMVNFLKIVLAIEVM YYVNVFCIKVSILFTYIRFGTIVLHVVFVLVCIFTTLGQCQPIHKFWDITGTVKGTCI NTTAFFYCENSSAPFPLPILTPPTVTSSFNIVTDIWILLLPISTLREIHRPPREKVAL FLIFGVGTFATIASIVRLHTIYTYTLATDPFHEGTKVNLWSVIEVTIAISCASVSALK PMFSRRQRRLTLGAIGGRSGGHGYRAYGTGTFTGTNNTGNGTGAGSRSTGTWALAKNK GINNGLGTQSSEIGLHDEDMEDIELQRLGSHAETLVDDQATEITSHHQQQQRQHPTIA PPPPSPPIQIQRPKPAAITLNNQTRPFSFPPPLSPNQIAGHMGLKYPGYQGPASQQQQ QQQQQQYGGGGASTRGRERGMSETASTTSTSRQMTMMGGVQSQGQGQGLDGGTWAGLQ GEVVSTGTGPRDGTPTRPPIGPRASTFGE NEUTE1DRAFT_36905 MSQARRLSERQYHALPIDCDEPGTPPKSLGQKLTGKQEEQLESS VRQLAEKIVVDQWKAKKKKEEEEAAAAKAAQGTWVDDMGVD NEUTE1DRAFT_116147 MQLTTLLALATAAVVPALGAVIPRAVGKATVLNHCSIPVTLWSV GSSVSAPVALAATNGTYSEEFVKDPVTGGKALKITTTSDGLYTGAPELILAYSLDGSN VWYDLSSVFGDAFKGHKVTVTGKGTGSCGAIEWAEGTQPAGSQTKVCGSAGDVVLDLC AA NEUTE1DRAFT_116148 MVTSKAAIVAGLTLAGQASAALQPIVMKGSKFFYENGTQFYIKG IAYQQDAAGAGGETTTGTFKDPLADEAACKRDVPIMAAAGTNAIRTYAIDPTADHSAC MKLLDDAGIYVISDLSEPSTSINRDDPRWDVTLYKRYTAVIDEMSKYSNVIGFFAGNE VSNNATNTDASAYVKAAVRDSKNYISSNVKRWMGVGYAANDDAKIRSEMAHYFNCGNQ SEAIDFWGYNIYEWCGHNTIKGSGYQDQIDFFKNYSVPVFFAEYGCNIPDGAEGRIFE ETTALYSDAMTDVFSGGIVYMYFEEDNDYGLVKVSGNSAKTMKNYDKLKANVLAAKPK TVELDSYTPANEPAECPDVTSNWQVTGNALPPTPDESLCECMYNSLTCVPNKDVKPTA YGELFGVVCGNDPAACAGIQGNVSTGVYGSYAMCNSLQQLGYVMDQYYKNQKYASTAC DFNGQASTNGAAKTDATCSAALKSAEAANKVAATATAGTGNGGTSGGAAATSSSFAAP VAMKSFFTVADMAVGMYVVIAMGVGAGMVML NEUTE1DRAFT_18723 KMGARQAAKLPRFPGDSRPCLQCREHYVPLMRQAGSTENKLTPS KAGPARARWAEKVSSINQASCC NEUTE1DRAFT_135481 MRNSDDTNRKKKLIPELGFCEETENFIAREKMRLVANMYQPQVT FPSIAVVGVKARELEKMRAKLEANVF NEUTE1DRAFT_116149 MAMAMAMAMTMDRVALLDRLSSCAWHDRSLHLTKTRHLELPLAF RCQFFRGV NEUTE1DRAFT_135482 MATTCAATTAKPPASYLLPGKTRPVAKPAPKPEPTKAKPAKSLV KAEAAKPSAALSASESRGSDKVLRLPENRHLDPSSELSSPPHFCAGTFKLPWLEVLFF SKAAAAKKDESSDSSSSESSSESSSDSSSDSSSSDSSSDSSSDSDSSSSSKSDSSSDS SSDSDSSSDSDSDSSESEAEKKEVKKEAKKATKSDSSSSDRSSSDSSSRARPGVFGST GLNVGCIPPSTCKKFGQQFQCSNRCPQKGGHLNNSHLYHQMLHDSKHRGIDVGDVKLN HHGRQFQPPSQPTYCLLHLDRRHS NEUTE1DRAFT_135483 MSKSHHFVLSVRAAASYIVVLIDSCPTGLDFPPSRARGRHPYSL LRASGRLRAGFESTYKPHHHRITLQPVVRSPSLRA NEUTE1DRAFT_108011 MIALFLIYSIEGDKQMLEIPTESFGDFLIRSNVFFLLKSACVWG ELLLTVLAAVFRSFLSMARMARDSSFRLDLSPQGLAAIHGQKLRGFRSHFMLRELIDD CHGSMIPKSQSDPPTRYFRALVNIFHSLGSSLTVQQILQFSRFHLAATQPLGTCPSSS GQHKQHFGTCSSSPFDRQKLDLEKKDPCQSETKPLCLPLQSLRYQLTSIAANSKVFAG TRLLRCSPTLSVNEGIAQGLQQELGKLTLNPCSTLATSTFTTAWLIHDETSSQTQGFL D NEUTE1DRAFT_135485 MAAFRAAPETHPKATQVAIRQNFFKIDKKTRSTLPARYFNVAGR ANNRNVTLSDWTYFENLLEKQFSVDSDFHGHRPAVKIQAAKIRGTQAEEEEEEEENKK RKDELSKTIVSSSTFVSQQQQQQQQKLAQAFGLSACSGFGTLPSFAFVKAASTHVGNS TAIATHNGDQHLPYSQNIPSPVSPASTPRLPSRGLRATRTTRDKTKSLRGQRLCQAHK ILENYKPGDIVIVHDYNMTLES NEUTE1DRAFT_98648 MFDAFRSGGRKIRKKTKTKKKTRTKKTKRRMKKVRLPLEDTSSS NVPFLPIMKPMMAFPPSRRRRTRRRR NEUTE1DRAFT_108014 MLIHCISRRPSHIFPALLLLLLIYWLCLWVKMDQSLRLAGTPAR VIIGGGVAGDAAGNGTNCIAAAVATTQPDTTADVPAEISGVGAVAVAAAVAVAAAVAA EKPDKAEKTEEKTEEAGDKKKQKKEKKEEAAEKKVVAKDVEAWWKQQNGSRSEESPDP TDNLDYNSAFSLARIFSSSRALTARAGDNHKNNTTVSRYPDQKPRAPNPRSAGPFAPA FSGGCSSILLRVKFADGCW NEUTE1DRAFT_135488 MKSAAFSAASSGPPASSPSSPPLKYPNDKHHNSLSNIKQPRRDT ATFANEGPPATYLSLGVGKGRKEAINVIMGKGDRLLVVVERRLCCLNTKDLWTSGRAS GNTFPVLRAGQAAQSKSTMEEVEEEHGGIMDKCKMTVSMKKPRA NEUTE1DRAFT_36875 CLLTQHHDDEPKPKGTTKAATIGTLVFKGSMSLMNTDSWATRDA SKEGMTAMHMIKEGTEGIRGSKAGQSVIQSPSMTPGFKRHGRFRHLPFGSSYEVPLGS YVNGNLAATSVPTTKLLHTIRPSMLVLYPPVI NEUTE1DRAFT_116150 MTYRIDRVGRTENGKAAVERRKRKKWNEDIKVLQDLYIPNVIGL DGRTDGQLTHLEKMYGYKHKQHTSYR NEUTE1DRAFT_144699 MDHFSTVLQRPPHFDEDGTEGRGDRGNGAGPGPAPPPPRGGLRD ILNPVSSNSAVQSQAAAPPPPAASSSLHGFAGSVPPTSLTNSMRATPHSSSSFNLRSP TQQPSEYRHPLSSLATPAHAAAPPHLAPFTASPPTSTINANNNNNNNNNALGAAGSLS SQPPPPPPTGPPSILNPPTPSQQHQQHHHPNPFVAASAPSLPPPPPSSLQAPPAITPI AGLSAPAPASSSLPLSAGGIGNSITVSSSSQPPARASQLHAPSAYYSPAESFRDRDSS VREKSSTGGSFYDPTAEASNSISGSSPRKDRDRDRDHRGTTRESQRRRVSGHSDTGSS WRNATQTSASGSASNKARDPYNYSPSSADYYNTRKKENYPVDNTSSSSIAAPSNFTVA TRSPVAALSHPASIAAPASVGSLTGSISPRLSLRPPSMASPTIRSAVLANPTNGITST ALPALGRNDSPPSKMSPATSTNPSRAAGVMSFSNILSSSEPVPRPRATSPNNMDDDDD VPMKVERADSSEKVVKEKKERKPRQPKQPRISDIRHSESTPKGRRGSTKQESPLPNIR IPAKRTANGAPKQQKTFSAENEEKIRKAMDRIETRELPHEDEFEEELRLWRERREYKR QQMNQRDLRQRRQRRADYTEVEAQKLKLHADFGKRRYDDLNYDDALQEVRERELFAEK ERKKDMQRKRRREKSMATTMEAKAAALARASAAQDEAERQKYMREAERANKKVQQTRL ILQKGIKGPSRNTGPIEPNLEGGTMATFQAENMEPGKTKGKGRAGARPKKSKEQKQAE KDAAEAAQAALDAGLELPPKEETNKIRIKLTKTKAPKEADVDKDKENKEPQEPKEPKE PKEKVVKEKVVEEPKDPLELKFQSKGFNQIYDQIWRDLARKDVNKVFRLAIDSYSTKS SNLKKTAILASKEAKRWQLRTNKGTKDLQARAKRVMRDMMGFWKRNEREERDLRKAAE KQELENARKEEADREAARQKRKLNFLISQTELYSHFIGKKIKTNEVERSTDHPDEIAA EKDKIPENEMDIEVPTGPIGAKVTNFENLDFDAEDESTLRAAAMANAQNAIAEAQKKA REFNKEESKLDEDGEMNFQNPTMMGDVEIEQPKLLNCQLKEYQLKGLNWLVNLYEQGI NGILADEMGLGKTVQSISVMAYLAEKYDIWGPFLVVAPASTLHNWQQEITKFVPQFKV LPYWGTAGDRKVLRKFWDRKHTTYKKDAPFHVMITSYQLVVSDVAYFQKMKWQYMILD EAQAIKSSQSSRWKCLLGFHCRNRLLLTGTPIQNNMQELWALLHFIMPSLFDSHDEFS EWFSKDIESHAQSNTKLNEDQLKRLHMILKPFMLRRVKKHVQKELGDKIEMDVFCDLT YRQRAMYANLRNQISIMDLIEKATLGDDDSASLMNLVMQFRKVCNHPDLFERADTASP YSFGYFAETASFIREGSQVTVGYSTRSLIQYELPRLLWRDGGRLHKAGEDNQVAGWRN QWLNEKFNIWTPEHIRESLAGTDNFSWLRFADTSYEEAYQASHKDLFARAVEMSTKKN RLAKIKIAYDEPEDLNFTPAHALFQIREREDRRPLAEITEQGILGSLMNVSRSAFSET GLGRLEQAAAPKASAPPIEVVCDSRSAVVERENIMFNAPMRKVLFGPTLAEEKALVVQ KVPPSRYPPPALLPAPDKEKQKFTNITVPSMRRFVTDSGKLAKLDELLRELKENGHRV LLYFQMTRMIDLMEEYLTYRNYKYCRLDGSTKLEDRRDTVADFQTRPEIFIFLLSTRA GGLGINLTSADTVIFYDSDWNPTIDSQAMDRAHRLGQTKQVTVYRLITKGTIEERIRK RAMQKEEVQRVVITGGSSAAGGGVDFSGRRAPENRNRDIAMWLADDEQAEMIEKRERE LLESGELDKLQKKSRGGNKRKRGGAGGEGKEVSLDEMYHEGEGNFDDGGNNIKGSGTA TPNGAAGGEGGDGKGAAGGAAKKRKTGGSKKAKTTKQRLAIADGEIDI NEUTE1DRAFT_116151 MPPSASKQKRLAEKAAKANKGKAAKEVELDAFGKPVVSDEPATK SEQMDEVKRLAQQMDKHGLSDRVTTGVLASTKASKDVKITSVSLVFHGRVLIKDATLE LTLGRRYGLLGENGCGKSTLLKAIAAREYPIPEHVDIYLLNEGAPPSELGALDWVVTE AKNELARLESVAEKILEEEGPDSPLLEDLYDHIDKMDPSTFETRASLILTGLGFNKQT IQKKTKDMSGGWRMRVALAKALFVKPTLLLLDDPTAHLDLEACVWLEEYLKKWDRTLV LVSHSEDFLNGVCTSMIDMRLQTLIYYGGNYDTYHKTRAEQETNQMKAYQKQQDEIAH IKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVKQDRVFSFRFADVEKLPPPVL SFDNVTFSYSGDPKDDLYRHIDLGFDMDSRTALVGPNGVGKSTLLRLMTGKLSPTDGV VQRHTHLKLGLYSQHSAEQLDLTKSALDFVRDKYPDKSQDYQYWRQQLGKYGLSGEAQ TSLIGTLSDGQKSRIVFALLAIESPNMLLLDEPTNGLDIPTIDSLADAINAFTGGVIV VSHDFRLLDKIAKQILVCENKTIKQWEGSISDYKNYLRKKMISAGAV NEUTE1DRAFT_98652 MAFENDKTHDQPPNTRGPVSFTSTYSPSGSFVNPPRSFINPAVL LSLRGGGLNEPASGEAEETTHLSPREDSLNSLPSTTLVGSPPLPPPLQRSPIPKTTAE WFETLQHESERMVMGSFILSGLIFPGVGNKLADKLAALSGTKLRDMSAIRNESRALSP CAAVALACAEDLLNGLCGRYVQGPIPFSVSFPLLCTPSRTKQDGGIEVIQFIDCFASR FKQQFHGFRQEFDAEGASPPTSTNNEHINIQSFNRLVWSLVADLEHLIYGDEMPKHKD THRPTKVAKTEGDDTPNHDGPAFSIERCILQLFCDIDPKEYLNWRTCNEAVSNTIAST PKNGETLTTDQVLQLLDHRKTGPLKSEGFVVWRGNPDDLQTDEHQFIWMKYKRKPQSH EKQAKTQMKAVRKRVDAYLSSSEVLADPAKSANEPPSSDMLQTLKAQLAESSSGNESG KSLAADTSANDEITVETELEKGLREELIKRCVLRLNPASASQSQDKGKKKDDAASTVT EKPVPKPHRTIGDAAAAVQDELGKDGAAIKLSHHNSSRVVAVKTSIDQLQGFERADRA DKARQAQLTKKGDPLTSQERERLLLVKSDLKSIRAAFEQQKIVNRIEELEKQQKVNQR RKESELQIAEACASAGPNAGCSAKNMASQASAARRSESNEDNSRAAKEARRELPYEVM CTVANDTRRTAAILRLQEQDPTKPSSSVRAEGSSAVAGLSRTTSESPVVEEDASISTA SETGNDADPVVSSERRIELLAQEMGYKEAFDKGLERLRKEFEPSSLTSVADGFTVFQE MNSTADGRATVRRMEARAMRFIAAHGGAVVDDAVGDAGAAPGGDDIGGTSVGPDDSAG SPPVADTDTSHGGPDDSVSPSSPTGSSDNPADSENDVSLRGGGLNTLEEEVQDFWDDV RRQLKVDDDEIYDSESDYGEEARVRRKARDAADDAYLAWLRGGISTAFTMDTLKLSMR PDKDNNDKRSAPPLPMPMTRDNTAALGPASQNIPGLTDISCRNLFPPRKSNKFEEDPR DLDLNREFEEEAAAAAAMRVEQQLLLLAAKEPPAPPAATHYHYHSWPTSGRKSQEPCA NKELLSRCEKLAKRAKTWSSRLFLFSSAKKEDIDSHENPYRNPYYKESTSRLMTLLRR VTGAGEEW NEUTE1DRAFT_77643 MAKIQPAQDESLLGTVFSNLIRARYLVTRLTAAAVDTTCQYVTS PEYRKRTHDNVRRFHNERPLLTAFLPPPSQANANVFLAIQFALALVPSLLFLGYIAST VLVSLGGALIFSLFWMGVGLFFFSCALLATGAIGVFLFVSFIMFQRAVQLLNTLTSTL SQGARPPQKQEEREKQEEREKPLPKAEKVLRIVDIKQEDGHMQNGTEEKVNSDKEL NEUTE1DRAFT_116153 EVWKREIAAQENYRVVPLRCVFVATGLCCGPRCLSAPHTPNSQF FRAPGP NEUTE1DRAFT_58962 MIAVLVVAPVIITWNTAEFIAICVRKSSGIPAVYHVGADGILFV GVAITTGIVLVDLIIGTATFGSAYDEPLAKGIVEVAMLLLLMLLHSFLFFNYFCHKLD KRDSRPIVKRTGLPLGEPEAATLEEGQPTTQLEPPFRYKPYEYYAKQEMEIASVGQRP NEGFVIDKGIEDMEPTAAGSTTLAEGQSEGQIWYKIPVLRSIFSRQKPETYV NEUTE1DRAFT_120487 MSSSSSPELQPPPAPTTTRYPTGPVPFLEYPSPNTTSTSSNSSS NSSSNSSSNSTTRPKRYLLFFISGNPGLIDYYAPFFTHLRSLLNELESKSAASSSPGH QQPITFHIYGQNLIGFSDSDHAPFVPGSPDTEPFVLEEQISHLYDSLVSLNETEGPFD EIILAGHSVGSFLSLEILHRSLSSSQPSSLKLLPITTALLLFPTIHQMHLSPSGLRLS RIRSIPFLNQYAHVLAKSVVDLVPRFALRWITRNVLGMSEHGSEVTTRFLQSRDGIWQ AIHLGKDEMGVIRDGEGRWGWWSSPISSTRGTSEQHESNVDDLEEEVVAKEIEAVEED WQRVADAQIRQELDEHEQRGKGQEHEEAKKLKFFLYFGQNDHWVADRYRDEFIERRKR EGHTGKEGGTKIVIDEDRIPHAFCINHSEQVAEKVRVWIEEVVEG NEUTE1DRAFT_98656 MLHPSHSASNSPPKAPSPPVPSRTHNDRKDQRREGWRRRRCRHH HRQQQQQQQQHHHHHHHHHHEGHQPQHQQHHQQQQQQQQEQRWGWRRGPRLQQVDKGR LVRVMNTILASSVFLFLLCFHFCRDLPPSHVSFAVTYLRLPCVTGVCSLLNSRFFAAP LSLLLMAWTSKGQVFTSFATSRRAAKSHSANINSRMQNRKCASPGSRLFLLAPPPPGA QPSKHFDNSIDCFRKVIRDEGFRGLLNLAASRGSNTTHHRWEDL NEUTE1DRAFT_14524 IPVQPIPPPTSSNSCRPRRLSASLAVPNHSTNSQILDPGSTDAD NESSKDNKNSEVDEIYDSSSGKETRDAADDECLARLKGDRSTGKDENPSNKHKTFDRW FITKPVPQSRPNTFTSAPFPAQTFQATNSSTPSTSHGSVSATFNAHGGLFESAFQGGF SQRADAIISIGGPGMGGDRQWTSETTCELAMVVGGTLGRGNQDIAHLDANPEEGKHTG PMDNIPWRNKNLFLARKRGDPDYLYDLDPRDFNRRFEEQARAAKQAEEEAARKRAEAL LAFEKLYVLAREDLQPLRSAKELSTTHSWPARTKLYFYDTFASSKKSKEPTTERPVQS AKTWPVRNLFTAKKRVEDFYSRAKESHMDAESETFGSIVKKFTFGSRN NEUTE1DRAFT_98658 MFPSNFQHPLSFRDHKLSPEEQHACANGTATTLEHSIGRDYLAS RADCPVKRMPLDPKQDMEKEMHMQPSNHLEGDPPPTSNKIPLFTARPDSARYDVHALT DDFDATQALSTLNLAMRISPCPRPPEKITPETGVLKKDLQQLDAPPRRSTSNTPTQST RSI NEUTE1DRAFT_108024 MCIRIDQHWHCHHQHGGCKGKINKLTDDDPILPQWAPCQRAQRT GEMCEEVMVIPQHLAMCCPMHHSRRIRQLEELWRNEWKNQVFDHFSQRQKHLSDREKA DWRRARDLEKKRWDEFQSKLEPVYAQLGNRLNAVVVRAFGMTFYERIEQIMLAFVAVV RRQCVLGPENFERAKRQEAREALLELEERDRQTAAATDGPPPPIPPKSSKRRQRSLTS VSQGDLKKAQDSLVQMSLSGTTGGSAPAQTRPLPPAPVSTAAVADPSSSSSAFGPDDD AAIASAASVEHAFRPPSRGSSAASSSGEDSESEDETPLLRRDL NEUTE1DRAFT_108025 MMIKWRLIGEDMERMSLFGPAGGTAWDGGLEREKSDGMMEKKCG REEKGKKTRIKYMAGNRAWEGNSFCLPHKLKRRASTGKHDFKRLPSDKGNLSGRLDKR RGGGVLGGRNEKQRRHWPRTQDLKLPGARRALVPGSNTQQREWSGSPCPLVLSTSVQA VLLESYFLLDQTRNEETTSSSTIFDTSSCFRAPTALVNHPSWHSSHTRILVLKFEGSS PPPLFPLCFFVFVFIFIFIVIAIVIVIVIVIVIVIAVVVIIFFSNQQVHHHFRASLSA FTPFNFHHFDTIPHLLKYAERAGGYEGPAYRGTASAPAPHCPRLKTTPEYLDKPGLRL CDSEKIRGPTRLSSPTSPVGARAVVQSILNADLVAWGLKLYEYHLPVSGYVMGWKRRQ RKGLDSGVVERKRRNGNQGSLNIWKGMPGNGRPRARNSNRISSKMIGIRAQFHGQQFQ LWHVLFRWQTVEDIIQDDLRARFNGKQLQLRHVLFGGRPYLWGATR NEUTE1DRAFT_98660 MGGDASLNASGPKDTTTCDGPKDAQRDGRRLGRRTKQPPDGGVP NPDQADDPRSTRPSSN NEUTE1DRAFT_77650 MLLGEQTGTAGHFSTISSSFSALIAHWRSYRHIHRIALVIFVLL TIFVLERYRSALASTFQTSTDPIAVPGGNSAAQDNNHYEPYPEANQGGGGKHGSEESK SKYQQMTPEQLLELSQKNAGNSTLGFHAIKYINMKARYDREDAMALQAYMSGLDIEDA PAVEADMIDPVGMPPTHRPGRLRVGEKGCWRAHANIWSQMTRHRLPPILILESDAAWD LNIRTIMSNLNTHFIDFLNQINSTAVHDPSYQSPNNHLHHPNPPSYSDNGPIKPNPDD PWLSEHWDLFSIGQCFEYSQDREIKLVYDDESVPAGKEYWGKKMGKERVIRKSGGITC TTAYAISHTGAAKLLLRGAMDLDNPVDLLIRRMVMSRDLVAYSLFPPVMAQWEYIGGI GMAERGAQSDINGGKHRDTPEDADMPGWKDVQEKATIWQTKGHHHDVAFEKMALKEAW TEIMGEGPEKLGESLWNPETGD NEUTE1DRAFT_128118 MIVIDVCIELPLEEALDFFQSASSESHEAPVRPIAGHRSQPPAA SKHNCLTDGLQQNHLFEDRISFICLILCNNRPIIGTSNRNIDKRPAYQIQPVYHGTAA EQSSAAVNTNLTIPSCYRVPLLPPRPGYPTDFHIIRVTTKAVAESHPVRLQFVDANLD KLPPDPFPDCLNSQVPLSIIRRPPGRSAAMPKITFQDEKNTISTTTSTSFGFLKDVTG VSSPASVYQVSLTEELSGGTKDTSNYGSTPNHVFSDPAIAAYWADVYERAGYENRHRF DPSFSWSASEERKLVRKMDFRIVFWAWVMFCSLDLHRKNINRAISDDMLPELGMNTND FNYGQTIFLASFLLAELPLPLPSGLVAKKLGADRFVPMQIVSWSILSGAQVWITKRAH FYVIKALMGVAMGGFIPEIVLWLTYFYKSNELPLRLAFFWTALSTVNIAGSLMAAGIL QMRGIRGWSGWQWLFLIEALMSLVVGIFAFVLMPPGPCQTKSWFRGKDGWFSEREEFI LVNRLLRDDPSKGDMNNREGVSAKGLLKAIKEWEMWPLYIVGVCAYIPPGPPGEYLSL ILRTHGFSVFQANLLTIPAYFLFACNLVILSYFSRRFKERGFIASLSQWWMLSFFAAI VALPDAGVWVRYALLIGILSYPYCHAILVAWTAENSNSVQTRAVSTALYIMFVQDGNI IYDNIYREDDKPLYKRGNKVLLAMVCLNIVLFYLTKAFYVWRNKAKEEKWKAMTSEEQ RDYVLTTLDEGPKRLDFRFAH NEUTE1DRAFT_77655 MGVQGDSSSSSAASAQEENAVDNRTWGLKHKVEAIKELEQSSGI PARELGVTWKDLTVQVINSDAAIQENVISQFNIPKKIQEGRQKPPLKTILDNSHGCVK PGEMLLVLGRPGSGCTTLLNLLANKREGYVAVNGDVHFGSMNAKEAHKYRGQIVMNNE EEVFFPTLTVGQTMDFATRLNIPYKIPDGVASPEEYRKENMDFLLEAMSIPHTKDTKV GNEYVRGVSGGERKRVSIIECMASRGSVFCWDNSTRGLDASTALEWAKCIRAMTDVMG LSTIVTLYQASNGIYDLFDKVLVLDYGKEVYYGPMKEARPFMEALGFECQEGANVADY LTGITVPTERVVRSGFEKTFPRNADQLREAYQKSDIYPRMTAEYNYPTTEEAREKTKL FEEGVAVEKDKHLAKDSPYTVSFFQQVKACIARQYQIVLGDKPTFLIKQGSTLAQALI AGSLFYNAPDNSAGLFVKSGALFFSLLHNSLMSMSEVTDSFSGRPVLLKQKGMGFFHP AAFCIAQVAADIPVIILQVTVWSIVLYFMVALSMDAGAWFTYWVILIAATMCMTAFFR AIGAAFRTFDAASKVSGFMISALIMYNGYMIQKPKMHPWFGWIYWINPMAYSFDALLS NEFHDRIIPCVGVNLVPNGPGYADLDHQSCAGVGGAIQGENIVYGDNYLKSLSYSHSH VWRNFGIIWAWWVLFVGITIFATSKWRPLSEGGPSLLIPREKAKIVKAIQNNDEEKAG ATSSGEETVYDKEASAGEAKDSDKELVRNTSVFTWKNLTYTVKTPSGDRVLLDNVHGW VKPGMLGALMGSSGAGKTTLLDVLAQRKTDGTIKGSILVDGRPLPVSFQRSAGYCEQL DVHEPFSTVREALEFSALLRQPREIPREEKLKYVDTIIDLLELHDLADTLIGRVGAGL SVEQRKRVTIGVELVAKPSILIFLDEPTSGLDGQSAYNTVRFLRKLADVGQAVLVTIH QPSQQLFAQFDTLLLLAKGGKTVYFGEIGDNAQTVKDYFAKYGAACPEETNPAEHMID VVSGSLSKGKDWNQVWLESPEHQAMTEELDRIIDDAASKPPGTLDDGHEFAMPLLEQL KIVSTRNNISLFRNTDYINNKLALHIGSALFNGFSFWMIGDSVSDLQMRLFTIFNFIF VAPGVIAQLQPLFIERRNIFEAREKKSKMYSWIAFVTGLVVSEIPYLCVCAVLYFACW YYTTGAPHASSRAGGTFFVMLMYEFVYTGIGQFIAAYAPNAIFATLANPLVIGILVSF CGVLVPYQQIQVFWRYWIYYLNPFNYLMGSMLVFNLWDKKIECRDQEFAVFNPPNGTT CAEYLEGYMMGMGRATNLINPSATEGCRVCQYTSGSDYLQTINLKSYGYGWRDAGIVC VFVASSYAMVYALMKLRTKTSKKAE NEUTE1DRAFT_36433 MTSPQTRQKRKRACDSCHRRKIQCDSADVPSSNCNWCHHHGMTC TFNRRLVGRKTWARNSTNSTTTSTPSASAGPSSPSSAIVDVSPGIGPSFSEPRPPNAT QPQPHPSPLPPHPQSLPPLAAHLGISSRPVSNPDLSNSYHRHSSSFGGSIDDKIHAQH LDPYHPHSHSSSAASTPPSTSGFTRPTSRSGHHTFPFSVHPSSLSRTHSPHGSVSSDH TYHTPAQYQHQHQHQHQSQQHHHQQQHHHHHQEPEQVHPVYTHFPVPNPGCGSYFGKL HFAGYHLGEISSYNGIPFFSEDGQAWIRSRTGQQASFPAIWGDIPPWQTRPSADSGLL CKVAEKPIEQIELPDRKIVEQYLSFFISSEFRLAFPLVDPVLFKQTLDLAYAHGRGDR NGLGFEHITAKACVFAFLSVISLLEVERTQRFPDIPNIDTDMMAANAQMLLPLTIQAL DITSLQASFMLCLYQLFLGQVQSSAMLLALACRIMFMLGGHKLSNASRLPTSGSGSRS GTTTPASAPVDLADHKRRHLRRLFWLCYSVDTDISLRTGQPPSIDDDHCDLSLPPGYL EIQFLDRRELEILKERHFSSLNSHPNSYPNMPSPPPYPYNQGAGPFGSNNPFKAPGGA PPTAMTSAPQGQHAPGFGHPSLEFPYRQIDETSVPALPGDLRLTLIKSKTCKLLYSAS ALRKSDAELLRDIRELDDELERWRLSVPEWYRPALSLSRTSREGPPPRGGDETGTWSS HGSGRASELDERGQGQGYGQSQKGHGKSAGYDPTTMSAGESVRTIIINLQYHHLVATI HQATGRCRAWQNRSSVLEESSMSAARTGEMLMEGVSSSLALSVEANLIKGIRIRRLTH TELLHLKTVDEFMGELMRLGGCAIRRAKEEKREREMRDLERRRMGGLGGYRVPELL NEUTE1DRAFT_108030 MCHWLARYRCLCRCLVGSATICPDRLKSAPFLALPEGIKEHKLN EGYDPASCPNLKKTVDIHEEGTLLDSCSASTTSICPISKMGTSKGSRSVDESKVEAIM KRDDHGTEVALHITMYDRDAALAKES NEUTE1DRAFT_108031 MCTVTYNEIKCSLCKTLIKSEVDRSKCYEVWNSEFTMNPLDFGG CKEGQTSVTKTKEEGMCDGCWLCGDVGGGSWNEGDGFWLRGLDCRGIFYKTHRAPLPI PIPNIVPPPSKMCTITFNETYCSHCKNLVQSDIDRNTCYEVLKAEHTAKPLAFGGCKD GQTTETTTKEVDMCGDCKAKDRGANVWKGSSMGAPPDMPQW NEUTE1DRAFT_34114 MCTKKQYLFVVCGHTEWCESQCQTKLKRTLMNPKDEKYPPPCIP VTEVTDPIRLVGKCSTCRPRTRSARSAFGTTSATSASERDAEGSLEVGCRLRLWWKKL TWTW NEUTE1DRAFT_58975 MATTTDTVPAGDFEQQTQKFLEWFTSQPGATFHKDIKLVDLRDK SAGRGIIATAPIPADTTLFTIPRASILCATTSPLAKKLPHLFKGPAEPTVAEESPSHT ADADDEPPSPENDDDDAEDSQSQDSWTLLILILMHEYLQGSSSNWSPYLSILPHQFDT PMFWTEAELAELQASALVAKVGKDEADKMIRTKIVKVVQENEDVFYPAGTPKTQRLDE GELLKLGHRMGSAIMAYAFDLAKEEDDDEDEEEEEDGWVEDKIGGMNDTMGMVPMADM LNADAVFNAHINHGEACLTATSLREIKEGEEILNYYGPLSSAELLRRYGYVTPNHARY DVVEVGWGLVEGGLKKMVEGIKGRKKEVDWAKVEELVEEQKEEEGEWEDSFVLERQSE DPDSEGQVHGEAEFMGLPEELEEQVKTYLKAVKKVVGTGDRAVAEALGNKTVRKEILL GGVRKALEEREGQYATSLEEDEKVLAGIEGGRPTTRKEMAVWVRAGEKRIIREAVAWV KKQEKELIKEKGQEKDEPSAKRRKA NEUTE1DRAFT_116156 MAALTPSRSVFASTCKTVAQQCSRRPVAVQQLAAVPVARQVSSS SAVQQEQDASGSSSSQQPRPRWSYTPERMKGPGFSLNLVKDPRRKQWMVNSDPAKLDA FYDAFLGQGGSRMLSEETKWLAVTHKSFDYGRRGYNTRLAFLGRQIIALETTRSILTS PVLNEPIVDKYGRTPYNHAALANIDKLIYTQPLDIMDKTKIARMGIDFGLLTVMRWKP RMPEDLESSGVVVVLNSTLFAIIGAISLEKGAAVAQRIVREKILKRLGA NEUTE1DRAFT_77663 MSSSSNQVNAGGSTTNELLEKLASVLPKDLKFSIHHLSTPPTRT DALFVPPPGEKADKTYCEKHFLALSIEAPVTGAVKRSSPGADEAKSTETATKQVIAFA IEVFIFTTAFQTVLFVSKADSTGYLHLLKLPRGGPSPISEVSSTLVSYLIQHRRRKNI VSVVNLFGRAQSQYLFPGSGENDGIGPGREKHILSDRGLIKWWCRVLNPLLEDQRKDD RVAATKGYLLVPGLEERDMRAFIPRTVTSANDWEIGHPMERISHYTQKFDWVPPRCLI PRYPDDPKSRYRDELDEESAKWKQDMGAWKSIRTLNQFWDTMAFRQECSSGRLTGFIW LVFDPKKSETEEQATQATTASFATSFASAPLMTPTGSFDASTAFPPSTPPKRRADVPA RTPQASPLKASFTAGEITNSQPDMAKETSKTEKEKKKKLKGRITPRVPKVKKHQRNYL FKRPNTTAYYHWPTEGRGDLIVDEHDYKRVVELLLQLDFETLGKACSATKRWISEAGM GAKWGHEVVGRRVQTVTSATADRGSAAPVNNLTGLVRKKKPAAIESGAADVNVLGAGL IRKKPKTSA NEUTE1DRAFT_116157 MYQRRGGTYPTFEVVLYTYEVMRELLAERSVGEDVVGLGDWGRE DDEEEEEEGLRGMKREQREEKGQLFNFT NEUTE1DRAFT_120495 MAVLPLPDLGTWLFRRGDADRKRVAAENPVTIVKQLDGHIKTLK QANLPHSLKDSEKDALVGAMLLMGKSLQDALNMFMAEVLPHDEYPKGWNEVLSGTLGG LQRALADTLVTLERPHAAEAGLSMKQHQESLKTRTLSLELDETLPQVVKPGLNRSESA PAGTTTTTTTTATQGEGEISPDFPPLGPPLPLRLAPVPERFEPAYEYRDLAAENPGVS MAVIQELRAEMRVNAAIQLAIESLEFTEGQLQLIKQVDRAAGGADFEPVQQHFYEGYD RILRRAVELERRHASSEVPVPVSQPPPRGDNQNHQNRPQTSHTQNSAQLSPTSWRPRP PRRISLVTIPPPHEAEAHSRGGGGQRQSSYNQQKRPGTAPNGGMMHRKRSIMFNDDGD FSDYASTLGNSRSYSNLRSSQGSHGSRGSMEAMTMSPTGQAFDQRARANVRRSTVHGM VGMGIREEDERPQHSRGLSQSQQLDPPTLRRRLSLADELAMASNTDSDSSNYGDDDND DYYQEDGDGGGGGEEEDEEFGEFGEVGEASVIQSELGSGSDRGLASPRTPGSAVGPGP GGFVRGMVHGGLMAAAAGGNNNMMNLNDSIHSRGSSLDSIPMSEGLVGQIPPSEYGSQ FDEGSTYGGGRGYGGGYGGGYGATHSRQVSSVNIDDRISYVPSTWGNVSAVEEGMEEE EEEGQQRLQQYEQEGEEENEELRSLGGRRLSGYFPGAGRYDGEYDDDRDYGDEEEHDD MDEQEEEEGHSRYADEDAGMDGGGDDHDRSGEASADENEELTEIIEQLRPYMMLGGAA SSNTGRVSA NEUTE1DRAFT_58985 MRIADRAVAVVVVDIIFTTLAFITVCFRLFTRVVLVQNVGSDDY YIVGSMISSIAYLTVVMMQIKAGLGRHLMSEDEVVGFLYALWATIWIYSLALMFVKMS ITVQCYRVFRTPRMQKFFKIYFVLVVIYGLWTVFGTFFTCWPINLWWEMVRRERAPKG VCMDKNIITFANAGINIASDLVLAIIPIPLLWKLQIPKKQKLVLTSLFGMGMFASVMS IVRLSSLQQIGAAPAEEQSVMGVSIAIWSCIEVNIAIMCASAPALKPLVIKVFPKMLL SDLYAKTKGAYDASKRSKLGGGGHGGKYGHGHGDGKSSEQSGKRGTHNRSAHSIGGSA MRSGSNHRCRPGEIQVEHEIEMKSVPISEVLGEGGGGGGGHHSSNIPLHMNAGGSSEE DIPGRISPAGSERKLVWQDEFPYRESGQGQGQGRGGPVGMARGLPERGMGMGMGLGHG KKVTTITTCVATSRQETSSRQEAREAKEREMV NEUTE1DRAFT_77671 MADTAREAGSSPGIASQHDQHPEKGTAQSSQTAASDTSSSRSEP RPHLHAKTFLAVFAICVIYFVQIYNVVGSGAQTNTIAITLGNGSTADGVWLSSSIAIG TAVLSPIFSQAADYWGRRWFLVLSTFIGAVGSIIVARATSMNMAIAGLAITSISYGAQ PLLHAVSSEVLPRRYRSWGQAADLIANGLGGITALLCSGAFSRTSNVPSEGFRKFWYL GTGLFVLATVLCFVFYNPPQTERERSFSFSEKLGKLDWVGYFLLTSGIVLFCIGLSWS ENPFPWSNAHVSATFGVGMALIIALAVYETFFKKDGMFHHGLFKSRNFPIALVCLFCE GLAFFSANNYFAFQVGILYETDALLVATRYSIAIIVSIFSAAAAGMYCAHYKRVRWIT VASFCIFTAFFACMATSGVGFDTEVWGYPVLLGTALGMSLCVLVTIAQLSTPPELIAI ATGLVIGLRSLGGSVGLAIYNALLNGALNHLGDNIAQAVLPLGLPPQSVGPLIGALAD HHEELIPKIEGVTPQIIEAGVYALKETFATGFRRVWTAASCFVAVAAIAACFLKEEGK EFTMRIDNPIEKEEELYSDVERAHA NEUTE1DRAFT_58990 MPALTQDQSLDVIIVGAGIAGLAAGISLRRAGHNVRIFERSELN NEVGAAIHVPPNANRSLVAWGLDPVENKFVASTGIAIGSGATLQQFDFTPLGDWVPKV YGGPLYFAHRVDLHESLKKLAMGLEGPGKPVELSLGVQVVAYNPEEPSITLSSGLVKK ADLVVAADGVHSIAVETILGYANKPEPQSLYNGCYRFLIPAVDLDADEETAWWNKNGE SEGLMRIYTASKFGNRFIAYPCRGGEVWNCVGMFHDDELEGATKEDWQTPVDKSHLLK SFADFHPSLHAVLNKASEVKRWPLLYRGPVPTWTKGKMVIIGDAAHPMLPHQGQGGAQ GIEDGIALGISLSGATSEDIQERLAIFEKARRHRASAIQVMSNAGVDQAERVAREVAQ YVSVPLDSQAKFAEYNWGHDIVDTTIQLLRGSADAKFELPEGFFKGNPFSPQNAPKYA NEUTE1DRAFT_77676 MSAATPLKIGFVPEHFSTPIHFAQKHYGLSAELIPFPSGTGHMI TSLRSGEIDVAVGLTEGWVAGLGKDPSTTQDASFGTDGGYRLVGTYVETPLCWAISTG ADRPEIQSVDSLKGGKIGVSRIGSGSYVMGYVLADQRDWLVPTTAVGTDMETASPFSD FVVLNTFKNLRDAVNSGEADFFMWEHFTSKKYYDSGEIRRVGEIYTPWSSWKIVASTK LFDEQKKLDERVEEAMEKINEGIKHFEEHQDEAVKYISTELDYSEEDAREWLKTVKFP KQVQGVDQAVIDKTVNILRKAGVLTEGRGMKPEEMIAKTR NEUTE1DRAFT_120500 MTLGNNPADGGGGCGSSSSSSLSFKVPRVPSSAITTTAATAVLA AAFIPMASGHDHHEDQIPEGATISVEPLDTTLWLHIFLQTFVFGILFPTGMVLGIVKS RWHVPLQVLATALALLGYALGHLHKGRQFVSNNVHASFANILFLMMLAQVVLGVYLKL HLEKGFQGRIRPFFRILHSINGKAFPLAAWVQMVFGGITALGFCQGDHLGQCLAHFIM GSAFIAYGVLLTIVLLVGQLWLRRTGRSQEFFDSAVIAAWGCVNTFTEHRWGTAWVRN DWQHTTMGVIWWAAGLAGIWLSKNRDGTPKRNFIPGFVLLMTGWAMSAHPQELMISAM THKMFGYSLMGAGLTRIIEISFILKDKPAVSDQGYETHSFQYVPVFLLYASGFLFMSA TEEQMALIAGSGIDHVAYVLIIYSMAFILFLFVNILIHLYDRGANAGSLNKSTSTHGN GAIRLNGRATSATTNTQTQQQLRDAGEFELEGLMSDEEDDDEVAARRNLLKNERDEVG IASPGTAARR NEUTE1DRAFT_58999 MEKDITDEIVMSDPSPASTPTPTPLEKKNPLETITPVSANSSLT ALDRGVEGQSTGEPTTLVVAFAPNDPENPHNWSKAKKLLIILAATLASTNSGFGSALC SNLSPLLALEFNFPTPGPQTILPTSVYLIGFIFGPLICAPLSETYGRRPVLITGFSIF FLATLGCALSNSWALFLVMRLIAGTAGAPPISVGGGVVSDVFADKVMRGRMMMIWSAS SFVGPLGAPILGGFLGRSKGWRWVFWFALMLAGVSFCAVAMMPETFHPKLLKIRAEKL NKQMEAEGKAKGVRYVAPVTGGGNADGEKMGVLRQLSITLSRPILLLCNELLLGLTCM YLAFVYAVFYMMLKIGGTIWSGTYHFSPGIAGVAFMTMGLGTILACFVIIWYDSYGPK FAARRPADRKSEYLRLPVACVGGPIFVISLLWLGWTSRQSIHWAVPLVATVPYGFAYH MIFTAMINYVTDAYSPLNFAASALAACGTTRSIAGALIPLAIDNMLAALGVAWAITVL AIVSAVLCLVPFAFIIYADAIRARSPCSRLGGLTAEDLGAESDEEEVEEEVEEGGNRE DLEKGTGAALKRERTQKSQRTVRSHRSRMSRRSGMSRRSGRSILEMSGANEVGMGELT RSLSAL NEUTE1DRAFT_59004 MGDLRQNASYPDIASGDASTNPNPDQAGLLVQPNDDSPKTIQNA HPSGKEKHNRLIQILRGVSLAIFFTACSVGIVATQFLGAPLYWINRDLYYTYMALTKE SFGLTITTMTQWWGPTTIRISGDGSVAGQIKKTPDGRVEFNFPERMVMIANHQIYTDW LYLWWVGYANAPRMHGYLYIILKESLKYLPIVGQGMMFYGFIFMARKMSVDQQRLAYR LGKLKTKHTHDGKQYLNPMWLLLFPEGTNCTQNGRDKSKKWADKMGIKDTEHVLLPRS TGMYFCLKELKGTVDYVYDCTVAYEGVPRGMYGDQFFTLSSTYLRGQPPKSVNFYWRR FAVDDIPLENQEEFDVWLRERWYEKDALMEQYLTTGRFPPSPATKEGGHEGHLETEVR ARHPLEFLKIFSVLGWVGVMATTAVRTWGRVAQWTS NEUTE1DRAFT_128131 MSRPLFLARNRHVHSIIAAMAPKQPPRMTVFRLPRGGVRHFSVS AARRQILDIAALPDRIVPHYQQTKASSLLSLIWPQPPRNVLLMPKLHAPHVLVSAAEF AKHIYTNYPGLNLVFESHVAKSIHDQLPFPIYTAAPAEATTLFANKIDLVTTMGGDGT ILRAASLFSMHHQVPPILGFSMGTLGFLGEWKFQEYKRAWRECYMSGCSVSVEDLVEP HTQVAARQAANAHLTDPHKDDNTLQSPAWDTVRGNGQCMGLNRASKILLRHRLRVGIY DAQGRNINEQLLPTSTADPGDDIIYPSPVSAPGTGEITKKAPIPYLHAINEVSIDRGA HPHLAIIDIYVNNHFLTEAVADGILISTPTGSTAYSLSAGGSIVHPLVKSLLITPISP RSLSFRPLVLPLNTKVVLKLSKRNRGRELPVSIDGKRRVAVSHGMEVRVEGERLEKTV EHGWRGGVPCVIRSSSKGDTDGIAQDDDSWVGGLNGLLKFNYPFGGGEPEGGH NEUTE1DRAFT_116159 MIPVSLSGALLHQTARQPSTYIPSRPFIFVARPGTKSTLYYRST SSSTSLQ NEUTE1DRAFT_77690 MEKLGTIKAREKPLQRESGAPPPNNLNLGLVGSMAGNVILPAKS PVIHVDEKGIEEVRQALQACADLGLAGDAINKASFPLPTFHVVLDEARRQVHEGQGFV IIRGIGLSDSAQNNNNMFLGLASYIGDVRGAQDKQGSMLSHVTASKSWTVPSELRHGI HTNTGLAWHNDMGTDVIALHVRSLAEEGGNTFVASSWTIYKELATSYPQALELLCEPC WPIQVSGNPPRHIVAPLVQIFNNRVYLSADPGRLGLHPVTAKAGLSSSIPSLTTSHLQ ALEILSELATRHRLMLDTRPGDILFINNWALIHARDSYKDPKDGPGRHLVRLWLRDSE SGWKVPESMRVPWEAAFGPNGDGYPTGVTRREYPLAPSHEYKPPKYTAGSAAFVLDDE DDVNGGNNEP NEUTE1DRAFT_77693 MHCKARCQSAAVAPPPQNVYQTYQPSISDFKVDDTPGGNSFRVP NAPGMGQKTDSYAQEPVTFCQTLQSHTTLDISSFSSSHPDNVAMSSSCFSPRGHNYIT YGYPPHSHLSVYGASSNPALSAPNPNNSRFPTPMDQTVGYDRVQVIHGSEVNRSIMAP TETSMFVPATSAAGYSQDHIVEQQQRWVEVMKGREDKVVNEGLAGDSDFEDRELVPVS TPLGGSYGDLSHTLTGSNMGLVGAGSSTRTENALRRGSVEKKKRGPLEEDKRKATCDT RSMGACIRCHNQRIRCHPNPLEPHNRDAPCQSCLLFSKDSKKTIHNIPCLRDKIIGIR IFRAGGLNLTKRFTHTQVVDVDVSDQCGPTRRVEMVQGLCNEPKVVVRLCKAPIVLEV RRFNPAPTDINERRYVANGYPASQPLQPFCLVNVESAAAQFNQHISANALLGLEEAVE KSDGIVKRTFATIAEMCRSLSKTTEGKHSKNNIEARRQRDKRELLTNAVRLWFAIRHG IGSAWLDSSSDTLDMKPVYKDDYPLYGRVDVPRMIVAQFDSIRHERIYKILAPRVLKL YEKLITSSDMQNWFTIYLVTFLFLHQVSCISFDRYRRVRDNSGGRQQETRYGPIGPSP NSPSDFVESAFVEEVQHGGVVLLAYWQYFKRADLMRMNWDDIADTKLNTLEPEQAELL RWTVEQLKSVDPNTGKSKLDSIPKTPAQGCWEHELYWVSRMFDSTATRESPWSPPETF TRAKPSVGREYTPPRPSQSP NEUTE1DRAFT_108046 MAEDLDTYTHLPLTIDPQSKSISLLASSGLSSVQTRALESELAA LNALHRSLHSLDAPHLVPPPPIPVNPKRSAQVSKLRDSGNAEYKKQKYSEAAKFYTLG IQMALARPLWEPSQLVREEVHSLFSNRAQAHMWMQEWPEAAVDAEASVEAKRVGNAKA WFRRGKSLLEMGRLEEAREWVGRALEVEGEEKELAELGREIEKRLEAKNAGAGAE NEUTE1DRAFT_77694 MSTSFDSADSSRPMMAPTTQNRASVRLSRAASMAPSVAPTFSTT DTAVAQIVDIGKGLERMENKALTQQRVQLSEEKSVNLQKLALGAKLERALDRRMSGQD AVMRPRKPTITAASSVISEKERVEAI NEUTE1DRAFT_59015 MCHGHPAGHSCGHTSLHWHYCPAAKIDLNTGYQEQCSNTTMAKE QPSNAGCPLQNCDFKNTNGNWTCCQCNSPNTTGWCDGMLPNPRWERNALTNEWQYIQT CDHNCCRNCPREDRPAPAPGSSAESSRKKRKDSSKRGTRDPRDGVSMTAEEVGQSTSY DIALDYSGKEKKHNKESSSQSRSRRH NEUTE1DRAFT_120508 MCTYHYLHHHHIPPCPKDLYFVIHYLFCSDATIDFTTGNRQPCN NAIYDDSHLASTAMSNSISTLSTSPTSSQSSASTTSYYSDDGTPFSVDYTSLYSSTAS ISPTQQQQHTQPQTMDFNNPCASSTCLTSLECVEAKGMSMRGVKHGRGW NEUTE1DRAFT_98684 MNSQTMERSWSSATTRDAKAARSGIPRTSPSPSLPCPKLRRHSR SCFPSRRPGFGLLSRGTTFRVSPTPFSATSRRRGESSGAMPKRPGSSAPWLLEQTPSA LPRLPEQSALAPAPTAAAAAAATATTSALPPKGGSCLHPAPAAPPPARKRKRKRTKTK TKKKTTTAMTLAPAPTLALVLAPARAPVRLALGGRAPRGGYTTTTSSAADGKVAPCFV VRLLLGVGGVDGGGGGGRGGRGGAGGSGSGSGSGSGSGMDNVEVMR NEUTE1DRAFT_98685 METIPESLNGELPPRPSGSSHGSRGHAYIPNLHVPKRSNTFSSS TSTSQYSHQRHAAAEQERPSSALSRSFSPLSPPSPSGSSSSSSRMMGGGKSTQRVKEW VIKRSNSTREPRSKPGSRSSSSSNSGDQTVEIIHLGGGRVDPNGHVHTSALSLTYTSS LSPTLLAPPSRARTSFANSIDSRVTQWGDLYHDQPESLTVTAKPGTATGKPPRPVSPE LHERPQLRELGLGGVYNGGWGQQHEQEGQGEGHQSRHRHTKSDGSIHPAPLRLPSNSS SSSSSVGNQDGGAAVTAQPEETWMPQGLTRSDSKWKPLPVPPPPGAPGSITPLVDGGR RAEDNINMAGAICYGSPLTSIESESEFGEREDTPTPRERYNQGAPHIVNVMVQNSSGS RTARDRPSPPPSASSSFSNDVSGLGIGYGGVGLGVMSPPLTPPETKETDAESSVSGGI RESMWPVPPSYSLSQTRPQSPDSAAPSRSATASPEKQQHQHHQQKPSTSPSLPASTSP ALASSVPTHMIPKRSDSLSYSRSAKKPYHAPQALRSTASTSSLRTTEKSGYFSVTEQI AFDTTTTTAAATTVAPTPPLQQHRFYSPTITPPTPIVQGPSSVASSRGRTTPSSSTPT TPSWRPRASLSSKEMLWLHRNYRGITAFMTAWGLNKGLGDEAESKEGLSIMRELMAAE LAEVHEEEVAVPSPTKTTTTTTTTTTKELNSPVPAKVETSPRPGQVGDVWDAEDNEDY GRASGNYNGKKNVGKGDSGDESWLEISPGEKNANGRDVKEQEAAGQYQNGHASTALKG QQGQGVRMMSPRVDSAASNREGSDFI NEUTE1DRAFT_144727 MGTDTKGSKPVASPASSVAASEILDVNGSPISGDSLEYTVPESR KIGVTGAVFLILNKMIGTGIFSTPSSIFASTGSVGVCLLMWAVAGLLTLSGLSVFLEF GLAIPKSGGEKNYLERVYRQPVYLVTSVFAVQIVLLGFSAGNSLAFGRYVLLALGYDL PDGWPARAIAVLCISFVVFLHSVLPKWGLRLTNALGVFKVLVLLVIVFSGFAALAGHR RVPDPHNFDDMWSIEKGDGFGGGGAYAYATALLQVVYSYKGWENANYVMGELKHPKRT LSIAAPLAVVGVTILYVLANVAYFAAIPKAELAKSEVIVAGLFFRNMFGESAAARSLP ALVALSNIGNVLAVSFTHSRVNQELAKEGVLPGSKFWASTKPFNTPAASLLLHWIVTV IVLVGPPPGPAYNFLVNLYTYPGAWINGLVAGGLIYLRLSKTEEWSSPWRTWLPVIFI YLCLNIFLAITPFIPPNDDWNADGYPYYAFPLVGTGVLGLGAVYWVVWTKVLPRFGGY ELVAEKVLDETGAEVVRYRKLSARNVPSSEGESSERGSLLRRSTTAGASADHGSYGAI EA NEUTE1DRAFT_36756 MTTDSTLAATADAKLAISGDNNTKLTKEEQLALINVNLAEILNP EIIEKVIDEGRAPRIYWGRPHCGYLVPAIKIAQLLAAGCHVIVLLADIHAVLDNLKST VELVGYRAKYYERTITALLKAVGVPTDKLEFVLGSSYQKSPDYIMDVYKLATLVSEHD AKKAGAEVVKQSDNAPLSGLLYPILQVLDEEYLKVDAQFGGVDQRKLFAAAKDWLPRL GYKERAHIMNPMVPGLQGSKMSSSDPDSKIDLLDAPDVVTKKIRKAEAAPKVTEGNGL ISFIEYVLLPAAQLLGKEGFRVDRSRDNLEPLVYTDIAKIKADYESDVLTPQLVKPAI SAAINELLTPIQAAFQASPEWQEIATKAYPPEIKVKKEKKVKNKGTRYPGAAAKLEDA KDEATPEPATENA NEUTE1DRAFT_94058 MLAQRAGTTALRRVAAAPSSVFKLAPFAAMQTRPIQTERLTPAD EAAILAKQRLNRPVSPHLEIYDPKQTWFGGSAWQRITGASFSGALYASAVAYLAAPLV GWHLESASLVAAAAALPVAVKGALKFLVAWPFVFHAINGVRHLAFDLGIGFSRATIIK TGWYFWGASIVGGVYLAFFM NEUTE1DRAFT_128140 MVIGNSRWEGPDILSVGRRLGVPNQVYVFLHLPGLELNFHPRDL DRRFADHHSAPDFTNKHYHTEPTNSRAMAGEGPKLLWNPENVKDVAESIGINLTEEPL RVLTQDVEYRIGQVVVEALRFMRAANRTTLTVQDVSQALRVLDVEPLYGYDSTRPLRY GEASIGPGQPLFYIEDEEVDFEKVINAPLPKVPRDMSFTAHWLAIDGVQPSIPQNPTT AETSSKDLLPKGPGANPAVAALAGNDNVAFRPAVKHVISKELILYFDKVQAAIMDDDP DEEKTRLRSAALDSVRSDPGLHQLVPYFVSFINNQVTHRLDDLFVLRQMMELTGAILD NPSIFLDPYASSLAAPVLTCLMARKLGGSESSLEGTDALKDQYRLREVAASLLGTIAR KYSKTNALLRPKLTRTCLKFFLDPSKSPAVLYGAISGLAAAGGPEAVRILVLPNLKMF DEGILTPLREKGEASHFEYEALVGGIMKAIETLVEGITLPVVADVTELEREGQLVIEF LGRTIGERVARLGNQHLNRGILEVRHLE NEUTE1DRAFT_98690 MDQTSATGAAAAASAAPTASSAAPAAPAAPPAASVAPAPSTCSC PARNQRVRGEVAKSVEDAPGRSAMWIVRTYPRLSRRSKFTDLMSRAPGRTVQGPSDGW LRDVSLLGYLLPHLLPLKEGTFSASQRPRRWAGLICHRFELLS NEUTE1DRAFT_98691 MEFSTDSTSPQKESFQPKEEDAMGEGPAGESGEDTHLQEESFSH GPEDEIYMENSPVVERAPAAVEETTVTNKAYEPSVTEWSPIAEGSPIGDGEGSPIVKE SPDVEWSPISEKEPVLEGEAVEERASSPSIETPYYHVDTPPIAEKASPIIEKAPVIEE PLVVTMPTVVNKPFDLAMTPVEKRTYFMEAAPPVVEKVQPIVEKTPSPGKAHATEETH IVSKKPVMEKKATAEKKTPVVQKTQVVGKASATKETPFVNKVRVPIMQKVPVLLETTV SKMAPFVEKFRKEIRALQNYQEAPSAAEPAATMSVLFLFVLYITLFTLIFRLNASSVL LFLPRL NEUTE1DRAFT_77711 MARKSILTALAGASLVAAHGHVSKVIVNGVEYQNYDPTSFPYNS NPPTVIGWTIDQKDNGFVSPDAFDSGDIICHKSATPAGGHATVKAGDKISLQWDQWPE SHKGPVIDYLAACDGDCESVDKTALKFFKIDGAGYDATNGWASDVLIKDGNSWVVEIP ENIKPGNYVLRHEIIALHSAGQANGAQNYPQCFNLKVEGSGSTVPAGVAGTELYKATD AGILFDIYKNDISYPVPGPSLIAGASSSIAQSKMAATATASATLPGATGGSNSPATSA AAAAPAPSTTLVTSTKAAAPATSAAPAAPATSAAAGSGQVQAKQTKWGQCGGNGYTGA TECESGSTCTKYNDWYSQCV NEUTE1DRAFT_59032 MRPIFLRSVFRVAIIATPILLGLHYFITHVLALIHIFFQHPGIA ITQREVANAYLNGTLQESRKAPQIPKIIHQIFHNWKDPANETLPSDWEQQRHTCIDIN PDWEYRLWTHKSSLAFLSTHYPTFLPAYLSYPHPVQRVDALRYFLLYHYGGIYLDLDN GCLPSIKSGSSSLDALTYFPLWITDGGRGALSNNILAARPNHPFWGRVVAELIEGRGA LPLGSLTERLAGFWKRWWCLWGVLRYVEISWGVGQWFIGGVWDKYHRMLQLGKKDAVG GKIVLEGVDEEIGDHLWIFSMVLGSLVGLMGWLVRRYWRAQRGKGYRKVKGEDMEDRS E NEUTE1DRAFT_144733 MQHPSQHPVVIGDPDLGVKIMEFENEDDFLLQQRRSPTPRQRMR ALLRQMRYGPLGRLRNALFFLGTLLIFGWIMLPYDNVVRLGLRFNVKKFQHYLNSHPV EKWLFAPPAYPVDLGTDTVVIVKTGYGTRIRANAWFEALSASNEFRDFLIIADYASKP GQEANNHGTPLLIHNVVNQTLSSLGPAASLSNSRVAKYLRFAEAIENGKQEEADQLAK STGWEIDALKFISGLELAYSKFPNKKWYLLVDDDTYLVQPSLKPLLAHLDSEVPYYLG NAVGDFRVRFAHGGSGIILSQGAMSSLILDNPHAVRNAHLESLSETWGDRLLARTLIR AGVYLDEEYSHLFSGEPPWWAKIRPDRFCSPIITFHGLGQPEKMHQAGESFRNVSKPL LWSDIWDVYGMSRPWRQSTLTEDELAKPIEYANWDHAGGSGGEVAVVEGVEKAEECGR KCYERGNECMAWTWEKETRRCNLSPWMIVGERVEGKVSGPNGKLARELEMVCLKY NEUTE1DRAFT_144734 MYWLGALSFAAAVQAQGFSMNMLRFGCSQAVIDRIDPLVEPGML PSSHVHQVVGGNAFNATMPSGPNADISKLATCTSCSFSEDFSNYWTANVYFRARNGTY KRVPQMVNDQIGAANAGITVYYTAPGPKTVTAFKPGFRMFSGDSSRRTSPGFGKNMQS CFRCYTGANFGGNTYAPCQDPQRDTETFPKQPCPGGIRSSIIFPICWDGKNLDSPSHT EHIAHPVSGPAQFAVVGAQCPSSHPVKIPQVHYEIVWDTRQFNNKADWPTDGSQPFVL SNGDTTGYGQHGDYVFGWEGDRLQHAMDNRCFGPTCNGLKTQTFDKANKCTVKSVVNE DTDGWLEALPGMAGHPMKK NEUTE1DRAFT_37248 MIDGRFHVQAFSIQQPGSRGMLMMIRGSWISCRYLFYPQEAANN TCASWPPSFWRHL NEUTE1DRAFT_108060 MPQSRDQLYIPGLQQPLLRRMATFPVMLAAIPFNLLKQWIVQNI PSVWLTSLRGLSGNLWASIPAAITTEGISIFGLRVSFFSRPSLALLDSPEPPPSLTEM TILSGSLTISTGGNLYDESPIKHPWIAIAPMSVDADT NEUTE1DRAFT_120518 MDRNGQDTPRPRDATPDRADQKARLNYIQWEAKFLTEKPYEFIS QAPEGCPRKNFTLATSPEQTIHDIRGSEELFNLDDHAFQVVRQEIGAIPTDQKGIECD YLPKIVELLQSIDPGAEVVVFDWRLRTSDYTRTTAKAGSVIDLDDPMLILKPVHAVHI DQSPLAATKRVNHHMGARAQELLQRRFRIINTLQPHNLIAVDHVRKNYQGEGLYPLYD EAMKWYYLHRQTKDEVLLFKTFDSADEVVVKARSQPSSGELEVGSCSKPPTPRESIEV RAMVFSKDGG NEUTE1DRAFT_144736 MFCAQEEVIRNRLQSVISIVDDVCKISGAPSASVGVLHDNKIIF TKGHGTVAGNQLNLKPRQPGPDTVYGIGSMTKSFVIACLAKVRLEGKKAKFEWSTPMK DLIDGFNTDGRLQHLVTLSDFISHRTGLDGDMSIAYQGNQEFLLPKDEVLPAVATLSK VGQFRNDWIYNNWGYSLAGKVLEKYSGKSFEDCLRDYVLVPLSLHNTTTTPDPNGDFA SAHAALDNGNIYRLPNKIRPPFKKSIFETAGGIYSSVNDLLAWAKAILAAEKRSYSGP LEDVATILSNQVPLDNPSRDHRFYGFGWVRTALPNVVGLQGDNAELFNRDQLPVLGSK SQPMMTYYHQGAGLGYYSAIFMFPESNSAVVVLTNSMPLNDVADWIAQIYITALFQFE DGGKTYLDLAKKYVGLAQKSRDQKLRLVRTMRTGIDSEHNTNYSCPRELDVYTGRFYN SKGSWPGNFFIDIRCPKNWGEKDNCLELRFQGWDSQLYELRHLRDDIFEWALDYNQQA RRARFTVWDPEYFKIQFNFSPKRSHEAVTLNWAGNGMVLTRREDKLYLPRNPPKHSNG VIPFLQETLRRVMRSWRLVSRGEDPKNSDHFAESTGGRSQPWKDFSKDASSSDKDDAK RDLLVVQSGTKSGSGSQDDVCKECGKHSAECACGVGFIAA NEUTE1DRAFT_98699 MSQLEALFPTDVALVPQHTRAQDAKDKVDKLKAMLHQHDIEAPK DVLDVFMSKHAWRKPRWLDKLQTVTSNIQIFYNAIGSICQAHGIASVVWGVLRFILDA FGRYFGLLDRVVDIYDEMTRAMPVLKDYAKLYAAWPTVSDSLLDIYCSYLDFSLNAVE IFNRNPLNRIGFVRDAIGVETWKIVDTREVTSIQGPSSQESPKLSRIFSVPKARNGKF CGRKEVLAQLDRDLLPAQSSPQKSCTLHGAPGMGKTQIALEFAYRCCETFPDLHIFWV PAEDETALSQAFGKIARLVGVGRDVSDQARLVENAIAWLCENTSWLMIFDNVNNPGIF KKYQPCCNHGSILVTSQYQRTIHATTKEIPLRHLTPQEGSDFLLDHIPEQQKLHMGDP GSLAQVFTSMSEEVNGSPLVLVGIAGSIISSAVSAEGALIELQQSGSLGKRNPITNGH SAWAYDRPIDSAWDMALRAVPDKGLTILRIMSMLAADNIPVDILNRDLQGKLSFLGYQ DSARFRHEIQAALVERYLVEDSNPALSWSFYSIHRQLQSKILRDLQTVPHQNQLTFDR AVALIARDFPAFPKFMTPNFSQWPSGEKLIAHVLKLNSVYRANERSQDQDETPTEVPL VPSMAFSELLTGAGYYLYEVGLADSCVAVLETAEKISHEFRTSSTAEATMQGGQPSPY ANSLKLETTAIAISWGIIEQTQGLTGARKAMAKAKEVVALRENHASLPGLSSGDLFES QVLLSNAYNDIGIEKIHMHQYASAMDYLHKSLDLKGQLETQGLTIPAFEFAESINNMG FAALGQNLTEEALKYSETAVDLIYKDGSHDSDVTRFTFSHGVTLFLNGEPQRALDVMK QVYQNRKDIFGESGRQTRDASYAVSFIYYAQGHFEDASKTIQGCFIKQAKSIWPAECL TRAKYLESRILQALGDMTEGKKKHDEALHELDGYLSQIFPKAHAQKAERDTVTCSSHA YLMAADEALRFDYVVPFYAGRFATGKSAGRGNGRGCYPPLEDLECLLDVLGTHFLVST FS NEUTE1DRAFT_135541 MPGICPHQPPSSPTASARTSSLPSFPLSGKTALITGASHGIGRS LAFAFARAGCFVACVSHSLPLPLDSVCSGSSSSNPNPTTATLINASMSLSFSPDMHAT GNMCKDRARDFIYDVTAPSSFPQLERDIQKWVNQPVTILVNNAGIARVEAVEYQTAAA EHKGGIHIGMETWNKVIATNLTGPVALTYQFLPGMLAAGDGCIMSVGSRNAVVPVPFM AAYNVSKTGLLKFHETLEREVGGTHRGVRNFFVVPGNIPETGILKREDSVDERSYRES ERVRNMVERIEDAGKKGDNAATRAQEFAEVCVRLAAMGKDAEILSGRYVDAERDVEAL LEDAKKGKESKICKMGLYQLKVDRL NEUTE1DRAFT_77734 MHPIVLGVAIGTMVLMVIALVVRIFTKAFLLREVKLEDYSAILG TWNYLAEISYASTMFLAKSSILLQFRRIFCPGSRRDSIWWCIHILLFLNAGHSFSAIF TYTFQCTPREKAWNPLMEGHCINIAAAIIFGGAMNLFLDLGMLITPIWAIFRLQLPMR RKVGVSAVFAVGILTCAIATVGVVVRIPLLSDPDLTWIITKVGIWTMIEYCGTILVGC MPSFPRFFLFLRGKEPSITNNATRGSYTTRSRPKTAGSTKSNVTGKRPWTGHSHSMST KTVNSHVYKDSNATTAVGVASPQSQSAKRLISPTQSITSSNTFVSPISPMSPVYGSPI NNSYNSRRRDSEMEMGLHVGVGIAISTDRYEEPFASPPRTPRRPSYGHSYGDLEMGYG GYGPGPGPAVTRPRQASHGTIRSNRSVQSQTQRYHSRWNSSVSAASDMSGSFGGRYGI GTYYEEASGEDDWSPLDGSTGGYPMPGGMI NEUTE1DRAFT_108065 MSTTYKTDLHFQHETGKDDNILSQEELLCYRPISQACHAYCKAA GLDQDLMVAVTTIIIFFVVVILVGAFLWVNHNEEWDRLPRGTCRCTCICGRRQKEDMD LERQGGGQGNGEGEGEGGGQGGDGEGVKGGYMDVLYGGSDGDRDGDGDGDSDSDRDRD RDSDGDRDSGNEKDT NEUTE1DRAFT_59053 MAAVSRQPFAPLNEGRLSGLTSLKNRQNALSTKRKAAEVDPLDD AENVDPSMFSKRAKSSHSNIMKPSQYVLKKASKPVISLGKSILGNASTQPRHILQAKS QQIRLNTRIATPTTLTAPAGRSPTRGSKKGGILSKRRIQRVDPPSFGGVSAPFSLDAA LKGIIPSYSGGLGETKSTKSTTTKPESFTPSTMKSDWFFDIHEDTPEQEMTNLLQHST CVLDISSDEETEKRETRECAEGRDKENVPPTDDVSQTQSARTPSTRGANSNAGSDADD MIVEKNRGPLQEMDSQDFWPEGTDDEDFFVVPGDEDDAVATVAGPEVLGDKAGDSEEK QHSDIPQIFVDNFEDDEADEADTSSCSDSDDVDSVDGIMGVHDGPVKAAVLEPIEGTG ESFELWESESAKDQQSVPSSPAREGVLGEVDIAEC NEUTE1DRAFT_98703 MPVWAGKRGELTDNTTWLALGHSRRCREGRWDVRPGSLQDGVPT TRLLGQWMSKATFLVAEAFFQNVSGWGTSSQSVECSPRRRALLLEVSIDDWKSSYTGP RMSLTVVISERVKAVGSTGEEKDTEKYAGAQSRPCQRQFSCRTSQV NEUTE1DRAFT_77740 MASTTTDPTPTTQYGGNNNPIARGALIVVEGLDRSGKTTQVKLL EDRFLKAGKKVKTMRFPDRTTPIGQMIDSYLKSQAQMDDHVIHLLFSANRWEAVKTIT SELSQGTTLILDRYYHSGIVYSAAKQNPSLSLSWARAPEIGLPRPDLVLFLDLDEEQA RLRGGWGHELYEKAEMQRRVRELFWGLSLGRVGTVTVPVGGVSALGGAGTGGERKRGG EEVNKREAVMLAEGPTEMEEVAVGAPTPLAGAAGGPHIDREYAFRQEEEDLHVIDASA SVEEVAEELWKVVSARVEAVERGEVGKVVRRVL NEUTE1DRAFT_59057 MKGAVRTLLECIGEDPDREGLLATPERYAKAMLFLTKGYQENVR DIVNGAIFQEGHNEMVIVKDIEVFSMCEHHLVPFTGKMHIGYIPSNAVIGISKLPRIA ELFARRLQIQERLTKEVANAIMEILKPQGVAVVMESSHLCMVMRGVQKTTSSTITSCV LGCFESREKTRLEFLSLIGVNR NEUTE1DRAFT_144743 MASPPHNSYSFPGGARSPPHLPSLTTSSLSKKRAADGSGPSPNM KRRKGSVMSVGSAHPLRQTSFPPDEAPGGARSPSVDIDAMSYVSGTAQSVVSAAASAA PTVPTGPPKKKRGRKSKAEKAREQTPSVVGAGAGGKPGTAPPSEVGGSTVGRGAKSAA GGKDQGGQGQDDQDDDEGPTEVAATADTLTKEQKEEEHRQRGMLINAFSPDQFDRFEN WRAANLSKAGVRRLINATISQSVTENVVIGMRAVAKVFIGDIIEGARRVQAEWIEKTG EKQTDLPSPPATPHAAASNNNNNNLAGGEGQQQSQTLGNCAQTNGENGEGGDAAAVDK GDENMPDASQQEKEKEKERDDRRGPLRPEHLREALRRYKMGFEGGGVGMQLIWHQQQQ NGVERFPTRTGGRRIFR NEUTE1DRAFT_135548 MHRNNPDRLCIGHWSFTVTPLIAAAAAAAATTNPSSFFPPPFSP FFLLPAYSLRKRPRQNDEEEDDNEEEEQQPVDVLEES NEUTE1DRAFT_28401 MKYSAVALAAFVAIASAQDISVIPSCALPCVDDAATKVCTSKTD YKCICENKDSLVSTATSCVISKCGATVALNDVLPATEKFCQEVLA NEUTE1DRAFT_128153 MVPRGFFGGTRLPHRPASTARFHQRKSVVSLHFALAVARHPASS AHPRKFKEKEPADTRLGSPKDHLPDGQIRPEHIAIPPTTHCGPPLCPSRTISSSICLP GLGDRSLTAGRHASLTREAQARPRASRPARERTPSVPTLTTTTTSTFPVPDRRMPRVS QSAKRQQGANNQRDTRHEGGLVGPGKRIPKHQRSHQQLAALDQRPTAAVVDNAVPTAT APQQNNNNTTAANAFPAQPDDNNTTTMAAESLRRGSLGGAYSESESAESLAFPPLQSS HEENHRQINVNDAKNTNVHRDPGPVEYALTVLKSCPIYDTIAILIILMQLSPAFLAIV YMLFTLLTFVPPVTTSSGLTIADIFDGNQGTPSLTTLVCMDVAVLGIWLFLWAPMQQF ILDLAQVVISLTLGGGGSSRTSTSNSIFLCVAIITASQWTRQARWNGLTHLSTLFGTN RFFPTSHGDPIEHTVRAFEKKGPHGWGLVRYIREWYLRRERRDLQSQSQLDPEAGKTV SFSDSCSDAALMAADSDAHLQTSTGTVSNKKRRKQSAQVRIRQPLWAALASTKIVMVK EYELSHAASESAGSNATDIHNLGNAPFNTQPEQIWICYIGSDEVCFNTSYFPDFPDDE TVDEAGTPGVSIAKPFYVRVNNAKWQPTRIIPIEGTEEDKHQGTRWTGDIYGLTPLSN YACEFVSTRTDQVIYKANVRTVQAKSRDPEAPAKAAPTNQRVDNVRHDSPVTTLRASI AAAEIKLADEKARLKTARKENNRRVNAAKKEIEKLTAAVQSAGGDDDKLKQKVAQNKI QEKRAEEAIAQLEAELKELESIPEELLAEYRSKQSTWKSEKGRYEEARSAFKSFKATL ESEVKVLKDEQTSLQAKHKKIESRINKVKEEHKRITDANAQGLGEAERRRQNRATLEA DIATAERHLTDRINSVKSMNMAKQAQITDLSNQIHAYLSSVQEDMAYSHAAAVAGGQY PQANNASTGWGLPPVGPTAAAAAGNSTFAHSPAQTLWPAATAAAPGSSSLGPQTGSAA LLPPPPIGGPFSNPLQPQQHSQQPAIGTPAQQRRARGRSSSMLSDISGFTESTDEDER ERLERERLALRHGQNHQHQHSNPNTIFGTPGSMRGAPPGFGFPTRQQRLAGNNGTFGP IGGGSTSGSNGFTSGTALGSGSGSGSGSGSAVVSVGSGSVSGSALGSGVDSGRSSVRS AGDSAGSASAGGSSKDPGSPSDR NEUTE1DRAFT_77753 MADGHRYMQQSFLTPLPTQFASNNHLATGLLLNSLLNQFIADPS AAQQQAAAQANPAHSSATQINRGMYGQNHPQGHNPRLNGAAPGRQPNMPMFYNHVPQQ GHPHQGHNAHHQVLQAGHSGHGARNDLMSHSTFSSGIMGNASPYTTNNLQNGHSVAAR GGPAEQPANEHWQKQMRLKEESDRAHSAMTEQHQPHYYARLKAPENKGIGGALTAGGT NASGDSEEEVRRRPYQVEKRNRRQDWHNLDMSGQGLRALSSALFSYDFLVELYIASNR LTFLPAEIGKLRHLKILEASNNLLSELPPEIGMCTSLEKLLLFDNQIRDLPYELGSLY KLDILGIEGNPINPGLREEIVERGTKSLINSLLEQAPVPLPPSPRKPIVVQEDVSPSL ERIKVMTWNILCDKFATTTMYGYTPTGALSWEYRKERILQEIRDRDVDMLCLQEIATD VFRDFFSPELAQNDYKGVHWPRPKAKTMNEKDAAAVDGCAIFYKGSKWILLDKQLIDY ANIAINRPDMKNQHDIFNRVMPKDNIGIICFFESRRTGARVIVANTHLAWEPTLADVK LVQTAILMENITKYAEKYVRWQPLKDKRGIQIPQSVSVESDIPKPEMPEPGPSQEYRS NTDIPLIVCGDYNSTQESSVYELLSMGRVTPEQSDFGGHQYGNFTRDGVAHPFSMRSA YVHLNGTPDELSFTNYVPGFQEVIDYIWYSTNTLEVVELLGPPDQNHLKRVPGFPNYH FPADHIQIMAEFVIKQRKGEKVKVLHGGSGGSGQQQQQQQLEGGQDFGSGSK NEUTE1DRAFT_108074 MHRSRPHRILSPYLRVCSAHLPNPGSSLSQQCQLLRTNLDSPIS GYDIQEIVLALQAAAGISTVHVTRTIQDVIQYAERVNPGSHSKFTLTQQPLKDERFEV NKFRFSLFPRLEDNGTTDAFC NEUTE1DRAFT_36086 MFFPKILIGGVFAMLQMQPAAAIPINATAIAPINATMPASMTLA RRIIDVDTVDCHDEWYEEPAVRTKIAITKFIREGMEYLRHVAGVPKLGPGSNNCERVS CSNWSAIAWCNHDPDNSNELPSYETIAEAAEIILAWCKASKKELHIKGQVYSKQKWSV VIEGDLC NEUTE1DRAFT_144747 MPPTLPVIPHSGLYLLTRTTTQHLPKTITTTTRRISTSPFLTTH HHHNKSPIITSIDQQIPKPPPKKWITDLPARLGKCIIFGCSPSQIRDASVILRAVATE WRGLLAGAEGFLTGGRRGVDSREVVWGEMDSFGHVNNVNYYRYAESARVNWITNFSVH VDPAHRQEWAELMQPKAIGLIMKSLKCDFKFPMVYPDRISVYHRLSTPPQHGQTSFHL DCIVLSHQHRRAAARLEEDVVIYDYRKAGKTAMPEFMLTQFEKTWKMQQEETIRARKR IWELIAGVERLERETWDREDAKEDMGSAVKGSSS NEUTE1DRAFT_35897 MALPPPNPVLRRQVIQLYKELLHMGKEYPQGYDYFRPRLHRAFM SKSNLRDEGEIKQAIATAQFVQKELQAL NEUTE1DRAFT_116174 MPLSSGTSSKISGYDGSRAESVSSIAGTTVSSRTFLSSDPLPNP DQLANNEARPFVVRSGRTYISDPTLAYPLPVDLEEIHRQTLRTLLLLQLFGKPICSPE FADQPPKRILEIACGSGVWSMLCYKHYKKLGQADGISFTGIDIAPLAPPSSSGNSDSS EGRRSNRASSSSATLSAAGGGGATSSSGMQPDPQMNWRFVQHDCRKFPFPFPDGSFDL IMCKDVSLITTTAMQQPLIDEYIRLVAPGGAIEIWESDHTLRMLRPHVPEHMQNSSAP AAGEDGQPAEGSEDNEVEKSPEEIEAAEAARMGAYVMTPNTPLSSPLNHFLVEYNAWL SKALEARSLSSMPCTLIGPIMLQEAETLTAMGNKRLAVPLSEVRWEREGVGGVVTKDG KAFISTKPSFSNLQMDANGGMGKTLGPEARALRRTTLITVVQMIQSLEHILRDVSGKS QDEWDAWLGKMMNDLVEGNGTSWGECLEVGVWWARRR NEUTE1DRAFT_98715 MLSWFINSGLVLAALLVLPAVAAPTVANKDASAISRYGIEELTW ELQTAPGGPAVNVTGTIEQAYKHMKTINPGFISDFGFDQPVNVSSLSTPLESRGYTID HITCDVFEWAFLEEIQTGMFYLNKVPGKPTNGPGPGNCGRVSCSYGAAIYWCNDTVVN NCPQSIGSWNMGPYAELTKGQIFMTDNWNVIVRACWVGSWLYVVQRKRGVTHGLKPMG TRYELTQECWRWL NEUTE1DRAFT_116175 MQLSKILSLFTLATAASATTVSYDTGYDDPNRSLTVVSCSDGSN GLITKYHWNFQNQVKNFPYIGGVEAVAGWNSPNCGTCWSVTYNGKTINILAIDHAGAG VNLSKKAMNELTGGNAEQFGRVDAQVQQVALSACGL NEUTE1DRAFT_135560 MIGQIPPALIGTFGHLPSRIGAFPLLPPGLETRSRVPQPSDTAA AEPHSWESLGSG NEUTE1DRAFT_59081 MSTAVKRACDACHRRKVKCDGINPCRNCHASQLTCTYNAIPQKK GPKGSRAKVISELRETQRQTSLSAKVQNRLNGINSPPSSPNLAPTPGLLTSDMVKECI DFFFTNMYTSMPILNRQRLEQQALYVDQSVDTYCVLTALCAFMFLQPGMPMPGGDPYS FENMPGANIVSSTLLLEETLRVRKAFEYSDNPSLNTLVTSYFLFACFHGLDMHEKAWF HLREVTTMAHIAGMNKEDTYSQTQYDIIESSRRRRLYWLLFATERAYALQRRRPLTLE ATINPPTINDDNTELLPHQLNGFFQKVNLFRAFDNTLLPLWNKTRDECASYFSGLQKS FDDILPGYLRDEDNQLNEMQMNQQWLKNTSWQLTVASGSSTEAGLPYPYPVDIANDLL PMVANFPGNLGLPGLTLIEKLLGITCTLTDVLSNQPSRRIPFKVGPREQLHQVLNVLS VLRSGDHRFLPLLLGKVHDFIPTLANPMLQFAPEQPNLGLPPCNIDIFDGFGNAGMGQ PACFDYDDNKFSLPRMDELSNDSGSPHGGHSNNDMSSPYGNSPSIMSPTGQMDMHHGL PAEFTTLPEMVMSPTSHAPPTTLGAPSSMTGQQSHHGHHPSIPAFANINQMQGINTGN ITSAPNLSMPQPIRMGQGINSGINNGMDSGIGSGLNNPLDHQAMNMSRPPPPQRASLS MGPGPGQPGGPQQIRTVGDFQALQRANTEMPMGGTMDSLRINTHSIGGNPMDYNSLQQ QQVQHR NEUTE1DRAFT_135562 MTDNQQQKPIYVATYGRDPKMAEAVSEKLLPDVEVHTSLSLSTA LHELPALFSGDTSIVPSSGLGSNTNRSPSERHIPTALLVGGSSLSDEEYENIVSAVRE AIPGAEGSGTPVQFIRIGKRDVIAAGAFTGPNPETIAKEEGEGRGGIGGRFGDGDSAA GNDIGRDTKGGGGKGNRNGKRFWQRDRSADSGIGLPDDDDSSEIPQVTNDDFLGTAIA TGTSTSFAFAGSTGWRYISLSDDDNNQRPHANQDKTVGLLQGMRFRGKKKKGKKVVMF ASGIPEEEEEHEDDDADGKKKGVKGKGGKCEGWFETLMVHGFGSIAHFYVPCVDFTTF DENGMVNREVVAMPRQRQAHIVVFDDGLGGIALRDL NEUTE1DRAFT_37301 MYTVPYQSLRYWHDAKSISTSPRLTRQSYGKMVRVADELARKLK VMRSPNWRANPTASPPEMDSTSDFIENQVMSEPAERNKMSGNWWERGSSALRPRMDSD VETNGSSPLTTASTPTTTADTPITPLSLPDSCTATPTIPSSPLPSLGRHGRTSNVARS SKRLVYTRAALLRCRGEAIPDTSSKR NEUTE1DRAFT_120534 MFRQNPNLIQPPLTPLSTSRPPLFLIHDGGGTIFSYYCLSDLHR PVYGIFNPCYSTKSTFEGGIPEMAKTYLNFIIETLFGDDQCDGKVSSPPKRDAGKELS RERDLILGGWSLGGMVSLEVSRQVLDFNAAEVAAAAKNTGKQKQARKLNVLGIVMIDS MNPDQASFPHNVKIANPNATKMQWGPHTKPETKEAVLRCFHEARRMLAFWELPTWPPV ADADGDGTIRTSPMGPPPVVLLKAKENVPLQEPQEDEEEVSRTDVHRGDGQLGWGRYH EGMVRKVIKVEGHHFNLFTEERRAEQVTRGVREGCEILERLWRQGKA NEUTE1DRAFT_144754 MAHHHHAEGVINPLRPYYIPPTIGEPAVEPMTAPGPHAFAQGNA TDNYASKARTIFSDIDIDYKDYLNDQSPSVMSTVKEVLDELLWKYMSVFMAQPFEVAK TIMQVRAQDDLGGLEALAVEQAKQKTATNQKIRMYDEDTPSPYDSDSDQDEMASFFTA KVPHRPASPSQNRSGRPRSPSPGTSTTRTPSKQVPEHQLTLRTPNSVLEVIAQLWQKE GAWGVWKGTNATFIYSVLQSLLENWSRSLLSALFNVPDLGVRGDLDRLVDIASPYPWA SLFVAGAAAAITGLILSPLDLVRTRLVLTSVSRGSRRTLSTLRALPSYLCPVTLVIPT VLHSLIHPVLMLSTPLVLRSRFMIDREVSPVAFSIAKFCSSTVALFIKLPLETVLRRG QAAVLSSPQYVAALEPKVPTVTKSRRAAAAGERGEDGSVPQMETIVPLGRYNGLFGTM YSIVNEEGSHAAPMTAAAKRKAAAAKKKGDASVPQVVYRRGQGCDGLWRGWKVSWWGL VGLWTAGVIGGGGDAGEF NEUTE1DRAFT_59088 MSTPRVLRQSLQRLAQHARCYSKTTTTTAPLRTQPQRLPTAWST TTQLSASASARRSLSTSSALQHGHVDPPKPGEELYVTFIDKDNQTHRLAVSEGDNLLD IAQAHDLEMEGACGGSCACSTCHVIVQDQDMYDRMPEPDDDENDMLDLAFGLTETSRL GCQVHMTKELDGLVVKLPSMTRNLQASDFKS NEUTE1DRAFT_98724 MEKDAALPVVNHEFVGGRIMWLPKKVMIEPGNLPALDDKLFDHP VLIISEHREEQTDIVRVLIITTFAKEGLGTRFGQSLPCRYYLPLPGAPAHPCSKWSED VPSNLTFAEENGNEKALRDSWINAKASYSVSYQCLINWKNMQRTPTLTEESLRDVINY HTERVPEIKRWDEAARRQKEEEEKEEKEKEKKIDEIMSRSSWWPHLQHPVSETRGVVS TTTYPPEMSISQSAVMLAKNDRCDGGARWMGQVRREGKGGCPLGCLCYVCCDNWRKGR SASSDPPRSKSISALIPTPGSHIYRVVEERPIKRIYYNKNRGSRGEFSAATTSTSYHT PGFLLPILLTSMILAHASERRRAMDFSTSLCQKPLVSAPTEVLGMASFDVLSQDTGVC DALAWIQERQSVHVRQWFLRPRCHPQY NEUTE1DRAFT_144757 MPSARRAVKKKRQSQTTQNAVPKPPNLGGRIMWLPKLNELKTPS GLPDACHDHPVILLSPKLLPNNEVVVLMVTSFGGRDLQTRHRHNYERWMRAHYLPIDP SPAHPDEPDKNVVLKLGGGAVLRKNSWVNTETQYRVVFSLLRNYDSRSGKLFVLMPES YGKLKDFVGFRDNEIIAGPSGTSEPGATPAVTQTATPPLTPELRPVVVPEPVPEPATQ LPEVVEPAMTHDSDSDTTIVAEDYWDADRRRRREQLLNQQSAMQTYRPVPPPNVPVAP SRSSTVQALRQVNNERSSLLHSQTQATAPNPPSKSQVPIPATRTGYGTVSSSSRSASS TRTPRSHGQVRSQFFEALERDQDLLIAAVRARARAWARARAAAKLKLAILKRKKPRRT WFSQLGFPFRVDFIFFGVASTDVRNRARAYDRAVATARAQMRQGGYESMITEAEVRAI EREVNAGPAYEYARIAPSGIDRERGCDLAGGGRVERGEFQGRYSGS NEUTE1DRAFT_128165 MEINNHPSSSEKEARLLPNAPGGTENVHQQAIHTESTTGSYDLG PSHNNQPASDDKPDGQGEIAGDHLIQEDTSIGKNTVICEGTASVAQTEQTQVQFLRLL KYECRAWVKIALRKASATEIQSSDL NEUTE1DRAFT_120540 MSGCLVVQTPIRCFATSTRCYLREHLPANLAVPKRPAKSPNTAN DCRHNPRDQPQSYNTGGAGLRTLEVKDRHCQVVDMELEAAEGRGQKVVILGVRTVEES LEKFGW NEUTE1DRAFT_128167 MAPMEKNVEEVLDQIDETKAVLTANPSALAGTKRRRFDDDDDDE GAGTKRLRVGDDVDDGNAIPTSTNINIERVSDEDLPQPSLSSLAPQTSISGPINPTSR HDGHEANDWEKPLLTLQSTHDLTCRDEDLAGRILWAGPKAVRDLPRGPLDKKKGLPPH PALIMSPKVKEDGTVDFLVLTSFQDNSLLKRFPGNSEEAVKARSYFLPIEGALETEPH PDDPVRNFMVKTTKKPHNQKATSFVNTTHMRNVAFDLLVAYKDGGLFAQDYSIDEASF HKLEEFMTNRPPLEQSLIEYMMKDPNSQGGDKKRKRPKKPKTHRAEE NEUTE1DRAFT_120543 MNWLRLRFFLNFFVSSFLVLLYFWLGANVLYYGLEIIVPVLETT AKVCQSFQGLLEPVSSFLWHLVRWMGERHSNNPIAAQVLASCQSFVFSTVDIFSHPLH KFQSHETALNSESIFIMSSKPVPSPEAHEPNTKKKLQSAIQYAMDRKSEDLAGLIMWL PGNVKGLPQGYASYDHPVVILSSSISTSNKVEILGMTSFGGRDITQRFKTSRKGMKNR AKYLPIEPTPPHPDTPEKNVMLKVLPYNPKTYSYVDGSVESRRSVLFSVLEEYLPNGS DCMYVVQSPRFYLDKDSYQKLAAFVGFSTVALCHVPVDPEVEREHLTEQLAVKDEPQS PCMLLPFHNGNIPLPAKNQQAQSRHATTQTSEATEPPFPTHSHMQPMSPTPSDFLHAE QVSFLTEHLKRKWACDNGARHVYGLGGPLNLPKFGRNIIRVAIACVAMLTAGGAFSMS TVGREVVEELKAGVQEVMFVAAWKNLQIVAAAKGALAKMAWAVARHFERKWGHYAIAS LSACVLHAYYERYPGGRGSKWDQILMLAWDVACLRIIE NEUTE1DRAFT_108093 MTVGPVIRSFCLSRQPQPQQGYHSSAGRQAFLRVHAQYGIVRGC GCDRQLAKLTTVFELSVSTSHITDVQGKQNKKASRIHHLDPSPLPKPFPSQQSSNNVP TQFQTSFQQSSKTPFTSRVPNLKMRRRLRRSTGNEAPVDNKTIVPPCYAESTHHLASR AAAKETRMRTTNLMLSSTLHSKSTLSWLVMTRKQMHQLLFPPPSEPSKLPYKQKTSSL WTDTWEHGIGMKPYAAFTVSQPYYIAARISKPNGQVDCRLQLVKTIAMCQLLPKTFVA SGVDGRDQQQQQNHRGDKPHRKNKKNRGETHRSGDKVPYHLRGINRSLIGTKQSSK NEUTE1DRAFT_120545 MPTTPSSRRNSQRSRRGSTPPTPGQNGSKLAGRIMWLPYADELS SSTGFSEESHNHPVLVLSKKISADGKVDILFMTSFGGTDLETRHRYSNAQLRGIYLPI DPSPPHPDNNIQLQLDHGVPAFKKNSYVNTRDHEQILFSLLRNYDRRRKNKIYSITDA SYQIVVKHSGYREPTPPVYDDRFTVPVPLDILVQAHLEVERKRSQGYGTIPSVTPQVQ YPASRPVQSTARSDHHSYNSYNSYNSYDPYYSDVHSQRKRNAARPSGHSSGGSPDDLS PSAQVVLGLIFAATFACVVALVYMLFSYLFGGLKEVDWHKVANAVGSFFWDYLVAMGK ILKYLVVGMAKSVAWVAKSAWVLVRDWIMGTPNDKWKLF NEUTE1DRAFT_77781 MSSDSTPSITSLYPEYRTTTRLDHLRSTAYSYLDAQSHTYLDYT GSGLCSSFQLAAHEARLASTLYGNPHSVNPTSEAATIAVEQTRKRILKHFNADPEEYA VIFTPNATGAARLVGEGYQYKRGGRLVLSADNHNSVNGMREFAKRKGAKVEYVGISGT DMRIKEHDIIEKLPTRYAGVMGKVRKVVTAPVKGCLGQLSSAPDSGGRIALPMSEKRQ YQNHQHQLTGKTEERKEGGERRNGLFAYPAQSNFTGVRHPLHWVPLAQSRGYDVLLDA AAYLPTSRLDLSGDIKPEFIIVSWYKLFGYPTGVGSLIVKRSALAKLRRPWFSGGTVK AVTVGVKWHQLSDRLEEAFEDGTVNFLSIPEVAVGLDWLDSKDNPPPPSSSSSPSSPC PSPDSTGLNGERNGVGGMEILETRVRCLTGYFLERLQNLRHSDGRRMVEIYGPTDTKM RGGSVAFNLLDARGKYVDERLVAFESAAAGISLRTGCFCNPGAGEAAFGLDIWTSFSR LPLPTLWRMWRGQGRDKELSFEELLRVLGLPTAGGIRVSFGVASNERDVDSFFEFVEK TYRDRVTGKEGLPDRMGC NEUTE1DRAFT_108096 MASSLRSKHKVSTISGQNKRACVVGVSLDGVQKPDDEEKGEEGE KKRKRTFQPQTAVRINPRSSGTCMGLLTIADEDYVNDSPLIAELRPFTFEGKLLTDDI SDIYKMEDDDIDIRIKVKVGLLRILRYEKKEAKKDEKVIPFLVIIVCSCFNV NEUTE1DRAFT_77782 MFALFRGHPNKDLADLAEHHLQHDLQPEDRERLRKAASKVSTHT TIGTFLGLGLGIALAWRIRQNRQQLFNAFKMMAKPVEVVFANGRREPVPDLEPLLRPT RWGDIATYTVFGIGCSMLGGETGLLTGSAAATRTITRDPESRKRIEDAFRLFQIDVLK RQLEMLEREVKERGGAAGRKETDSEFASSWEKLKDQAGGMVSTLKPSE NEUTE1DRAFT_116181 MGIFDELNLPAGVLYGDDVLKLFQYAREKQFAIPACNVTSSSTA VAALEAARDQKAPIILQTSQGGAAFFAGKGIKDSPEKREASVAGAIAAAHYIRSIAPI YGIPVVLHTDHCAKKLLPWLDGMLEEDEKFFKANGVPLFSSHMIDLSEESVEENISTC VKYLKRMAPMKQWLEMEIGITGGEEDGVDNSEVDNASLYTQPEDIWQIEEAFRPISPY FSIAAGFGNVHGVYAPGNVKLHPELLGKHQAYVSEKLGGKDKKPVFFVFHGGSGSSKE EYREAISNGVVKVNVDTDLQWSYLVGIRDYILNNIDYLRSQVGNPEGPNKPNKKKYDP RVWIREGEKTMKARVEEALKDFNAAGTV NEUTE1DRAFT_120549 MTFNLVAVVYPKEGKLERVKELGKEISAWVEANEPNTLQYHWST SKEDDKPVIVIQEIYADEAAFNAHKESPKFGWLIETATKEDLFAAPIKILFLEPFTGF SGPSSVLREAWVEKARRWDKKVVVLASTGWEKHWVWSTFSRKEVERAATLRRMNKKAY RRRTNGGSREKSLPAKRISLIISGRDHDAFKDTAGSTTRSPDACSRGSFSSLLRQPGR ELGEECGASGSESGESRVLAITVPFQTGEWNPKKPNPGVNSTRKVPLGTLGFSRPSTG SRDGEMQSRVAPNEVIGRVIVHFNSLCQ NEUTE1DRAFT_28037 LFIVRRVLGVSDDIWLLEPGQKLNFQNQLVSPTAPSQRSSKWLR ALVHTCHDRQLERTSGRIAGTSGHPSALVSISLLDIHLHQQQVDGHQKRKAQ NEUTE1DRAFT_98737 MGGNYALSINMFEDPNTKNPGKRGCVRNNVDTVNMEKKEDFGVR SDSDEHEGTSKDASGKCGESTKKVVDEILGFDAGLWNELSDLSNLHRH NEUTE1DRAFT_135580 MTSFNNRNILAKFPLPSHRRNREPYWPIDPTPKHPDTGNLLKLK DKRLMDKAYSYVNSQTSYPVCYEILEPYKSEDPEDVWAIEEESYEKLTKGRDYDRLHS SSWRKSGEKPTLPLPEPVKEREQEGKQASKATSNDETPDLAEEIQEKNLREFFEDIKD FLPWSDYRKIFGMVDDPDP NEUTE1DRAFT_98738 MRLSNLLAVAAALLGADVHAEVAKLPLPKSCSGVPNMRYQYTIN PAWTVMKIAGSLKQVRTIIWDTEGNMLVQQNTRGVSVHTFGADGCINSTNMLISGGGL NHGLDLTPDGKTLYASSETTLYSWAYDPLTRKVSNQKTVVKDMSTGVHSSRTVKVVPG QPNLVLLQVGSNSNLDMAAQQPSTGRACIKVFDVNKVPSGGYNYNTQGEMFGFGLRNE IGFVPDPNGVFWGVENSGDDFTRTENGQRRDIHTNNPAEKLNNLGDPRTVRNAWYGYP TCFSVWDPSSFTNSLKTGQHFVIAPNTSYNDATCNGKAIAPRLTFQAHSAPIWNTFDD EAKNIWDPAGRGLFVGSDNSAEGEIYILSPKS NEUTE1DRAFT_108102 MEDNSDTSLPISKLVVARATASTMGSGIDQPKNLITPRAALTTS SATSHKARTIVTSTSVFVTIETETITFHQDGSVTHSSSPVPTTLVTTTTTTTTTNTAA SPTPEETTGSAASNGGTQGPKSGVIAGALGGTIFGLLLIAILAFCLFRRRRLERQAQF YSAPTRFPAHRGTNFVRGSDGRVNRPENIPLASLGKSKQTRGEQDETADGTGGDERAP GQMRIEVVPAAEGDRGPRLRTVRASPASAPAPAPHQGRVLVPAPMSPLSSPVPASYPL LAPPAPTRPLRNVSSSVYSKPSQEDVASKFSEDSHEAVNNGNSSGNTVWPAPLFASAS AQAAPAAAAGAPGPSSSTTTPHPEFQDRGGPSQKPAYVEPPSQSRWSPSTPTSDGSDE GLTARLGQQVAQVGEKLADKWKETKEKGWKGLMLGGSAAGSKEEKRPSKEEKGKGKAE RAEIVVDPVKRGAPGWI NEUTE1DRAFT_135583 MFIGAFTWNPLNKILLAAKQPILPRPLPFQPLLTPTSHHHQQHH NNNNNNSNITSPPQQNTNNNTNNNNNNGNNTTTITTMDPISHHLNHWVRFISRLATLS ISMHQGYHSARTTLASFLSLFGTIFRALANLSGSLSNLSHSNFGLGASLGLGSSSSGS KLQAQDLLLLLWALLRLLRHHLLRRLLRRLVSLLPVGYLPADFVGFFARVRPALELPV WLRQLASAPPPLPPLLPSAASLPFGPPSSVLPSAPSFGLPGLPASTPWHLANYRQGLP LPPPYFQGQGLPLGQPNGYYPPATAAAMSVPSIPPPGQVYGQPTGYYPPPPPPPAAAV PPAPSLPPSVSPSAPPSGQLYGQLPGTLVPRAPFAPMSAPASGPPAVPAQTPGLHHLR QLRQQRQLQQSQSGSESGGGGGGGGGTRR NEUTE1DRAFT_77790 MEFEPKIRTASTGDKTSFAYETARKRWPIIITQAIDDVYRTTGQ TDDAEKREEGKKIINDLATLKYEVQHDRALTPIPDDGVSDVATYNQILESLDKPTWLN VSWLFSECYLYRRISTSFALSTHWKNYDIFARQKIKTFRSSRPAVLELAARYKDLITQ LRSHTGETSEEADKLLFTEMCEICLWGNATDLSLLTSLSYEDIQKLQGSEARKKSEAN ILVNDLEEVYKQLVEAKKAGKTERVVDIVLDNAGFELYVDLILAGYLLTAGLATRVTL HAKSIPWFVSDVLPGDFGALLNALAAPVPFFETATEEEELQGKTPEKLSDKEKEELSF LFQEWSILHAEGKLGLRANRFWTGPGSFWRLVDPNADEQTKELAEDLRRSELVVFKGD LNYRKLVGDAECDPTTPFTEAIGPLGPGSGINVLALRTCKADVVVGLPKGMDEELRAR EGGGGDSGARKWAWNGKYAVVSFSKGA NEUTE1DRAFT_116183 MLTTTHSPYASPACNLPFASPMVVALDVLKNKAPKLYAAYDSQS PELPTVSEEIGHVIVHYLYTNKYESLKPVGADKLAKQIVELKTAIKVYVLARAYELPD LVRLAERNIEKFGDGMALPTLLEVASDAYPSLVDTDQWFIGYLKKRIRLHLNDASSLL GTDLLNRLSHILSPNKILLRIVLEVCCEQMTNPKQGLASPITTAESSRATSRTRDVSQ DESKQSPLAEEATPEVKALEVEAEPEQAQVEVNRAPSELEAETVPESEVVAAVVPKSE TKAELHGRDRKDSGKELSDELPITLSTEPVIKELDAASHDLPFRPRVNREADSGFWEP TTPGEAEASKRHSFLELQSAPGVSELSAESEEDAKQDKGKAVDAETEAANQYLHLSAI PESGETVVESTTEDALKISQEAAVEEDAEPQTTEKAETTPADNEVDSSDVPEQQLSDA AAERQDEAQHEHESSPIALRDAAKSVVSFAVSDDQKAEFGVLQEPRTVGADAETKSTA GDRTGAEPAESALVSAPKVSSKIDVSDKTEELNGAVHPGMNPRSKSWKRRLSMRVPVL FGRGM NEUTE1DRAFT_144769 MSVAREPKPKLKPKPVIERDSKPIKDAKFQPASMKPWAPHNLCL RYPGPKIQTTKDLEACPPAFKVGGKDAKLEPQPGKGVKKGWQD NEUTE1DRAFT_144770 MSSPPTPGSNHGHGTEGTGRPSSRPEKRTCITCRARKVKCDGRP DKCTNCERLGFTCSYDPNTTVTTSINAGVGASHPVTSHEPFSVDRLAPTETEGNASTS TTTIAPVVGLRRIRARQACQSCHVKKAKCSGIINKSGPGGSGSGGYRGCERCRALGIA CVLRKGKRGLALPGINNSSLGDASEMVLDDGGNGMHLDDPRDLDDDMNHNGNGSIGGR STASPAPIPSNNPGASGRIDLPQPDDPIVKRAFDNYFRHVHHLPMFTFLHRASLMERY DAGLLDRALLLAIVGIAALLTDLGPSVSVADPELFSNRCIDEAASICTSELENRSITR LEALVIVVKHRILSKRFSAAFMLHALTSRFANVLRLNVENPALCFLAQESRRRLMWSI YMIDAWFANGQTELALWPDPERQIRVQLPCNERNFDFDLPEVTEPLRLPLPGPSGQPI QLPDAVGFTALHIRMHWLRTRILQLSLRVVGPNPDPNELMQLPLRCAEFKAELDSFEA RLPPSFRWSESNLRLRSYSTRLGIFFMTHVWWRQCHLDLYRLFLPGLKEALSASSLAQ LAGSAIGSPAAPGQGQWQGQSGQRQGLVEWARQECYRHARAMADMFAQVLALGESSVP LGDVDLSGCALQCGRVLYHSFQTRERGQSPAPSAEGVREMVSVCLRVARLACPGPASR AIQTDIEKLLAEGLPISQVQVPQTPRRNDLLTPTTPAPFSPGPPFVAMAGPIPLINNP HELSPSQSLLQPAMAPPVPPHPQQAQQPTSQMLPPLSSSAPPPLQVGPGGVNPGIAPS QASAITTGSNAFEEILNVNGINFGGVGMGMTEFFAPDAWGLGLSPFPMGLGGGGDWTV QGQEQEQGQGNGNQNGNGNGQQFHGDHG NEUTE1DRAFT_34568 MCGTKISYACSTTLISQSTTCSCAKVIACGKSTLYCGTCSHPRC KELRSESSNTDETRRRGLREPEQAHKLASMEEEEEEEQNWRPT NEUTE1DRAFT_77799 MPSRKSKAAALDTPQSESSTFSSTLDSSAPSSARNLRRSGRNIL QPSSEKDGDHEKRSGEELAGRMMGKDANGHCLREGKEQEEGVKMAIEGLARMERRLQR ATKRQKKQLEEDGIPVPSVVSRFPTAPYHHKSANAEEREAKEPVLKTHSKDVEGEAEI GVDDVVKMEPAATNIIEPEDAQDAAERGAARPPAVNSSYLPLPWKGRLGYACLNTYLR NAKPPIFSSRTCRMASIVDHRHPLQFEDEPEHHLKNKPDKSKEPQDELGHKFVQELGL ANARDIVKMLRWNEKYGIRFLRLSSEMFPFASHPVHGYKLAPFASEVLAEAGRVAAEL GHRLTTHPGQFTQLGSPRKEVVESAIRDLEYHDELLSLLKLPEQQNRDAVMIIHMGGQ FGDKAATLERFKKNYARLSQSCKNRLVLENDDVGWTVHDLLPVCEELNIPMVLDYHHH NICFDPAHLREGTLDISDPKLQERIANTWKRKGIKQKMHYSEPCDGAVTPRDRRKHRP RVMTLPPCPPDMDLMIEAKDKEQAVFELMRTFKLPGFEKINDMVPYDRDDENRPAPPV KAPKKKKGGKRKRATDEEAAEPEEVDTAADDVKDAPEGPKEVPEEERAMGGPFNRVYW PLGCEEWLKPKKREVKKGKVPEEVEDEGEFDG NEUTE1DRAFT_98745 MWFLSSVVLFTIVSYGATALQPRTDSDILALYPECATQSSKIVS RSTSSTNLACNPAMTGHASALTNSVPSGKPSNITTINCHLPTRDRSALLLRENIALLV STLLLTTLRTLYKLFVPFPAHPNTDSSSHGFSRPPSQYLPRLQRLHADDYALISITLL GLPNLLLAIFRLHPLGVGQDAWTLPLDNIAKTVRYGYGMGVVYFLQVALTKVVFLLFY LRIFPGKVVRRVLWATLSVCVLFGLGSAIAGVLQCTPVRWWFEGWDYREGIPGGDATW EGWGEDGGGRRPGRCINRKAFHVAAAVISISIDIWMLAIPLWCIRGLRMSVWKKMGVV GMFGIGMLVTIFSCIRLRELTVFTETPNPTQALFDVDRWSTLEAASSIFCACMPTLRQ MVVSVVSLGRRRFGKRGSSSGGSGKVGSSSTSTVALGINSRYSRSTENPNGSQHRFSD TPQEKLPDTEQPVQDLSHDMSENHDIRSGNSSIMYTVEYSVEVDNSPASAITPESGRL SPPPYTYGNGPQDPPGVNDNCENYRACVVSRNLGRIGGGGGSLRRQSMSPRLRVVA NEUTE1DRAFT_98746 MSKGNFTRQVKVLSDHQNPNLLQGACPANFAACGGLGDGLARAR AGAAAVVVGAAAAAAAAAAHAGSGSGSGGVGHRGAAFAAEVAMLEGLSDSSARSFAKE TEQIGDRVEGVGMVLTMEARVFAVVAAAAWAVAAAAALVRLNWVVRVKKEKRKGTRPR EERVFYLPPGGMDKCSGKPIVRRNRMKSLSQPAKKIACVSSQLGRPVTHARFEGKNAL EEGTITAHGQSAPGIQVCGDWESSL NEUTE1DRAFT_35810 MPSINRKREWEDERDSARPNKKSTTSASISKPASASNNPDPPVL IGPYDGHRRYDEWKGQMYGFPRAISGSGAMYPTNYRINFNHSDPWVCPLASCRDCFQQ PSQLGAHFSRAHRKLLLYDEACRGLFWEFGKRMTPDKDGKFRSIVVKRGYFEPDSERK RDDVRQPPENWESKVNPRAVKDIKLPNMPQPAAAPVAPSAAPSPAAPFQTTSTTASAS RPLAGPALATPRSGAANPAAVRPLPAPSPSIAALPAIRRTAPGSGLVDRPSGNSIHEA IDISSDEEDVKPSLAELQRKSNSATSASQRVEAQREAQSSGGNGAEAQKDPFRDDPLR FDGPDESVHDRNGRMQAPVDRQPHDPVRRVVDQRRNLETSPIWTYMIKYSKSPAPLPD DAAISELLTELPRRRDLPEDWKGRLAAFDTLSINQLSALILYLGGTAPATNGFQYCRV MECHLRQGATACPDPTHNGGRCRTCGFTFPHCVFLPRYLLSSVPIAKRFGVWLCCNSF YRNMPKFPNGAIQSYTGKTMARRKEDGNSNNMPKEKQNNATSSNEPARSHPQTSPRSS SAQVPQITTPVGSSTTNSMSNLTIHNRPRATHQQPHKQASASSQRFSGIFCIPENTPG QMFSLKGMDTRIIQSSRNQILKCKVLAGDGIKWQIVGGKEFRAYTGWIGEWEIPPDSQ CLVKNIYPKKSFGENQTCIDVNPKKGVVKAGQA NEUTE1DRAFT_34168 MPAPPPWLSSKPPSSTSQASYCEPKSPIIKVEPGTEGSPEAMAM SSSAKTKTRSESHSGTPLLERKNTESVRRLTFAQEKEVVASETWQYINGLTPVPIPIP VFPSAMRVVLQLPRQRDLPEAWKLRLASEKLSYTNLALLINYLNGDAPKDILCNSSAA SCAEKWRSVVPAIASLEEGKGTIYKDGAFPRCVFLPIERSDSPSDSVSTSYVHSAGWF HQREGQVLPIGTDGATLQILDLENKGATVPLPSGSQNLLCIVFEGTVEVILQDTPVFT PSRGGQWSVGVGKECRVRNPRIGTKAVLYNIGAPVKQNDE NEUTE1DRAFT_34323 MPRRRRESSPSSESSSSEDDGPPQEPWLINQQKCGGYVVIKSGN RRYDEWCGLDKHGETVTYPGNGAYFPEGYQPNWDQAKPFRCPITNCELAFEFPYKVGN HFRQKHRKALLFDTRNGRFNVIGRKRVPTDKENRNGRRKWAPVVVRRAPNPGWQAVAQ AQQVQAQQAAPAPVVQPAIAAAAQPAPQLNAAPQPPAAVPAAAPVALPAPAQFANISP RRRRPPLAARRAARQASAPAAIQGPIPQLPLPAAPVRDAASSPLRRLQPLQPLPPLPE IPPAAIAPENNRALLSQRSATLYASPIWTYLLALLPRPTPDFPVPKDAALHTFLTFPR RRALPTVWRDRLKQHPTERPLTMKQLTTIAWYLGARKSRTPTPCGTEGCPVHVLVNSF RELGRDENGRRKWSEKSRFEEITCEFNTPHPDPDRRVVRKEMGFPRCVAGEIVEWCKV RYDARGVIALQEKDNDGEWKQDQCQEGGFGGQKEKDINSLEALLVR NEUTE1DRAFT_59117 MHLAQLRQPLTRAAANSCHSVCRLPTTHSSVSATAILSEQFAHL RIGPTSSNVAVEGRRYASVKAQGAYRLKPKRTIPKKLGAKKTGDQYVIPGNIIYKQRG TLWHPGENTIMGRDHTIHAAVAGYVKYYRDPQLHPDRQYIGVVFNRNDKLPYPKDAPR KRKLGLVAVPRKVEEVEKPTMSASGLPLFVTRHETIEIPPPAVTTPAAAGKAVKGQGA RVSASGAAAVPASSSTISASATSNSNNGGPSVIAELIKEKLAARAEYNARQSALRKLQ QQKMLARRGTRVLRLMNNYSYRETNWEIGRLIGDPGSVPGTEKVGSRKAKFRARRRRR NTFLLGIKERKLAKADRREEYRRRVREKREQRLVQRKEFLAKQREAKKAREGGAAAEK SEKKEVKAEKPAAAAAAKVEKPKAPEGAKKESKPKVEEKKAAAEPKKDSKTENKD NEUTE1DRAFT_59120 MRTLYLVLLCLLTLASPIAAQFGSFFDQMFGGHGGHGHQQTQQQ QQHQGHGHQQHPNVPSDPSIYQANYQRAHCDKYLCPDTLACVHYPHHCPCPWPLHEDK AELAEGQRICVSKGGFKVGEAARKIELARKGML NEUTE1DRAFT_120557 MSTIMASSPTHTPPPSTVPRPKGILKNSYRNSPPAGPTSPLDTH HHDAAHPPPLIHMPSSAKEAKEITIMNTQINAGPRRSSSVAGSRPGLSGSRRSTTPSH HGDEDSTEQGQRLKWDEANLYLTEQERSSTMKIDEPKTPYAKHYDPAEDPSDDDEEVP EAIDPNKIDMDRVDGLSPLPRQNKRRQGNIDDEIPGLSLGEPEEAVPEAGFPFGKGAT AHSEDGGSPKRPRAVHVDSNGSGHDPDDELVGLSAEEREKHRKFEEMRKKHYEMKSVA SLLGHPENLEDEDEDEDEEIPEVPALPTRSNGSS NEUTE1DRAFT_77810 MASPDPRTTANPSSDDIVFSDSKGQHDVLHNSDEVRRTDTTDRI IQDAKTATDKEQKMTLLQGIKLYPKAIAWSMLISTCIVMEGYDVCLINNFYAFPQFAR KYGEEIAPGHWEVPARWQAGLSNGANVGELIGLLINGYVSERFGYRYTVIGCLMLICA WTSLFFTAQNVQTLLAAEILCGIPWGVFQTLTITYASEVCPVAMRGYLTTYVNFCWGV GQEIAIGVIMSMLKRDDEWAYRIPYALQWMWPVPLALGIFFAPESPWWLVRKGRLDDA KKSLLRLTSVNRETDFNADETVAMMVHTTALEEKTTQGATFWDCFKGHDLRRTEIVCM VWAIQNLSGNSFSNYSTYFLKQAGLDDDDAYAFALGQYAINMAGVFGAWFLMSRGIGR RALYLYGLCGLFCMLFVLGFLGLVPEAHNREASIATGSIMLGWALVYQLTVGTVCYSL VSEISTRRLQIKTIALGRVLYIIVGIVTAVLTPYMLNPGAWDWSNFTGFFWAGICFLC IIYVYFRVPEPTGRTFAELDLLFSKGISARKFAETKVDVFADEAASLDSSVLHKYEKG LNMSQTDSKASGSGV NEUTE1DRAFT_120560 MAIGHSSALLSMEECLTITTLQPNSARHKMEFVDETRKVIYEAV ALDSGLDEIDPDPESPILSDQSLLQGFSQSSISETPNGQENPRRFPSRKQTLPESPRL SETASEALSPHRSVQLPETVSSSEGHARECSPTDRFIARAGSYHQLYYSKNSAFPIED PVEAELYRHYVQSLAPWLDLCDPLRSFETLVPQNAATFPLLLKAIYSFSARHKTLTGY FDSVRSSEYYDECLTELRDVLAAYDEYGADENLFAATIILRVLEEIDVVDNGTDQEAN LLGIHAFVSTGSFFENPSPLSIASFWVGLRQGIYKAVINKRPVGLSVDHILVEQSLAR TDYHSVANNAVVHCTRVLNFCFDKGGVCNMEEWNKLWKSNEDWENEHAPFHTPIFKAP DDVPFPQIWYHQSCQVIGVQHHLLAKSYLIRFRHKFSATGTRHQSTLAKRRAEEINGL LRACSQPAWPFPLVSLWAFCIGASFTQRKDQEAMIDILRKTEKDHARPTKSVQEDMMK AWGWSASTWTPTQVPPWPDTTQYATNLNMNDSMGYQQA NEUTE1DRAFT_98755 MAPSATYPIISTTHSGADLEAISDAVDEINVLKFKAAQQVTEIL NDNNNSSVPSSPTSESSSTTHEEEEEDLGDFDTPSHFDSSKDHSRFRQYTTAESRVLN FYTEQHTKQTVSHNLAARAHFNSPDRKRPEMTIWQAIECLNSLIDESDPDTELSQIQH LLQSAEAIRRDGKPRWMQLVGLIHDLGKLMLFFQLASGQWDVVGDSFPVGCKFSEKCI LHESFSANPDSGHAVYSTEQGIYAPGCGIENLMMSWGHDEYLYQVVKDQSTIPREGLA MIRFHSFYPWHREEAYGWAMKEGDEELRRAVRAFNPYDLYSKSDEPVDVEAVKPYYME LIDEFFPNKVIKW NEUTE1DRAFT_77815 MDPPSRPLPPIYHLNLELILIPPILLAIATALSLFTHSDTDLYM LYSQCHSHARIEWLSRVPLFGPLACFLVSFFQEALLSPVRSKGIMSVILSYVAGLLTI TTVESSRICNQSAFFLAFPTATWLIFDLVGGALVWEIVIIPAFFHRAKLVILARRQGT TTSEGEEAAEPELILGAPRHLSTLAESISIPVSIAIGYILPSLLILLLPSDQTTVPIL VWLFFPVWVSFLHQGVRTALLHVFRDHPTWPRPFHLETSLLPLVLTYAAPIFLSLASH IYLIVTLFTHPHDDRKEMTLATTRFIMVNMVFVGLTVLYWAFIEAGWPTALVMLVVTV LAGPGAGVCVGWIWRESAVGVDWIGRRFGPRAAVIAVAVGGTGSRRGSDGERDGERGQ EGGNGPSEETPLLR NEUTE1DRAFT_77816 MQRQVLGHTNTNLVGYLASGPNKHAHYGHGALFPENYAPRPGRP NAKWHCPIIGCNRAFRLLRDLGEHFNTDHKGDILHDLRDGNFRKIGNAGNRPPYVGYR SDNPPSPIPLPPPSPPKRPAADNSSAGAGAVRTATPGLFFKQEYSDDDDARPKETSYI ILDHESDEWQESNSDNDDPDSADGKEDTDSDSDESEHTENENIVQQREQQQFSDSHID NDDYSVHDHGSDIWAYLNSLTPEPIAIPDDACIKELMKLPVLRELPFSWKARLSKKSW VKKYCSLKTITAIMHFLVGEDRDRKVCNGLGCDTLGNDGLISALSDFAIGSDGFHGEY AFPRCIMLPDNTMMSEAVMERIGINQCVNAYYRRGKKVPFPGRIFPRRLEVPQTPKGR GNNRPYSESSVLRRQARLPSLSVTPSRSPKRKASSPEYEDELPSYRLANPSSPNKPAP WEQFTGFLPLRSSPPRGHRSSQAASDVMVAHSSMYLASQPQKRIKLVDHSGLGRGDFA VLTLAGNDDGHVLRVDQGSGRMLNCSVAKGRVDVFLVVRGRGQAQEEMTFTAGEDTQW VVKEGWACEVTKFQGEADEAKVHVTGLGVDEQSQE NEUTE1DRAFT_144779 MPLAGADMNRKRPIEGGADNTRLRKRRSQGFTAPSSNSASTGEF ELPALISLADASRRYDEWKGDIGDGVCRTLTGGGAYFPTNYKLFLKHPAPWLCPLVNC RKSFAQCSSLGHHFSKAHRGLKLYDEAERGLFHPQGMRIKPDKDGKLRPIVVARGFGA PDDDQQAPAALAANRPATANSAPVRPLSTQVASAAAPTPTTARAVNNSASTPTTSAQA TNSLTHDIIDIVSDDEDVQLVLNQTLFRKPTTQGSTQRKQPRAGDNNGGAGRSSLDDL VHDLIPDLETDFFETFDNFEFEVDDSQPAGGAQQTKNILASSKRERGIQSSKKASLEI NKEANVQLPKLVSFGLDCNVDRPCPKSTSSKPHQDGNSQRPKNVLSKPNQESITQRPK DISSKPSTGSGASGPNDANIWEYLRKFLKPGTQKRMPEDAAIQKLLALPRRRELPTGW QFRLRTYEGVHLTTLIAIVMYLGGDESPSSPCSVMGCREKTEGLNLNNKDFRTGRSRD ARFAFPVCVMLPQCLSNSDTIHHRLNNKICCNAYFRWCKVEEPDSALDKIWTAEKEMG AASACSETAKVENDKVPNATVKCSRGNDTKINRTMSKARPYDTKSDHVTPTIQPSHHS VPLSGSRLSLPNGTSIASAKHEERNSMISRRSNEHIQPSNARTTAISTFSQLAVAMQQ TVSPRRPAQEDSSQPATVTNARNEFESQSSSADSDSDESEGGDSEYHSPNKREAAQSK WKGSKEWIEWKRKMKKRKLRRAKDKKLKKNRQARRDNEKEENDRQVSLASQTNHTPVS AAAASFSNLTVQDTSTTPSRAQQQGGPEESQKTTVFNIANGSTQPIGFKGGQSQLIQA SKRQTLECTVWAGSVRMKMIGDDGMSITRRVDAEGTWTVGPDSHCLVSNFFPAAKETA VVNVKSKSVSTSIG NEUTE1DRAFT_36805 MEPWLQEQANAAGGRPTPLDGRASYHHWTGIFNGQLFTYHHLAA LFPERYAPHSGERFPWHCPVVGCHAVHQFAVQLAGHFTVVHLSARFLDNRDGTFSNLG PQDDPRGFAFVAAQRQTPLGWAAPFQFDPPSETSSGAATPVPENADDNPGNGVAEPDD GSDDDDDFPAQTIQIPIVHPVAQAHAVPFPSLLVLRTIHDHHPREELPDPTPLELEIW PYITRWTLYPLPLPSPSTPAHRTLLALLSFPRIHTLPVSWQERLRARTPSLGTLTTIA LYLGGRAEFGSPCQSHCGQHGALVERLFAVQREEAWENGLGHWKFEKKFAFPRCVKVP EALEGDREVEEVLRGRPCCNHFFRELRGAWETSEPFPPRPPGGLVWNGPMW NEUTE1DRAFT_35831 VLDFVLRLEVDKGCRLRPHVVLILVNFKAASTSVNASFLSENYY MALALLQIHLQACVAQVSKNILDVGLVVLQICNVIQVFGKWYGQAASTSSPPGDRHVW LVSSMRVPLYVCLFPKQLLLVNGGQGAGNAESARLLPKAHVTDPDGSRKALVIASNFL PKETPRSMNLFSIEVVSIVLDLGDQISTSTAKYPELRISMSPDSA NEUTE1DRAFT_98760 MPTLPLPSLSEVLSPRSDHSSPASGHTTTTSNNNDNPYYIPATT LDGVNPLGWILIGLLTFCFVMSFLLGQCLLRGQPTSREKERMRHLMGVPQEDFEEVGW RR NEUTE1DRAFT_128186 MARVPNAPTRCVRIGLPLPIQCRFHSIVGGYQPSPAFINPHQPS LAPKKPDPHPPVIYRLHQSGAHETATTAGHWRLSGSTAANRKLQRPPIEKRQGTPVPT SIKPRKDDRDTPTSPHHPPHLSSRGHRDRQVSGSSSKHHYAALPPPPAYSPHDWHKGQ PFEPAGLLPSPTGWTPSSPQPIIVNQHHYYLGTPGPNSPPPQLIQSIPPIPPPKNSTS SLNKMPAGSVMEVASDISQVPSLAHGFDGMLSHWPDNKTQLLNQGAALYDQISGRLND VLTRIDLNQISGEEKEHFTWRPAVQETSYPPSSSMVGSRSAVKSGIRRSSSHSREHRE HRDREHREHSSSTNSGGYFAKVDLYANSRLPTDLPPLRLYIPTWPLLCLAAQYSERVY ERPKGAERDAHVNADWRTGAKAMVIKSVPMDYVNTIVFAIRGTATFMDWAVNLNSNPT SPEGFLDDPGNLCHAGFLDVARNMVQPVARRLRQLLEEDPSRSSYSLLITGHSAGGAV AALLYSHILSTTKEAQSELTAVAGCFKRVHCVTFGTPPISIIPLKKPEDFERRPELKK SLFLSFINEGDPVARADKAYVKSLLELYTSPAPLINPLSPAPSRKGPSSSSDLNMRMK PAGQKSSRSSLQSSKSGKSTDARSTERRSSSGSSSHHTSSSSGSSHRSSSRSRSSTSS ASSALTTTSTTASSVSSSHTSSSSSGPTWKLPASTLSCAGRLVVLRSGDPKSRLKGKG KTVEERLNEGVVAHVVASEEMLRGVIWGDPLMHVMKLYKGRIETLAVGAVTAKGY NEUTE1DRAFT_77820 MDFWSRLIAHTLPSTGNSRNWKDFAKDPVRRLQRFEKEYTQLIQ AWRLSPSIAHDDEAAERIEICLQELTNMLTDENRRPLPHPCITFCATKQIYIPIAKIS TTSNNEWVIKEAVLFFATLIESEEEAFVENDHFSASLTHLMVRITGANTLRLSADTEA RVVELAFNITTKLRLDPDILPAWFKSQPQNGEEKQPLGEHERFTGRTQKQDFPLFYLL MDYIHHEGKVGDFARTGLLYIIEAASSSVELEQWIVESDLSTLMATGLGALYSQLSRK LVIDHPSNALPAILALSDYEHPKPNYEVISSCTFEFQSHLETFLSHLLFWQDVLNHCR SVEVKSSLLEHFQVIFLQQLLYPSLLESSDIDGGSSVAVLTYLRHILQSVDHPDMINL ILHYLLGLPDIVGSVSSDSKDGVSAARKRKSMDLATMMASKSEAADPLLFNLVDLILA CLRSQSLQTIYVTLQLVSVILKRHHRYAVITLLYTEGVLGNSSFRTTGAHEQEVEYLM GLAQTIGGEDNFNEIYDSVIKDTMIRVENHPCSMKLITPKALASNHKQPAIPDSLPGA PRDVRSHTLHPDDPLLTSVLDRLETFFINPVETNLSLTEVIFDLAICGYMHLEGWFLR HPSNYTYDEDQDAPAAEPPADIDPESPEYDEYQQLLSMHHCRRRPQWSRDSLPRLLRI LQTLCDEVAAYRDTIPRFNDLLQQRREAFQTADGASIPPSVAPSVVPSAAPSRSRTPS TLQPPAQLTPGLSTPGNTAPERPTSGLGLESFAQRIFSDLSSTISTSPNRTANNSPRP RSYTTRTVSERSSYFTSNEAPPPLPPRNRTPSMLVTSPRKGTGGFGLGASGSALGGAS VPGAVASDISGSGKMELVMSQARAFQAVDQSILARRVGIPDVSDAKHVGPNLDGQSDS KADEEMELGDRLAGEGQDVAVLPRLTVHRPPPKGEDGGLDALEGDEDDEEAKPAVPPK DDPPSPAPAAGTDTPHEAGEAPTSSEINDETTDSEGQNPATATADGQNPDQQPKTAED QQEQQASQEAAEAADKEEEEEEATKASVSHVLTNVIILQSFLFELASLVQVRAGVFEE VRFV NEUTE1DRAFT_116190 MASLVEHERNKAGLHLETTTTRLEPKTSRDTLIPDGLSPMAEKN MTLSRPSDVSTPYSARANPFESDIEAMVTNETCVKKSTTTKGATTECQVWPGQDHWKQ KAKDAKMNRHTCNCLAQMSKRNRIIVKVMIGLLVIGLAVGVGFGVSKPLGAGIWKSER QNQK NEUTE1DRAFT_35581 MRTTCIATVLLALAPTAIFGSPVPVLKVGVTTSDHHDLTGSKTT KLNHGIVDRDDHNPPTKTAVPGDLCINAHATMICTPGGEGKPNWNQNTKFSKPDDSYQ CTGGPDNETCIFDDGRKVSCTSNQKTAALQTNARNGEGPDFTCVTDTRGVCVCTGADL RCIIEKQGDATCSFNGSDGDASKLKVNTERLATVLSTVAPKPKDDSDPFDANPNYSCS QISDWTWSCGSNGADPGNPSGNSLVSDGGHYDPGQGCNQPQGFFGGCDGKLDSHDKIE GDHVPKANAIGSDVTCVDMTDRNKLPYPSGLNDCSALQSDFLSPNNTLFNPDPDVNPH STNPDDFVLSWSTDSKTCKHAAHNTCHLSICNYAIMAGATVPVKIPKAVIRENMKMVL DKCVEQQQVGGYVYPETTHDVTLALGTLDTTQTYGKRDVATNDGNPDEDCSVVESFFW YCKAR NEUTE1DRAFT_120567 MSHHQSAWTANRFGSRPGHPTDPHRHHWNAIQDSLHPIYPRPRS NVQLDYLDEIMPTPRLKDHVDTFFGPVSDGGSTNKDAHFTPVHLHASHHADLFDDFTR DRLPASEDIYVPPHLQPLNPEDEDDVVPDQHAAFGIQKATQKTREPAWRDLGLEELMQ QGPGAPGAGLGLGNGGVGGGSTAGVAGGGGPGAGNGGRSRVARKKMRAGEHGGLPR NEUTE1DRAFT_77828 MSNHLPAGAGSGGGGGRAGDSGLRYPSNGKTIYHRPLNRTKTAE LSKAAFAYLFAEMVSYAQRRVTGIQELEKRLNLQGHPIGLKLLDLLLFREPPRTQLRP LNIIALLHFIKINVWTHLFGRQADRLEKSSNPDTPDEFMIIDNEPLVNAYISVPKEMS QLNCAAFAAGIIEGVCDGAGFPARVTAHTVGDTGEGGEMWPGRTVFLVKFQPEVLERE ALIGAKS NEUTE1DRAFT_77832 MATTVPTNPTSHTVSLLLTKISDADPDFRYMALNDLLATFNVAK PDILQHDFNTSNRTLDEVIKALSDQNGEVQNQAIKVLGPLVKKLPSPLYSTALQKLIE LQSHNSDVNSVPAMALKAVVEALPRPVPGVALTKEAQEAYDSVNKLLIPRFLGQTAGK QVPGLLQGAQVTSDSVDVLIEVVRCFGPVLTLVEIEALHDAVLNLLAQEKCASVVKKR AVAAVSMLAHYLSDDLLAAFVKRTVALLRKSSMPPATRRLYITILGSMARSIPHRFGR YLPEVVSFALDALNEEELQAQLEAIQEGSETTSEWSDVREAALVALDAFLSSCPNQMR PYTNDAIEACLRYLKFDPNYAADEDEEMEEEGEEDADFDDDDEFEADGNFDDDDDASW KVRRCAAKALHTIISTRSSGDLLESGVLYQKVAPALVKRFDEREENVRLEVLSAVSLL IRKTGEGVIPDFTIDDATGDSLGQAPQSRKRRRQSSAAGQAGMPVNLSGTGLTSPKAE KIPASGPRADLAALTPAIVKSATRLLKGKLIPTKQATISILDDLISVQKGGLAPYLDQ ITDLILDAIKVTGSSTSSAPVSFAGGSASATTNTLRIAALRLISSFARNHSSNDLQPY LPKIVDGVVSVVHDRVYKIAAEAVQTAEEVSKAITPPRARMTAQKYKGELQKLYSVIV DRTTDNDADAEVRQKAIHALGTLLSRTSGTEGATLLSDVDRKTALGHLKERLFNETTR LAAVRAIDTIAAFSSSEISFDAPWTQEVVVELAAQLRKANRSLRGSSVMALKHLVLSP ATKNTLDDATVQKVVTALVTVITHYDAQLLGPGLLVLARLAQEKPQIVIIEELMTALC KLLMESTVTGTVLDSLLVLVNSIGQTGQGEVLMQRLLNEVALSGDPSVVGKVIGTLLV ASGNKGTYTAELFVQEIQKQKGERASLALTILGEAGLRLGDKFPYSPSLFLEQFHSEY DKTSLSAAVALGRAGAGNVAAYVPVILQSMQQGGNTQYLLLQSIKEVLQQVAMSSTDL GELSTPIWNQILAASGSEDNKAVCAECIGRLVIIDPKTYMSKLVSLLNDPSPLLRAIA IQALRYTLADENEVFDSMLKSHLVDMLKTMLEDPEMENRRHAMSTLNSAAHNKADVIL GHLNKLMPYVMKETVIKPELIREVQMGPFKHIIDDGLELRKAAYETLYALMETAFSRI SIIDLYDRIVAGLSDDNDIKALCNLMVSKLAYIDPEETIRRLDSIAEAFRKTLSTKLK DTAVKQELEKQAEANRAALRVTLLLGEKLKAFLSAGGAVGAAVPGGSAAVAGTNQVWH SYWEWASKEYKTQLQILRDEDSKGAGVI NEUTE1DRAFT_108131 MKEAVTKAQLKTTEFIRFIAGAKTRVYCRTSDIFRARHECLIYT IHHTNRHHASLKIASFHPAITSPRLLESPCQYLIASGSAKKKLVDHGKEHEGFKRHDE HKEEEARAKQTDDNEKLRKTNSVNKNMDFKTDDASSSKQSN NEUTE1DRAFT_116193 MGEMTGSAENNDTGFVKGLCRDDGCGQMSGWDELEKCSAKEDGG LTGRGEDVVSCYFKHTCFVWLSCVLASYLVF NEUTE1DRAFT_128191 MEDREMSTKTESTSSRPPEIPENDWKISLYDPGDNDDCPRACFL PCDMFAHTRYRLDLIKQGRDPLDLTDYKDFNPTCWKFFGLCTGGVCIGSGIYTGRETT RIRQKYGIRGTAGDDMTRGIFCQPCSLIRNDLEIRQRESMKQEADLPPPRPLGEDYQP IFAIKPDGYKSEPRMTTPRGILKPITSPESSSPPDGQPALREVHFHEPGLGNAPNVTA SYPAEVGHVSQSSFSPRTEGGPLIVNQRRSREGTLTPIEEADNPAGEERKKSTVLGPA MNTFQRTTSPPVMHVTTSDAPIQAPTPTRDHDRYGNGRGPDTNRLEAPVRSRESPPMT PTGSTNARSSEDQPDRLEAPNRPLDSTPIPQRSRDIRLSEHRSDEAPAQFPVIEARVP SPELSRKAPKIGKSNTPVRKSRFSEEFDAPSADEIFSNIPDVAPSSSLDAPPRLPHLP GAFDTPAMPPATVPAGSSNVPSQLPQLPGAFPSSSHSETPNMKPSALEQLTALRDVAN QSPKVVTVEEAETSIAETIQDEVDEAQDVGRSQPHDVGNDFLAGVPPRAEAHDMGTDT VVPLPDQPEAHGPHMDAKVALAAARIKDHPIESDPRINSPKPISIRNHQFTEDKRLAV PRSDSPFKPGIHLDQRVPTPPALVRPHNRLEDRQIATPSPSADRENRNLGADVRTASP GLGSRRGGLTGGRPHSLRHDSRVGTPKPSENVHDLAGDVKAPAGLVSPLAKSPEPRSP PPKRPAAASPALSASSISIGTRAHQLLEHFLEGNRKGAERESGNKSLPSRPCWGVAAR ADRCCPAVRAEKPFVPVPGAADLTPPAPSHKVASRSDKKIFLKTVLRPLTHPSPSTTK SSSLQTHPRQQQHHTTMSEQKQTVHIGTRRSALALRQVDLVIAALQPHHPNVHFQVHA LATLGDKNQTASLPSLGKGLWTNELEAKLFNKEVDFIVHCLKDMPTTLPEGGKIGVVT EREDPRDVVVMKKKWAEQGKYKSLADLPEGAIVGTSSVRRAAQLRRRYPGLVFKDVRG NIETRMRKCDEEDYDCIILAAAGLLRMGYDERIAQWLDSTTEGGGMLHAVGQGALAME IREGDEKTLEIIKPLCHEKTMVATFAERAVMRSLEGGCSVPIGVETKWVGEDQLQLKV TVVSLDGKESVDGQSVEVIKTIEEAEAMGQKLAEDLAKRGAQKILDFVNQGRASGGAL KIGDL NEUTE1DRAFT_120574 MDTVVMDHDQTISPNEAQLPHNNNIPDDNMGGLEDSPQITPDQN GFPDRHYQQQFDQNLNSSPRSYSQHDNFDSDRYATPPAPQPSTSRPPSGLGGQNPSQS AENGSRTTAGGSSSEQANGRNHVVIKVGMVGDAQIGKTSLMVKYVEGSWDEDYIQTLG VNFMEKTISIRNTEITFSIWDLGGQREFVNMLPLVCNDAVAILFMFDLTRKSTLNSIK EWYRQGRGFNKTAIPVLVGTKYDHFVNLSREEQEEISNQARRFAKAMRAALIFSSTSH SINVQKIFKIVLSKAFDLKCTIPEISNVGEPLLLYQSV NEUTE1DRAFT_98776 MKSFIAFCSAAFFFYLALGQSTAGSGTTFWQAAVPSSTAPSTWT PSTPAPPGQALCGQGFTYCGYILRDHQNFKEEDVVKAYCAASRSNCANGKTKTDPIQA LYVCLPANANPKEKEKAKDSAMSPNPHYDDASTPVMSSGKSISAVSRFFSILSSRTHM NHAVHENMDQDSTTEKMRQARQAPASSSSNTTNAGNDGGGCNTLATSGNRIELLCACS GNCLNPVSDHIGRCDQSC NEUTE1DRAFT_144790 MSDALKDIRDDPNATKSLDTQAVFDDYMKTYGADQTSNTRPLAT ISNSSSSSKTSLAPSSTMNKPPRKQRDEDLLPPLPQSEEDRIRNSRPADDLTENKPLD MEAYRAWKARQAAERKREREEAKKPKVSWFKPSQLLVMAAQWEQEEKERQKKKKEEQE KREAEEKARQEAQENLEREEKLRAQETDRAEEQKQAESQPSAHSNETTQSNGGRSYDR YAASASQAKTRGTLRSSPKFGPSSPSLEPASVTTAVPEPRASRLLDTPATNKTTSTTT QDGSGRVDFGSIARLRNMHSQKQQASPAQQVPETPVSDNNPFRQSKSQLLGPSQLFGA TQFSSATKALASPTSSRPSPSDFMHHTASPNLAVSSPLRARGLRSSPSHHVPSSPAVL PDAPPPRATQRKPASSHPGASEDDMVIPESPQTKPPKKKKKAEQRPFASYEPMEKSQE RWSSPIAGSDPPGPLDDEDTETSMVRRRRAKLKKKAALEQLTEIRFPLVANSDDVEIP SSNKNRRGKAKRATQEPEHGDEEPAIPNTVEDSQEQGAKSAAAHAVDDESTQSGPDED RAQKPDAVFKSPRPSQRPAPRPLSPVRKEPVPGTSGADAHPTTSPTESILADKSAHEL PSSSQLPVLPAPSCDIPSSSPPVFERRSRKKRVSSGADQAAKIPTSGTARTTKASVSS SAVDAAIQTTSDLSNLSSTPVVPSTAVPARDASTSFTRPDLGSSSPAPVNNLRRDAAG RLPKFPKTSSTESLRHSARVERRLSSSADELSVSGATIPTFDHSVRMSRSSLLKPSRT SSMTAASQRGSKIFEGMAFAISFQSKRTGESADQYNARMETATSIEKRIKQAGGRILD NGFDELFEPIPIQPATSASKNEGHTSSPPSNVDLPLNNTGRDTGFTALIADGHSRKVK YMQALGLGLPILASRWVITCLSSNSIVDWSPYLLAAGQSAFLGDAILSRNLQPYNART AKLVETVARRGRWLGGSRILLVMSTGGDKKGRKTQKEKEKEKQEEGRKMAYVFLARVL GAELRRVGSLDEAKRELERAEEEAEGGKGKPYDWVYVDGKTDGAELFASPNILGVGES DAGSARASAGGGGKSTKSKKRKRQSVGYVYEPTEAEKQPPLKRVRTLSDELVIQSLIL GRLINEEEFGSI NEUTE1DRAFT_128195 MSAHTPDPPRDDGREKTRIDDATANTACKEDARPSLWSWIKLDD TTAVGPRIGPVLQSVATASSDSDDSIASPERQRELEKGNSIQYRTCSWQKTAALLFSE YICLAIMSFPWSYSVLGLVPGSLVLWEFCLRHPEVRDVCDIGQMLFWNKNWAWWATAV MFLLNNTFIQGLHVVVGAEYLNTMTGTDPGVACRTVSFGIIIALVCWLCSLPRTFNTL SKLGVFSALFTFISVVLAAAFSGAQKHPAGYDPRPSFTSDSGVASVGGNPIVTALPVK SASYIACFNAFLNISYTFIGQITLPSFIAEMREPRDFPKALWACAIAEVILFSVVGGV IYGYTGNQYMTAPAFGSLQETYKKIAFSFMIPTIIFLGVLYASVSTRFIFFRIFKNSQ HMTEHTLTGWSTWAGILLTTWICAWIIAEVIPFFSSLLSLMSSLFDSFFGFIFWGVAY FRLRKADARAGITRKRGIGTILLDGTNIIIILVGFLFLSFGSYASVLGILEAYDTGSV RSVFSCASNGL NEUTE1DRAFT_135620 MDTWAELIEKRKVIFATQEMNEMQRTELDTEHSIATRAVSHLPI LQGLVYESLKKNTPFYHPLMANMFRQVEECRRRLILAGFIYEEPIPPWSQPVVEGDVP DTSQPANEPPLFPPRSPSTSRPNTPEVVEDIWDDYNGYDSGDEEIVHEGDLEDNLPSN EELGIR NEUTE1DRAFT_36330 IKIKKEPNSKEFPLLFRLLYNIICKEHLILKKILKHLLNKEFIK VNNLEVSTLILFIKKLGGSLYFYYNY NEUTE1DRAFT_34759 IIIDRFIKIQYYIPIITLEAEELVNYFINRIYYIYSLLDSILSN RGTQFILHF NEUTE1DRAFT_32801 PVLFIKKLGSRIYIYINYGGVNNITFKLYYLFPFIKEILNTICY IKIFTKFDI NEUTE1DRAFT_35178 IAINNSVRRFENSVYNPLVEISVYNDPKANSINNYTILEVLINI VRNKNKEVTIIRVRP NEUTE1DRAFT_59165 MALSNKFNVGIIGYGLSAKVFQIPFVALTESLVLHSIVQRSPSP GNSAPEDHPSVKHFTSIEPMLADPDVHVIIISTPPNTHFETARDALRNGKHVLVEKPF VPTSAQAEELAALAAEKKRVLCVYQNRRWDSDFLTVQKLIREGTLGRIVEFETHFDRF RLEKPTTWKGQLSMDQAGGVLYDLGTHLLDQVFVLFGMPTSVSAKFLDQREGRIVTGG SDESQQPDSIAAVLTYADTGLLVHVRISVVSVETKQPRFRIRGTKGSYQKAFLDPQED QLRGGMAATDARFGKEDESRYGRLCYVTEDGKIEEKVYPTTEPETYTKIFEGFAKALE TGNEDDIPVPASQAAKVLRIIEALRESAKTGRDVAP NEUTE1DRAFT_59167 MKFIVATGASLTRSTPPAVENETSLCIKSEEVEGKFPVIVDATF STTSHDLHRMRRNALNKFFSRAQVARLEPTVRDYAERICDKILILGKSAPFDVTTAYS HFTTDVISGYCLGDSLGLIEQKGWEPNFREPLITRRFSKDMNTLFNALVGDMPRYVRR AQDNVIKGLDDGSSVFGALLTSDLPPSEKSLERLTDEGFSLFAAGTETVSWALSVITY HLFANRELLEKVTTEVTQAIDGKSGQLPSWHTLEKLPYLGGVIYEGLRLSYGVASRSS RIPVGEDLVYRGEWAPKGSNIMREFQYVIPRGYAIGILAYCELYVLLSLLIVRVFPHM KLHETTEADVTHDHDFFNPFPVSSSKGVRAIIV NEUTE1DRAFT_77853 MKGLLSLASCLGIFHTLVAAATIPSYFERSPSTPRKLSVAQIQR ELGSRLSKSTIIFGPEDARYEDATSRWNDFAKPKFQIVIEPGQESDVASIVKYCNEKS IEFLAINKGHGYPSSLGSFNGVQINLAQFRSITIQPNGKSAWFGGGVFDGQANRYLWD QGYVTTTGSCDCVGMMGPGLGGGHGRHEGPHGMISDNILQLNVVLADGTAVRVNNTSH SDLLWAMKGAGHNFGIVTSFEMKVYPRGPDTWHYHNYVWRGDQLEAVFTALNNFHGNG STPVNMTTNFGNMLLDTTVSDKEPVLWWTFAYRGSAAEAETLLAPFNAIEAVRDEQGD VPFPKVAAAQQTDENSAICQHNKVRITATAGLQVYNLTAERLIFEGFKKRIASDPTFA AGAAVTHEGYSTAGTDAQNSNDSAYPFRDDHHLMLIQIVIPPNNKTIEQEAWKWAKEV RDQWNQGQPTRPVNAYVNYANGFESVEEQYGHEAWRLKKLRDLKAKYDPFNRFRFYNP IIGKKTVGPI NEUTE1DRAFT_39987 MLPVVQQSIPFFDNISNLTDPLLVLLTVTVSLYSLYRWLLPKPI PGIPYNPQAAKSLLGDAPAMIKEVSATGEFRVWCAKQMKQMNSPLCQIFIRPFSKPWV LLADFREAKDILMRRKEFDKSSFLSDGMACMGSFHGIYMTGEKFKANRQLIQDLMTTS FLNNHVGPAIYGKGLELMKLFETKMLLARGRPFSVKKDFEYTSLDVMLEFAFGKNWVH TSLGPQMERVDKMQAEDVDAMMEGKDSDEPVVFPLVPLVDFLNSVYEAPEIVERTINA LMPKLQTWWWSKQSWYKHIFDAKERAMREQVEIAISNFQSGCIQTGIEHMLMREASRA EKEGRAPDFRSKVLRDEMFGNIVGGHHTTSGAMMWLTKYLTDHPVVQSNLRSVLYTTL SAAKNENRLFTFEEIRHAKLPYLDAIMEEMLRINAVPVTREALTDTTILGYPIKKGTQ VFFMSNGPGFLSPSFPIDESRRSETSRASKINATWDETQDLAAFVPERWLVRKREGNG LLTDDVDFDGAAGPQLVFGLGPRTCWGRRLAYMEMRIVIAMLVWNFELLKTPPALSSY AGLEGIARVPQQCYVRLRKL NEUTE1DRAFT_59173 MGSLSTAGVNDPSSPSILGLAQNILSAAQDITKYLQVNKLPEPS FSLESQDPPHDHPEYRRLHASLKANLEDLQLLIDGPRKWLRAFCCTGYDLGALQVALD FDFFQHVPAHGDIAVEDLANKAGLDVDRTSRIIRQLRTYRIFEELQPRRVSHSPSSLV IQQDEQLRAVVHYSLDEMLKAAADCNVSLKANPHEAHQNLNPFVTRHGVGIFEFYQKD PEKARRFAKAMAGLRKMDSHLDFLLKDGFNWSALKGTVVDVGGGNGHISKSLAQIYPD LDFIVQDSNADMLAEGKESLTQDLAPRVQYMHHSFFDPQPVKNAAAFLIRQCTHNWAD KDVVTIFKAFVPGLENSSPETPLLINDIIIPEPGTWPRHQERNVRQVDMVMLVNCGAK QRTKAEFEQLLKQADERYEIKNVFDDGPLGLLEVYLRR NEUTE1DRAFT_116197 MACDSWPSAEDLGKGGLRTGAAMQILIPLRTIRCNGNTESGLVT ITALGGAIWLTRP NEUTE1DRAFT_77860 MSSTFKHDTHEPVAIVGMACRWPGGVHDPSQFWEFLRNKVNGWK EFDDPRFSSTGFHHPNSDRPGSMSMKGAFLAEQDARLFDHTFFGMTGLEVETMDPSQR KLLEVAYEAIENAGETWESVSGTRTGVFVGNFCLDHWMIQSRDWDNPRPYAFTGAGTS ILANRISYIFNLQGPSLTVDTACSSSMYALHLAVNAIRAGDCDSAIVASANWIADPGV QIALDKLGALSASARCHTFDARAEGYARGEGFGAIYLKRPSLAIANMSPIRAMIRGTA INSNGRTGGITRPSANGQETVIREAYRNAGNLPFRDTSYFECHGTGTYVGDPIEVAAL GRVFAPERSSDDPLLVGSVKSNVGHGEGASALASIMKVVLALEHGAIPPIYDLQTRNP NIDFEGAKVQPVTEVTPWPKDRLQRASINSFGYGGANGHCIIDHVNIVLPDYVAPGIY KRSTRDTTNGVNGHINGRSGTPSHRPIIQRPKMTASPNANTRSLVLLPLSAHNENSLE LNLKALSQVVDKLPLADVAYTLGARRSKFAQRSFCIVEKDKVVEGLAAKSRVVRAPLQ PTNVGFIFTGQGAQWHAMGAQLFEYRVFSTAIQYLDHVLSSLPNGPDWSLEKILSGDC DAALIQRAEISQAVCTAVQVGLVDLLASWSVRPHGVAGHSSGEMAAAYAAGRITAAEA IVAAYFRGQAVSRNRQTGAMLAVGLGPEAVAKYLSGLEDQVKLAAINSPGSVTLSGDP AAVDSISKALAADSVFNRKLQTGGNAYHSHHMLPIGREYIEMLSQGLQHIQKLGLASP EQRYPKALWVSSVKPNKDTTGSFDDPSAYWRANLELPVQFSEAVASLVRNENVTIHAL VEIGPHPALKSPVEQIVKAAGKAVAYASTLKRQEDARLSMLQLAGTLFTLNTPIDLAA VNAVDGEAGHSEGLEHGSTCLDLPPYQYTYNGLNYHESRASKEYRYRSVLRHDLLGSK VVGNAKLRPQWRNILRMKDVPWLGDHRLVPDAVLPGAAYIAMAVEAALRIYDEFAKPF EVKGFSLRDVVIKKSLVIPEDDYSVEILTSMELVDFATAQSPAWATFSISSVGRETNE WTEHCTGRVKVVIQETGYDIDVEHGRVAAPYAPRAVDVKAWYKKFLDIGLGYGPVFQP LSDIRVDAASNLAVATVHLQPGIGVMKGGESRYAVHPASLDGAIQLGLVACHGGRPSE ATTAFVPVQFSGLYIDSSLAHGGETCTVVARGQRRGIRSAHLDLQFLGADGKLLLDVE SLRCVSFSSEAKPVDRAFSSPYTRLVWKPDIRKLSNSQARQIYPPPTKNVDKAPLWGI TNKVAHFIVYSMYETFGRQADRPQPSGEVGHFFDWIIRKGESDHSEMMEEARELAAKG ALLQQIEELVAQAPDIMEVKIAKLLHDNAADILYERKTGMEVIIGENLLTPLYQEGLL MTGIYPQLSIVLAGLAHAKPNLRVLEIGGGTGGATRIAMKAFNGPNGIKAYRDYTFTD ISPGFLSAAKESMADLRDMNFSVFDIEEDPVAQGYEEQTYDLIIACQVLHATSNMTKT LSRCRRLLKPGGRLVLVETTENFIVPGVVVGTFTGYWAGIPDGRVDAPFQSLKDWDRS LREAGFAGLDLVLDDFPEPHNTTSVILAKVPEQEPEPLPETQSATTVHVVHGGSNGTP VLVDHICQELQQRGTNVKAITFNDVTKELSPGSRVVALLDESHLLIKANEENLATMQY LARSATSLVALTSCGTIKGRAAEGALISGLLRVLQTENPSSQYMSIDIDVDNFEVEDA AEANELARSIVDGECDLFRQASGSASVFVDGESNGGDPADREFAWQNGCLWVSRHVPD AGFHSQYGLETRSMKPELRPIGSPSQTGGVRAAFETPGVLNSLYFIPYKDLLQPLPAD FIDVAVDAVGVNSRDLEHWAGRVDGDYLTSEYAGVVTAVGSRVHDIQVGDRVYGVGKG QFGSVTRVPAAFATKLQPKDDMIRMASMPLAYTTALYALDHVAHVREGQSILIQSGAK DLGLAAITLAKAKRSQVFATVETAEQGMFLVEELGLPASHVISILDSKQLQRAAQETR KGKFEVVMATAARGELLSLFLQVLAPLGCLVDIGGVDGQTTPVVGQMSLPHNATYASI DPFAVFDSDPLLGAELMQSVDDYYRQGVIGPVPRITAADVGQLSSALGDFGTMSGKLV VSFKNPESLVRMAPYAPTVKFDPEASYVVTGGLGGLGQSLVRWMVGRGARHLALLSRR TASSVPSVQELLNGLAGRGVEIQCFACDVSKEDEVTSAIKAISAVRPIKGIVHAAVSY RDLTFDKLSPERWNEGLSAKVAGTKNLHKATLSMSLDFFVMTTSALSVYGFATQSAYT AANNFQDAFARYRRQLGLPASTVSFSLIKDVTDVGSSGITVDMFERNKALTLDESQFL ALLEPAFLNNRTVTEETSAGQWSGQDDDGLSVANLHTYLDPRAMAAKRREEMASEASS SSSTVPPRWYSDGRVSLMMRAFADAQRHSGRSQDAADEGSNKNTIAHLRAELEAAVRE GEAGRGRTVALVQDAITKVVAEMLFVDVESIDPAKSVAELGVDSLIAAELRNWFIQAL GTNISMLDLLDPSVSISTRASTIADKALSATA NEUTE1DRAFT_77863 MPNYGGTMVHRQLRVDNQPAVKKEDRERPKVSLNKSAIIRRLGA LESYQIALQTLDLIRSNVVSSRFVLPKRLAPTARHHDLVNEFERAVARVVLAHPHMQV GIAGAKTSTPTWVRLDSINLGKVIKWQTVKGGDDAFQKEFQQTLNREADTRFTKFRAE PGWRIRVLRSEADTSFIEILLMFNHTHLDGVSCKNFYRELLTHLHASDSGLHGSPQAS HNPFLIDHILTLSPTSALAASLPPPPEYILPFPVDPTAFEHFLKHEIQTPSSQYPRNV ATHAHWAPIQLTSPDTVPFRSQIRTMTFPANIAEELVQACRKQGATLTALLHGIALVS LAPLRELVAKADAFAFLTPMNIRRYLPSPYSKGRTGRVKNRLDAETAMGNYVTIIEHV ADEELVGRVRRSLTASKYVSGCNFQPNPRAAEAEEVLSEVHDLIFVAARRVRADLAAK GESCSPQGLENDMIGFVSRGVSDWRRQLQEEVKRPRKSSWVVSNLGAAEGKPFHSLEE GQEEKEHGWSITRMSMTLCANVVASAFGIAVASVKGGDLVVSVSWQEGVVDEKVGDAF VVAMEKWLRLVAERQRADDVVWSKWKVCSDCN NEUTE1DRAFT_59180 MPDKRETSQQDLEAHDTDKTMTAVEETTTSPTDETKYPTGLHLT LIILSLCVSVFLVALDQTIIAPALGAITTQFASIKDIGWYGASYLLTTTALQPMYGNI YTLFDIRWTFLGAVALFELGSLISGVAPSSTAFIIGRAVAGLGTAGIFSGAMVILTYT MPLRKRPVMFGVFGGLWGVSSVVGPLLGGAFTDKVTWRWCFYINLPLGGAAMAVIVFF LRIPRNANDDKRGAENGNSKASFGSKLLQLDLIGAATFIPAIIMLLLALQWGGADYPW NSSVVIGLFVGAAVMTLIFVGIEIWQQDRGLLPPHFFKDRNVLAAMMFALFVGAYFFP LVFYLALYFQAVKGDEAIEAGIKLLPYLISFVLASMASGALITIFGCINPVVLFETAL MTAGAGLITTFWLDTPFAKWFGYQVVAGLGTGVCFQAGILVVQNVLPQHLIPQATACV QFFQSFGGAVFIAVAQSVFQNGIINNLVRDAPDISPDLIINSGASDIRQVLTKMGRED ATNLVLGAYTLGLRNTFYISAAAAGCTFLAACCFEWRRIQKGGGEKQKAHQIWH NEUTE1DRAFT_98790 MGLGQDNLDSESSPVLVAEAAEDVHRVMRDIPDRQIIDFLVQFF VREISWMDQVVHVPWLMSKYQDWCNTLSAEECRAADANDVPRQIRVMDVDFGVLLLRI VSYALQFLPSPIYPLDRIRGVLLADVRNECDDIANTLEAMSWALDGRGSLIRVHQVAF AALKSQTEGNIKACWEAVSRAIRIAQSIGIHSDSVTAIGANETEKEMARRIFCNLYTW DSLLSRQLDRMHALPGRLHPRNWPQLHALPEYRDKGEQTPPTMPLNRGLEAPDPFTER LLQARLADFWRSVSPLQGNEDDIMAAEERYDKFSREFLSQLPPAFALADADESWDRLL PKLPLQRQMLHMAIYDSLCWNFRPLLFWHPSSSLSLPPYKVLLLRYQKRAVAGAALRS LEAVARLHALLGGYHTRLPGIVMSTFEAAVLLLQLCADPSFLEDDSCLNMHQQQQQQH ITPRLDPMRANAHMVNRPACMEAVEGAVKRLKMLAEVSSLADIGASTLVELLRRTTTS QEKSGTEVLRNNQLPLETNVSRSKTLTETVNDTIHVATQDHAGLQVPQGQGQEVESAE SLHNTPRTTTSSLAGETVVDEATMVTGWNAASAESVDVSLSMDIPSSLQDFMSTITVG DMATWSAFDESSIFPPESEETPALDEQEGLSGISITFWIGKR NEUTE1DRAFT_116200 MASFLITGASRGLGLAFVKELASRKSSEVSNIFAGARSNVPALQ EHSKTDPRVQFVKLDVTNPDSVKEAAAQVEKKLEGKGLDVLINNAAVCHYDMQKMSNP TLEKDIMREMTGLEEEFQVNVLGVQYTTREFLPLVEKSELKKVVNITSTFGSISSASD PAIAWSPCPAYKITKAALNALTVQYALEYQNKGFSFIALCPGWLKTDLGSQMADLTTE EGAKASLDIILTPGQVYNGKFPMIKVKGWETQEEAASHGGYAGKNVYDGRNIPW NEUTE1DRAFT_59188 MPSRPSSLRSIAGPLNSNPPNAQTPTGPTHDHAPAHPSGLRQSY TVSSSYSSTSDFERDGDEDSGAGPSNDNTVRSVTPNERTGLLSQERGHGTFIDARTVS PSNASHADSLPGFPFDAPTDTDLDFSPYNSESPNGEGPALGKPKHKRKSWKRQISSHI TTQKMHTSRDLARRHGVKDTPGMYLSYYLPVLVWAREYSWSYFKGDFIAALTVAGMYV PMALSLADNLAHVPAINGLYSFVWNPLIYALLGSCPALIVGPEAAGSLLVGAAVKSSV DLGRGGDEDRVLHAKICGIVAGMAGAMVFAAGVARLGFLDSVLSRPFLRGFISAIGVV IAVDQLIPELGLNHLVAKHPGIGHGSSVEKLRFILGNLDKVHKLTAVVAGTSFVVIMV CREIKRRMQPRYPGVAYVPDRFLVVVLTSFLAYWFQWDKKGVAVLGTVEAASHQTFKF RWPFQPSNMQLIRDSMGTSFLIALLGFFESSVAAKSLSSSEPFAGIQLSANRELVALG VANFVGGCFMSLPAFGGYGRSKVNKATGGKTPMSSILLSLLTLFTILFLLPYLYYLPK PVLSALISVVAWSLIEECPHDITFFLRLRAWPELALMTIILLSTIFFSLTLGMAIGVG ISLLQVIRNATRPRIQILGRIPGTNRFENAEFFTDSPDRLEFIEGCLIVKIPEPLTFA NTGELKSRLRRLERYGTNEAHPALPRLRQEDSNKNIIFDVHGVTSMDGSGTQVLEEIV RGYRERGVRVFFSRVPGGKDSEVWKMMKRSGIVDLVGGEGHFVSDVGEALKMTEVEQE E NEUTE1DRAFT_135633 MNPSAWYWYKVSIPSLPLGFLSFSFIPISAFGFTHHCRAYLLTG PSKSHFSDTMASQDDLRNAFQSGDRDGDNTLSVREAVTAVQALGGRTLDAKQLERACN DCGVDTGREMDFDEFVRVVRKLEGEGAL NEUTE1DRAFT_128204 MTGRSRRGVKFPHSGRRSSMSEASEESSPSKTRALANIQEKSKD AGDNQQLSDYEKKKANFIERTLWTFVMIAGFFAALFMGHIYIVVIITAVQIISFKEVI AISSGASRARDIQSTKSLNWYWLAVAMYFLHGETVIYYFKHIVMVDRVLLPLATHHRF ICFTLYVVGFVFFVSTLKGGHLKFQFTQFVWAHMALFLIVGQSHFIMNNVFDGLIWFF LPAALVITNDIFAYVCGITFGRTQLIKLSPKKTVEGFLGAWVMTVLFGILLTHILMRS RFFICPANDLGANIFTGLDCEPNPVFIPQTWTMPELLFFPTKTRFSITMAPMQIHTFF WATFASLIAPFGGFFASGLKRTFKIKDFGHSIPGHGGITDRMDCQFIMGFFAYIYYHT FIAQSKVDFDEVLEIVATRLTIDDHKKLLLFIAKYLVNHNAIDSSIVNAIEKSLTNH NEUTE1DRAFT_77878 MMDLDSYSDEDLDNLDGDLLQQLEHNAIQFTQRQAQSQAPPRTQ FQLPGSTAQQPQYISDGDDDYDNGEVIDQAAQPLSLPRSGLPNPAPQPFRAVPSIAGQ QRLNHQRQQANQQRYGQQQAFRPNPQIAPSQYPRGPLGAPGRPLPQSQFLRQQPHRPP QIIRPYVGQQPPTTQGLRGAGQDVDKQKDETIRSLEAELSNLRTQLTTAKGEANLMRS KYDRDIPQLKKEMAEEKAKMEKRLQEAQKAQQAASNELLFANADLQEERGRAKTKKVG KDGAMTPSGRNKTKWIPDGFSDVDVSGLGSPSRDKAGRRERLRDQGNTGTPTKGKRKR PAVDSPSFALEMETDGAVFEDSDLFAPRSTSTRTGPSLPYDFLKLVLDHSPLPSLPLT FEAFARFCFPSKPSASFASIIFRRLPQLGVSNLINSSDNDPFRLLVDFSELLLDMWQQ CLSERYHSPIYYLAGLLQFILQLNAVDVAPRITSSLVPVVVTTCQLVALPRLNLKMVN DGGGTVEDLEQHPDPAMRLLAADIDVTQCLRLLYLCAVGCLKPPSVDQHMTSPQARFW KTMDLEFVVLMLSAKQPEQDWREMLSLLRTSAMPGSVGPLPKPDSNADSNTMLAVRSR PQVQSRGSGSGHSRGGRAGQMLPPPPPIRNADNVDKPPQNPEPGSQEASTAQIIIDCV SSYLVDTPPRWASPGSAREVSIKLAALQTLMSFASSLFGLLQLANSDVAIPRIVSALC WAVDGLYDADIPSMAFDDLSIASDDTRRRNDIQKEGHTNRKRHGYDSGMSTPQLLSQL ISRSTNLLHALVTHPKTSGIVNPISRKLATPVSPGPPTFTHPRTGRGASKGRNTTRGV PIRSTALHALHSRAAPNAATRHGQNSRHNLQSSVVNPHAAAVSNMTAALPHRYLVSLG RLNFAEEDLVFEQGIDEETVERAHELLELAVERREGEKVKGVFEGGQHEVDGI NEUTE1DRAFT_40294 MDQDASESGFEFVSHHFLRSWYLRDGKKSIPTLDQAHSKEMIEE GQMQTPLQLVALIHGGHSMIHKPWWSRVFLPILCPTSLALEEMYKHWFYSTKLNEGRV LKFSLASMKMAFHLGYTIQVFWILTTKRPLGPLPTAAQHEPTTNFFDSEPNIREWEYI QLSAKEEAWYGISGDGPGTMSPLALGLKEAERVQRHWEKEQEWVYPMYPAEWEGWAVV NEUTE1DRAFT_77879 MAVERLGSILKHLTPGTALSNITAKNPDDIVITLAIRTPLTKAK KGGFKDTSLEYLAYATLKEVKERCNMDPALVEDIAMGNVSDGKAAYKLRAAALAAGFP NTAGAYSLNRFCSSGLKAVADIAHAISCGSIEVGIAMGAESMSVGGDALEQPFDVAVT SQSQESADCMQPMGWTSENVSRDFGITREEMDKYAAESFQRAERAQKAGLFDDEIVPI KTRVKDANGEWKDVTLTKDEGIRPGTTAESLSKIRVAFPQWGPTTTGGNASQVTDGAA AILLMKRSTAVKLGQPILGKYVGSTVAGLAPRIMGIGPSIAIPKLLNQYGLTLNDIDV VEVNEAFASMAVYCRDKLQLDWNKMNPRGGAIALGHPLGATGARQIVTGLAECRKSGK KILLTSMCIGTGMGMAGLFVNEQ NEUTE1DRAFT_77884 MFTRAIAAAASLLVASASANSKRGLVFTPNSTFPDDAYIWTAPP TDLTWYYNYKPLPSQIYSNVSQSDFEFVPMLWGAPSDISDKSFYNTIKTLIDERGVNV THILTFNEPDGPGQYGGSDIDPEVAAQVWVNNIVPLQEDYKALRVGLPACTGAPAGME WSKKFLEACSGLISEGEKTKNCTFDFVPIHWYGNFEGLASHMGEYSAAFPNKSMWVTE YNLANANLQETQAFYTTSAEYLDRLDFVERYSLFGAFRSDVSNVGPNAAMLNRDGELT DIGAWYLGRQATGVDPNSGKGGKDSGAVRMPTPRLVTLLLVSLLGLVNFA NEUTE1DRAFT_120594 MASTTDYKIDFTNFYNIINNELKSTPTTRAAINPSTLAPLPAVP VSTKADVDAAVSAAKAAFPSWRDTPIEKRAALLNTFADAIMANFMDFASLLALEGKPP TAAQFEVDFAVKHLKGTAQLRLEDEVIEDNEEKLTKIRYTPLGVGVGIVPFNYPFFLG LGKMGPAVLAGNAFIWKPSPYTPNTALKLVELAAKIFPPGVVQALSGEEDLGPWLTAH PDIRKISFTGSTPTGKKVMAACASTLKRVTLELGGNDAAIVCDDVNLDEVVPKVALGC FNNAGQVCVDIKRLFVHEKIYDQFLAKMVEVVKTFKFGGSEDTEGAFFPPVQNAMQFE KVKELFTSIEKEGLKPVIGGEVEAEAGAKKGYYFKPTIIDNPPENSRIVQEEPFGPIV PVVKWVGGEDEVVRMANNTDMGLGASVWSKDLEKAERIARRLEAGSLWINTHQDLAPN VPFGGFKQSGMGHDWGLIGLKGWCNTQSLMTRRSGGMF NEUTE1DRAFT_116203 MRFSDLLVAAATANAYTMNSQVRLAYHGDNGMMVSWNTFDVVKN PSVQWGLSQNRLDQIATSDVSVTYPTSQTYNNHVLISGLRPDTTYFYKPLQLMNSTTE VFNFTTSREAGDNTPFSVAVVVDLGTMGSKGLTTSAGTSVASTNILQPGEKNTIDSLE ANIDNFDFLWHAGDIAYADYWLKEEIHGFLPNTTIQGGAAVYESILNEFYDEMMPITA RKPYMVGPGNHEANCDNAGTTDKVHNITYDSSICMMGQTNFTGFKNHFRMPSDVSGGT GNFWYSFDHGMVHFIQLDTETDLGHGFIGPDQTGGSEGFTGVDPVNATMNAQTNWLEA DLAAVDRSKTPWVVVAGHRAFYLSNTGDTCPTCKDVFEPLLLKYNVDLVLSGHSHIYE RLAPIADGKIDPNELENPSSPWYITNGAAGHYDGLDSLDSPRQPYSRFGLDTSNATYG WSRLTFHNCTHLTHDFVASNNDTVLDSATLFKARTCDQDRRRH NEUTE1DRAFT_116204 MPKTEMTKSDSSRIQSSQAKGGGDMSSGGFAARAQSAGDRSSAS QTSGGSGFSSSTSGSNQSSTRSGASSGSKK NEUTE1DRAFT_77892 MFLSTLLPLRQTLTLLSFVASLQWPLPVNGQNADTPSDSNFIRR GYERAAVIGSHLYLSGGEISQYGTGENALPPDDHPAIGISSVLSIDLSTSWDTRNAPF QSHTFPDGDQPPVFNNHALWPWKSSDGKDQGFYIYGGQVSWKETVKDVRKDRGIWKFT VDGQGGGAWTVEQASNPEVLRGLNLTASMAYAAGGGRGWSIGGIMTPGTDPDANITSG PGLRIPGMVEYDFATETWTNYTEVGFLPKGRALEDGRAHFVDGLGEDGKNGVVMMFGG GIFILDVTRKGDSRGITRPDLLLDFNNMTFFDAQTKKWYSQTTTGTPPTSRMGHCVAG VRSQAGTHEIFVYGGADAGFTESYDDLYVLSLPGFVWFRVDDRSNGVHSHGTCAVVGN RQLLVIGGQNEKDGWPAIWQSKDPLPLGLGVFDMTTMTWKNNGSYDAEAAEYRPAEVI EKWYKDGGLDKVQWDSDDVKKMFLATPVNFTNLDEPSSSDKPSSGGGGSGSSDGDTDK SKGESSKSKTGPIVGGIVGGCVVVLGVIGGWLFWRRRNKKKNSAGALSSAELPVQEPS LPPPPQGFYEPYRHQKELPVHLAATEVYTPPTELSSHAYRWELDPDARPNELHGDAGP RAQELPWEGRPQDLSACR NEUTE1DRAFT_120598 MPLVKNPILPGFNPDPSVLRVGQDYYIATSTFEWYPGVQIHHST DLANWTLLTRPLNRKSQLDMRGDPDSCGVWAPCLSHDGDKFWLVYTDVKRKDGSFKDA HNYIVWADKIDGEWSDPVYVNSSGFDPSLFHDPDSGKKYFVNMLWDHRRRPLLFAGIA VQEWDAKTKKLVGERKNVYQGTELALAEAPHVYKRNGWYYLLIAEGGTGYEHACTLAR SKNIWGPYETHPEKHVLTSKDHPRAVLQRAGHGDIVETEDGRTYLVHLTGRPTTQLRR CVLGRETAIQECYWKDDWLYVKNGPVPSLWVDLLGERDESKYWEEKRYTFKDGLHKDF QWLRTPETERIFNVKDDKLTVIGREAIGSWFEQALVARRQEHFSYDAETVIDFSPTDE RQFAGLTAYYCRYNFFYLTVTAHSDGQRELLIMSSEASWPIGNLNTPYVPYVQIPNEG KVKLALTIRGNQLQFYYAVLGSGDEEPKKIGPVFDASIVSDECGGHQQHGSFTGAFVG VAASDLNGLAAEAKFDYLLYKPVKNQQDAYVRDNE NEUTE1DRAFT_98803 MSSDTDASLDKTVLAVSFASAVLYLFQVRSSPSYSRTLVKTSST ALLSYLSHLLGGPQFLTGALALSALGDAFLAWDGDLAFLCGLSSFMVAHVLYILLFLQ QQHAAGFQGLLEGGWRTAMAGGLAVLVPVMIATLMPKVGNALRVPVLVYSLTICVMAL TALTLENGRVIVGATMFTASDSILAADKFLVLETEGYRDWMQRAVWVLYYGGQALITL RFVEPAW NEUTE1DRAFT_116207 MMYANAGPYSVLVLKVWGDWGNWGSAPVADHGSWIAEDGEEWGC STRETQSRRRAGYGGLSWPRINSS NEUTE1DRAFT_59215 MADGEVGVLAHKASGDLEDIEDGPQVTETENQRVTLTEEDNRRI LRKTDLTILPILAWVYFLQILDKTVLGYGAIFGLREDAHLQGNQYSLIGSIAPIAQLA WQPFSSILIIKVPYRILMSVLVLGWGIAQCLTPLCKTFSALLAVRFFLGLFEAGCLPL FSVITAHWYRRSEQPVRVAGWYGTNGLATIFAAALSFGLAKIHSHVLASWQIIFLFTG LMTVVTVPFIYWKLDNNVHSARFLTAEEKLKAIERLRANNNGTSDGEAHKFKWAQVGE VFLDIKTYLFIAMSLANNLGAQVVNTFGPLILSGLGFDNHKTTLLNIPFGAVQYVVIL GVAWTSVKLRSGKGFALLIILLPILAGISMLYVLPRDKSHTAPLLVGYYFLAFIFGCN TLVVSWILANTAGSTKSSIMMSLFNAASSAGNIIGPLLFDTADAPAYTPGLKATLGVY SMMAAVVLLQLGNLVLLNKMQEKKRVANGKPAKLHDSSMDKKFNAAGAAQAQEGDIGS RAFLDLTDRQNDEFIYVY NEUTE1DRAFT_98806 MCHFSTFLSTHPLAVPRRDVLGAQTVIPSNLTLSILDGGCLGKT AHRLTLGSRRRTQPIDDEEAGDIIPFDAHAGGGHFTCKHGPQLRVSPALQSFIARNHI LSSGETVADLLAKPHIAVPREVINRDYPLPEYFVSSSHNTYLCAHQLFGESSTDPYRH TLQAGSRCVEIDVWDNPDNLDEPKVTHGYTLVSNIPFREVCKIIRQVVEEEEESGGGG GAPVLISLENHCGAQGQEALVRIMKEVWGRHLLDKPVETESHIEQDQHVRLRDLGSKI VVIVEHHLINEASSDSSSDSSLEDEAEKKERKQRKQAKKDAPEAKLIIPSLAELGVYG QSVKPPDNSWFTSSDLLPSKDGPHHHLINVSESGLNALLSSGNGASIAKHNARHLMRV FPKGTRISSKNLKPLPFWGLGAQVCALNWQTFDASMQINEAMFAGTGGYVLKPSWLRS NAAMVGLAKKEFREQVARTRRRKRLRLVVAGASDVPIPSGRDTDKELKPYLTCTLLHP GVPFGEMQQTKKKTDVFRKHKLSALLIGGLTGAASKEEENSLVTDPVWDETLEWDEYE DDELVFLRMFIKSDDSFSSNPVLCVASVRLLYVEGVKGQWRFVRMLDLKGRETACTLL VKFEVDEL NEUTE1DRAFT_77903 MNCPSRNDDAELEHPFWNQQPHCLAADLTTRQDLNGIANSRTHR HGSQGSEVGVCALNHHPGGGIRLHDADRRPQVPPEKNNNSAGRRSIGMLMSLTLSESG GEDRACRTRTSVAPLLRNARAASAVPGWVTWVLSVVVTSLIMSTIMTRQQHGSDRQIQ VDAALHVSAVAEPVTPRVFKRASTCPKGGVSDEEFYNTAFHGAALAIIFGVSFLACAF PVLMTRFPMIRLPPVFFFAVRHFGTGVLIATAFVHLLPTAFISLSNQCLDSFWTQQYP AMPGAIALAAIFMVTIVEMVFHPGRHVHHGPHEQEQISGAPAQSNTNDESIDPLSRLP SNARAPDDTTNNLPSRPKGALRGRAHSIGRRLSHVSRQGQDPHDRDAVLPALPSEDYY LDTTTTTTTPQFNKEIFQSDLTLSSPSTQQLESQLPHDGYMYLTAEMKRRKETMQCVL LECGILFHSVFIGMALSVSVGTDFVVLLIAIAFHQTFEGLALGSRIASITWPEGSKQP WFMALAYGCTTPIGQAIGLATHRLYSPESEVGLILVGTMNAISSGLLVFASLVELLSE DFLSDESWRTLRGKRRAAACVLVFAGAVGMSLVGAWA NEUTE1DRAFT_116208 MASLGKLLSAAGLLLLGGHGMLASAEDHLISERKLSKRFIDEKG NYNISFYHINDVHAHLDQFSSSGTDCTNPAKGCYGGYSRVKTIINETRPSHKDSLVLN CGDEFQGTMFFSYYGGEKIAETLNQIGFDGMTLGNHEWDKGDEVLGQFLENLTFPIIS ANVFSDNARLNRTIKPYHIYEEYDLAIIGVTTETVPSISSPGPGTKFTDAIQAVQDTI DHIRSTTSIKRIAAITHIGYDEDQRLAKETTGLYLIMGGHSHTPLGDFPGAVGPYPTI VKNKDGDEVFIVTAYRWGEYLGYIDVTYDADGKILEYHGGPIHLTNTTKQDEDLQKQI DAWRVPFEEYSKQVVGTSNVVLEQSTCQSKECTFGDFICDAMLDYRLNASTDGLAPSF ALTNSGGIRASIDVGPITRGEVLTAFPFGNAVVEITLSGQQLWDVLAGIVARVNKANG KAVTSFLQVSRGIKIEYQPTNSPDNGVGTLAAVTIGGEKLDLTKQYKVVTIDFVAGGG DNFFAEAISNLVVLDTLDEVLVNYIKEKTPVDVQLEGRIAPYSKCGGRKKSQKARRER E NEUTE1DRAFT_98809 MILTTLLALFLALAATTTTALPAAEVSSDPLTNATTLTTRDDKH WACLNFSPAIDQSSSASPKWGDCLQLRANIDSGGSWTFWSGRQTVIASYGSCVIGVET AKGTLAGTLTQIGNIDLIQIVEYTEAMIIHQGGNRVATGKNGKKWVKWDAKVGSKGTM ECFQLALRSNKFAVNWGLYHT NEUTE1DRAFT_77909 MTDTTTTSLLDQVRSGHYVPVLTTEPAKTLIKSYVNTLYDSESQ QQNVVDTPVQDKLAVALSAFNTFLQINVTGPVPDGLAQVEDEFTTAASSKTSKSKPLQ QTRKLCFSSLDVDGVSVYQYIPSIELFGLARWVLSTQLGEEAEVKGLEGEPLEWLKLR VDVWHYKLITQPSLGPGSIFNKILQWCEVPSLQGKIEQGLEVVQGEVTGKDNKEMEVK WLVEKANVHIMLGQDVKAKEAIQKAAEVNKFQYMLSGALGKRTKFQEKATSQLVVLAK SSEEKKEDEETDAKPKAVELNDDTLLDDLQFTKGELGKHGETDDKTGLPAELKDLEPD AQPQLSPLDQIILLTEATLKDAFSPSDSLTSEEVLPFAVRVINDKSTNWQIYTQALLV RSRIEMHRSRTIERGVLQMQAVVDQVIVDTTAASPTSESNEKEEEKSDVPAIKISADG EVIEDKAAAASKPTSFFPAAKPEDSAPAHVRLQYIHALSSPPRWHLESELAYSWAGVG SLVSALEIFKRLRLWAEVALCLAANARHDDEDGRGAGGEEKGKAIVRWRLFHRTGVTT AEEDAEADDEVEKETLDISNFKEADFQGPERVPPPPNAPRLFCILGEMEDKPEHYERA WEISGQRYARAQKSLGEYWLSKQQIFKARDAYRKAVVVNRMSSELWNRLGDISLRLGD FAEAAESFSRGIAVADNLAGGEDARTWSNLGSALYSLYVERVKELKAEREAAALAAEP IKKSLSDEVLPDEDDTCIANPEQSQTDPSKRSPATLLSQSLAAYKRGASIANDNWRIW DNVITLASRVRPPAVSEIILALNHIIKIRASEDALDIAVLHLLLRECVFNKEVPIKEG VYDPPRGSQERAVCELLEKQVAPLITKRHELWKLLETARYWRRDYAGAIDAAERAFRA MVGIASAGSGLLPSAGMGEGNEKSWLVDPKAWDAFVTQVVEYVELLENFGEGVPEIGE KWKGKARLAIKSVQGKAREAWEGSEPWERLESLLEGYKRR NEUTE1DRAFT_77912 MPTQLPSSFASAAAGQTTRGDARSGARGDSVRGAGSGEWARSNG TRTFRRSSTTPFNNQSAAASNPTDATQNPALDSNSINSISAASQPAPPAPDQHQQQQN TGPLRYSKDELYEIYKHSAEAANNIDMSSLFAPSWNPNQTNGTASRVWGKTSDSPHVP QDPTVCWDPNGTVKPIGLEPMTAEERDMFATDVNSTLKPPQQQNKEGVQGIQNGGRKT SISANTSNFSTASPATASRPATRRRETVDTNPFPSSAVASPTTAGRFPRDDPWLPRRN TDLRESIADEPAEETLPTRTQPFGLTRSNTAGSSAFGSSASLWGPAATTPGAGIGAFG SFALNTTSAIGEKRFGGTGGSRLAHLIPKDSNENVAANKGNESAPGTDTNRGWRPRQR TDTDPFGPDDSLTGSAILGGAQDNSPPASSLQRSGVFDTPVKGNSGDFGMSALNLGNN QSEQGNGPASPSETNPYRSPLAERGDEGHDDAEIDRLTHNAAGSEPQSNFSTLSRAFG GAPFDGSDRSQTSSVGAKGFPTVNTLGGWPTAPSVGTPDRERQPFNSAFGTSIFSPIG DLQSPGFGGLGGFGVAGGSGLGRANKLSSLFPPAMQAQMHTGHEQENLSDSVPDLRQA NPLGAIGRGAIGTQPRDAASPMRAGRGAFEDLFPGTDAMKSPFTSGEHQPGLTSTAPS SGFPATAAGPAFSATPTAGEPGQQQQRTMVMPDRMRWVYLDPQGQMQGPFSGLEMNDW YKANFFSPDLRVKRVEDPDFEPLGQLIRRIGNSREPFLVPQMGIPHGPPPSAGPFALG GAAEAIPPLQGAFPSFGRTLTAAQQNDLERRKQEEQLYHARQREFLHHHQSFGRLPLQ PGIPGALHHHSSAHSLQSQPSFGSITSPIGMPQPPIGAIGPNSGFFEAPVSLGQAPAQ PGLGPDLFASDLNLGERQLLANLQANGGLPGSFPAQPIGAPIGDNSSLRSNLPSVDQL RNDEQGFNTKLKEFHELRAQHDAEEAAAQASAAAAQEVLQEVKEEAPKPNEDPKAAAA PAQETVKPSKKQTQETKEVPAAKEAAPQVEVSLTEKIRKTQAENNAAKLAQQAAASGL PMPFPPPPQANTPLAAPTAQRPASQLPARYGERSGSGTPDTTSDASVLAPPPTAPWAG TETQKGPSLKEIQEAEAKKAAKKEEAAAAARRAALEQEAAALREREKAAAAAHIGLPA TSTWGTGSPVGAPASGSPWKQPAAAKVATTTTGSKKTLAEIQREEELRKQKAKEAAMQ ASVISGSALGKRYADLASKTSSPSAPPGMVTPGAAAQAAAAQAAAAAAAASSVGGGWS TVGAGGKVKIPTGPAATSRPVSTAPVKTTVTPAAKVVAKAAPAALKDAKNVAMEEFKK WLHRELSRGLNGVNDIETFASTLLELPLDVSILSECVYGFSTTMDGRHFAEEFVRRRK LADKGIVEKDSNTGAMSSSNGGWSEVAKKGGNGAQSQVKEDPMAAVPGFRVVQAKKGK GKK NEUTE1DRAFT_144816 MSLPNAQPIIISPEITIQSPLTRRGTGPGLILAVPCAKRVESAN HFALEYGIIKANEDQSPKTLDPGPLKKWAEEGYAVVQVTFDDMDSSGNFGDLLRRAVA ELEKVKECEGVEKVGLVVHGGGGSDRIASALAANPRIVSCVYYGPFNPLWARDTSVPI LAHVPSPVFQRSEGEIKMFGEDPKNFKGHYYPNLKMFDYFALPWCVGFNSSAASVAHT RSLAFMKPLLGGPYFDLEAIWDEHTKYEFEDRSVDKTMATMVDQPYVNHIPTLTGGIG RENLTKFYRDHFIHSNAKDAQLELVSRTVGIDRIVDEFLFNFTHNRVVDWLIPGIPPT HRKVSIPFTSIVNVRGDRLYHEHIAWDQGTVLRQLGLLPEYLPFPYALPDGQPGEGGK KFEYRVPVAGVETAKKLVDENAVESNKMLGYKVREVPDE NEUTE1DRAFT_38471 MARPHATQQGETAILCGAAKTQPSSLRSRRQDRPGLSSNTFGGL RTRCGVYLPLQPAKHSLILVP NEUTE1DRAFT_120607 MWTIVARFVEAAASVSHYVREEPCATGLHMIVARGSTEDPGLGR IGVVARNVSLLIPGSTISAIDYPATLENYTTSEEKGAMGFEKMLIEYHEKCPDTKVAL LGYSQGAHAMMDSVCGGIPGEGSDYQASKGYLEVFNESVVAAVAYGDPSHTAGAPWNL GTSTKTGIFPRNDTTSCLPFASHIASWCDTGDIYCDSGSSLTVHGSYFANYTMDSVKW IVEKYKTSGGSSTSTDSTPSSTSTGVAVPTGSGTATGGAAASASASGKPAEGAAVSVR GSGVMVLAGVVGAVGMGLGLF NEUTE1DRAFT_38774 MKLTTTFALLQIPILASASPLQDRQSCPKVHIFGARETTAPAGY GTSAGLVNSIAAAYPGSTKEAIVYPACGGQSSCGGVSYDNSASQGTAAVVRAVTNLNS RCPDTKIVLVGYSQGGQIMDNALCGGAGATLTGNALRAVKAAIFMGDPHNVVGLPYNV GTCRAKGFAARPSGFQCSPASPSIIQSYCDSTDPYCCNGNDANSHQQYVNKYGQQALA FVKKLVDAA NEUTE1DRAFT_135655 MNEQSCRSWQRQPFPVLPLNFNSKARTAGRVRAALAVPASAVPL LLLRAPSQTERIIMSSPTAPQTPGPSARRGRPPGSRNNTPSVARIRELEVAAREGQAA ATQAQEAYVKRHCRSHPLSAPSHEIEA NEUTE1DRAFT_135656 MNHQDNQKPAFKRVADMLDGTVKEGLYRVEPEFKNPRKFLEATQ EAQQVALRTLYEDEEVRSPISQGAMECHITTALWKKYFPYGQGSPAEPLRAFCEELCP NPDQRWARYLEQRLKEEEDRNPRSRKRQLEESVSTSTPPSPHKTAQNYNQAEAAVTET NPQPLSSPRKTPRARTDASDPNGKGKPADTQMSLVANTTHTSTPQWPPKPEVSQLRSP TLYQDILTAKCLEESMGVRWFPSDDNTNVKAVLIGDVYIAASATSMRDHTMTKVKEEL ARRILDTCSEMRLYCISRTRKRETWVELQRLLS NEUTE1DRAFT_77920 MKFQASLILALQVLSVAALPHPKREAVEAAASSTAVASATASGV ASATTTTAAQATATVGAGTGDKEGEEEDENEVEQAGKFNTVITLGGGDVKTDTQFPAG TVGAFEVEFKNPEARQLRVTENKTPAAAPPGFKALEPVSYKVEIGGGASKGLTLSKID YIRNANSTVDISQAKLGKLCKETNSFVIGDGVGETEFEADENEVATKVPDLVGEYALF VPDGTAAATPAAPAGDNKDVATPAASAAPAAGSGDAAGGAADGGVAAGCGPGTICRTL VDGLLSLIEGAAAGAQTGKA NEUTE1DRAFT_144819 MKATAPTLRWRNFARAEATRAWVEATKRQALNTSDDDSLSDTNS LNSLSDDNSLDSDSDAGRQQPPQTTNPPQLTGAAKARQRLTAGSRGRVTRRSLEQRQA INTSDDESVDSLDNSLDSAGSSADEARPSPTSTATKRSNLSSKTRARLAAKHRRVLDA RQALDSDSADSVNDSLDSGAESSADEARPLGRPTRTQKRHARRTIDARQALDSSADDD NSLDSLDSGLSDGNSSANEARPTLAPTNPPILAPKNRNRLTFGQRQQHKAAIRRSIAA RQNNLQDPNTSDSNSLSDDNSLSSLSDNNSLDSNSVDSASDSDAARPQPTNPANLTSK APKRLGAGAGASSRPTRISRREILPLGKRNAPAEHPEQPEEEDDDAASVSSVSSGDSD DEKKEEEEKDETEKEKTKTSTVTAAPIVTATGSVAATTTATVDGSATATITSTSAPSA TLEPEDALAPVNSGDATALPNLSLAPAATATPSSVLGAIDDQPQADTQGQQIPVPMAK KMSAGATAGIVIGVLGFIALLAGAFFLFIKWRRRHRQSSLFGPKTPLADEKGGPPPTI TFTHPSSWPNQNQGQGQTDSAQRDPTNNNKTNSEVINDLIRAAYAAEGEGRNTMADAH DAANQHYLDEKAYAMLAGHPPTPAATEKRGVSKWLADVMTPRQSTVSMSQRWPYPVDP PPETMPVGGGTYMPAGNGMTTTKQKRLTPPRLPLKPVVPPSMLRPGAGGGAGPVGGTG LPPGSNVNPRMTVATQTTTTTSSSARWG NEUTE1DRAFT_120610 MSLLTKAALLLAVAGSALGAPTLTNPGGFKTHVSAAGTTHHIPG HGTHFELPDHLKQRSIPGGGEIESTRTPEQQAPSQTMYTNHTYLPAEAFNRTATVSKR SLQALSGGDANGEKKEHIPMPHKASVPFVDPATGISFQRFYDETSQFSFGLALSSLPS GADAAANDATDFIGQLSFPLNATSGLGRGAGWGGISISPKLTASHLPLFLGLQSDGFG NLAFSSFTEAVKSAASGAASNVPTTAGQQAASTGKDLAFKIRTIPSATSISATHLTYT FLCEGCLSISKCAANLDAWKAQGKTKVLRLGWATGDAVASEDAEKQTAADEQNAKKWA YHRLGFGHLKIDLEGALLHTAEQFNGWKALAMAEEVRGFEGLAPPFAMDLVNGFSNLE GAKNLEKVKGGEGEKKEEEGEKGVESESDSDSDSDSDCGCKSDSESDSDSESEDEE NEUTE1DRAFT_128223 MSGRKLGGGRILGNGKGLAPPTAAAASSSSPSPSTIAAIHRAAS PYAPSDSSTVSFISPNSTRESISPSSSAPLPHIPQDLVSNVSLPGPSNGGGQSVGAND NRLVCPICQEEMVTLLQLNRHIDDVHQELPEETQDEVKSWFDKQVRKAKKFQPLNIIN QTLRGLDVFESNETQPVLATSAIATAPGRPAEKAVDPEELVTRHHWQRPTGNDPCTDP TCTRKLGPFSGLVNCRKCGRLFCEEHTMYQMKLSRSANHEPVRGIWCRVCETCFKSRE GYNDHQGTVRDHMAEFKAIRAKRVDRHKLEVQRLEKRLTKLTRLLAEMPPDEGSGLLA LTGQKSQRKMIEQSVVSWEEDGAVSNCPFCKQEFGSWTFRRHHCRICGKVVCADPETA CSTEVGLDVAHPTINTEKPPGIVTTTLDIRMCRDCKSTIFSHRDFAASIAHKPPDQRA YETLRQFERGIRMLMPSFQKALLALQPPNEDDYRQDKPPPTSAQVQEAAKIRKRLTDA FAKYDLAARRLRDMKTDSPTQLRLQQSVYAAASAFLHANLIPLKSVPRVLRSNSMQHQ HRRLLNGSTSTLSPLRKGESAFSKDVETSSNAGASEASVVQSELDIEEKEAKERLVVL EEQMFMVQEMLKSARAQRRFEEVSALNRNVEELEAEIERAKEGVRGVEERMGALYIGG NEUTE1DRAFT_77927 MTTGRADPNNFPEIQTGGSLLIAWQIKGKRVLLVGGGEVAATRL VHLLSADALVTLIAPAPLHPEVSFRLTQFPNSLTWIPRAFESTDLTDHANDYSLILTA IDDPVASSQIYKLAHQLKIPANIADVPPECDFYFGSVHRDGPLQIMVSTNGKGPRLAS TIRKLIAKALPKNAGRAIEQMGELRKKLREVAPAQEEGKRRMDWIKKVSDAYGWEEMG TMTGEDMANLLAWYRKEGGEDGLEVPTLDELRALRKEKKQDGAVEEVEKKVEDLKMEE KA NEUTE1DRAFT_77930 MGSDKTSIYKHLRNCHGARFICRAKDCLFAGSEDDIQLHMKEVH KYLGCPEAACHFVGRHEDALSRHFKKAHARRLLDEKCRARNCSFTGSKDEVKLHLKEV HKYLECPEAGCDYMGPHKDALHKHREEIHGETIKCLFAGCDWDGSTPNFHRYHKHTHG PTYKCPEPNCPFTQTAVLLTHHMRSVHARPKFTLELARKCEMVGQAKDDEGLEGGTID DADEDEDGEDDEDDGDYDDTVR NEUTE1DRAFT_108173 MQPPQLGGASQQSQPSSQQSFSMSQSSQSVYRQYTDPPNRLHND HAVPTIYSATYSGVGVYEMEVNNVAVMRRQKDGWVNATQILKVANIDKGRRTKILEKE IQIGEHEKVQGGYGKYQGTWIPFERGLEVCRQYGVEELLSKLLTHNRGQEGETGNVDT PTKEQAMAAQRKRMYNASSQENRGIGSTGTFFKNISSTASTAVAAISKARFDSPAPRN RSGPSRAPSFNRQSSMQDVADFPNSQQSLVSTEYATQTQNADSGFGSQTTQPLAGDGL EQPPRKRQRVLTPARSFGGQTPGHQPLDPFNAGNVANGDPGSPTEPSNSFNYDQVTAN DGEASYALGPLRPLPYENDADAEAKRGMLMGLFMDANGPEEAIQAALCNVSPQELDSP IDTQSHTALHWAATLSRMPLLRALIHAGANPWRVNACGETALMRACTVTNSMENNTFP ELLDLLGCTLDVTDDKGRTALHHIAVTSAVKGRHYASRYYLESLLEWVVRQGSAPSSQ ENGIGDRKGRRMGIARFMSEIVNAQDNSGDTALNVAARVGNRSIISQLLEVGADPTIP NRANLKPLDFGIGIADAETNDDPAQEKTGATTGSGYKSRETSDKVVRSITHLIGESAS IFQNELKKKQESIDTLHSQLRVTSSQVGDARRTLESLQEKLKAQQLAKQKIVNFNRAC EEEEQILIELEQRHGRLDVASANAWEMELESALEIVKTQSPKGLDPDSRPSLPSAAVL RARIKALRARSSKTRQAVAALQAQSKEKELKYRRLVSLCTRRPEIEVEALLDTLTRAV ESEKPELEIARVRRFLGGVEGVVH NEUTE1DRAFT_77935 MSRPPPLPHPTTTLHLYRHLLRESSYLPVLIRPFFDERITTRFR AHRASDPSSCPQTATRLKRAHHDLRYLRAANAGDLPRMRRILLLAFGRTGAKRRQLLT ELLEPDTPSSDEELQKYINKARAIVKEGRKPDWLDNWDTEKLKAFVRSQTGGDAPPIV NRPKPEITNHQLAPERYVPKENIWGGPLNEKVRRTKLKKTYKQVAEKVLPPVPREEWE LLRDLVNKKEEWEVPKRRTVGRLIWAKSDDKSKEGAALDWNWQRYATQPIWLVDRQKS RRNMLLSGQVDEDLPMGEQQPINCHRYTPRLWRRTLEQVWRMTAVMERKKDGKGWEIE WGQKKYEPPVAPSADLEFFEGAPVRAEPKKKKGKQ NEUTE1DRAFT_116213 MASTAPVVRHARREDVPAILGLIRELADYEHALDSVEATEETLA ATIAFAPSEPSVVSPNPETGLPITEPVSPAKPARCLVLTDPDSGVVVGMALYFYNYST WRARPGIFLEDLYVKQSERKKGYGKRLLVELAKEVEAMKGGRLEWNVLKWNEPSIKFY ESEAIGAQMMSEWVGMRVDGEGLPKLAKLLD NEUTE1DRAFT_128227 MGSHAPVPPSAEPTVTYSSGRLNSSAESPVGPPPEPDFRLLHFN DVYHLDASSAEPVGGISRFMTAVNEYRNDERYQGQGKPELVTLFSGDVFNPSLESSVT KGSHMVPILNKIGTQCACVGNHDLDFGVLQFQHLTSKCAFPWLLANVLDPALGENVPI GNAGRTHMITTANGLKIGLLGLGEREWLETINALPPNLIYRSATEVAKELVPELRAQG ADLIVALTHMREPNDHKLARQMDGEIDIILGGHDHFYAHSLINGTHVLRSGSDFKNLS YLEVRRPASPPTQPGQPKWDVDIWRRDIYSSIPRDPPTDQLVDKLTSKLKKSLEKPIG FSAAPLDARFTTVRLRESNIGNFVCDIMRLHYHADIAIMAAGTIRGDQIYPPGPIRVK DVTDCFPFEDPVVVIKVKGQAVWDALENGVSLFPALEGRFPQVSNIKFVFDPSLPPGK RVTSVEVGGEPIDLEKTYTMSTRGYMARGKDGYTSLLVQPEGGECEEVVSEENGILIS MMLRQYFMALKALDKWAFWGAEEDRHWGKVVKGVDEYREPSKSLTSTLEPKTGDGCDK ETCVIDAEAKKDEQEEPTPAGQDGAGSSTPLSSWKFWTPAKLRERRSTVKPLKESQTS VGDTSASDSESSGGSRPSSRVSGRDADVKLIDREMRIMRRVARKWCRLAGVQSKACDC LDEGEFDVPWTKAVAPRVEGRIVEVVA NEUTE1DRAFT_120617 MRIPPPDVLAQWPAPNYVNPESRGPGLTIIELIMLPLSLMFLGL RLYVRGRLLRKTGWDDWFMIIASIFGTTVSICVILAYTTFGWDKHIWDLTVTEISHGR KISMATQAVFIMSSCFSKVSILVSYLALAPMDSWFRRLTKVSMVFIIAMNCGSFILLF TQCHPVSSYWSLVQSNSTDCIQEYAPLMTHAIVTALADFIVWVLPLPTFFRAHIPIHQ RIILVVLFSFGLLVVFAACIRMYWVHYVVWETWDPTWEGNQLWAWTAVEIHLGIMCGC VPYFKSLFRFWKGKTSRRGTSNKGTSQSWAGSRRDGGGAGVGGSSKIGDEQQRQGQNS RVEVRKVISFSSERSEEPLSPTMGTACTVSVDGVEEIELQEKRTSAFSTVSREVEDQK GGHAHQGEWGFEFDGARGVQHSKSLSAETRQLSRLNQPQHGQISKMPPQGRKRQRTEI EPEPGPRRPSTSPDRQSSPEILEQEESRPPFYNTTFSTHRVSPLYLGKQPLDRERLQA LSQRLREILVGDVVRGVEVGLGRADGEDGVMGRAGALEYVDIRWVNMGAVLDITSPET NDSEGEHEDATRRTDLDWPGLVSDLKDKKALHILLRYETAECTALLLPSSSDQQQADL PTNQSTISNSQPTDPPNPAHFLHLPLLLLRMPAPLKSVISDFLSTTFDCRVSPLRLGT RSLVQSWESWIRTAGLPEKGPLAKDVVLNLGIYIPPPENKGTATDATPAAGEDKDGTP GQEPLGIKGIDVIIPAADVRGFVRAGKQMQGDKDKEKEKGKGKTKEKQTWEMDVKKRR KLAGRLGEEGWEWRTAVSGANQTEESPFTEALGRYVDKHLGLNLFHPGVRVTKIACGG FVMSEGRLKVFAPREEGGQRQRAAVWKLVSGLVGKAEGGKALA NEUTE1DRAFT_144828 MPSPHVPLNHLPTLREGGTHPLLPKFQNPGLEIAGVVLGVLPLA IEALEKYGEVARRLNHFYKIRTEYQEWHRQLKYNLLLYERNLKELLLSLMIDDETEKD KIPDLIKDPFGTWWTEPKTARLLQERLRDGYEVYMDCIQVIKQVMEAIVQELALRNPS VQSMMNASGEATIIARLKALRESDNWSFQRFRFTFAFKGDEKRTRLFSKLEDYNRKLR DLLAGSDRLRDLSAQIPVAAQPKTAAIDTALCNFWVTADKFFHTIASSWNCRCKTHCA NLLLRHRSDATPEFEVLFTKEQPSLTTWNARKTKIVGKNGLNSEPEEEAQTRMSLPIH TPSHKDSRPLKSALGNPKKGKGKTAMFSGSQEVTIGSSTTMTLVQVKQKDEITIASTG YTTRTTSQQIIHCLCTALETPQVSSEIPDEQESSYGYLVSKDIAYHIYDVPYYRPTQH HIAITLQDIIDADLFQNLERRQRFSLALTIASSFVQLLGSPWLPTTTITKTGIIFLVD PNSTAHLLAEDEPAFLNEPYVQHPFVGKNPSHKHGPHMPKGTSFDQLGIILLELCFGK RLRDQPCRRRYDDKFAASNDDLRAIYDVAAAKDWQGKVLGEAGPDYAAAVNWCLGGNR GYSGSKVNHRDN NEUTE1DRAFT_77947 MNNVTISDRCRNIRQQLTDLLAVLENGSIFAQGSTTVTPSSVKE VLERFMLWAGNLGVLRKSTSKLSLDSRLAGSSDLRDYICAELEDMSEELEDRDGTDRF HRASRDSTNAFLDRFDIDYVRHKHPKLGDGAQSSRMGRAIAKRRQFIRYCRDHKDNLA AEEEEDKAGSQKATTDKQSSKATTFVAKENLMDILQGSADILGEEDAVSLCSVSTTSE SLAVLKLPRLADLSPEDDPFECPICYTLQQFRSEQAWRRHAYRDLKAYICTVGGTECD DKFFEDRTSWFDHELEQHRCNYMCVLCGVNDGKAKTTRSKLRQHILSTHGDFEADQLE RLEDAGRETITSFKTNDCPFCDDWSKLMANRKPPGREAQLEDGFLVSMSRFKKHVAMH SEQLAIFALPRHEHETGIDEDASHGSDSRVIESRSSASGAASSRSGDDLGIEDHQSDQ MTPTDEVSVIRAIQSRLKSQAWPTTGWQATHIQLLERVHKTSALYGVRALPSQRA NEUTE1DRAFT_135669 MSAANRNNQESYETTKERIKFHMLSIVLEDILVVAPEHVDTPDK VSRAYHTAREEAVHGYYEHLCLGNPRPEVLVEAVVEWATAIQLWMKFVGRNVEMCPAL VFRAECEEHLTRPSEAWLQYVAGRRE NEUTE1DRAFT_108181 MSNTNTNNHNQLPAMPVNAGLDNDNGRVSNEDIRKYVADSAQQM SFRCLFPQEVHDRMWQLFKMAVRFTQREMEQDLSFAPLAVAREFLKKERHAYALDREK WATEERIMGLRRQELAIERQCIAAEKAKIVAAQRKLAAERLQFEAKKNIIRAGQKVPG LITRRPAMIPIQEQQREPLTIATSKEKDHSGTREPQIRSKNIQHEDTPDTALSRNLKR SRSDMEAAPDKTSNTLQQVRHSEPTKPAPINITLLEGCVRTTAKVVDLLILKQPHDIV SRPKQRDPNVSAPLITSIISSLFAKGNEHRLRNWRAFEREGAPDRWYCWLSVLDPDHG NTTLKENSTCRCEKLWNVAVHRENVG NEUTE1DRAFT_38422 MATFLQTQRRRLRDHLKQRWAKTGIKTLIDGTRFCSTCRRISAR LEELIDRGFDGLHMLRSRCLVARIDLRNHIPVEQSRQKKCNLCNEQYGIPRDSSHISN SFCMWILPNGIVPGYNPFGPSLPYEPRDYNLYLDQAVEVSNDPGKIGGCLVGPYADFE LVRSWFNDCTLHHGTTTCQTDASNLQDIAAFRVIDCSWRSLVSWSQLPTNQDRNYVAL SYVWGTKPDQSSWRNWSQRTILETRNPKTVEKPEAQTTDWRLPSKLPPLIEDTITAVI KLGFRYLWIDRYCIPQGDQEAKHTQIQNMDSIYGSASLTIIAAASNDPSHGLPGDMPR QLRESKWNNRAWTMQEAFLSCRCLVFFDDGAYFQCSSPRSHRFEALHEPSALLQLRHE VLSAQKREIMESMPADSLILTKIGKAGDFELDWCVQLVEDYFPRSLTFENDTLNAFSG MLKYLQSLKPSFPNLWGVLMLPDVLSTSPEQRIRYNLVLGLAWLYREHTSRGDPISHN LGKLEGTTKSPRKEMFPSWTWADWRPRVELDGRGGNDNICIIPQHSTFTSLVDLSVEL DDGRIVSWGQGYLYDSDVSWLATLPNPPRILHIQGYTANPQLRYMTEEDWAEFNERTI LPIKEAHAHSGNGGYPKDHWYGCDTKAHRWIWIDADGQHLQPLLELTFREQPRCWERV KSLIKWPSAHPNDLSADAYQIQSQRGNQTDTMKPYTECTFDFRAILLGYNEFNVFYMM LIKTPGPDSQNETFERVNVLEHSMAGGETVEKEEFLAKLTGKHAESYPGTPWVKMNTR IA NEUTE1DRAFT_128231 MTADEPPSEALTLWEKLSLTWLVPLFAARCIKFLLFQRNPSLHW RQNLALALLKIRRATYPAKYLHHQARRVPTGKAIELYVSQHPNIAHTTVTVPLPPSSD PQLKDVPPPVLHFLTPVTGQDTDKKTTLLYFHGGGFVNPLRATGHMPFILSLHKASAA HQTIILEYALAPEHPYPAQLIQAVAALSYLLTDLSLSPSDIILAGDSAGGQLVGALLA HLAKPSPYAPQVKNWENEKDQFKAAVFISPWASMQQPGGASMFEKADDKWDYLTQGQC ARYQELWNPKVDEVWANLCSFSEDRNTKEEEEVWRRVFGRDGRRAMVEKTLVTVGTAE VLVDSCRRFGRECVGGETVVVDRDTTSMVLEREVKGKDVVTVECVGDAHVQPTLDAAV GYEEGVMMRVMLAWLRGV NEUTE1DRAFT_120620 MVATTLELPLQQKADAAQTVSGSLPFGNSLLKEFVLDPAYRNLN HGSFGTIPSAIQQKLRSYQTAAEARPCPFIRYQTPVLLDESRAAVATLLKVPVETVVF VANATLGVNTVLRNIVWSADGKDEILYFNTIYGACGKTIDYVIEDKRGIVSSRCIQLI YPVEDDEVVAAFRDAIKKSREEGKRPRLAVIDVVSSMPGVRFPFEDIVKICKEEGIIS CVDGAQGIGMVDLKISETDPDFLISNCHKWLFTPRGCAVFYVPVRNQHLIRSTLPTSH GFVPQVGNRFNPLVPVGTKSAFVSNFEFVGTVDNSPFFCVKDAIKWREEVLGGEERIM EYMTKLAREGGQKVAEILGTRVLENSTGTLIRCAMVNIVLPFVVGEDPKAPVKLTEKE EKDGKGLYEIPHEEAGMAFKWMYKVLQDEYNTFVPMTFHRRRFWARLSAQVYLEMSDF EWAGKTLKELCERVAKGEYKQDA NEUTE1DRAFT_25974 MPMLGGIPGHPKSKVLLTGGTGFIASHILDHLLECGFDVIVTAR SQAKGERVLASIANASNVVNSVGCKVTYAVVENIAEDGAFDEVIKTNLPLHYCIHTAS PCQFSFSDPVNDCLLPAINGTVSLLSSLQEYASSSLLRAVLTSSSAAILNPPNHRPVY DESSWPDDQTLNWDLAADPNAPGDTTYRASKKFAEQAAWEFVEENELSWDLATINNTY TFGPLPRSLDVKNAGEFKVNTSNERIADCLTGKWSQAI NEUTE1DRAFT_120622 MPSIPQSRFPSGPSPTPFHVAIIGGGITGITLALGLERRGIFYT VYERSPGFREIGAGIGFSPNAEEAMKLLNPDIFHAYKRTANPNGEDKFQWINGRTDEL LYSLPVPKDGFLGCKRSEILEEWGRLVPPQNIQFNKTIEMVQEPGDQGYNPNEASGKI LLKFTDGTHASVDLVIGCDGIRSRLRQHVQSHLDRSSIRHVAKESYTHKYCYRALIPM SRAIEAVGQHRALTRFMYNGPGAHIITYPIGNNSVLNMLAVISDPNEWPDEQRHVLPG NKDDIRQAFNGWHPTVQKLAELFPEENEEGDGPIVKWGIFDTLDFPLPKYYFGRVAVA GDAAHATGPHLGAGGGLGIEDALILAELLEKVAEIQASNGSESELGADIMLERALYEY NDFRYARTQDVVSWTRKAVDLFQWKDENVAKDGKKFGRLVKKLFHQVWYDLDLHQMVD WSRGRLEFDVLERALEPIEREARETLGG NEUTE1DRAFT_39221 MAPITNITTAIIDALNQARAATNPSSPVNLNPTVNNQVQPTTSS SGPFSNSLLTSILTFFAAIANIWLGLGGVIGVILFLVRCYKKGKWPQSHQEEQVELTR ELLELTKEQVELTREHLEVTKRMEDGQLDANLNTKEHHDRQGQQMDRLLQHFDVKDRP CLHSPWERHRADCPPHVQQRDSRYPPWDEEEGEIDWSVFGSRENLIPFYGESQKRTEP DGEQRSGGAGLESDELVKSLPSGWIRVSESVVENQSVADWGDHEKMVWLEGAEEGLAG LPLYHTTTKWKTS NEUTE1DRAFT_37916 MGWTITMILSSTRTVQKEQVEVLDIISMNLRTANDTLTALKSTV ATTERSVAILETQARQLDVLLQQMGVKEGKRPWLPGLARHGLTGGCGSGRQQGPEQEA PGGPPTHPLRVALGLDGDTSTCESGGRRSGTSGGDSSAGAPDA NEUTE1DRAFT_98836 MANNVNIEALIESRTEAAISAVQDLPQLLEEAVENNSVALVNMW WKILIHMYDNLVTPTGTLRFLHEIEFPMVPNQDRSRYADFIKVAHRWLVSMHCAGVGE QAFANLAQYKPRLTTEVLEVLAPHEREIDERRSNRRTADSEVADIFHTEEEKMEYVQE LVEAMANMTDIADRPNHHQVEAVKAISGSVLEGIAWEVYARDAQQGRTRVLPWNTNFT WRSYPTFDDRWADIVDCLERYTCDPHREHTRKVGQKRNNDTKATKMAAHARDAQQLQA IQGAAAAPGPTLPAVANPAQAMLSNVAPAFAPQVAPVTSPFETSNYGHVPAAPPNSPN VELMAPAPDVFIFDSDEILYDEIGF NEUTE1DRAFT_39637 MRMQAILAALSKNKSVAHAYLQVSAPNRFVAAPKAEIKAPLSGN QTSNDNKVELVREGLKAKKAEGKIGSRG NEUTE1DRAFT_98837 MSRGEILKVQCLGGGPILKWKERDWRSATEGRYRSYTVCCVKSS KGLRCDEAESGLHRDRQIARSVARRPSTKGIDGTKNGASTTLSILSHGRPPTVTVEGS NLGTTRSVHQISKMELNLSFDFPTPGSSSQEDSFDDEVDDDMLEFIDDEFDQAPENLE LFPGFNQGVNQPGEFYHPGSNQPNLHHPAAISEAGIPAVDHPDLPAANGYAHEGKTVE DMMDEDNDGVYQGYITSHEHAAQLEAEYQFITVAKVASSIKPESLLDIPRTEEARANW RERIFYAITDFDNVVNKDRKKVVKDKEGNRVDKGTVVNTHVRRVRNLCGFENELMADK ILNWAVEAHQGRVGIPPWCEKRSWNLEQFPTFNARMEAILEALRTNKSVPHSYVQVDP TRRFVAAPMREVRVKQDNMDGNEKKGGLIDEGWQARQKEPQGN NEUTE1DRAFT_144834 MSDQQQQSQPGSGTSTPNPAASSSSNTATPNPRFAPQNKTVQER VSSSTVGLVNLADFRKRRAEVLEQQHREVREAAIAAARGGSSTATPTLDTDNPSSAAA TPLDRSVTGTPAPGTDAENGTTTGGEGKSEGETKKKTLTGERKAKRIKTKKALVSFGD DEEEGEEAVVVVKKKNAKTPAVKEDTPNPEDKKEEDNTRTTSTPNRESSADADASDNV TKKKKIVNTSAPIIPRALTKAALRREAAEREAIRREFLAKQAAVKASEIAIPFVFYDG TNIPGGMVRVKKGDHAWLFLDKSRKVGAERGVGQDKILNARRAWARVSVDDLLLVRGS IIIPHHYEFYFFIINKTLGPGNKRLFDYSDDAPFQLSDPQTSSPTAADPAALSTPSSR LAALPDIMTLEGANDDPTFTKVVDRRWYQRNKHIYPASTWQEFDPEKDYQNEIRRDLG GNAFFFSRPQQETQQVAPRSQDPDEKLEFDFLADLPRFSGVPEDGPAERGGFHGDSPD EKKGAVEHEVANEEEIERKEVEG NEUTE1DRAFT_144835 METNKRKAAVEEGDEHNLAGEGELNSPFREPEAKRQKQTNNEDL QGQPAQEATKPTSESDRPHNIRSVPPSGSGPGQAIVATGRTPRKWTDEYFRNLYTKDP SFKYLGQKDPDFAPFLDSQNQLDFNDPAAVMQLTKTLLRIDYGLKIDLPPDRLCPPVP NRHNYILWLTSLLSSSSYHPHFSSSPDQKNIDSRPIIGLDIGTGASAIYPLLGCVQHP HWSFIATDIDAHSLSFAQRNIHLNKLQDRITLLHRTPDQPLIPFDSPILTTRGIDKID FTMCNPPFYSSPSDLLSSAAKKSRPPLTACTGAPVEMVCAGGEVAHIFRMIDESLVLR EKVTWYTSMIGKVTSLETVVERLRKEKIQNYAVTELVQGKQTKRWVVGWSFGGMRAGE VEGRGVSGVWKKLLPPVVSMEVGMWKDSREKVGMVVERVKQTVEGLELMSWEWSGERM RGVGRARANVWSRAWRRKREREMKAAEEGKKNEDSDGNGTKEEEKCKLGFEVVIEVGK GGESKVLLLWREGHDQGLFESLWGYFQGKLKDI NEUTE1DRAFT_144836 MPNLKIPPLLSPIPSSVLLRYATIYESNTDTDNPSEEPEIPGHT HRALPPFLRGIPIDWSQGYFTWQRKGCDCVVKFCPQHCRELIGSRDDESKQSSMPASD LQVDASDPYNDISSNDYYLDSNNEAVDDSDEHDVDDDDHNSEVDNDDADDEAGSGSSS EAADDDGNDMQSASGNQAHNASVLPSIEGGHQSGEGSPTPSVSPGEGTALDDPNNLHE VEEGTVSLSDRNQPDDIMDDSNETEVPNPELPQPQPQGSQVQRMQRPAHQPPPPAELG FDTEYLEALPEELRNEVIADTQAKDTGTQRSQPQPQARGREREQVFQRHGGESSGNAE VLQEFLGVLPEDLRNEILPPLQAWARAQVSALPPLSSLPVMSAITTTTNRPAYQTSRQ VAQEAGPRSQYASPRAQQHHQRRRSDFLARVLNSIPSPAPGSTGTPGDGVDSVSSRTQ AQPNQSQTLMPTGLFSTSTPKPVARKLFARSDAFQPRSAPVKPANDMDLEEANGMVTR SQSRRRGRADTVDEVGEASKVGESIGMVTRSQGRRRSQARNGVEVQDNQDNRDKEEQE QEQEQDIQGEEASRRNKRRKKTEEDRESEGKEKKKKTLGRAKRGKPKGSGNTKTYAKR DKRRRV NEUTE1DRAFT_144837 MARFGAFSRLLGLDRPPHRHDHWWHEHRRQLLPSYRDDFYVSAI PAETVTEIALRIRHLIEKCVPIELPVEKIISPTSKIITKKVLKAAKEAGGKEHRSCVV YCLLVNKRWWKHQAQVELWDADLHALRAVACEVIAKQIIETEEDTNFLLHEVLLKRYS IMIDNKPTKPSNVIEKAVDLHALRVIGSSGYQKCINYLWRGWLVQDENDPSTFVEYRD KDNTSFWSHLDPDRMRAPMYQNWTQMLISFVYLVLYTLAMNSVNPGGHLDVVEGLLYA FTLGFMCDEFAKVWKAGPAIIGFWSAFNSILYGLLTTSLVFRVIAFSHDSSDVDWRQH YNILSYNFLAVSAPMFWLRILLYLDSFRFFGAMLVVLKVMMKESIIFFALLLVIIVGF LQAFLGLDQADDQVVEDTMFIVQSMANALMQSPDFSGFEKFNHPFGLLLYYCFTFVVM VILLNILIALYNSAYEDIYDNANDEYLGMFAQKTMQFVRAPDENVFIPPFNLIEIFLL ALPLEWWMNKTTYEKINDWCMATIYSPLLLVSAFIEVKTAQEIRANRARGDGDDDSVE EWEQRMGDLDFEADGWARRVENAKSRLVKMQEEEMDEEEENEDMEIGKLRDEVKELKG LLGELMGMMQKEREGKGKEAVKNENPEVESQGVDSQATQIAGDGDGGQSSSSSSSSSS DGK NEUTE1DRAFT_39023 FSNGSSTLAEERKRTKNTFVWGDMFRDAPMRKRFALAALIGFFT QWSGNALLSFYTGKIMHIVGINNPRTVQMIIFGWTCWGLVAGTSVATLISPRYPRRTM FLMGTIGTGLVYIVWTIANSKAQTGSPKAVIPVLVFMFLYTPFYTLGWGSLTYTYLSE LFPYHQRSQGIAVQQLTGRIALFVNTYVNPIAVFNIGWKYYIVYCVWIAVEALTVYFF FPETKDRTLEELAFMWEGDEAWNKVRERMDEVMGHQGHELGPIPEEEDNAGHVTNERV VNAVTP NEUTE1DRAFT_59287 MSSVVRSTALRAGGACVRCRKGKTKCVYENGRAPCKNCAKGMHE CYLPSESMAHAHGGVAPSNRQQRPRESLPNERIVPTSAGDRGGPPSVGASRHVSTTNE KLTPELLQECERVINKTLPACVAFHKPSCLQQLKNASMEWTLVNALLTTAARHSPAMI RRYGGQLGGAGAAEHFAQKAGQLVMQSLASPSLADIQALCLLVIHEWGCREAVRAYIY LGQAARMIQMYRIVSAQQRNSDPDQFLQDESFRRTLWLIYILDCFLTSSPGRRPALST HDVVDVALPCADMNFNFGSPVVVRTISGAAPSHAEPGAALSEVGEFGHIVLATQAWRN VVEMMTTTTLETFDDSRCLGLEADIDTLRQSLPPHFADKPGQINLHITMGSGYTYAMI HCLLNCGTIFVNRRRILQVVTDENFTIDAWRMASHTHMQTVDRIFAASHSIIHSLLAL EHGADKDIMVCFPIFMLFSAFTAGSTVAYLTLKGLAPSTVTESASSIVRDSLRLCQDG SESWPLVTPWARHLTVMSKVLRDMKVLGRDREAREDSRATMHATSPHIKDDIATPPDN TNPEAMEFDTVQPNSTAPGSQPPNAASPGLPTPTIEGAGGSEVGETPLVRRPGITTIN GGSVGAVDTRVATTSPPPHTTPVLQHQQMKVDSPSAQSSVSNQGVANAQGASVPPGGA DAVDMTANELCQAFERQLLELDDLAAFMGGGV NEUTE1DRAFT_144839 MASPDPEKAGSPGHGLGGMNHSHQYTENNGMNTIIDENGHEQPP HHGMSIGQYVKTRFTTLKPAMRPAPNPIRLLRMITGRQWAFFGVAFFAWTWDAFDFFT VSLTVEELAKDFGKTNTDITWGITLVLMLRSIGAISFGIAADRYGRKWPFVVNNLLFI VLELGTAFSQTYSQFLACRALFGIAMGGLYGNAAATALEDLPPAARGLMSGLLQQGYA FGYLLATAFARGLVNTTSHGWRPLFWFGACPPVLIIALRLLLPETEIYEQHASVRRTA RANGHNKGGVSATFLREGKVAIKKHWLILIYLVLLMAGFNFMSHGSQDLYPTMLRSQY SFSANAVTVTQVVANLGAMSGGIIVGFASQIFGRRISIIVMCIIGGALLYPYTFISSK RLIAAAFFEQFAVQGAWGVIPIHLMELSPGAFRTFVVGTSYQLGNLVSSASSTIESTI GERFPLENGGGKVGYEYGKVICIFMGCVYVYTILLTFVGPEYLGRSFDVSEDEDVREV AGEEVVRGAVIASHMHGHGHGGDAEGSGSGSEGGEGVGGKAQGKEVEVA NEUTE1DRAFT_59293 MSTTPSSAPDASAAQLIEKSKFLAANRAVTEHLSPTYRHIGIGS GSTVIHVVDVISKLGANITSPMTFYPTGDQSRDLIQAAGFRLGYISDLSPGHALDVCF DGADEVDPCLNLIKGGGACLLQEKLVATAARKFVCVADSRKISNHLGTAWKKGIPIEV FPMAVPQVLGELERLGSLSAQVRSGLPGKAGACVTDNGLRIVDAVFKPLLTELPEGKQ EGEEGVWTVDGLARRLIEIPGVAEHGLFYGKSGLEVESGGAQKPVAAYFGMEDGSVMV QTLEKGLVKA NEUTE1DRAFT_77978 MATVLTQGGSPPSADGTNSPTESKGSKINVSSETLSSIPPLGVA VAERRFWFQRAKSYDPTAIATQVSVFDDPDTAEKYQPRPDWENIHRFDPLERWTWGEE HRLIRKIDWRIMVWACIMFMALELDRANIGQALTDNFLGDLHMTTNDYNLGNSIFKLA FLCAELPSQLVSKWMGPDRWIPSQMVLWSIVATAQFWLQGRTSFLACRALLGILQGGF IPDVILYLSYFYKHHELSLRLGFFWTAMSIADIASALLAYGLLHMRGVQGHAGWRWLF LIEGLMTLVIGIFAFVLMPAGPCHTASWFRGKNGWFTEKEEKIIVNRVIREDPSKSDM HNREPITPRLLWQSLKDFDLWPIYILGLVFQMPMAPIGQYLTLTLRGLHFDTFQANLL TIPYTVIHMITMLGITYLGEIFNELTLIAASGQVWALPFLIYLNSVDTSGVNRWVIYA VTTLLLGYPNAHPIQVGWNSRNSNTVRSRTVSAACYNMFVQAGGVVSSNIYRKDDAPL YRRANKQLLAICCMNIALYVLVKAYYLFRNKQKARKWEAMRTEERLAYLATTTDKGNK RLDFRFQH NEUTE1DRAFT_40597 MAPSAVEAVQTTINVEKQTTQQSAPTQHAQHVEPLKLAGVLDQY EHFDVTPIIGREFPKADLVEWLNAPNSDELLRDLAITISRRGVVFFRAQHSLTNDLQK QLILRLGALTGRPPTSGLHIHPILNSERELGGNDPEISTISSIQHRKFYNHTPEDDDQ LSPKKQYTAQWHSDIAFEPVPADYTSLRLVQLPKTGGDTLWASGYEIYDRISEPYQKF LEGLTVTFQQPGFNRTAERIGFKIYEKPRGAPENVGSELKAVHPVVRTNPVTGWKSVF PVRGHVKHVNGVTKEESNRLLEWFLEMLQKNHDLQVRFRWTGENDIAIWDNRSVFHTA TFDYDGYGERFGNRTVGLGERPYLDPQSTSRREGLAAAGELA NEUTE1DRAFT_108198 MDADHQEDKHGAIITRLIAAQWQTGALDQTAIREADHFHTFSTR REETLWKFQVHSTTTSTTRIDKAVVHSAPLGYGPPFQLAHNGLLRHLCGCTWLIFEKV VFQGPWILGRKFGLRVHHGVDGKGEVAAWYYNRSTPSSPLRAGTGCQTPDKFANAHAI PQGQPPTQVRTGKSHKTERAKPQCSQGPNLEMAVRADSLTLFAQVFPKVAFAGKKSEI GQYIPTKLSLWERKQSKLRG NEUTE1DRAFT_135691 MNKRHGKLKEDNVSHNGDCLPQSMLPLRPFGPAGLSPAIYRPVA WLAQETYFGGLFSHNTGESFWDFPEHPKRALRIMLQERFGPMVLSLLGRVALWDCLSG VKAPCLLQPPPEVARLFSPSPFSSRAKWPSTLGSGWGWMHWDALIADQEQGALVIMMA QTISSSTIAGLSDPSVRPSSQELARAGSLGLAVHWGAAPCEPWCPSAFSSGTCEASTL VPPLVPGASTGDLFGGAWRMGTLDYRDVNQQDGAAVVTAVRSSRRPSQWSISIPRPTS H NEUTE1DRAFT_40811 MRKHCSDFIAAPAVPRKCANYCHLNLHVAASPLHLLSPLDPAVM RALYIVYALPITSSYVRMTQLSGNRSASVSPCSSVKLGKQSLALLSSPQRQCRLSVSL NITRASLIEPRKRTFAPIVSLYTPPTWKTSSGFSTTNTPNTHIGAITGLDGGIVIGFF NVLLVKYKAKTLCRFPAPFTMPRRVPRMLNLRHYSVV NEUTE1DRAFT_39680 MARIQRYGSSRPTNLGCRAPVECLFSDRGAVTWGSSMYRLKIAS SPCHSKSPTDGMIHAFRLNRAPCRNKAASLGSSHTQWLDRGDLAGTARCKDSADREGI KHYACRERVGSGLHAFS NEUTE1DRAFT_108200 MPDVHLRLDQLQLQLHWRVPSWLPQVWLNKQYWTVADTDHPSAM EFPAMDTCQALCVYGWYVCWVLDAGCWVPGAVGGRTTETETETQMEATLAAADEVQQQ QQQQQQRSVNPQLADGRDAAGAVAHPYHWYWSWDWSWDWQSSRRVPFQHPVSALDLSS PSSSPPLSLLFPAYNGPGGGGGGGGGRHQGCCRRRECRLQPPACAVWLPRRQIISVVR DAGVAVGVVGVGSWVVAGNVQISVMTERVTLASKW NEUTE1DRAFT_135693 MYGGGMIFVPPTAQLQLRCLKLPSSSRKVVGAHPSQLDSRRSTS FLAALIRSCTVYSLARSAQGRIGRWCEAAGPGKKHQNLAENHLRISLGRRITIIERDL GPILVARRQIANPSNPSLRAQAQPFRSAYTEPIHPSIPVQRPPAAGPSFETPPAPSSW LHSPPSPWVFTSTYTALVFLIQKSEVTGYVDLTPRPAVVISPISNYPCMIGMSPSKDN NITTILN NEUTE1DRAFT_120630 MSSLKFQQPVYKVYEPGYHPQRTIIVDEQIDSPETLTIRLEEEA AARNGGDLDGNSPNGLLPMAAYKTHLQGQYLPQTSSNITVLSCHPGTGTYGTKVALRV SSQYDILSGTMAASTPYVSISFGSQRCPAHVQRGSPDANGSCIYVVTADAPEFLSTGC PSLGNVPLSLLVETANGSEIARVPNAGMFSYSDGQGGVGSIGGSGAGSPPDLGSPKDR SPSHRASPPTHHGLEGDSATTTYGFPPGVSPTQAQTYGHNTNSMLGAYRSGSFSEHYS RTGPVLRSPHGSGWGFGGHMESIRSPATTIPHTSHTGLTRTSLSSISSSSSAPTLTRT STIPQQGGSGGHGGNGYGYPLYQNKATLNIVGDLGSMAENWTQEEFENKRRIVIFDKS QHGAVLTTRFKPVNVTERPSGAICISCIWWAEKQECYVTSVDTIHLLEQLVAAPNRFS VEERNRIRRNLEGFRPLTVSKQKPDSEEFFKVIMQFGNPKPRNIEKDIKVFAWKILDQ ALKKIISKYSTSPSALSIPAAPSATGPLYGLPPTPSTVSSTDQSSTGYMGASHHLADS LASPRPLGGASSWTPYGTSGRPMSPSRQTSSPMSVPGLRISTLSGVYDNRGSTQSLSS PYGMTSSTQHSPHSHHAHGNYVQSGVSVSQGPRAWDSSYGVTDSYGAAQTSHTHSQVY GGGAYADVHRA NEUTE1DRAFT_77984 MASTVRPRGHQHDLKASGKLSNGMTQDSQDGFEISPFTTSLVAL QFSTGAPLLVHRAFLEQHSLLALLCITNSVASPITSPALSPSQGPRSLSRTASYFSSQ QPNSASNPLSPGASGYVINFADISPQAGHVLVQYLYSPDKYHTLRYTGWPVIPERQLT VSRFQTAVEVYGAAKKYELRGLEQLAKKEMLELGGRLDAFTVIGVLEQQPTDSEDKWL DEFVKGWARKAFQDPGRVLRAQEEDETQGQQETPQGMALARKFMRGLLEVYEEMNSTK KHDTNEPADDFATAATTQVESLGLEEPLPQPAEVEITKETFSIATETKHVDFNDNSTA PATATPVQQHEHTIYRPVTPPPRFESPRHEFHEQDQVKELEADQWVSPATSPSLEAAL GLQPAEYNELPTDGAVELSPKFSHDFSIERDSHHEPDRESILEPEPEVEEPVMIPLDA VVDDDISEEKAEGKQEHEQDTPPHVEHIEHSEPEIAHKQETEYKELELQLEHNEPEIK EPEAETKEEEAFSTPMETLRTPMEGLVYTSAQKELERPVTPQPRESVQTPPEGLRTPQ NGLNSESFAEDSPASVTASPSSPSKSRKKNLKRKAKLAEAKKRASATLSPVMSVASSD ATPFEIPARDIASPEPLSLEASKDKPAKGIWNWTPSYDEGKDWNKSLTFSTSEGNKGQ LGSTGVLGAPVEIISGGVDLPEATIKNTAFEDELTRESDQAHQGPYDSETPKSQMSAF DQAKRVLKSMKERPQTPVQPVSEGHTPGEQAPIDELTTSPKSPKKKRKARKKTINPAE ESTALVQAGSTTQAAETPAETPQETPQETATQPVLSRPGPAKPTKKKTNRDRKDSKLS PEPTEILAPPTSSPSSPDIRSSLQSPPQSPPQPAYKIFWPWGSSKQANQPKDEPLSLD QALAPAPKSEQKKPEPETTPEPLALEGQSDAPTEPASSPKKKKTTKRKKSSSKIASGG GASVVEPEPETQPQPRESEAAPETAAAQARPEVTQQDEPKDDKDNTNTEDMAQVAQPG RDEMQPEVQPVVEHHAVQPTIEEQQSEAQPEPTVVDQDQLSYDLVPPIEIQTHIISPT GAIIDTLPTIPEDITPSASTINFANPSLTDSVTDTLSNSVAFTAVEYQNSTATLPLPV DVGAVGGVHELAADRPIEAHATTVGKAPGADEVELVGEEPETPGGGALLVDAHDVVSK PVEPVSESQSKPSKIPLPSAKADDTPPGQQTPSEGGWGSWLGVRKNKKKAADKDKTAD KDKSKKDDVKKTLTSKASKASIKGLSTATSGPSAEPLPPAISTSAVQPNPEAAQSKTQ LTSTEPTTESQSPAVAATAAEPDQLTQRALNSVKSDPELRPSTSSSTTTNDAAIQALS QSQTSTGKSGGWGFPLGKKRRNTSAAHGPAPPVVAAATPAAAAVPKAIPEAVTSTVGG PEIAGSQGSGSGNGNWSATGWLFPGVGGKDGKDGKETHGNGDGAGKGGDASGDDDGKV NGDGEQVDVKGVSEEEQDKQVADNAIEVGAKDEDGMDKANNSTERVKEAITNEASVIA SAADEALDKALNAVSSVTSSIKDAVNNAVSTGSDTVTDPSTLPAEPAEPTEPASTEVA SEQPPAVPPTAVTKPVSASGPFITTTSNTIPGEGAEQFFLDQQPDGSNTQVQTPSAPI ESPGDGSNPDAQITNVSILPALSTQPSLAAFPAASAADGNANANGAKGESKGKTDVSV ATIAEVQGETQEPSPAETPDAGKGLWSGGKGDGKDGGKDGAKGQEQQAPSKPKAKTRS DSWSLWGMSGRSKRNSVSSS NEUTE1DRAFT_135696 MSNAINTDFFSIARRPSSPIKLTKESAPRFKLSSKNLQKNTIPK PLEPLSLLESVEYDNRSKRHPPHPVRISVAKKNTNKEQGQIIKIATAHIPRLTSVGEH F NEUTE1DRAFT_120633 MAVPSLRRALFLAAAAALPFSQLVAGQTIQTEEGVVLEANEKTV APAGKAVADSNAPEAFVLTDDVIANLSDHNLSNITLFAFPEDDGTTEVQKRTFLASSG CKTAPGDPLWPNRSIWLVFDLLTGGALIETVPIGAVCYPNSGVYNAAKCQTIMANWTS SDLHTADPTSIMFPMFQGETCMPQNGNSSTCTLGGFPVYAVKATNVTQIQLAINFARN LNLRLVVKNTGHDFLGKSTGYGALSIWTHNLKKLEYIKSIKTPSYSGPAFTIGAGIEV KELYEAANKHDVTAIGGDCRTVGVAGGFTAGGGHSPMTSIAGLGSDQVLSIDVVLPNG RFVTADETHHPDLFWALRGGGGATFGVVTGMTVKVWPKTNVSGMTFTVVSGNTSALTN DVFWEGMYAYWRRFPEYAKAGTHGYSLVFPSPLTDGFAFLMNPFWAPGMKLADLKTLV QPLLDEWTKIGFNAGFVGSPKFFEYDNYYDAWTASYPPEAVSTTSVRTASRLFPAKNW EDEETLTGMMKAVRSVVEDGSALVQYNMQAKAPAGTPDSATNSHWRDTVWYGIFGTSW NASLNAAGVEAINRKITEDWMGRLRGYGPGGYLNEGDVMEPEFGEAYFGSNYERLRQI KKAVDPTGLFWAPTAVGSEEWEVQGQKKWLTLQTGKLCKKAAL NEUTE1DRAFT_120634 MAKPPPPGHPTPGSTGFTTRLRSYFHSSGPSSNPLERRLLLKID FFILTFCCLSYFLNYLDRTALSNAYVSGMKESLSFHGNQLNQINTCFTIGYVLGQIPS NLSLHYIAPRIFFPGMMVLWAGLTMVTAAARHPGDILAIRFFQGVAESTTFVGTHYIL GSWYTEQELGKRSGVFTASGLAGTMIGGFVQSGIHGSLDGLRGLSGWRWLFIIDGIIT LPVAIYGFCLFPDTPKTTRAPYLSVEERELAIRRMEKQGVPAVGILSTLFFAFITDLY PHHRSMVGYFIGIIGVLTPSLILAAEHGHFGDPAGSKATAVVMGMYYLSGSVYACQAT FFAWANDAMTRDGKEAVFRGVVLAGMNMGNNAVNAWWSIVFYGADMAPWFERGMWAMI GMSVALAIWTGFLSFVGEQMSRLNGTDTKAKVWTAR NEUTE1DRAFT_135699 MSSAEPFNPHSVDLDTVDPKAVVCYLNSSPNDYNGRIGLRISAL FVIMATSSLTTLFPVLATRIPRLRIPRYVYLFARYFGAGVIIATAFIHLLDPAYEEIG PASCVGMSKGWDSYSWPPAIAMTAVMLIFLLDFGVEWYVEQNYECDQADVSVEKVITT CPGHSTDGANSSDEGHSSESHDDCHNSPHKPSTTGHDAHHGHQFLHSGDQDAPTPIAL QTAALPTTDSHGHDTSKDTIDIESHAFLTGESPASERIFREQIAAFLILEFGVLFHSV IIGLNLGVVGEEFSTLYPVVVFHQAFEGLGIGARLSSIPFPKRLSWMPWALCVAYGLT TPIALAIGLGVATTYESAGFTASIISGVLDSISAGILLYTGLVELLARDFLFNPDRTR DKTRILFMLACLFAGCILMALLGKWA NEUTE1DRAFT_59309 MSVTASPSTPAPITPTCDGFQFDDIIHPLSTPYRSRLGSATSSC GQRTPSLRPVSNMSNFFPDADAVSITHGRNLGTADTVSSLSSFETPEVQPSRAHMRSI SIGRPMLMMDGPPGKQSLSSTTSGPLEEKSRNTKNTSRRMGGLLARIWPGSSSERRNA PADHKRSLTPHKLDRPQLDNVSLPSAEPFGPSSLSSSASRSEYGMVPASHSLGVQTRG SSAGKDGGSAFGVDLKESIRIAPSKIRISHRGKSTSHRLFPLSVYKCCEFIRNSGCTD PSLFASTGNSHNVAYLKYVFNKGPSYGDDFDFDSLGPEGDPYTPYDAARLILIYLREL PKPLVSQSVLRSWIMLARQEGAIEPAAPKLEDMGFDFWTEALNRLSLHNRNLVKHLLD LFADMLLRSRATANMLAEAHGDRKGKAAVMGQQWQGGHGVVHEVDARRLASELSSSMF HTDNMKLGKKAAAHPTLALAFLIKKRGDYAARIGKAAMAPGHSRRASELFLPSTREIM EWKGGQSYS NEUTE1DRAFT_108207 MPRCSLRSVHTICKDIHPRTLDLCVTDFPASGMFKCVGLETLLF ALHARHIAAQERFDPRADLQAHITALLDHMSNFKVQIQPVRAGTTSSPRGFSPLLRLL LSRPRSCYSPAPVPHRTGPAPGPAHVARSLAMNGLCPSQFRSVLYTHEMLPPSHLIAW HGIARHEKFPYQTARYRLTRKNLKGVAHARRAHLYYCPLSMTCLPGYHPYRGLVLGPS ITQTLLQPNNDSAAITYLIRCQTSRKKTILATWFVIMRGNLGVSTAGKLEGQHGMACF IHGIVTSYRRIG NEUTE1DRAFT_120636 MPSLQATIDPLPVNGSEPENGEPKDGRVDQKCDSSNGNNLPTNL YPSITTSNHPNLNHFASSHLINFGTTFLPDLIVSASHLSLFTAPSPGSSSPYGRAITD WTSGQMSSLLGHSHPEIVSVISSHASSLDHLFSGMLSPPVLNLAKRLTSVLPDGLDRA IFLSTGGESNEAAIKMAKTYTGKFEVVGLGASWHGVTAQASSVQYHAGRRVGWPLIPG GLMLPSPNAYRCHQGFRKRKEKGLKGKETSGEGGRWKEGDMIDKGNGKESQEENEEEW EYDWEAEMLYGWRLIDQQSCGSLAAVIVEPIQSSGGMHVLPPGYLRRLKTECEKRGML LIVDEAQTGIGRTGEMVAVNHDGVVPDILTLSKTLGNGLPLSAVVTSHAIADVCAERD FLFYTTHVNDPLPAAVGDKVLEIVVRDDLVSHARRMGEILHSGLNQLKNRYACIGDVR GRGLMAGVEIVEDRRKGKEPGLELAKRVGDRAYELGLWCNLSTHPSFGGTFRIAPPIT IGEKEVREGLAVLEEAFRGVEGTLPLY NEUTE1DRAFT_77995 MAHTAHKNPKKEIFEAPEVIDRKAKVLADLIRKSKHLVVFTGAG VSTSAGIPDFRGPEGVWTLMAQGRQATKKSVDVLQAIPTKTHMALVELQERGILKGLI SQNCDGLHRRSGIRADMISELHGNTNIEYCKNCGKEFLRADFYAVAPDNRPLHDHRTG RKCPICMTHPLHDTIIHFSEDLPLGPWTRAEAHCEKADLCLVLGSSLTVTPANELPQL VGERAAAQRKKQQTQQPDTNLVICNLQDTDLDYLCPSPDHRIYARTDDLMERVMHYLS LPVPDFYVRRRLIVETDVDANPAGGRHVVTVKGVDEDNSTPASFLRTVKLVTAGGRPR IVKTEPFVLGWRGKIGDMEEEQNGSLAECGADEAKIKSETLTLALEFMGNYGELGFEL QHTVRASMPDNESNPEAYQQRQVASTVYELVYNPRNGTWVGLLYR NEUTE1DRAFT_98857 MSNFEATEAVATALFGGDANAPVPANHPVPAADDGSFADALFAA GLEDPDLVFPARPTPLAASPVPVADAFFAAGPEEDPAFVFPASPAPLAASLIPAAVPA AAAFASVPAAAVDNSSFPADGSFYPADVANPAMSDNEFNVDMGNFNGDVGGNNGDNFF EFGMGEAVDGNNNGNNNNDDNNNDGWAYLYNSHGLVNADGSVNVQMPNNDLDDLLNDV PVAGTPAPSSNQADNLDPRLQAGEGYAQGGMEAPQPVVPSPVVPAVPSPVMPQPKPVA APVQQGVPHPQAVQPQMPPPPFPPPSQFPAPLPTPTPTPTLLQQLQQYQQQQYQQYQQ QQYQQYQQQQYQQQQYQHQQYQHQQGQQQQHYQQQQQQQGQQQQQQQQHQEHQPWKFA QKVGPKTFPLKLRAEPLPRASASLVEQLRQHNPAAFANVPLRQGQAGQPSQPLPGPQV PAAPAAAAAAAPDADAPPVKRGRGRPKGVKNRDPRPKEPLHRVRGGAGSRVAKARPRS AADGEQILAAAAANEEPGDDDEGGVDDMDVDVPPGGNPQPGGHHGHVDLEATIQEMRE QGPLTPAQEEIMARALNRSR NEUTE1DRAFT_98858 MTVQNTDAALGEQQPLGDQQNATAAEKKPKNEHRWIWFVTGPTA CGKTTIAKALAENLNLTYVEGDDPTYDFACTLTARCRLCTFPYPVLRRPYHPKANVEK MSRGEPLTDADRAGWLQALAEHETAKPPTSSSPHLVITCSALKRHYRDILRLGSEHAG DLRIRFIFLQAPEEVLTERAHNRKGHFAKENLVHSQFTILEMPHPTKPKEEGGEPDVL VVDVGKEKGVEEVVREVVGRVRGVMHMGRD NEUTE1DRAFT_98859 MPSSNMVTVVQPAPSTASTVSTTTIFVYSQEPPSQDSTSDATKP LIPEPYNSIFAFMAVIAAIIYFIGYTITYCKKKFMKWLRRKKIREQQLAKKREEKEEK REKREAKLETRTYQLHQMQRIRESVEKVVTSVQVLPEAIMNSMAEVIKGAVKEGYENG AKDAMKGMGEIITKAVMEGTKNGYKEGFKDATKGMVEVITKAVTKGTSEGIKDAMKEV NQTASDGVEKVVTAVQGIEEMMKVGLEEMDLRARSIEYRVDWMLDYHFDENERFGILK AILLGLLRKDDPPCDPHAFWGGDPVEN NEUTE1DRAFT_135706 MAAATTTASHITQTVSRSSAIKQKQALPLPTHGFLDCVPKAVGE KKKNKRKYRRYAALGPCFQSKNNAPAWGSASTTELENLLDADGSVEGKDDDDYEADEE ESVYSEDDSMSTVSTCSTPEYSGEWKQPEKQILVEVGDWNWKPVNINDW NEUTE1DRAFT_39530 MTVMTAIYGVSFALLCVVMWFFPEPKLSAVCGILILIGWDVTFH LREIIALQKEQLQEHQIAKLTTDKIDEYTTLYGTLVEKVLVLNKDLLFQNQEILAHSK EKLAHDKDKLSQEKETLPQYKEIVAMQKEILGSRKERADQKSNAGLAHEKETLNQYKE TGSVHRELLDQCREILGCQRRLVLTTDRSAVLQLRMGDMVMVQLRQLDVLLRKLGVEV RPPLPPGAGLRPSRPGDHAQ NEUTE1DRAFT_135708 MSTIPLNMASSETTIPITFLVYDSTLITVGLAIWITIGLIGWTL ITHNDNTHKYHEEHIRLLNKLMDINLRTITLMQKVYTGDLELQRRMTKALEQCHWCSH MIPERRTPRTQGA NEUTE1DRAFT_108214 MAGSHPVAENPPAVQVASPFGFVRALEWAAAERLPQMATYWEII LYIQENLAMANGAVRPLEALKNWGDDFDPFARRAYSWLLVHHESNSSESAIAGISHTR PRLRQQIMDSLASHERINRNTNVKGAVDQTFPDSIKSEVDFWSQEAITKSINNRTPAA SAIADIPQTRQQQAVYIREMFDALKNTQDIIEKASNHKVGTVKSTSGRVFQDIAWVLY REARNLQQGKPGVKPWCTSFKYKQYPTMRARWNDMVEFFQTSKAAVANLIVAHSEKRF AGNPTKEKQRKTVNDKGNKKKATKMKDNEEKAARLDKVVKDAKGKAAAAKSAPDQGTN EEWDAEASEDLGGDGEAEETDYEAEEFDDSAREREDNDHESDDEATHLLQNAQQDVDR YDDIPEDQDSDDLKFQFGRAMGDSAASTVPLAGTSQPHAPAYPPLPGSISHRRQVRAI FPDEGHPPSIMPSNDHESYHPCYSGGLVSPNVQGQCIDDARSNDLGSSPLSGQPMDYL EHTLHRYISPSSPELTSGIGFMGQAQPQEGRGSDTAVGTSRIAHMPLNTGTSGVGGGA QNNSDPQDSTNSSRSRARRNRHSSEDGSKTRAEAPPRRRPRI NEUTE1DRAFT_98862 MAGEWSKVNNYNTDHQLISFVPRMEQAAAQRNPHLGEYWEIILS IQENLRQPASAEFAGVEVIKSLEEIKRMKRWNDQHDHFSRCAYEYLRFAYNLGASEQA IKRIAHTKPNIGVEALAGMNAHELSLYRRITKGEQGEDQTYEGRMRSEAEFWVHDKMV CDYTRKRVPQSARLDIPIFPEDEAGYVREMVEAMSDMVGDKDGSASQIDTVRKMKVVM EHVAWQYFRESRHAQNGDAKVQPWCTGFYLREYDSWQERWDDMVALMTKSKAAVDDLI ITIYPKRFSSDPYYELQRKNINERNNKKRAQDARDIAALAAQASGPGAPN NEUTE1DRAFT_135711 MADYNTINTQAVFGLVDFLKSAAEREDPRLKTYWDIIIDIQDDV FRPNTKTIRPFQEFKIPHEFQEFGRNAYDWLVFHVRCRTTETALGAIGHTRPGLKQAL MVGLAGHEKSDNRRTRRENPPNNQVLPGHSKSMAEFYATEGAFKSLHHQTPEAGTIND IPQTRKEQIPFVPQMAEALEDVTDYVDNEDSYQVQTVKRTSRAVFEHIAWRLLNTGIL MQEGEPAVLPWSTSFYRRDYPTFRARWNDMVLFLRKSKAAVANLLISPYWNRFAGDPD KELKVVADSSKVIEAEGVKSLEHELLDVEGNKAKPQNTSRQAESTPRQSSILRRRERR QRRLAGAWRSRPARQRAPQENDAAEAYIPQPEPSPRQVIVPPQAQRTHNPEVSYLTSQ QERHTEMEGGIRNAACSEAEEWDHDESIFAPNQMGVIWNSQQQVPDVNVNVDNASLNG GISGDDRMGDNDWGQGALSLSHLVDPFNWNKDLATQAFISPMALYPVENGEFADDLVD NAHETLGNNMPINPALSDSNASSSNVASLDPVPGETANHSDKTNNSDGAYAYDMNIFP EDLDSRIEDGILQAPDSGDQLEMFDMDAFIMGPHQPRGMLGEDDPSFTAAGSVDYQHE VEDLNDELSGDRFIGYPVAGTSDSKRKRDETDEEGSMSECSPSKRLR NEUTE1DRAFT_108219 MAEYAPTAADIRDFVENLEAAAGFDNPNLGEWWNIIISVHTNLI HANTRTIRPFAEFHGIRSRHRIFGAIAYEWLVLNYKQGTIREALGRIGHTKPGMATTV TQGMAAHELSGDARKVRVQGADQSPCNTITSLAEFWAAEGALKRRSHRQPLPSSIAAI HRESKPMTRRLLTLSRCMAVGFVTDKAPSLTFDPVVGFRQLLIGRQNPAFQGITQLRF GRKGAEPRSRRRRFAASFACHFVPLSSKATPDQPTAIECLIASGSKDSAASALSQVSY YLNRFFHHINLLSSYLPVFSTLKANDRSEEITVSRGNTADNKANTKIAFQRQRDRHDK NFTKLRERLKEDQARSSDSTVSKYGALAIPNKMADVGPTIDDIEGFVAELEAAAQVQD PRLSIWWDIIKSTPHSGTTADIPQTYAAMLPHVRQMADVLVDRLVKIDNDGSKQVKLF KSTSGTVYEHEAWNIMFIAIDAQKGNPGAYPWATSLYWRRYDTFSARWNDLVVFVRAS KGAVANLLICPHANRFASDPASELDRKRINIKGNLEKVAKRTAIEAALAQLETAMANN PGANSNTEDNATIQPAILDQALPQPVAQESNVAEHYAPIESDDDNDDYEDDGDNDSEI RVSDKVFVGVVKELDEEEEAADEESADVVDADEDPNDEDSDDIHGLGGRIESREFIAE TESGHALPMHPMAAPVAAGHTGGVDRMEILPSAPEHPSGGLQASDASARPLPAGYQHR MNNNSIFNQAITDQFSEDHDSLMAESSTTHDVDEENVAFSENMQV NEUTE1DRAFT_108220 MPVSFDHAQRIPEFLEAAARNTPNETSHSDHTSNLVNPINNVVR PFAEFTRRRAYDPHHRHFAESTYQWLVFLHRTGLTAEGLAKIGPTKPGLVEKLRRTLA CHEITHARKKTRGNHPAGQAYKRIYMTEADFMVGEGAIEVLGYPTGQVQDSQVADIPT SEPDKVQFIDEMVTALKNMVGRDKGMEAQVKQVMATSASIFESLAWRLFTNKAAVSNL FLSNYFARFAADPYKERSTKKQNAKTNNDKADKKRAGDEAIATLAGNPLPQNTNATGG NVQAQANIEHYTDQAAQNFVAAAGAAGASVQGLQQPQEPVFARPQHINMANDGWINYQ ENDFEALYRTHEAQPKAYNAEVEAYNKQKNAAANGDSSDVEDDSENDDGASAHEDDNG EDPDEPNYGADYNDIFNDIYGVSDDETRERRRRQDVSAARAPAAPPNMNGRGLGPTMA GPPMPVLTTRPPPTQAGPAVQSHGSDGLVQQPAPRTSNQQVARRPFAVPAAPQNSPQQ NFLHAAHVVTPPSVPNEVYGSNLPTPPRPQIHPYGPTQQPPQAHRPINISQGHRGQFQ APVSSQPQTNFASPAQQNIPTGLGTPARPSPHQVQAIPLPHGLPTVQLQSSAPMGHVQ SPAGPLPDVVPRRIITPASERARLLRESQQPAVMGFPPSVDPNAPVQKAAEPQAAPRA PQSQPAHDWFDSSAALDNFFEPGPSPEQQMFFDPPPNQQIAQPALGQQQSFDESVSTF NQQSIVQPAPIQQAAVPEVHQPGEEELMMLFEQISNGQAPQQQRALPAAEQQVDIDPL EDLDLSLDNIMSDQELVDYAATQGFGIDINDLVQLNEAGSNNIADNRGIGGEVIDSQP PGQTVADNANEQWQQNNGVEDYEDLFGQFMNLPDEVDNIIVDDLFADEYMVNHAIEGL DREDGTGSSGIKRMRGDHDENKGEERPAQRARMN NEUTE1DRAFT_108221 MDDAPTLVELLEAAFAQNDPRLSQWWQILLYMENNLVNPASGTI RPFEQFAQSTHAHPHFARRAYEYLRFHKQSRTSRAALETIGHTKPGLLTSLLSPNGGL AEHERITNRRKQRTVRPEQAFVAHISSDAEFWATAGALKSINNNPVVDAATARDIPVT YEAKMACVRFMVDALRDMAQKLRGDLKEANTIRCTSDWALEAVAWELLEAAMAAQHGM QNVYPWATAYFHEQYPNFTARWNDLVALVMGSKAAASNLLIPSYAQRFANDPGGEFKR KTSNKQSNLRKDNLVADLVARLAAMEAQVAVVNEEGQPAVVDNNHEQLPIPSPMSPNR PPAPTAVHGSVQQRVLPVSPLNGIPARSPISFGQPVAFSASTPSRPATPATHSRRRQH PSTTPSGNFKYGQPSSWPTSGVTAAARQSAAPLTRPIVTQPTFYDAGASPNPSTSSYH PSQAAPVIDEQYREAVQGSIVPQKANGTTSSDSQLELSWNDSLPDGYNLQRDVAFSHA DDAATGLQELSGSQGTSLDLFQTTGGYGNSGDMFHVNAVDDSASNDEPAANTGSRTNP NGQQIDGPGIFDINEPYISHKAFGEPFGSNQGYANHGESFHDVTAQPSAYASVIDRSQ VHDYEYGWDANPGYHRDDAGDLFADSFLANQTADRAVGAGKKRKRGTEEEDEDEMPRA QRSRQS NEUTE1DRAFT_135717 MPAGDYGPFETSAIDKEWNQWLGDVDQPNSDVGDVTNSAIVAGH PAGDLFADATTGTLANNMGNGGVDEGQDIGAVYGQSSGQGLQGVMRDVSDRQLELRWK NSVPDGYDLERGVASSLVDEAATNFQDLRFANDPDHELKRKIDNKDTNFNKASQLRDL KALMPDANSVANSSAVVSAAG NEUTE1DRAFT_135718 MAMANVERLSYGVPNPCPNQAATGQQASGNQLQRNRQELDSDAY ERSQVNDDFAYLEAGLFEQSSFGHPGASSFNNAARTEVLSQHSDSEQLEHMSRGNLDI DVPSLDDQAFDGLTGANMAHASSDGLFDNATVQQGHPAAGAGQIIRPHIGVAPVSRVR VY NEUTE1DRAFT_25253 QRYITSQAHFYQRKAEKEAAAFSAMVPGNFADFPTGAADQCTLV DQMMTAMKDTTAILDNPDNNAHINCIRAKSDDEVEEKAWEVMFEAYDAQRGKRVSRLL TAYFPNFMDRWEALVALMRTKLSNNYTNKMRDFNKDVKAASKFRGSVTTVDRITSIYD AAGNLVSTFVRPEKRPLSDFLGHRLAGIAKPKRVRTRTTTGDSATSGPTSSNSGNSEQ HVGGTAGSSMTPIQEEEEDLMAAIQEDGEGVMALTQGKEEGAMGEADKDDGDKALEGP NEPSTPHPDAVNSHFDSRYPTPVHHLALGE NEUTE1DRAFT_98864 MDPSNPATPAQQAITSLEHFRQIQAAEEAAAEAAAAAKGNTTAA DFPLDPAEQCALIDQFVAALLNTDGIVDNYRHDPTENSEVKFVLGLPREKIVRKGWEV MDYDYKVQRGIIHPDAFCPELPNFMTRWRLTLSTFRKSKAACSDLFTLPYLWRYTCNP VAELEFGGSYETINNITTVRNAAGETIETLIKPQKRLLSEFLDDDLAAFAKAKRQRRV TGSSSTFGATSSSPAVAAENLPMIDKQLAHQQNQEGSGPFIVSNVLSSPEPGFANYSP LDQYQAPHHQQSLDGEFGYNSLDQYLTGPEPGADAGPLMWDQEHQQPDDFMDFFDLDE YIRRSQPIAGSSRDNGRSQAGEGSESADQSKN NEUTE1DRAFT_135722 MTNTVGLVDNYKMRDIQLGIRNPDLFTPEFPNFMSRFSLQASNA FDNADLDVSYHHHTD NEUTE1DRAFT_98865 MEPTNPPARPQRIIRNAAHFHQLEAEARAAALSGANAGYCGDFP ENDDDAQGVLIDEMLEAMANTAGVVDNDSSDVKAIRDLPRDKQEMMAWRALFKVRDTQ QGLHNPDILTARFPDFTSRWKAVLALVRSSKAGATQFFAVHYLDRYTANPIMELDTKI SNNNTNRNKDYNHELKAARDHGQIVTKFDDRAEIRDASGTLLKTLMKPRKRVLSEFLP PELAQSPAAKVKRSRGSRRGMGASASPVAASTTAALTEGQTTENHPASLLLSIQEEEE VYEPLQANSASVPVDHQYFDAEHLDVNAGDQSHTDLSYADLDNANLDSSEHSRADYSG ANLTNISLTDTILNNTGYNSTGLHPSIATLENTGQHHMPHYPQLPSLEHEHNHSNTNY GSNQAGAGRAAVNPSGRVVQNAAGANWWVEDKSSDE NEUTE1DRAFT_98866 MSSNAQLPRQRYIQSMDDYWRLEMEALMLPNSGGVTASDIPTTN EERFRLVDQMVAAFRNTENICDSRADTHGHIRFVEEELTDKSAERMAWKLLLHLVNSS NASTLQAETQACEGSEGDFLSIPETRIARAKFKDFTTRWRVVVAVFACCKAAVFNLFQ PSIVERFVNNPITELDIKAHNAKIAAAVKEHGSAAFDRKTGVLRDSDGTVLDVLPRPQ KRRLADVLPPDLIPHAKVKRVRRSRRQAQAPANNQDNAHNDPNGDNDSSGDNDSSGDN NPSGANEHQSDNASQLDDDYDSDNASQLDDDYDSDNDYDQSDCEHDGEGDAQSGNRLE EQAATPSAHQFHGASDYSVHEQHFISQIIDHELAQAVEMASSSSRQSEHHDQEVHGGQ LPASGLAWSEDYGLQPADNAQGTGLEGFLPGDANPIVPHHSFPLPISLYQDSPPNYSL YPNSPYHSSPHYNSPHPTVTHSNHQYGQQTTPATRSAANGAQHARNHPENGTFALGID CIVTKTSKAAVYNIAQRDNLERFLSLDVTFPLTRLSNFATNANKAYKQKIATAVEKHG SASFNKTTRELKDSQGEVIGIFPKPVKRKLADLAGHAKVKRARRPRQARMQANNANAS NATASNTDRGSNANNPNSLYKNYREDGNYSDHVDYSEDEDDDTDVDVDNHDHGDHDDH GYNNHHGNQVGGSAGVGSPNYEEFRGTSSHQQTNNALASGIDRQGHIPNSLPFKIGQP NALYPSLPRQTSHLSNLNNPHPNTIQANALYSDLPHYNSPLPNSQNYGQQSTPAAGTQ NGQHPGYVYPGNDHFVLEGDEYQYPSDSITFQFVIFFLAPKMCSVTVARYLDARYAR NEUTE1DRAFT_98867 MNIWSVTLAKSNALDQGIASLGQTRPCINNLQVLVDLKSHPALK QPGASIPLDNEVDYLAAKEWCHRQEVRKAHAKLGQDNGTDDIPQTQRDQKKLANNLSL AAMNEVEMVDLEYHGKENKKKLNYIVQRKRELSALDVALFGWEASLALRDGHLGLSRG SSATTRLDIEAYQTHKERSDILTKLFHVSKASVMNTYSLPHLARFACNPKAELQNVPE GVDLIPDDQSGTNAEGNADACQGKKRPRNDDDSSNDDDNNVQHQSRKARLQQGSITHQ TDISQQQDFEQPTILQQADFAQQGQLDSLYMDPAMLALPQEEQFNVEPATETPAPSVS NVEENATQEHGVNRNPSEEELIWFRDNVLLGGQPFPPRDDRSAASRSQRRDP NEUTE1DRAFT_108229 MSVASPPPRLKHHPLPSVVGKRSRCRSLRRRGRARWPKRPPTTA VHHWKQVSIAGLDMTNGARDEKHFKYQTTELLQRSRAIQLQMNMCSWNQEILRISGLI TSNSVQGKTCGGYQGGGGYQGGGGYQGDGGYQGDGGYQGGGG NEUTE1DRAFT_135729 MESQKQQSAQGTPKNEGKKTNQQFVSQSPLQLDDWLDPLLMDDD ILELPPNDGFGMIPMGDQSIQSPMAQQAAENPVDQQSAQNPINSQPAQNQIDEQPAQN FMAQQFVQLPAESIVQMDRVMADVVGTNRHEAYWSILDDIYYFIRDHRWASIPDVRGN FRSRPSIEASYRAVGPPPAYVQPIWPVYEATTLAVGKEDIRQLVQDNIQFALDAFRWA VFMDRFGALEVTYANLGQTRPIIAGLHGKLALHEQQGVSWDTSKHGVVDHFLETMVFK NEPEFLAGEEWEKRQKCHQIRTKNGFSQASFADAPRLPEQEKVEVLNLVIDAFNERDI VDKAKHSRRNGEQDNHVLVEKRHMSLLNMESTGWKALRALRFVALGMPNAGVFNMDFV FKPYDTFRARLRDFETLFASSKAAVTSTTESSKLTRFAGRPSAELSTKRVNKNGADRK AVELTFASEVRRNMAAAGQHQHSSAQDAGEPMQAENPESELPEPELEVDEEEDETVYG DDAQDDVLAAADGAAVDPTSNPTARNAKRRHISDDEEDALSF NEUTE1DRAFT_135730 MSPPTSRPLPLRRTRQANSRTTKRNGGDQFSFSSRLAKRDRDIL GMYKPRLGPLSLGLSLAALLASFLWPRYCASREKNHRMVVLGYVPILIVAVEKWPSEF RSFSGRAIVAL NEUTE1DRAFT_77999 MTVPVKGRGPQVAGAAGLFVALSTVAVLLRCYCRVIIVKQFGLD DWLTFISWFFFVFYCTFSIAGTHHGTGQHAVDLPPNELPIGLKWWWACEPVYVLAGLA LKASIGVQLLRIAVSKTHKLIIWITVGTLEIVGVAYFLVFVMQCMPSEYFWTRFTGGT GSCMNPQIVVDATYAYSAITCATDWILGLLPISLVWHLQMNSRTKLMVAGILSIGAVA STATIVRIPYVKDLGNQADFLWATSDVAIWSTAETGIGLVASSLATLRPLLRLFLTKS QLGESTSGGLGTQQFGATTNANGYIRSRTPKNGAEEFGLRNDIGKGRGVTTVVEAGGS DGTKRSMSGSRESVGPLTAANNWNTSETKLTDMSSDDGHGSGGHGGSSGWPTGTKTTT VTHVVES NEUTE1DRAFT_98869 MSFPQPPHPGANDPTPFSLVALMMSNALSDHEKIECWKTIDIIY DNLLNDDESLRSFAEIANGIQQQFHNPSLQDGRILSGVNFARQVYRWFATHHDSGLID QAVARLGQSKPFLRGLERYLTVAERENRRTESSDPKEFTPLPQDLLKSWSDALALRHW ARVRTSRPVNPVPGDIPKDFEEKARLAKYIFDGMVAEPANMVERPKVGGQDKGHEQGK SKGPEIHAQVKRVREMSDLKKYILSWDWLVNAIDAEKGTPDTVLYCNEAFPKNGDYKN FRERIQSTHELVSVASLVNATQPAYLTRFAANPKQELSTKVLNKGTNATRTRQVQAGQ KVLAANAAKPQGPKTTKLPVKATRINSETATLGPLMRLAEEYELQAARNGYQSAVSEP ELAGQASASEPQSYNGNGVSSNTGVGSSNTLFDVDRTSLEQGTRAAEFVTNPMSAASE SFMALRAQTGNAAPFASSIAAPTLTADNNPPETIANDNFDWDYWIDQAWVGGEEPNDS EDES NEUTE1DRAFT_128250 MDIDEDEDFYAPDEPQAAPPTTAATTTTPATTTTTSTTTASAPP TTTSSTKPEDELEEGEEEDEGGGAMDEDDDSDIDIITERKDGSAPPPPVQSRYSEIRN IPQRAAANDNGVKTAPVKKSDGTNSNNNSSNKFGGAGGGGNEQKTRQGSGAAGGSGAE LPPVRTSKIEVDGIPVYKPVGKPITGVVIDEDLPENDKPWRKPGTDLSDYFNYGFDEF TWALYAQKQEALRGEYNAEAIAANNKKMMEEMTNMMMMGGMMPPGMGGPGGPGVGPAG PGAMGPGGPAGAGGMGGPGGGLDGMPPEMQAMMQQMMGAAAAQGMDPSQMAQMGMAGG PGDMGGMGSMFGGPGGGPGGPGAGGMGPGGPGGQGQQFGGGFGGNQGQGGYGGYDQMG GAGGGGRGGRGRGRRW NEUTE1DRAFT_144850 MFRSASGPGGLSINTGTANLFGSTPAHSTGTGTGFGTATAQQPQ NTASLFGGAPTPASGGLFGGGAPSQQQQQQTPSLFGQQPQQQQPSTGGLFGQPQQQQQ QQQTGGGIFGQPAQQQQNNPGGGIFGQPAQQQQQQQQQQQPQQQQMSLFGGGGTTTTP AATGGGLFGGGGVGATAQQPTQPTSLFGGGTAQPAQQTGTTTSLFGTAQPAQQTTGTT TSLFGQPQQQQQQQQQQPQQQQTTSLFGGTGGTTSLFGSKPATTTGTTSLFGTTQPST TTTATQPTTTGGGGGLSLSLGQGTTTASTQQNAGSKVDLPNLRSTTRFSDLTDSLQSQ LTQIDESIQKCISDCNAINAFMPGHEQQLSSIPLDVSFVSRKSEGAASALNSDVLAIA SLKETVKKDAANARLSFKAIDQLRLPGGYWQAGLWSSRSAGGQASVGEDGQNGTGGAG TAKTKSVATTGGKSAGSGSGSGAGVGGAGGKGGGKTEQEMADEEEADDAESNQDLIGY FSKTADEMDEMMKRFEENLGEIEVHLHGVQGNVLEQLQRAAASQKMQLDQENGVNGEG GQVDQRVVELAAVLRDFEESILKVAGVVGGVKEGITELQLREFMGNGS NEUTE1DRAFT_78003 MSGRGGRGGRGGRGGGGGGFGGGRGGRRAVAANSVPWQMDPTIA LDGKPSELFPPYKVPRAPVLSKKDDRQVSFFLSFREQCHDSPLYTQSRTWATFSSSSA SSSLFGSSAKATIDIFEDGVPSYSHKFQQKERTLPDLASRPFAKEFFPAELHATLDGV DGGSSAAASGAKRRKTSAAAKTIALSSAEYKTAEDVFGLKKVEDGGEDAAVGILSDDD EDDWVKNNEDEDGNPVAEEDDDFEEDSGDDYNAEQYFDGNQDDDDYDEGGDDGGDYY NEUTE1DRAFT_78008 MDNDIPITGLDDLTAHMDALIADPSTPLEAKLFDDIELQLTDSN TPPLLTTLLPRLVQILHTTPSDPTTPASLTTKLLRPLSFSQITSLAPPESLIQALELP FPAANILAMTILHKAAAAPAEVAQLAAMQEVVHSFVRTWLGSSEVSVGEKGGRIMGDL LDVDCEFEPPARPQRQQQQHPLDAGMPTWHDMVLRKEKGSGALWRRMFADQQIYTLIL DLLAGRHEDTDVSSTSDAEERKKKARQLTLAQGRILRILPRLAALDLNKVARSEVAAP MPGYYMFATAATTNGHNTSRPNGRTTNYNSDILTNGVGVEEEEQETQMPLPAPPKHGQ GLLHFAALRMVDRNDVLMHLSLIDFFEAFVGLMRVTPHSEDKVNIIKDLLREAVADDQ LLRESLERLPDRTVDEERDELRGWVREVMPVGGDVDVVMR NEUTE1DRAFT_78010 MSSLKFVTSSLDVIAANAGKNKELAELAQKAQEAIKTNDQQLPD PEVIFAPLRLATKSGTIPLTTTALDCIGKLISSTYFSVPSGRSAAASEDGQPQQQQYL PLIERAIDTICDCFQGEATLVEIQLQIVKSLLAAVLNDKIVVHGAGLLKAVRQVYNIF LLSRSTANQQVAQGTLTQMVGTVFERVNARLHMREARANLSKLKRSQSSFNVNGSSDG QNSTQEEANGDDDENAPEDASDSQLQDGDGNGDGPKLTLKDLEHRKSFDDSNLGDGPT MVTQLKPNRKPARSVSEQSVPESAQEDSPESLDAEDEVYIRDAYLVFRSFCNLSTKIL PPDQLYDLRGQPMRSKLISLHLIHTLLNNNISVFTSPYCTITNTKNNEPTSFLQATKY YLCLSITRNGASSVDRVFNICCEIFWLMLKYMRAPFKKEIEVFLNEIYLALLARRTAP LSQKLAFVSILKRLCEDPRALVEFYLNYDCDRNVDNIFQRIVEDLSKFATSSTTVTAL QEQQYEENHSKYGSASEWQMRNVLPPPLTVALIAQNTDTDGDIPKDYVLKRQALDSLV ESLRSLLNWSHPGRPEVITTGTGISERRPSSDEIRESMDPSIMGESLSRLDTPTMPST PLLDDDPDQLEKEKQRKTALGNAIRVFNYKPKNGIKLLLKEGFIPEDTPDAIAKFLIS EERLDKAQIGEYLGEGDQKNIDIMHAFVDTMDFTKKRFVDALRQFLQAFRLPGEAQKI DRFMLKFANRYMLGNPKAFANADTPYVLAYSVIMLNTDLHSSKIVRRMTKEDFIKNNR GINDNANLPDEYLISIYDDIANNEIVLKSEREAAAAAGTLPAQSTGLAAGLGQAFSNV GRDLQREAYAQQSEEIAIRSEQLFKDLYRSQRKNAQKTSGIKFIPATSFKHVSPMFDV TWMSFFSALSSQMQKTHNLDVNKLCLEGMKLATKIACFFDLSTPREAFISALKNTANL NNPQEIQAKNVEALKVILELGQTEGNLLKESWKDVLLCISQLDRLQLISGGVDESAVP DVSKARFVPPPRSDSTDSRKSMAAKRHRPRSNTGPQGVSMEIALESRSDEVIKSVDRI FTNTAHLSGEAIVHFARALTEVSWDEIKVSGSNDSPRTYSLQKIVEISYYNMTRVRFE WTNIWDVLGEHFNRVGCHVNAAIVFFALDSLRQLSTRFMEIEELAGFKFQKDFLKPFE HVMSNSNNITVKDMILRCLIQMIQAKGDKIRSGWRTMFGVFTVAAKDQYESIVNLAYE HVLHVYKTRFGVVITQGAFTDLIVCLTEFSKNMKFQKKSLQAMETLKSIIPKMLKTPE CPLSHKQNSDVNSAEMALNAATKPAGQETGTTVEEGFWFPVLFAFHDVLMTGEDLEVR SNALNYFFDALLKYGATFPLGFWDILWRQQLYPIFMVLRSKPEMSNALSHEELSVWLS TTMIQALRNMITLFTHYFNPLEYMLDRFLELLALCILQENDTIARIGSNCLQTLILQN VEKFTHEHWQKIVDTFCELFDKTTAHQLFKAATISTPLSASNGLDYASQLSPSADGAD ADTRSLKINGDNDAGSETSSIHQTPHTNGGINPEEDSHSSVHNRLTASTALSSHPPNT PGGQGLEEFKPSTTLQQQPPVVTAARRRFFNRIISRCVLQLLMIETVQELFSNDSVYS HIPSPLLLKLMSLLKRSYLFAKRFNADKDLRMRLWREGFMKQPPNLLKQESGSAAVYV SILFRMFADTSPERLASKADVERALVPLCLDIVRVYVSLEEESQNRNIQAWRPVVVEV LNGFAGGVSNPEKDFKKHLHEVYPVVIELLGKELGQGERELRQALLGVLRRVGEVGLG IEGLFAGRSSNHGSSRQQEMSGNGRMHMGGYGYGHDRTESVVSVMTVGTIGGGGGGGY REREGEGVREGEGEGEDMAR NEUTE1DRAFT_128255 MIVPVLSRQALRHASVARVALPSLTRWYASYPPHTVVKMPALSP TMTAGGIGAWQKKPGDKIEPGEVLVEIETDKAQMDFEFQEEGVLAKILKDSGEKDVAV GNPIAILVEEGTDVNAFKDFTLKDAGGETSPAVPKDEPKNESTASAPTPAPTPAPEPE NTSFTGRFQTALEREPNALPAAKRLAREKGIDLRNVKGSGPGGKITEEDVKKALASAP AAGAAAAAYTDIPISGMRKTIAARLKESVTENPHFFVSTNLSVSKLLKLRQALNSSAD GRYKLSVNDFLIKAMGIASKRVPTVNSSWRDGVIRQFETVDVSVAVATPNGLITPIVK GVEGKGLESISAAVKELAKKARDGKLKPEEYQGGSISISNMGMNPAVQSFTAIINPPQ AAILAVGATQKVAVPVENEDGTTGVAWDEQIIVTASFDHKVVDGAVGAEWIRELKKVI ENPLELLL NEUTE1DRAFT_144855 MTVPMEGIESTPASDPAPAPAPAAAQQSDHSGGLLPPIHTLPMP PAPATNNSNNNNNSSNRPLTPPVQAVAAAAPPPPPPQLPPITAFPPYQDQYQQSPHQH SSPWQPIHVPGLTPPSQTSYQPSPSSALTPSPTAVNPTPQQQHQQQITLPGPASLLNT HHTNAYLSVVAASTQPPSIAIPSITTIPSHAARQERARQDQPNRQGQGQPKRRNRPAV SCIPCRGRKIKCDKQKPCESCVKSKYIKGPCRYDKSRLPKEKRWPGEADSRIPSPEPQ QRQQHPSIQPVDPSIGGAPYAVVKAEERTSVPGPPPTVDIPYRDSESVSSDGYDGGSA SPRLPPVSSASSPFPRHVHPVSDAEGTEALRREVWMLRQQVEELTHRQQLQQKLPSGQ RPLPEFRPDSQTGDNRWPVNQLFPLVTSATYKVFKEPNDNGKALINLRTCTILSQKIE EAHSGSINPLGFSDIGGILPLSYRQDAQRLAEAYFRTFESVYRILHVPTFWTRFGEYF GGQLDGQLAKAFLVQLQLCMAIGSVFEDAGGSLRRYQERWIQEGQSWLLLCPPTNLAG LQSMCLLHLAKEVCGFGGSGGEGTYNSAGSLLRKAMCLGLNRDPDASMPIYQAELCRR LWATILEMLVQSSLDIGLPPGISLTEFDTSPPANYDDEQLAPSSSGPRPSGTFTQTTI LVELHRSFPVRLAIAQHLATLLNSPNTKPPAVESGPTTKSLSTELISASRALAGTLQP GYDPAGILPNRISAFQLRMAEMLVDRFFLGLNLALVKRLEVEMPEIRTYCGAAAGTIW RGVVSGLGNQRETGGSCGKGAAQRHQMDDFTTLVSRGSGTSYHATATVAVLTLLVELR RQMEEEWEQRGLRHGSTSTASPSNKNNNWEEQSTSAEATRQEFLDLACSAVGWIFGRI QLASVDTGAYVWMYLLYSAALEEVKALQRRWVAHLRQQDGSSTSQTDANVERQREEQL KELVKERIASNLNRVAELLKEVWQFSKEVNGTGNDNGSESNGGMAGRQSNGDTAALAG RAAGETSLELEKMAERGERPVIRFNSVFDLRNYKLVYMQELMN NEUTE1DRAFT_120645 MMDPPPEEMHELHRIYTPSGSSSSASSISSSMSSSVSSSDLNSN DIDMNNLEHNNMKKHDDSLDPPPSYSPYPPPPQTNSSSSPSPAPSSSSSPSSSPPPPP LTSPPPSTNSSPSSPSTSSSPPPASAAAATSTAASGSGSGNTHPAEPEHRPAPQSSSF SRQNLATALFGFLLLLVFGYLLIAYVVIPGWKEVVGKGKGGIEEAKMRGAHGAHGSSE AQPQFDMDQNSSTVQVLPMELRSLKSAAADDKNNNDNKLNARGMTPTQTDKTYHVSNR HVVPQLTGTAVPKGISAEELVSYKPADWMQAASGFEVVHQDGDTTTSSSNDGGSAAAA LRRKSLQAGGVARLRRRNHRRAAPEQPAADDMEPTKLKQQDKLFQLGSGGWLTLTPTA AASPTGGGPSESESDHHQQHHGLDSDLKKKKEEEEEASEPREEMVEVTKTRVRRTIHP KIPVPISALHPSTSTAAAVDDVSMDGGRLGLLTTWHDHLLVVPPSLTPAATATAKPES SSSSPEQQQQQQQQQQQQQQKKSQAMEDAAALRFGQTTSMDARDQLVKRGGESWTKPR IPIPVTVSTKDGAAAGAESTPTAANRESTTSKKSSVVAGNLGGVGEKYGTGAQAAKGG LHADNSKMMQKGGQGVPLADGRGTYYPPYSNNNNNNNNFVRRRDRDQQYHGWPTLTPL PPQGKSSTSSSRAGAGGGGGEWVVGNTPRSSGGGIHTLGGPGRYSAATAAAATRRPAV TAATASAVTHAGTNNKHTPRHAAAAASSASAASAPSASGTGTAISSTAALSQSQQRGA GRLEYWRYEKSEEREEEEEEEDSSTIKATAAPTTTGNIKSKGKGKGHQGHGHGSFHAR RSSRPAAPVVGVTHHHLDSWANNNGDDEVEYGSNALMKLPRSGVVETGGLSTTTEEDT TEGSNAAGDGSLDEEEESEDQSHRLSSSESPEEVEEVHHHRGGDYKALISLHYPNQKV KEWWNHLIRGRIGGGGIDHHHRKGLKGREKRMVKETDTTDTATVVGCDEKKKKKGMKC GLEIVVSKTSANGSSVTATASLTVKASPKWWTPHPHLKTTSAASAASASAASAASILS ATPTPATPSFSPSPTTPTPTPTLTLIAAAAPLAGGAGANTKLPGTTLISSSLPAAENN SNLPGTPTTLRNNTPNPNPNNPNAPKPQFPQKKNRAVPPDYNDPHREDIVVPGILEIH LTPEEAKPEIMNAKYDYWEFIAPPDLYYVSDQDSPMNPYGRGERRRRLVDTTRGTLTS TVEDNNKRAEDDVGREGREERGREVRGHEREERGEREERGGEEEAGAGVGKKT NEUTE1DRAFT_135741 MPTLCLLLLLLFSTIFTSPAFSSAINLPSSHQSLSSNSSDSSET TTTTTSTAPTSPLDNTRGIIPTPPTIPSHPPGTDPSYESTDIHLDSPTQTSSSEPSSE PSSFQPSEIFPPPPSAPVPLP NEUTE1DRAFT_108242 MTTIRRRVEIRIKISKQVTLMVNTVENDAICEEQWTRDYRHAGA YIDPNTMQPFVPFLPSDWFEGREHWDTGLLTRRGEGGGDCRLLQDTYLLHTLEGTDHQ DGGGDPAFNAAFSTCDRGRLGYPYTYRQEIGSSQESCKAVRKYLY NEUTE1DRAFT_39715 MATSFHLFPHLPWEIRSRIWELTIEPRTVDVRISHEWEDVSNDV KAKYNHSRLGPLRSRSSTPVPAPLQACREARSHLSSVTKGRYRFYQKAFSHPPAAESR YIWVNFDVDVVDTGDTPFLYFASHFGTSSDGNPRGTESRGGVIPP NEUTE1DRAFT_78015 MSRRILLSALLVTAVSAQQPGKLTPEVHPKLPTWACTVSDGCIQ KDTSLVLDSDYRWVHTDDYTNCKTNGLNPAVCPDAETCAANCNLEGVDYTGSGIHTNG SELTLNLFVNRTDGTTSLVSPRVYLLANGTTYDMFSLLDKEFTFDVDVSKLPCGTNGA LYFSEMLANGGKSSLNPAGASYGTGYCDAQCPTPAFINGEANLESCGACCNEMDIWEA NSRATAFTPHPCNVTALYKCSGALCGHTDKYQSVCDKDGCDYNPYRLGDHPYYGRGEG NKVDTTRPFTVVTQFFSNTTSAGEKELSAIKRLYLQDGKLISTSTIVVPGFDSTSDTI TDDYCAKNKQIFGGVNAFANQGGLRQMGEALHRGMVLVFSVWHDAGSAMKWLDGTFPS GADPETQPGTERGPCLPEEGHADDIQRDASWTEVKFSNVKSGEIGSTFKV NEUTE1DRAFT_128259 MSQQQLSRLLPLPDEDLKQVLDYASTLSKTEAIDHFTNLLGDSP AVIDFISTFNARRADPKAPPAPSSAARTPSAPSSAQNSAPNSDIDRVPKPQRKPKKKQ GPLQAQPSRQVTNFALAPGTKAYNKKDADLEYISARSKPTTPSNEQAGGPSKPPPPPK STAQPAQPTPKLPPSAHGTLISDLGKPKPKSNPVSRTSTPGPSSNGKNNATKISIAGG TPMHGASTALSDLDDAIRQLEITTNPTHTSNSSSGIASRRCNCVAARHPLLAAAPNCL NCGKVICVKEGLGPCTFCSHPLLSPSEIQQMIKELKAERGREKMAADRAANKKADVGG VPKPFARPRGYGDEYEDAPTLQEAAAKQQAQQAQATQSAKQRAIEQRDKLLNFQAENA QRTTVRDEAADFDVTAMGGSMWASPEERALALKKQQKLMREMEWNARPEYEKRQQVVS IDLVGKKVLRKVTKVERPVTPDSEPDENAGPGEYHVASSRTSGGGAFSKNPLLGGMIK PVYEPPADLKEKGAAELEGRRDKSTRWRRVQDDRDDNEAVILDGGIYGRSQEVATDAM GGGDDEPA NEUTE1DRAFT_116219 MATKESFASNAAILAKFRDLDQHGKIMAEYIWIDSEGNTRSKSR TLDEKPEGYKPEELPIWNFDGSSTGQAPGDNSDVYLKPVAVYPDPFRPPNNILVLAEC WDADGTPNKYNHRHECAKLMELHAKHEPWFGLEQEYTLLDLNDRPYGWPQNGYPAPQG PYYCGVGAGKVVMRDIVEAHYRACLYSGIKISGTNAEVMPAQWEFQVGPCVGIEMGDQ LWLARFLLHRVAEEFAVKVSLDPKPIPGDWNGAGLHSNFSTVEMRKEGGMKQIEAAIK KLEGRHKEHIAVYGEGNEKRLTGRHETGAIDTFTYGVANRGASIRIPRECAAKGYGYF EDRRPASNADPYLITGIIMETCFGAVDDTVEA NEUTE1DRAFT_78026 MTLIKFSSLDNERKTNPTLKGHKLVFITPWEPNTDYIDSLQKQF PDLVIECHKSEWRAPGTGAGESPFPIEQWKDVTIALTFTYLPQPKDAPKLQYVQLISA GANHILDHPLFKETEVEFCTANGVHGPQISEWLLLTYLAFNHHLPHYLSLQQQAHWSR DKSDSIQDATAKTVGILGYGAIGRQTARLAVAMGMNVHAFTLHPRPTPESRKDRSWAP SGMGDPEGIFPSKWFSGSSKEDLHTFLRSGLDLLVVSTPLTPGTKHLLGKEEFALLYE ASPTVKVVDEETGKLEERGRTFVSNIARGPVVDTDELIEALEKGWIRGAALDVTDPEP LPDGHRLWKTKNVIVTPHVSGASTRYNERVLAILEENLGRVGRGERLVNGVSRREGY NEUTE1DRAFT_144860 MRRRLPLGQRQNKQNKQNKQNPQNKQNKQNKQNPQIHQFIDPNF PDSSDQCKLSKRDVSHSVELPEPIRSLPTVTRMRARSHPQRPFNGGPHG NEUTE1DRAFT_144861 MPTTHVEPNSEALLQEIANSLYKARKVVVITGAGISTNSGIPDF RSENGLYSLIQAQFDAAAAEKQNASQESETSADRPAKRLRIDPQDANGKDVSDSELPD TSAVGEDKIHDSITVTTPRSKITSNNGQPSDRPPHFQASPPTLDFSHLRDDRGLGSSP LSSPPPVLLDPYRDSSTSRSSRSGSVSSSDSDSEDPSSVSTPFLTSQSSFSSKNTLPN MKGKDLFDAQIWSCPVKTSVFYTFATILRHKVRAAEPTTSHHFVSVLRDSRKLVRCYT QNIDQLEERVGLATSLELGAGSRYRFSTRKPAGGRSKDADQSQEEDRPSSSQGQKENE CPPASQPPASQPEASQDTSSQPAAPNRGVECVFLHGSLAELRCFVCARTASWDDADRQ ADTLAGRQPTCPHCAGATAAREERGKRALGVGKLRPDIVLYGEEHPHAHLISPLVQHD LSLGPDMLLILGTSMRVHGLKVLVKEFAKAVHDKGGKVVFVNFTKPPDSVWSDVLDFW VQWDCDAWVGDLQTRKPALWLPPGTVLPEEEKAKASKARTSGGGETSKKKAEKAADKA AEKNEKSTDKDKAVEKNNVEKDKSDKTDEPPTSNRRRESDNKGLKALVEAANTVQDQF AIEALSALKKHKVTPEEMRQQQESTETTEAEMPPPPAPVTKTAQKRPTRAPREPKLNP DAKRPASVRDHKNNAAHLVWRIMSNLEVIRNLEREVEKQVPIPAETNTKDKSKAKKKK VTASQNDKPKAAAGKKPQPNRDIQPPVQVSASDAGGNVPEQDEIQVDLEADSITAAVK TRKRKRNVTWKMIRGVETRVSLDTNGTEVALPPPHHPHATAVPVNIPFKAPQRQRSKT PEVPQPPAPRQTQLLPPATIPKNRALPKPKPQAPRNRTNSGASIPPHTAIHTTAIFTP KPLANLAVEVVDKYDAGFEETDRLIARYHELASNSQSRASTRASSPQPRQTETPKEHQ LPPLNMRNLNNAQTTVPSRGSTVTKGGRKRSKTGPANGLLKLQVLEPKFDTPGPLSEN VLSPNVGSPPLGSNGPRGRMGSVHQPQGHNDQENQPPGQEQHGQPPADQQGLCQQQQQ QVPPHGHPTNQARNPFFYSDPLASQLSFPPRWGDQQEQPPQSQYQQGPSPANYPTGYW STTALDMFFRPSMDAQKQPLQEQEQEQEQNMGLGIQEPAPAPTPALETENEADDPWIE AARRVRQRLPMGGDLGAAALAQLAVQGAPVPSTLPFSTVLPARGVPARFYTPFQAWQQ QRLEEIRQQGPPLEQGGRQRQAVGAEPGSMAGPGSGSGPGPGQGRWPPGWSAEEQLRQ EAAMMLSSMRGSA NEUTE1DRAFT_98884 MGTIEPQNYPGVLTVQPLLMTTRLSRSFHSDRSDSLLESTPLIF SFPSVTRPEVSSLASSVTATPREQPDAGADVRGMMLPLARTRLAKKLTHYIRSIAPAY GIPVVHPCKIGLTAVTLVPRMPSPTWTARSQRRAMAPKGTQHPNRLLRLRGVELQTQS FAGHLTATSPVPADEISLQLPRPYPRRLISFPDDDSFAMKAIFYALAFPGQFMSTPNS ALVGRLTIMLIQIPPSVTPDLWKAGSADSSVLPRPPVHLPNATTLRAWGRSCRSNNYG SKMTSFPQNPLRTPRPSNPVTYRLRLPDGLHLETFGPDPSPKEAGIADSGDGRNSFLL LGVWDTAVMLPQRLAGGSAQPQAQLPDHRWTGVVVTASHSPAIGNGAKIVERPQGRDA RGGGQARRVEVGGSRVKECGIRE NEUTE1DRAFT_78029 MPPASRRTSSTDGPPQPRQLPGSACEECRKRKLRCDRQRPQCGT CADAGIVCEVNHNRLARGPKKGDLKALRSRIVALERRLSLDPTNLEGLSLHGDADLLQ HPLSAASTCTTPPGPASDGDLSQVASPRGRGSIWDGDYHTHNGQLSPMTPQIPLSMQN FKFPPSPPTPPKRALIHDLMRADLDQLYFDRVHPNVPIFNQSRYFALSQQTPLSTHHH NSAPNPRLCLQYAMWTLAMALSSQFESYRDTLYNETKQMLESLDMYENHHPHESPVCV EEIQAWLLLAFYEFSRTNYKRGWVTAGRAFRLVQLARLHEIDSPERSMLQQGEDPVLV EERRRTFWVAYCLDRFISLKNQWPLTLMEEVICTRLPSPELSFQSGQPIQMCFLSEAI ASGDHGLFSPLAESVVLATVCGRTLSHTQVANVERTYGSNSADFWIRHEWLAGMVNKR LDSLVQSYPVVSAAADPMLFFAFMLAHTTTINLCKVVEAGDCQGTGQQQAWDPAVFEY QKRALRAAREIAALTKAHEHLGYFKAHIFLPLSISLAASRLISQRTQTINDLAAQVPE GFIPTTETEYDGEIQSCMDALRKMQTFNNLAREHLHTLELVQQQQQRAQQQQTLLMGH VPSQSPSHSLNVSHQHIPQIQLCTY NEUTE1DRAFT_135752 MDSNMPIAIIGMSCRFAGDVDSPSKLWDLLAQGKSAWSEIPKDR FNIDGFHHPNFEKLNGTNVIGGHFMKEDVGLFDAHFFNLSAETAAALDPQFRLQLEST YEALESAGITLQDVAGSNTSVYAGSFFRDYHESLIRDPDTLPRFLLMGTGAAMASNRL SHFFDLRGPSMSVDTGCSTTLTALHQACQSLRSGESTMSIVGGANIMFNPDMFLAMSS MTLISKDGRSWAFDSRANGYGRGEGSATVILKPLDAALRDGDPIRAVIRDSGINQDGK TETITTPSGEAQEALIRACYERAGLDPGQTTYFEAHGTGTPTGDPIEVKAIARVFKDS RKGNGEDALLRIGSVKTNIGHTETASGVAAIIKVALALERGQIPPSVNFEKPNAKLSL DEWKLKVPTELEEWVGKDGIRRASINNFGYGGSNAHVIMEDYSSYLATTQRPKALPLA NAGAGHHHHHHHHHHQHTDSGIVIDDNFNGHNGDHHSKVFLLSAKDEKATERMIASLK TYLHQQKSNTHSKIAENALLSNLAHTLCDRRTLFPWTATFSGASLDSLIRTLDSGRVK PAKASAQPPRIGFVFTGQGAQWWAMGKELIDAYPIFKAALLDCDVQLKKLGATWNMCE ELSRDAETSKVNQLDYSTPVCVAVQIALVELLKAWGIKPTAVTSHSSGEIAAAYAAGA LDLASAMAIAFARGGLASEGNRQFARKGGMMAVGLGREEAEKYLPRVTKGQVVVACEN SPTSSTLSGDVEGLIELEQIMKQENIFARRLKVDAAWHSHHMEAVADAYYASMDKKVK PAKSKLDMIFSSPCTGKRMDKVNEIGSPGHWVRSLTGCVRFVDAFRNMVFAEEGATEP TVDMVIEVGPHAALSGPIQDILGMPQFKGVTIPYGSCLIRKKSAVDTMQDLVGDLVRK GYPVNLKAVNFPFGMEGVKVLTDLPAYPWNHSTKHWIEPRFNRALRQRSEAPHDMLGS LVLGCDPSAPTWRHIVRLGDLPWVKDHCVQGNMIYPAAGYIAMAVEGMQRFASRQAGD KKIAGYQLRDVDILNALVVPETSEGIEMQLSLRPGSERDLSTKGWTEFTVQSVTLDNK WTDHCKGLICVQFGAAPKHAVNQPTQDSHYRIRINPNDIWAGMRSGGINHGPIFRNMK SIRARSKQSVTTFTVADTKSVMPKQHEHAHVIHPTTLDSVFQAAYTAAPGAGGKNQTP KVPRSISKLWISHDISKQAGHDFKAYANLDHADDQSTKTALRVVDHTAEAPVPVISID GFVCQSIGNAPTAADEPWEADKFTTTHWAPDVTFLKDAFLKKQLGSQITPEEAEILMD LRKACMYYIYDALRDLTPEDIKKLEWYHKKFYIWMRLQADLARANELGPDSSKWANAT LNEKAILLEKVKMSSTNGEMVYRLGPQIVPILRGEITALEVMLEQNLLSRYYLEGLKW GRANAKLGEMVRHYAHKNPHAKMIEIGGGTGGATAHVLNAIGTADDGLGPRAASYDFT DVSSGFFEAAKEKFQPWKDLMRFKKLNIEQDPASQGFEEGTYDVVIACQVLHATKSMD NTMQNVRKLLKPGGKLFIMETTQDQMDVQFVFGFLSGWWLSKEEERKFSPSLSVPMWD RVLHRTGFRGVEAEIRDVEHDELYAFSVMSSTAAASAPVFDFDITFVTASNQIPEVWL DKLRVSIGLLTCSVPTVKSLEEVTVDGNDVCVFLDDPKNPVLADPSKAQFSGIRDMCT RAKGLLWLTQGGADECDQPLASLAAGFLRSLRQEYSGKRLATLDLDPTQDIWSETSIT TITEVFRKLFDYSVNETGSDYEFAEREGAVKIPRYIKDVTRNSAVFKQQQATTQPEAE MQPFIQPDRPLRLAIGTAGLLDTLKFVDDPTASDPLPEDFVEVEPRAFGVNFRDVMVA MGQLKSNTMGYDCAGVITRVGPTAAAEGYTVGDRVSVLLRGHYASRTRIHWTSAVKIP DTMTFETAASLPTQYVAAYVSLYDTARLQKGESVLIHAATGGVGQAAVMMAQRVGAEV FVTVGTDEKREFVMKHYGIGADHIFSSRDISFAAGVMAMTKGRGVDVVLNSLAGTLLQ ESFNCLAPFGRFVEIGKRDLEQNSSLAMEAFTRAVSYTSIDVITLGDYKKMETNRIMK DIIHLVGAGELRVVEPITVYPVGEIEKAFRLMQAGKHMGKIVLAASEETLVPVLPRVT SNTVSLRSDASYLVVGGFGGLGRSICSWLAEHGAKNLVVVSRNAKADKLAQLQTELNH VSKGVKVTAISCDISNMAVLTKALDCVERGVPPIRGIIHGGMELRDSVLEHMKLEDHK AALAPKLNGSWNLHQYFSSTEKESLDFYIMLSSLVGIVGFASQSNYSAGGTFQDSLAT HRVANGLPGVSLDLPVVKSVGYLADHPDSEKTIDSLKRHGFTALAEDEVLAAIGSAIS TPFAGTLTLGLNCGPSAQPTPDSPLARDARFAQLKHRAIKSSSSTITAAAATGDLSSL LASSQTIEEAVEHTLEAITKKLQDIFMIADAGEINPDCSPAEFGVDSLVAVELRNMLS MKAGSEMSIFEIMQCGSLRGLAGVVVGKSGFVDASLKE NEUTE1DRAFT_38390 GAGTVAADAEAVRGDAPGVAVLVVILTGRRGVDGGGGGGLVAGA GVGVSAAGQVTAVSAGSGAAGGCRAGRGLASGAGCGKVVGIVDAAGAGGAVADVGVGR GGRIRSGTAVGVGGATMRGSLRIHNKVVDGPEIDRDRAASSIHPPDAKGPSSLLCAPG QWGPNVELLAVRLDQTVDAVVASLRSPTEYFESKDSIHAEDTVDKLRTT NEUTE1DRAFT_39592 MVTHEQIQTSNAQIVSSLPSGLVAVFVGATRGIGEATLKQFVRH AVAPRVYFLGRDRTNGERVGAELANLNPEGEYHFRSADVSLLANVDEVCREIKCKERV INLLFMSCGTTIMGIDTKESLHYPAAVSFYARIRFIVNLLPELQKATSLRRVVSVLNG TKEGHIDTGDFQFRRLAMMQARGHSASMMTLAFESLALEAPDVSFVHTFPGLVRTKLG QDTNRAAITVLRGVFKVIGPLCTIPVAEAGGRQLFMATSAKFPAREGRDIEETMARGT DGKEGSGVYSVSNDGEGLSAKTEEALRVMREEQDMVRKVWLHVEDEFVRITGCAFVA NEUTE1DRAFT_37836 MPTISALPTELVIFVLDNLDRLRDLAALARINRRLYDVVNPILY KRAVSRDFPYPLEWAAHNGVVGTLLKALDSGADPNHEFHQCTSSREWRKALTQRGQLH PGWDAASVCDSRSTLLAGSNDSSYGVQSTAYDDDESMSDYTDDGDNYTDHEPMFYSPT LDGDGRSVGRSDDSDGSGRWTQTKFGRRYTALHLAARAGHIGAISTLLERGARMDVVA KQFCSCWREYGILTSMEGTASIDGLYIPGWTPLHVAICHGHEQAAIKLLASGAPIYME LFPPWENSGFLEYPATALHHAAAMGLVHVIRYLVDVGLQTDIDVRDNKTLTPFYYAYA YRRWDSTVPLLLNLGANINVDIDIFLPYSTISPLGEACRVGNYEDADRLIELGADVNR GYIATNIGNGLTPLHMCAMPPAKGHRFAMLSAGGANISEEPETREQEYTKAVKHGLDR MRTIEKLVAHGAALETRDCPGDTPLIAAVQHFNVPAIKALIKAGADIHATNSRGRNVL MQAIDGPPLPISSMSPRVNINTLSRVLRELLNNGARIDHRDNQGNNLLHVICKCSQER LTPDLQCDILRLVLNIPGAAALMQAREDSHESLTPLMVAFMEGRLMCCDVLVRRGCWG GDSNPQRKEDLAWMANRCYLRNNNPDQHLMHFLLDLDVDGYLATDPQFAAYILHDLER GWVRHSGDDLFDPQRPVTFLGERLYNRSILLRGLDELDEDVLGKPKEDVKACIMDDPF GWARPLPDPSW NEUTE1DRAFT_98890 MSSPKQQEEQQQQEARDEQTKLHHTTSTTCPGPFPHSAQASTAD TAIHAVVTTRPQHQTSRTPGPFPPPAYAVIRKAALQVYVTSTPKPKGLPRP NEUTE1DRAFT_39932 MKLLSFTTALLATLTAAHPVFDDLITPFTPLDYKRAPAASLRQI SNFGSNPSNAKMYIYVPDNLAASPPIIVAIHYCTGTAQAYYTNSPYARLADQKGFIVI YPESPYSGTCWDVSSHATLTHNGGGNSNSIANMVDYTLKTYNGDATKVFVTGSSSGAM MTNVMAATYPALFAAGIVYSGVPAGCFYSQAGGTNAWNSSCANGQVNGTPQVWAKVVR DMYPGYEGARPKMEIYHGSADTTLNANNYNETIKQWAGVFGFDYLKPDTTQDNVPQSG YTTYTWGKGKLVGVYARGVGHSVPIRGSDDMEFFGL NEUTE1DRAFT_135757 MTSTSSPTTQPPSSSSASFASPPSVVITDKDDLPTLLIQMQETI SQIHTTIITLQPPSPAPDHGAGGGNPYSIASPEERLKINDLVTRRESAIRSLLNAFTA ETQFLSQKRRVEREEMLERRRAEDAELQRRRREEDELWEERLRQEDEERERTLEKERG EVERETEELMGEIEKEWERRWEEEVGRLRGLEGRRKELNRLIEEKLQVHTETPLPLNS RAIREARKASAAQMLEPEQTSQAQEEPVETHVNERGYLPAAAFENAPPDSDRYYKLPD SESEQEEEEEEEEPLAREETGSGLSQFKDKMASMSMDDLLNRPDELSVAAPVAVAASR PTSPVARTLSAAAVGMEEDMEEDVADTVSVGDLAAAEETSDEPVPGDKASDSIRERKV EIETKTTDTKTSLVDVEFRGLPFSEYTMDRNLRSAGFAEVDEEEEDVEEGEEGQHCGL PVGHHHLGSNDTAPVVVERATTEESRGRHDSLGIIHPPAAPAQDGHRARGAETDKAGR GTGSSPESETSDYTPYTQAPLGFSVSSADHQVGHGIDTDTNPPDTKAPTDHANLTPPD TGLPTHPTNPPDTAPANADSSNTDTETNRTSASNSDPTPEETSHTDLPNLDNIDTVPT LLTIPSNADVDAEADSSISTASERGSYTDINEPTSPISPTAAKAMEEDDDADFVPEQW QVGEDVQLQGMEREGEGEHVMGQGQGQGQKEGEVLMDEIEDVLDDELLEPDEQDVDHH SVERAQGCIDLAVAEIEREWEREHQGEHEQQHGGEEGQEQGVDEEAALQKKVIEKEVL QGLVDDPVGPAHDGRFELQEEATRARGVLEPYASEVDLGQGAAHDQEEAQKYEEAEGP VMGVEGVAEPCVTRVKLHPPGHGPEHEEEPEPEPVPIEELVREQEEMGLGRPEPHDSA EFEHDEGHTPVQILDTDHHPLDPSHIPFAVGVALTHSEPVQMHHTPIEEGDHEPAHYF VNQHHVPHSTDDYYTPTESPLHRSDQHQEHLNDSYAPRDHAEEQAHEEAHREREVPDV SEEVEEDFQPRYQLYERPYTPSDLAPTPGLEASFHSVEHAASMDGQPEVVVTLQPDTR VKTADDQREDRPGDDQDTEDDDDDEISHQLERVPSRQDITEEPESHGLSVDDDPDTAE RQHAVQTGDMHHRDMEPGPEHHSHREDYNEDVRVQEALSSESESEDFEGRDDLVTHHL DVIAEEECDEGEAELGDGVERRDMAAEAEKQTEGHVDDDEGDAAAAALSDEHDLPVDE TSNVTLFSSGIVHSVADAEAKTEGLVAPQDTVESAPDDVHGYDMEPNTRTFVREQHET EGTRGTDVDNLTEDSVYERIDEEGVETTSPEGQPAFAEARELKAAHPVMEQEHASHEH QVDEDQQAHANSDDNEYDPFRYSSPKTITEEHATPAEHPDVEGSLDKVLSVEEPTSVL SHEHAARAEKSLAEELEGVGEEEAQESDYGEEGPQREEHHTEQSPAGPVAIDDQVGHG YRLGGQETETLADDGHLHDHDNADAQPSQPCEPPVLQLTEPSDTENGEFPSETFSISY HPHAVTRGEDMGRDWSEVDQFLSESDYEDEPEQAEHVEEIGAAADAVAHAHPSVDVDI DAEVEAALETPVTEVKPADDTAELHDYHDDHVGIHHPPEPAQSQETNPESATYDDRHD HENRPSTPLDQPSQSEKPEAGDRETVTPAHPHDLPPLSIPTTPPGLAQDHVSHETQQQ PKEEKELVPRDVTNRASRSDTINTAATFATADTSGTVPTASSPQSARSGTTLSSGPSS PVEGPASGQDPRIRDMDSVGDNIGRPRGGSSLTDAGTDYSGGGYGDSLAHDKEHDITN RASTPKVMEMWHQREASLSRNEDLRLSGESVRSSSQNRVTSGSGSGSTGGGGGGGGSL FQRMRSVFEQSSSSPSSASAPATSTSHNRVSMPSFFSKSHTASPSQSASVFESTVVKQ RPMSTSPYPAYSSSHHRDHNGYGHPASTQSTERVEAEEAGEDRGLLHRDAADDTSSEG YDEGYERERERREERRREEWREGQHAYGEESNLPEPVYDEPELELQNRKTVVEEGEEG KGLVRVTSMKGDGKESRVKDWIRKNAENGTSKWAEIDNSRVPKEHDVGVCAHKVEVVG RGRGNERGRRWIDPRLRWNGDPQGPTKKTAVPPLYGLEVRLPPPSSSSLALPPNSLEA VNIWRQGVVKCPCPPPVLGGISSSTGIINSGLDLSVLPDLKSLPDSTSNDTSTSTNTR TNPSTNPSTSTPNPRCGKPHTDDAPNPHGHATLPPPLEAPPEVPPSNTTTNTPQQPKS ANTQATQLEPVGDLRLAHFFTQGWLARLRSAQSFIDRARMEREFDDMDRV NEUTE1DRAFT_59351 MMLWQPTTLLAALLAMAPAVQAGIGALIVEGMTRDSPAYHRRME EIMGSYLLRRGFLEERQAELSASSVGQDPIFTADGTLNMTAWNAQVNAACISTLRQLK QASNPSGTCVCYNLPALDNSTGVFEADLRLFRISDARDSFAGIAPEKISVGLRFTGAN VGAANPDQPVAGASQASQGAAAATAASTSSQAETALPSSGAVGAASVGRVGGSGDGEA ANKLAARQTIVQDLPSNGDPTLLQQYLLVGKIDADKMTGQMTMAQLQHLLLPIVTLSG VNPQGAAVSTNISSNEAAFVAGVFSKEVVLSDFNRAQLAVEDEIARLKNGTTAFVLPG VQIMIFPVGLVITSVWLAIAIAAYGYGTFVRYNFAVQYKQRRAVVERGGMARI NEUTE1DRAFT_78036 MAHRLPDCSKLRLFTKGVSVGSSFFTPAPLVGYAALRHPRAIST SNTSKKPAVAIPTGPVSPLVPATHVGTSSASTSEVRDGNAFATLPLTWPHDGWKENVL LNVVPSHREPRTFGDWVAWKIVRTCRFWMDLVTGMRPEQQVDSKNPTTALAASKPLTE RQWLVRFIFLESIAGVPGMVAGGLRHLQSIRRFQPDQGWIKSLLEESYNERMHLLTFL EMYKPGWFMRLVVLGAQGVFYNAMFISYLLSPKICHRFVGYLEEEAVHTYTRCLLELD HGCLKRWSDPNFRIPDIAVRYWNMPEGHRTMKDLILYVRADEASHRGVNHTFGNLDQV TDPNPFMECPGGGVVKAFPKHLSVTRPAGLEREEVVSKETH NEUTE1DRAFT_108260 MADVTIESPPPPNDAITALDDWINNNSDRDGSLRNLTADRQLAP QSLEHNPRFVALANTARCLSRYTIDGLPNKIFFNHIRYKYAVNSSNGLSQDRSIYNPL DRLPSKSFQLS NEUTE1DRAFT_108261 MCSISTTDSGASNKVRPWRTILRKSCNCTVPESIPAGTIPRSYV PKKHGWPLRIVQAITKLAVAVLQYLRLDLAYMGQQRSRARARLHLIFLEAEIKRLKTL FATVTRSAGETSQRSKLWTFSRAGRRTPR NEUTE1DRAFT_98895 MNQQTPSQSTSPAPPSSSPAMTSASPDLLLCQTFYRTKNPVVFD RDADTIILVGDTSPGVVEPPQRLFQIRSFHLFLNSSFYKRLFSEGCINNIPRTEDHLR HFKITLSDVDPEALFLTLRACHTGKVSIRPSTPNILDLVFRIAKTAHDLEFDIGIADP SSDTATLSQAAQGWLSEQRDDLDVVGNNLVDRWKLVKAAFYFGQLSTFTQLSVHLVRR LPEHFWVHLAGDIHRGVNTHYQNQVSREEMAIIAVRLLFLFYHILYRDAAFPPFRASI PHVSQIPARITRSWSDQARAAWDTRVQESSVCTCPWLPQYYESWTRGLELHCLDLFTK AFAGDESRCITLLFKTIAWALRRGVIAKPWDSCEVGVEPECGLVGGRAGHEEKARELV KELRSCLDVWKADLERDTVGGSDVEDSDDEDSDRHPGAERYTGIRYHEVEGPRAQYPH PSYSLP NEUTE1DRAFT_108263 MSLLHLSSFKLQQAQPQLGQFSSYSRCWGVPQTHTGVLEARSVD TSEQQGFWIPSNSILTSVYLKEMVWPASFLSSYLESKQLFNNLLALIIPSQFSYPHEG ILCSRSRQKSSNRLIKTRAGVIWSQPFKLSPLPFSLFFSFSLDSYLTNTNLPRPALGG QPPMAEAKAPARERPQPQDYHGLTRIFPPPNPNAVRRPVGGPVPHQARDWDHRRGRYN GPSCSHKSIPVGSCHISSHFLIVSSHVPVGILEVQQQRKPLAGVRQRPCHRPPTPSQR VQSRDCVNGSKCYNCGDFPCLTLGGISLRPTCVVHLDRRSRGYLHPRDVIGRLVISNL SEHGFIGNSSMGSHRPPVMGFGRGAAPEPNKRALYVGGLDPRVVIEDVLRQIFETTGH VQNVKIIPDIVGGAPRSDPGEERRSPEHTNVHVLVHICKREPEALLRERELNGSSSVC S NEUTE1DRAFT_40035 MKFLTFLSFADVVQISTWDRALQAVLKLQIDTPQTLRIRRDRFS ASTRQRNLCFRGFLAGYWAQYVADYGRDVQDEGRMAQARSVRDESSNKGSRKEEALDC LARLKDITHGIMDIRSIEGTLRDCQKEHFGTVDWKNRFIRGTNRSAMGGQRRFR NEUTE1DRAFT_98897 MSTIQGHNHHNNNRTPHQQPTQQPSGPPGPHPNPWISQTTPEKS TQHSSSAQPQAQGPKLLAPFIRGSASGQPLRAGLGTATAVAPVHQAPMPVSVS NEUTE1DRAFT_135764 MLPPAKQTTKTKGMSNTTTTTTTTSTPTTHTDPVLLLFLLALPP RTSPPPLLLPSPSNFSPSPLPSLLPILNDFVGLHPLHHAYSTIPHRGSRPLFLLHAML RPPQSSGHPNVAGTTITRATPVDRKTQPPQHNI NEUTE1DRAFT_59355 MGCLRLFRRLSRRSPQEDDALLNSQHRRGPRPYRDHEHKPKHKH TPSEDSSFSTDFVEEEKASYRGRSRTSHGCAAVPVIRPNVNPARSAYATPEVYRYGGA GPREDDRAPRRPANAEEKETGVNDAEEQERMDFLQMM NEUTE1DRAFT_135766 MAWSPMLWDVSVSFNGSQFVANNLLTDVYVFTGFDSRPQDTYSP LGPSTKTVTTPLMPAFYEPRLVVQSKSHDGDNSTSPLAPTSYRNTQRRTKRRVLGTRS NGRKKPSTPTKYIPKATEPNTRRQRRIYVAFSSLSSTETALIEIQPPQTSKKASGT NEUTE1DRAFT_144867 MSTTTETTAPPTITASAAGTTTINTSSTNTTTNLAGSPLSPTTM ASPFSPSSANMDPTQAVDGLVRAMRDLTADSNYKLVADVFGQFIVLKEKNERLSISHR EVLDEYHRYRSELDDWKKRLDKEKEGLEDVIQSKVQEVLHLHAMRAKLQGDLEDSAKR AAMAAENAERELMRLKEDKEKELDELKKDKERELAALKEDKEKELAALKEDQEQQLTK LREDQAYELAKLRDDMGTELSDMKSLRGMQISDLEAAKAALEKSKEELEKAAAQAAEA AAEEIAALSNAKTLLEAWKAQAEADLASLQESKTTLESDKTKNEAEIISLKEIVATLE AQFKAIDDALTATKAEFESLKITEAEKTTEIESLKASLKAAEEEVVSLKHTLAEKTAE IEALYSKLKAETIRADTAVARSADLQNRLDETEDSLQTAEEKLDILASYQIKLHTDSE DVYVEILDKIWTSIVTLVESTFRPSLPEPILSDPSCWANLRNSPYLKHATQLQIPLPQ SNSPAAKGMRISAVLAVLSRALHRHLFRPVYLLDDDDENLVKFLRVLEDENPARELHL RSTLLATMPERQIEQGARRVKTVVREVSWLVQHLLSALAFESFVSGLEQACKLACEQW MRIQLASMKIEPYFGPPYDDFDWQVLDLPEFVSSNSHQQRPFSVTLTDGGDGDDGAST IGAGAPLSTPGPDSDSKGHNLAHSHSHSHLHNHHEDPQNSDTPQEEEIDPDDILLVVW PSMCSVEDGDIMSITQGLVISKEQARPALEEVRRSKSQGGSGLNRDGSLNSNNGAPGM PMRPGSTTRRGAARGMSIAAEDRRRGESRSPGTSKRKSFFGMGGGDKAEKGEGAVTFP LLF NEUTE1DRAFT_33512 TPLALAARLDQRDIMHLLIAAGAKVDVGWNKRSPLYEAVDAGYV ECFAILLNYGASVNVLDASGRTLVHVAARCNNVEIGKRLANRVIDVNTIDFDGNTALM NEUTE1DRAFT_17654 FSALLLSSLLLLPALVPLVQSYDFSPTLPVSAVPDAQKSDWCDS HSSTCRSICGTGMPGTDLLGVRINVCSYKTLQYECICNKDNLRPKMELFHYTVPGLMC EAAWKACRQTNENDPKMVGECDDKIRKKCGHWRTKDQETKNDGLYGFFKPIMGGGKAG GKRR NEUTE1DRAFT_39580 MFSIKAAALAVLSFSSFLVDAAPAPICPGTNVTSTDTNVTAPDR ADSSGYKNVVYFTNWGIYGRNYQAADLPADKITHVLYSFANLKEDGTVFSSDTWSDTD KRYPTDSWNDNGTNVYGCVKQLYLLKKANRNVRVLLSIGGWTYSQTSPSRFALTASTA ESRTKFATSALTLVKDWGFDGIDIDWEYPASETEAQNFLLLLKEIRSQMDKYAAAHAD GYHFLLTMAASAGPSKYGVLESSMKEIGETLDFMNLMAYDYAGAWDKKAGHQANLYPD EKNPDTTPFSTDRAVTDYIKFGIPSNKIVLGMPLYGRAFASTDGPGTAYSGVGEGSWE KGIWDYKVLPKSGAKVFLDEKVGASWSYDETNKVMVSYDTPEMVKQKVSYIKEKGLGG AMYWEASGDRTDKDSLMTVVKDGLGTLDSEKNLLEYPDSQYDNMKKGMSS NEUTE1DRAFT_78048 MAAIPPAAVVDTAGPTGSEEIHVAPSLPLTQKLKYGALAYGITK LIKTPLNLITAIKTDYLGTSPTNPDIIKALPSRPQLPVRVFLPKSHSDESPSDTKLPT LLTIHGGGFVIGSPYDNDSWNRRFVQLAETAGTSFCVIALDYRKAPSYPFPTAIHDLE AVILDLLKPDSDSSNHGGDLPIDLDRVAIAGWSAGGNLALAVSQLPTIRPLIKAVVPM YPVLDFVTPSEVKATKSRRYKSALGGFRGKDTDFLMGLSGMFDWAYVSPGQRMGDPLL SPVYAVTVEEDATAEGGGRVVVKGREKFPANVFVIACELDMLGGEAWRLACKLGGKKV PGVEDMLGREEVGEEKGKLELEDERFHWQVEVTDSEEDGQTQVKRRYRWLLVPDAIHG YDQDGVGRFGDEELVGDGMEKREEVMKIIRGWLVDEVL NEUTE1DRAFT_120659 MSAADDQEKQNPTAAQDGFWHNGNFFAWAPPVTKPPLARIPSRL VVAAILHDPTKDVAPKCTTNAPKKDTVNAAIAAPCPSTETAVVTAPALNQHQPQSISA YSQTTESKPGANTFVSHNGHIFAWAPPPVSKRQPDCAATFSQPTPEHSFSHNGHSYAW AVPSVTTRQPECQTEAPAQSKPDTPTKAFCHNGHSFAWASSEPKRQSDSTTKAPVQAP LSLSTQLGETQKRMEELGESLERSRVHIERSQFHLERTRAGILESLAKSSRAGTVQPD PLPFPLPRSSPPRSFKDFQDELIEARRLAVSSIARNQEIRNRLSNHGDLDNKLLAMTS RFLGERLHSQPNPQGHVAQGPISQQTPEPLPKNATIEPPKDLPSRYSFANKTDKPQDH VPSRYSFTADPVANPKSVVTGQGQKGHYRFSLLTDKLVRFEWSQDGGFEDRASTTAFF RSFPTPEFKVDDNKDKLEIMTKYFHLTYDKNEFSSSGLTVKVGNDVWRYDGKSYGDLG GTARTLDRADGRIPLEPGVLSRKAYAVLDDSASMLFEDGWIATRKPGRKDGYLFAYHG DHKAAIKDFYRLSGGQPLLPRWALGNWWSRYHAYSDKEYLAVMRRFATEKIPLNVAVI DMDWHKVNIPAKYGSGWTGYSWNPDLFPMPENFLCNLHDMGLKVTVNDHPADGIRAFE DQYKIVAKALGHDTSKEEPIRFDCTDKKFLDVYFDVLKANLEDEGIDFWWIDWQQGNR SRIPGVDPLWVLNHYHYLTSRRNVKATTTPITFSRYAGAGSHRYPIGFSGDTLITWAS LHFQPEFTATASNIGYGWWSHDIGGHYAGVRSNELTARWVQFGCFSPILRLHSEKSQW NSKEPWLYEPEARKVMTDYLQLRYRLIPFLYTMNVRACYEFEPLVQPMYWNHEDEEAY TVPNQYYFGPDLMVAPITTPNDSATLMGSVRAWLPNTGRRYIDIRHPSLVYDGGRYVD IHRPLSEIPVFAKEGTIIPLDTAKSAKYDKHGSSRPQAITIQLVVGADAYFELVEEPS SSVPAAKADRPDPSTLVRTPIRWNQKEGVLTIGPEVNGRGQGRDWRVELLGCTKELYK NVVDADDDRPKFTGRPDVQKFDRFRERVRARFRDASHPNSSGLFSHGEQAQSLHSTTM YLGTHAFGGDNADKKREFSLGKDLQLDVVDIPARLHEMLYRCEMEYPMKEVIYNLVTR DGGPVKERVQRLWELERVPMSVKKAVLEIWGADGRSEGNAVEVMNEVPLQEKEVVVPK TGDVVKSEDEWDEDARSEQSYEFV NEUTE1DRAFT_78053 MQADHQQNQHQLDAGQEASHDNGEKQQEQAGQASQPVTELTPAV PISAEPVSLLTGEPPSEAPVVEQPPKYSIHPAWAKRLIIFGASISAFFSPLTAQIYLP ALPTVADDFHVTASKVTLTVTTYMIFQGITPMFLGGLADSAGRRPAYVICFVIYIGAN IGLALCKNFTSLLILRMLQSAGSSTTIALCQATLADITTSAERGHYVGITALPQVLAP SLGPVVGGLLTNYLGWRWIFWLLTIMAGVNLLGLLMFFPETCRKIVGDGSGRKDSHWI YWTGWQLLRDNLAHPSNHNNGLHLTVSTASAPRQKIKLSLTTPNILQSLTLLFRSKQL AILLSYSAIVFSGFYAIGTAMPNQLSTLYGLNDIEIGLMYLPMAGGSIVTAFVIGKVI TWNFHRHARRLGMVIDRSRQTDLTAFPIERARLEVGLPLLALTSAVVISWGWAMQSKT SLAAPCVLLFLLGVGMIGFNNTVSTLVADIYPGKAGAATAANNLTRCLLGAASSAVIL PMVNGIGSGWAYTIFGGLYVFFAPLLLVLMSRGMRWRAEEKWKDEAKEEKRKQEQKA NEUTE1DRAFT_59372 MPNLGGVNCLPIVFQTRKATSSSTLNMEKGTSLPVAYTPTPAPA STTRCKSLKTVVKALALGLPLYALYAWHTNQAIIPSLSRANVNFASQCEQPPPLKPSQ NEKLDKAYDFLSTEAFLNASVARLSGAVRVKSESFDDLGAVGEDPRWDVFYDFASYLK KTFPLIHSKLQVDKVNTHGLLYTWEGSNKDLKPTLLMAHQDTVPVPPETIPAWTYPPW SGEYDGKYIWGRGAGDCKNQLIAIMETVELLLEAGWEPKRTILLSFGFDEECSGRQGA AHLSKFIEERYGKDSLAVIVDEGAGFEKTWGTLFAKPGTAEKGYTDVYITVRMPGGHS SIPSDHTSIGVLSELITRIEAEQYRTHLEEENPYFTQLQCGAAHSPQFSHKLKKLLAH RKRHSSSSSSSSSSAETCKTKDYLALEAAREGGPAIKYLMQTSQAVDVISGGIKTNAL PERVRATINHRINIGETPQVVYDRLTNLAAHVAKRHGLSLHAFDSPPSSSSSFDSSST DLEVEPPNSLILSKSSHELLVAPITPSSPTSGGPFAVLAGTTRALYGEQVIVTPGIMT GNTDTRYYWDLTRHIFRWGPGYDPSDDDAGLGNIHTVNERVSVRAHVNGVKWFWMFLR NMDEVKGE NEUTE1DRAFT_144873 MVIGSSPNLSGLKYIALILPLLFTVIYFYHHRPAILAEESTRLR LLPHEPNDFWVEFFARLEAARPHSPPLTFKEKVDALNWVPDFDRARPEIWKPNPKEVS DLSKSHAAFIKTLPEFARHLPYDADTTGIVTTAGKGNFGQVITLLVMTRQSGSKLPIQ IVIDSSSVWIDNLCANLVPHYNAACIYLEDIWAHLNPHPPKFDRFQWKFLAMVTSTFQ NILFLDADIIIANNPDKIFAPGAEPFQSTGFIAWPDFWVPSGSKYFYQIAGSIPVPQL TDRASSESGMIVLDKARHADTLLLASYYNYHGPTHYMAILSQHGPGEGDKETFLQAAY VLQELAKRPVEHGGYRPPMEWTKLPSAQGQKKGFYDVKKMPKAHGRSANGKWRGMFMK QMDPVEDWRALQQAAKEAKHPAPIDTHSPFLFDSSWLSTVGNLTLKQDQKKMMFFHHN GVKPDFSDVVNSKTGLVEMDEKTGKLLRLWGDPKWIIETTGKDLEKILWLSTMEVWCR LDEDEFDDVCGRMSEIYEAVYAEK NEUTE1DRAFT_135777 MASKEVESTGRQVDEYLYEPPSVSSIQDLKDMYNQLKDTILVSI DFECVDHLSFASYYERLSEVGMAWYDPRDHRSPSRKFTVEKALPKIRSVHCIMRKYKN FTADSCFVHQTVEAKSHKAMPYSCYFAKSYFCNREKAMAIITNKMKWLSTRRLSRKET AAGKKRKVIVLYWDARLETSVFREAGVDITAHGAEQWDFQLLRLFHMRFRRPRNKAEE MLYSLGVSLASASSDFGSKGFAWHNATNDCWATVAGLLQILSMAHQPLKWKRWLEEEK SDDEWEMILWDNNSKERRAGTDLEALDMSWLDARKFKRNAALSPPPEKWPKDSSGQRN SKRYEVDDCICALEYGEIT NEUTE1DRAFT_108275 MVHITATEPAPVWTYKTLGEFRHVLKQQDPVILSVDFENVDHLS GPGITQYQKMSEQGVAAYDTRNRLSRTDHPHPSDGSNDRLESLAKLIVSEHIITEEFR DKTEETCEAPYHRHAHLDPGRAHHARPYHCRFVDSAFLTKAETMGRLAEIVKDLSTQN LTEQEKAAGITRNIKVIVWDSHCEESTFYYGGLDLASLCPNIELWDIQIWYPFRARFH ENPNSRNAKTKGEKAFGSLGALGTGLPLHNGCNDTVVQLIAFLRFMLMTEAEWVTWFD HKIDLAPISFGWVDPAVYQKNLAIAPIPRTKTKSRGQGKGYQRGGGNNWKHQGPGQTT SWKPAEATTATASNSMLGLPVDEMANLNLEQSTSGKATPSDRSGTGWPEGMDGFDDWS QSSKDSSGDNTSSGWPDDMDSPDQNNGFEDAQDDRDCGRGWPNGEDNGEEHEHSDGTV KALNSNHNDWSHKAPRRNKRGRKKRYVEDVEWAL NEUTE1DRAFT_108276 MHETHATEQRFRHGSLRTGKTFNSNTRSPRGNHDTTIAHSHQNQ QSLQQRVAVAQIESIAKDITADHIVIDQWKGITEMTCPAFFHPKNTKKPSKAPAPHKA RPYHCSFARSTIGLSKEQRVERLKDAIRQATISNLTGDEKERGAQRQVRIIVWCAEME NEUTE1DRAFT_135779 MYSSDSDFLDSDNEVVAPRNGGSKTTAAPPPVYSFDELQVFKES TKQAVFCAIDFETVDDHIGADLQKMSEIGVAIYDLRDSSSSPETTTNTCTSTSNTILE DLAKSTTAIHLLVEEWKHETETTCKAFWHRDRTKKAGVPHTSTILGRDQSIEKLKAIL QSLTSQNLTSAERENGDQRQVRLLFWDSGLEDRIFRQAGINLHELGSDIQAWDLQAWS PFRIRLDNGKNNGQAKGEEAFASLGVLGATDHVGNPTTILHNATNDTVAQLLAFLRFE VLKSGEWMAWFDDRINLSPISFDWLDESIYQDNISRAPGNQRRHQPRKRMQGHGNHIQ GKHGQSLDAKQSSSWKEPLHGGNYNRQNGTPGSSNI NEUTE1DRAFT_38714 MVVKTPASPYVWDFEGLCAFRDTLKDTIIVAIDLEGVDHLVQKR GQPATTAYDKLSEVGIAIYDPRDNLKTPTSNPADIESIGPHIKAQHTIIHEFRRVTEE TCPAFYHKKFGNKPHSARPYHCAFARSIVKTKEKALNDLKDTIKQLCSQNRTDAEVKS GKDRSVRILYWAAHMEETVFHLGGLDLTAAGSDVKIWDLQLWSVFQIRFHKPQTKGEE VFSSLGALGEGFNLHNATNDCVAQLLALIRVLSMKEAEWTTWFVQYIDASPLAMDWLN ASILQHNYNMRPRSLHERNTRPNQGTSTQRHERLPSPPKRVTKPTPRYTSNDFDSQAP SAPGVGGMVPGGTSSNHSAEEKTAKRSSGKKHTSGSATDQWWAAYEARKDKISSTMAT YKKPIAAVAPSTEEVGTGWPAEMEWAL NEUTE1DRAFT_37796 MSLSGVVWWVTPAGFFMDQRPGVVDEMCGLDVLGKLVKCIPAVR RQSRVKNRDSQFGRLAIGNRFRFQAEKMGLKAVMDKSKMSHVHEPEAPRPGLYMNTNF VRCNDRYKIPKFSEHLQSQKVQTNQRL NEUTE1DRAFT_40727 MKSTCPPPPAVHSYAELVDFRKTYSDTIFVSIDIENIDHQPNER PMQKLSEMGVALFDLRSGPSNSEVERIKAIQVQHTIIDEWAWVTAKTCPNRRKAWHRG AHKPSPYTATFCLSDVQSSQKVMSQLTDYFRILQNQHLTETEVADGVRRKVVILSWDS NAESQLFNDYKYNFTGSNNIEHWDFQLWLPVLNRFGHGNKTGAERFYATTGILGSEDH SITLHNASNDAWAQVATLIRFFHMSKEDFSHWVQAEEDLKPLDLSWVDVQILHHNTAL ELEYKRFPNKNGLSGPSLAMRPALLGQAANRNGHHHHKPSPPPAMNDTSVFPGLPPRI QEIKAPPKTVWGAKSNARNLFKSEESYTTGKSSGLQVPRSPEAKPPPKSCWSVPLCFR PSSSSPSSSSATTSPTQLTPASTPPSLTSASTSPTPSRPTHTTDSNAPASSSTATIDK IGSNDLRSHHKLASARNCSDGVAGPAMENGQCLSKNAPKRKKRGKKKQVTGAGKAFDS LGALGAGAGGMAVLHNAANDTFTQVVAFLRFMVMTEAEWKNWRGRSDYTPGDLDPVDL SWISGEVWKANQELRPVLQLGEKPQEVEDPEDPEPEPVIVHHSAMAEIEAGVTFTTVG SAMELRSWADVLRKPAEKIINPTSPWSPTTSWSFTSWSSTTWTSSSWESWEWKSTTGW NEUTE1DRAFT_120664 MAFWAHHPRPVSLCRLLFIILTDSVALRSFHRRDRYPPISVNQY LYQHDYRLFRAMKKQLYFPPLPPSEGEESQKPDVPESPSPSTPRCPVRRPPIIWHHDQ LAEIAHDLRDTILVALDFEMIDHPRHQKVLSESQRMSELGIAVYDPRDATAASSTHTI EERLQKIHVRHYIASRWRKITPMTCDAFMHTTWPGKGAKIVEHVAHPYDGVFARSRIR PAHAIRDKLQDILNRLPTRCLTAEEVAQGQRRPVILLFWDARLEIKIMSQWGIQLPAY PDPKKMNIELWDLQKWHNLQQARRNAETSDSAYNLLEPLGVLACGHNAGNDVFAILAA FLYLLHSEEEVWSRYMKDFTWFPEEYMVKLDWVDAEVAEYNERLDPNWDVGSCLGCFF NEUTE1DRAFT_78063 MAPLVDNAQIQSAELLRPLPLYLHAYVWPFAIIWPIFFRYYLDQ DLYDKHINGQEWTFVWSGTIITIQALVWLCTHWNINLRAAFTAKKARSVNDAQLIKVI PVANAGISDICPIVRDTAGGKTNISFLFQKRRFLYNAETNSFSTLTYQIDDEPKPKLE VFQKSKGITTASELDRLEQHYGTNTFDIPVPTFTELFKEHAVAPFFVFQVFCVGLWML DEYWYYSLFTLFMLVVFESTVVWQRQRTLNEFRSMSIKPYEIYVYRLGKWIETTSDKL LPGDLVSVTRTKEDSGVACDMLLVEGTAIVNEAMLSGESTPLLKDSIQLRPGEAQIDP EGLDKNAFLWGGTKVLQITHGNPDEEKPKLASGVPTPPDNGAMAIVTKTGFETSQGSL VRTMIYSTERVSANNAEALFFILFLLIFALAASWYVWDEGVRKDRKRSKLLLDCVLIV TSVVPPELPMELSLAVNTSLSALAKFAIFCTEPFRIPFAGRIDVACFDKTGTLTGEDL VVEGIAGLGLGHSGTDTPRESDGAHSHMTPVDEAGLETTLVLATAHALVRLDEGDIVG DPMEKATLTALGWSLGQNDMLKTKTGAKSTVLGNVQVKRRFQFSSALKRQSSVATINA TDSKTGQKLRGTFVGVKGAPETIMKMLVTVPKDYEETYKYFTRRGSRVLALAYKQLST ENELGASKINDLKRENVEADLTFAGFLVLQCPLKEDAKQAVQMLNESSHRVVMITGDN PLTAVHVAREVEIVDRDVLILDSPEHSAHGEEKLIWRSVDDKVNIEVDPTKPIDPEII KTKDLCVTGYALAKFKGQVGWKTLLRYTWVYARVSPKQKEDILLGLKDMGYYTLMAGD GTNDVGALKQAHIGVALLNGTQEDLVRIAEHARNNKIKDMYQKQVDLMKRWGQPAPPV PALIAHLYPPGPSNPHYNAAMEREAAKKGITVEKLAKSLHHTAAIETVTSPGAQALIN QDPKQRQAAAAQKASSFADKLTSGMMDMEMDDDEPPTLKLGDASVAAPFTSKLRNVVA IPNIIRQGRCTLVATIQMYKILALNCLISAYSLSVLYLEGIKFGDGQITISGMLMSVC FLSISRAKSVEGLSKERPQPNIFNFYIIGSILGQFAVHVVTLIYIARFCDQLDPRSES VDLEAEFSPSLLNSAVYLLQLIQQISTFAVNYQGRPFRESLSENKGMFYGIVGVTAIA FACSTEMVPELNEAMKLVPFKDEFKWTMTVVMILDYVACWLIEVVFKYLFSDLKARDI AVRREDQLERERLRKAEELKKLEEVKRKKEEEEEKARMEKVAEFERRLRARMGGSA NEUTE1DRAFT_59383 MFAASRIQTRAFSASARQLTKVSVLGAAGGIGQPLSLLLKLNPR VSELALYDIRGAPGVGADLSHINTKSTVKGYEPTASGLADALKGSEIVLIPAGVPRKP GMTRDDLFNTNASIVRDLAKACAESCPEANILVISNPVNSTVPIVSEIFKKAGVYNPK RLFGVTTLDVVRASRFVSEIKGTDPKDENITVVGGHSGVTIVPLFSQSKHPELSKNEQ LIHRVQFGGDEVVKAKDGAGSATLSMAMAGARMAESLLRAAQGEKGVIEPTFVDSPLY KDQGIDFFASKVELGPNGVEKIYPVGPVDEVEQKLLDACLVDLKKNIQKGKDFVAANP GK NEUTE1DRAFT_135787 MVTITMFLLVYVALLFGQIPSSFAAPEAHIEGIQTTTRSSTLTV GAPSPKSVETVTVHDTPAPSPKTVIKVEQPVNSASPPAGTKTVIKSTKTPVDLTEDYS TRYPWDQNGLKRKIEIRDVGIQEIEVPSGWTPPAGFPTSFPYGRIGKREERDVESADI YTSYRTFTVIPPPVAAPPPPPPPPSQPQAPQLLPGQIPTTRSDIDNIVVKQGEWEPAD IKQLHIFGWDADHPELVAIGGKYANMVSTLVKSTPPPPPPSAAPQPQQQQQQQPSAQQ QQQQGVPHGTYTIGTTTVQTFETVVTKVRTWTSTIVIPDATSISVSGAAQPAPAPSML TSLVTIPAGQLGGLNKEPTVLSVLVPVEKPSAAAAAPAPVASASAANTPAVPAAPAPP PPPPPLAAPQVISTSYKILSLVHNTPAAPAAPAPAAAPATTPAAAPAPPATTPAQVEQ APSSNSASASVNEQSASVSQGSQAQAQAQAQAQAQAQAQAQAQAQAQAQAQASPKVQE EKMEGEKKKGDNVWGTVVPGQNVVVGGKTMEVMTVMAGGP NEUTE1DRAFT_135788 MNNIIEDTLPRGRPYSETLNTIHLYKGHNIPLKTLRHEAGLHAR NLRPPGDGPRHRLSHYPQHREKNIVTVSATTVSNIMHPTTSIVALRSEVSETAEKSDT DVNESDKSDESNYTTSDSEHEISDKDASSSSESHLNFLTRRSGLPKGLHCAHHGALWF AGCIKNDP NEUTE1DRAFT_59384 MSAQGNGAFLEYHEPDIVSILILISFFFFLAVSEWVADKVLRAG LIGQIIVGLIYGVPIGNILALEWQETFLALGYIGLVIIIFEGGLTIRLDLLWQNLFLS TSAALLGVLTPIALTFALFYAGFGYGPLEAFIVGTALCSTSLGTTFVVINSASSKHDF AQTRVGTVLISAAILDDVCGLVLVSVISQLRDIENANLGWVIGQPILASGLMAILTPL AAKFVLGPLFRLPAIETRFFRKFGHVANMVLMVLVLCAFLAIAAYAGASVLFGAFLAG TVVSSLPSKQHVRHSEVSDTTDLDNNAKQKRPTFGDTFERYIQDAQRFILQPCFFASI GFSIPFIELWTGEVIWKGIVFTILMVSGKLVVGLVVPLWDLIANRKPDPSEKALSTPP ARPNWSSAAFLGTAMVARGEIGLLIIQIGLNETPYLSRKAFVIAVWAIVLNTVIGPVS VGTLLNRFGSAVAEDPRWGIQAINAGGEVNRKPEVVSEGTGTVAL NEUTE1DRAFT_108290 MFQFYLWAFRLFALLSSLATASRIVLEEAGHLPAGWRVDRHATA SDRIQLSIALKEPGIEELKRRLLQQSTSDSHPNSRHLTKEEVEQHRQPDQKSVTAVGR WLQSHGIKSHNADNSWITFDTTAATVQVLFEADLAYYSYNGDPITQILRARSYTIPKW LNDDIDFVYPLTHFMPPRHRNDSTLRLSRRQPTQLELSAREDFFAPPCWTGTFPGCIR KLYNLTYTQPLDSHSPSPVRFGIAGFLEQHITHRDVTSFLATYAPELLPPTPTPSRGG SGGSLTLPPVTNTTTEPPYNITITLLNNATRWDPHSTDPALSGLEANLDVQYALSLGH PTQVIYYATGGRGTKLDSSGRPLPTNDPRANNEPFLEFLQALLALPDNQIPHVLSISY ADDEQSVPRKYAHRVCDLFAALAARGTSVLVATGDGGAAGIGFSAGGGDTCIKNDGSG RRAFVPTFPASCPWVTSVGATDNTALNLTGAAFSSGGFSEYFDRPHWQRAAVDPYVSS LFRSQSSKPGQPSQPRDPKGVYFSHNGRGMPDIAAIGSGFQIVYRGEMVEVRGTSAST PVVAAMVALVNDQRLRQGKRSLGWLNGHLYSDPRVRGVLEDVKWGRSEGCVFPGEVLE ERKGKGKGKDRRHSLVEKRQGNSTEDGSIRGREKEGKGDEEEGDWEGEVGVGKGEKSG NVILGGWDAKKGWDPVTGLGVPGDFQDMLKVLGSVW NEUTE1DRAFT_116226 MASCLHALMPRGRRRKHNVKGLDAHMAALIEVDWRYFAGIKISP NIRVERVSTFQFPIPLVTAGQRLNGFPLRPLYNTLSVRIVQYRPHILH NEUTE1DRAFT_120669 MASSPKSPKSDQASNPPAPVAPGPGPTPLTAEEQNAAGLLPASH WAAQPLEEEATVDDGASSLGSFISSSASLSSTIFQYRTIHGRTYHGDVGNAEAYEPND QRHVEAMEIFHHAMMVQLDGQLYLSPLDKKSDFADEYPNAEVIGTDVSPIQPSWVPPN VKFEIDDCNLDWTYADDSFDFIHMRMLAGVVTDWDKLFRNAFRCCKPGGYVESLGSGI HFLSDDGSVKEGTAMHQWGKVFGEAGKKLGRPFTVYEDDLQRKGMEAAGFVDIEFKDI QCPMGVWHPDKKAAERGLWYKIAVEEDLEGYINYLLNLVMGWTPEETKRFAAHAKKEW NDPKMHGYFWLRVVYGRKPE NEUTE1DRAFT_59387 MAAQTAQQAPLLSNEEQTAVGILPASHWQQQSTVEDPNDDAASS IESFMSSTASLSSTIFEYRTIHGRTYHGEMGNAESWEPNDERHKDALDIAHHAYTVVM DGKLYMAPLDKKKVQKVVDIGTGTGLWAIDFADEFPNTEVIGTDITPIQPSWIPANVK FELDDCNREWTWPDNTFDFVHLRMMFGLIEDWEALFRQAYRTCKPGGYTESFIKCGTF FSDDGSVKDDSAMAQWHRVWNAAGKKMGRTFEVYDHDLQRKGMEAAGFVDIQVQEYYI PVGVWHQDKNLAEKGLWWKIMMESDLEGYLNYPFNAVMGWTPAETAVYAAHLRKELNN PKIHGYFKARSVWGRKPE NEUTE1DRAFT_78072 MAHNTNFPNGGPNNTASSSADGPRKVPISMLLSNEEQTAMGILP ASHWQQQFTDDENDEDVVPRARTASLTESIFQYRHIHGRTYHSDLGNAESWEPNDERH IDAMEIAHHAYMVTMGHRLYCAPLDKNKVRKVLDIGTGSGLWAIDFADEFPEADVVGT DVTPIQPSWVPANVRFELDDCNQEWTWPPNTFDFIHARMLVGVIDDWYLFHRQAFRTC KPGGYVETLVACTTFQCDDGVWHPEKEAAEIGLWWKLAIEADLEGYLNYIFNIVVGWT PEETKSYADRVKKEWNNPNIHGYVMARSAWGRKPE NEUTE1DRAFT_144881 SSRLHHQYNLNQSHRSNNIKTTPTDRNGFCYQRNHCRVQRRSFH CHRDSHLGPGAQEFHHQLRQDFHPLSACGRHHREYQRQRHD NEUTE1DRAFT_116229 MSSSAQSPRSPKSPKSPTGKATSPAPATSPAPATSPAPAAAPAP LSAEAQNAAGILPATHWTEQPLPEEDVNDGASSLGSFNSTTASISSSIFHYREVHGRT YHAEMGRAEAWQPNDQRHLDAMELVHHAVMVTLGGKLFSSPLEPKKVQKVLDVATGAG LWAIDFADEFPNAEVIGTDVSPIQPSWVPPNVKFELEDCNQEWTWPENTFDFVNLRLL VGIVDDWYALFRNAYRVAKPGSYVESYDTGCHMLSDDGTVKEGSALEQWGKVFREGGK KLGRTFTIYEEDLQRKGMEAAGFVDIEFKDIKLPYGGWHSDKELAEIGVWWKMAIEAD LEGYVNYIWNNVLGWTPDEAKAFTRHVRRDMNNPNIHGYIMARVAWGRKPE NEUTE1DRAFT_40312 SSSKVSVSASRCGNATLTNGTTARPQFFTLTGHYRGNFDCQLLN IRDGDEFCLVCDPCRAEGRYFIKADIRGNGNNMQLVLDIALVAIPNRARKRGKTLREA IRDFQARRRLDAQDFLNHIAS NEUTE1DRAFT_135797 MSGGEHVCIGIDFGSTGVRAFAWSVGKTGKYFPNLHHLRVGLDG MSYPAHGYIYDDNEPIYIPRNLAVDREPESLKYGFYLLSGDPHKLMDQYPLMKRLRDT CNDQIRNKLRTGIT NEUTE1DRAFT_135798 MSGKENATTSKDQPNEQGYVPHKPFTPEFVKINDEEDEAWRKTK HKLEEVHQQAMKVFVTFTEELITYGKKWEETLKTLQAYKKRYKDLQDDFTTLLNSPMK SMVLLDKQQFWQIPLMVDHDASNSLDGWSIRVTPMRTHPWYDANFKDRIQLDSAENAD FLPRNSSPEPDPFRVPRTRENPWGNPWFEVHHLHPSTLVPDYAAKVAAARAALRAKDP KNIAYWEVDEEPTVKATASSSSTRPNVPSASPAATTSNTQQPTEPTSTTAPAQTARSL RAQTRAGHRDPSPATDGTNPTTRARSRSSSPEPFNRSRKRLAAKEKGKGKAADVVENN TAARAATSPSTTTAATKASASASGSRALSPKKDKGKGKAETASPGPSTFSSSAVAATA PVNNNNNRTNKITKSSSSKPAAAAQRATIKRSQVKKGKYWAFKAKQHLLAAAGFGTST SGKKNDDDDDHDSDDTGRDADEGRHNDNSNKFFILTCPAPELLGVCLGDTPNFDRHPF KRNRAVEHFVECGVEVEGEEEIFARFAMEVVQDTARWPVNDEWARKHNQGLIGKRDRD GDVAMEDLK NEUTE1DRAFT_108297 MRFRIEDLQVMQFYTIGEREWRVGEALQVCRPYLVVRRGTHQTV ETKEMMQCFITTHEWNAHLHLCNKNAMRLGVDAWRSWDSFTLEVDKLACKFNGKVSLL ECYHFMTVMVKFVDGITITTIRCLMVLTSQRKDPARIERYCVSNFKSLCDGMVMRGFP TSKGFCLVHIAGCPVDMAKCPQSWDEEPLGYLPESSRVAAGAEVNANLDLLRQTKSPS SLRRHIHFKTGRIAIWDICTRLRGFPSEFASNSWQLNFVRRHTASIHPVATPIDPVVV VGDRVRL NEUTE1DRAFT_116231 MAQPQVRRRTSLHDKLKIWQKPLPLLDTVPDNEAERPRSSYRPK HAAADFSRLTTTPPPSILNVCRPQITESETSQISPSQTRRAYRRPESANPLAEMRFRG QRRDMASAFLQQEHSKLAHPPRQPPQTVKRSVPLTDYELFLARAELEERAHRDLLQTM NAQLHDSLHDLIRPDPHQQFASLSPTRLSAGSTAVPGISRTTTGNKSRESRTSWAPSS ATGNTVAEIVASQQHEESLGAQPHHVSSNRQSLPDAKSHSVVLNGVKASIHRSGTVGR ISDDGHAQQPRAPTLKRQASFAQKIAEYIRPPRRDAMDICRNETTLKLTRSRSQAGLR RLVAVPIHHIEALAE NEUTE1DRAFT_40572 MGALVSRASRSARYTLVFHAEPQFVDTVKQAVFAAGAGRYPNYS ECCWTATGTGQFRPVGAANPHTGAVGVLEKIEELRVEMLCDSEETARKAVEALKRQQP YEEPSYAVYKSENF NEUTE1DRAFT_128283 MRLINAHTLEIEYFTGTSIPKYVILSHTWLAKEATFQKWTNKWT RLTHKHRPGFHKILATCRQARRDGLEYVWVDTVCIDKSSSAELSEAINSMYTWYEKAT ICYVYLSDVAASGSKANDDTFDSLRKSRWFTRGWTLQELIAPEHVQFFTKDWELLGAK KALAVLISTITGIDAACLKKEKRLRDYSIAQRMTWAAKRETTRVEDLAYCLLGIFGIN MPLLYGEGPKAFMRLQEEIIKVSDDHSILAFETNLSDNTLFAHHPSAFVKGGCIHPNY GRHLTLPFSMTNAGLAMTTPLIQTLSPYWVLAVFNCVEVDIENNMQRSQICLPLFGKD NQFMRARIPISLISRKIDDPYTLNSTENLGAVAGVLDLTPRSETSYYISYFGRVYSAY GREIDVAMKGFQVYPQPDHGFMITFPRGMAGYRLHTALPRQDLRDDISFFIPTSRYVR SSDSSQKEEEMHICSGLVVFKNDSLSDDDPSKCVGVYLAFVATTSSIASDTTTSTTTL KTTTSTGGTQDLGWACKVSPTREFYNNRTNAKQVLAMANQEALLGNCPHYHRCENTIV SARTRFQTPKGEPCKDTVMVEIVFDAEELVRERDAEERDFE NEUTE1DRAFT_98927 MSDNDRVIGNPVQKAMDAVVEAWESALNKIRECKQEYQAQLEEL AGLGGIPTPAQALGVLTSFYERVKGLRNTLNQPAPHEALSQVEVAPANAAWMAAVQAA VTPAPRKRLRELVLPETQVAAKSAPVNAESSEQQGTTAELEVESPSASCGSQGEDVNS RKDKGKDKTVDTAATTTATPTKLSGNSKSGSGSIVSKKDIKGKGRAKSNSPSPEPFSA KTLQRITNTVRKTNRLPLIKPVSMLKFARGPAAAAAPSAPGPSRPEQRAVTPEPEPES DSESESVYLSVLQFHDSSSSPPDASSTPGPSATSAAVAAASVPGVSASYHTEEQSIVR TDDATSNDEVVDFDPTTTATRVNSSPSASGSESNSVTSNEIQETHDSADIEKTGGNNI KDAKGKNRASDTPTKTTTTASGSGRISSKKDLKGKGKAIARSPSPVASSMTSNSYKVT KSKKDNKENKPFSRRSPPVTGSSEPVLNDKFNNNINNNNIQEEIIVKLDKGKGKAIDT PTHIPISTTTEDVNNKDEVIVTTTSASTTAEASNNNNNNNKPSASNSASTSASGRGTN PPKKDLEGKGKATTTLPSRSPSPGPSSPKTKPTTKSTDKAKITKVTKQPAAARERQTI KRSLAKKGHHWAFKLESHFARSAEDVAINQDKYFILCCPAPNCPSPNFGGKHPFREGR AVAHFAAGGAAAGDGGAGDAAAAAGDDTRNTCLMEIDGEENDENRDEDGDVQMTEAEI FERFGAEIVQEQKRWPVDDKWALKNNRELCMKVGEAGEEREGMLREL NEUTE1DRAFT_144885 MDSSSSQSPKAASPTPTTAPAPLLSAEAAAAVGILPASHWADQP LPEEHADDDTASTIGSVLSSTASLSSTIFQYRTHHGRTYHGDIGNAEGWEPNDQRHLE SMDIAHHCYTVCAGGKLFLSPLDTKKVQKVVDIGTGTGLWAIDFADEFPHAEVIGTDI TPIQPSWVPPNVQFELEDCNQEWTWAENTFDFVHLRMLIGVVEDWHALFRQAYRTCKP GGYVESFLCSCHFVSDDGSVKPGTALDQWGNVCSQGGKAFGRTFDVYEEDLQRKGMEA AGFVDIDFKDIVVPIGVWHSDKDAAERGLWWKMVLEMDLEGYFNYICHSLLGWKPEET QAFCAHAKKEWNDPNIHGYVMARFAWGRKPE NEUTE1DRAFT_116235 MSSSQSPKSPKGPASHGGKAASPEPNPAPPAIVALSAEEIREAG ILPGAHWTEQPIEQVDDDGASTIGSIASTTASLTSSIFEYRTVHGRTYHGEIGNAESW EPNDQRHVDSMDILHHTFMLSLGDKLFLSPLEKNNIHKVLDVGTGTGLWAIDFADDFP NAEVIGTDVSPIQPSWVPPNVKFELDDCNHEWTWNEDSFDFIHLRLLNGVVSDWFSLF RNAFRCARPGGYVESLTTSAYVRSDDGSVKKGSALEQWHGIFWEGGKKLGRTFKVLED DLQKMAMEEAGFVDITVKDLKIPLGAWPEDKKLAEIGLWWKMAVESDIEGYLNYLCNA LLGWTSEETLAYCAHFKKELADPNIHGYFVMRVVYGRKP NEUTE1DRAFT_78087 MMETQLVHRLELDPSKRIPLLKAQLEHHHHHEPEPVLPVANVKT HPTKASTGPENGSVYFIGNATTVIEWHGIRILTDPNFLHAGDHVHLGPGVTAERLKNP AVDIDALPPLDCILLSHYHEDHFDKLVEESLNRDFPIISTPHAKQALAGKEDPFKAVY DLDFFQSVLLPVVNYKGDTGGKKPVIKVTGMPGKHVPPGPLAAVNELLGAVPPTNGWL IEMNYSADGSEDGGETGYRIYISGDTLFVDELKEIPQRLKDEKIDLMLVHLGGTTIPG PKLPLLMVTMDGEQGVKLMQLMDPDVTIPVHFDDYNVFLSGLDDFKEAVTQAGLGEKV VYLDRGDQYRFNVHGI NEUTE1DRAFT_116236 MNRFATSRTLFRAFATPRATLPAGGVAPLHTSRHLQSTAGYGNT TTSGQSTGTSSPKSPEDASAQSGGSRSKEAVETGSSPTGGPIPNASKGKEESNTSTST STSESDKFAMPDGLANGDARGRTGGGEPLSSSHPSAPAQPKISNASVPGNKPKLTKEQ QAEVDAHNRDFENKHGKAERAADDKVNPKFWGGGGRRLEED NEUTE1DRAFT_98931 MTAPTSGMNIPQLADAARPRVVVALDLGSSLTRVIIQRIGFSPA GGIISKTFASHGYPFDDHVPVYMGDNHPYDRESVSLKYAFYILANAADKFVDEYPMIQ RLRQEDSQAFRQKLRTGILELLREVRGWIFQNPRKDWAINNLPVSMPAQWGVEFEDVV RDLIREAFEWNKVEARNKVGFRREADGLTHYILNSPGYLDDVKVPGSNQVWLVIDFGG HNLNASLFWVRHNEQGPPQFFRLEQSFGAGGGTEHVLHGILVACATKCLNQDAGPGHP MCPAISEQIREAFTDPRVRGDWGPAQEGETPKAFSFGVPLWEEQNFLLCTFDQDEITQ TWNEAHKAAFELVDDLLKNLRRRTAETDHPSIVPEPVIFIAGGSTKNKPVKRKLMAMV QEANLPAPVFLD NEUTE1DRAFT_144889 MPSPAPALVSITGLSVTAHNPSVYANEKTTHTKRIKYKEKSKGE ARDLTPKLKYNRGNRDKKRNNRDRISKASTSSKTTFAASQHTTNKRSLVKKENYLAPE APKPVAPVFS NEUTE1DRAFT_144890 MVTSPKSPKSPQSPKGEAAAPAPVSAPLSVEAQNAAGILPASHW TEQALPEEGPSNDGASTLGSFMSTTASLASSIYQYRTVQGRTYHGELGNAESWEPNDP RHVEALDLLHHALMLQTDGKLYLAPLEKKKVQKVLDIATGSGLWSIDFADEFPNTEVI GTDVTPIQPSWVPPNVQFELEDCNQEWTWAANTFDFINMRFMNGIVTDWYALFRNAYR VCKPGGWVESSGTSGRFFSHDGTLKEGSAIDQWGIVFREGGKKLGRTFTVYEEDLQRK GMEAAGFVDIQFRDIEVPLGVWHPDKKAAERGLWWKMAVEADIEGYINYFWNAALGWT PEEAKVFARYVKKEWNDPNIHGYFILRVAWGRRPE NEUTE1DRAFT_40119 KHRRQLVGIDLGCSGSRIMVVCPLMRPYDDPLNLLVRAIYPIRR LNDTPWAWQDFPAHGYPYDDDAPAYDQNTRWRQFEPVPLKYAFYLLAKELDEAAPRHP MAQELAIYDSPEFRSKLYSGLVALIRSMRTRKDAYLTERLGRLFHYNRAMDHLCVTIP APWNSSFQQVYLNVLAEAFEIPRETVHERVTFVTESDAWAHHFLGPLHGGDGYRNDAT SEEVVLVLCFGGHMMNGSVFWLGPTNWPRNGPGENGSNRFFRLGDSFGAPGGEELLVH YLVEYVDDYGLIVFSTQTGRALFPAKKADVHDRCKSFARDLGPHLNNPSRERAFTLIV NTTKGGSVRLEISNAACNYFWECANRHPLKVAAEQFALLRRIMRHRKVAGSVMVSGGG LEYELYNFPLLLRLQQLVKDAGLPRPHFERDIHNHEQSSATRGAIATVEYALTARQFL TQGVGIGVQRKLPNATEWEDQAPLVLYYDLVAKDYQQYTYTNAAPQVEGTQFRLICDP LYHVLPLESRYHAPKPKSKAERIPIGSLRSYVLFDDLPAVQEGDKYNCEVSIEGSGKE TFVVVQVKQTGQPNRIRTTNLRLCLGFNPGTRLANVIRAEKQ NEUTE1DRAFT_135811 MRGLTKQGVKTATAAPRMKRNAMPATRKLAVRAAKVNNAPRQKE IVMSIDLGSSGVRSCIWNPDVVEGQDPVILILNRENGGDGYTFSASGAVMDNDTADED IYINNLEPESRLGVPLKYGLYILSNAPEALTNEYHLMEDLIQEDRRNREEFRRQLRLG LVQMFTKLKGKVEQVIEGKRKPWKVGRLCITIPSQWTLDFEDQYRSVLAEVFEWDIAQ AGERVTFVFEPEGLAAYLLHSKEYKDDAIAQSGPNEHRIVLCLDFGGHSMNGCQYWIN AKRDGTLSFFAMAPAFGHAGGGEQLADSVLRACEADWNTGNDVALTPKAKAQIMERIK RRRAHWGPRSTTALDEEIFEFNDSQEIGAGAITLTKSVINNCWRRAHDLLLRLVQRKL RGLKAEYNRERGRGTTVTPLVVVAGGSLTNAVLLERVRKLIDASDLGPGLVVNKEGVA HDAVRNAIGAIYAFQKALTVRQFLDQGAGIALQIKQAGATEWTSHASPVYYCHKEDGA EVHPPCEVSLFSGDRVQLICDPFYGQDNVGADQPITDMFYTRTYDFLRDMPKAPFRGS NTCNVRFEGDDNEVVMKVFTHHCP NEUTE1DRAFT_108309 MPYSRVLSVERSWHGSVSSQFVNNMQMRDHHFSRNPWNCQHAKR LGWNFIDDCPEYWTELETGKLRLEVKPGPEHEWHDEWRDRLMKGEGCYGPQGPPPYPP FKVHPPWEGKTMTREHRNAYYFEEDSPRKLWLEQRAKLKKQKKSQKKQEQTSEAGPSH QHSTRAQGSRVPSITPSQPTESSNPRTQSSKRDKGKGRAVDPPAATTESTTAPVAGPS SASVPARNSASASASTSTVAGPSIANPITATVSKEEGIQETVAKNAEDKSSTNVQRRA TKIKIIPPGSKQDVKGKGKAVDKPSHVNNNAHKITKPSGSKEPAAVATNRIIKRSQVV KGKYWASKLDSSMFTKDGSIKAAFSDDKDNSGSKAKEKGNGMVSDGANDNGEGSSSMA SSSKTATAINIGNDDNSDDELALGQDSDDYDSGSETDDSKDSTYTLTDKAKKGKVTTA AAAATITASSSDSSSNKRKRATTDTGDDEKTAYYVLRCPLDLDCMSQSDSTHNDPEDI KGVFCRHPFKRRRAMNHIETCQLDEELRSEKQIWENCCLRVVPDRKNTPVNDEWAMRH NRTLIRSLVHKAGGGKRAREKMEKELEEDL NEUTE1DRAFT_120680 MLHLAETTNPWGGHSWVGDSPSAIPLDNRPFYEPATGPETTTSR GVCNKLFHSSLFDVGILRDTLLPSITFHSGLSLIAYGAGRLTDRLETKDWLWPAGQVL NAWWSALGKRVICDGIPLYCSWAIIDRPQRLLLAGVTLWGSRLFWRIASRSVKRGGDD PRYEATKKQHGWSWNKALFTTYLPEALFQSLITLPFTAPFRHLVGSDVPGPFATLSGG YAAVVEAVAVGLFSMGFALEVLADWQLDTFKEKEKSGQESPSAMCREGVWSIVRHPNY LGDMLVHLSFPLLLWSSNSLQPIHLLGPLTNYIFLRYVSGDKENEHSQARRYSTENVN KKIDFDKYRREENAFWPDKCQVSNKWTWIVVGAGWKAVGMGLVAMEIGLSIGSELGFN EVMVLAKEGQDVAKLLAGSGLDLTDFFT NEUTE1DRAFT_135815 MSTQTGPTLVNFDDTTTSPSSTTLWSPTFVPADSPRKPHSLSPH KAHQQRHLQTCISSSKPTGHIACDLGEFAGNLWFAKEQELGQQATKHASQSSLLSRRE ESTGP NEUTE1DRAFT_98934 MSKNHWKKGSGSVSSSRNIDWCLANSRRQEIRILASEKDRSRSE PGTERQPPTPSVCAPVPPSFSRPCRVPGHDGRPLSTETEWSGPSGVRLRTAGGLPVIS CYFHPASWRSDATGYYGIPDGPVEWS NEUTE1DRAFT_116239 MSGQQSDIVALDGVEKQQQQEQPQQLNVAKTRGNSRQDSLTIPL SPSVANHNTIEVPATRSPDAHADLYMHNLSASPSLKERRLSRNSFGASLPIPRSKRQS RLSSVTFPGGVAEALQEASGGTLPLKPTREFLADRVQDIHSEKVEKVKNMSFVFDIDG VLVHGDRLIPEGKKVLDMLNGDNELGIKIPHIFLTNGSGKPELARTEQLSKILQNPIS TDQFIQSHTPMRALAEYYNTVLVVGGEGYKCREVAEEYGFKDIVVPNDIVAWDPSIAP YRVFTDEERATSRPRDFTKTNIEAIMVFSDSRDYATDMQIIVDLLRSENGRLGTVAKD PVSQRIPIYFSQGDLLCPTEHPTPRMSQGAFRIGLEAMYKALTGVDLERVVYGKPEMA TYKYADEVIASWMEQIHGEEKIPQNIYMVGDNPASDIVGGNLYGWNTCLVRTGVFQGG ENDEENPANFGVFANVWEAVTSACRKELGDDFKFKWDDRVNPLNINASAIE NEUTE1DRAFT_116240 MTGFTAANTTYTLNNGVRIPAVGFGTFANEGAKGETYAAVKKAL EVGYRHLDCAWFYQNEDEVGQALAEFLENHKDVKREDIFICTKVWNHLHEPEDVKWSL QNSLDKLKVDYVDLFLIHWPIAAEKDEATNMPKIGADGKYIIKKELTENPEPTWRAME DLVDAGKTRSIGVSNWTIPGLQKLLKFARIKPTVNQIEIHPFLPNTELVEFCFKNQII PTAYSPLGSQNQVPSTGERVRDDPTLKAVAERSGHNLAQVLLAWGLRRGYVVLPKSST PSRIESNFQIPVLRDEDFKAIQEVAKGRHCRFVNMKDTFGYDVWPEESDGQLKQE NEUTE1DRAFT_135818 MSFTADKTSSGLTARQLLGLDDNAARNNSRNDDVDPSLPSHAAY VPDSDRSACCEDTRRKLELNASIHESDLESNGIPPPTHCPVPNSDSPQGYRPEPNPTQ ILSQLQRMLEDEDEDKDRANPLQSTQMQPERTPPPSSSFDREAWLATRPTIADLITPR PLPPPPPIPPPKTYSPLTEFCLRHNSLCCWPCSDGRDKLPALEVYFNQLVNSNKPRYQ QLELVDENGKIPERQVWMSSCPVMLEKGA NEUTE1DRAFT_135819 MYQPQFNLSLPPLPRVSIYQQAHAQVLKKIGPTPDLSAEERILY IMDLIRCSICSRLGMMPLCLNRPGPVASEHVQVAIMSLGQPKVWKSEREKWMGFAEEN LGVQVTERVIVAQDTEMTERMTSDELVKKGGEGVMIGNTKGKSPAKRLDGDKKEGGNK VEEDEEAEEAGRIS NEUTE1DRAFT_37960 MDSSKTSSPDNFPLIRSSLELVEYRLEHMKEVFVPLVARINNAL LDEKGVEQKLAAMEKGLASNQVDKEGGGGGEASKNFMLFSLEYKSLVANLTALEKLFV EHGIPAYVSPYYSTEEKLDSMEQMLVSEIETMMILNEVPAMSTEVQSRFRPLMEKLAT LEKMYTAAMGKAMRI NEUTE1DRAFT_78103 MGPDTPSASVLPALDPGRVTAIATPMPTLHNADKDSTLLLPHSS MVAATMRQASTALPPTSDKATRPAAPSSHDATDYRVPSYPHPHSHQPAANPTVRHPAP EVPRIYDPRPSEYPPVNVVNANLAPYDSSSRFQPPPPSQRHRLPHSLGVSQATSSTND ADLVFTPPSSEGGRSPSKPNSHDSSQDSQLLQLSQIAAAQERIPENGIALSRKRMADG MVKPTYERPSLSPAGTASHTRNTSAVSMASTATSRLGELSAELKTRLSYAMVKVNNGW QSHSIDQVESLASRAASPTSSNSTVHMRNGSSASPQLSNLQRIGSHASTVPANSQQYP TSSQQYPPGSQQYPSGSQPHPSASQQQPQQQHSQGRPVESSYARESGHFAPRYSPTQQ AEPIPSVTLAPPAPIQPTRQQFQHPRRNSVPRNTQAFLAINHQPPPQQGPNTAGQPSP YLSTHHHRTSLMEPPLYPPHQNVREQDAIESLLFMSSPGNSANLKHGFPSSSQPLSSS QPPLSSSQPLPSGHAQPQQRTALPSSQPRKSLPSARPANHPRAHQTLPQPIQKRVGFQ KSPNAMDMDDGTYGVSPYSNNNNTRGTPRKRGGYNGHAAGGGELQQYAHAHAHAPMPK LKQMPVSSGLTVPSRPSRTGLKDEDIDRMLELAAARERGDSDSEGEIQIPVSRGRREG AGIVRA NEUTE1DRAFT_144895 MSANEYYTNSNYSSSGPGHQQPSSFDAQQTSSQQQHSSHSYPPQ GQAHLHSYDNHLQLQDHAAAGRRRSSAISIPAGTADVSLNPHLAQGFLHHELVPNNDT PANHGGTSSVQQHSHPTTSHFCPVPVGQESNNISHGPNVSNGFNSPNDSNAPNGPGSP DGPDGERGLGATLVGGASGHFLAKQLGHGGGHHHGVLETTAGAVAANLLEHKLKKNHD QKNHDHQNQHAHDLGHGHGGGGGGLSGMFGGGGGGGKHPTLQQQAQQHHYPETHAGSG RGGYEQLGHGLGHGHGGVNQGHHEHGKHGKWGH NEUTE1DRAFT_116241 MEPLITDEKPRYARLHGDDENIPENPEALALVQKWKRSFYILLA SSLSVISILFGVGIYSILSLQDCSPSKSGAVIPYSPAPVKYVNKWLTGDPDTKKFMGK PRPELDQAWHDLLDSTLIRYSADELFLANNATSVQHKDGGFVGGLGISHSLHCLKRLK QYIHPEYYYNHETQDWDELYSHADHCLESLRQEILCNADVNIYTLKWTPHSRFKPTVK VPQPHACVDWEALHDWMKGRQARLEDMVGPPESLFEGTDSKEKASAKSAQEG NEUTE1DRAFT_120685 MKTVTLFALLAASVVSVFAAPISSPDVAQAIRRDGRGTEFDTDL CLLKKRTGVIGEGIDNCDM NEUTE1DRAFT_116242 MLAALQPLQAVLLGLAAATSAVLATDTTPIVKDPINNLSYKGTI TTVGPKSSETTTKIEHFLNIRFAEAPRFSPPTPFLPSSGSTIDATSSGPACPQLLPAI PPFFSMTDEISEDCLNLRISRPAGTKADDKLPVVVHLHGGGVVKGSAYDPHFNPDKLL ALSVEMGEPVIYVAINYRLTIFGFPRLPLLKEQKSLNLGMRDQRAALEWVRDHIAHFG GDPERVTAFGLSAGGTFTSLQMVAYGGAKGAPFTRAWMMSGPPGTALNMTSEETEKHT RMVAERVGCDVEKGDEEVLECMRKVPMDELTEKAMAYSIENHPPAGLFTFIPSIDDDF IPDRQSVLYQSGKFVKGIPTILGWAQDDGATNAGPAHLFTSEESMIPAFHAFTGPSSL TPSDISTLFSLYPESDFTPEYLSYLARKSADDPEAPIHYFRISRMLRDLLFTCSSIDF GYHVSRASYAEDPDNFAGVRLYTLNQSMLTPLFSRAGMPYLGAVHGSDTNYIFNGVFP ELDDACSEEDKELAKEMAGAFVRFAATGDPSSPSKDKKEGEEGWEWPEAFGGPELEDD GVTAKGLEELRGLNVHVIGGPVGTGSCKVRHHGLVEGQEDLGSMQKPMMGEGVEYGEM GEKDEAEEAAAKTPEQMRRRRAALMEREKLLKRCAFIQTLNEKLGV NEUTE1DRAFT_116243 MSQPQLSPPEYDSPGGLIAGSVIMWIISTLCVGLRFYSKRWKRQ GYITADWLILVAAVFATGMTVMEIYGVKEQALGYPLGASIEDPKAVNGRLNKAKHIEL SFLLLGIATLGLIKLSVCFLFWHLFARVVFRRFLMVWIAVIIVWTLSFVLAGLLECGS HLKALFGQPQAYLDHCGSAIPTGYAMVGSDVATDFITLLIPIPVIFSMKMDRRTRFLT LLTFMIGALSVGASIAKAYIYIKASLGLWTSDAISMLTGLSIWNLAEVHIGIVAACGP TLRPILARILPTESLLSLMSLLGVSSNTSKQNELPSFVKMPDADSAEQLQPGVEKVGS KGADGPRGVRQYEMESWNDAESERRGPHHV NEUTE1DRAFT_120688 MKQALFLASGFAWLNLASAACCRSNKCLKAIVLNQHGIDDCASV LVETVSAPARIVTETVTVSSTQYATVLGTEIVTEIESTTAATETIFFTESSAVTVGTE TVIQLTTETTIASTETVFQTITVPAPTEKKRDIDTLTFVEPTSSASVEPAPVQSSPAL PEYALDCPSFDKYKSACKCVGAEIVTVTVPVPISTVTVEETTTVFASVAATVTTTETE LVSVTATASTTVTVVVDDVETVTATQTDVVSLTATVTAIETATLAPEPVQPVCQINTG AFRAMTTFNNNDLYMYANMLNALTGGINWQAGSTTTSQSIQPRYNFALDSEGSLYLHD KIAPYTYTYYVYISTANSGSNWPQVNTKESIESAIAQGGRISKIKGCVNPATGELTLQ DSIGRKNILWCGSQVWLSAGLGEDVNRGGACVQQFPKVVPPYGQ NEUTE1DRAFT_38537 MHLILTGATGLIGSAVLDAMIKTKDITKISIISRRPVKMAEDAK DPRINVILHKDFEKYDSEVLNQLQGATGCVWALGISQNAVGKEEYVKITKDFPLAAAA AFQTLPPSSSSPPDQDKQPFRFIYVSGEGTTTTPLSSKPLLSRLTTPWFAIIKGQAEL ALSQLSSPTFLPFSMRPAFIDAAAHPAIHPYIPKVSGLYTLSNCVLGPPIRVGYKKMW SPTEHLGKFLVEMAMGKWDETIKKEAEASNEKGFEKLEGGGMVVVGNEGWRRIASLEG NEUTE1DRAFT_135829 MLNPTANRGAKRRYPSANATDYNEIEPPRVPLFCMPLTQSRKLI RFPYIPMPSTTKNTPRPTKRVKWNKEPAHWRTTPGDFVDGTVLHPSSSSDNDDDDTNH ISGSNQTSASSDGSNASSDIIMLDGSPCSSKAELARLPPKNNNKNKRPLSEPALYQTI EEAVARTLKRRLVPHLNKVEEMVQLNAAEALERTRERIRIAYQVDFEKLEEQVRKAKR VCKEREREIERLVREREGGYWGDGDVAEGQGGEGAEGEKKGEEMAVERVKRLFVEFRA KVWEFVSSSALQLETKKAKVMEVDIMRLPWVPDQQLFGSASVEVRRFLVMAAVFQVLY SRILRPGHRTFGAELDGEVDERGETGRVERQLEDIEGYLMRHEKVRHKGEAKWRAVNI NLFKQLRNGILTVEAKSAAQTLIGYLEPLMNFTFSRNKKMVEKIIEQLCEQAVDLKLA IRKSPVPLRIEIASKSTDEEDDEDPPEWEPKVDKTGKPLIDPRWHEKMGQLKLTTEER DVSTRVKYIAFIPFGALARCEPNGLKVAVERAWAIGRA NEUTE1DRAFT_116244 MESNPLAPGHDFSQGYYHLLALPGGDERDEGGTLGYDCAEVREL HAIADID NEUTE1DRAFT_78113 MVTFQSGALSKLCSKDQQELLDAIDRLRLEGINNYVSLPQIIVC GDQSSGKSSVLEAISGVAFPIKANLCTRFPTEVVLRRTLDISAHVSIVPHEDANATEQ KALFAFKEKMTSFDHLPDIIEKAKNYMGISTTQGKAFSNHRLRVEITGPDRPHLTIVD LPGLIHSETKNQTAADVQLIQNVVRSYMNESRSIILAVVSAKNDFANQVVLKLARAAD PPGHRTLGIITKPDALHPGSESEKQYVDLAKNQEVEFRLGWHVLRNKDSDKEAKNVVD RDTMEQQFFTQGAWTELPLAHLGIDKLRERLSKVLLKQIASELPNVMEEIEAKFEACN AQIQKLGDPRAKEAEQRLYMYTLSQSFQSLVKAAVDGTYNHDFFKDAKTDTGYRQRIR AVVQTLNERFADEIASRGHHYAIETYHSRVVGDKMVLISEEKFIEHIECLLKRTKGRE LPGTFNPMIVSDLFLEQARPWGDLAREHVQRAWEAANQFFDLIIAHIADASTLKTLKK EIFGPFMDEILADMMEKASELLIPHQSGHPITYNQSFTDALHTAREEQSKKRLEKAVR SFFGNQCTETDIHHPTNGYCSNCRKNSSGHSGTNIKEFVDALARTEQNPERFAAQEAL SCLNAYYTVAMKRFIDDVAAQVIEDKLIDPLESILSPVSIFGMDSKQIAIIAGESEDS RIEREQLNKQLTVLQKGMDTCKRFTGMKFTGNSLFVSSTSDTGSASGAQKMPSPMGGA GKRKTKAQKDEKKQPQNAGV NEUTE1DRAFT_120690 MAHQLPSTLDLGNDVSLDVSTAPTTPGSSLSFSPVLQAADEQPG IPAMKPQSTSRSLSSTLFDTTARTPPVRNICCVGAGYVGGPTAAVIAFNNPHIRVTVV DKDEKRIRRWNSVHPPIYEPGLNHILRIARDGSKECTIETRSLSTTNTTSSNTPDVSD TSTPASECGSQCGDNVLKPIPARQPNLFFTADVAKSISEADIVLIAVNTPTKSRGAGA GSATDMTAFEAVTNVVAQHARPGAIIVEKSTVPCRTAQFVQDTLALHRPGIHFEVLSN PEFLAAGTAIKDLLNADRILIGSSATPSGQRAAAALASVYSAWIPRSRIITTNVFSSE LAKLVANSMLAQRISSINSIAAVCEVTGADVSEVAGAIGADPRIGSKFLKAGIGFGGS CFKKDVLSLAYLAESLQLPEVADYWRNVITMNEFARNRFASRVVRCLNNTLIGKKLTM LGYAFKKDTNDTRESPAVEIIRTLVEEGPREIAVYDPCCNPAQMAEDIGRYVGAEVLQ RNGGPVIVYADAYEACHSSDALLITTEFDEFKNTGEPVSASAEVLAPKAAPVKAVVPD PRPFKGEEPTETELLALHTFLLQSTDAEDKEDPLHRFNSVPDCAEDCPDCFIEKETGT SGYGAGQEHVFKGRLDWRKVHYHMHKPHWVFDGRGVLEVAGMEKLGFRVESVGRQGRV NEUTE1DRAFT_128299 MIFHHLLGLALAAAPLASAVELIGYEYIVVGSGAGGGPLAARLA MAGHKTLLIEAGNDQGNNVNVTVPAYSTRATEDEKLSWAFYVRHYPDDEQQARDWKTS YRLSNGTIYTGLSPPEGAEMLGTFYPRAGTLGGCTAHNALLAVYPFQSDFQYIADLTG DDGWLPDNMRQHFIALEDKNYPQGGYYGHGQDGWLSIEIPTLTTPDPELLSLVVGGAY ALGNATQSPINLTTLATNDLNADTIARDHDPSYNEIPISTRNGTRNGSRDFVVAVRDA LNPDGSKKYPLDVRLNCFVTKITFDDTDCDETGEPVATGVEFLDGEYLYRASPLSSSS SSSSSSSSKHARHGGKHANGTPGSAKASREVIISGGTYNSPQILKLSGIGPQDELSKF GIPVVVDLPGVGGNLQDHYEISVNVKVPQDWTVLENCTFLLFDNTTDPCLDRWENPVM GDRGTYASNGVPVVMLYKSSAAEGDDWDLFTFGGPLNFAGYYPGYSVDLVAEHNWWTW AILKAHPRNRAGTVTLRSSDPLDTPEINFNYFEYGSGDYQADLTAMREGICLARDALR RSGVCTQEVRPGADTQSDEDLDQYIIDDAWGHHASSTCPIGPDGDPMAVLDSKFRVRG VKGLRVVDASVYPKLPGTFTQLSTYMAAEKAACDILEGLEDEEVDDGYWRV NEUTE1DRAFT_98949 MDLQDKGYSARDLLGVNFGSWALAFTAVALRGYTRLRVRRDCFG VDDILMFMSMVCFTVYVAFAITGIHHGTGHRDNDSTVEAKKFWWACYHAYTVTILFAK LSCKPIYYFWDQSPPGGKCVRAWAILAVGYTCSVFNIITDLVYALLPAWIIYHLQMKL KTRISLMILMGMGCLASCAVIARMTYLDELTDYQNSNFLFLTANVAIWSSVEQGLAIT AGSLATLRPLIIKIGRKLGFASATSASANDIEFGPIGPPVLLRERTNSMFSRRGPSKP RGSNAVLLETETAVPFDGAHRSKRATAL NEUTE1DRAFT_128300 MASEIPPTMRAWQYSQTTGGLEQNLVLKDHVPVPTLSPRVGDKE LLIEVLSASINPSDYKIAELPLNLGRAVVRTPATPAWDFCGRVVKTTNIVDNFRVGEI VFGRQAPTQQGACGQYIVASANACASLPSGVDVDEAASLPCAGLTAYQTIAPNVKPGD KVFLNGGSGGVGSFGIQIAKALGCHVTVSCSAAKAEQCKALGADDIIDYTAVDVCQAL KERGQVFKLSVDNVGLPAELYKAGDDYLLPDGKFVQVGGPVSLAALSSTMSRLFRPSF LGGGKRKFQLYTLMGDQHQDLAILGQMVAEGKIKPVVEHGYDFEDVPTAFRDLRKGKT HGKLVIHVSEKGA NEUTE1DRAFT_108328 MRRSSKGGIKSTMLKDPTNQLSTSFYTKNNMVVTSTTAEPLKSH RNPECVVCMDEFPADQLIYFCPPSPSDESSNSNLSNHGYCGGCLVEGIRSAIKGRYPF RCCGAIFDTKDYQGASLSADEKQAYEDMVEELTTPNPLYCSNRQCGSFIKPALIKSDL GCCPKCFASTCKHCRQASHPRLVCKQDQDTLKVLALGKKRGWKLCPVWRLQHDSVDDR RHLWHPSCWRPEDYAIHNTPPGVEQPQRPRRGFIDMLGRLHDQWRYLDREWRLELERE KLRRRERPRELEMEEELETLENTALRAPNPLQSAAKNNSNNINHYQQARSRPQQNAQP HQQPRQEHHNPLINREHERGSPWQPLMVAPPRPVFYQRQANPWPNQPPEPRGRGQRRS NEUTE1DRAFT_135836 MAHNIVHTYSDLLAKSVPPIPAHVVRSRHLSPNGISPPRLLRVI LRHKLRSAQITSQSQSSQQQDKDNGGAR NEUTE1DRAFT_78126 MGSFDKSSDPEAVKTAPVAVSDNDGSVGEVQAAEKFAFGEDRKI GITGAVFLILNKMIGTGIFSTPSAIFAATGSVGGFLTCCGLSVWLEFGLAIPRSGGEK NYLEYIYKHPKYLASCVLAAQMIFLGFSSGNSLAFGRYILYANSGDSKDSYAARGIGV ACATFACALHAFLPKWGVRLVNVLGIFKVVIVLFIVFAGFAALAGHRHVPDPENFKHA FRIETTESYGGGGAYAYSNALLNIVYSYKGWENANYFMSELKDPRRTLTVAAPIAVAG VTVLYVLANVAYFAAVSKYEIATSEVLVAGIFFRNVFGDSAGVKALPVFVALSNLGNV LAVSFAHARLNQELGKEGLLPLSKFWGSNKPCNAPAASLFLHWLVTIIILLAPPPGPA YNFLVNLYTYPGVWINGFVSGGLLYLHFFRRDTWKPTWRCWEPILLIFFLLNVFLAVV PFIPPVGDWNAEGYPYYVFPIVGVAVLLLGATYWFMWTKFWPWVRGHKIVAERFIDED GNEVIRYKKIKKA NEUTE1DRAFT_120694 MHVWENIEMESGIWKYETTRDVKLCSSVPAHLIIVAILKSISLL TFAAASVLIQSQPAPGGCTASPFTVSIAGAVELKATTAIVHGDASWYCNDRTTTKAAS GNSSISQICGGDACKSSNPVDLAKSSLSRPDAITPAYAEGPPGHKIPGCGALSKTPKW TLGTIIFINETGDGSSAIQTQSIQFQVTNEATVHVVGCLNYFLTDAGENPRLRINCSG RTDRKSRYNT NEUTE1DRAFT_59447 MNTTFNSALKQSTSIRKDLAALSSHELDPTSLTPAALGSLSASL TAFNRTIDEYNHLAKQELNAAKQEKAYERIRNFRSELHDFRTQLDSLKSKREEHMHAQ NRAELLGRRPFVASTPENPYAGASATATSSATGGGGYGISHARTNSLAESGRMQSSSG ILGSGDASREQHALREQDFFRSTHSALDDYIARGQAVLGDLNQQREIMKNTQRKLYSV GNTLGISGDTIRMIERRAKQDKWIFWGGVVVFFLFCWLVLHYLR NEUTE1DRAFT_78134 MYRTALRPSQSALRAIRSTTSPSALVSSGARRFASTTSAPKKKS TWKGAAVRWGLAIAAVYYYNTSPIFSDELPETAGTAPSQLTDADLPTVDAIVEEKRRQ AAEHAAAGKAAQAAAKAAATPATPSESVEEQITKAEAEAEAVPEGDSKSKSESTEGVI PEAGASPEALQEEADAQGAFNPETGEINWDCPCLGGMAHGPCGEEFKAAFSCFVYSTE EPKGMDCIEKFSHMQDCFRKYPEVYGAELADDEEAERASAAAPAAEGTPAKEEPVENK KEEALETATHDATAANNNNKKQQ NEUTE1DRAFT_116247 MSYAEIAAKGPKQTPEEAAAPLPPQIEVDESTSTSSLIDVDTPS VHTVPSDFQEQEIQTETQATRLEQEEQAAKEEAERAKAKARAEADLAKKKAKSKAKKA DNWLTKQFASLSDGTTGALAAVNLLAVVGLSGWLGYRAWGLYDRRQFTWQHAGRGAGI LAAVAVFESVFSSYLAKGKKAKDQ NEUTE1DRAFT_144910 MSYSTNLQPPQPVPGLKLTVQFVISERDGQPHITIRPIVNHRRL LCLVGNRWFMPWEERIQSTITFPPEREELRRYIRDQMLWCDPTGVVQATDDQIILKGI PEVLLQWRIRAQHAESRDQGRSWCQGPVLSRKAEQFVGLFRLVEVEEIDWVGVEMSRD GWGEVFKQLVKGRRELVVGETRVRALPRGGLDVERKRLMFWSSLV NEUTE1DRAFT_39539 MIQSTGKKHKERSEEQKGKKNNNNTRDSLVVTDPTTSLALTGLS MGERTGSRVFQWVWSYVLLACKCGAYQARQLEFLWAFCPWYVLSFHLSTGTLQHRTIQ DV NEUTE1DRAFT_120698 MCTQAITQSLCSHCKELLLEVTTEERCPKVIQAQAYTFSCGAKK TTKAREYPRKCAACVKKEREEEEGSEKNGRRMGERSVSAALLLSPLIAGRGIRCQSCP SVWQLGGRSR NEUTE1DRAFT_94144 MKHEQVSLSQQLSSSKSKASAGLENTAELPSKHAAIRTGFHKVV ASGDATEKKIGHLP NEUTE1DRAFT_120700 MHLRIFAVLAATSLAWAETSEKQARQAGSGFAAWDAAYSQASTA LSKLSQQDKVNIVTGVGWNKGPCVGNTPAIASIAYPQLCLQDGPLGIRFGGSVTAFTP GIQAASTWDVELIRQRGVYLGAEARGVGVHVLLGPVAGALGKIPNGGRNWEGFGPDPY LTGIAMSETIEGIQSNGVQACAKHFILNEQETNRETISSVVDDRTMHELYLFPFADAV HSNVASVMCSYNKVNGTWACENDKIQNGLLKKELGFKGYVMSDWNAQHTTNGAANSGM DMTMPGSDFDGKTILWGPQLNTAVNNGQVSKARLDDMAKRILASWYLLEQNSGYPATN LKTNVQGNHKENVRAVARDGIVLLKNDDDILPLKKPSKLAVIGSSSVVNPAGRNACTD RGCNTGALGMGWGSGTADYPYFVAPYDALKTRAQSDGTTINLLSSDSTSGVANAASGA DAALVFITADSGEGYITVEGVTGDRPNLDPWHNGNQLVQAVAQANKNTIVVVHSTGPI ILETILAQPGVKAVVWAGLPSQENGNALVDVLYGLVSPSGKLPYTIAKSESDYGTAVQ RGGTDLFTEGLFIDYRHFDKNGIAPRYEFGFGLSYTNFTYSSLSITSTASSGPASGDT IPGGRSDLWETVATVTAVVENTGGVQGAVVPQLYISLPSSAPSSPPKQLRGFAKLKLA PGESNTATFILRRRDLSYWDTGRQNWVVPSGSFGVVVGASSRDLRLNGKFDVY NEUTE1DRAFT_59461 MPVLFKLFIFSVLLSLVVAVPLTKRSFKVERVRNPNFKGRNGPR ELLKAYRKYRMPVPQPLLDAMNNQNNGTTPTITSITQADTSESESLRLDATGGSSTAA ATGTATGAVTATPEQDDVEYLAPVTIGGQTINLDFDSGSSDLWVFNTQLSPQLTAGHQ VYNPAASKTFKLLPGHTFEISYGDGSAAAGNVGTDVVEIGGVSVQNQAVELATKVTQT FIQDTQNNGLLGLAFSKLNTVQPQQQKTFFDNVLPSLAEPVFTADLRHNAVGAYEFGR IDNTKFTGQLAWIPVNTAMGFWQFTTSAFSVGSGQAVKVKPTQAIADTGTTLMLVSQS VANAYYSQVQGAKNDPNAGGITFPCSTNLPDLLVDVGGRYMARIKGDDIRFSQIDATT CFGGVQPTTSALEIWGDIFFKSQFVVFNGGNNTLGMAQHV NEUTE1DRAFT_128310 MSDDPFPASAPGAGPPALAPGKHRGPRFSWNSAYETTFFTSLCE SVHLGLREGNTFKPEAWDRALQALITHHNAYANKGHLINKSDNARKKFRLWRGLREDP DFHYDVMTRTVNASEEAWARHLQMEPLSRSLRGRPFEHEELYEILFPDVIGSGGAPKR LTKQRPRKTNDNLNPSGPGLGPGSSSGSGPGHGPGHGPGHGPGHADQDAPNTTIMNLL ADQSYANPHPQAHMPPPPPPVHSASAPLPSPIPAPVLAPIPTPPTLPSSQPPSHSRPN LSAHQPRNNPSTSALTPPEENPVQNRRRPHMPDSSGSSGSTNAEKRRRTASNSVDHAS QPPGIASSSAVSSLSTPDAVTALAEVLRFPKPKLSWAEQAVEIFFRDFAQEDMDFQLK VAEKALTDENKAMVFCKMPNHVRKHWIKRLREAHNRSI NEUTE1DRAFT_38405 MCRTTVYRIYCADCNKFMREHRGREYCEEVEKYYRKGYQPGLDA SWPDEYGSPDIEPKKMCLHAITRTKCPGCARELKTETKETKCSDAITNNKSWGKCRSG GRTTESSIWGRYCYSCKGKSNYKEYDC NEUTE1DRAFT_116250 ASFWSILYASHPGTSHILGGGWSITATIVAAPACFTFGNASIPV YPWLYQQGWSKHGG NEUTE1DRAFT_128311 MGAFSLVLATGLALLSGAHAAETPVQEWGYGPGYHVHLPKGFKK ALFVENFALYLPGSLPSTSKWAFDLGTSYPGGPEGWGTGEVQTYTASTDNIVITTSGT LQITPVKDPVTGNWTSSRIETVAARDIVCPPGQAIRIEAWIKLGDLPVSKQLGIWPAF WMMSSAYRGNYWNWPMVGEIDILENVNGASAAWQTIHCGKTAPGPCNEYTGITNKAAH RWSGFHRFSVDIDRTNPGGSWREEQIVWRIDGKVVFTVKGSRVDDEKAWTALTRTPKY ILLNVAVGGSFPDVLANPSLERTPNNATVGGEGSAMEVRYVAVFST NEUTE1DRAFT_38882 MEQPQVKIIGGREYAATGSKFGPSYLPVDVMARMNNSAHQGLAM SAVVHDKRSLNSEAPAREPLSGVDTRKHLTMPSPERGPVASTFRPLPKIPKANDQGSV NSFVLPIRMANNPMEAALVCFFNSIADSGRTRFEDLLANMVGQISFTVNAGSDSGTVD NDNGDGSGDGAASSSATTTTTTTTTTVADTTSTISSNIGTPRRRRAAGGGSGGSGRKP GGTPRKKPASRADVIEKRGSCERCKKSKAKCEPIPECIRCAKDGVECSLKETILQETG RRLGACQRCKKNKVGCKKIESCGRCHKAEQPCSWAVKA NEUTE1DRAFT_38222 MFFQYQIGDVVCIRGASLRYKVIAVTGSTITIIVVNPQPDGQYL PFNPMSLQSVDESRLEKVET NEUTE1DRAFT_98967 MHAEITSFGTPPCRSVCLSLKQEGGRQCKDDGTATDVFEEQSGC SFARQTPCPRIWPYPELTLLSRPVADSRDWAMRQLWYHLPSDSSTAWQYCTVHLPQES PSNVAAFWGDGLCITGSSSR NEUTE1DRAFT_128312 MSSRNFSNFNFYRGKFQFLPVTLSSPTATLKTVKSRVPLKARLE LKQVTKQLRKIKMRTTSRRLGLKWLLKELLSTWPSSQYPLQDPRLLGETMWNRPVLCA LTVRHYTNLQSAETGMRKKTKSASSWTIEALDNTWGLRPNSSIPVFHTKIGGFYAIHT TPTTTITATITVGLEGRESPRLCRGSLSPIASRSYRQLEWGWEGVR NEUTE1DRAFT_59468 MGLSLKKPEGVPGKSWPAIVIGLFVAFGGVLFGYDTGTIGGILA MPYWQDLFSTGYRNPEHHLDVTASQSATIVSILSAGTFFGALGAAPLADWAGRRLGLI LSSFVFIFGVILQTAAVSIPLFLAGRFFAGLGVGLISATIPLYQSETAPKWIRGVIVG SYQLAITIGLLLASIVNNATHNLQNTGCYRIPIAVQFAWAIILIVGMIILPETPRFHI KRDNLPAATKSLAILRRLEQNHPAIIEELSEIQANHEFEKSLGKATYLDCLKGNLLKR LLTGCFLQSLQQLTGINFIFYYGTQFFKNSGFSDSFLISLITNLVNVVSTLPGLYAID KWGRRPVLLWGAVGMCVCQFIVAILGTTTTSQDASGMIIVHNLAAQKAAIAFICFYIF FFAASWGPVAWVVTGEIFPLKVRAKSLSITTASNWLLNWAIAYSTPYLVNYGPGNANL QSKIFFVWGGCCFICIAFVYFMIYETKGLTLEQVDELYEEVSDARKSIGWVPTITFRE IREEKKVRDPVVDITEEAA NEUTE1DRAFT_40440 VYITSPYLFIKTVRDNTVNNNLKVNTLQKNLYQLFISGIKDLVV KPADNIILNKYLTYVDRCTETCWFKIIDDLGGYNSNFNKYILRVEFNSKLPNIKAIET INFIK NEUTE1DRAFT_108344 MPYKKEFLVRTVYVLKLQFNEGYIVLKGRLQNKGKGAISEGVGA ATWSEVLEIHYYSSTSFSSSTREAYVLAYALVRGLALERVRTLVREFKGWESKRIFRA ASLHRFNVQVTPYNTSEGIYSNTTILIVKFKSRRVFALIVVIFLDTYGRYTIVASGCN EFVDITIAIKKVASSSRYIEEPVFDSQIAFSTSPKLFRVLA NEUTE1DRAFT_108345 MPISPTISPIKLVIGPRLTQYYFAGWTKPSDCGNPASSPTPNYC TQVVVVAVVACGGYKRYYKNNKAKATVKPRDSESDDELLTPRRSKKVVENIVKRVTAL RFQCNLILLRPPIIAVAVVKAL NEUTE1DRAFT_37816 MTKLEGMLRAIKMRNLASKNGIYNVRCHQLHNPTFPGFWVRVGF AVGAPRFTLKYLPIYLNK NEUTE1DRAFT_108346 MARIINDNDDIDDRDNIAPIADVVADAIAQASVLNVLVEEKYYN LCAVPGLLLYLNVFCRALNTWVSFSNKTYKRYTFKIGDYIQYRDVDNGKFGRIDHIFT YEQSRMQECRLGSYIISRRYCI NEUTE1DRAFT_13908 QFPLIIIYTITIYKVQSSTLNIVILNISKRDFQIKFIYVVYNRI KILQSFIFDTPFDLS NEUTE1DRAFT_108347 MVSEYITRLTESWILVGLGLGRCIHLQMAVYYRTEVAINVHLST DLPTREVFKIDRLTSGRATSQTVDFEDLTSTTARLPACRPPRARSSLVAAYTRLYPAL AYGLAQHPIPNTRLPALIEYIQLS NEUTE1DRAFT_39160 MTKLEGMLRAFERRNFAGKNGIYNVRCHQLDCPSFLVGVRAYKC LVRVGKLTLIKI NEUTE1DRAFT_28661 LIDNHPSGRYTEPYNIGDYIIDYSATDYNTGDYNARNYNTGNYN TQEHYNTRDY NEUTE1DRAFT_38698 TPPNARLYCISPIEVIIIKKSINKNSRKNFIRLLQSTATSPILL VKKPSGGVCIYMDYYNINNVILKSYYPLLLIKETLNTIYYIKIFTKFNIITIFNKIHI KERYK NEUTE1DRAFT_40095 TPSNFNSNVINLIRIASNFNNSIITPIRIIFNSNSTITLTYIIS GSKSNSIALNSPITLITPGRPIVNKN NEUTE1DRAFT_38111 MAIDSGIRRFENSVYNPLVEISIYNNLKINSINNYTTLEVLINI IRDKNKEVVIIRV NEUTE1DRAFT_78153 MDAALEILDPLVLDRLYAYLLPADDSALGNGFDNSTSAFSKSPL QTSCESAWPRDNILRQCASILLITQVGATLLYWVFSAFSYYFIFDRRLEYHPRFLQNQ VRQEIISSMTAVPWINIMTLPFFLAEVRGKSLLYTRVDEYGWAWMAISTVLFMIWNDL MIYWIHRLEHHPSVYKYIHKPHHKWIVPTPWAALAFHPLDGYVQSLPYHVFVFICPVQ KYLYMVLFVLVQVWTILIHDGDMISGHWLEKYINSPAHHTLHHMYFTVNYGQYFTWAD NYFQSHRAPRPDLDPLHDALKVMREKGLVDEKGNPIPQKNKKDE NEUTE1DRAFT_78156 MPRQLPWKVLPSQKKTAAAPASEPSASSPSARAAQSSSKAKLKL STSADGLNIVPGNTARGSDTSRRPHLPRFPSTSPPPEPPREEFMIEGLRCDDRYRMVE DEFLSVAAEFTRHLHAAEYQRLRNLASSRNAETIDDISRSVTGAPTIAVKRRHARLET AARQQRGLTKVLGKRVDHNSDSEDKPWTGTSLQGLMDSPRKKKVPLHRSLSSLSASAG FRAATRSNPSLNLAVHMQGRLGNRKPPSEDKGDDSEDDDSALDGHTSWSPKLHRARVT EMPLNKKYTSSDQDEEEDDVDLFSRVRSRRAAQRRRPGSPVIKIEVKTENSQSATSLH EIPFL NEUTE1DRAFT_120708 MESLGSLASDINGDGWNEAGLVVAGEKAEGDTVCPCNSERKDHG RSVWGSSSLIIGDACRSSEFPFGEIGDLDSFPSISSAVFTDPSAPDGLCSSSALRRRT TKKMARPTSAKITEATLTMPTMAPVLRFEESSVEEAVVGLGGTADGVDVEEPVPAVKV AWELAESREEVIVWTKVVLGLWKFDDIGDDAGSEGLEGSGDVGVGTNFVLVTFGWGFR DVIRIVVVLDARHPLEVIVTVDKAGPDSGIIKLLRANGIFIIFVCATSDVGGDEVCIE TCTFLEAHVAELAAPDVAKSRIATGDIVVWKGDGLRRSLPVTGAVADVRVKAVDGAAE VARKKAKADHCNPGSCPWVLCDAVVSRAGRTRGTAVKDSRSTNEK NEUTE1DRAFT_98972 MPSGVASLVPSCWLMLRTDQASKRADGHAHFHKDTKRCATYSRV RAEANDPRGDGLKGVKVESSVLHPLEEHDLPVSVIKTQQHDAQQVKNGGGVDNLMMGI CAYQKRCEDRDEEVCQDGALVAAKLVEQ NEUTE1DRAFT_144920 MARRTTRGIKKSYVEAPIVFDDEDEPIPVTSKDSDDEDFTAPEP AQEEEDDKDEEADMADPTSEEDEAEDDEDDGDADGKKNDASSQPKKHRNTGAGMIQSR KGFHDIPHYPLETRIVTRVYAGPLRRYARYSALRDSMYGPEYNRIKIIWDLEMRWAEF PALPPRYLPHEPQGICPSPWLAPAFERTQEQNAARWYNNYYAKSPEVQQCHLLSSHEG EHLIPQAGGDLVTFIGPWYRQGEFRFAQGQSLPITASGLPTSTSEDQDKSSTGWMLDI GSIPLAMGWVPSLVNAKQVLAIASIPFADQEPRRQEDGADKYQDPSAMAEGCVQLWEF TPKEADKTLARPSTTPARLIRTLCFSWGRPKRLQWCPVPFESVGSYGLLAILTGDGKA RIIDVKKVEEGSAPVYEWVQSPVVTLGIDDDYNNKVTCLSWVNINRIALGHSDGSVTL WSIFPRKMLQRVGVHTTYVIDICSAYPSNPYLVASIPVGGCATLTDLSQPSSELTYFP VPAISFQPNMLCWNESMQGFMALYPSSTPNTTIAFLHHRFFCQARSICTGPNTITCIS AGATHPFVLAGCADGSLFSCNALQKLFKQKGEPLQKIKVMEHEYRPIRRPELQGKDSS RPVRGAVRILQGFLPEPNDDPRTEKRKELDRKKKLERMKTASGKKKGRPRKNGGTVED LDREAELDDKLASRVVTHEPLTRLTTIVWNPNMQYSCWAACAMASGIIKVMDLGVQ NEUTE1DRAFT_144921 MPAGDADSDPPQPGVSLRERRRPSTASIASASRVFSSAADSLLE SAKDVEHRIEDALLVLWDELPHWRRDNHFIHSGYRRTSNSFQQSFWSIFYLHNEFVNI WTHLLGAISFTFGGFFLYNAVAPRYESASESDVLVFTCFFLGAFCCLGMSATYHTLSN HSPEVAKWGNKLDYTGIVFLIVGSYVPTMYYGFFCYPALLTFYLSMICLLGLGCITIS WFEHFRTPAWRPYRAMMFIGLGASGVVPILHALTFTSFTQLDELMGLRWVMLQGAMYI FGALLYAVRWPECRYPGRFDIWGSSHQIFHVFVVLAAATHLHGMAKAFDHHHAVLGGT C NEUTE1DRAFT_24513 MVGSSLILSLLGFGVVRALPASKKVEERQTFVGGGWSDFPGDGK APLTCSINIPISQQAPCIFFPIPGIIRPGKEKRQVLGPGYSDFHPGDGDEIPICEISL PISEQPPCMFFPIPGEITPGKRSFSLPAEYATNAKQLILQLENQLVALQNKNNKTSED IADIRAINTALKYLANIDQISAPPGSETGFTPGKRSFFLPGDYATDTKKVILQLEKQL ISLQNKKNKTDEDLADIQAIKSALKYLSGIDQISAPPGTETGFVPGKRDAPSVGAYAS VCPGLEGAEIALETLLHKPKPTVQEYIIIQKLTSFLAGCGIAIVKSPDGTWTVIKPSD KKRGVDTEAVATPQPAADFDLAGLEKS NEUTE1DRAFT_98975 MVSAHPARSRDQRTAGNDDDGDDAETRKGPIQIPASAVSLIDWT RGAKTDAVSSIPLPPAPAPSPSQAPR NEUTE1DRAFT_78166 MHHVSELGYLTPPASPAPFDQQYIFPPPHQLQQHHYVPMPAEDR LGMFITNSLQLSGILGSGAYGVVYSAVDLKDNVRYAVKCLSKFNPNGTPLDARQITFQ RQEIRLHHQASGHPNVVSMVKIIEQHDCIYVVLEYCPEGDLFYNITERGQYVGKDELA KSVFLQILDAVGHCHSRGIYHRDLKPENILVSDNGETVKLADFGLAIQQATSMDYGCG STFYMSPECLDQTARRPFYYCAPNDVWSLGVILVNLTCGRNPWKQASFEDSTYRAFVK SKDFLKTILPVSDELNDILGRIFTPNPDHRITLPELRTRILACSRFTQSPASLEHQVT YVDAEEYPSPMSPSSSDSMSDEGSTCSSDDGSLTSACSSLEDLEEDDDGDLVSDLPEI KTPPPQQPLQGREPVIFEPLEDPNVLTQYKHDYFQQPYPTTVPQPIQQPMMPISVQPN YAPSKYPSGNLWETIKYYTHSHHAPFHQVPFFAHIQGCY NEUTE1DRAFT_59486 MAFPDVSSDEGEIAEATPLPHSKLNGDIDRTGRPRARLERTPEP SKLPDHRQSPRVGHARQGSFSRHSRSPRSPPPPRSPRGFKRSRDDDRHDRDRDRDRRD PRHFRVHYDDDRGSSRYRDLDRPPSRDSLNYNDDWSSSARNRYGSSRDAPPPTRPSAD RVDDRGRDRELLDYDRERDHDSYSAKRPRNNRSRSPRGGRRDNNNRGRRDNRGRVVTF QPEGTYKDGKDDRRGQEMSKGNTHTAAAYSTKENAKSGQGVPVERGVKELAIAQDGPP QQEASKEPEPDMDWDPSEALDEEARVQAEIERRRRARQAALQRGLGAASPSVQSLQGD KPSPTPSSSRFNTPAPQKTEPSSPKSRNVTMSPTQSPAQVPDTMSPSAFNFFLAEQDL ARANGNDKVEVDGPSAADYDPTADMKEDERRDELRHGHVGLHGEAKHPALADVAKEEQ NDEEKQPEKGGDDDDDEFDMFADDFDEEKLVAPAASKKAGNDGQANVGGGKLEGDDKD GYYKIRPGELLDGRYQLSTALGRGMFSGVARALDLTTQQTVAIKIMRNNDALRKGGFT EIAILQKLNEADPEDKKHIVKFERSFEYKGHLCMVFENLSMNLREVLKKFGNNVGINL RATRVYAYQIFLALAHMRKCSIIHADLKPDNILVNEARNLLKICDLGTAIDRSDAATA STEVTPYLVSRFYRAPEVILGIPYDYSVDMWSIGCTLYELYTGKILFTGDSNNQMLKT IMEIRGRLNAKLYRRGELWGMHWDDMGNFLSVERDKVLGKTTVKTLATVKPTRDLRAR LVAASSGMSDAETKELNHFIDLLERCLALNPDKRITPLEALKHPFFGQAVAATAAGPS ASSHFSHGHAHAHAQRTAGHARA NEUTE1DRAFT_116253 MGGADRAGGKAKPLKAPKKDKKDLDEDDKAFLEKKRAEEKARKD LAAKAGGKGPLNTGAQGIKKSGKK NEUTE1DRAFT_144926 MVDMLGTKANVLQSRDTISEFLQLLQDPFAAQLSSNSIYAALGS SVSITVAVALGFSLVRPLNTVVYAPKLKHADDKHAPPLLGKGFFSWMKPLWTTTEQDL VRLVGMDATIFMRFTRMCRNIFLCLAVLCCCIQIPINWYKNAAPESPWLQKVTPMNVW NQWQWATVVMSWVTTLIVCFFLWWNYRKVCELRIQYLRSEEYQQSLHARTLMLYDIPK NLTSDEGIARIIDSVAPSSSFSRTAVARDVKILPTLIEEHEKTVRKLEQVLAKYLKDP KNLPVTRPVCRPSKKDPSFSTYPKDKKLDAIDYLTQRIKLLELEIKEVRQRIDKRGSM PYGFASYSDISEAHAIAYLCRSKKPQGARVTLAPKPNDIVWENMPLSSASRATRRLWN NLWITVLTVVWVAPNAMIAIFLVNLSNLARVWPDFQKSLETNRNFWAIVQGIASPALT SLVYMVLPIIFRRLSMKAGDMTKTGRERHVVAKLYSFFVFNNLFVFSLFSALWSFGAT VIKNTATDDDAWQAILDADFGTTVFVSLCGISPFWVVWLIQRQLGAAIDLSQLWKLIY GSIMRKFTNPTPRELIELTAPPPFDYASYYNYFLFYATAALCYAPIMPLVLPAAGMYF AIDVALKKYLLMYVFVTKTESGGMFWRILFNRILFGLMLSHLIVFLVVWVRGFGYKTQ AYAVVPLPILIIIFKFVCSWIYDNKITYYSTRIIKHRNAEEGFGLKEQGPRNDRLASR FGHPALYKPLITPMVHAKAQNILASVYQGRLSDGRDAGLGDSMSVSGYSDTYALDSMA SGKIGKLSSAVPGFEVVPESRLDFEFYKNRDEFAADHGAGELFGRASDIVRPDTPGTM ATGSDNNSRPGTPVGGMGFSHNRRFFSNQSDMGNTAYVGGYMSPSQQYSSNNQAPYSP PILGPGEAPGRGRSPLYHMGDNGNDSSSNLVYNAAGMPTSTPASFDVPDDGLHRERSF DSTRSRGALGGFSIPNPRAPGVLGASRYGYGNVPQTDDDMMQTPPNAIQSPHFQPNQG QNDPMQYDYFRGNRRQGPPPGAPGRGANGNVSGNSVWGGN NEUTE1DRAFT_144927 MEEIKRMAEEFVIEELKKEKIPVFLPGETEYERSVANANLLYRF TRPLCVVQPKNVGHVQTIVKEAKNMGVPITIKCGGHSYAGFSTTDKGISLDLLNMNRV KIDVKSNTVTLQGGALWGHAYKQLVNNRMNGFIINGGRCPTVGVGGFLLGGGLGPFTR SFGMGCDTLKEITIVTADGKKVTVKDTDNPDSPEGKLFWALCGAGGGNFGVVVEFKMY LQKLSNKDGSVVAGRYTWFPKSDKERSNKTKDKLMDKFMDTMNDFYATRWPDQVTIDT SWICDLKETSSELAVRFLVYHDGTKEDFEKLIADKLGADKAENGGGKLAEQLARRTMS EKSTRFLHETLVSQWSEETIKAFPTDPTFKLYSSFVFKNDLERIKKITSIIREEMAVF KSRFAGESGLLQVTWIHSGGKASRKDRSASAFRWRDGVYQTYIMLDWREKWMEGEMRD FLKAFKNKLRPFSIMSRAAFINFPDEVLSSGTAERTYYGNNTKNLRLVKQQWDPDNFF KWKQGIKLPQTAEKTPAKAKRRSKTSAVSPIPAEAFNAFNSSPGASFASLGAGDASDI NAFGAPERDYDRKDGLMDGDAVSSDDESPVNEQDLTDELATKQWETFTLPPARSLMNL GSRGVLGLTDLGF NEUTE1DRAFT_144928 MSAQVNEVEQQPTVPSTTATTKPDDTGSASAPAPVPMEKEAATT TAESKPSAATDNLKPATDAHPDDKKDAEKPKSFPTVDEVVSQKMALEPTAAGSEFHGL ESATPSAPTHTIFDPSPEKYHDNPRDDAVPPMDGVPESLIEEYISGDDDCEGPGTGSS MGMKAPDPDKAAKKESTEDKTEKKVDEAKEAAVTNPDEAAAAAPSGENGTNGVTGAAK KDNEGDKETKNIPSETAQAKEDAKAAPAPAPAPALAASKKRKADDFEEDYDDNEAEKH DAKKAKTEQANGNGAGADGAASPVKRAPGRPKKTEAAKVDKAARKILKPVGMTQRKTR SQGPP NEUTE1DRAFT_144929 MAEPQYPKHPFLLSVPEVEKALDTHIDNGLSTNQVPKLQEQYGE NELDVGNTIAWYTIFIRQLCNAMVLVLIFAMALSFGVKDWVEAGVLAAVIILNVGIGF WQEYRAEKKMDALRALSSPSASVLRDGKTSVIPNAQVVPGDIILLKMGDTVPADLRIF EAMNLTCDESSLTGEAEPVEKITTNDIMVPGTEKPALSEGDLGIGDRINIAYATTIVR KGRGRGIVIATGMKTEVGKIAASTNKKQRKAGRSMSTKHGHLQPVKGAGLRTWDFIGK FLGLTEGTPLQIKLSKLAYILFFCAIFLAIIVFAVNKFNIPTEVILYAISTGIAIIPE SLVAVLTMTMVVATAVMRKANVVVRDLSALEALGGVTNICSDKTGTLTQGAMIVKKAW LPPSSVYTVRDSTNPNNPTEGKVTFSPDDTKAKKEEKERDYDQERTTQAIKFDVPEGE KEKPMQPKASEMDAEVTPDLRLFLHATALCNLATVRYDEEAEGWKTTGEPTEIAIQVF AHRFDHGKKSLEGKGWKQVAEFPFDSSIKRMSVVYNAPKDNELGYSPENSIVFTKGAV ERVLDLCSHIGTGDDQQPMSEEVRDRILDQMTDFASQGQRVLAVAYKPWDGEYSAPDE AHKGDDSVRAQVEDNLVLLGLVGIYDPPRRETTGSISTCAEAGIKVHMLTGDHPETAK AIAREIGIIPRNLGVLPAGVAESAVMKATDFDKLSDEEIDNLQELPLVIARCAPETKT KMIEALRRRGAFMAMTGDGVNDAPSLSRADVGIAMGSGSDVAKSAAKIVLTDDKFNSI VAAIQEGRRMFENIQKFILHLLSSNVGEVILLIAGLGFRDQDGFSVFPISPLAILWIN MVTSSFPAFGLSKEPASREVMRKPPHDRKRGVFSNQILWDMIVYGILMGTCTLMTFII VVYGKYGGDLGKDCNRGYNDTCIPVFRARAAVFALLTWLILLSAWEFKSIRRSMFRLD PDNHKKFAVFHDLWSNKFLFWAVLIGLVSVFPTVYIPGLNRNVFKHTDISWEWGVIIG MSIVYVVGIECWKAVKRHTGILDDHKVPRSAWSQGDDGGKRERTMSFSLSRSFGRTKS FLARTMTSSTAGNPAADDLRV NEUTE1DRAFT_120718 MAPTKTTGNDVYSVILPTFNERQNLPIITWLLNRTFSEQNIDWE LVIVDDGSPDGTQDVAAQLVKLYAPHVQLQTRTGKLGLGTAYVHGLQFAKGNYIIIMD ADFSHHPKFIPQMIAKQKAGNYDIVTGTRYAGDGGVYGWDLKRKLTSKGANIFADTVL RPGVSDLTGSFRLYKRDVLEKLFQSTEIRGFTMQMALAVTAKSQGFSIAEVPISFVDR VYGDSKLGGEEIVEYAKGVLQLWWST NEUTE1DRAFT_78189 MQRNKAGRTSSGHQFSRLKPAEIDPLAEYGLPSKGEKRLLNHKT QEAYYSKIAERYLAFCTDAGDRDSLQKQFSRLALGETTSTNTSTPLLPAGSTSAPPSS SSSHSHESSTPQLPPPSDLSQILSALRKLREALVASSRLDDFTTQVYLFAVRLGILSS SFETYLPSLLYLLRVIHRSPTHPLTSVEYHEVVSYLVLDTACRRGDLAEAYALRNRYK LRDPKVDATLKALVTDDWVSWRRTKRAVDGYRVKLMEFAEPGMRGHVLKAFGRAYLSV GVEYLEETMGMKWEDMVERFGVGWELNNGRVVIRKIGGKTQ NEUTE1DRAFT_116257 MKVTAALFSLAFASFAAAQDLGNLPPCATECATPYLTNGIGNCG RDPKCICTDETFIKDIQCCLLEKCSASDIDAAAGFASTFCKNNGATNFPTKATCATVA ATATTGGSASATAVVTTGTGTAAATTVTGSNSAAVSSAVSSIESAASSAATSTHTSNP GPRQTAAAGLGAIGGLMAAVALL NEUTE1DRAFT_128330 MGLGILEDRVLEHVPANLSGTTQYFDDPERPQYATDGAHEHLKC DRSGPVPIVLVPQPTDDPNDPLNWPLWRRDLITLVLSITSVFATALGPILAADTVLLV STLKDVTFVKASLLTGYFLLGCGASAFLFVPSSRIWGKRHLFVLGTILLIVTSIWTAA SGNKSYTNFLWSRIFQGVAAAPFESLVNAAVGDLYFVHERGKRMAFTNLAVFGGAFFT PILVGKISNDMGWQWTFWFVAIFSGVCLPAVYLFCPETAYRRDPTPRFSATKKDDDAP KDRGLDQEQEQELKQHPAPSHQTSTDSTTAPKDEEHIHTATEAPASSTGAPNTTTPPK STFVQSLALFNGRKSSDSFWKLTLRPLPLLLHPAFLWACLIQGLLIGWTVFIGVLMAF FFISVPLWWDEVQTGYAYTGAFVGALIGFAIAGGLSDWSARLMTKWNGGVYEPEFRLV LVIPQMVLGCAGLYGFGITLDGMLYGKYHYAVPLTFFGLEVAGMVIGAVAGSLYIVDA YRDIVIEGFTAMIIFKNFFSFALTFKAWPWLIQSSVKAAKIFNALGSVQLVVCLLTIP LYIYGKRLRSFSYRHDILELCHLR NEUTE1DRAFT_94154 MHTKALLLASIPAATAAETILGAYIFSRHGDRTTKAYSPTKLTA LGAEQVYLSGSWYRSRYIDEKSSTRINGISSDLADLTELAVTAPADNVLQGSAGFFLQ GLYPPAGSVNSQKLANGTTIEAPLSGYQYIPVNSATVTSRGSNQAESSAWLQGNSGCP AAVNSSQAYFQSKEYLELLGSTKEFYQSLLPVYESTFPSESATFKNAYSIFDYIHVST IHNASIPSSNLLTPSNLQTLSDLANIHEFGLGYNASSPIRAVAGSVLASHIVSTLNSS ISSSSSQKLNIQFGPYGTFGSFFGLSQLPSVSPDFTAIVDYASSMTFELFTTSSSSSP SENEVSVRFLFANGTASDSNPAKVYPLFGQDKTELPWTTFVSEMNKIALEGGSKEWCD ACGEKTGECADYYPAESAGVAAAAKSGSGMSNAVAGVIGAMVTLGVVLGLQALILGVG GLRLTKRRKQDPSEVFQVPAGKTSFSDTSSTQKA NEUTE1DRAFT_120724 MSVPKSEYLSSVWAPGIFANRVVFVTGGAGTICSAQTRAMVHLG ADACIIGRNPEKTEKAAKDIAKVRSGARVIGIGNVDVRNFDNLKAAAERCVKELGAID FVIAGAAGNFIAPISGLSPNAFKTVIDIDTIGTFNTVKATIPYLIESAARNPNPNPNG LTGGRIISVSATFHYTGMPLQAHVSAAKAAVDSLMASVSLEYGPYGVTANVIAPGAIE GTEGMERLASSAVDKQKMTKAVPSGRWGSRRDIADATVYLFSDAGNYVNGTTLVVDGA GWRRQGGNDIGIDEGMEYPDFLLTGQISKNLKDGRKQKSKL NEUTE1DRAFT_128333 MDTATDVPKKRRALPFKRTVARTPANEPPPSHTPEHNSDQDDPL ALFRRSKDVFPMVLEDLQRASSEEKVKPSESPKEGHVNKKRRVSSNAEGDNEAPLGAS SSSVSALSRTSASRIDSDDDDLIMDVKGKGKEIVRPDKARVPTPRKPLSTTPRKSGTP SKKRFAFSDDEDDHGKDDLYSPHSKRRNPDRFSPKPTALGRSTRATQRGSSPLEGFET SFNLPGASSTSKRKPFQSAALDSDNDSDLEVSEVRTKKRSASFSSSPPPAPSATDPDH EATIVADKADDDDDFAKWVTKAAALEADHANWTIDVLVTSRMPHTKPLTARRRMKQGL KLILDTWIRQQELHGCEIPEDMVGKLFLTFKGNRIYGNSTIASLGVKVDANGVLQLPP GAMTSREAMEGYVIQGGKIGLVLEIWHEEFYEEELAKKKKQRERELGLLDDDDDEDQQ SGTGASGANGWSRGGSEAAEVKKTKIKVVLKAKDLQPLKIAVYEDTPVGTMVQVFRKQ RDIKPEQTVVIQFDGEELNENMLVGAMDIERDETNQFEVYIK NEUTE1DRAFT_78203 MPAPTALRQPVEAPTAQIPIQTEQEDELILDVNEAVNLTDPSAA APPSSDQDVQMAVDEEGRPRFAPGQDVQIIRRAETRKIGIPPNRMSALKANWTKIYPP LVEHCKLQVRMNIKEKRVELRSSRHTTTPESLQMGADFVSAFAMGFDIDDAIALLRLD SLYIQSFDVKDVRQTLGPDALGRAIGRIAGKDGKTKFAIENATKTRIVLAGSRVHILG AFENIGMARESVVSLILGHQPGKVYNNLRIIASRQKERF NEUTE1DRAFT_59525 MSHLARQALLLRRIRQNTTTTTLCMAGKRYQSSGKETKGHHDET KAEAKSSTIPPQQKSEQPQQPPHTETASGSGPQPTPAHESIADSMSKYLHLPHLPHLP HRPTKEELLAAASGFWSRLKVRFKWFSIRSMRPWNADEWGAFVSFFLFGHLVWILVGT TTFFSLIILFINTVFAQETLAKWIGDYLTQSAGLTVVFESAIVPKWGDGVITFRNVFV SRRPGQPKSSVKKGSSEAAAEAAAAKQAAEQEGTAVEEDDGNYTQFDVTIDTVNVALS FLKWWNGKGLLKDVEIKGVRGVVDRTSVVWGNEDLNPLDFRQEHNPGDFELDHFKMED LLVTVHQPGGFRPFSVSIFSCELPQLRKQWLFYDFLSANHMSGSFDGSLFTIHPRQIH GVSAADNGRHHQDEFGSPSAWKKFSRLRIDGLKIDHLNRGVEGPFGWIYEGNVDIIAD IMFPADPEEGLSKVVAEFYDKMEEAVTSNRYLKILDGNISPNSRSNTNSPTLESGPIP ESASDIDLTGHHDELPTSEQHFPPLIPPATPKEPAPIEETPSYLVMDLRIHLNDVRAA VPLFNNPHMSYVNQALVRPIVAYINAKRTYIPISCRIVKRLTDFDGAWTVWDCGLMDD MSAEVYRAFARNVEDQQSRVRRFKRVGLWTVSLVVHALLAGVAGDLM NEUTE1DRAFT_59526 MSGKKWDEEEEESSAPNSPVLAPGRRRFDDEEGNESDVLDSWDA AEDSEVEREKAKKAAEAKAKAEAEAAANKKSKAQRIAERQAERARQLAEDSDAEEETE AERRERLRREQKESDLKHAEDLFAGIGISNDRKVVSKGTIVQIDPKDPNNTIDISTLA LFNPTTKTQFETLRTTLGPMIGKLSPKPHYTLFLQEFSKQLAKDLKSDEIKKIASTLT ALSNEKLKEEKAAEKGGKKSKAAKTKTSLAGVGRGGAIAEAHDTYDDDAFGDDDFM NEUTE1DRAFT_128337 MNTPKVNILHAPGQAAQFSRALISTCHTRPLLFGGLRVVTSLHP TQTSLSSPSPRSFSTTSVTRLKDFFPAKETAYIRQTPPAWPHHGWTEEEMTSVVPEHR KPETVGDWLAWKLVRICRWATDIATGIRPEQQVDKHHPTTATSADKPLTEAQWLVRFI FLESIAGVPGMVAGMLRHLHSLRRLKRDNGWIETLLEESYNERMHLLTFMKMCEPGLL MKTLILGAQGVFFNAMFLSYLISPKITHRFVGYLEEEAVHTYTRCIREIEEGHLPKWR DEKFEIPEMAVRYWRMPEGKRTMKDLIHYIRADEAVHRGVNHTLSNLDQKEDPNPFVS DYKEGEGGRRPVNPALKPTGFERPEVIG NEUTE1DRAFT_128338 MDQQYTDARGRSLSAASTGGGQVHDQQQQSHIRNHSPSPTPFPN SNDGVNNGLGLGLIDPSASQHFQPEFSYGGPNPFQQHSPFSQPGLDFNQGYTNQLESQ DNSFGGLSQPAYSPNLMASNFGDADYGLFPTTTTAGQFNGSLFITDNQPINNVDPNMM AQGSHSPEPPHLLSPEINSPAFAQGRFPMTTGRHSRNASLGPEAALLPGQDWSHMPQF QGHRRSASELSDVSSVAHSPNLGGLDSFDPIENNHSPLQGPQADALYSQLNGISNFSL SDDHIGRSPSHSPAVSPRIHPQQSPDEIDPNQPNHFMLHTPANSFGPPATYMQPQQEA FPQLSLDDPSGMQAQQSMPAPPAINIDFAPAPAKSGLDQPTNLDNNSLALPNRARGRM RPRAVTDPFNNSGYRSPSPSGSLSPSSAADLRPSSARSLSPMDRSGAGSINSRRRQST SSVPNNVIALRLADPNYNGSGENGGGPRRAQKHPATFQCKVCPKRFTRAYNLRSHLRT HTDERPFKCTVCDKAFARQHDRKRHEGLHSGEKKFICKGELPVAGQQWGCGRRFARAD ALGRHFRSEAGRICIRPLLEAENRERQRQYAEAMQNAAQGMMQQQGGMMMSPGMDPNG EFQMDPFVLPQALLAQYPALALLPAGPAAMGDGAGLEEDLGSNYEASDYDDVEEGGYV SGPGTGFGPGSMQEGYGELGYASDYGGR NEUTE1DRAFT_144944 MSRRSRLPSALHAPQEEDSRWSSYTPRDPTATAQRAQKSQPSRS SLPRPVSSSIPRPVATIPRSDPKRRGKDGDSYPDSSPWPWLDPDQDDEFRQVAKKSKQ KKKTGGGGGGGAQQPKQTNNDGGEGSQKEGDDGGYDGKSNGGDASNGAGGGPGKSGNG GDKGDGDKKDEKAKKEAVDDEGQKKDDEEKEAENANKSPLDDDWNQATSKIRQKGKRG DAIGSVTQDKPTPRSLDDKAKSHGNSDKSNDLDEVKLDTATSINANRVFTDNASIDNR SSGNSRIEENKSSGERTSSDSKPSGFPWSALWGGGLKWGAGSHKEQPKTQVKHEDKLE NDPWAKSKNTKTFPGSFDAAEETREEPAVTEPKSDTKGDLDDKQTGMNGKYSANEKKQ DSDDDKYRVDSMYAKGVKKDERKDDHDDGFVVSTKKSKKSKNNTSAWDVEPDAWQEPV VEPKTEPKPELTKTTDLEPEDDFFETFPAVKKNEKSSTRLGQSSRLDAFAPTLKKANP DPWEVFGAKKKKTRIGAAEEPMIRTRKADPELLPAERSSPIAEPEPEIEPIDDEKLEP ILPYKPPTKKAWWDEPPGPPSPVKGKKKKGKYDIADEPPREPDPEVEPIEESKFKTDN TVEEDDFWNHYQTTAKKDKKKSKRSELSEEAVPPPPPPPPPPVEPVETFPWDDVPIKK NKKGRRGQTEPEPPPPPPVELEPVAEPEPEPEPEPEPVSGPPQFPESDEDGEHPGGWF SSFLHKTDKKKSRHTSPMHPPLEEPEPVQPVPEPEPELQPEPETKVEDDHWDYPISKK DKRKKKGKTSETVPPPPPPPPELSQRSKSSKYDKEDEYDLYSASSSKKSKRDKKGYTE RVPSPEPYVSEPVEEVISELEEPEPEFDAEPELEAQPEDTAASDREDRPSHDEWGYSK RDKDKKKKKKGTEIEVKSKTESRSSRKPEPEPEPDSKRKKAKDSIWSLSSDPKKDKKK RRGELDEDSKPLASPAPDPPDVWDDDYQDQKKKSAISDAWANYSNKDKKKGKNAVDVL MGLNKSGDPLADSARQSPPSPSHRPGGFFESWETDSDHDDHHKHRKRSKDSSQKPYSI EEPTLDDVWGATMSSKRKKKANAGTDNAPVEVVVDHVPDPIQPGFVEGSRDDKYNQDD SKPESNSYGSSFWGWGGFAKSSSKSSNKESSLHDEPAPPPPPPPAPTPPLDEPELDIW ADDDDEPQPVVKAEKEDKKKSKDKKSKDKEPKLSEKELKKLEKEKKKAEKEEARRRLK EAKELEEELKRNAREAKELDEALRRKAERERELEEQAQREAEEQAAREEEERRLQEEE EQRQREEEERRLQEEEACRQREAEEQARIAEEEARIAAEEQARQDEEKKLAKEKAKLD EEAAELETLRLKKEKTPRRFTMREQRRLDILASKFEEIARKRQEEEDERQRAEEEAAR IAEEEARLAAEEQARKEEEERIAREVAELEEEEAEFQALRFKKANSPRRFTTKDQQRL QQIIKQHRERKRLKQEEEERKQREEEEERQRQAEEEARLAAEEQARKDEEARLAEEAR IAEEEARIAEEEALLAKDEAELETLTNKKAKTPRRFTLMDGRRLDVLTKDVNRRRDEK AAREAAKKAAEEASPEAADQPGDEWQDADEGQGQSNGEDPEAAALKEATERAIRQAEE EAEAKQTKKSSRAKGKSKADPLDDMQLTPEQVDELLGDSSKRDKSVDSQPKEDKPDTN NHAPGGFSLWGAAKRGIFGFGGGGGWEDHNVQPGAPEPEPSAEDNEAAMAAGGVIGGV PPELWDATPKAVSPSPEPTAEPVAYPAKPTKSKKAAGSKSKSKIADRLRVFESLDAGA ATPADTDGGPPPAAPSTPPPQHSGYPPPPPAPYLVRSPPSPAISPVVAVAVSPPAQRE ERRKSSRRSRDKDRGYLRDDDNDYYLSVPPPPPPPPPVVAPSGAPPGGFPGGFPIDDD DDDDDDHRPSRDMHGDHHDNDPENHREPELVDSAAERRAKRRSKRISPEGVEFDLPPA PPSPPPVAPDAPIDDEMAESRSPRKERPKINRQGTAWGMEGKKPSSRPKIEREASAKK RTAHIETPEKPPRFREKDAAAAVAAPAPMQARFASVFTSTPPLSRSMSQREKRLGPNG KPIARRYSDSTNAIVNPPVPPAAASPPPEDISSKAAKVLGVGARGLGVGLGLANGILS HGFGRGAPAAPTRNKPNLNDDTPVSGANDPDFSPTKSERRRAKQYMSEDDHVMFEMPE AAPPARPPVRRVSTSNANKKSGFSLFGVFGSKQQQEPPPRPEPPRRSRTVPTYQTTDD EGGRHPAAAFVPETDAEREARRAARRARRAEKEAAERGAAIHSAEEARRQKDEARRER RRKQEEEEEARRLEEKEARRAERRARKAREEDDRRAREEEERRAEDQREAERAERRRI RAERAAAEAEAGHRDVNGGRQQDERRRATRRMPPESDREHDRDREDDEERRRRKEARR AAKAAQLAAEAAADEMDRARRERRPREKSTARPEPPRDALPDEYVYPTSRQQSKAWKQ GQGQHDGWPRSGTTSWVKDHAEAPAPPSPPGPLGAFVNDHANGHANGGAPPPPPPPAV MRHELDEEEAARHARRERRARREQKYHAAQAAAEEAERERDRERGDAAYISAHDDERR RTRRRRGDEERPDRAVRERERDHARQQQRDHRDRDPRDRAERSQRDRDQREYYSARDD REQLPRPRERKRGERAADYDDRDPRAERGDRGDRGDRGGDRTGSGSGSDGRENRKSGG GGPGDQGTTPRSSWWKKIARFG NEUTE1DRAFT_120733 MKFTLLSTAVALLTSTAVALPAGSSSSSSSGSLNERSYVNASST ATTCPYSRRSPAYCAGTAQNRTLSATYICGDSRLGPVVLPQFFLPLDPILDIYDRFGG LCPGAFLEKWFNQTGSGWWDYPPQNGFSVDDEGNIIAANLTLQTGTFVDRFGSEYGSF LAPAAAPYLQRSLPPSNLNGDAKFPWNYHVYSVIKPFAVLAGPIAPWFGQPGQGVQYQ TYENVATLIADGYLKAEDPQRLVPRNY NEUTE1DRAFT_116265 MSTGQIPPGGTYLNTLKRSFTDVPVQADKGNAIPTTEFLEAAES LVSIFDVLGSAAFSPVKSDMLGNVEKIRQRFLAAPTESETLQDLVNNEQKAKENKAGQ ALLWLVRGLEFTCKGLANNVAAADQELSTSFRAAYDVTLKPHHSFLIKPIFSAAMSAC PYRKDFYTKLGDDQDKVNAQLKEYLAALENFVIILKAFLDSKGIKK NEUTE1DRAFT_78227 MATALAAGDPAQNGKHEHKRSTSEKLKHPFRELREKLANSSLHE HLVHEKHKIGKFKNLINPQHRHDEEHEIACDKKRTSICTSHRFDSFFPERDGNNIKWY VDGRDYFWAVSVALEKAKETIYIADWWLSPELFLRRPPAYNQEWRLDQILKRRAEAGV KIYVIVYREVEAAITCNSAHTKHALQALCPEGSPGHGNITVMRHPDHNVLENVADMTF YWAHHEKFIVIDYEMAFIGGLDLCFGRWDYHQHSLSDMHPEGIANEIWPGQDFNNNRI MDFKNVKDWKQNELSKAEHGRMPWHDVAMGVLGPCVYDIAEHFVLRWNFIKRDKYKRD KRYDWIELQGRQGEDEDLVGVQRPRFPVGEYVVHPLTPLANKPIVDRGTIHAQLVRSS ADWSSGILTEHSIQNAYSDIIRNAQHYVYIENQFFITATGKEQSPIHNTIGRAIVDAV VRAAKEGRKFRVIILIPAIPGFAGDLRDNAALGTRAIMDYQYKSICRGEHSIFEQIRK EGVDPNQHIFFFNLRSYDRLNRTAAEKRMEQEAGVSYQELQRAQAEEVMSEGIHGTYD PQGGRDSHMGNLRDQKDTLQDSEEQKHSRDAKKRFEEARSKVEKEGTGGDEKVSAYAN ADGELDGNINGGHFNGSNPNASETSSPSRAKDNTRTQSNFTVAHHAMAHTGSVADVTW NGDPEDEINNWIQEELYVHAKVLIADDRIVICGSSNLNDRSQLGYHDSELSIIMEDKK TVQTTMHGQPFEAGWHATSLRRYLWREHLGLLPPQDLDASGDPNAQPPGDDSPNDAWE RHESWKLVEDPLSDELWEMWTSRATKNTEVFRHLFHADPDDHVKTFDEYNAFLPAKGV KPGHIFDRYMPPDDARKKLSQVKGHLVWMPLDFLKDADMAERGLQVNSWTESVYT NEUTE1DRAFT_99001 MDLRHFFVTFLFVVCTWAAKNTDEVREFIYDNRDNKTVLNEEPA PPWVSSPTVRGTGDIIISCLVTLIACAYTAIHPTVPPGGSGKRSFTLIKAALVLVALM CPEAVFALAFQVYLDARRLKKTLKGIIKKGNKEALAMVIFNKIIKTNEKGNQVCERKD LLDESLAMVNEIIKTIEKSNKEAMAMVDMKFCYFVVMGGLQVDISDIKPSDHVQFYFH GHNMPETLPLSADGVIELAKLGPGYLEKLLVEPAVIEDKSKGALVQKCVVLVQVAWMG IQCIARKAAGYPVSLLELHTFGHVLVAMLLYLCWLKKPLDVRQPVFVNPADFKDEPTR EGFQDALALMVQEQFCDLQNLTGFLNLKWKQEKLDKSKATREEDGRNSTKEKTALIVV NQMAQESTPLPTPADQPDQYMGPGKSTTGSQDSVLSVPIEEVDVPSGNPETFDLGINQ YLPCGVGHMPVSQEPNWKCIWTGADNWRVDLRKASGFDRREEDKFPIRLTRADRRRAE RAARHIKVVEELYRIDPVEQPEPYAYKRHGSSGQHCRYTDLGYRRAFQTLKFEVDKLN PLAVNQKGGAQHDSENSNPETIDANRRKLLEEVTPRLIIRYAAFLDATFSGFSKTSYF VCVMVGASVGAIHLAAWDSVFPTRVESIIWRIASLLMMATVPVGLCYRFQIIFIQRYN FQHFRLGYQTSRVWLVGFLAAWYFFLIIISIVVFVTVRGYLIVESFISVRYMAYGVYF VPDWLQIFPHI NEUTE1DRAFT_108379 MTDSVVLKQCDIRRPCTLCIRANVTCATPVKPTTWKTHEAGRPA KKARRRNDSAEVSAAHGRPSEAVSSASSMFLDEAFQQHNTTSPDAAEVSAFSAPRYDL EDGTSQSSASDTSGGQRGGQTHATKTSATRQGMLSALPARDIATMLVNNYFDRIHWFV LVFHQSDFRLQAQQLYDETRTASQCRSIPTAFLGVYLAVCILSLSYLDPSQTATLSRL GEETVSLQERLLGPLRAGLLDIAAEGSLEAVQTCVLLGSYYLYHGQPQLAWPVCGWAL RIAQALKLHRRSSQQAVAVPNLDDPKQRAEESRKRCWWAVYEIETFCSMLYGYPLSIN DDDCDIELLHQYPVRSADPTWDAHERRITGQATLLSYKVAIVRLSIIVRTALLKLYSL SDRSRPQKPARRTNTSDRLRTLVESVAVLDQKLDAWRLELPQQLHLDQMPVGAVAPCR DYVAGAAGPCSRACFHYLFPLQTLSLKLAFENARILIHRPLLKYRLALPDCHQANAPP PPDRSDPCQASVRACQAAALQISDICSLPLVHDAGATYAVAFICLHLLTAAITLSILA SLNTMSQASHDCKMGLRRLMEMQSRLTGKSIVTSQGLTVSKRLMSLVLQKERDEMLNI SLLPDPSRVEIEAGQEVAAASRASAGCNEHDAPESGSSCASALMQRGQAQAVDMLVTQ DPLVTPEDPYLGFYEDLATTQALFDFEQGKLI NEUTE1DRAFT_128345 MADTTSPNSIFVTLKDVAKMIDHSLLHPTMTDADIDAGLEIAKK YGVATACVKPYLISRAKEALAGSDVLVCPVVGFPHGNSTTEVKVFEATRAASEGGKEI DMVINIGKALGGDWQYVADEIKQINEAVVQHGAILKVIFENDYLEERHIIRLCQICSD VGVAFIKTSTGYGFVKQSNGLYTYAGATVPHLKLMRQHSKPEVQVKAAGGVRTLDDVL HVMSLGVTRIGATATVAIMEEAASRGITDEPSRVHFKPMADSSLGGY NEUTE1DRAFT_78236 MDDDISADVNILSQYLSRPDVASLSSVGQVDCIVLCVSSVLYSA QTVFDTLVQQPSLTKTLVLCGGLGHSTELVHKAVALHPTFKSIAAEICGLPEAQVLYA IWNSFYASQVDPSAAPRILIEDRSTTCATNATEARKLLEASAPRSIVVIQDPTMVRRT VACFDRVYSDMAQPPTILGCPIFVPRVKCDGTSGQLAYETPPVNEALMWKMDRFLQLV MGEIPRLRDDENGYGPKGNGSIVHVDIPDEVEQAYTRLAGKIAHRR NEUTE1DRAFT_78239 MASQNMPFIKNLASSDSKIRKSALASLHTFLSAKHIATTLSPID ILKLWKGLFYAMWMCDRAIPQQTLAQELADLIYILPRESVVPWLRGFWATMSREWTSI DVLRMEKFLLLVRRVVGASFRWMKGAQDGKADVSAATATKSKKGGKKGSGKEKSAWDA KRVDQVLDILAEWPFALDEDVKEDSDSEEEEEPEADEDDEDAKPQHESLRKEKTEKKE NKDPLHLLAKKIPAGLKIHVLDIWVDEAEKVGMLDFESDEEALKIVQRIIEKIEELEQ RTVSPAIRVRSKDSLSDDRLPGNDKKPVEKEDEGWGGFDN NEUTE1DRAFT_78242 MAPASTLLRAAAPAPHAHTSFPSHHTPTSSSNRTTITNTNPSAN STSSTPLHRIQTIAKHMALPQTTSFPAEVVPQAPEDPLFGLARAFKADPSPQKVDLGI GAYRDENAKPWVLPVVKKADEIIRNDPEANHEYLPIAGLASLTSKAAELVVGASAPAI AEGRVASIQTISGTGACHLGGLFLSRFYNPYGDASKKPTVYLSNPTWANHNQIFSNVG LPIAQYPYFDQKTKGLDIDGMKKALSDAPERSIILLHACAHNPTGVDPTLAQWREIAE IMAAKGHFPFFDTAYQGFASGDLDRDASAIRLFVELGFELVVAQSFAKNFGLYGQRAG CFHFISAPSPDAASITTRVASQLTLLQRSEISNPPIYGAKVASIVLNDPALFAEWKEN LRTMSGRIIDMRKALRAKLEELGTPGPWNHITDQIGMFSFTGLNEKQVAKLREEFHIY MTKNGRISMAGLNTKNVEYVARAVDKVVREVQ NEUTE1DRAFT_99007 MSLGQLSSNIVHHVFSTVNGAFAWPPSSKVAGTAFFTAAQVLAF YLFATVDNFSFPVTTSYLSLRARVQVENPDIQLLEQIFFNALIKPTLQFGYQLFRSVK DLVFNDFDQESTERIDELLSFDLGQITGAGFVEGPRHGAALQWLCPDGLFWRWEAKGK RLFFDIKINNRPGNHLRVIFREGPDERFDN NEUTE1DRAFT_78243 MADLTSTFNGLLKGHDAPPTKPFTTDTADEFLKEAYRITSLVAQ LHSELRNLRQAYLSTAAPRKTHLHTSARNGSSQPVYLTDRDREEIDANAKATLRDLNA RIRALEDAEQLRQSTETALIQKKYARGLGALGSWAAGGGPLGSSKSKEHAAAEAVARQ LGAHRESVIWYLRQRLQETARTQQAMMETRLTREMEKNRSVLAKARSSTGLDTGNHFG SRRRSGSVVQGNTSHLPVDEYERKSAVPTQDLTDEQIQMFEKGNQDMVKHFESTLDKV RAAEQSLLEISELQNMLVSNLTTQSAHIDQLVAESFETTEGVDRGNKELKKSTNRASP ARYTFFAATGLCAFLVVWDLII NEUTE1DRAFT_120742 MSGPRPSSPSPTASVGRAVDSSKSPLQEQQQTRAPAQEKEDGIT AISPSNDTTTSRPGPVPGPNPDPDPDLHPSDINASQDPEASLTRLSSGPAYTVFPPST RKWIVTMITFAGFISPMTANIYFPVLDAVAHDLNVSISKANLTLTTYMIMQAFSPTLY GDFGDAAGRRPAFIISFIVYICANLGLALQKNYAALLVLRMLQSFGSSGSLALCYAVV ADVAVSAERGKYMGFVSAGINIGPSLSPVIGGVIGQYLGWHAIFWFCLIYTGVWLVPY VLLVPETCRNVVGNGSTKPQAWWNMTVVDYVKGRRRRRHPGSKEEEEEAKRQAERTRP KLRFPNPFNTLKVVFNPDLGLLISYGTMVYLVFILICATLGTQFADRYGYNQLETGLC YLPYGIGCTVAAVVQGRILDWNYRRLAKKMGMTIDYKRGDDLSKFPIERARLQPVIPI LGVGVVATIGYAWALETTTHVAGPLVLLFVVGLCVTGSFSLVNTLVVDLYPEAPATAV AATNLVRCLCGAGATAFIEAMLQKMGVGWTFTFWPLVLVVFSPIIYLLMARGPGWRAQ RKARFQRERERREQKERSANEESLESSDNSSASVEKTEEGDGEKRMVDGIFVTEAKKG QGLSS NEUTE1DRAFT_120743 MGDVRQLQDEHAAAIAKASAQEPSNQAVTLQATTSASGKNVAIL ELPTVTLTCEKDHLVIQVTPSRRLAPGSVPFYNILWAYITPDRNYLRIDFAEETSPKK HQLIVRQLEFPLPSASLQGPREREPLLSPPSIPPVSAATLDAFVARVLDLAYPAPIQR RKRAWVLVNPHAGPGGADKIFEKKVRPIFEAARMPLTVVRTTYSGEAVTLAQDLDISE YDIAIPCSGDGLPHEVFNGLSKRPDARKALSKLAVCHIPCGSGNAMSCNLYGTHRPSL AALAIVKGVPTKLDLCSVTLQDGERLTSFLSQAYGLIADLDITTEHLRWMGAARFTYG FLTLAIRKKTYPCDVAMKVEVGGKEEIRGHYARGVKGAESEVGNGEASGDGEEGGSND GEGEGEGEGEGMPGLKYGTVNDKLPEDWEVVPHEKLGSFYCGNMAYMAPDTNFFSAAL ANDGLLDLITTDGDISLWKNINLQLSVESGHFFDNPLVSYRKVSAFRLTPRYQDPNGV ISIDGEARPFAPFQVEVHKGLGLTLSKRGVFEAPGPHGWDKVTTTERLMA NEUTE1DRAFT_59572 MPQTLSTREANLFRTVIRHYEDKQYKRGLKAAEQILKKNPRHGD TMSMKALIMNSQGKTEEAFALAKEALTVDMKSHICWHVYGILYRQHKNFDEAIKAYKF ALKLEPESQQIQRDLAVLQVQIRDYQGYVQSRFAMLKAKPHLRQNWTALAIAYQLEGS LEQAENILTTYEKSMTNVPLKTDQEHSEALLYKNTIIAERGDIKRALEHLESDCKNCL DRLAVMEMRARYLGQLNRKEEAAKAWRALLDRNPEHPEYYKGLIEALEIDEKDEAALK AIYDEYAAKYPRSDAAKRLPLNFLTGDSFKAAAKSYLTNMLDRGVPSVFANLKHLCSE VAKKEAILALAEEYLKEHKGSEQANGDSSKGVGAALYFLAQHYNYHLSRDLAKAMEYV EQAIELDPKNVDFHMTKARIFKHQGDTAKASETMDRARSLDTKDRYINSKAAKYQLRN NENEKALETMGLFTRAETVGGPLADLTDMQCMWFLTEDGEAWQRRGNTGLALKRFTTI HNIFDIWQEDQFDFHSFSLRKGQIRAYVDMIRWEDSIREHPFYFRAALDAVKLYLDMF EKQQASANGTNGTGEATNGEDAAEKKKAAKKARKEAQKAEREAAEKAAKQDPNKATAK KDEEPKKKDDDPNGLKLAATADPLGDAMKFLTNILQFSPKNIEGQIAGFDVYIRRKKY LLALRCLNAAQALDPEHPRVKEQFAELQKAVESLDDAQSAKVKEVLKAKFSS NEUTE1DRAFT_78257 MVQPTSAPSAPALTPQFCFSTAALRDFLRVSRSAIDDTITQNLN ALVTPSRAGFDPSSTTQRSPQPLSRQIDPAASQEFKDQVLFPSWQARSQVLSYCALVA TSPDPDDPEAAIREAEKQKDRERVVNERLDPYSARFFPREPRTEQLASVIRMEQGVEN IVRTRTWGIVGERCGSDGKTWEQALGEWRKDKHLPLA NEUTE1DRAFT_128354 MFHHVRPSRIQLDDGLSLDSGLGMASQPSSPITPKHLASFEYIT RRLSPTNPFSDDAATGLGDLFPGEGSEPSPVPEPSTPSRELTHPPTPKTEPQPHTTPL LTADPRVTRTLSLKLGPIPKKNRQSTTQLAEQLHHAIDKNDTGTILELIKEGRIDPNI QRPGSSLPPLHEALAARSVDATNFLALSGADLEATNRTKETAIITATKNGFPSASITL LCELGAKLDAVDIFGRSALHYAASSHGSASSPPSSSSGKTTSSPGTPTTVAHAKYVTV TAMERIIHLLISSGADVTAPQDELGISPLHQACRLGLDSIVEMMLSTPRDAYVSAPFY SEKHGNGGIIETQLWSGHTPLFFAVEGGHLTTTKLLIEKHHADINTRTAVNATLLWAA VGHPHILRYLLTLKPLQGQGVERTGSVRKRLRLVNFGRINEEGILGGEGFDLNHRDHG GATVLHAAAAAAQLEDVRILLRKGAKQFAAHEVYDDLAGRKGGTYRQGTPAGIARQKG HDKIAEMIEGWRSSVVTTGKALSPTV NEUTE1DRAFT_78259 MAQRRTVESIQSRPSIPQPQHSSLSEPHPDHEGKHRHHLQLKLR SPAPQPDQDNPQPLPGPSTSASPAPAPTLLVPQPSSAGSAQAQTLPSTPGTATTAIST AATTTTSTSTTSTPTPDLQQPGEEASSATTCTPPVEIVETGAGQSSVRSGDQGIATDS ASTITTTTGATACTTSTHQDPRAPSEIAPPLADTVSGYNQQQIGAPHNGSAPRVLVPT PMSHPQQPTGPPTPRQTPTMNYPAPSPYPPAGMSPGAQYAYGAQAVAHVDPYRTNQTA LPSMRTFDHVQQQHAQHQMPLPNHMAVSMAPNQMSYYAPMHAAYQMHPGQSVVHYALT GLTPDPRIALSGGRHKKEIKRRTKTGCLTCRKRRIKCDEAHPTCNNCKKSKRDCLGYD PIFKQQQQQQQQQQNLTAQSTPKSQHSAPASLTSTPTVPSSSVPPSPYQSQAQPYQQQ QQQSPPPHHYHQQQHPAYQHQPHPTSYPSSHSSNTPYESPVSSTSPGFDYGSAIDPAL SGTDTPSASGTPTPNSQLARPDDRNFGTKTVDDLIALGGAEPPIMNSPPSQAVIQEIN KLYGDVYVTGLSYFFETQWYDLKPDRGILTHHPIQLLLNNQPLMGLFGSFVEHISQPR ADHKYASQLEECIVWGLAKQPLSTRVLANLHTSRRNEFEFWWHLADLAIRKPNCDLQN LRRLLDGQENRDFLYSLAVLREYSYHWNSANIEQQLPPQLAESDPRCRLAVAARFIRT TMEEGTTNVVRRFAQLAYRAYVHPGASLPANAAWRYQQESD NEUTE1DRAFT_78263 MGSEEHQKPKILLLGTIEHAHAAWSRITSIADIIQPTSTDRTSF LSECASGAFSSCLLAYRTFDSTTLTGPLDHELISALPDSIKYICHVGAGYDAISIPAC TARGIRVSNTPSAVDEATADCALFLLLGAMRNFNAGMTALRRNEWRGGTEAEEEEEKT IKMPPLGRDPRGKTLGILGMGGIGKCLARKVAGAFGMKVQYLNRRRDWEGERELREQG SPRVEVEWVETMEELLGTSDVLSLNLPLNAETRHIISIKEFAMMKPGIVIINTARGAV MDEAALVKALESGQVQSVGLDVYENEPEIHPGLLANPNVMLVPHMGTWTVETETKMEE WAISNVQMAIEEGKLRSIVPEQRNMQ NEUTE1DRAFT_144962 MSAPAHKFKVADLSLAAFGRKEIELAENEMPGLMATRKKYAADQ PLKGARIAGCLHMTIQTAVLIETLTALGAEVTWSSCNIFSTQDHAAAAIAAAGVPVFA WKGETEEEYQWCLEQQLIAFKDNKKLNLILDDGGDLTHLVHTKYPEMLEDCFGVSEET TTGVHHLYRMLKEGKLLVPAINVNDSVTKSKFDNLYGCRESLVDGIKRATDVMIAGKI AVVAGFGDVGKGCAMALSGMGARVIVTEVDPINALQAAMAGYQVTTMEKAAPLGQIFV TTTGCRDILVGKHFEVMPNDAIVCNIGHFDVEIDVAWLKANAASVQNIKPQVDRFLMK NGRHIILLAEGRLVNLGCATGHSSFVMSCSFTNQVLAQIMLYKANDEAFSNKYVEFGK SGKLEKKVYVLPKILDEEVARLHLDHCNVELTQLSDVQAEYLGLASEGPYKSDHYRY NEUTE1DRAFT_59586 MAEVQKDAPIKSVQVEALVVMKIVKHCSTSFPTVATGSIVGMDN NGAIEVTNSFQFPSVDVSSSDSHSDASSLAAAAPRAKANIVYQNEMIRHLKEVNVDAN NVGWYTSATMGNFINMSFIENQYHYQKENEKTVALVHDVSRSSQGALSLRAFKLSPEF MTAYKEAKFTTESLRNSKLTYKDIFVELPVNVHNSHLLTSFLHQIPAPPKSAEIPMPA SLDDIRRDPVQIPAHPGFESLDLSIDPFLEKTCDLLLDSIESHYTDLNNHQYYQRQLT REQFKITQWQAKRKAENAARLAAKQSPLPEDEWQRLFKLPQEPSRLEGMLNARQVDQY ARQVDAFTANITAKMFAVRGNLLPE NEUTE1DRAFT_40568 MAISQGPVSVAMATHSTPVHPEKTSSIVSENNIQTTPTELPDKE RYIVVSPYTEQQHLLDLTTLDPENQILALALSQLTCLREDYATAPYRDTFNWDQIIEH VRALARKRNYTWKETSFFVVAFRSQVFESTVENYADLGELDKAAHAEATASGGFLKYW FGTPNEERRNLATCIWRSPEDAKKGGTGPAHRKAAGATRSLYSFWHIDRHRLTIRDNV ESWDLTEWN NEUTE1DRAFT_78270 MGDSAAHQVLIAGSIAAFTVDLLVYPLDTIKTRIQSQDYQDVYA SQKQHSAIKGTLGIQPPKAALFRGLYQGIGSVIFATLPAAGVFFYTYESSKSFLSKTL PTSIPTPFTHSLASAGAELASCLVLTPAEVIKQNAQVLQRSTTSDGKPKSTSLEALNM LRHSPDGVWRRLFSGYTALVARNLPFTALQFPLFERVRRRIWEVRDRNRGKGSEQERS LVETGFVTGLSAAVSGSLAAFVTTPTDVVKTRMMLAAGGKQQPESTSGFQVAKEIVRE RGIRGLFRGGALRTAWAAFGSGLYLGSYEVAKVWLKKE NEUTE1DRAFT_78273 MTDAVVDAAAKMQLADAPVDPNAPKLVLDEETGEMVSKGELKKR LAKRAKKAIKEKNKDDKKNVAATTAAAQDGATPKKQTPKPEEAPIDTQAMFKQGFLND VYNERPVKPVFTRFPPEPNGFLHIGHAKAIAINFGFAKYHGGNCYLRFDDTNPEAEEE IYFTAIEDTVRWLGFTPYKITYSSDNFQKLYDLAEKLIGLDKAYVCHCNDDEIKLQRG GEKGSSPRFRCKHAEQSVEENLQKFRDMRDGKYKPREAFLRMKQDITDGNPQMWDLAA YRVLDKPHHRTGSQWKIYPTYDFTHCLCDSFEGITHSLCTTEFVLSRVSYEWLNKSLE VYEPMQREYGRLSLSGTVLSKRKLKELVDRKIVRGWDDPRLYTLIAVRRRGVPPGAIL EFVNELGVTTSNSIIQIVRFEQTIRRYLERTVPRLMLVLDPVPVVIEDADEFDGSELT VPFSSKNAAMGDHKIKFTKTVYIDRSDFREVDSKDYFRLAPGKTVGLLQAPFPIKATS FTKDETTGKVTEIRAVFDRETKKPKTFIQWVGTDGSRKCEVRVYNQLFKSENPAAAEG GWLSDINPESEVVYPDALIESGFDEVKRRAPWPEAAGESELGKGGPESVRFQAMRVAY FALDKESTDDKIVLNRIVSLKEDKEKN NEUTE1DRAFT_120752 MSSVRLLSRVLQVSLRNQSRRPLTTRFISARAIPAITTTAASQA TRSMASSARRVESHEIPSIHDLYDEGVLPEEGFGVTDSYKQTLPVVVDGDSNAFPTPS SELNKRIAIHHGDITKLQVDAIVNAANNSLLGGGGVDGAIHCAAGSQLVRECRTKGGC DTGDAVMTDAYNLPCKKVIHTVGPVYSSGNHQECEKLLISCYLRSLQIAAEAGLTTIA FPAISTGIYGYPSRYAAQAALGAIRHFLLDPKTPATITKVIIVTFAHQDTRAYHKWLP TYFPPSI NEUTE1DRAFT_40205 MNADESDDDIRDWKWEPFTSTINTIKQNTYDTVLEATQNPDAAF PIPRWTRPNWPQEGVTLPPEEVVPQDGATAVIKGDATSRLRPALLPEDRAQNPTRYAL RYGIFPDDDFRGPRHGRSRMSPIAEAFEHEFQNLDQDPASYSHANIALFKSKFGEEEV YADDEDYQRALFYGFTTKERIDWKAEPLHPDFEPQESNLTGNLHDLLVRDQKWLNMDE GGRPRYNLCGKTGEYSVNDEELWTALQPALQLVTRVLQMDHPFWRACLDSLCGIEVPP HRKSRKHKDKKLIYIDPSEPKDWFAKQGTHMRANLALSYIQERISLSIKSNRQFVAGQ GPGRDDMTGETQPYHRNDAVNFRLEVAIAAEIMWPLLVPQYTIVEKQNCSLVIATVIL HELAHAAHFAMYGHVADTLNPEVRRAWDDPFWANEGKAEIGFAFENQLWGGTLKPPHK TDIARFYPYRTWPIDICLWQWPDPPDHKDHENRADYLRDAVLPTARVGTLIQLRDVHR LFQQSFWDANVPIYGHRALRFTTAGRPSFAVPHDAELRKQDWYETFGFSKGKWLHLAV RAFRAAEYGMVAEYLGHLVDEELVGVRTKSRWDEEKKRWSGRDADVKAHMEKIRNNAL SSMPGDAAVSVTQLNARSTTQELLSALQDFCSSVLAELQHSQRMAVEYLQLSDFDKSY LQVQLWKLQQRIESYGRKVHRIMSLSLPAKITRWMSIVFLDNQLLSSISESITSITLL FSSLKQTRTYLEKNTISQREFDEIAKTMPSIADTVFRTRSQRLQKIAQRELFNMPLMF RQLWEKFHVIFGRISTKLRTGTLRVRPYIMKKKRKKQKKKNARRQSSPGTDYDSELSL SRLTLRSPQSRISPRSAYPQALLASAPAPRPTTRVMSANDPEQEAILSGHAFAGLQIR PRAEGPGTTFSGHNFGNLEIRSRPSTAAAGAKRGRDDDDDDDDEDEPQLPANKRQLRP NQVLPPQRFARAPGAAAAAAAPSSSPAAGASRSATGSAWTSSSSSGSDDDVSDSSSDE SGGESGGPKSDSGGRSGGSPRAGAEGASEDEELIPEGSYSVGSTRSPDIPEGPSTGYP GDPMEISDSDNRGGRRGRQAAPSSSTHHRHHRHSRSHSYSSSSSSSSYCSTCYSSSES ETGIVTNDPNLADPFMDAPPQPNTTIPPQPNTTTTPTTPTTPQRNPPARTSPLRTPHM RAPSRSPYRTPPPAYQKTPPVHSQTPRHPIEGPRPRRRSPGHSPLEGPRGRRPRRQVQ PNETPPPSFLNAVGSIFGLSLGPGSGGRAATDADIRNARSPSTPEFRAPRGRDSPTQI RTPTSGMRGLLAPLGRLNFFGGDGGGGGGSPPAAPAAPRRNVDTRGAPGRRGMEIYER RRAPFAEMNVPNAPRGRAGASGAPRGAQGGRGAGANTGEPSVGWGGLGDVFGDERGQT AGQRENPGDDDMDMDDGIM NEUTE1DRAFT_120754 MRGHFWAVPAVLTAVIDSAVSKPVITTTASPSVPTSEPCAIVSS SWASQKAANPSAALAYECLNSIPLGKDAAIELVDAIRPYIDWQSDSAYKAKPPKEYPY PAYDVFGALDNVKAKLQADKYANEYQFQQELYTTVFGPGHDGHFVFYPDALTRVFEWR RQRSLVSISEDGKSLPVIKVYEDVLANPKNASVVKLINGIDAATYLAKTIDVAGISQD PDANYNTMFYQKATFASSKSTGFFANGGRIRYIYQGANTTLTFANGTSVTLENQAAVK ADMTNVTSGAAYYAKFCNPHGATLNSSAESPEDAPAENVLGYPKPVIITSDAVVSGYF LEGAGYEDVAVIALFAFSSASIAEFQAVVQDFIAEAVAAGKTKLIVDFQNNGGGYILL GYDFFRQLFPSIVQDGFSRWKETDSYNAMAQIVSDRVSGVNPYTTPDYELVEDYESWF NYRYDLNLTNQPFRSFGAKFAPHVYQGTKYTNLMRWNLNDNLTTTNETYGMGIEITGY GSLNPSVVQQPFDADNIVILYDGACASTCTLASEFLRIQGGVQSIAMGGRPNHNPIQG VGGVKGAQVLQYRNIYSSVQKYLPFAKTESQKKALSKFSMLPINRSAAAAVNVRDQIL RDNVNDGTPAQFVVEEADCRLFWTLDMVKDVSAVWKRAADVAFNKGKCNVGAIKKSGK GKGKGPKPGHVGTAAAAAAGRPGAGKGNGDGGKRLSEIVREEEEEKKAKFGISRFGGD GGKTQQQIEDWEAVYRLEVIP NEUTE1DRAFT_116274 MSAKENAQSLKVLDELMQKLTIANEADAVKQASIDVASFINGRT QDLDTPVKTVEALKKQLANKKDATAREKALSAIQAIAQHSEVSAHVEPYLVALLPSVF TAAGDKITAVKNAAIAAALAIAEAINPNAVKATLNPLIDTIRNAQKWPEKMTALDFID TLIRTAPVQLGLLVPDLIPVISEAMWDTKKEVKERAYQTMEKLCQLIVNRDIERFIPE LIKCIAKPENVPETVHLLGATTFVTEVTEPTLALMVPLLDRGLNERDTAIKRKAAVIV DNMCKLVDDPNIVAPFLPKMMPALQKNYDNLADPEAREKTKQALDTLVRVGNVVDGKI PEAQNPGDINIVLGHLKEVLGSKYASAFEKSTAIVEYIAAVAGQLVSEKATESSIWAE AVKPYLTVIVGDAESEAVTDALRKKATPGAAEADEAEADDEEGEDLCNCTFSLAYGAK ILLNQTHLRLKRGQRYGLCGPNGSGKSTLMRAINNEQVEGFPKQSEVKTVFVEHDLDS EDTEMTTIDWTMKKLKEAGVDTSREDVEAKLAEFGFSPDMIKNDISALSGGWKMKLAL CRAVFEAPDILLLDEPTNHLDVKNVKWLEDYLKSSPCTSIIVSHDSGFLDNVCQHIIH YERFKLKRYRGNLKDFVSRVPSAKSYYELGASEMEFSFPEPGFLEGVKTKAKAILRAT KMSFQYPGTAKPQISDISFQCSLGSRIAVIGPNGAGKSTLINVLTGELIPTQGEIYQH ENIRIAYIKQHAFAHIDNHLDKTPSEYIQWRFQTGEDRETMDRANKIITEADEEAMNK VFKIEGTARRVIGIHSRRKFKNSYEYECSFALGENIGMKNERWVPMMTADNAWLPRNE LLASHQKMVADVDMKEALASGQFRPLVRKEIESHCANFGLDAELVSHSRMRGLSGGQR VKVVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKALKKFEGGVIIITHSAEFTKDLT EEVWAVMDGKMTPSGHNWVQGQGSGPRLKEDDGEEEEKFDAMGNKIVSTKKKAKLSSA ELRKKKKERMARRKRGEEVFSDEDDF NEUTE1DRAFT_40112 MSSSNTNPSSSSSSNNTNEPQPSMIAGHAEYIKGAAEAAIGNIT GSHAWTTTGEQDKAHAKASLRAASEGRDPATSGYGSVEETAGKLTGCEWMKKEGAASK SHQE NEUTE1DRAFT_78287 MRLTSVWTSVVQVFSFLTFSSPEDGNDLSSQRPQFPIPLENAHH HPPASHQPIPPSSNNAAEDVVPLYTSGSERSYMLKDHPVVGTPKSPQEGGPARSLDCH YPDMQDWEPCYGPENRTCWLKHKYEDKRIDIMTDYENEWEIPKGKVRKFYLEVSEQPI APDGTTMEHGKVFNRTYPGPWLEVTVKNNLRWNGTSVHWHGFRQFESFHMDGVNGITE CPIAPGDTFTYRFRAMQYGSAWYHSHYSLQYGDGLLGPITIYGPSTANFEADEAFRPL LLTDWNHRSVFEDWPLMLKSGVAPSMTNILINGVGQFGSGGKQKEKYTLYLDEGKKHL LILINTAVDTTFVFSIDNHTMEVIEMDFVPIVPYNTTNLKIGIGQRYHVLVHGLENPY EAERYGNYWMRVIPARKCSKFPYGPDEQMGIFRYNTTYQTMASAPDPMSEPPLYETNC ADEPLDKLVPKIPWTVGNPVNIDPNVDPKKLPANESYIFNVGLTMSGGPNTSTPYIPD DQPYARWDMHIAPFRINFSDPTLLSLSSLDSLITKPHLDIVTLPTSNPDDDKWIWMVI TAPDKVPTEGARIFFPAAHPIHLHGHDFAVLRQSSKNWYDDPEIGHIGEGKRWFTPDK LNCDNKRLDCENPTRRDVVLLPASGYVVIAFKADNPGAWILHCHIAFHASSGLAMQII ENKDLIPAIMEKDKPVIEEKCRKWREWYGEPKNLWDWHEPKHFQDDSGV NEUTE1DRAFT_78289 METSELDRSKIYKSWEVDGKFHSDGREVTLLEYVYSRPDIDQLR GSPQKVLEAIDDFARSVTGLINIGEAKGAVVTKLIAHYKPATILELGGYVGYSAILFG DALRKAGGKQYHSVEKSPLFAAVAASLVDLAGLRDVVRITVGTGAEGIQRLYDSGVLK SQLSMAFFDHHKPSYTSDLKRCERLGLVGPGTVLVADNMILPGNPPYAEWVRASVAEK QELDKNAEEKGNPNLQYKSRSIKSWEPSGHEDALEITECVGIQA NEUTE1DRAFT_120758 MLSGREEKDEAREWTWRLEVEFRRIASSSCVLATKFDHTSVKRE GSDCVPEGPKAGHGEDRGVEVPMMAPLLSYEILTSMEIITSSKPVDRWGESLRSYCLC KKLGMDDDQLFDELTSTEELEEVAGDYDQMSQPEIGRHKRTWKPIIHGVKASQASKMN VSDFDEQRHEADALDTVEAIDEYTSLLHPPPSPLLRPEYSSSSSSSSSSSLSTSTVEA ISSIVKPYNFPLRQDEESQAIVLTGDDSLYRRDLSQSSDSTLTHADGNHHNHHPHHLS GGQWRNNLVLLLAVFLVNSDSAILLAMFRQIASDFDKLTSASWIISSYVIGVIVTQPL FGKLSDIYGRKPMLLIAYVFYILGGILAGAGFAFWGVLLGRGLCGVGNAGITVLISTL IVDLVPIRDVAVWRGYVYAINQVGRAIGPSLGGIISDTLNWRWSLLCQVPLNTFGLVF IWWTMSFPSPSSSSCSSPSSASSAKSKLKRIDFPGSLTLGLSNVSLLLLLDRIQHLPS QKDDNLSLTTFLQDPYTVIALFLWLLSLTSFLLVESLYAREPILPLRLLFLTRNVLSS YAIQFLQTVAQMALFTSVPLYFRLVNGDSSIKVSMRLLFITVGTVLGGLGSGLVIKRT GLYKWVIVVAVVLSDLSFTAIWLRWRGGEGEGGTTTNWWETGYGFPVGVGFGVSLSAA FIGLTAALEGDKKKKKPGEGGGSQVAVATSGFYLSLNLGSLFGVSGASLIISSVVEKT LRKELDGVPDRRHIIKEVMSNMDKIGELPDWLKEVVKGAYTRSFMYVWLYALVAGCLA LGASFIMKEGQLKDTSCQLSDPSSVKKKRVSRPRTRQEERGQRIGYNTFGSGENRDNE EQSHVVQSG NEUTE1DRAFT_59612 MARVLPSPGVESHSFHHNTEDRDVTTFSPGTPPSRSGALPSMLY HHHRPTPSPDMIDIRSSSTSLLDTLDTQTLSSLLKPPGRKFIPSLLLWDEKGQSLYDS ILATPSYYPYRVENTLLQKQISDIASTIASSTTDILVELGAGNLSKTALLLTALDRCL DSSASSSSRAGNGKVVYYALDVDRSLLESSLAQLRKRTATLHHIELRSLLGTYEDGAR WLSQPDLAPYRKTMVWLGNSIANLEKEEASDLLAKFTSSQKNGMMQNLAGFLLGVDGC LDEEKVERAYNAPGGENRRWVLHALEAAGEQLQLHLGESKMFDAKKWKFEGRWDVGRQ RYENYLVPLKRMEAVVKKGEKKVVLEEGEKVFVLGSGKWTARDVQGICEGPGLGLRRS WHSEGDDYGIYWLQPGKKRLDSGIDMKNDEEC NEUTE1DRAFT_78296 MMKVKTVDVLIIGAGPAGLALANWFRNSSINVLLVDKKPGPTRR GKAEGLKSTTNEIFDSYGIGPQIATESWRLEEIAIWGQKKDGKSGIEREQLIQDKVEE LGKVRETMLQQSRVEYHMQQNLLSHRNIIIQYNTQPVEVNVDESLLHEDEVYPVEVLL VKTQVQPQASSDSLENSTLYTANGAAKEVEERIRTRYIVGCDGAHSWLRKQLQVQLEG DLTDSVFGVVDLIPKSNFPDLRRVCYLRASTGTILLVPRSNKEVRLYIPVESGSSLSD PKDVTFDRIIDAARKIMSPYTLEVGSVSWWSAYRVGQRVGSHFSRHNERAFLVGDAVH THSPKAGQGMNTSIQDAYNLGWKLRLVLQPHHKLDSNASRRLLATYESERRPVAQDLI SFDRGYLKLFAAPSSQFDTEFLQAMKFTTGLSIRYPPSCAVQLPNGVQTAEQLGPSLL KADLVPGKRLPDFQAVYQADGITTWIHKRLQATGCFRVIVFAGDLAAHQHLSTNVQKL GEYLADPENGLGHLTAGGEAAPVEVLMVHSADRDKIELLDLPEVFRSWSDSEGYDYWR VLADAESVHEGHGRVYARLEIDPEKGRMLVVRPDGYIGAVLDVDDFEGVEKYFNELGM LSK NEUTE1DRAFT_116275 MSWDLTRRRWMRRLNSKYIFDRFPLLHAIVFIIEMTIIARLTSR FNQFYNERPGAFSKSHSPNAAGSSRGGFESRPCFHTSRTLSLPCATMANKSAMAVLTM MVTNAVLAGVADTVAQSITAVRQRAVRKYPPGRGPNARDDFVAYEIHELDRKNPLNEQ ELIPESRDLPPPFDFERLTRFMAFGFCMAPLQFKWFGFLERCFPITKKNAYQSALKRV AFDQLIFAPFGLACFFTAMTLAEGGGKRGVYEKMRDLYVPTLKANYVLWPAVQVINFR LMPVSLQLPFVSTVGIAWTAYLSLTNAAEDVQHTTPHRAPGSPDIRLS NEUTE1DRAFT_116277 MSLSNKLSIEDVDLKGKRVLIRVDFNVPLDAEKKVTNPQRIAGA IPTIKYALDHGAKAVVLMSHLGRPDGKPNPKYSLKPVVPELEKLLGKKVTFAPDCVGP EVEEIVNKADNGEVILLENLRFHIEEEGKGTDAEGNKVKADKAKVEEFRKNLTKLGDV YINDAFGTAHRAHSSMVGIDLPVKAAGFLMKKELQYFAKVLESPQRPFLSILGGAKVS DKIQLIDNLLDKVNTLIVCGGMAFTFKKTLQNMPIGNSLFDEAGAKIVPDLVKKAEKN NVKLVLPVDFTIADKFDKDANTGYATDKDGIPDGWMGLDCGEESVKLFTQAINESQTI LWNGPAGVFEFDKFAKGTKATLDACVKAAEEGRTVIIGGGDTATVAAKYGVEDKLSHV STGGGASLELLEGKALPGVVALSERQ NEUTE1DRAFT_116278 MASTQPKNDAKSTEPKPEQPVTEKKTAVLEEDDEFEDFPVDDWE AEDTEAAKGNNEVKHLWEESWDDDDTSDDFSAQLKEELKKVEAAKKR NEUTE1DRAFT_120766 MSAALRFWLRGQSAPSNQSSKAPSAAPSPAASSTSLRDQFNKHG RSSTASVSAAQQEASNIEDAMAAVALIMNDDIDGAEAMLRAREDASTFHQLGVGVSTF LRSILGFEKDIMNEATTRLGETETRAWNDMKKAQKEAEKHGVYGGSVYPQGHPSGGSH IYPPGSEFALVNAEAQLMGAVVAVMHESLTEGIKGFYKLRKAYATLDGIMASELLYLQ TLEKKGIITNASSTKTSLDKMPGAFSDSEFATLENTKGANTPSQNGAAADSASVAKDP SGPQTPASASASNEAKTAADVEILDEKLGHLQLDADAASEQSVLPDASPEDTTPSTPQ FSHLDQLNKFGADRSLFKSPVDIFVHSGASMCFGILLLIISMVPPAFSRLLSVIGFKG DRDRGVQMLWQSTKYDNVNGAMAGLVLLQYYNLFFGIADILPSELDIQQLSKPSTGGA KFEAVGYPRNECTALLAQMRQRYPDSRLWRLEEARVLANARRIEEALAMLADNNDSKM RQIAALNSFELSMNSIYALDFKSAPVHFLRCVELNSWSHALYYYLAACAELEQYRDLF HSGEATSSAALKHKKLAEEYFRKAPTMAGKKRFMAKAMPFEVFVLRKLQKWEERVKAY GLDLADVICVSPAMEMIYLWNGSKRMPPHLLEKARTYLPWERCTAPADKDVVAKIKKL EQDEVAIGLLAESSFLRQLGKSVEARKLVEPLLGVDKSVFKGPTRDDYCGAAAHYEVA AVAWMEACSPEAWPSAPEEVEAYRKQKTDECQLHLDKVSKWDGFVLDARFGMRVQAGI DSVKWLKNKKGWM NEUTE1DRAFT_116279 MASLLNLPFVSYFLPSSMTAWSTSLNLLFFYMTWTTLVLSQSPF KLEILGTLGIRLVFWLLPSLVFLLFDTLCPGISVSLKYKGAVDLQDRNVRTHARLLGL GLLNLLTSTAVQALISLGVSTLFGVPVFRTTTTLPLPWQMAKHIAILYLGREILTYYI HRFVLHAHHENSKRGSGSSLTNRLTNLHIRYAHAGNNPPS NEUTE1DRAFT_40909 MEQQQLPEPAAYLAAAPPIPRRSRERDRIRNLADQQDENKKVET EKKTSGQTWRQTLENHRRDPNRTPERKDKDPRTDHASAAASLAASANNANHNRDRDPN QPIQTASPEVISSLITSLSSISRPLSTHFESPFYLESIGPNSPIDLSFNSSPTAKSGS FGVDYGAFSKPSETQWQHQDVPVDQATASPPVVRTGRSRPTSKISVSSKGPKSPKSPA HTREPSGFRSLLSRGSSSALSRSSSKASLASGVESIGKLSIERGSEPVSPVGTDPKPL RRQKSADSWNWRTAGRSQRGLMYMSSKERLREQEIEKRTSVIVLSTPTVNMFPETETD EVAPSPAIAQSRPQDRDADPKSKRRSAFLNPDSLVGNGDSNGNSDNKNKRASKGIGPH VTYERPKSADSIDDTVEAYLCSPRLSQKIRHPQTGRVISFSEVGDPNGSAVFCCVGMG LTRYITAFYDELALTLKLRMITPDRPGVGDSEPYSDGNTTPLGWPDDVYAICQALKIT KFSILAHSAGAIYALATALRMPQHIRGKIHLLAPWIPPSQINVIGGGSQNPLPPTNAI PTSQKILRALPTPILKVANSNFMAATSSSITSSLPKQKRAKRDKKKDKSSSLGIIENK DSDRPATSSGIISTEPTGADEDMDRIRPTITNSNKGIGGGSHRHRHTRSKSSNLAGVD EHNNHSRHSTSGGLSDADVAAALALAERERQQQYDHRLTHAIWQLATTGANPAVDLLV CLERRHTIGFRYVDITRPVVIHHGSRDTRVPVENVKWLGKMMRRCEVRILEGEGHGLM ASAQVMAGVLMEISKEWEDWGRVTGQNPGVLSERGGATGTGKGDGSGANGGKHGHGHR RGTMDRLGMSMGMSFGLGGGGGPKDT NEUTE1DRAFT_38912 MFLRSQSRTPHRSPALQRRPSDTEPLVIASGSGTQDHTQSSPAR TSLVNNLNSNGSCTYSDDDGDYDQQQSSSSCPNNMSHKHPHNLLNSNSNKLCHKRSTT STFNTYSTAPTAPIASSSKVDVTNPFEDPSHIVNEPSPSGSSTPSTIPSDSSTPQSHP LYRTNPNSNSFHPHPHPPHAMHSNHGLDGTVSANAGFTAGTTDHSLPVPVTNKRAHTS TTISSRRSGRSTSSKLSRRVKKLPRAMRRVVKRFLRRVTGKSRKKEKMKVTWTAPQV NEUTE1DRAFT_78313 MAPEQKSDISIRHSIRWIPSSSPSSSSDTPPPSEPTSTMVLTSP SGRFVDLRIFKEDPSSPNHTSVFINPNSHTGSEPDAEEAKTLPLSRMDWAIAGTSTHT STRPTIGVLHTEAGGGPSPDEAAADEGDMYRIPGEGNEKLALEKGRMVNPESGQEEEY EEVWVPDEEGATEPLYVNCVVWELDDKLKNKKGRVVKVGRWAQGISRRGNELLCERWK WAGGENKESVWRLEARVKGYVGGEEKRKVDEKDGSYLDEPLVFPEALIPEMISVGEPG KTKEVGDTVKESKGDVWTLVEWCP NEUTE1DRAFT_78316 MASSTATTTTDPIITGTGNARFNADAANWDSNPSIQLATSLAFE SYLSRLPPPSELSSFNVLEIGCGTGLLSLLLAPHVRSLTAVDAAEGMIDVLTHKLSPG GTYEQVKNVRPVAALLEDPEDPIIKIDPVTGEAWEGKFDLVISHLVLHHVADLAALFK TICGTLKPGTGRVVTTDFENFGPEARRFHPEAKMDGVERHGITKEEMEEILKGVGFEE VKVERGFVLQKRVESEPGKGDMEKGPVMEFPFLVCEGRRV NEUTE1DRAFT_120770 MSVDKAIKLTRSNDQSITVSSGTDTFTIAFFEVPRVSDNAVRRF QRVRKPRTDVNETIKNSPGPHNFPFTIYGSPTEDNAKDKKKQKEGSRSHFLAIKDDKA FAIRMIGDCNESAFKVKVRVGGVNVLQHTTHGRKSQDYFVVSGQEWVWGKQVCWNTAR QFRVFPRGREKLSFRYQLRKNNIKKEVEIEITSRRLDQPTGSCSYLPPPPSGMTLDGP GLSATYIPELPCYHTGPSTPYNHGMVPHASLLTASHELDSEDYLADEDFLDYIHVGEL HHRTYHSSGRRRTPLIHMSGPPSPADPTDVVIPLSSNDSPSSSQPQHISQTSTFDDTD SSDSMSAGFRPRSTWLEMFGNGPIPPEAPLHTPTEPGHYELVLRPPSPVESLKSNPSS PVEVDTFKEASELPPLVSGTPVYEQESVTVAAPQPASDQESIHSDREEDMIPHQMVVG AGGLIEQLVYLDRHPKWIDDDTVTLKFKIVGDPQHLPKHLQNLEEPAETAVGGIRIRG TGPGGNYREVPNPPRRTFRALAPVVTDPDGLRRDIRSPMEMDLSDDENGESPESPIDS GEGSMGERDEGGRETDDGGEEGDARGFRELVEEAQTQPRGFKNKIRMKKKMREQRKRG GVRIMAEKREEPKSERSSRWRRFVDALRRVLTLAR NEUTE1DRAFT_116281 MTGPLPGQKTVLITGCTPGGIGHALCLEFHKQGVHVIATARNPA VLAEMADMGMSTLALDVTNAESIKTCHEEVAQITGGKLDILVNNAGRTHTHPATDIDL DDVRETFETNVFGVMAMCKAFADQLIAARGLIINIASLAAITPYVFGSVYCATKGAVV SYSRTLRLELKPFGVRVMTTMTGTVRSQIASKTHRTLPENSLYMRVKEFFERRLTFSQ NNATVGTEEYARKLVRNALKGEAPLILRSWFGRADYFWAGGWARLVWAGTFFGDWLLD LVMYRMFKMNVLERVLAQEEAQKKLN NEUTE1DRAFT_120772 MQSRSAKDTVWFTVVCFLALITFVFAECVREGLLAAAHSYVATF SAGKFDGLKHASSNFTYQENNKAADIKRGVLSQSHNISLNRSTADTIACASYTMIISL ASDSNSKPYVTATQIRHPADGDTSTISSIDTIAATTGSLFFNAQKTLGYIQKENWGVI ETAANRPSRELLRKVGDAYLDMWTDAKAADSIPWGADCERVEGSQYTRPCGGQLPRGG SSKRNGYRRYVIDEVMGSVDVLCSFDALGNMPDSHEIRVEGGEVKGRGGGGDRGGRGG FRGGRGGFGQRDDGPPAQVLELGTFEHAVEGEMFYKSTNPKIPHFNAQVFLENKTPIG KIDEVLGPLNQVYFTVKPQTGIVATSFKPGDKVFVGSEKLLPLERFLPKPKVLGAPKV KKAGRGGAGGRGGPRGGARGGGRGGFSSRGGGGGFSRGGGGGGFSRGGGGGFSRGGGR GGSGGFSRGGGGRGGGGFSRGGGRGGRGGY NEUTE1DRAFT_99046 MADDSEPQDSKLSTAANIVGLLTFTLAALNLVIVRVLQNERSIV NQLRKRGWRNSLNALEQKIRYIRLFNPSFVGFGRDQIHAGHASGERSDLEDKQPEERL SEIFKDVQNCYDQGKVNVNETEAIENHLAEQISPAGYARATRRLISQWDVEGFYEASM SVWRQLYNRREAREAAGKLEENYQELSSIVADVDSHILLIQGLKILQLQSTIDRQAQA VSELENVKKTLEGTPPAPAS NEUTE1DRAFT_38184 KTSPKKTYNTWDSLVVTDPTTSQAIGSLSMGERTGSRVFYYLWS YVMILGWSGHYEAQRKHHW NEUTE1DRAFT_78324 MKTFATLLASIGLVAAHGFVDNATIGGQFYQFYQPYQDPYMGSP PDRISRKIPGNGPVEDVTSLDIQCNADAAPAKLHASAAAGSTVTLRWTIWPDSHVGPV ITYMARCPDTGCQDWTPSASDKVWFKIKEGGREGTSNVWAATPLMTAPANYEYAIPSC LKPGYYLVRHEIIALHSAYSYPGAQFYPGCHQLQVTGSGTKTPSSELVSFPGAYKSTD PGVTYNAYQAATYTIPGPAVFTC NEUTE1DRAFT_144985 METPEESSPDQILRPPVNSSAGEIRLLDIFPSENKSSPIACSYR VISLKPSEGQQIPFYETLSYAWQDAGSDPETEAPQILIDHTSFPVSASLLRALRRLRF PDRIRTIWIDAVCINQSDNVEKTQQVNLMRRIYASCTQCNIWLGYPRDVGVSEEDAQA AFDTIAWISAAGEAMDARFSGIDVPNPALPDWFQDGEDDGKEGLNGELRLRETEAFYK LFRTPWWSRVWTVQEAILPPAATVYWGACEMSWHLFDMASEAIMGPTDGRRDEHVGDL MPDEFDRLQLLNYLTCVMRGISFSSAGEEPVSTLYRWRDRKSTDLRDKVYGLMGLKGR AVGEEEEEEEEEEEEEEEEEEHDDDDDDEEKEEEEEEEEAGEEEEEEAAFLPGIPSCD YTLDAQTLFCRVSWALVKQSNSLEPLMGRRGEGAKLEGLPSWVIDWSQDRWSDGFFYF DTEEHKVPVQVPEADYWAHKSLYYEYCADGPVMVGGGPRLLDESGRVLGLDGFLVDRI AVVEQREVLGRQRVPDDQVLAASAARYGELMGRCHEYFSSASEESRRRWLSGGWMQEY LGVVTGKLDAANPDHYPFDGDEGSWASTYLQHHVLFVTEGAKVGFGPVTCEPGDEVWI LELCRLPVVLKPLKSEDQQGEGANRHHVTWVGDCCVYGIMMGEAVKGKEDAWVEVAVH NEUTE1DRAFT_37963 MSSTKVDSNTAAERCQASLWHEIKEYIEASVKKTHIPTTVPFAH CYICLGELDICGIPLVGTAEKARAGCFLPCGHFFCIKCWKAWIRKRPEPTKHYIGPTI PIPRAGIQENRSLTSMDLVKCYEYGQSKLYRRFECPICRTDLHHTGCCCEVRPAMIPT NQCEQGTDVIMALPTTYLEVHRDARASPVDNCMTESEKDDRDDKQDRTDDDCGLTDNE QDALDDGYEMGDDQNSIIDDEENSDGRIYDRTRQSTNNYSSDKSRTPSQYSQQQPDGS VRSITDRPVVYDINTIPQPSFSPMMRLRAQYLRLHDPRGWDCRVVGGRLDKIVDLVCG QCLYDLTRYRWLKHKYDEIEPAWKNYNAHDSTLPDKLPNGDDLPGAGLRQFPGLSWKL AVINAYLCLDSSGRKRQPTWGRWPRELDYMLKQRGWTEGVDFVDWKKAEAMPAIHPKQ SEETPGDLGVVNRFLSRLCSFFTKANNEETRDTEGPDAEDPETLALSVDWNPSKRALD NGLRLCDMEGMGPYPDWDELLEESAWRAHGISKVPRDRWGQAFGRKVQDHVLGEWVEG HGHVILQTDKFSFCSRRRLRSMQELDPMLRARDWTLGVQFVDWREGSKNAEESPETDR DWTPSQQFVNSGLKLSDMEGMGEYPDWDELLEQPEWQARGISKVPLDHGGHGPGHVMG CWIRKYLRLC NEUTE1DRAFT_78329 MGSTTPTASLPSASSPSLTLTLAHEDERPKTWSLTHPMWGPALS HSEYLAREHFMTTLPLAKDGGLTHWILTDINSPQAENNNQRPILSSCETLRKHAVAAR HDPSSDRVILTEGISHGIGSVFTDPQYRGKGYANRMMQELGKRLRTWQEEEATTLFSV LYSDIGKSFYAKNGWKAFPSAHVSFKPIKSSSSSSSSSSSPSSKPSPFSPATPPFPPN LSPISYHTLPPLCTLDTTHLLTQTLPTLARSNPSKTHVALLPDLDCLLWHLLREDFMT QHIFHRTPSVRGAIYSCPNRNGQRVWAVWTRSYYRGLESESIEGNHMHILRFVMEGVE DLGNEESLQKVTEDPETEKYLVEAFRAILAVAQREAAEWRVQDVQMWNPSPLVSRLIE KAGVEGGYEMVERDMDSIPSLMWYGAEGMEGGRTEDVEWVANEKYGWC NEUTE1DRAFT_59649 MATTRDEKTVNITPAGSDQAPASPIKYDEKIDGIHEVGLDRMDS EEAQVLEGHLDVTEEDLLQAKAAAETLSLEEVVKLMKNVVSMHGRDPNFPHVVLEKIQ EFLANEQNILSNPEKYDDIITEMKIEAALIQSNSPYAEVRAVVDNTDDPSTPCSTIRS WTIGILFSVILAFVNQLFSVRQPSISIESNVAQLLAFPLGKAWEMWMPYYEFTLFGTK HNLNPGRFSKKEHMLIAIMANTAKSLPYTQYIVWTQVLPQFFNQPYANSFAYQILIAL STNFIGYGLAGITRRFIVYPSYCVWPASLVTIALNSALHHEENHIVPGPFKRLYRMSR FKFFMWAFGAMFVYFWFPNYLFEALTFFSWMQWIAPNMFNPFPTFDWNVLLFDSVDPL MVPAFTTFNKTFGMAFFGFIILGIWYTNVWNTGYLPINSNRVFDHYGSLYNVSRAIDE KGMFDEAKYMDYSAAYLSAANAIVYFAFFAIYAATISHIILFHRAEIAIGFRNIWKTV KPKRWTKRSAAGESVEEEDVGYKDVHNRLMAVYPEVSELWYLGCLVIAMALGFAGVAA WPTYTTAGVVPYGLFLAVIFVIPIGIIKAMTGIEVTLNVLAEFIGGAWVEGNALAMNF FKSFGYVTCAHAISFANDLKLAHYIKIPPRHTFTAQMVATLISTFICTGVLNFQVNIE NICQTNAPMRFFCPGINTFFTAAVLWGTIGPVKVFGIHGQYKWLLLGFPIGLCIPVIF WLIIRKFPRNKFIRQFHPVALFYGALNWAPYSMSYCWPAVPIAWLSWIYVRNRYLAFW SKYNFVLSAAFSAGIALSGIVMLFSVQWARVSVEWWGNTQPYKGCEDTACTIKTLSEG ERFFPWWDANKVPAP NEUTE1DRAFT_59653 MARSESQQSVFSSYAPRLRTYNNSLLTPVLPGTAPANPLSRTTK RGTTIINYAEDGYDDYDDDDDNTRSRRRPTGLRSVQPDDGTVKADPAEKVGKDTHEPV EVQGIWRDWMGRFRQGRSDQQNFAQASLPLTLIPIRIDLDIPSYIPPPSLPPHAASLD PSSPLFKPQEPTVPYRLRDTFLWNLHETLITTDQFATVLVQDLDLPNRNQTISEISKQ IRTQLEEYAGVALHPLFHSHRDRPATEVPKPTNLLEAATSTSNTPAINGNAVSTPTPA ANGTSAVQTSTGEISAAATPIPPDADDFSPDDTYRCIINLNINLSSQVYTDKFEWSLL HPPGTAEAFAKQTCADLGLHGEWVPAMTHAIYEAVLKLKKEACESGGLVAGWGTTSLG VGGVEFPNDAAVTAGGEGAGWRYDPEHLAEDWEPKLETLSKEEIEKREGDRERQIRRL RRETARFSSNTGMAGGVPVGFGFGGLIEQEEERMGRGERSKKKRRFRSLSPTSGRHTP DVGGGGGGGGGGGGGGGGGYGGGGTLADNERNNWRCSCCRVWGTSVWAVRDGPYGPRS LCNNCGFIYERDRKLPRWARNLHATDPKPL NEUTE1DRAFT_99052 MTTSADIVLPPEGTLTTHQIDGICIMRKTLLGSPSYDEVWGTLS LTSDAINLDVPTMLLWQDEGQKQRNVLFYCIDEGEEAVAMEICQILLDAKNKETLQEL VDATCYIHGEATSFRELAERKGFERILKMLERVGCLSSIST NEUTE1DRAFT_135946 MAQKDSPTRLFTTTSHQEVSPPPLKRRRLTQTPSLALDSPRASS TLQTAGQSRRSSPSKDAFRVFSWNINGINTFLSSTPSARKITSYFTESTKTQKSGTAS TDAPPNASRLRTPPGQAPSGSPHSLRAFLGRHNWPEILFLQELKIKPQDGPVLLPKIL SALNAPLNAADSVSDNCTYTLNVVLPRDKHNAKAFGGRLYGVGTIMRTDFARKWVATV REVDWDIEGRVSVVEMRVAPEGQDENGPATKPLALLNVYAVNGTTQPYRNPLTGAIHP NCPTRHDRKLVFHSLLRDECLSLEARGFSVVVAGDVNIARGPADGHPNLRTFPEQHCL NRVDFNTKFFGDEDNKRAGAYVGTQDEKNEKCLDAVDVFRAKYGMEKRYTYYPNRGEW GSSCDRVDMVFVSKEVWDDGRVIDTGILDTPQERGPSDHVPFWVKIAL NEUTE1DRAFT_120779 MDPVSIASAAFALAGGIIKCSVSIAQFVRQAKHARNDLDRVTTE LAVLSGLLTPLTVALNLIGSQARGLLPSEQLAQIEGPIKECDLLVTRINEYISLFKND AIWTRAKRAMCGKGHVEDWCESLEVHKQSLNLSLLILSLSDTQEIRRDTTEIRGTIQR MHKTVREIRDRVVVPRRSRSAPLARPPRDVQQWVDNISTLWLRSPSGYGSEYRSTIPT RIPSSTASRYFSLAGSENESSALRRQQPTRPGELENLEVFQAPSQPPYRPSSELSSIN WTEIYNSPTSSQNEARLRSEVSQAPSQPRFELPSFNWTETYNLPASNQNEARLRKSGT SGTYVQCYGSLASSSAPTTTTAQASPTTPVSDTFPVCELPAFQ NEUTE1DRAFT_99055 MATPNYTPEQISALRALRDRLRSAQYTWEVRQLLKNTASATGIK QLQLVQWQDGDNNRKNLLHFLVDERLEKVAIILIEVFWNRKEEDQRQLMHIITYPKCY IDSPEGSGDYTVERLAEGRNCEQLVDIISRFRELCPQDTGEEDEDEEEETEEERATTI ERMRRLQRLSTPIPRTPSPSNGSLPTVPLEPANFSPLHVFTTTKKKKKKTPLHPPRAA RPVSSSPRSTPPLARGGTPVEITIWSSPPPLSPSPAAATQTPTLLRGSSGAHDDQPQP STPPPFIPSTPPPAPGARDTPLWYPPSDHSEHSEHSEPEPEPERDEQRVREGQRIQRH SPLPPPGSSVPVLPERTPEPVTPVQDLRSVDELKPGYWGVNMYAEEE NEUTE1DRAFT_120780 MPPPPPPANRTMSPPTRRPGDRDQLYNPRQSDASLPYRPDNETV TIQKPNGSETTLKLPPSSLKDLPYRPAPNGTSDPKRRPSDPAYRPGDRDRDREPQPQR RASSPAPGAIPPAPVSPTSKPSYAPGHSPPGSRNPSHGNYPPAGSNGPGGFPTGGRLK TQTPPLDPLDPRSPSFVRRPPPGPAPINGGMNGDHNGSLNAIGGGSNRRPDVVETMPG PAASLPSKKDDRDRFDRDGRPRPSSDYTRYGSERPPSPGYTKPIRPPPPRNSSSTGNS DYGVPTSKPNGKVHPNPNPGATNPTTRPSIGPGRHGSIIEMMPGPAAHPTPPALGHNH SHGHIHPSLGLTKPSKNTAKLSELEEGLLYGDTERYPPQMELGDYRQATECSLREYMA LQRKRRQISYKIAAGNSGKEAAQVEEKLRHQASTAIDELIELRGRVAEIVRRGEKARW RRYLWSGAFAIFIPLVKSFFRPSSVDRGAHAREHRGERSHNRTEYAFRKSKSLINRIL SQARRPGLASLSFLVFAVLYVFTNEVSLRAARTVSRRLKRLTAKVERGRELGEDDFRG LNGWRWGVLELTA NEUTE1DRAFT_144991 MAAPSLFSSSRAAANLAWLAPEIQPMPMPLDTSRPIDNMVTTNS TTHNSHRPATPADKRPVHPASVITPPCSPPTPTHQRKLVTVRRVQKVDMESHKIHDKV VIDGWTVAAARHSVRQGQLAIFIEPDALLPAVDEHYWQFSQAGNRTWIKGKEYFRVAT KCLGSPKDPRSQWVSQGLVLKLEDFPEINADVIRKGRLAMFEREQTSQQLVDYSDMLG VTKWEPHGTAGPNGNGTVLVKIPSFIQRTDTERVQNCLNLFTKEKYKHIIYQETIKLD GSSMSVYFIPKTSKYFGSLHRPHPGSEAQTVLSSGRFGVCSKTIDLPYSKDCPYWKAA LANNLPAILEGLHQKKKNGTIAIQGELVGPRINGNHHNLPENSDPEFHVFSIWDIQNL KRWDPRVVKNFSDAHNLKHVKVMGYHKLHDIAESHEDLISLAEVRGGEGLVFKSCVDG RWFKVLSPQWIVEQGDEKDALKANKSKTGGEKNNISTGKKNDASSGNNVKTTDIHATS SGQVREVKAETKGFGQQQANDYDSRGKDRPNHTEVELREAEIQEEKMMMIENWLDNAA PDDAKMKAEEALVTDHMKPEDTGEGMAEKALITNEPENTSEGMAEEALVTNEPEDVGE GTGEEALVADKAEDTGKETSECVSIQDVGEKSAEKKAGNLSDTLPPKEFDNVQDFLDA AVTWLGQPMSHREAIEEIGRIILTEMAINKENIQASMKDLAEVVRDELKFVTPDESVA PTVPDTPKSPTSPDTPTTLDAPTTPHETNPAMEPVIVPELVTGPVQQDLMGNPTPPAS EHTDEQNVLAGVNWDDYKVIKRGGQLVCIRREDYVPRSPPSLYPSAEEMARRREKRRR SRR NEUTE1DRAFT_28332 FGPDPALRLDMHCLDVCIKRLSQQWETPRLVTTKVDNNDFPLFY PKDDATSRYIAEATSVVDRVAQLSQD NEUTE1DRAFT_135951 MWDSDKKLDIILEYPNPPSLAALKSLPEFTYGDEHGFAVHLFYN TLKAQTIAFDLLVEFNEKFAPKRLQKASKWQRRGGTTAQAATKMPVKTAKTEPHHEST LTKPIDCWRKGVRKPDVARLRLRQFHHQCTPSSIKSMTRSDLLSASNKEADFDIAAKE ALW NEUTE1DRAFT_59660 MPPYNPFKINANFLRPPLKASVMSTQVAVAAGVAGLGIYAFYLR RNASGSATGTALATNMDASDLKPGKLPLSGFGFHSLRLHSTELINHNTKKLRFELPDP SQPSGMGLTSALLTISFPKGRWLPVIRPYTPTNDLNEPGFVELMVKLYPGGKQSTHLH SLQPGDTLTVAPIPELKWTPNKHPHVAMIAGGAGITPMYQLVRGILTNPADKTRITLV WGVNTDEDIFLRDQLAELEQNYPGRLKTVYVVAQPVAQSPYQKGFVTKQVLEQAGLSG ATEKSKGTKVLLCGPPAMEKALKGTKGWVGAGKKGVLQELGYTQDQIYNF NEUTE1DRAFT_120783 MHALRDRPPPDVSEKEEGDTGSQLGEDADTLHPSDSVSNRNFHG HAQVHEGPRLNIRTELKKNDAYDELPFSYSKSTKWMILTVIFLIQTSMNFNTSLYSNG LAGISEEFGISLQEARWGAAIFLITYAFGCELWAPWSEEFGRKKVLQTSLFLVNIWSI PVALAPNFATILVGRALGGLSCAGGSVTLGMIADMYEPADQQVAVSYIVFSSVGGSVL GPIIGGFVETYLDWRWCIWIQLLFGGFVQLLHLLFVPETRCTIHLDRIAKKRRESGMA PNLYGPNELCPIRQRFSWKELMRNWGRPFRMFVTEPIVLTLSLLSGFSDALIFMQIQS FVLVYNQWGFSKIQIGLAFVPIGLGYLIAWLSFLPVFKRNKRARDLKPHSEHAQYESR LWWLLWTAPCLPIGLIIFAWTCSGPPIHWIGTMVGSTIIGIANYSIYMATIDYMICAY GPYSASATGGNGWARDFLAGVLTVPATPFFSNIGGKRHLEIANTILFAISLLLVAAIY ITYIYGPTLRKKSQYAQKLVKNTTLDEFGHSRPPSRISDRDQLCL NEUTE1DRAFT_78348 MADDGDMFLNFEIGDAPIKQTVKYTGGRWRDRVKAQKGEKGGQD QTKQASTARRDTGADYYTNRAAKRQRTEDGDSGRFSKTPRTGNAAERGPPPPPTHAMK TGLVSSRLFTSNPVPVTDFEELPQAEEAEPAQPSNAPLSAEAENFLSLGLSRRVSQHL ATKLEMKAPTAIQKNTIPQLVKEDSDAFLQAETGSGKTLAYLLPIVHRILALSHNEDG TPKTTKVHRNSGLFAIILAPTRELCKQIAVVLEKVLRCAPWLVCTTVIGGESKKSEKA RIRKGVNILIATPGRLTDHLDNTKVLDVGTVRWLVLDEGDRMMEMGFEDDIKTIVGKI RAGTLQKKNAEGVVLDGVLPSRRVTVLCSATMKMNVQKLGEISLEDAVHITASKSDME KDAETGAVETAFSAPAQLKQAAIVTPAKLRLVTLIALLKSTFARKGSVMKAIIFISCA DSVDYHFELLKSTTPRAEPEPKPEGEAPTKPNIHIESTVAPATYITSPANPTVMLHKL HGSLAQPVRSATLKAFSECKDPAVLITTDISSRGLDVPAVELVIEYDPAFAVPDHVHR IGRTARAGRAGKAVLFLLPGSEEGYISILPKSTPIAPQLYDSILQKGFATNINVPGTE SGILETDRQSWASRAEALQLHFEQRLLAPLPGATPVFESKSEKFAASKQGKKGKKDAK KDENKTPDNPLLVSARQAFRSHIRAYATHVREERVYFDITALHLGHMAKAFGLREAPG GIGGGVSRRTHRPVQPGDKTNKTSKSVGDGTARRPKKDDDDERDFGAADEDAGRRMKE KMKMMMGNMASEFNLG NEUTE1DRAFT_78353 MGENDYDDNAFVNRHVPEEGTAPATAGHSAQPVPAPTSGSFSSS NAVALMSSHHGDISSVASAAASGPGPGPVRTQKKRAQVSKACQRCRRLQKGCSESRPC QRCIGVGLEDQCLRSERMIGCHQSGSRQQGQHPQTQIHVLLPRSHAHAAGLVSATASA STGATTTATTTTWSFTQLPASPESLVNYPLSGGAASASSPHQTQHHHHQQQQQQHITP LPKPVLDHCITRFFSRLYPTIPILTPTYINHLLHISSSTQLSQPLPLDDRGAEAQTLL LSLCALALLQIEHPSHCLFTSIGIPHSNSVYGRLLFEEAQASHHRQLLASGTGTGAGT ERKQDEEEEEEEEEEEEEEEERRGGMTRIRRTLANRLFWVLLVSERSHGIRYRRPITL QITPATPSLPLDMGCADPELTGLASLVALFRPLDTGFMALLNQEVTMTSSAFGSPAMV AGPLDVVERAIAEAVPGPSPGSGPGSSSGPVYLPSMSPDGHLMDSMCVSSPPPPPPPP LPPFPSPPPPPNTTTPSLLPTSHLHTTQIANLKITQLWLRVILWQIRLRIGLLIPPPP RPLPPSPSAPLIVSPATIKESLTYHHPLSIAHQLVQVTKSLPLESIRVHGVGLTEKVF DVACAMVDVLAKVPLEDRRRFSGPSSGPSSGSMGSLLEVMTRERQEEEEREDLEWIRG LIHRLPGGSAIYDDLLGKHIAGVLPDWGLRSF NEUTE1DRAFT_144996 MADQKPPTSSPEKATEADTTTTTTIPQAQAQDDVVLVEAKHAAD TEHETTLLRAIKLYPKAIGWSVLLSSTLIMEGYDLALLGSLYASPVFNKKFGELNPAT GKYAVSAAWQSGLSNGARAGEIFGLIFAGWAADRIGYKKTTIASLVLMIAFIFVLFFA PNVKVLVVGEILCGIPWGAFQSVTPAYASEVAPMVLRPYLTTFINMCWVIGQFFAAAV NKGSLGRTDQWAYRIPFGVQWVWPIPILAGLVFAPESPWWYVRHNRREEAKQSLLRLT SRNQPGFNVDETLAMIEHTNELEKQLKEGVTFSDCFRGIDLRRTEIVVGIWLVQTLGG QNLMGYFSYFLTQAGMDPSNSFSLSMGQYALGMVGTAGSWFLMSRVGRRRIHFSGLCA QFCLLVIVGCLSFGGNKASVWAIGGVLIAFTFVYDFAVGPVTYCLISELSSTRLKAKT IVLARAAYNASNIFVNVMTNYQLSSTAWNWGARTAFFWAGSCLLSAIWVYFRLPEPKG RTYAELDILFEKKVAARKFRKTKVDPFDLRSHGEKKMSLEKMESHEGKEIEA NEUTE1DRAFT_38613 MGSVNDTSLCMGKIPWWKEAVFYQVYPASFKDTNNDGWGDIPGL IAKIDYLHDLGVNCVWLSPMFESPQKDMGYDISDYQAVYSRYGTLSDVDNLIAACHAR GMKLILDLVVNHTSDQHPWFQESRSSKTNPKRDWYMWKPARYDANGNRMPPTNWRSYF AGPTWTWDELTQEYYLHLYDTSQPDLNWENEECRKAIYNEAMRFWLDRGVDGFRIDTV NKYSKRTDFPDAPVTMPGETDQPAAEMWCNGPRIHEFIREMNEQVLAPYNAVSVGELS NTPLPSQVLPYVSAAARELDMVFEFSTIRLGTGGPFHGKYLYREFPLSELKAYVATWQ QWLEGTDGWHTVFCENHDNGRAVSRFGDDSTVESWEASAKTIALWQATLTGTIFLYQG QEIGMVNMPKEWAIEQEYKDVESLNFYREAKASGDAERIRKTEEGLRILARDHSRIPM QWDASPNAGFTSAGVSPWMRVHDGFKDLSVEKQEGDEESILGFYKKMLKLRKEYAGLF VHGAFRPVLQEDETLFVYVKDDQGSEESGVQADKKRKALVVMNFSGKPAILQEHNLNV AATLGSKHGGERLLVTTLKKKDLSMMEAGTLKPWESRVYLSY NEUTE1DRAFT_78359 MPKQASSITTLLSSLSVLAKATNPSRPARASLRIHFPGSPSPTT VSTPGTPLTKDAAALPPSYSISATALSNITLPNCDLSPVSSHHSSPSSSGHSRRGSEA NNAAGQIPHYMVICLDLDPPFPSFPVLAPILHSLEADLRLVTEELDADEGYIYLTADE EEALGNEGISHPTRGERRTKPVVGYMGPKPPGVSSPHRYVFLCWEQPEGVTGQKVREV LGLNNDEGGEEGEDVGLAKRVRWDQDSFERMLGLGNVVAGNYFVC NEUTE1DRAFT_99065 MGLFTLLLRVLVITLATISHHIGIVSAQTGTGCNRTVKAKAGDT CATIAAANGITVSQFLQSNPGITSCSQLTVGAAGHFTTHDRARGTLWNGDSHDYEHCL VHLGDYKNSNVGYYGDRDHLGDGNGHGYDHGNGYVGGYHYGGWDNSYEDGNCYDYFDV DKDGYYRGYPDLGDFDDVNECATATSTTGVIRTITSVILATSTTTVNITNTVPVTATV PVTATTLITTTETATATNTIPITATATTTATLSTTSTIIATSYTGITRTSVILTTSTN IIDTTNTVTLTRINTLTTTLPIYVTSTQTQTQTKPITTTIPVYITNTKQVTATATATV TTTSTTWTYSYSIATLTTIVTETETSTSVKTLTSTRVTQVTSTSTSTSISIGTLTSIV YSTATATATKTVTDTKAPPIVTTIATVTKPGQVTTYTYTVTSTVTSTVTAVPGGGGNG NGNAPGPVVPGTSKTC NEUTE1DRAFT_59680 MGVVLKVKDGKVSPTRVLVGATATVLASYGLYILLFAIVIRAAY KRYASPLRKYPGPFLASCSRLWKVLSTASGRTHLDHIELHRKYGPVVRIAPNEVSVAS PEAARTLLSAGKRFFKTPFYSVFPPAENPDIFTEIREDAHAQKKRVANVPYSMAAMQQ LSPFIDDTIELLVCKIDEHIAQHKGVFDLGDYLHYFAFDVLGEVAFSRSFGFLAQGRD VDNAIKTIDKSQTYNGIVGQVPELDHLLRRNPLWRSIPWLSTKNALITRMALEEMGRR QPFNKDTAVLQTGDTRQDLMASLILGHLKSPEKFGVGDVFAVAHGAIFAGSDSTASTM QSFFYHVLSSPSTYQSILYEIQSAVATGAIPAIGNLTWNEAQTLPYLQACLKEAMRLR PAVGLNITRFVPPEGAELDGHFFPGGTSIAVNGWVLHRDKLTFGKDADEWRPERWLES EEEARRMERYMFQFGGGSHLCIGRNLALLEINKVVPRLLRDYRFELAHPGRELKATAS FFVVQSGLEVFVRRA NEUTE1DRAFT_120789 MSKSTAVVKASDAVASPVDPNQITKASKALLAHIKKATAASTAV SKNLLADEESTVAETPIWLTLTTKKHIADTNRLQPSKIVLPNPLNADNESTICIIVAD PQRHYKNVVASEEFPEDLRNRITRVIDVTHLKAKFKTYEAQRQLFNDHDIFLADDRIV NRLPKHLGKTFFKSTAKRPVPVVFMKQREKVDGKRVAKPKGPKAKRDPVENVNARPTP EIVAEIRKAIGSALVSLSPSTNTAIKVGYASWDAEKLAANVEKVINELVERFVPHKWS NVRSFYLKGPETAALPIYQTDELWLDDSKVIADGAEPEKANIGKKRKAADDETEAKAE EGAKDESRPKKKAKKAAAAAALPESNDDKLDKEIATRKATLRKQKAAAKKAVEV NEUTE1DRAFT_120790 MGRSSNSSHYQLSSNRLVSRVHVHARYIPATETLEPNKVEITCT GWNGLKLHCQGQTWELAKGDSFTSETEGAEIMIDVHDTRVLVQWPSREKDRDSSAPLS DSSWDETPRPMRRGRRPGSDSDEGSPIRRPRRISSPESPTPANGSTSKADLDDLLSDN DDDGAAVEIYEDEEEDEQELPKLTDTGRGDTTLITSVDQSFSISSDLSDPQSDEDYAD QDPDEENDPIIPSFGPCGENLSSRLESFTTATSPPRARTPDRDYSGSSDGERAGSVTP KPLKSSSENVAGASRQASPTPAPRSPSPVSRLSEELTATISNHVINQLAFSRLSSTPL STIMNNLPADDRRQVTKDELRRLIEATACIGIIERQGKDAAGKPLESEYYYVPEFDTD EHRRLAVTDGLRKPSLRACRKQHKQYYWKKPRTP NEUTE1DRAFT_145000 MAISKQLKLLLTSGSLIAAALASPVQKPLNDDDIDDTPLPLVIW HGLGDSYSAAGLREVGALADAINPGTLIYFIRMDDDGSRDRSATFLGNVTEQVAKACA DIAAHPILSTAPAIDALGFSQGGQFLRGYIERCNNPPVRNLVTFGSQHNGISAFRDCP WSDWVCRGAMALLKGNAWTQFVQSRLVPAQYYRNLDEYEQYLEHSNFLADINNERMFK NRTYRENLAKLENLVLYMFEDDTTVVPKETAWFEEVNGTEITPLRARKMYSEDWIGLR ELDRKGGLHFRTVPGDHMQLTDQLLNETFAEFFGPIKKAKKSAPRPIMLGGGDL NEUTE1DRAFT_39047 MRRSLSLWNLFIAVLLFLILLSSPVRAYNTSKTVISEILEGYPS CADECITPLLTLFPCSYNNNASTSSELLTCLCSPDPHHRPSLTSCLTTSCRPIPLLTA LNQTATLCHDTPRNRSSQLIILTLTLGTLSPLLVLSRLFFRLLTHPSGGRRLGLDDWV IFLSIPLGIPYTVLIAHSLARAGIGRDVWTLTPTEVTWFLKVFYVLIEYYVAIMAYVK MPFLFMYLRIFGENWSKRTRRVLWGTMGVVVLVGGVFLLPVGCKPTVKFFWEGWDGEH EGRCDNINASAWTLSIVTIVLDLWIMAIPLSQLRKLNMDWKKKLAVGLMLCVGVFDTM ISIIRLHSLLAFQPSTNVTWDYYPVAVWSAVEYHVAVICACLPAMRQLLVRVFPILES NIGKSNGSHGVGVGAGAGDGVSNGAERNVLKPGTHQYQWQGQSRGMTGRDRGPWTRAR ATETESERDIVLGSFRSSARSTDLEVLEVDDVERQSAGVRILATSSLDSTEKRNGFGL SFEDRTMKRDGCAEPEVFSSSRR NEUTE1DRAFT_116287 MAAPYLRTRPALSAALRPLAPKPRFQSFPRRPNSTTTPMTTTTT TTTTATPTEPTKAAVVDPSSLSRRLKLSAYATALAAVLGVGYYYATDTRASVHQYLVP PLLRTIFPDAEEAHHVGTAALKGLYETGLYIRERVPESPNGPLSVNVFGKQLLNPIGI SAGLDKDAEIPDPLFALGAGVVEVGGCTPFPQEGNPKPRVFRIPSVDGLINRYGLNSR GADAMATRLRERLRKFARSVGLTDNEVLNEEGTDGIPPGSLHPGRLLCVQIAKNKKTD EKDVNAVIRDYVYCVDKLAPYADVLVVNVSSPNTPGLRDLQATEPLTKLLSAVVDAAQ QTKRKTKPRVMVKVSPDEDDDTQMEGVVEAVWNSGVDGVIVGNTTKRRTGIVPKGVKL IDDEPKILHEEGGFSGPALFDRTVNLIGRYRKMLDGYALKSESDKSPAQKVLFASGGI TNGEQALRVLNAGASVAMVYTAMVYGGSGTITRIKSEMKEKLADKSA NEUTE1DRAFT_145003 MAADSLLRTVLRRKVEFLRHVRQRRAQYSTKPPTAGEQIPTPGN VPNLPFWQRLGPLTRAAFAYARAQRKRPYTTQVATSLVIYFFSDISAQRMGGKDYDPK RTVRSLIIGSISSIPSFRWTLWLSNNFNYSSRILSLGTKVVVNQLCFTPIFNSYFFGM QAVLAGESWKDIVERIRVTVPVSFVNSCKLWPAVTAFSFTFIPMEYRSLFAGVVAVGW QTYLSFLNRRAEDGKAIEQPAPALAAKAMEAEPTQLKARQVELSTRPEMAMAAAN NEUTE1DRAFT_59697 MVFGCSLFSILSNKIGIKWVLIIGTLGYAPYSAALYVNNRYGTE WFVLFGGALCGIAASALWASEGAIALGYADIKDRGKFTGIWLGLRELGQLIGASIQLS LNVKKEGRGKVGYTTYLVLIALQCLGLPFALLVSSPHKVIKADGTRLRDPTLNKTVKG EFEKIWSLFKRTQMYLLVPILIGFQWNSTYLGIYMTKYFSVRSRTLGSLVSGIVATAA NIFWGWFYDRQSLSRPTVARLTWAFFVILMLGTFGWQTANEKLYGDLKAAGTPITLDW ANPGFGRGFASMVILRFLNESHYMFVYWLIGAFFDDLETLGLAVGIVRSFESVGSCLA FGIGAAQVAPMVNLIIAFAMFGFTIPATSAVVFMVPERPVNLRKLEEDGGSTSRAMSD GEPLGVIAVAAAAAKEASIEGSVVAALGSTDEKERDGVQPPGYELK NEUTE1DRAFT_78382 MKKGGFGSGGFGAFSLSTASLSYVAPPPDLSSVPQDVIVPFKNL LKRDSTTKSKALEEILACVKKSDQPVDDSVIDVWAQLYPRVAIDNNRRVRELSHNLLL ELVKSAKKRIEKTLPKLVGPWVAGTFDKDKGVARAALAVSAHILDSDQKKAKFWIAFQ GKLLEYANEAIRETADSLSDERTTSKEDMEAKYYRVLGSSMAMIQHLLPTVKDDQYPD ELKRFFDADTLWTLAASDDASVRRAFYQLVASYLDNKPSLLEPKLKDVGKVLVAEGPK KEQRGSAVDLLRALISVTKRFPKVWGSKSPLDRLRPLVQKGSQGGSDEYWTELDQLLA ILPTSSPDYAANASAFLKSMRTAITSRVERRQNAASAWACYLNTVDRITAGSTPSPDF LQENLYPLTREYLHPSTETSTWAYAQPPHVFKAWKIVPYTTNEQVRSSAKEEWQKLGD DFAAGLSNSLPEVSPDYEKSQLELAAQGDRWFTLVQGFLRGAPSQQSFDGDADLPSVV ASTSRNLLESAQDLLARRNYKPFCAAAVLKAAFTKAPGLCSKSDLIKKVFPLDDQEAF EKIVVSRSLPFLASCLAAVTLDQPDFSEQVWVKLIDAALSHGFPSGAPIVKELVSVPL PQAVAQKSEALQNFIVEAWQDFSKEEPSSPVVEQLCRASISHSVVDDKTLQSLASSFA QDIGVAGKYDPEFKALDLLLRNKSALFADTPVDLFTRLLSLEEISDSEKATKVAAIRS LIQKQYGGDKLWLEVIRLSLAEADPSSLDIDTLIRHAKEILSSGVPLTDLLPSAKAWN TELYSFLRDNPDPSLSITSSFGGAYFLATEGEGSTPTTQKRDRQGRSIPARMAIYTTN LFTDADLESVPADLLELIFLTAVLANDDLTVMKENGLWSLPAAEDIRTERSDEIQSFL DLGTSLLARVAGASASWKEGDLNGTSLVETLIQSLLQQAADFSAKALYASKALTELFQ ALVSVHGYLAGAKFDEWFNKLGIMKATPQTVFAAIAFLTGFDEGLASSRAVANLYNRL VSDIVGCFPSSPKTLYNVVLLNICLSVYPPAKTPVEQRKLVFALKQFTTWVQTSDEMT FGLTAEVCKGIHRILPNVAQVYGPYWREAIDYCLLLWEKARNDTPQRWPAYVLPSIRL ISAMEALEDPNDDLVEALEETALDRSKALIRLLELPHDVVNTARQILDETLCRAVQKI PLKHLISEKDLLTNLYGLLSSSSRDVQTAAFSLLHRALPAQQEEEVLETLLEEKAVAK LPDELLSIIQTVPALEKYTDEELAGFPVDVRSYLLGWHLVFDAYNQAPLQVRKQYTES LKADNSLDALLELMFDVLGHSTGQALNLDKNTFSAEHIRSYDVSQSEEGTKERDMQWL LVHLFYLSLKFLPGLVKSWYLDLRSKQTKIALDSWMAKYYAPLLISDALDEVNDWASS QEAPQEDEKELRVRVNRTAKEVSAGYEIDEDFASIAIKIPAGYPLESVEVIGENRVAV NEKKWQSWVRATQGVITFANGSITDGLAAFRRNIIGALKGHTECPICYAVVSADKKLP DKRCSTCNNLFHRLCLYKWFQNSNKNTCPLCRNPIDYLGSSTRRGGGGD NEUTE1DRAFT_108450 MPPSRYTLGCHDRCGYGDEPAVLASSNIRAVPLCCLEPDPSRLG LLTLIFACRMSHCFGSQMMGEENMDAPEKPKLLKSKTSRKGIGKSDNLFESSTLHSPK RMDAQIANDKQAAEPTVDLEHLSEQQFLGMLACCRATIIVPLP NEUTE1DRAFT_145006 MSLTSASTPTYRAGWAKASSLASGAAVVRHHHHQQQQTRGFRFG RTWSSYLDPEYTQDVCHRQRRIRYKYFQTLNRRLSWEQHPLAENAKSTIKRMSKDYWY PVEQENAGRRHLDEEIAANTINNPTGIRPGQNIEDAERAPLEDLLFGDAKTQIKTKAE APLEKSKRSRGNKNKSKSVKADSEYVIDPITNRKVLKSATFGLDSKAPLSSPYTSQFT NLNPPELDTSKPIFYDGPPPEAELKVYSQVKVDDAPWNPIDPASAPSLSDVLLKDTPA PDLLNALSSDQAKVSWHPNAGIAATTAVPPLGSQPQPVANEVSEAINKQEATYEDLNK YGPVKAHEPDGKYKDTPEVPADETELGKYGAVRSHEPDGKYKQASEETVDKAELGQYG AVRAHEPDGKYKEQKEFTNTQEYDPAELAEYDSVRVHEPDGKYKKEKGVNNYDEYDPA ELAEYGSVRAHEPDGMYKKEKAVNNYDEYDPAELAEYDAVRVHEPDGMYKKEKGINNY DEYDPAELAQYDAVRVYEPDGMYKEQKSFSNTQEYDPAELAQYDAVRAHEPDGMYKKE KEFVNYSEYDPAELAGYGAFRAHEPDGMYKKEKEYTNYSEYEDLDAYGKPFLSHEPDG KYAAEMARAEPRSEPSVLKELRERQQPAIGAGLEGDAVDAAKLSEELSQYGAFRSHEP DGKYAASAQGQRQAHAAEEDTLSEENPLEAFTYEDAQTTKSSAVSKPQPVEPTTTNPT VYKILAFNPDTQFVEEAETTSSILPSNSNTPTSPADVLTRLTNISKFLPHFARIESQG FEIVAGSGDVLVFRKVREGVTSPLQSQTVHEPTAARQAVAQADGTLATSSGGGPINPV DMTGGSLSGGKRDYHVATGRFASPTGFVNYNLPSSTTAASASQSQSPSSASEKVHYEQ AAAETQQQQEQKQQKQEEEVGQQQRKKASLTKRAVMGAAWIAGGAYSVGVVKDFFKTG GVDGKGSKGL NEUTE1DRAFT_116289 MSSTKTAAPSSIITSAPYSDLTETLTKSSTSSTSPVTTRSKSHS QSSTSSSSSDAYAQRAMNHTSTWQPKLDRRQSWSQEQYKHEMQLRSGSTGGSVRKVGE EGFTEGGHGY NEUTE1DRAFT_120798 MAASANSLSFILQYPTTASSSFMNPQYPTMTTSTRRAIETLPTV ARRDSPEVLLTDSRPPPSPTSFNPSVLTQESWTTKISGLPTAIVPPSSTSSSAVSSTH NTASLNHGTSISLIPLLSLSVAVIMWVLYYILISRRRRAGRSVPRLWPSFSLFAFSRR GRAHFTFQIKRSDSRTSLLPSDRLAAGAGLGYQVISRGNGRDVDKGQTAVVTWTYRDP PPVELDGQGIGDSPIRPNWVSRISSFLVTEREGKGHGCGQMDPSLRATGSIRESFGEK INDPGVAVLIREPKKTLSRYSRAISEKALPEIPESEEIVPLRLGSPRENWERMASRNT FRCDKHTTKTSPNSAVRVASSTEATIERGPLDDLLGAEGGKGGAIIDSLTVSGPISHS PPATLRNVIQLTASVEKLPSFVSPPNCAMT NEUTE1DRAFT_128403 MHGSRYSSEAYVLYRSEEENTATPPPRGYRVNEDYGPSMLGAIW TTWSIAVIFVALRFWARSRAVNGLGASDWCILFSLIAKPNELTEIAHGMGKHIWNVDY EANYVFLMAGFWASSLTYFLSLAMTKISICLLYLTIFTVESARRATYAVLVIVCITSV YTIIVICTACVPLRDFWDPAQPWGVGLPKPTCHTNQWYWSCTGLTITTDFLIFLLPIP IVGPLKLPARQKVFVVGIFAVGFVICIVSLVRVFYLYASQYTAVHDITFSTGKLTYWT AIEVHTAIVIACVMTLKPLIVRFFPGFLDPRASNNSSGEQGATGEPTATSSDPPLTVG RRPCRNAFGQRLSWIEVPDTGGQQQQSGPGLTTTTTTAAVGDAALSEIPVSGRGRYQQ FLNSPFPLAAGRTSTDSRPSFEMKTSTTTTTTTTMTIMTNYNVTTTSADSIKANSDTT SVSALYREEDVDSTTNLKGCCAHGQSDAGSVHGVKTDRYNSAA NEUTE1DRAFT_38424 MAPPQPTTTKPDVEDDPIVATYNVFIKPPLPQDRKLVVLRYVTK TAQDPSSIKPPRINGFRVKPETGIYEVDIPLETSDGYNKDKGIAWGVALAKSMESKKG GSLGLAGGFNIGGQGGSARGGPGAGGGAGGRRGGNSGGGGDDDEAQNLSWPEAVAQGK AVIDQKLTGSRSAGEDYVKHMVGVFQGSESSLTSLLFSIPITSSSSLTLHLFLQQTTS I NEUTE1DRAFT_116290 MADRLRAVQTEPWHNLKWYHDEHGEAWDTYNECMLLRSSEAANA APVAEEEVDEEKKKEMKEEKDGEEAAAEPTDDSGAPD NEUTE1DRAFT_78390 MSFFNFDQSRHNTAAPGFSQAHDPFASLANRDEEAEEIDFEDTY DGLGDQLDETDDAFNDDTFGGDTGEPGPVGKDFDFFGHTAKVANVIEEENVRFNRQQP AAKAPQPVAQPSAYTSIAGSSYNYFAQAPVRPARTGYEKYHEQPIEDLQVDAALWGVA PKKQPPPPAQPAVPSAPSGGRKMLSLEEVEAQMRAQAKAATPSPAPQHQPHQIPHEQA YLYQNQAQNQPQAHFQAGVVEPLYHQPQGHPMTILQRPHSKPPTPAQPSSQPPAIQQH QQPAVPQQPTQILQNPNRLSGDAAKIGLSVHPTPPSGPQSAHQGHRQQGSLPRQPPMI LHPAQLAQLSEEEKAAYLEQEAKRAKRNHKIFQMSRDNGIMTPQDKNFITRIQLQQLV AATGNPNEHGTDESLAEDFYYQVHSQIQAGHRQHPSQPLNQFAQTYLFQTGSRQGGMR RHNRGPENHMQRMEQQVQRAVEAAKNKPKNKQLVIEGSLGKISFSNAKTPKPLLNIKR NDSANDVKKAPHPVNGRDKKDELRALELVYTTLMKMEDHERNMPMAPAEDDQEALAKV FAWSNEGQALNEQLWKALRVQDHDQPGRIHPFMALLSYSKGKKAIPRIFRHTNHEQRI TIFTRIVVNLDQLDVVREAQVTTDDIQFNAAMRENIELFSAAIVTTLFTFMNELGLDL VAAILGLVCTRNVDVIAKSRIGASMLTMILSRAELIKHNGGGSEQDWRSWDMTYTQFF NILEPTLPYIFPGSIATDQDTYVWQLLAAIGIGASPDQQQRLVIAVKDRVMDTVSLAK TLPPALAADRLQNVNLFMRSIGLDVELLQ NEUTE1DRAFT_116293 MAAGSARYVRYILLAFFVIAVLYFISTPTSVVTPLKDNFGKFQQ STGNSKPSTGSTTGSTTGGTTEVAHDEKTPTKSNGADYDPAQYPLAMTPNDPGWNDLS GVKGGPRMNATFVTLARNADVWEIAKSIRSVEDRFNRRYNYDWVFLNDKPFDETFKKV TSSLVSGKTYYGEIPTEHWSFPPHIDQEKAKKVREDMRQRKIIYGDSISYRHMCRFES GFFFRQPLMMNYDYYWRVEPSVEFHCDIHYDPFRFMHENNKKYSFVLSLYEYFETIPT LWDSVTKFIKNHPEHIAEGNSMAFLSDDGGKTYNKCHFWSNFEIGSLNWLRSKAYTDY FESLDQDGGFFYERWGDAPVHSIAAGLMLKKEEIHFFNDIAYYHVPFTHCPTGEQFRL DRKCHCNPKENFDWKGYSCTSRYYELNGLPKPEGWQDQSD NEUTE1DRAFT_37951 MPSSSSILPAAARLFSSTPAQNATLNQVMRGCRKPQRARHAVSP ALSSIKSPALKGVCVKVGITRPKKPNSGERKTARVRLSTGKVITAYIPGEGHNISQHS VVLVRGGRAQDCPGVRYHLVRGALDLAGVATRMSSRSKYGTKKPKKASVG NEUTE1DRAFT_145014 MICSVYRMHSDRQHSRQVSDRFFNHNTYASDTMKSFTRVLRPAV RRAQAVSAPRLSRTPVVQCARPLSTTSPRRDITDLPPTPITHFSEVETAMAETVQKFA NDVILPKVRDMDEAEAMDPAIVEQLFEQGIMGVEIPEEYGGAGMNFTAAIVCIEELAR IDPSVSVMVDVHNTLVNTAVIRWGSEALKKKYLPKLATNTVGSFCLSEPVSGSDAFAL ATRAVETENGYKINGSKMWITNSMEADFFIVFANVDPSKGYRGITAFIVEKGMKGFSI AKKEKKLGIKASSTCVLNFDDVEVPKENILGKVGEGYKYAIGILNEGRIGIGAQMTGL ALGAWENAVKYVWNDRKQFGQLVGEFQGMQHQIAQSYTEIAAARALVYNAARKKEAGE DFVMDAAMAKLYASQVAGRVSGLAVEWMGGMGFVREGLAEKFFRDSKIGAIYEGTSNI QLNTIAKTLQKQYTA NEUTE1DRAFT_19420 MNDEDKVHDISKKIEREKALINAAQAMRQQTNNEQVRSKLDTQM REGRRNLEFFEEKLRELQMRRLGHGVDNMSLGASPMSGSHRQSVDDFEGYGAPSPPPK EDVRGHSSHQSQGSGPLMPTSAPYPGGPPDSTVPRARPNYTRLDLIKFDTPHLGPRIQ LMLSQIQFKLNVEEQYLKGIEKMVQLYQMEGDKKSKLDAAAKRVESKQKIVLLKQALK RYEELHIDIDVDGPDDDSINLPALRKPLSGTLSIRILAVKDVDHAPLGRFARSPETFI AVKAEDIVVARTKPSRNDKWEAEFHTFPVDKTNEIEFTVYDKPAEHPVPIAMLWVRIS DIVEELRRKKIEAEMTSAGWVSADRVGSRAPPPQFPMGAQSPQFAAPPTSPGSQEQNT MIPPQAPPPSQVVSQPVDGWFNLEPYGQIHLSFNFIKGARPQGMDRLGRKGAVRQRKE EVHEMYGHKFVQKQFYNIMRCALCGDFLKYSAGMQCEDCKYTCHTKCYTSVVTKCISK SNAETDPDEEKINHRIPHRFIPFSNLTANWCCHCGYMLPIGSKKNSRKCSECALTAHA QCVHLVPDFCGMSMAVANQILEGMRTQKKTHKDKASSMSERTLRPGSKTSISSGSIAQ ASTYSGSTAYTSIASPEATEAAKLMYSQTTPRPVGPDRTSTSSTTASAAAAAAMAPKH SSQPSQAGSIPDFGGSPGYGRPDSRDDEYSAQQQQGYGSPQQRKYNPADYANIDAYSS PPQARPQQQQQQQQQTPQQVSPMYQQNPQTPISKPQPVTPSYDNQVVPSASGVPVPTK KPLPSATDPGTGMRIGLDHFNFLAVLGKGNFGKVMLAETKKSRKLYAIKVLKKEFIIE NDEVESIRSEKRVFLIANRERHPFLTNLHACFQTETRVYFVMEYISGGDLMLHIQRGM FGTKRAQFYAAEVCLALKYFHENGVIYRDLKLDNILLTLDGHIKIADYGLCKEDMWYG STTSTFCGTPEFMAPEILLDKKYGRAVDWWAFGVLIYQMLLQQSPFRGEDEDEIYDAI LADEPLYPIHMPRDSVSILQKLLTREPDQRLGSGPTDAQEIMSQPFFRNINWDDIYHK RVQPPFLPQIKSATDTSNFDSEFTSVTPVLTPVQSVLSQAMQEEFRGFSYTADFE NEUTE1DRAFT_145016 MSSTTAIRLAARPSSWRGTGALSSGRPLSQLASLPRRSINPSIS GMRQGTLRLSIHDGRLFFSTQPPKDTPENKNNKETESSNVIFMPPPPPAQTEAPSSGS AKEDTTDATNKSETETPTAASESETSKSSESDVSSASTSDSANSSETTTTSETTTENG NNDTAQPELPSRTEAHRARLSARFSTIMDNFQTRLLTATQTLNDLTGYSAIEQIKRKN AELEVAHGQAQSRLRDARHNYKSLTMHRASTQREVTTLLARKDTWNPLDLERFTSLYR LDHELEAQVAQAAQELTEAETEESRLSADLNAGILKRYHEEQIWSDRIRRQSTWGTWG LMGVNVLLFLVLQFVAEPWRRKRLMKGIAENEKGVIDEVRHELGQVRQALEASGLRET AHLARLMEQEREIQALASTSSSGESQGGGRNEGEVEMDIGAEFMAAAAEEAAEEAATA QQQQHQTPETQEESQQPPLTWKQTAQKWQQTLSDPQQIKAAVVDLYSDRRIDLKMRDV SLLALESAATGAAVVASVAFFVLRSSGSGKA NEUTE1DRAFT_120806 MQFTRFFMLQAALAAAQLPASGDDDTSSRGTGNALAGRAEGEPA VTTPSSLRTHHSSLHTISKTDPTATVYQRTAVGTAMSNTSSPPDPEADLPVGSTGVGD EGFEGGSPQFLESNQPVPGLAPVQRETAPAEVLVQSPEQAASPPMETTGVFTSVSPSS TIATTTDVVSNIPTFYENFAPIPVEDPALTPTVIPSSAEATKAAILAFAESSSQVSDI QSLSTSTSDPNPSSTSTSPSDTAVTETAIPASSITFSSVITSSTDSARGFVVLATDSS SSSSSFSSASSSLPSSLTTPSPTPSSSLSSTTSTTTTTTDQPAMASNADIDASLQEKT ASYPPSSNEYLSSQAKVAIILVSVFGVLAVMTVIGYVLWHVRMRDARLERQNRAALAR RIQGGGGGKEGNGAQGHKRNLSVEEKMKQALEREHDVPLGVGKIQAEEHGNPTDGRAV NLFSTISTISESSEESEDSQGSGSQRSYGNNGRLAVVAAPMVPEDSDMATSMANRNEA SDGYGLGRSDSQDSKESYYSEGGQDGFGRSETLDAQRRRYVHERSESLRSQWSNIEDY YRIERLETEAQGGAETLESEETFGRTESNASTSSGRSGRTIGKAL NEUTE1DRAFT_120807 MASPFFKRPALGGMATLGSLYDARSDTFLPQALFKEFPLSVVEE KPNNHLDAYISKAVTFHQKLVELGISPELGASILAGLVPVSDCGLYLIDQRRHLDHFA QSSLHYSITTVEEHLNLAASGIKELLLTDVLTTTKATHVVVGVSWGAQFIVTAYAEAP EPDHISRLQHCLDDDFQSLKLAALDTNGTVEPAPNRRQQEDREIFKGCTLSVFNNALD GEPITPVSAESLTSLVGKLRGRLSATNNGRGNPVQYTLVPLSLLAFFNLVDVHTASII RQPNAKYLDFFIQSLDEWADAQQHFNRFAARCERFSTALPPDQVRFIMSQPRLVQSTE LSFKSCYGTALQAFRDGKGTEEQLHTLLMNARNHKLSPGSLRAMMNDSKRLEFAENLC HLGATYLGYGSPMLSTILLCKSHEDTFVLNFSFSSLQTPEGHETIDLFRELLCGNKNK KSLMLAVDCDAVGQLLDKVYICHYRNGRVVVEDLLEHRKVLMSNCTMQYDSDALDRSI TTKPVRRRPVKVSCPNTACDQSLRCQWICASCCSLVEYGHVDDWLYCDCGATPFYRWT FKCQDQRHGTNWSPYDRSTLAQLLDELKPFDELNILILGETGVGKSTWINAFANYLQF GALQDAIEAEALKCLIPFSFSTQLKDESDASGRFVQKDIRMGISQDEHDGARGQSATQ STNVYTVDIDQTRVRLIDTPGIGDTRGLSQDKKNMADILAVLRKYKTLHGILILLKPN ASRLTVMFRFCIKELLTQLHRNAATNIVFGFTNTRGSNYKPGDTFKPLETLLNNYKTV KLGLYEHNVYCFDSESFRYLAARKKGVDMGLFEDNARSWDYSVAECRRLLDYLKVLEP HNVRSTVNLNETRDIIVKLTEPMARITQKIASSIAANHDAIMELRTAELSRDELVKSL YVQRDTVESYEVGQPRTVCTHRRCVEVRSGVQGTNETTVVYKTMCHKPCYLKEIHVKR NTKGHEGLKKCASMGPNGFCNVCNHNYMDHMHIYYDYRSRTYKFQDKTVANDLLKRGT ELELKQKAIDIKKKAIEEYKLEYAQVQEATIQFGFFLKRHAIEPYNDATVEYVDHLIN QERLKVDSGGRKDALENLEKYRAEHLEKVEALSKAIASGDTDYQLLDDQGVREQVDRL YSLPHFGEDLERIVKINEKAVEASFREKSYNISLGSHWKSQSHNRFDVLCEEEFPNLP RNGNTWGSGRRAAGDAVEQVMEQALEWMTDKVRTFNWPRLIGSAALERRGL NEUTE1DRAFT_128413 MTVDAVTPQQITVGVLALQGGVIEHISLLQKAAAQLSSQSSTPT PQFSFIQVRTAAQLSQCDALIIPGGESTTMAIVARRLGLLDPLREFVKVQHKPTWGTC AGLVMLASAASATKQGGQELIGGLDVKVLRNRYGTQLQSFVGDLRLPFLEEGEPFRGV FIRAPVVEEIISSGPGTAEVDEVAKLKGNQVEVMGTYPKPQGTGEGEDIVAVRQGNVF GTSFHPELTDDVRIHTWWLKQVVEGLKSGGRDVQAQS NEUTE1DRAFT_116296 MASTSTTNGDSFTVKAGLAQMLKGGVIMDVTTPAEARIAEEAGA CAVMALERIPSDIRAAGGVARMSNPSMIKEIQAAVTIPVMAKARIGHVTECRILEQLG VDYIDESEVLTPADDTYHVQKDQFKAPFVCGCRNLGEALRRIKEGAAMIRTKGEAGTG DVVEAVKHIRTVNAEIAQAKAALASGGELAVAKMAREIGADVELLKKTAELGRLPVVN FAAGGVATPADAALMMEFGCDGVFVGSGIFKDAKTPEHALQRARAVVKAVANWNDYGA LVEACIEHGEAMKGISNAGMKPEERMAGRGW NEUTE1DRAFT_78417 MPRGQPKTAAMGQHGQHQLQMTPQPAQPQMQQQMQQQQQQLHQF HNFQPQNPPPQGQAASSAIHQHQQAGYTQQQLQPIPNQSATGTQQGQQQQPQSQQQQQ LHDQQAQQGQPGPSGGQGQPQPARPNFQMALLPPPQDRLYPTFEALQTDLKAFTRSQG YACVIVSSLNRDPDGHYRRYNLCCSKGGKTYTSHSRGIRQSRSTKTGCPMKMKAVQEK AWPYDDKWRVVVQCAEHNHEPFTGEQPGVNVPAQFRKIEQDGARWLKIMHRDAQCTLR QLTIGIRISFGDKYQYVKKSDVRNMLAKIKREDERKAAADAAAQGLPTNLPYTLIPPE HQPPPLQPTNVEMMPPLPSDLQVPDPDLESDDDDAEP NEUTE1DRAFT_78420 MADTSSRPESNPPLYKQALDFALDVANGRHALSKLIPPALFLVD AFLCGLIIWKVPYTEIDWTAYMEQISQILSGERDYTKIRGGTGPLVYPAAHVYIYTGL YHLTDEGRNILLAQQLFAGLYMVTLAVVMGCYWQAKAPPYLFPLLILSKRLHSIFVLR CFNDCFAVLFLWLAIFFFQRRNWQAGALLYTLGLGVKMTLLLSLPAVGVVLFLGSGSF VTTLQLAATMGLVQVLIGVPFLAHHPTEYLSRAFELSRQFFFKWTVNWRFVGEEIFLS KGFALTLLALHVLVLGIFVTTRWIKPARKSLVQLISPVLLAGKPPLTGPEHRAAARDV TPRYIMTTILSANAVGLLFARSLHYQFYAYVAWSTPFLLWRAGLHPVLVYLLWAVQEW AWNVFPSTPASSAVVVGVLGVTVAGVWFGAREEWEPGMKSSSKKEEAVMR NEUTE1DRAFT_135985 MGKPKRHVHAADQESLTPPDQLTDSQSIARVVKAEGNSNYICEL PNKKTILVELESRFRNTIWIKRGGYVLVDLGSMEERSKTGSKVVGEIINIVRDEKAWR KEAYWPKQFVRQTYDESEDEESTVGKLPPSDSEDEEYGP NEUTE1DRAFT_59744 MGSNDSPSLPALATDSKFIFFTDFDGTITQQDSNDFMTDTLGFG PALRKKGNESVLFGHRDFRDSFSEMLDSISTPFDQCIETLLKNITLDSGFKEFFHWAK EINMPIVILSGGMEPVIRALLAHFLGKEEADSLQIVSNQVAVRPGKKSLNEEGGWMIT YHDDSGFGHDKSLEIRPYANLPADQRPVLFYAGDGVSDLSAAKETDLLFAKAGKDLIT YCEKENVPFTTFHDFTEILAVVKDITAGKVTVKEAAVGRK NEUTE1DRAFT_145025 MRLFLSLTVLIAVATACTIPSTPLSNNITSHFRVQVQNASYPQI HNKYMNLMVSGGGDRHLYVGGSPQVGDTTTNLLLIKGSINWVSITAVIGGEESNVDDT VKMFMTERGDPRALFQPTYACNPDTDSLQVELRFVGKQNAPAGGWICVRPSFDNSHEF RYYPPGNTKSDPNRFCIKVTLVAVPT NEUTE1DRAFT_94197 MTVHNIATVEEFKEAIAKNPIVVLDAFATWCGPCKAIAPTVAKW SEEPQFKDTVYFAKFDVDALPDLAQELGIRAMPTFVIFKNGDKADEFVGANPPALLAT ITKQL NEUTE1DRAFT_120816 MPNTPGQEEVHSFRDWASNPHTPTRGSPMPNETGNHFYQHHEHR PDSGQTAYENTQYDAGYGDGLATSYSIYDVSPHPDASVVPPDLIYQRHDDETKLLQEN QWQQQAKGPGFPSCHQEFNSATVPTKALQLRLLAMAMEEIMEHVPMPTLRRCIHEPNQ DDALWNDVSLWSESRPKRRGYRGFQAAGMDNGSETVHDCRRLGCLVLGYGGLTGCDEL GDGSKRKSGGVASGICLAGTTREVRTLNLTFEETYEWRQPPKIDRLFEIPLSLWNTTK EPENDTPGWFDYYTAPNPSFQNTATIGSFLEEAVMRRNAPIETCGTGWNCSFEIQFIA PAYKCIELGNGVDSIVNNLTQESGSIAPPFNMDVLLPRGKFTYYAFTSGGEYSTTQMK EVGIGGRPTMNPPFPKHLGAFRTEPIIWLGYVTLADALAKANDTRGNLPSVPSNPSDP AWNTSFVPHIFACENYESNYTVRINYTGAAQTTTVTNVTYLRPVINTTYTPHIDANDG TADNVTATPTDNYILPTSIPQYRRTAAYHSLGFMLRDQINGTVGIGDDRDLVNPIANT KAIQTSRLLDFGNNYFPYPDIQQRIQKFYEDIILSVLGHPQFASVVWAAKPGEQSGVT FNAPDANQPSLLTDQQRAYLYPCTKFRMALVYKFNARLLWIVYSISIALAVMGVAVGA LALRENGRVVRDTKFSSIVAATRGSGLGRVRWTDSTDGGASGGGDTVPEEVKGWRMGY GVVDAGAGDGRLEVVDGAGGGRTVYGFGFEGDVRQLRRTPSLVQLTTLGK NEUTE1DRAFT_78436 MSTIVIVGAGVSGLTCALQLAKQGGNTITVVAKHMPGDYDAEYT SPFAGANVLPMAPEYNRWEGETWPELKKLAETCPEAGIHFQKAVLYRRAQDEAAGFAG PLSDGLFVRNPWYKDLVPDYVDLPASEVPEGMSSASSFTSVCINTAIYLPWLVGQCRA RGVVFKRAVLKHISDAAKLSHTGRKPDIIINATGLLSCRLGGVMDQKVMPARGQVVLV RNEATPNMVCTSGTDDGNDDELCYIMQRAAGGGTILGGTYMKGNWDGVPDPNIATRIM KRAVEACPALTGGKGIEALDVIRHAVGLRPYREGGVRIEKENINGTWVVHNYGHGGWG YQGSWGCAFRVQELVDEIKSELKLGSKL NEUTE1DRAFT_145029 MRANTRFCKQLLAQRRTFSSTARRLDNYAFIGLGQMGYQMARNL QSKLPPSDTIRLYDINRGAAEKLAQEMSAQQAGGASAHVADSAADASREADTVVTCLP EPQHVKATYESILKDELPPRPGPRLFIDCSTIDPTSSREVAAAVDKALPNGQGHFVDA PMSGGVVGATAGTLTFMLGAPDSLVARSEIVLQRMGKRVLHCGPQGAGLAAKLANNYL LAIENIATAEAMNLGARWGLDPKVLASVINVSTGKCWPSEVNNPVPGVVKTAPANRDY QGGFGIGLMRKDLRLAILAAKEAEAKLVLADKASEVYETAESEERCKGRDFSVVYRWL GGKE NEUTE1DRAFT_116303 MAQVARHLPARRNPLMLEDVPSHTDLASRRRLGQTQLTPRMVTA VPGAEVDPSSLLAFDYAHLRAPLPKGIVSGIFKSSPPSYFLMRRSQDGYISATGMFKA TFPYASQEEEEAERKYIKSIPTTSSEETAGNVWIPPEQALILAEEYQITPWIRALLDP SDIAVTATDSSAPKQIAPPPKFFGAQPPLVAPTPPTTRSTRSRPSSRRSSSPAKSTTA SKRGTTPRNTKRTVTTEASATTVTTTATATAVPSAETPATSFADSQAPTLINGEIPTS TPINTVPVTKIQTTEAELKVESIEKEPVVVLEPIEEEPKIKVRVDEDVKLDKDGEEVK HTKVELEVPLMAGEPPSKEEARKMIEEAKAMVEAAVKADAEAAAALVEASKAGAEDEK AEGEAKAETEATKEEEADSKGKRKAEKISVDEDEKAADEAEQPRQAKRVKTEAELRKD RIRKRAYLGLTATFAVGALGALLPIITPYVANVL NEUTE1DRAFT_116304 MAGGHDEDLMPEDNSGYKLSQPKQSLAEYHKMDENDESLKRYKE SLGLGGGNDLSDPNDPRVCIIHSLSMESPGREPVVIDLSTPGSLEDLKKKPFTIKEGA KFTMKAQFKVQHEILSGLHYVQTVKRGKIRIPGGKTSEMIGSYAPNTDKNPMYTKTFA EEEAPTGMLARGNYNAVSRFVDDDGKTHLEFEWSFDIAKDW NEUTE1DRAFT_120821 MPGLPPSVDLDECISRLYKKELLAESVIEAICAKTKELLMRESN VVHVRAPVTVVGDIHGQFYDLIEIFRIGGYCPDTNYLFLGDYVDRGMFSVETISLLVC LKLRYPNRVHLIRGNHESRGVTQSYGFYTECSRKYGNANVWHYFTDMFDFLTLSVVIN DQIFCVHGGLSPSIHSIDQIKVIDRFREIPHEGPMADLVWSDPDPDRDEFSLSPRGAG YTFGAQVVKKFLAVNNMNHILRAHQLCQEGYQVLYDDRLSTVWSAPNYCYRCGNMASV LEVSDTGERFFNVFAAAPENDAVKDQQMNQDKSAEGGALPDYFL NEUTE1DRAFT_38590 MTGGFPAVCFPLPCCQLSVKEPSTLSAAGPNEGTSPRQQGQQPR GTSTADGRQVQRVEPPWIRQERLQHSGLRFSLGFLSNKNTRRLHFHLSTDCTVPISDG KVYSC NEUTE1DRAFT_128427 MSSAAAVDSAPGQSSSQQEPICYNCGTRGHWVVACPEPTRDVPA PSSGLQRWQSQHQEGHSSDRNAASHDKKGPVVTRYPLPPSQGPTITRYGPPQPPAYPP GAPPPPSIQAYPPASFPPPPPPPPLPTYSGSYHPPPPAVYGQFPPAVPPPPSQYAPQP PYGQPQYPPPYPPSNYYPNGVPPPPPGAYPPQPYSQPGPPLPVPPPPVPPPYPSSYSG PPQPEYHYSPGQNPTYPPPTGWVPPPFAPPHLPPPPPSNSGANKHRGKRQNQGPKHSN AQRDRHDRHNRDEGNDRVDRFDRHDRYDRSDRFDKRDRDDGFERGERLDRIDRFERND RNQRPERHDRPARFERAERNDRNDRRRNVNDSEDRAGPGRQERRKEPRTVQPERTSKP TNSKTAVPEPKEDVGNGEWDPASEKDMQRIFPELDVKPADPVGIPLPATYTDDPTIPP AYNAKCVKSLYFNDQNEKEFAFSVRETSFWSVLKLDPVFKFYPGMIRKRIGEHEYTTH IISAPPHPEAKLKLPPKFTISRNQPKETPEIDRYRPRPDQHRDFSPKRSAPRDQPPSG FDRAHRCYQQHPKRPLDDTFDGRDRDPRDAKRLRQSLDTPDRGHGRDANPPPPRRPSP NPRYNFSADPWSPQAGETKVPSIDHRYNRDTYYDNRSSSSRDDRDKERGRERPSQYAD MRHDSGYHSSRSRSQERKPRRSFYRDDYRDRDRDRDNRPLSGPYQQRKRTRSRSRSRS PASSYLSPARSIAKRSRSASRGRSLTRSRSRSRSRSERSESPLTALDKELLGLTDEPN EPVKSKVVPKKVAPRVKVAAAFGRRW NEUTE1DRAFT_145034 MAIWPFRRKSRKRRSRPATTDFDGHRDATQIGQASTAEQAKTGQ DRADPHKLPRRARTYSFSPGRHDSIKLGRKISVEGKWRVTGLAANSQGPTNTELGRNV FFRVPTLHNKRDGDDLLRKKSSKKRRRDDRAREAEIKAMSTYVPIRAATEDWMAGRPM KKDSKKIKNGLGFRGQRSSDVSLPVRESLNSAFSSDSEQISYKVSAFEALAPRPTLRY STHPRQGSSHGEVDASGLAKKPSQRQKRLVEPIPEATLKAHKRVDDLANDLSASDLRE LMERDRRRRERRQQREQEKAQTKLAERVEKQRADENDAQKEGRESPPNLERGVLGREA VGLGIDPASAVVTSSRIRKSDELSRSARKRSREEFNEGKDEENRRPYPLRSFHRTESI PIETPTSPLGPEAPGLANSHSRGFFFSTNGRSSRQASSAKTEHSEQMRRGSETSGSRG PISWASIFRWGNKNRRSSGGPSSFSNTSRDSMQTPQLQVPTPPSNFTPRRVSSGVPKR TKSRFREDLPELPITPPGSRIHLNEGDSIPPTIAEASPNPNNDIDPLGVTTSENGPRY ETPTSSEQRSVDALRHTPSSFGHPDERNMTPEPQTMSLASIDSEASWLSGRFSAKRRK SSSLMRSSTPYRQLPRTLEPDNEIQDGYSPEHEHLNEESSIVDDDYLSRVARPSGERP GWNRQSSGEARASSDWEEEPHWGSVREGQPVVIHGHPANVRTKSFEGYLNFLGDTHTP EASPEHSDTLGDVKYEEIRRATSVNLGKGHARHISAGSARLLSLSPRSSTDKRRSLPP KLLDDSAAQAAGVEKQ NEUTE1DRAFT_28824 MTKRIFKSIDPTPDWVPSGGLDVLVLAIREMPVSSNGKPYHEGT FRWALHHHVFGAVQ NEUTE1DRAFT_38719 MENSKRLKEKKTYNTWDSLVVTDPTTSQAIGSLSMGERTGSRVF YHLWSYVL NEUTE1DRAFT_116306 MNIGILLRVRAKVKCPLVKYPAQTTTGNGSFVALYRTLCGQRSS ATWPPTGFTYIPISPYA NEUTE1DRAFT_78455 MAFNPRMSILPPQPQQSRQRKKEEEAAYANMRLPDREIVGCINE LGIPFTLADLQKPNPIQVQMIFEWFGELLMNKTRQTVDPAMRAAAEDVCGPELGEAMM PSDTRNLLGFYVSLRRLMLDCGVNDFSFNDLYKPTHDRLVRMLSYVINFVRFRESQTS VIDEHCNKAEQTKARIEQLYVENQNMEAQLEEMRHNRRAMEVLVQEKTVRNEELKKRL LELRRSQEKVAARLEEAKTKKGELAAELEEKTATKIALKQESAKLRPYVLQSPSALQA SLAELSNTLNNDKAHIDALDRRSRALQTSTDSFSVVASDVASCIKLLEEIAIELAKEE EENVKNARQRDALTERGHNVREVERTEALLKRQLAKWVDRTAQLRTQSEEKAQKAMEK MEELRAVHRKLTEERSEKSKDIERRRVRIEQTEKKMLDLKENIENEVHAAHDEYLKME AHIKLYITEMEQAI NEUTE1DRAFT_39249 MDSFRSQSQFQPLFCSPALPSELLWYIIHHCTHPTTLIVCSSRV DFLTALARDIRQSQEEDEKSQDQEQEERRNSPQPDQDKITPGPWASQLLASPLYQVAV ARHIRIVFIPTVSHLRAFLSVFDTNNNSTNTNKVPTSPPPHPSTYDPLPNQQKHPQSQ RLLLVYGFLASHRDSSEWSVQGLNTTAAVLLETGRRVGLGVMVVEPVEYDESLRSLDD LLREKMPVVSGSALRGAGGDLERSVGGGLIGRTVDVRRVLGRWFQFGVGKWERERQRD RLCS NEUTE1DRAFT_59777 MYKWRVGEKFGGQQARISSLLESARELTLDAASAARSARSSSKP LDRVQVKKLLDSRNEREVLDGLRRVISMMYRSQRTHPLFSSVVKNVASPNIEIKKLVY IYLIHHAEEDPDLALLSINTIQKSLSDSNPQVRALALRTMSNIRVPVISQIVSLAIKK GAGDINPYVRRAAALAIPKCYRLDPSQMPSLLEYLSTLLGDKQYYVAGAAVTAFLEIC PDRLDLIHKHYRQLVKMVVDMDEWSQLSTLRLMTVYARKCFPRRTRIVKAQDKAVDLQ DFYGDNAAANSNDDAEGQEVIVLDPDLELLLNSIKPLLQSRNSGVVVSVARCYDAVGT PEYVKTAIGPLIALLRGAQDIQQVALYNIVSICLTRPADFVRYASHFLVRATDTQPIW ELKLELLTLIFPHTPLHVKSLILNELEHFSRGTDKALVREAVRAIGRCAVTDTAAAPR CLRLLLSQITSLDGTLAAESLTVIRHLIQQDPTAHVATVVRLAKNLDSATDPHARATI IWLVGEFSGLNGEENIAPDVLRILLKDFPSESEIAKRQIILLGAKVYLHYLNRQIEAS QNADGEPGPPPKLLEDDDHPIAKLWSYVLLLARYDTSYDLRDRTRLYKALLGVPQLAT LMLLAPKPAPQAQSPSEMRRGYTLGSSALVLADAAGVHGVRGYEDLPDWVEEGKQPDP RLRETGVPPTTTYGEKRVVPALEILDGGSSRSVPTKANGLGEGVGTKTLDDWLAEEED ISKKAVPVSAPVQRQVVEESEEEDEEEETTEEEEDEEEEEEEEEDDEEEEEESSSEEE SDDDEAEDARLMGA NEUTE1DRAFT_99106 MDSDSFFLDLLFVAITPAAIVVVMILSCLIMFIVFGGIGMIGYG IVSIFSSVREYVRGRGVVAAGGGNDYELIDALDWRAAPAAPAALATAGGE NEUTE1DRAFT_99107 MKSTERSLGIVSPQNSLFIESPEDNDASASTESSLVIIEDYFDR PLPLRPGEYCGSHEWEILNLSSKDATTSQLVAALDIVTDILDKENIPYAVMGGFSLQL RGMQGVRQNVDLAVPLSLLNTDESAWLTVFKDELRILRPSVFLSGVKDMTRKTLFVQV GDLYNDDNAAHWVRVDLRFVGHEHSPDTNLDQSLLPVLEKFEQERDLVSSPLSSEKQY NCLKLGYLLHDKLCMIKNSSTERELADVIYILSGHLAEVGDVRWIDIGLRTNFLDCYD ALSPNDLMTDYFARALWLEGDYLWDRWTTIQKREKSKPVPENKEWFWTR NEUTE1DRAFT_136002 MAEAAEDPQDFAKFSTAPSSHLHEISSGPQSKKRNAFAELMAPK PKAARPDASSSRSPAGVPSPTHKRTKNSGGKTTTRSKWSGALLEYIDHPDRFPQQVIR VTDKTVLIRDGFPKATVHLLLIPRSPEHYDLHPLQAFQDKDFLAMMRDEAASAARLAA AELQRNISSFSVSSKARNEAMDKGVPFDQLPQCRDYLSDIRIGVHAHPSMDHLHVHII SRDMHSDKLKHRKHYNSFNTPFFIPLEDCPLAEDDERRNTSFQNGNLAKGFICWRCGQ EFGNKFAELKRHLETEFAHWKSE NEUTE1DRAFT_99109 MSDFAILGGVVHGIVNETEDPCVRQFLGIPFAQPPVGDLRFAPP QPAEPFGELDAKKLPPSCIQYLSTSPPSIYTQYLNEFNVQGLNTTSPDISEDCLTLSI WASPTTTDDSLPVIVFVYGGAFSTGGQDVPVQIPTDWVQRTKSHIVVTFNYRLNIFGF PNALGIPLDEQNVGLLDQRLAVEWVQDNIEAFGGDPTRITLWGQSAGAVSAGYFQYAY PTDPIVNAVIMDSGSELTPVAQNLDFAHFGFTRIAGQLGCGNLTAAEELACMRSDSVT AEAINKAVQTTNEAAGTDITKYVFFTPVVNNRTVFPDYTAKSLAGEVANVPTILGSNA QDGLAFVILSTAVNQTEVFEATLDLFFCPAFKAATNRLLASVPVFRYEYFGNFTDVSP LPFLGAYHGAELPLIFGTYDIFPETSSQSPPPDKKLEVATSRTIQNDWVAIAANGADG LEARGWPLYSSVEEGLIRTYGVAASVESTGDEWGSGGAGSIGGVPAGVADARRIEALC PAIWQPAEVSE NEUTE1DRAFT_59780 MKTTADSLHRQLQLATPRITSLIQNVHHEISCLDSFSEIRQRFT HTVFVRLSDLDRYLVQAVLLTPSPYIDLSKDNEQEIDEVSRLLFLRWSWADAVLRNVL VRLLGFVDHNNPGCISADTGQVDALSEELSVRHDERFPGDRRERRVEQLIHDELNEDF FEGMRKDPWKLGRELADITVAPHPDDIPMWRLVARQVTDRYRPCLRWPGVHFAAAKAG FYEDGRRDLFEYPFYEEWWSEAFSAIPCATPSEESSTESPKNGRTELGRDLRAVFDAA DVWDVWTLCRVWLCEETLWGVDQEPGTARDFAYLESLEMFKKHWIRKTQQVAAPARNM WDSDNEFLQRRHIQGSLEVCTVEPSSGRKVSPWHNVSMDMNPLDFAIRAAVKQLSKKV KNQKRTRSKGKGTSQNITSGITEVEISYSIGASWRTRMCVKTWTRPGLIGTTVSSSAV LTTTMI NEUTE1DRAFT_116309 MGHGEGKGNNVDDNMILMPTVVVREVNDQYLVGYAGSHDLELGL GQDCCFWSRRRGEAQGEGRGGYPWESF NEUTE1DRAFT_40862 MLTPYQHSSSSLSSEDRSLIVYNEFLKIQHSPLLQEYSISRKIA RILPLLPPLSTWGVIEIVCYKLGSFWDVSPTDPSSPTTTSTLRRDHDKRAMLRHEIAF DLVHFLTSPQLNLDHNLNHGYGHESNNGTPAPEHEVPPYPGWGFPIPSMPGVSQVHLV FHDTPNYSDDEKRYLVSRSDFFHDETVFVAVFDSDTPNEERDIEGIDRHQMLWIAPNQ MIPIMQVRIDGKQPAAILWRDQVTDGWHDVGCRFGEGNINGGGGGGRRRGSRDGGHGH GGQRGGGGDDGLRNHQHQHQHQHQHHQQPTPVPPHERPMSTAFPGYKKFCLDRHPEDS KYALNSSALYIRADIVDQNWPIGYYNHHHGRQ NEUTE1DRAFT_59784 MAPPLSPAAILDGMAAALPTHAKDDTTSDLSSSLDAIALFVHAA MTSVGFRLIGFSEDSKPEEQAECQSLAPRLPSSWNRSLSSHCFVYAHEQSSMRYVIRI DRMGSKIEIRGLGMGDERIARFEITTRDYVSAAALPIRIPISEETGEEDRSDLPEKLK KVFISDERIADLASLLKINIIQRLVPSLQKEGYEESPDHRAARQDADEAGRRAGGSRQ PPPGPQQPLHPLMPEPAQPYPYPDDLEPPARRPIPTGDFPPPGFEDEYEINRHPRGGG LPGFVGGPNQGGRSDYGRSDLYPAGLGPDDPIRGSFAPPLGGPGGRGPGGFGTGGMHP TFDDPLFQGPRGGNGEGEGGYDDQAPPGARWDPVGPGGMPRFGGDRPGRGGGRGFGGG GFGGFGGDII NEUTE1DRAFT_120830 MSSPVPSSPTSPLQSRSEQRHQYRRQEIYEEPPSQPLPYDSSIV LLQSFNNFFVVAIHNILYYRGIYPQPTFLSARAYNLPVHQNRHPKVCSWIRDAVKAVA AQIAEGRVSRIAVVIHSPLEAEMSSDPTQSASSQTIPPGSVLERWMFDVSRFPAWPGG AKPMRAFKKALAKEHRNEDSRDDEYYFPTAHTVSLPDLDEQLRGALRRMAHAAEKMDA LPEGCTFTVAVELRDEALAPIGHPQAWIPSEPNLQPASRSRPEPGADVGGVKTTPIRS VEAGALFFECWLEEGKAKEMLNK NEUTE1DRAFT_78469 MDQMEQQLAQLLANTQLPDEGPRKQAELDLSHAKANPDFPIAIA RVGINASFPVSIRQSALTYLRQFIEDNWSPDDGEAPRYPISDHYKHELREVLLALCLG SEGDRKVKVATSLVVSKIAQADFPDRWPTLLPSVLGVMPTGTDDQLHGALRILQDLVE ESLTDEQFFGTAREIIKACYDVALNNDRKKNHRALAVVVFRSCFDLMDMAKDDHKKEV TSFAQEILAGWLPFMELVINSPLPDREEAGSQPQSWYGPITLKVQVVKTLIKIKTVFP SLLLPHSPTFFSAVWQELSRLQDAYQDLFINNEVQSRLEDSDGLPYTLDFLVLDELDF LNQCLRASPVQKHLEADIKAQPDMSKIDWVMKLNQLLVSYSQVTQEEEGLWDIDVSLF LAEETSVSANYTARTACGDVVVKLGEWLGPKVFQGLYEVAKTLFGNNTNNWREQEASL YLFNCALNDFLDCEKTVPVEVTAPYGEIIQYAVNRQDMPVLCARGFLAGAALSQAAGS PAYGLLEQTIKTVGSSDSELIQVACIKAMDGFLQSTLEPQYQGHILQAIQGYLSGLDL TSLEDSDDLLVTIVETLKAAIAVDMRTVLQPDSTALDMLLTLGKHGAANYHVEISVCE AFEEIAEAMKDATAYPAFCAKVLPSITGAFDVANVTGDDPLVILAVELLSILVEFGVE PLPAGFVEHTLPKLARLLMSSTEGEVLRPGTQAVKNMLMHDHQQVFAWSDESGRSGLE VCLMVIDRLLDPSMEDNAASDVGGLAAELVEKAGPQRLGPYLAQLLRAVAARLHTAQE VAIIQSLILVFARLSLTVEGARDVINFLSETPIDGQNGLQIVLSKWLENSAIFSGYDE IRQNIIALSKLYELNDARVNETLVKGDLIINNDTRIRTRSRAKQNPDQYTQIPAPLKI VKVLVDELGATAGFHSDARSAAMAQNVEVEEEDEDEDDEGWEDEPDVLDLGLGSTKAE LMSWAEGNQALRDRDDETRQYLVGFFVRVATENIGNFNDRWYQHLNEEEKEKLRELSA Q NEUTE1DRAFT_145042 MVRVTEELAISPEHVTLYYTTDPLLGHLPVLIFHGPSTTANYTL NSSRIQVHVYSPAGFMSFHRITISPNSPFYDVVDYLPREFQGDEICRGLAFGLYKYFS ELPEAVKTYLKHQYPTRGPRAPDTAATLFSPQHAADLAQSAVPVENTADVIQTLEVAL QTQHINNVDIDLVLPPGSIIPLDDEELEEVPEDEDDILDPTLRQYGGYTPLVKLFGEP VFLPTSKLRRAPSRPSSLRNRTKSFSRNQKVELRMKLGELVDTEERYVLKVNELVNHV ADEFRQGAKARATGSISPSEEDLDKLFPPSADEILQLNTAFMHELRRIMDDTEEDAIR DMETPFPIHREGSVKVKDPSGALAMARLFMEWFPKFTECYQDYIRASREFPRLLNLFL EQQSSFRQRVAQKGEQAVRSLLIEPVQRLPRYSLMIDQIVNCLPITHPALQLMLRARD IITNICSLDEGAGDKPHIINRLRAMVECWPPDLEPQGRLAVAADFIELSPPFDELRES DLHENAGIFLVFSDIIVILKKKTDSGLTGRDLLREIDKPSPAGLLASMTNVAGGPGMW EFALTGWHNLADVRFTESADGRLIWMASTADMKGSHSGEYFGGKAITSRCFILQEMYE GRASKWSEEIAKARIEGRFSEQEREDPTWTLRSVRMEDNNFRLHAAVFQEAADQLIEG RREPAPIRVVLDMDLGTKSAPVGHYGVEVVVEISTADLRRISMNTLGLNGKKFSDEVA LDDFLPTLSRRIIQLLSTQTCVANQRLAPALVHYYTKILRGLHMGSKDMEKGHKRSQS ASPVKLLSNFLGGSTPSVTLTESTPSGSIRGHQRNPNGSAPALLPSQMARTNSRNELL LLAAQIKEQHSIKMGTAEDMRPENPLFRLEQTFTGFIANLQARKGYYHGRTLLTRSMA DELLVNDLYNRLIEFPFDLEASAEVGTDIVFAAFEKFVRIAWRDQIGPIITMHALDAL QVRASKRVVGDFGDFVRFIFRDLAPQNRRAFTALIKLLADLLDGCSNDGDRGALTLAF AELLVDDGTGSNYINLLDRLVEDCDRIFEDHSFAATLQLNSSHDDITASNRSQKSVTG SVTSNSSSLRRKFGFDSLLRQNSKTDSDRSSVWRSLSKHTRSPGESSSLSKASRSKSI DISVFFNPPTPNRSRRPGSRDRPPIAGAFDDITHRPSSTKRLETIGEPEDEEAFTESN RLKKKRRSSLSDLKSVLSSTTLVESVTSPPPVDDKESPLVIDVDSAAVPVPAQINRKQ APQKFHTAPRLPAPTKIPISPNSPTKISISPGSGYSVSSGAEFVPPLYSSRQKESPIV SPLASSPCTPPDKKAFSSSLPIRSRPKSLSVPQIPTLKPSRLPTAERPSPNSNSTSNG AADTGGNLTRSPSRPTLPYNNGGLKVPTSSSGRLGLRSPQKLRERLQTELKAVEEVDA TLQSELTRISEDMTRINATLPRSASTEIRRLSSAVRALEERVPHAMLDLQERQAEINR EMEATLRVAEAKVKAIDQLYKEATAENELLYEKFNSELGKMLKALKGKGREDKEELLA KLREQSEEAARVKKENARLKREMASLRALIKAGVSSTPVERVQGLDGVDGS NEUTE1DRAFT_38501 MPHSTRSESIRNNTTMSSLSADAYTIAVDPEVAGLIVSATNYPP INGHPPTNGHLTNGYHLDESNTGEEVQMHSPAHRAATGSSGQPSNHIRTPGAVAGAGA ETGAVITGTTINGTHIRDITINGTHITRTTISGTHISGITINGTNVIQTTINGVPTST SGTTINGTNNNNNNLNGTTPISRAPPPVVDEHEAEAEAAFASTGAPSVITSSSRGSNE RGNTSTVHERSGSTSLDTFGVIIVYPRAMFDINPISLGDLLRREFGDPWERDPPVWEI EVSTYLPTYLPTYLSGPEVLEK NEUTE1DRAFT_99117 MSWRLLTVCHIVHNALSSDSASYPIVRIIQPATIDSTLEQNGEN LPASLVIQPSQDSYSYLNSDLSDYDEWVSPSPSDIGSSAEEDDQNEHQLGNDWRNESY HERRPEIEESFEELVAPSEPSEISDLGQRMYNEGRTRITDSLVQTPLVSGESRIFAIP RKSLQDRLFEALQRKNSTTPLAKGFFPATALSTIITFRTVREELRRQQSLDNYDLDKI SQFARMICTETPCTTSNDNEPGKIQSFRKVFAILVMMDKVPAIVKFLETNVNDSDLPL KAKYRRHGGIYDLRRRKTPEVRLKCFPERWGCLLLSQFEEMQWTTLAPFFGKARPSTK HRVLHYRLPEKAILPFLGDDEESPSEEYEFAGGGGRVFRVKIHADHHTFHDRLCDRYG YHGDIKPENILWFPDRNEGPTGSSAFQGGTLKLTDFGLAAISTKRTMSRIRGTIMVSR CYCAPEIDLPDGGGHGRQYDMWTLGCLYIEFVTWLIGGWRLLSEFTHARSAVDQAWCS FKTDTFFTIKENLDSPDREMEAIVKPQVTKFIEELHANPKCTEFLHELLDTLERLSSQ QLCGKLNDMLRKCLDSDSYGCTSCPREKINVS NEUTE1DRAFT_78474 MERLDEYSVSGDNKSNNSDTNENMDGKPEVSSGYQGQTFVYQEL PARITNPVKLAALLRTQFGVGKYEVSMIRSVYNVGTPRRLSLTEISLCRGL NEUTE1DRAFT_99118 MDSFTASDRKRQRSFSETNPHNLATSVVDLALRPTYKRLRLDDN YVDHLGSQAAHAEEGLRSSFSGNLNYTHYPSSSVSDANGINDNGMGSSDSEPDDPSLY GEPEVKALSDQIQNSLVKSPLQYGRVFLPEGVLDKLLTKDALFATALLTGLDYKKLHA ALNEFLRKPIDDRSLPLDEQKLDDLLEKKRGKTPIWRTDVNINTFLTKQWAFLAPTFP PPPGPPVMEVWGHTVLPFIDRNEIVDDVGAFGFVYEVTPHEANITDVGLKGSRIAVKR LKFQQSKDTPERREEEVAWNKEVKIHHEISQMNHPHIIDFICAIHGVIIDRGPEHLLL FRWADQGNLNSFWKSYPNPRVSASLVQEIMIQFQGLAEALNKLHTPGKKGSYRHSDIK PDNILSFSTPGRMSSDEHPDIGTLKLSDLGLTKYHVEATGYRHQTSAKYTTHRYQAPE AATNEDGARSTRYDIWSMGCVMLEFVIWLLYGNDTLKQFNVQITTKSNGSWFMKRGQT AKLHDTVAQTMNFMLESDPECQDGTAVGELIRLVRERLLVVHLGPDTKKLQRAETFKG VDSTINDIPSIQVEQIEDGFVSQRGDMDTRIAQNLSARGRATSEELLDAMKRIVANGK GSQHYWCTGQGDRAQITQELLKLLPKEYVFPSCTLLIRGCDNDSTNHKYPRLARYGFQ IEDTWGALRRNQETCEFCALRWDLEDTVKIGLPGLTVSEDQHFALLRGWLRYCDTHHE CKPWSNAPLPTRVLDLGTMDDTTIRLRETQKGDSFRYIALSHCWGKHEHFFTTALNRR DHMRGIHVDNLPKTFQHAVKTTRGLGIRYLWIDSMCIIQGPGGDFDKQSAKMEDVFSS AYCVIAASSARGQGDGFLNPRRKCDHVKVVNESEKGGVYVSRFRDDFKEHVLNSPLSE RGWVLQERALARRTIYFTEWQTYWECGDGIRCETLTRMDNKLMSYLGDPNFPSKLSGA GSNRGEKIRFYEDLYRHYSRLNLTWKKDRPVAIAALEKRLHRDLKSSGGFGVFDDSRS LLPRSLLWQRGAEVTSLNKISFPPDTHTRLPTWSWMAYDGGIDFLDLPLGEVDWDVSE IQGNWTKHQTLDIQRGPQQQEAKEIELSARTRRFKMGTTGSKEFDIIYDIPNQVSKET ALLKCVLVGKLRNNDVPKEKTTYYVLVVVLRDSSLPTEMYERVGVGRMLGEYIDLDNS QPGNWVKIR NEUTE1DRAFT_39623 MSTQLNAGIPLSHAPDGVGYKLLELPSELAELLESENPPTLTLH PSPTAALLKTTIDGLPKTYSLRQKNTSNGLILLSPFTTTQTAPQPRPDNKQTTTEPGD EMDIEQEQQQEHQEEEKGMGIPIPGLRTLTTLHETIELVPEAEGASAPAVKARGKWHE KFARGR NEUTE1DRAFT_99120 MYRWFTHSQILAMSNHQIDLTSLPTTMHLTKVFVDDGWPPPAVL PVPEQGPQRDQDTFLATVISLLPDVCPDYAQQQGHACGWDSELFLNRILEEEGNGTKY PRRVKKRKRDDADVGDNAKESKLQKLHEKYEGRVSQHHRDHNYSRVCRLLLREAFPKA YVGDIEEAMLTHNSSVYQTYSALHEDFSKPHGVTFRRKLYPRHKNNIEEVAIDKEDIT LPGREAQQEFLAAQEVCSAKLAKDAAKEAQEREDKKNFENAKAEGAITECGCCFDELP YNRMVHCDGDTAHWFCYDCARRQAENQIGQQRYHLGCMSMDGCEATFSRDQKDLFLDD RLKRTLDQIEQNDSIRRAGIEGLETCPFCNYAAEYPPVEVNWEFECQQPECGVKSCRR CRQETHIGKSCDEAMAEAARNKGEDAKRKLEEARSLAMIRECYKYAEPCNATFQSLDD IHDKEAREAEERERKKLLEADPSIDAKELEIKFDEKLFPKRQQHAHPNGVRVAVAYPG QGPARLRHALAGGIVAQPQVGGPNQAQPGMPGAAHAHVQPVGGEADLLQQYVRLGLPP GPVRDRVMERWGRRYAQLAAQRNRGPVQPQHGQVANGYPNQGMNPGHAGYAALGIHPN PHANPALNPAPNPGQAPQAFPGVGNQVLHHNHNDHHYMLNAHFPANVPHWNPGGPGLL GLGLGPLPPNDGFGLPQMAQLAAPRLAAHPPPVAANNIPNPGVNVRSLGRAPVVAVPP RQQVPVVDLTADE NEUTE1DRAFT_78478 MPPYVPRKRVRDESPPPANDLKNDAKRRKGKENLNAAKLTAPPR KPTLFDALDATASPASSSKTSLAALALDESSDDDSSSLSSLSDGDFEDVSVLKKQKHN VQVGEDEDEDDDEDLEFEDVEPYQPQSATANVPEVPAGDLELTLIRDTRISLANALGK KGPSKLERRIRVSTHCVHVQLLLWHNAVRNTWLCDPEVQGILLSHLPPKLWDEVDRWR RSSGLEVVVPDGGGTEASTKKKSPAQKKTRAQVKGKAQAKGKDAAKADRSRDWGQAAK HLEKGAVDMSHGDPLFRLMKVLSAWWKQRFRTTAPGLRKWGYMTLERLDRLTKAFSQE EHQPERFGERIACLEDFRECASECAGSRDVGAQLFTALLRGLGLEARLVASLQPLGFG WTKVEEADPEKEEDHKSTPKKKMGATSMAKETVTTQASGRSTRRGASRKTTKIQRSNS ELIAADSDDDLVISDHEPEPTPRMKNKVYDKDLEFPHYWTEVLSPVTKKYRPVDPIVK SIIGTNRELIESLEPRGSKADKAKQVMAYVVGYSPDGTAKDVTVRYLKRQTLPGRTKG MRIPMEKVPVYNKHGKVARYEMHDWFQTVMKGYARGGRSKPAMTDVDLEENATDLKPA KPEKKEVKEGQETLQYYKQSKEFVLERHLKREEALLPNARPVKMFRNKGSSSGSSKKA ATTTAATQDEPVYSRKDVVHVKSAETWHKQGRAPLPGEQPLKRVPYRAATNNRRREIA EAERLTGQKVLQGLYSFDQTDWIIPPPIENGVIPKNEYGNIDLFAEHMCPQGAVHVPY RGAMRVCKRLGIDYAEAVVDFEFGNRMAVPVIQGVVIAEEHHDQVMEEVAKDEAEKKR KEDEKRRKAALGMWRKLLMGMRIVERIKQDYGHVGDEVEVFGRHGSGGAEIRELSEAE AEIGEDEEMGGGGFLPEGFEVEDEERDSHLRSSFFPVVDEDDEDGEDTLEVDHGEEEG NGDQPAKKKDSVVMSTKPKRKAAAAKAKASISGSRRRTRRNVPSSEDEDEDEDEDSEL SDLEDDEEDF NEUTE1DRAFT_78479 MSNNDSSDTSYVKMSTADVASPSGTSAGTDASLVGKHCQLEYCN QLDFLPFLCQSCHKTFCLDHRSESSHNCACAGAWAERRRLAQLARPSAGEGKRMRELV SQKPCADDACKTIIGTSLVPGVHCPSCNRDYCLKHRLAEDHDCKSKVPIGARAASAAT AAAMQASAKSALERFKLWGKTKREEAARSLPKPKPSTAAQRVIAVNTLKKTAKGDDKI PPEKRIYLYVEAESETAKAKIPKGEFFYNKEWVVGRVLDAAARSLQVQNINNQSSKEE DRLRVFHVEGGRMLEFNEKIEKVLVNGNTIVLLRGVGPPPDLIEM NEUTE1DRAFT_59801 MWPFGNSNNPDKPAPPKQSPSETSPIQDKVEKKVVDVKNAVHDE LNPQKLPARRKLPDGLQKLVDKTDKEEFYDDLYEGYMPPSTESNVRYAAYASRFRTIL LSAHRYVAYTSDIGESFRPVAHPWLVRGAYGVSWAYILGDVSYEGYKAYWHNRRVLDP NVELTSRQKRILGLIDENGTKKMEDDKPTPGKITPLEDYRTVMLQRLIFQSVASMGLP AFTIHSVVRYSGRAMKNVKNQTIRTWGPIGLGLSVVPFLPTLFDKPVENAVEWVFHKA FETFGGKEAVGHAPLIGREKTLSEKPTPHKEKNE NEUTE1DRAFT_99125 MAGRRGWGWRACGWSVCPSATFDVLDVQGGSRTATGQRLGSGRS GLAGGFCNLRQPNFRQVARRSFSGTFASENTPPGLPTASVTMGQYYNLFGQKLAMGVL GSLFGGVYLASGGSSKTPATPPINASSSDEADFIKKFLETSETTEKQAPAAAPAKH NEUTE1DRAFT_128440 MVNLLTLSFAAMALTPLAGAVPTTRDVASAPAPFSFAKWVDEII AKPEEAATPQQALDAYYAYVNNTSTDNVLPETASKMHKRAMCNTVVGKQAPIPDAVEC INYLARLNTQTCEVYRGTDHVSFVVRGNAEMIGVRPDTNGASTICNNVARAGGKIMDA CSRADNTVEGEEITPDAAAIAVHIIRRGGY NEUTE1DRAFT_40023 MAESCDTDITMSNTPSLSQDTTSLAIRNRPGTQGMVAVTPSSAV AAVTDAVLSAVTQVDHKLERLVRDVADSAERPVDLGLAQLCLDDTNAAKVANAAAIVK MQVQVATVDFEKERLKEDVRNNDGYHRSKVRKERMRIDRLEGLLEILMEERSMPATKE THALYDKKIEQFEKAHKARCARSDAEVLQAGPATPGPYSSD NEUTE1DRAFT_136021 MGRGSKPSADGVKKRRFCRGRKRPKTTDRAPSKLSAGTDSSASS LANTQPTSEPVQEQYTATMSVLEQEPGRGQDTALVEQLKALVKQNGDLMKQNVDALKQ NADLAALLKESKRKLDVMTKNAERAKKNYISEKKANNRKYEDRKEELKQIIGNLNMKH DATRQEVADLNAAMHFVLERGHEGKYFDKMWSKTREWTKY NEUTE1DRAFT_120840 MTWLAASRSQLGQARELISEERKQPQTLFTAITTPPETSLTSHT RLLWITLNPEYSLVGVSDIRESGPSKDRQEGQQPLVRRARPPARFNRRTSGHCLIEDL TMSAVESEAATNANTTSNQNNNSSQNGSLSPPKERERNSLTLDQRRALRRWANSQTVR PSHKACIEWFQTQYNQTISQSTVSHSLSPKYARLDGDPQLSGSRLRFGNWPDVEKLVL LWHQQMVASGRQPSNEELAEKAKNIFTQLPRYKDETAPEFSPGWIHRFKKRYGLLIRR QRRHGTAPNPADDIPYLVDAVGRFMSITADVSPTVIRDTVVRVVGVEPTLATCARVRD EIVRKMEGPSPAPHHQQPNPHQNQHQQHLAGLQQQQQHPALNAVGDTPMGGFSPDDDP EVVLQNALRALQQEEADAEAEAAAAREERERAERGLPPLGTIAGQAYANAMSTPARGG GANGTTTGARFVPGATGVEDPLTLTPIPSGGPVSLTDRPVRCPFCVNQRMLRTIKEAV EHMSTHVVV NEUTE1DRAFT_78493 MSRGFKALRRSIKGGEKDSKPHITIQPKSAVAIVPPKKVIKALY DYEAQNAQELSFSRGDFFHVIGRENDPEWYEACNPALPDARGLVPVAYFQALGRTERD SGQSQTGSLGLKTVDSDSGYGEGSAASPPGANAAHRMSKTPGKNGAMVYGVVLYDFQA ERADELEAKQGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVSFVEIRDMASNTPVPNP HDAIRKAGIPKVEEWKKMAAEYKNSSITLGKFDVGGGGGGQQPLDQAMNRLTLQGSAR LSQQTTHTQVRQQIQAQGQPYSRPASTLYAPIAARVPRYCFAEDKYWFVIEAELEDGR CFELSRYYEDFYDFQIALLTEFPLEAGTTGGKQRTLPYMPGPVNYVTDAITEGRRHNL DSYVKSLLEQPPHISRCNLVKQFFSPREGDYEIDPSVAREEYRFSGGSGPSSDSPADG LSLQSTAGNRYSAGLGVPQRQLSIGNGQQIPGPGQASQTSLSPAIMQGGSQMKVKLHF NGDIIAIRVPTDIPFQALHDKILDRLKIPQGEHVQLSYKDDASGEKPPLMNDEDLDIA LQRNEKLLVYVE NEUTE1DRAFT_145051 MSAGVVVDLGLDGILAAIAVDSGHTAGVDRCSMQPVRWLTSNMQ WSSAQPPPPGTQPPAAYPYSATTAFTPVQVRQTFGQPYSAAPPASYPPPPAYGPIPIN PPPATPLHTNPAAPLTAATPITTQEPAKKKIDWPESVRNYVQRSFQTSNLDPSVTRAE MEAKLKETISYANDQGHMYTVNWEKMPLPQEMIKEERARARALQQASHFSALSISPSS SKKRKSTDFSEGAAPPWRNGQNRLEDRITFNADKRPAPVEEAQSKTQSKFQKQLEKRQ KRFDGGYKSTYREPTPPPSDGPVVGTCETLEKRYLRLTAPPVPSVVRPERVLRQTLEL LKRKWKKEQNYSYICDQFKSMRQDLTVQRIKNEFTVEVYEIHARIALEKGDLGEYNQC QTQLKALYKMGIKGKSIEFKAYRILYFIHTANRTALNDVLADLTAAEKEEEAIKHALD VRSALALGNYHRFFRLYNDTPNMGAYLMDMFVGRERLAALCNICKAYKPDVPLRFVTE ELYFESDADAAQFILDYQGQDLLEDREGTVMFLTGKAKELFEGPRKAAFSRVDIKGQI NEUTE1DRAFT_145052 MFLKNLDLTTALRPSYLPDEDLLFVQDNVGLYEGKYKLPNQQNG QVYLTSHRICYVDKTEPRKYSAALDLKDIERYEFYAGFLKSSPKITLVPKANKRASLQ PSRNGNASPAQRVDSPFRAPPVDTPPASSATWVCTICSFSNPVPSNFDPTTASEHTSL PPCLACGIKPTLTHILKATISNATSRQPGGGPAIYPPLPDRSKQGSESTAPATQTSSS PFQAADASASFQCPRCTFLNHPSLMSCEMCGGPLISNDLPAELMQQRRETDSPGPVLS SLAPKSEALESVKISFRGGGEKIFYERLKGSMTQRKWLLQGAPPIPKTSSNGLGVGSG SGGGAGGGVGSGGGQPERVKIAGIAGLEQRGQSMRKNNEIVIGNAFEDLEALMASAKD IVALAETFARQVKGAGGASSGSENALLAESASQLGLIATKDIVGSGGGGDSLYLSELA RTLAEFLTDDARGVLRKAGGIISLVDLWAMFNRLRGGVELVSPADFEKAVNLWDKLGL PVRLRTFKSGVKVVQGRDRTDETTIRALLAWMQDLHNFPPDREVAWDWREFGRGVTAR DAAERFGWSIGVAEEELEMAEERGVLCREEGIEGLKFWENFIDTGEGASRPHPMKEDN ERLVKALQESGFL NEUTE1DRAFT_78502 MKEGTPAPVPVQGPVSAASPLDTAAGLKTSHSHLAGLATQTQKT RAALLGADGGDIVDTKPTPLTSTTSKWNTKNLGLRLGADLVSAAAAAVLVAPVISIID RSIMENASGAAPLLTSLRRSLRSLLSSPRSTILSRPFGLIFLLYGGTYLTANTLDTTV ATVQNNPNPAHVTSGSSKFFASSAANIGLCIYKDQVFVRLFGPPGATPRPVGLPSYLL FAARDCMTIFASFNVPPLLGPVLTERLSKDAQKWVSGQTMAQFAAPAVVQLFSTPVHL LGLDMYNRPSGGSGAAPSWRERWSLVKKKWAVSVAARICRIVPAFGVGGVVNMKVRKG LMERLS NEUTE1DRAFT_78506 MSSQQPTTSQGGPKATGGMSGATPTPANAHLGNETGEKPKAFDS EGAIGKQFTATGAIGQIGEAVGGPLSSSGVIGKQFTDQGAIGGTVQDTLGGKN NEUTE1DRAFT_59825 MAENLQSSTFSSLNADPPQILNFKGLENVPTSGLAFIPLSPFRE RTASSNPAISAATTAVQASTDESQSHSDSSSGTDSSSVRSSAEESSAGEESAAEDSAD DDGEALDSEANSTDIENYIRESMSNNVEGGYGELGGDSDSGDDDDDDDDDDDDDNDNN DDDDDDDADSDDIDEQLIFESDHEPSPEYDRVPNHGFGHNTDDKELEPAQILSDIVAV PQVVGDLRVNTQPAATPSRLATAIVAAAPTSIVPTKRKAGGEWDEARRKQFSAVMKQR WADGRMSHVADTLRKNNALRSKEGKNAIRGALDTPADHPSASKAEAKESIPDTLTGHP TASEAKAKEGAYTPTDIAASGRPYREWIENGVPGNLGPGFHEATPRGKGAIVVSMTNN STSIDDPMALDKVPRATSSSEPVGDRASIWAYIEPLLAKHRGREMPHGGYVWELSILP RVRDLNINFEWQRTHPFTDVHPRDVSAVIIQLTGEPAATPCKRCQEGRGPWKGCIIIS SKADNRPLANIVSCANCFYHFGQTYCSHKSWGVERSRRILRTRGKTTDEIQALTSPNS GQTKPDTRTSKSVVGYTPELQGGSTMSTHKQDETSLQKYGNDKPESESRPLPPNNAQR NYEPAKSTIPLTDDQKALWNYIKPHLAFTAEVPEVGYIKELLSLPRVRDLELTPNEPF AEKHTRDIAALIIQVTGDELEMPCTRCRREQGPLFKGGCVAIKTDAHPEARRVYQSCA NCTYDSKGGACSRGKGIPMRPQPPFPPKVPGITKSTDGVWLKRREGGGGLPRHTTATA VSRPQRQAALPAAAARTSLISAGEVQSADMFDMEEWEMAPGRILKSNTDKPISVAYSK PFLSTGHAVPVWNDVQFQVKTISTGQTITIDPDDFQTRLVSIGTGKLWVKLGDEPQFT IGQDGMFRVEPGMACTIENRVYVDATVHITHLPW NEUTE1DRAFT_120847 MVATIRLLSGLVGSLSFLSLASAEPQVRNDVRIMALGDSITGNP GCWRALLSRKLNATNITPPLPYSQIRFVGTQSRTYCGYGSAYDWPHEGHRGYLATDIA QDTVPILGSPVSQPLLQSWLTAPGVAPVDIILMHLGTNDIWQNRTAIQIINAYGQLLD QMRAVNPNIRLLPANCPECAAKVRMLNRYISIWAQYVTRQQSPVVAVDLYSGFNATRY TTDGVHPNARGDEAIATGWVGAVIRSVRDVLAQRDVRV NEUTE1DRAFT_78509 MPVLRVRSLRVAPPGGEEGIRTPSPYKFKTDREILFRYIAENIR LPSTFEQLRTTAAGDCLRHLVDHLVLNCDHPAIVNALLALKWHYGAITEDKGLNDARA SGCEIAAWRLLTHLSEREAVDYCLYEIPDPALEANRQSDEEETSQVNEHSPLLARAWT ESVANPRRKHEFPNPTSDNRRNLLLQSLTRLTMSMTGEVDDDGEEEDDPTAPFINLNA LEIAAIADAKRFLSQNVVQKIITGIWNGDIIFWDNLSVHTEKKPRFYNPRTADPFSRL RVPKYLKSFEVVFFASFLFLYYAVLVERNPTRITPLETFLYLWLAAFSYDELSEWIDA GSIFYAADIWNIFDMAIMAIGFTFMVLRIIGLKTQNAELIDLAFDVLALEALLMVPRV CSILSLSPYWGTLIPCLKEMAKDFIKFMVLVVIIYLGFLTTFSLVGRDSFPLRDMTWF LTKIFFGSTFVGFDIMRQIDPVFGPPLMILFVMLSSILLMGSLTGMLSNSFSRVITHA REEYLFVYSIYVLEASTSNRLTHFYPPFNLLALVIFRPLRLFLPSDDKFRRARIILLK ATHLPIVFIIAMYEWIRGTSLRGATYSAVKAPPHGAPIASPAMMRKLAGPRREMRGRR SVTSLQSDQAAQSRLGMSSMERRISAHHARQAEEAQEDSTYAERATDVEVRIADLAAK IDRLTELVISMQSQQSTTLGDVSLS NEUTE1DRAFT_78511 MRTDFFHRLPPPTAILLLASLFNSVAAHVALPPLPTVTVAHYAL DVVSWPLLLPTAAPLDPFDLARRQAGNTICGYIGGLSALPATCSAGSHCVLDTAHQVV GCCPDGAATCTSGVFTACVDGNSGPQSEMNPYVFTCTGAQVCYKNMYEGGASQFGCGT AADQATSVYTTANGLAGAITYPHISALLTKSASRLGTPTTLGIATSTSTSASRTRTSS SASTEFSASTEPSASTTNSLVSSESTAGTTTTSTTTASSTTASTEPSTTPQAPPSKTS TGARTGAIVGGTLGGIAALALIGALILYFLRRRRSANSRTGPGRPGINGKYISSPTPG PNSGFAAVSQDPDAYETGPAPGYLPPVAQTTNEKGGFSSHLTAGGPSPYAYTGAAGVA GAATTAASAPVASSPTPPGQHIRQNSYPPTEGYHYPGQFPAAYAGGAATRKSKLEPDQ VPLTREIDAFSQNFNAALGRIGEERSSELGRVDEDAGGAGDGSDIGSGMSRPLWQQHR RQSRNLMWM NEUTE1DRAFT_59832 MSVPKVLVVLTSHDKLGNTGKPTGWYLSEFAHPYDVLNSASVSL TVASPRGGLSPVAPGSIEDAKDDEISQNFIKSETTKPLFEQTRPLSEFVGNPSAIAPY SAIFFPGGHGPMYDLPTSPESQQLIREFWEAGKAVAAVCHGPAALVNVKLSDGSHLLK GRKVTGFTNVEEDQVGLTQVMPFLLEDKLKEAAGPEGAFVKADQPWGEKVVVEADGRL ITGQNPASAKGVGEAIVKFIKAQK NEUTE1DRAFT_40144 MSDANHNQPGPDPSQSEYVQSVFAFQMEPIDPALTAGQSNTPLR PTAQPIQSQSFPNNLGQIQSSTSLPNHPPPNPSHHSGGIAAQNNPNMRVMGNGNGMAP AQGSAFNPQSPSVCFQSRPQPSVDQSMAATQANLHNNMVNPGAQQAAQQPQLSFNDYL DYAVAPMPQYAHYQQSVNGTMNQYLGQVPSSFDFSTIPGAASHYNIGSFHNNSNNNNS SFRPNSMPSVKSQAAQPPATSLSADALSRAAESMNSQVAAETAKKRASKSATSQIPLP DKPQEANPAQPAKRKRNYAPRKPKVEGTSDPTAPKEPPKKRQRTQKKKTETTAPIGSE ATAPVAPMAFMPTATSTPTITPALLSTNYHEASPESATSVSYAPHKQVAQLSSQAPIF NMAPPSPIQVPSEFVAAANSAPIPTLRSARPVQVMNQTPATGIQNPVSAVPNKVSPVP VPNIPIVAKVPSVFPRQAPGTAQASTQAFAQGPARTPALSPTPAPTPTPTPARVSPQH PVQPSKQDVVGASVQRTPNAAFTPSPSPAPARPPPQSSTQGQTQPPAQPPLKPSTYMV PQSVGQQPTQQAVHQQAQQAAQRPAQQVAQPPAQHIVQYDVQRQAQVRAQHQAQAAAQ NRVSAQPAIQHSYQHAVQQPAKPRAQQKAQKQVQQQAPKQQTQQQHTQQQFLQQAQQQ TQNGVPILAQHAVQHQTQAAAQIPTQHTTQTPTGPSPNPSTQPAPVPSLPNVNTERLA KPVTPTIISPQADTDLYCVFGMHTLHHFRVRRSTLKHLHHPTTLTTSPFPNIVYINFN EALTIPGSTPTELSNAPGETADSHMQAFGRLLRLLEDPATQGKTACDVDTIWRLSNLQ PALGLDKEYVRWLRRCMGLFIKQVTLPVPAPVTTAGQASGGGHKQNRNGNHAMGEDLF EERDMKGVDLKKNKWEKALEACRKFTWIVEWRTLVARLAYLCSVDKDAGGRFVLKKPG AGAGGGGVLNRLLVGEETIDAIVNTRTKACQYLTNVAENTCKNWHGRIKNGQRNGGCR SRLCMEKRMAGLIQVVKGLGLHIPKETTTITTSGSNPETLFQAQTQPTTTNGIESTFH GQGTKMIIGLSIHSVVDILKAIEHERRGRYRFADAMIQQFGGKCYDCMKGSAFGPGEL NPTNSLFPIIDLLYEMLWELRVKFLEWTEFPWAEKIVLTLEGVEGVEAEKMQGRLRDV VGGSKAVVTTKDGMEPVSAS NEUTE1DRAFT_145059 MAYVAPIHRPSSVRHALRINLLSPEEESLIIAKTNRIEIWKLAD GHLFMIHSKVINGTITILQKLQPKDHPTDLLFVGTDQFEYFTAEWDRETQQLKTLNRF SDPGERHMRDSQSQNKCIVDPSGRFMAMHLWEGVLSVWRLGNRKNTATTLDILVQVRL SELFIKGSTFLYTETGIPKVAFLYRNQANSNETKLATYRLTSDDRHTEISKFDPTRDR EIDADVEDPGAGILIPVKKVEEEVKRHHFRNTEQAKPHVGGLIVVGETRLLYIDEVTK TQVESALREASIFVAWAEYDPTHYFLSDDYGNLHLLTILTEGAVVTGMDVSNIGKTAR AHVLTYLGDDMLFVGSHYGNSQLYRLNLLSEDLSEILQLVQVLENIGPITDFTVMDMG NRENDSQLGNEYSSGQARIVTASGVFKDGTLRSVRSGVGLQDIAILGELQHTRALFSL QSYNSPRVDTLVASFLTDTRIFKFDPHGEIEEVDNYYGMDLQHQTLLATNLDNGQLLQ VTTAAATLLDAESGVTIASWAPEGDRQIINASANKHWLLLSVQGTTLVSINIDNDLTV VQEKDISEQDQIACIHVAPQLSDVGVVGFWTSGTVSIIDMSTLEPIHGESLRRSADDA SIPRDLVLAKVLPNAPGMTLFIAMEDGNVVTFNIGEDLTFSGRKSVILGTREARFHLL PQQDGIYSIFATTEHPSLIYGSEGRIIYSAVTAEDATCVCPFDSEAFPGAVILSTENE IRISEIDTARQTHVRSLELGEMVRRIAYSPSEKGFGLGCIRREVVNGEEIIHSSFKLV DEILFARVGKEFMLGTSSYSELVEDVIRAELPDSYGNLVERFIVGTSFLEDPDRGAGT DKRGRILVFGIDSNRDPYLVLKHELKGGCRALAVMGSKIVAALHKTVVISQYEETSST EAHLVKLASYRCTTYPVDIAVHGNIIAVADMMKSATLVEYVPAKTGGEKSEAPKLVEC ARHRHSAWATAVAHVEGESWLEADANGNLIVLQRNAEGVTVEDQRQLRITSELNLGEQ VNKIRPIKVETSPNAIIIPRAFLATAEGGIYMFGTIVREQDLLLRFQDKLAAVIKTVG ELDFNSYRAFRNAERGPEADGTTGPVRFLDGELLERFLDVDEKTQKEICEGLGPSVEQ MRNMVEELRRMH NEUTE1DRAFT_78519 MAPVSIVSRAAMRAAAAPARAVRALTTSTALQGSSSSTFESPFK GESKAAKVPDFGKYMSKAPPSTNMLFSYFMVGTMGAITAAGAKSTIQEFLKNMSASAD VLAMAKVEVDLNAIPEGKNVIIKWRGKPVFIRHRTPAEIEEANKVNVANLRDPETDAD RVKKPEWLVMLGVCTHLGCVPIGEAGDYGGWFCPCHGSHYDISGRIRKGPAPLNLEIP LYEFPEEGKLVIG NEUTE1DRAFT_59840 MKYNASLLALAVATVASARELSYQSFTKREVPQEHSYDAILRGT NAALKLNNPLNIQDAVFGLLDNAAAAKGAGQVTNLDCLQQIVADQAFTNAKAAGDLDG QVNAVLFRALERETSQVGLASAICDETAKNPEIAVVHQHQDPASAEGKAKNKDIELVV AKVLKGLGADPLLALDSATSLPGDDDGTADDTGSDAGDDDTCEDDGTPDNTGDDAGDD DTCEDDGTPDDAGDDAGDDDTCEDDGTNDDNTGATVSPKATTGNATGNNNTGGNISPK ATTGNATGNNNTGGAASPTAATGNGTGNTNTSGAVNPTATTGNGTGNTNTSGAVYPTA TTGNDTSDDDTCEDDGNTKTTVRRKASKLGRRHGGLDFGSCGTPQIQFAKGLDGREEE SFQPVNGQDFDHESALNIQVISEFVCQKLQDDCNASIEAVAACKQASSAASTAEGQQA AFLFNAALGV NEUTE1DRAFT_136036 MRLLDVETLQLELFDDGPKCAYAILSHTWGQEEVSFQDMQDLVK TPRTTSTFVESGYSTVSSSRNHTGTSEQFAFANNGTAKHKPVTAKKGFSKILGCCAKA RTEGYRYVWIDTCCIDKSSSAELSEAINSMFKWYHDAEVCYAFLNDISVPEVIPHHQS PSLDHSMSDGGNGDAMSITDEMKEVLYGSKWFTRGWTLQELIAPSDVVFCDRLWREFG TRESLSDDIAAITGIDAGLLRMERKLGDFSVAQRMSWAATRRTTRIEDEAYCLMGLFD VNLPLLYGEGQKAFKRLQLEIMRQCYDPSILAWASSDSYAVIEGVLAQSPSMFESCGG ISWRVADGVMGDPDSPDDTHQSNNFYHEIIGSSLLKMHVPISDFNARHTDDHIVYRSY WDVFGSLEDSIVHVNPPTPVPFEGTTADLIYFPRRINEFESAYLHDSRFRSFNYSFLK HKVKDIMLVPLFGCTVLSPTSTPDERYTVGITFCTDSAGHFKRVHFPSRFLVPPSDLQ RFFTPPEPPPESSQRGHVRDKQHLTLHLSLAGTEILLRPPVPEWARCLVRIPPFLLPP APSSSFSSHPPTTAVQTFSISLASTPNLIPSSFPSYFSFPSPSPSSSTSIHHSFPSTT TTNNSSSNNPQVLLPWSLSHTIPAQAADGSGCWYLDRWSEVTDRADFSQQPCFSLHKA GVPRALAFRHGLDPQLGFLLVFWVVKSGKGGDDQQWIEVGVVRNDDEIGTGVLWARTE KDLFKVLGGKDWWMMVGIGTGTGVGVGVGVPPPPPGSGRRKRRREWEFEIGEGMVLRV KIRKNPDYDIAVLDFRENRDKQMG NEUTE1DRAFT_145062 MAITKLAGPVGHDSDDDYDEALHHPQSQQPADIIASQPIPLPVN QSTIFNVSTAAVDIEAWTVAALESLRIANNARGAGNPLLIPLDGAAQGEAAAAAGPTD PALKLRNVVFDDGDDTYAANVAPPRRPPSRRDSMKRREALLKGKEGSRQRRRWENDRL VGVPNVQPPLPSDWQVGPTYPIHDNMPYHLAQYWDKGLRERVEERKAAFAVHRKKTVT ATSHQLGGAATAVSLVVSSSVAKTRADVGKVPKDLRATAKKTPAVKAWLRVLEEPVRE FLVQQQRGQNAAAKREQQQQKEQKARESGNQSDELGSDEEEILFTGRKTAAAAAAEGK PPIKNASSEKGTDKSIQLLPDTRMVLDTLGDDESGASFKRWLTHSISDYYGLDSKSVT VGNPARRVVYIGLKQVGNGPKRRAAPVRQMLIPPPLWEMF NEUTE1DRAFT_78527 MESFLQGDVCQDLREISVVNLIVSIVIVIGMLISYLPQHVRIIS RGTSEGISPYFVLLGTTSATSAFANILLLPKSRQDIACCKEVETFHCVAGLLGIAQLG VQWICFTFIFVLFLVFFRYNAADDPDSEAALVEEQPRWQTALLVASLTLLHGLAVIVV TGILSTIAHDKLAIWANVLGVMAALLAAVQYIPQIWTTYHLKHIGSLSIPMMCMQTPG GFVFAGSLFARLGWAGWSSWGIFVLTATMQGLLLSMAIYYEIQARKESKDHTPGRATS HPEHYANNQEQLQATMDRQDSDAAAETTPLLK NEUTE1DRAFT_99147 MHAKPEVVKEVLIVATGTGEAPVACRRLRASAARWSADGTTLFT SSSSNRINTFVLPSTLLAPHGDSPLTLTPQGTLYLPEPTAAIAPCPYFALEQPSTQCI LTASTDHPIHLHHAFPQPPPPSSSQSSSLDDDDDSTFGLPQHKQQQPKPLATFRLIHA ETERYLPITSLLWSFPGTHFLAGSTNCLSLFDVSRPDLGLSDTPLLTIKTTGGPSAQH KSGNGARVVGMKGTISALAMQPLSHGDYGTGANVVSAGTWTRNLGLYDLHRAGACVAT WNVAQAASLAKIGGRGVLQTVWSPCGRYLVVNERESSGLLVYDLRGTHRLLACLRGRD GETNQRLSCDIFPGTNEEVGGFEVWAGTRDGKVVVWEGVGMREGSVEPNYDFEVGAQG SAQ NEUTE1DRAFT_99149 MDLETSTDLISLESSLPSNACRATSDCDQSSISDDPDADEYARC HGLTVDSLKLDPWKGVLERDACIAATLIQTEFGEIIESEKPLEELAFRPILGKAEQWS VSAESLALLQQVYHHLPLHPVDIEQGEGLEFPESARKGDEALMESLTKETIEVTKDTL MFLVQSLKADWGDDEQRDLMESVSTYSGLNRVEHVTPPLSPRTRPEPEYYIPDDKTCA IPILSDPDSSLSAELEAVEGKILSKDNNFWSGIASEKSKSPDRYDDIDVSGMIRAGEF SVPRSSSSSPELIARDFKIEVPLLPNDSLVIADKAPPRVLLPEDLKEAKDLMASDTVP SKGAPVMDFSIPVREWDEHFWTAQVMFEWIRKTTDVDWKGIKWRKDNIKEQQMVWAPL AHMAEKQLTTEAVEVVPSVLAFFLEEPWEGEIQTSADFVNTQPRLLFLRRDEDDKDEL LEERSREPSVPPSKELVLSSTSIKTVRPCSRSAHACQAQQSMDVQTRQGLSSPPLAML QSRDLTSLLRGKKRQLGPIQRQSSPVTGPLIRVLDITNHDVPNSTHVLKGFENEYMDF GSLVENFVEMNMPKKAKLSHSRFFNRPTLPQPESAAVSNTKPTEPKAQPAPAIILAVS PDIVHPKTPPRIIVSAAVSNIITSHLEKLVPGINLIVRDHERLRAENAPPGSKQPNCD EADFVLSPATGIIVTTMVKLRQKPLPAAPGQQQQLQQQPTFRNVVENVAIRHERLIVL ISEGNKHSETMNPLSQSDAKALAEFQCFASALQAQTDVYVYYVGGGTETLAKWVAATI CDYGTEAQEWQSILLPVETGWELFLRRAGMNVFAAQVVLGMLRVPDDEVAIGGKEGKL YGLPAFLMMSKDERAEKFAEIFGGRRLLDAVSAVVDEPWGDGNVKEVDNSGMSQDKEV VDIFSDDIEFSGGLGPDDPMKQFSDGLGHNSSPWE NEUTE1DRAFT_78532 MTVSPVLAAWEACDKTDTLFVLICTVICWTIVPTVGIAYSGYTW KRNALHAAMPAILTIATCSIQWFIVGYTLAYGEGGWFFGSLKHFFHKGVLAEPVGSIP EILFSEFQLVFEATVCAIAVGGFCERGRLLPVIPFIFLWATFIYCPLAHMVWGGGLLG ETLGVLDFAGGTPVHVCSGATATAISIYLSYPLFRSRKSDVRTPTHIRIHRPGNSFFQ LLSLIIIWGSWLAFDAGTTLALNFQSVMALCVTNLCAASGALTWNLITFYETGKWSVD STFMGAISGLVMITPSAGFIDMPTACFFGIFGAVLCRQALRIKFTKFAHYWRWVDNGD TFATHCIGGVAATIMTGLFAQKEVAAYGGVEVAGGIIFDGNIRQLWVQMVEAVVGFTW AFCGSYIIIALIDCVPGLEVLAVDKHISQGLDMNEVEEFLGNLEYPDEIDYEPTARGT ISLE NEUTE1DRAFT_11363 MKNRQKSRSGCRNCKQRRLRCDEGKPGCKNCALKQIVCPGYQQR LQWSTKHEVALPAQPTQPENFEQLVTAASASIVPSKDNQPSSGARNARPTAKAPVPQT PPAYHHAETPPPSLSFLTPSVSSSPLPSPVPGTSAQEQDGFYGSMTTTPFQNDKRAFA PPGQALTLTQPVVDIRSFLIEHWFKSVCTSWSAYDSASNPYRHLTSVLWNTSAPVFYS LQSISAASLVEKLPAVMRETARMAPRLATEAIKAELVSFFNGRCTTFPRGLLLSLFCM SSSMCWMEARQLGQQYLRQARAVLQALNSWTLSPEDQELLDFFNGCLIYEEMLRSVVS DDEADLKNMLSWPDPPTSTVQGTLVHSTPHPWTGVSADVFRLFGKAIALCRRSRSRWR HNDGTTIRALQSAMKDIKEATRIEEALLAIDVPTEDNDDPSFNHWNTMHKQLTAAAMP NDNTPKMAKDLRLATETYRLCSLLQLYESFPDLAAKRIPDLDAAGAGADATDPAIIWT KWVSPLALHITDILDRIPASSLRCIQPLLCLCAGSGLRFDSKTAMGQGEYSYLLSLES SSPTDLGLGISGLPAGIGGIGSGSGSPSSSSPSGENEEDVQAVRILRARSFLIDRLGQ LELSLPPKPIGVAKQL NEUTE1DRAFT_59854 MSASDATAKIFFSSPKFAVVGASTNTEKFGYKVFKWYADRDLPA TPINPQGATLTVNGQPYPAIKSLSELEDPKETSVSIITGPAITLKTLQEAKELGVPAV WLQPGTFNDEVLAYANENFQAVVAGKGGWGGEGWCVLVDGERSLAAARL NEUTE1DRAFT_128461 MSIFGRSTYSSAGYAAFRPSYPSVLYDRVLRFHGKEAPSFPSPS SSSPAAGTLLDLGCGHGLVARALAPYFSRVIALDPSAGMIEQARKLTGDNSKITFKQG GAEDLSFLDDNAVDCVVAGQAAHWFDYSKVWPALARVVKRGGTLAFWGYKDHIIVGRP ETTPIFDRFIYGETEPVPGVESMMRFWEQPGRNILRDSLREVVPPESEWEHVVRIAWD PNHETGDISDAPEEATWLRRRLKLGELASYIRTFSAFSGWRSAHPEMKCRADGGEGDI VDLMFDEVIAAVPEWKAAGDRWAEIEVDAVWGTALLMAKRR NEUTE1DRAFT_59862 MASTNYKEAFSLFDKRGNGRVALDSLGDLLRACGQNPTLAEIRE LEKNVGGDFDFETFQRVLNRPGGFRDPGEPEEYCRGFQVFDKDMTGFIGVGQLKYILT NLGEKMTDEEVDELLKAVDTSSGQVNYTELVRTILAN NEUTE1DRAFT_99154 MTGMYDNPPQPPRLRNHTPESVTAAFEAWADKHRAALDAIVANV NLSDPQTVTFENVMRPQLEFENQKFSHNLRFYQHVSENSDLRETTRKMAKWYDNIWTD MNMREDVFRAREVLYHRSGLAASRKQNPARYITEDIAKNAGFEDAESAIALEEEWKEA IRLGLGLPSKPQRDRFNQIQKRVETIKSEFKNHHASDKGCNWFIPAELDGMDNDNIDQ LAKGTGKNEGRLKVTFDPSHYDIFLRDVKNSEARKRMWTAMENKCPENVPLFKEAMLL RDEAARLLGYPDHATLRIESRMAKTPRAVNNLLNDLRTRLAPLATKELNQLLQAKKKD CETRNLPFDGSFYYWDWAFYASKISKQEHDLDNKKVAEYFPIDSAITGMLRLFGGLFG IVFVRLAAEDLERISPTGVSEDVMYHRDTIMLSVWNDESEGNDFLGYLYIDAHPREGK YRHVANFPLELGHQRTDGTRFYPSTAIVCNFPAPTKDKPSLLDHEHVVDLFHELGHGI HSLVSRTRFSRHHGTAGKRDFVEAPSQMLENWTWRAEYIKRISKHYLTGEQMSDDMAE RIAASRHFLQALRNLRQLSSAIFDMEVHSPKSRAALENMDFTKRYNELRNELTGMKGP EAIGEPIYHRSNTYSYDMFYYAFAKDPMDKSQGHRFRHTVLEKGASQDEMLILEQFLG RKPTPDAFGMELGLVEPMEEPLLKGSSFAGRDPAIEEGTSSEEDSAIKDRPS NEUTE1DRAFT_116331 MVLISRKESLVYGHDDQRAEYNVVLRRRRSMGATEYGLSRDTLG DMHSYTVGSPIEPDQ NEUTE1DRAFT_116332 MTFPYNTCMHKTLKKNRWCTHPNHQNKNLEKHYQCSSAPRPHRG LNSALVCFVPNPETPKIEILKTFPQADKSEGVYKKGQSRVKTDVNHCATLIKSS NEUTE1DRAFT_78546 MDSQYETKKNDPNAIMPYPESNDEHVGEVRGLGGGIMDKEPEAQ EGHAKFHRLGWKRLTVVLIVEAIALGSLSLPGAFATLGMVPGVILSVGMGLICIYTAH VIGQTKLKHPEIAHYADVGRVMFGRWGYEIISFMFVLQLIFIVGSHVLTGTIMWGTIT DNGNGTCSLVFGIVSAIILFLLAIPPSFAEVAILGYIDFVSICAAILITMIATGIRSS HQEGGLAAVPWSCWPKEDLSLAEGFIAVSNIVFAYSFAMCQFSFMDEMHTPSDYKKSI VALGLIEIFIYTVTGGVVYAFVGPEVQSPALLSAGPLLAKVAFGIALPVIFISGSINT VVVSRYLIERIWPNNVIRYVNTPAGWMVWLGFDFGITLIAWVIAEAIPFFSDLLAICS ALFISGFSFYFPALMYFKITRNDAKSQSKKYFLDALNVVCFVIGMGILGIGTYAAIQD IMDRYDHGKVSKPYSCAPLA NEUTE1DRAFT_78549 MVPLSLERQAADGSLHVDITVPQGFVVFENDPILKIGELDKDEA VLRLIPGTRCTAGDALGLGQTPPGTARESPHHQRSCWTGASTCNDNKRYLPLREKSPP LRRGPSSPSLPPRNAMVLGW NEUTE1DRAFT_120863 MTDYFIYENRLVSFDGQPLANKDGIPPADSRGKLVKWPHKSLSA DELAKAGFYFDPMVISPDNVTCFLCENSFDGWTPGDHPIQEHLKHSPFCGWAVTAAVE ANLGDYGKMHPLEPILVDARKATFGGKWPYESKRGFKCKSKQRRQPQCAFFRLQEELP PPKKPTKRAKTARTSKVAHRLSVQPVGIPTAETLDQVSASDTAGGLEDTIMAPTTRAA KSKKATTRSRKAKAQKKGAEPKETLKDKPADEQLAAEEPPSGRKRGSESISDSVDSNS EAPPQKKRATRTGGAAITDVSTSAVESQDNDIVDAPSPKQTATRKRAPAKGTQNLRKS SRVSLRHSHSTTAPQTDLSNDEAVDRQMESDDADIGPGRKAQDAKSQQEAIAEDPIHA SDIPLPKDSRKVAAQRRMSKQAKRIQQSLPLSDPIDELTNGPTAVPEATSIVPQIAEP ELGSGEDLDITLVSKSSDRPSTVKRGRGRPSKKSTSSHAPSEVAEQQRTSSGIPLPEA QAPVETQAEPETERRLSASTPKEAGVEAAAEEPVPEPTPRASPLRAAVTRAAPTPAKL NKSLPPPPSESPDHLSQPLATPQAPTVARVPQEQPSVYRSPSMQSSNAENRPPSPKQL TPIVERHALAPMATTPDRPTSPSKRTILGALQSTKPWTAADLDNIFSPNKNDYGKEDG IDKLLHKGSELTSPEKRMTVEEWIYHNASLAEQRLKRECEEMVLLFEKQGARAMEALE GLIVE NEUTE1DRAFT_59870 MRLTADLINNSLSYLNPLKEREIDLRGHRIPAIENLGVAGPHDA IDFTDNDIQVLGNFPLSPRIRTLLLARNRIAQIQSTLPNAIPNLKNLVLASNNIGELA DLEVLGRFPRLTHLVLTDNPVTKKENYRYCVLWLCPQVRFLDYVKVKDAERQKAKELF GTADEPTELAKTIKGIKTKTFDVGASSANGAAGSGPSSKLSRLKLTEKEKKKLQDLIK KADSLEEIIRLEKALNEGRLPPGIIAEDDDAMEE NEUTE1DRAFT_99159 MPYNTTAIPPRKEVTGQTQLPQMFIQHLASEAQNMAKAERKPRR NVQYKDVAAAVSHHDNLEFLEDVVPKTSSYKAIKAQAAAARARVKGGSDVVVPPAGTA AAVVLAPGPVMGGLAAPEGVMLSLEQGQVGDHGDERDRMAMLPNAKKQKGSGGLQQTS ILMSMNGGLPAGTGSGASGSIGGLAAPVNGLGAGGVNTGITNMGVTTGVVGMSSASGR PFSSTPGVMSGINRGILSASPPATTSDEDPSAQLQMEMRQAASQQYRGHDGDVDMTVA ESTPWALDMLIPASLSSRPLRLLQ NEUTE1DRAFT_128467 MMSRGGPGAMNSQPRSTSFSTSVPQIPNQRARRPSVSSRFSYAV SIAEQGEAQTAQGVAAQHQIEEEIAQIKRYEDFTTIDWVQDAAREQLRRKARRKRNAG LWDAGRFDWRHRIRESYDAAQGWIVVTIIGAAIGVNAAFLNIITEWLADIKLGHCKTA FYLNENFCCWGEDNGCDDWQKWTGFSPINYLIYILFAILFACTSATLVKSYAPYAAGS GISEIKCIIAGFVMKGFLGFWTLVIKSLALPLAIGSGLSVGKEGPSVHYAVCTGNVIS RLFAKYRRNASKTREILSACAAAGVAVAFGSPIGGVLFSLEEMSSYFPLKTMWRSYFC ALVATAVLSAMNPFRTGQLVMFQVHYDRSWHFFEILFFILLGIFGGLYGAFVMKWNLR VQAFRKKYLTNYAILEATLLAAATAIVCYPNSFLRIDMTESMEILFLECEGAEDYQGL CERHHRFRNVVSLLLATVIRIFFVIISYGCKVPAGIFVPSMAIGASFGRSVGIIVQAL HEANPQSPFFAACLPDVPCITPGTYAFLGAAAALSGIMHITVSVVVMMFELTGALTYI LPTMIVVGVTKAVSELFGKGGIADRMIWFSGFPFLDNKEDHNLGVPVSHAMIKDVTSI PTNGMTLQQIEALLAEDNYQGFPVVEDEHSKILVGYIGRTELRYAVDRAKRERTLSPQ AKCTFAPPPSADVTTPGADIITPGLARMDSFNTIGFAEPSTTASASSSSFSSNFINFS RYVDTTPVSAHPRLPLETVMELFRKIGPRVILIEYHGKLMGLVTVKDCLKYQFKVEAM EEVANNGQHSGHGQGNGAEQQGVVSNDERLWELMQRVAGWVSDKVSIASGGRIRLRDS LDLSRETLAGGAGARVGSGTNRTVRSQGGVRDDQILDGTEDEDEDGVELENRQTYPHG STSG NEUTE1DRAFT_108533 MATRCPQQPPRLPYHYLIDEAFRPKGPATTAPEWTKGQDNQNTL VRLGPSTLLDSLQPPTNRPLWRHVSGVLHLFTKGDMTVSPFTGVYTVRQARACSENGL KTVVGVTPVDTVK NEUTE1DRAFT_120866 MAAATTTVPLCLKATFKETPSLNNFDKLVQALKDALGPSSGLTS DDVDVEHLTRLMQDYQSDEVEWSRFAFGDASRGYTRNLVDEGNGKSNLLVLVWSPGKG SPIHDHGNAHCLMKILQGNLTETRYAFPDSTSSSSSSSSPSSEPERMQVIAEKVYREN EVAYMADELGVHRVWNQDPDNFAVSLHLYTPPNVAKGGCHIFNPETGKKSHVPKCGYY SAYGKRLKE NEUTE1DRAFT_59882 MPTINRRQISDNPRKEALGALSQISKSMEMQAQAAQALAFHATE LASGAGPLKLPGSTIHLETMGQGFSLTAPPAGAAGIDVPELADLTYEKVIGQARFMKS IRARHNDGVVLVKVLVKPYAMSLGRFKKKIIRERNALASVPNALPYQRAIETETNGYL VRQFFYNSLYDRLSTRPFLEDMEKRWLAFQLLCALRDCHAKEIYHGDIKTENTLVTSW NWLYISDFSSSFKPVMLPEDNPADFSYFFDTSGRRTCYLAPERFLPPGQDPDPNAKIT WAMDVFSAGCVIAELFLETPIFNLSQLYKYRRGEYDPSISHLSRIPDKDLREMIAHMI QVDPQKRYSAEQYLDFWKDKVFPGYFYNFLHQYMQSITDLSTGNSRIGEADQRIERVW NDFDKISYFLGYANGNDPYEHHLPSPRLGLGLFPVRLNIPNAEHHVSANKQPATDDGT LIFLTLVASSLRNTAHATAKIKACDIMLAFAERLTDEAKLDRVLPYLMTLLTDKCDRV AISALRTITQLLDLVKIITPVNSHIFLEYILPRMQVALLGSQGTPSPVVRATYAACLG KLATTAYRFLAMAATLRADGSVTISDPELEPGNETNTALDGLFDSSRQDLVDLFETHT KMLIEDLNPFVRRAFLSSVPDLCIFFGVAKANDVIIAHLNTYLNDRDWMLRYAFFDTV VGISAFMGSSTLESFILPLIIQAVDDPEEFVVQGALQSLAELTRLGLLTKEAFVDLVA LVRRFTMHPNIWIREAAAHFIAAGNEFLSSAYLKVSVYPQLKPFLKPDIIPGWSELQL LDSLQKPLSRNVFELAMQWAAKSEKSGFWKPPKRLWDARAVTKLSKTDEDEQWLGRLR TAGLSQADEIKLIMLREFIWRLVQMKGRDSTELQMEKRSELEDIIPLRNLGITPQTVM FEEEPIRYPAPQLDDDDTAPKTIQEALLDASLTIGDPLSQRRRAAVDKQKSKSGSRSD LPSISVDGRLATDSNGEGSRDTLRRSASHQSRASLLSPHDDVGSAHYSPYETRRALKH QSSAMSLMNRKDSAKSVPETGTTETNAFGEVEAPLSQHYQGTHIRSPDTESVPPTPGI KLRVNHDYHGNDPHILKMLDTMYVENYPHDLAEFGPEVTPIGRRKTGKIISSQPGKQR ENGWKPKGVTIASFVEHVGAINRIVVAPDHQFFLTGGDDGTVKVWDSARFEKINIHKP RLTHRHAPGAKVRALCFIENTHSFVSCATDGSVHIVKVETYLQGESYRYTRLRTVREH RFVDGEFGVWCEHYKRDNESILVVATNKSVVWGIELRTMTLLFKLENPVHHGTPTCFC IDFKKNWLCLGTSHGVLDLWDLRFKVKLKSWGVPGKGSIYRLAIHPSRGRGRWVCASG GTGQGEVTVWDIEKATCREVYRVGGNKEGPKGYDAWQVDEDKPSNGQGQMLSRFATNI EPNAMGNADRGVRALVFGWGITDAATVEEQPPPPLQERDVRYAFMVTGGSDKKLRFWD LTHRGYGTYYQVNKSAWW NEUTE1DRAFT_145077 MSATTTSEQGKPATQKSTKKAMPQRLDAVICTSGLSGPFIGGCR KAQPGNKKSQLGDKEGKFVLDDRLGSDVDVTVVSHWHNGKSHWYGLTMSFDHSVNNKV NEDAGFGARYQPTTSNPDMAKLELSNQYTIVVKLPYNMDEYTISMRDISNSVELPQQI QELSPVTQIDIQLHNGSRFLVEGFGLPFANPSHASDGWINENTPIISKTDLLGLLSSS RLTLLITKLESRIEFGRHQPELFRYPFDYPFPKAQKFNIDLFEKIIKENMGERFRPTF KFDNNAQSVIRNTKVSAYFILKKEEPANPDEPIYFTVIRLDQTFRDQYKAHWEHLVKD GTISLHLRDINGPLLNSQIIERPETLPALLDKHTFAKQVSTAKNAVTKQFSLDLIIKS GLLIIRDKNVTGVIEGKAKEVQKAEKDSKMGKVFYDTNGEKNYSKLKPLRDVIVWIHK KGQILEIKGEEGKNTSKEDDKKLADEEKKLADEEKKLIEEQTANEMQSRVADSITEYD ELDWGNLYDLDYYVD NEUTE1DRAFT_136055 MTNTPTISHSSSKSILTTNPPTSQNTNNEPLDVRDADEQTPVAP NTNDETLTVEYTDNANNERSGDQRDRHQQAPQVKEEAPSSTTPTESLPLYLQPLDETL VDDVEDNNQPSHIAETPQPISLNFEGRSFYDSTDEPFSSPEIESFQNNEAKPENATHN KDLDLPDISANCNAAADASLRDEEIEDVDMEMVEGCS NEUTE1DRAFT_136056 MTPSLSCSNKCVFCWRHGTNPVGTSWRWVVDPPDLIFNGIKENQ YKKIKLRQKELLDRDVVEHEREIRELEALEDR NEUTE1DRAFT_78564 MAQCVPLKGSTACSAFQVSSISTDEDLVKLYPFLKDVKDTATFD EQLKSYVQTNYVQLKFQNLFGCGGIKLTNTTELYARFATTVLCNGVIQNSVTKCNLSA ANSRPICADTCAQYAISEANIVADSSLCENPNDNYSDQIRADFTTCALPADSLSSSDC ISGIANEPDNCGFGSSLLGLCGYCKSGGPNATDTCCYNSDAETRCANVVLPSISPSIT LDPVPSATSTSEPVTDHGSQKGLSGGAIAGIVVGAVVGLALLTLLIFACIFLRRRRRG SQDGNLLNQPTPARKGPSTALMTQNDGLNHGFAGPPGGRIARMSALEGDAPSPRSHRD FSSGSGEKTAAAYAISRTSRRRGDDYSSSDGYRDSPISDRGIGILRPPPTMKRSGSLS SGSVLAGEDGVSLMSSPQGGVASQQSEQLPFFKDYYSQDEIHPGDKVATLWAYQPRAA DEFALERGDMLKVVGIWDDGWATGVMVDETAEEWENRRQAQRDSGVSNTSGKMRDVSP PVSGEIKAFPLVCVCLPEHWRRTIEGDGSTETGESAQNQL NEUTE1DRAFT_99164 MSNSSTSGLGGTGGNNAPPPEPDGPPYLPHQAQLGGKPTRSIDV PVCAVLLVVFLALAAMNMTIFQINRRIGFKFLFSGLLFGFCMARVVALATRIAWALHP DDENLAIASQIFVAAGVLLLFITNLVFAQRMIRAYHRFFGWSPGLTRLFRGLFGSIVI VLVMVITVTIQSFFTLNRQTHRIDKDIQLFCGVYLAVIACLPISLVLAAALVPRRTPI DRFGVGHFRTKFGLITFTSVLLATGAIFRAATNFVVRPLNNPAWAQTKAAFYCFNFGI ELVVVITYLLSRFDRRFHIPDGSSAPGHYSMSEFGVLAGANVNARIGPRDSTVNGGGT GGTGGTTASSIDTSGKKRVGRRVPDPILDAAPETGTATAAATAGFPTANLPHIYEPAG SRRGSNWSLLSKSRKSGGSSVYGGDFELRDLPFSETRESVAASAPAGDPDMEWMARAM RELYGDSDEEE NEUTE1DRAFT_59886 MDTNMEDVGRVPAELTSSNFEPTTIPTLDGWIESLMNCKQLAES DVQRLCEKAREVLQDESNVQPVKCPVTVCGDIHGQFHDLMELFKIGGSCPDTNYLFMG DYVDRGYYSVETVTLLVALKIRYPNRITILRGNHESRQITQVYGFYDECLRKYGNANV WKYFTDLFDYLPLTALIDNQIFCLHGGLSPSIDTLDNIRALDRIQEVPHEGPMCDLLW SDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLIARAHQLVMEGYNWSQDRNVVT IFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL NEUTE1DRAFT_145080 MSEERSKISLRSGGKRKNRPTISAPRQISAPIPQDNGMPQPPPE ATMADEPRMTRPRPPPPGGKTSDLVKKRYSTRFGNMPTDFDPSSNPMPALPDLEKYMQ AQVGSPPSRGGDAGGLGPMGREPPRVDIRTLRDPNFAPEQYVAEVLGEATEDEIRDYE DALKQLKARAAADLQQNVYQNRTQFIKISKEAEKLKGEMRTLRNLMLELKTNTTALRA SSNSADSSGSLGPEFSTGLSKRDRRSSITDRTALWSAQMQALYKSVEGSQKFLPNSQG RHVVQNAGPWIELDNATYKSRRSMQIFLLNDHLLIASRKKRKIDGPGADARGPMTKLV ADRCWHLLDVEVVDMAGTGDSSNGRNKLADAIMVRGGGQNESFIYRTEKPEDPEKTTL ILNIRKTVEELRRNLQSERDATNKAKETINYFASRDPGLLQKTELLETLSDIKDMLIE VDGKQQNLRWVESQMDELDINIALQQIEPAVARIEMLKNLASGLKNNMIAQDFISFKV DERCARLATLIVRELVNSHNDQKKTKRNVTWLVRLGFEDRAREAYLEARSEVIQKRSR QCIFQGDLHLYIWEISFVYFMVIRNTVICFQSCFPPPMMSACVKWAKEEVDAFNVILA RQLSSAEEGGEAGLSSPEGLGDPCAT NEUTE1DRAFT_78575 MPSQAVTYTTAAVAAVATGFLAYAVYFDYKRRNDPEFRRQLRRS ARRQARQEKEYAELSQQAQRQRIRQMVDEAKEEGFPTTSDEKEAYFLEQVQAGEILGQ DPTKAIDASLAFYKALKVYPTPGDLISIYDKTVAKPILDILAEMIAYDPSLKIGTNYT GGVDVAELMREMASAPGVGLD NEUTE1DRAFT_59896 MPFEIPGLNPAAVAEVARYAVELWHEHRIPILLSAVFLLGLLRT YLHLYSEPRQALLVLPKIYEPNEKTKETTLVETEVEDKKTGAAITKKAKSANGGPKRI KGDKVRTKKGTNGNDTGSESEGPRKIQVLVFYSSLTGNTERYTKDFAKELGDLLVGQS DAESKAESNFLAPQVLDLAEIDFDEYFLSPMKGPVDYFYCLIIPSYNIDSINDTFLAH LDETHNDFRIDTSPLAPLIGYSVFGYGDRDGWPTEEEGYCVQAKQVDRWMAKLTGRKR AFPLGMGDYKRDGKERLSEWQNGIVDVLKMIEQTGSLGEGVPGSGDPFESDEEDIAED DGEVVYEESESKKTLEDVEDLGRIMKQSQGDGPAAPIAIDFTDFGKTGKTVRARKSAT VTVKEMVPKDSPTYTSLTKQGYSIVGSHSGVKICRWTKSALRSRGSCYKYSFYGIASH RCAEATPSLSCSNKCVFCWRHGTNPVGTSWRWVVDPPDLIFNGIKENHYKKIKMMRGV PGVSSSRFVEAMRIRHCALSLVGEPIFYPYINEFLGMLHKERISSFLVCNAQHPDQLA ALQHVTQLYVSIDASNKNSLRRIDRPLHRDFWERFQRCLDILRERRFRQRTVFRLTLV KDFNIEDEIEGYADLVERALPCFIELKGVTYCGTSTAGAAGLTMANVPFYQEVCDFVV KLNDALNKRGLGYGIAAEHAHSCCVLLASDRFKVDGKYHTLIDYEKFFDLLEEKGPDG DFGPEDYMGAATPEWATWGNGGFDPRDQRVDRKGRPIERP NEUTE1DRAFT_145083 MDSTQRVQTDEDERRPLLDGRGRAEGFKSNAAAAAAAAAGEPAK TTWAGRNQWIVLAMASGACAAFNGAFAKLTTTELTSNFSQAVARLLHLSEEEKVIEVV VRGVFFGLNLLFNGVMWTLFTKALKCGLSTTQVSIMNTSSNFVITAMLGFVIFSEALP PMWWVGAAMLVAGNVIVGRKDEGEGQGSSDTKQDGDGHSTGNGSGNNDAASVGGSTEG LAEGGLATYRRAVQAEGSGSRGRQGDGIPAVDDTEKEDSDEDVA NEUTE1DRAFT_116338 MAANGNTNYYDLYRHGSLGSTLTDALDDLIGAERIDPQLAMKVL MQFDRVITEALSEKVKARLTFKGSLDTYRFCDEVWTFLIKNVTFKMDGGQSVVTADKV KIVSCSAKRSDEK NEUTE1DRAFT_78587 MSSSTTPVALKAAILIVSTTAAQDPATDSADVTLRQVFDEQQAQ WEVHESKIVPDDVVQIQKQIIHWTNQATAANGDNNTNTINLIVTTGGTGFAVSDQTPE AVSALLHKNAPGLVHGMLAASLQVTPFAMMSRPVAGVRNGTVIITLPGSPKGAKENLQ AVIKMLPHACMQAAGANSRALHKGGVKKLEQEAGVEGGGGRGHGHGQGHGHGHKHHDH GEHRGGEGGCGAHGHGHKHGHAGLVRHTTPSENPKSNDPSLGPSRRHRESPYPMLSVS EALSQIAQHTPIPQIVTSKVDSSLIGAVLAQDVLARENVPAFRASIVDGYAVVVPQDG NMRGVFPVVSVSHAAPGDGENMPTLKEGEIARITTGAPLPPGATSVIMVEDTVLVSTT TSKTEDAEDGKGVEEEKEVEILAADKVREGENVREVGSDIKQGQLILGKGDQVSAVGG EIGLLAAVGVGEVQVYRRPVVGVLSTGDEIVDHDRPGDLRLGEVRDTNRPTLISAARD WGYEVIDLGVARDKAGTLEETLRQALRQVDVVITTGGVSMGELDLLKPTIERSLGGTI HFGRVAMKPGKPTTFATVPVKDNDGERVSKVIFSLPGNPASALVTFHLFVLPSLHQMS GVSPPGLPKVPVTLGHDFSLDRSRPEYHRAIVSVSRDGVLTAVSTGGQRSSRVGSMKG ANALLCMPCGPEPLRKGAKVEALLMGGLRSDV NEUTE1DRAFT_78590 MTSPLPVQQFMGAIGRQCSVVNLDPANDHTNYPCALDIRDLVTL EEIMADDKLGPNGGILYALEELENNMEWLENGLKELGEDYVLFDCPGQVELYTHHNSL RNIFYRLQKLGYRLVVVHLSDCFCLTQPSLYISNVLLSLRAMLQMDLPHINVLTKIDK ISSYDPLPFNLDYYTEVQDLRYLMPSLDAESPALKKGKFTKLNEAVANMVEQFGLVSF EVLAVENKKSMMHLLRVIDRASGYVFGGAEGTNDTVWQVAMRNESSLPDALDIQERWI DNKEEYDEMERKEEEEQKKLRAEQARAAEEAGLGDGSVPGVALQFTSGSGIRVTLSLV AAFTKCKF NEUTE1DRAFT_128482 MAPSTQFEVSQPPSDAISAVIFAPDNTNRLLVSSWDRNVYLYEI AEGTEDATLINKFEHRAPVLDVCFGANGNEAYTASLDCSVKRIDLATGEQTVLSTHEK PVRCVVYSPQHSMLISASWDHTLHVHNTSAPASTPITISLPGKPHALAASPSKVVVAM TARLVHIYDLPTLATALSSADPASANITPWQQRESSLKFLTRAVACMPNDAGYATSSI EGRVAVEWFEDTAESQARKYAFKCHRQTAPEEEGGGDVVYPVNALTFHPVYGTFASGG GDGTVALWDAEAKRRMRQYQKFPDSVAALAFSNNGKYLAIGVCRGFETDMEDFNSEGR TKVFIRELGETEAKGKGPK NEUTE1DRAFT_108550 MEPQVPFEDYARPRPLRTYSHKVRTASPSVLPLLRATHSPASLA KKHQREPEPGNKDRLDNGIIPEEETFAYQAEYAFEEANELEFELQYASDTSHSPGDYA TEEDTGLEYSTDEDKDERGTTEESKTTPTSSVRFSSGTKYIPKTVTDQSNLLRRRPFL LFDKLPPRGFKRLKRKVEESTTASLSAKYKFGDGFSKDEAKPNGLVQLGLRPTAKRKA PQVKSRVGALDLTQSSFASPPQKDHIVNHKKKTTMMMKRAYDNSFLPDPRQTERQRKR RKLLKKKDNPLEDKELLPTVLKPKIILESVILGKYANQVEGRQHMTAVPVSEQQRKQT QNLMESALEVEGDVPMEDHEEENEPQAAIAREPSVELGESPARMNVSARSSPNHQETR HQQQEALEEQAGNAVQVLTEEGGEWYVQEHLEQPLEPFFEKPVQKPAQKPVEEQKEPG NNDNSGADDPKTAILKKSTDAKSTPITDLSSSKCGTVDQSQIDTTTDPAKLTSHDTLG EQHYRQSQKSHQAQVKIQGQDAPPSEMTSPGSGWETYISETDIRTPTPLRPLNSRAKS AVPSTSRGPENPGLKRSNSAV NEUTE1DRAFT_136071 MSAHYRTNPGVVTDTGNNRQGNYQSYLTTEVGSTQHDGSATHGH QVNHGVDNMNAVMSQFSSLALPPGPGNPTAPQNQAGHQYYMQDQQVAYQTYPVPMHVG VPPEAAYQIGVAGQYPVQAGYAPLPIPYHSVPYTPGRVASYGERSSEAPGLENRRGSY STNESTPATPFFGTFSDRGGNGRIAVIRSSFNTPSPEQVVASGGVVKSVPIVDEELLA LLKQNPPIPDAVPAVFTPPTHTKSIEQCLENRIQGNRNVYIRGLHPTTDDELLLRYAS RFGKVEQSKAIIDTSTGACKGFGFAKFANVRDSEKCIRGFHHLGYEVGFARESFNARL KAEGDESSTNLYLSNLPKRLTEAELNAIFVGYHIVSSKILRDSMGNSRGVGFARFETR DECEDVIKKFHGAPIGEEGMLMQVRYADTPAQKELKRITAERRQFRTNEYNIGAYGTA DVGIHPSIYNHQAPWNRRISAGHSSDTSFASGARIRINVNSDSGMGSSMSQGGSHAVR PGNLTTGASSSDDGSADEGVTIVESGTVANGSTQSSPTIKKEKP NEUTE1DRAFT_116342 MAELDTLDLVVLAAILFGTVAYFTKGKLWGVTKDPYASSLANAS GAKAGKTRNFVEKMEEQGKNCIIFYGSQTGTAEDYASRLAKEGKSRFGLETMVADLEE YDFDNLDTIPEDKVVFFVLATYGEGEPTDNAVDFYEFITNEDVNFTEGNEPALGNLNY VTFGLGNNTYEHYNSMVRNVDKALQKLGAHRIGDAGEGDDGAGTMEEDFLAWKEPMWK ALAERMGLEEREAVYEPVFSIVEREGLTKESPEVYLGEPNKMHLDGTAKGPFNAHNPY IAPIAQSYELFSVKDRNCLHMDIDISASNLNYQTGDHIAVWPTNPGEEVDRFMNVLGL SEKRHTVVSVKALEPTAKVPFPTPTTYDAIVRYHMEICAPVSRQFLAQLAAFAPDAEA RAEMTKLGAEKDYFYEKISLHHLNIARVLDIVGKGQKWTNIPFSAFIEGITKLQPRYY SISSSSLEQPKKISITAVVESTQLPGRDDPFRGVATNYLLALKQKQNGEPEPCPFGLS YELTGPRNKYDGIHVPVHVRHSNFKLPSDPSKPIICIGPGTGVAPMRGFIRERVQQAK NGEKVGKTLLFFGCRKSTEDFMYKNEWEEAKEILGDNFELITAFSREGPKKVYVQHRL KERAEEINQLLEQKAYFYVCGDAANMAREVNTVLSQIISEQRGIPEAKAEEIVKNMRS SNQYQEDVWS NEUTE1DRAFT_38402 MRGETLAKWTLPSDHEPLKFSPRTIARLEEEDDNTDWQRTLRPI APDSKSVAHSRESSLEKLQWSASSTVRGAHAPQRLVDPKLSSYGHHRQTSIVHGIGIQ HSRNGSLASNHSSPLSPQMIAAAGAGISPDRADLHGFSRKDNDGSSIGSRPQTALSGT TAASVPVIPERTSSAAAMNDLTGQGGQTGTPRKPERMASGRSRRDHSHHHAHSSRHKD DPKTTVGDYALHVLFTSFIAQAEEKLTEITSIPFHDPEPHVEQICGPGVDAAFDQSIV ALGHLAKQKPKPLIDLMMLWRKSKSDAANEAREARTQLHQARSHLPGAALQRRNTEPV QSTGPGQPGIQHAEQADIAQTVAVAERRSTASIYILCRVLLEVFTQSTLASITPEMED KLEKIIFQQLKSTEPDSLLISPLKLANWNLFAQLLGAMSAISFTSVADRFINDLERSM QELNTKISTGGRDVESRIELVLIGMKHLRIRLSPPDAWERSCNFLTSLGKLFNDSHGQ KVKAAFCQVLEMLVLPIAATASSNDLAYPKWKEVVTTITPRLAHMFMRPRHWTYSFAL TATMLCADVPENFGSQWMQLIYPLNSKLQDRMTRPLCLQAISRLLWTYLYRTNDSVAN STRKLDEVVKIALPNTKRAFSAADFSVTEPLVQIIRLIGYKYPDYCFRNIIFPLVNAD QFASNKDLKIEFLDPDRMVVGIHAFLAVMADLEKGEHGKPPFPLNYSYSASLMDRISI FSVLAYPHSSLAATPALGVEEESLSKPVQISVLSDTVKDYYSRFCEILGKIAIICDNT FGGQAALDEKFKDEKFNSPGPKTPMTDTFKFSRRDDQQSAYEQKQAFYELFHVAVEAL PRCLSVDIPFNTLINLLCTGTAHVQSNIARSSAQSLKAIARQSHAQQVTMGFARFIFN FDDRYSTMSDGGMLGPGHIEKTLMLYVELLHIWIDEIRRKTKDAEAESDGPGGTDKRG IKLDLSGIWAEVDQVEAHGLFFLCSQSRRVRYYAVTVLRLITEFDAALRKTSNHEEEK TTRLIDILENDSMQVMSFNDDHLSVAERSRLERGLQNSNSQGALLELCTSDVSYDTTL WFKVFPNFVRIAYDKCPFTVTIGRDLVCNRILQLYQAIVIISEPSARMYYGSDGSNSR VVRTPTTQPEVLVEQFKLYLVFACTTLADPGSVQSSSAQNGQHGRKGSKASSTVDKIV TARTLFKYLNPLLGASLSSVRDAAVTAMGSINIHIYRTLLEELSGHVSRCNDEARARI QPHQRTNSNPRRNRKMDLVRTEITHVYKLTAHFLKLPEVYNDEWILNNLVTYAKDLKL FLMDVEVQMDWEFQRLRRHYCGLMEELFEGINRTKDPSRWMTFESRKSAFTLMEDWCG FSPNQAQISQREATMRQSVINEQGAGERGNVSAAMEIEKRNLRTAALSAMASLCGGPI RVLTESGSFLYFDARRMLNWIDAIFNAESNRINLIGRRALKNLIVHNQQYPALLDHCI LKCYTTEVPKVLASYFTVVVEVLSEHVDYPCPFWKLLGLCLFTLGNDQSDIRSKSAQV LRTVEERQQPARNSKIQDFDISISDKTKAVYKLAQFEISKRLAKQHTELAFYIFSEFT YYFKEVGSVAQRNVVAIILPWIQSIELTVDPNGGPTAQSYVLLANLLEITIKSSAALH NEVQALWQALATGPHPGNVRLILDFIMSICLERREQNFVEYAKQIVVFLASTSSAPGN EVIEFLLMQITPKSMVTNDKREAVPPPPDINTLPYCADLSEVLPIGTKQAGFSLGQLS LILLVDLMVAPACLVPDNVPVLLQVVTVLWDHYTALVQEQAREMLVHLIHELVISRLD DDVPQSRRESIEGLIDAIRRHDRSVVWGYEDSNGNVGAHDNKVPPSMEYLTGEMVKTF EITFPGIKEKWGRLSLTWATSCPVRHLACRSFQIFRCILTSLDHYMLGDMIARLCSTI SDEDTELQKFSMEVLTTLKTLVAKLDADKLLTFPQLFWTTCACLESINECEFLEAVEM LNEFLDKLDFHSPTVRRVLLDGQPSKWDGAFEGLQPLLYKGLRSSSCLDLTLTILDKL ILLPSDPLIGDGGRFFFTILANFPRLLYAMEEESPDPAVVPTVETLLHIADVQGMRSI AVALDEYLGHRYASTKDFVVQLYAALREYYLPDLEFQMLTMLMGLLTNATAWVKIHTM RILRVIITEVDMKKPEIASHGSDMISPLLRLLQTEYCMEALEVLDNIMSMSGSSMDKH HLRMSMTRLTSKAVRKEYERAQSLFGIPEASGWAIPMPARKTESTRANTHAVFYMCQM NSQSMDGGMPESDIPTPAEMEFEFEFHDEYAYGYLPVSDRADTMMSDDARGLEHAPMG DLISKLDSLDDFFDGLSSTGPPSEGRSSRTVTEFSPDSFDLGAQLYDEQILPILHQAS AYNNNNNITAAFQSGFADRPSLFSGGGPGPAVVAGSTTSSPISPSMMHPATFGSISSI VDGDTGGGPGGVVITSPTASTTSTSTSTSTSTSQLRPFLMTNTRPGLHARSITSPSAP PSYLANIGDFNSDDDEGMAEYPEDIGVFSDNDEDQYQRPSTATGTGTGVLHFHHPNYH ISSSTSGAVHGAYYSSGGGSGSHSASPSISVGPGPAVPLHPVTSPSLTTSATDGSSSK LESMIRPFAQSTRSRMRRLTGGGSSRSKEERLVAVAAATGPLSPQVPKVPNAFLPLGH QPQQPQSGSSAGSG NEUTE1DRAFT_59919 MVRAGRQKVRTGCYTCKIRKVKCDETKPSCLRCTKTGRRCDGYP AAPLHSQSWQELLAKRPIIPAMTHRHNSQEGRALEFYRCVLAPTFSGSLDDDFWTHVV SRASYQNPVVRHAVVAISSICELIGDPSVKGQALVKFPKGRYAIGHYNRALQDISKTD DEAMVIFVCILFVCIEILQGNREAAISHCHHGVQILNQLNGGKSKVNSSSSILSRDQL LNPFARLSIFPFFYGSTVDVFPNLLRHGSEAPESDGTYGSSSAATSASDSALTVLDEL RSGLDLLVARAMRFLRSADLYRIGPSRHVPVPSHILTEQANLTKSIDDWLVRFTIFSA LHSPTEDAAGLFYQMRMKSYITKIWIATALDRTEMVYDRFVPLFREIVDMAGRYLDGG PASPTNANAQKPRFVYEMAYLPMLYFVVMRCRHLETRVTALEHMTTLSALHQGLWDST LTFCIGWRLIEIEHGADRETLLLAMAQAKSFGQSMSRTYSRLAPDSNSLVGRMMPGTT PMPPDRMRLRECVITGDHTVEQKDSPGSGLRTGYRRVIFHVWKPEREGGVGTFEEWVP ISEPPPMRDMCYDYEFAQAAAAETEGNELVEVKLEPSFGLSFVEAKPNFGSYYV NEUTE1DRAFT_78605 MASDTTVPVITPLAHPEGSKLDFGATVTGVDIENLTDRDFAILR TALFTHQVLVIKSQSHVSPRAQYELTQRFDPLAAPLYGHGNTDSGSVGSKSILHPDLK TIPHQPQVQVIGNGFVPTYEGLSNIQLRHPHHRTFHSTSIPASHDLTHTRFYRWHIDA ALYGGVARAPPMVTTLLAVKVPKGRRQMCVYDDGTGDELDVPLGTTAFVSGYKMYDIL SEAQKAFARSTRVEYAPHPYVWMSSAKSRSTGLGLVSEGKEMPLEELPEIEEDKIQIL PMCWRNPVTGKLALQVHPSAVRRLHLGDGTVVEDLKEVREIVYGLQRPGIAPGLVYAH DWEEGDLVIFHNRGTLHSVVGAFEESEVRLFRQCNIAGSEFPMGPEELEEEEEEEEKE EELVHAEQEEGVVEVTKQESLPELRMGAVEVGVAA NEUTE1DRAFT_99182 MTRATSWLSLLLPWSIPLLRPAVVEASELKWDMDQVPIKGKFDE TACPDYASYATYPHRPYSEGPLSLPFQRPHVRCRTFQSDAIEKVIKDVTSRMKNPDLA RLFENTFPSTTDTTVKFHTNGRDGKEKRKTTTVTDSPVDDDPWTGTYEDPGKWEGPQS FIITGDILAEWLRDSTNQLKPYQKLASKDKAIFDLILGAINTQSEYVIESPYCNAFQP PPHSGLPVTLNGQDDTVHPAFDPSSVFECKYELDSLAHFLALANAFYAHTKSTAFLNA RWYKALDSVFDVLEAQSKSTFDTETGSYRRNQYTFQRRTDTGTETLNLGGIGNPLNNG TGLIRSAFRPSDDATIFGFFIPANAQMATELKRTAALLRTTGNKKDVTRAETAEAWSK TITEGIWEHGVVHHRKYGDVFAYEVDGYGSALMMDDANYPSLLALPLMGYVSVGDTTY QNTRKMLLEKNGNPYYLERREFMGIGGPHIGLQNAWPMSLLVQAQTSDDDDEIMKCLN LVLNTSKLGLIHESIDVNWSHSYTRKNSSSPGQDGRET NEUTE1DRAFT_145094 MVTMSASNGQDDDKDKNLYFGLTIARSDGKGYDNLDHNALDPKE DQDVAQLERWEVIIGGHLQHQLGLPIDKQYKLAVLPKEYELRCAIRKDGGRDYYLYGH PAGRKAVYRTPGDFVLHALFLLSNHTGYSQCSCDLCCKMIESQKLRQTDQQHAQKIQQ VLGQKQRQLQIEQQQKQQEQQQKEHEQQQQQQQQQQQQQNQQMQQAQQAQQIMQQTQQ QQHQQQGQQQAQGNPQAQVFAAPGVTSLTNVFRAGELVWYKHQAWRLGVILSIAPKRQ TPGPAPDAAFNFLVAPLGHRTLGQQSFYIDAKSMRPFLTFSVPGVGENFSSLANRTYD SIDWPTFSVQYCQSLGLTDPSQLDIARQTVGLEASKLAAKYINDCYSTFNKLNNSNVS GAQDTLFHEGVYLGAEMIRVGDPVRVVGGHVGNGQNNGGTETNAVMLVSEIATDTHGM NIRFKGNLFQTVRSTPPMPPNVVAPESLGPVFIEEVMSRNEIEKYNKARWGWALVERD AVRTEVDTLGRFYVTHRLMNIIDPARFTASVQKGVVEDAHAYLNNRAHSGGGKFNGRA PTRADTLGMAVNAMFAAPEGMDEGF NEUTE1DRAFT_39505 ISVVLYLTIIIRPNIIFTLSRLARFLINSRLIHYSIIERVKVYL CSTNYYTF NEUTE1DRAFT_99184 MSVLITGPSEGGLGAETAISLAHANPALLILVGRSLSKIQPTID AIHAVNPSIAVKFIAADLSSLNSVREAARKIVDDASITHIDVLINNAAVMACPYELTV DGFEMQFATAHLGHFVLTKHILPKLRASAGAGKPQTRIINVSSLGNTLGGIRWDDPSY TKRPEEYKPWDAYGQAKTANVLFTVALNKRLLAKTGIRSYALHPGGIYTPLLRHMNDE LMEEITQRVMKGEMQLKTVQQGCATTLRAALDPELEKEGEDGVFLSDCQFTKDPELVA PRALDEEDAERLWGLSEELVGEKFEL NEUTE1DRAFT_78616 MKTTTSFFAYLLAASASTVFAAAIPRSNSFSPVQQAKAALDDVS GNVPVAGHYVQQVKDIVPPVDGASEVPTSAHAAAAPADAAAPAAEDDFAAPFADFADA APGVPAASVPAGAATPANAAAAPAEAADKVNDGEKAAPEAPSAPSAPSIPTIPTTAEV AGKVDDAAKAVTPEGASVPSVPAEAAGKIVDDAKAAVPQGSSVPAAASGPVEAAGKII DDAKAVIPEGGSVPTADSVGEAAGKIVDDAKAAVPQGSAVPAAVSAPAEAAGKIIDDA KATVPEGSSVPSVPSVPSLVDAAAEKVNDSAKNIARGLAGLPSLNDAPLGGDVVNQVG KIVDNTAGKIARRLANLPTINGAPVGGDVVKQVEKIVNDATKKIARDPAGLPSINGAP LGGDLVNSVGGLLDSVKGGVPVLNSLGGGHRV NEUTE1DRAFT_120886 MKLSTFQTALGAASLFFSAQPVSAGHGGHRHLHERPTRRQSRVE DTIESFEMPQLQKRKSQCSLPDHPDLVYVPGGMNNGFAMSPDEPCEDGKWCPYACVPG KVMAQWEPNSTYVYPQSMNGGLYCNKGTPEKAFDDKPYCVDGTGAVQVVNKAGKVVSF CQTVLPGNEAMIIPTDIQGQSKIAVPGPSYWDSTAAHYYINAPGVSSAEGCIWGSPGK PIGNWSPYVAGANTVSAGETYLKIAWNPIYMDCDWKKTKPSFGVKIECPAGGCNGLPC SIDPSKHGVGGLESPVSTKGVGGAQFCVVTVPKGQTANIVVFNIDGSSDDEPKTSTTR KPDPPKTTSTKEAPPPPKTTSTMETPTTTSTLPPTTSSSSSVRISSAPVSSVTSTEES SVLSSETPSASKPALWGGVFKETGTDSTPTSEASETTEAPVLTETEKPAPVSTTSKKN DGAAQEGGAAIAGLVVAIVAAATLL NEUTE1DRAFT_120887 MSLIAEFLTSIRGFVQTQNGDELRNWLLVEPNVAAHYYELGQQL RSTCPPNSDNIEKLVEKHLPEEDDVPEGRGSAWPGFNSFMEEYLKYWRDVDFNDIVKL YGRLSDLLISCANALANPTYGIMLLQTSMSLSEALSKLVMSITKEPHLMAQIQGDMTG DEAGEKKSIVELAADIIQKIFTSCLTDRSTLSLYPASQRVTFLYYLGRFNFDHGHYMR AHWCFEEAYRQCHPQFLKHRRQILIYWVPSNLLLGRFPSQMLLSRPEAAGFGDIFIPI CAAIRTGNFVAFHQALNASRDWLWDRGLYLTLLYRLKPLVWRSFTRKTFLLTWEGATG NDPNMMTNRAPALSLADLVVTATYVQKLLEGYVPVAAGSSALTLAPPPGGQPKRLMPS EGLIFGNKQPDLDSMESIVAGLVYAGLLNGFIARQQKKFAVEGAKRKGGNAVAAGWPC PYESIMDRFKEDYEDELAAADAGETNEPPGEFEDVPGWVKMG NEUTE1DRAFT_78625 MVLSHARTAAGSASRTSRVACARLFSTQSALRQQIQDAYILSGV RTPTAKFNGSYTTVPAPKLGAVVIKEAVKRSGIPVEKITDVYMGNVLQGSVGQAPARQ AAIFAGLSPNVEAITINKVCASGLKAVVFAAQNIQLGLAEAQVAGGMENMTRAPYYVP RASGMPPFGHIKMEDGIIKDGLTDVYNEFHMGNCAENTAKKFQISREQQDEYAIQSYK RAQEAWASGKFAEEVVPVTVPGKKGDKIIEVDEGYNDIKLDKVSTLKPAFVRDGTGTV TAANASTLNDGASALVLGNKSLAQEFGKGSRVLARIVSSADAAMDPIDFPIAPSKAVE LALKRAGISKDQVAIWEFNEAFAAVIKANEKILGLEGAVVNPLGGAIALGHPLGSSGS RILVTLLHQLQPGQYGVAAICNGGGAATAMVVQRIESV NEUTE1DRAFT_59944 MSTAARRRLMRDFKRMQTDPPAGVSASPVPDNVMTWNAVIIGPA DTPFEDGTFRLVMHFEEQYPNKPPSVKFISEMFHPNVYATGELCLDILQNRWSPTYDV AAVLTSIQSLLNDPNTGSPANVEASNLYKDNRKEYHKRVRETVEKSWED NEUTE1DRAFT_108564 MEGQEGVLITRVVGTQGNPFKGLEETEQMSKRDEDSGVLSVSAE SQPETQSERCAAKGGRGPESEPLPVVASGRLPSVVPAAPVPLPNNRGGTALGAAGAAR RTARPVPLLPLTYLPLPLPPSTQVAQAYKPVFLEMPAPFPAVQVAYGHFFALLGALKS IFKIPLYVVNSSFQEAAAVEVPSQVQLPTIYDNLTPHADHTQSVSPNNMKSYLCKI NEUTE1DRAFT_120890 MSSSANSIKVVARFRPQNRVEIESGGQPIVTFQGPDTCTVDSKE AQGSFTFDRVFDMSCKQSDIFDFSIKPTVDDILNGYNGTVFAYGQTGAGKSYTMMGTS IDDPDGRGVIPRIVEQIFTSILSSAANIEYTVRVSYMEIYMERIRDLLAPQNDNLPVH EEKNRGVYVKGLLEIYVSSVQEVYEVMRRGGNARAVAATNMNQESSRSHSIFVITITQ KNVETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINALTDGK SSHVPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETLSTLRFGMRAKSIKNKA KVNAELSPAELKQMLAKAKTQITSFENYIVNLESEVQVWRGGETVPKEKWVPPLELAI TPSKSASTTARPSTPSRLLPESRAETPAISDRAGTPSLPLDKDEREEFLRRENELQDQ IAEKESIAAAAERQLRETKEELIALKDHDSKLGKENERLISESNEFKMQLERLAFENK EAQITIDGLKDANSELTAELDEVKQQMLDMKMSAKETSAVLDEKEKKKAEKMAKMMAG FDLSGDVFSDNERAVADAIAQLDALFEISSAGDAIPPEDIKALREKLVETQGFVRQAE LSSFSAASSDAEARKRAELEARLEALQQEHEELLSRNLTEADKEEVKALLAKSLSDKS AVQVELVEQLKADIALKNSETEHLKALVDDLQRRVKAGGAGVAMANGKTVQQQLAEFD VMKKSLMRDLQNRCERVVELEISLDETREQYNNVLRSSNNRAQQKKMAFLERNLEQLT QVQRQLVEQNSALKKEVAIAERKLMARNERIQSLESLLQESQEKMAQANHKFEVQLAA VKDRLEAAKAGSTRGLGTDAGLGGFSIGSRIAKPLRGGGDAVAGATATNPTIATLQQN PPENKRSSWFFQKS NEUTE1DRAFT_120891 MLINDSMVMGKRKLPSVALLLCLGVETVRGVLSPSLTDPSDGIG TSVSTLDYSSRIDEEGSTITTTQLPSTSDFTSSSSLAWNYEPVEQKSESPGQNDAMPT VGAVPGGIVMVPPEIPPWMEQTASFWDDVSLGQPPVDIPTSWDYVPTTTTSDFTSTQT IHAPSTRGQFWNQTSAPYYNQPSTSITRASVFPNTTTTLSSSTISHCQPSDLTATITS WEVVHTSTITWVGNPANYTQPYPTLIPPSVETIPPCIDLVTKTTPPPGITLTTCFTVT ASGSGTRSCTLTVSTNSWGYGPQTSTTIRYAPTVTFITTDKNPAVMYSPIQTPNYGVT NDVTKSPRPTAVSVDPVDPPAYNTFDSNNSPGEVTKPVPKPVTVIVKPTEVIIGSSTI TDNPATKTYTVVVGGETFTVGPTQVIGGGATVTRPSTSKGGGAREGGAGDIIYAPVPT STKLNDLPIIVSSSVAVIGTGSSTFTLPLTPTTVIVEGHTISIGPSGIAIIDPKGNPI IATVTLPPLREQTITIRPGSNNNNNEPITTTVDGVPITIISSSVAIIGAGTGSSKSTL TFPVSGSSPTTITIVNNGSQHTLTLGPSGITLTAPLPSYVIATASSVITIQTLSMSLP SVTSISPPPSTATEVVVVGGSLVTAIGRSVVAIYGTTITYTTLSSPLTTVVTEGGEDT IVLDPSAGILITHHNHPYLEASAAAAAAEVTVTTLGGAHANPSATEFAVVGGATITKV GASVVVVDGVSYTVGPSATFTGGKDGTTMITTVLSGGGQTVTIGPKGVVVGTMTIEYP FIGGDEEDGSSTTVIFPGGGPEAAGTATATADDTSGSGSGSGSAKDENDDEDAGTSLE VVWRVMMLGLSVAGAVGVFGL NEUTE1DRAFT_38076 MRLRVFDYVGASIMCNSMVNDDTNTGDVKAFQRRSRTTLAMPPR VQLSASCVALQPSRPDHSNSKGSNLYDDLLKDNKHAVENLQTTVDMHYRVHLSMFGHL GLLATCRNISSEGSQLPEMALLLDIASPPLRAHPQRPHTRGARCPRPAEKKSHYFAEV LDLEYMTPLRWHEHVELHCRVAQTARVSNHIGSHHRDICFIHHGGGGRLRDIPKTAKV KQQQNYVSSRD NEUTE1DRAFT_59951 MRLPYLSFAVIPTRFLLLSSVPSLVRAGTTATSSPEPVWVQPSG EWYGIDGTWSNFMFAVGSPAQIVYLTPATALSETWVIGAGGCTSGPGNLPCVDARGGV FDYHISETWQTLGAGAYELGMNHTGVQRNGDYGLDTVAFVDTMASSATSVDGVLIAAV NATEYYQGYIGLGVTQGSIGSNVTKPLIPQLVEVNGMIPSHSYGYTAGAYYRDTTTKG THASLTFGGYDTLRFVPHDTTFRLYIGNSTADSNDRAPTVRLRGLTAQVPSLDKAPGN WTSTSQTLVAMNDSITAMIDSSTPFLWLPTDICERIASILDLVWRADLGVYVFANGGE QYLRYKRMKSIDELSFTFTVSSYDNSDNFGYPLNMPGVVNITIPPAAFAQVLRYPWKN IIKFNESSIPYFPLTRSTNETNNNQYIIGRVFMQEAYIITKYDKAAFSIHQALFPDNS ATNHSLQAIERPPNSPYPEGPPVKKVAKKPLGVGQTVAIVVSAFAAGSVIGLISFLCC RRGSKVKKNQARKLEHNSEEVTPIHNEPTQNPVSRMLSMFVGRRRSRKQSSQKSQAIM TEPVEVSADAHHQVFELPVPPEPIELDNNDIGNNDPNMGLDGPRVTSDYEAARQKLER QLQGPVPTYTRTTEHNERAAGYPLEKSAQDVTPVHNRPSEETSSGSSPTYANTDSLPN SLPSPLSPYADWAAGRVFDLPSPITVASPVRLRYFPTTTGSDAPAPAHSPVSLHSPYS PHTYLPSPVSPSSDFNGGPESPTSPTGRPAPLPGSAAIQRTPIDPIHTQIVCLGPMPE NVRLPIPHHLHHHPTVTRIITLDGRLHPVEQNDQLAGDVDVDVDGLRRRSDSVTRGSN ETLGSNFTMEEESRLMQVQMAAQRNSVTRGSNETLGSNFTMEEESRLIDNFPRSPRSM ERIEAGSELVHVPQVAAQRYSWEAET NEUTE1DRAFT_116348 MGEVSAEWDGNPKRRRMKRKGRKQGKGGERKADEMKHMAKTAFQ KGWLDWFRVMHIQTKAGQVQVEN NEUTE1DRAFT_120894 MELAKMAERLHFNTVVGEMDDFKLTDLLRYSLAIFVTSTTGQGD MPKNTTTLWKSLRRTKLNNTNCLAPVKFSIFGLGDSSYPKLDSIYLPWYQELRESLLS QFPLPKGIEPIPDDAQLPPKYNIRLVPSTGSVKGKITNGEGHVSQVEDNEQLAVKFER MSTDSESTEAPWQKDGTDVPDFPPAKLLPIPRSFTAQVVCNKRVTPEDHWQNVRHIEF ELRSPGRNGAMSFAGQTLLIYPKNYPKDVQKLIDLMGWSEVAEQRIEIDWAKGTRPRD YHFLKDATIRDVLTHNFDISAVPKRTFLEFMAYHTTNPLEKERLHELTQRGDSDEFYD YTSRPRRTILEVLEDFPGVKIPYTRLLEFPIIRPREFSLCNGGDPTVNAKDLVINEQD TTTTTDVYKFEILAALVHYRTIIRKPRHGLCSRYLRHLPVGTTVQIGIKPPSSPFSMD DPSFYSRPLIGVATGTGIAPFRALLQDRCLVQDQQKLGPTLLFFGCRNAAADFHFQEE WGTVPNLTVYPAFSRDNDSSSTEEEETKLALQRAAGIYDAGKNYVQYQIRQHAAEVGE LLRQNPIIVVCGNSGRMPKSVREALEDAAVGSGVVVDREEAKGWFDRKENCVYWQETW NEUTE1DRAFT_78640 MSAVVEKITDAAAAAVNDVTNALANTSLTGNKSADEKPAANDAV LASAAEGRRLYIGNLAYATTEGELKEFFKGYLVESVSIPKNPRTDRPVGYAFVDLSTP SEAERAIAELSGKEILERKVSVQLARKPESNEKAEGANGEGHEGTRRRQSTRGRGRAG RGRGGRARGDRGEKKEEGAEGSTSPATEALKDITNETHNSDNKAGKPHNRSPRERRER GPPADGIPSKTKVMVANLPYDLTEEKLKELFAAYQPSSAKIALRPIPRFMIKKLQARG EPRKGRGFGFVTLASEELQQKAVAEMNGKEIEGREIAVKVAIDSPDKTDEDANEPEGE AQTQAPTNGTTEQAGAAVTASA NEUTE1DRAFT_59962 MATKGMWEIDPETKSKLAAMQKENNNNICCDCGAPSPQWCSPKF GIFICLSCAGVHRGLGVHISFVRSVSMDAFKQAEIERMRLGGNDTWRTFFENHSDTKL RGISWDDATIAERYSGDVGEEYKERLSAKVEGREYVPGEKKPLAQQQQQETSQSPSSV AGGVPLSGTSSRTASPKPGTMIKVDDKYFAKLGAANASRPDNLPPSQGGKYQGFGNTV SSQPKNDGSASLPGLDELQKDPVAALSKGFGWFTKTVTQTAKTVNEGYIQPTAKQIAE SELAAKARVAAAQAAVSAQTVAQAGAKTATESFNRFVEGGPSNSAGGGYRSVPSGGGG SNFDESKRSFWDDFSSAADQRKPAGSSIGTAVMGKGGSSGSSTAPPPAASKKDEWDDW NEUTE1DRAFT_78646 MSALRILVPVKRVIDYAVKPRVNKAQTGVETAGVKHSMNPFDEL SVEESVRIREGKKTPVEDICVISAGPTKAQDILRTAMAMGADRAIHVETKEGEDLEPL GVAKLLKAATEQNKSNLVILGKQSIDDDAGQTGQMLAGLLGWAQATQASKIEFTGGDS VQVTREVDGGVETLKAKLPMVITTDLRLNEPRYASLPNIMKAKKKPLEKKTLADYGIT AEKRLKTIKVTEPPPRQGGGKVEDVDGLVSKLKELGAL NEUTE1DRAFT_128500 MFSRLAARLPKASALNGVAARQVRNLSQPAITGSKGRNMPAREP RTTAAATGAEATFTIRDGPVFQGTAFGANTNISGEAVFTTSLVGYPESMTDPSYRGQI LVFTQPLIGNYGVPSNERDEFNLLKYFESPHIQCAGIVVSDVATQYSHWTAVQSLGEW CASEGIPAISGVDTRAIVTYLREQGSSLARISIGDEYDADEDEGFIDPGQINLVKRVS TKAPFVVTNPNAKFHVALIDCGVKENILRSLVSRGASVTVFPYNYPIHKVADNFDGVF ISNGPGDPTHCQETVYNLAKLMETSPIPIMGICLGHQLLALAVGAKTIKLKYGNRAHN IPALDLTTGQCHITSQNHGYAVDISTLPSDFKEYFVNLNDGSNEGMMHKTRPIFSTQF HPEAKGGPMDSSYLFDKYMENVELFKSNSQVYRDNRPTQFMIDILSKERVGVEPTPLS NAA NEUTE1DRAFT_120899 MTSDWSKLTVVDLRQELKRRGLSQAGKKADLVERLTVDDQEAQV SQREGDAPPEAEQEEDKEQDNEEVKEQNQEAEATSAQAVETTAEDETTKSPGSPVPSP VKAPLQEPQPEAPSASPASGPVAPEEKTTIAHDATQIEPDVKQDKSNEPTRESAAESA PEANNIPAIRNDTTEEKEEKVEESNSGSVPVAPELTSDTPSRKRRSRSPPPEEEMSRK RARPTEQEDEDIRMSGLESQTDETVLPLDDEQGAKDASYATIHPEIPLAADSEKVSEM HEAPQHRQASEPRELNDGDQEEESRDRVQTEADLKQRSPERAAHDRSAVKYDADMDID ERDVAPSEHPATQALYIKNFMRPLRSEFVREYLQGLAAPPGSLPDPDSIQDFYLDQIR THAFVSFTSVAAASRVRVALHGKIWPNERNRKELWVDFIPPDRVNEWAETEQSEGGRG NLARWEVRYVRHEEDGNITARLVNAELEPTPALVAAAASGTRGSVSSSARDPLPPPAI PSGPASGRQQQHPGVEGAPSGPRGRGGRVPQPALTGDEMKATRTHPSLLYRPVNAELA ERRLQNMRSFYTRDRHRDMGREDEINRYTFEDNDSFVDRGKEVFVGIRPPHREAERRR GRMGGGDGGRGGPPPPPFGRSRGGDRYLPGGGGGRDEPPPRRGGGGSGDEPRSRFDGA PLPTFGGGPPRRRRRGHGGGGGGGGGGGFRGDRY NEUTE1DRAFT_136095 MATPSPTITDADGDVDMFLLEAQLGVGSPNFTECYFTTEDDSSA KLELRGGYVAGGRQTTPRRNPKDLVYPTIEVAISPSTKGSETDEADFNEGNETEDDYD DDSADDDDLDDNQGYYNGHTQMSSMRLTRETSRNPAYSTNSNWDEYVEVPLHLQDYAK VIKRVSGYEDWNEEQRHLHKLIFLRGLHPVMPASWRWSYKMWGIAEDNLTHVFAPEDS RKEVIIKAYGNELAACKALEAVFLCTQHILDFERLGQRELMGPYTAKTISRYWKWALK DAGLDKCKFPPLLLVLEYEYSRRKDFAHEVSDSVRKRVEDVALSWCEVLMDDETGEYV AEPPTIFVFTVVQHTLVLSTYDAASPEQGVVQIEEVKFNARGLWLWNSLTVAIAVHMA RDTLMRARKHGFIGEARRHRSKKDPDF NEUTE1DRAFT_59972 MALPDDTRLMNNQTSTEGNLAPGRMALPSRPPPMTASNEPSKHE STGPVDEAASKSESGLSPNSKKAGHAAQSPSGRKKSPKILNAQPAQSPTETVGVVNVA TLGLVPSVQGHAGQVCSNCGTTHTPLWRRSPQGAIICNACGLYLKARNAARPANIRRP PSVMASNVRQAAAKLSSKKATAPLLPSNPGATYVAADQTPSGSCPGGGRCNGTGGAEG CGGCPAYNNRVSKSASLNVLKCQGAAAASSKKPQAAEGSGEEPTEVDITALHVQSQNT TVVIACQNCGTTITPLWRRDEAGHTICNACGLYYKLHGVHRPVTMKKAIIKRRKRVIP AAGGDAEIEPSEAPDSPPATSEPPMEKGTVNEDGSVNLGIRRRSVRPLTLVPEDELRR NRQASPLSSAALGQYHSSHTNQPHHGAHASLTYENRLAPIHSLALPVDRQASISPVSF LSPSRKRSISAVENEPSSHNDNESHKRLSSIKSILNPMPSSEMHREVSPADQLRMQPA SRSPAMSSLTPAHSPGSFSNSTVIGTPTSAPILRSASRDTLNDSERMKAERRAALERE AEMMRELLAAKERELAELGYD NEUTE1DRAFT_99203 MDLSDSFRIVNLAVAVLMILGGVSQFFPLGIQPIILGCYTILFG VSTGLLEFQIPHQVYRYASFMFSFLGRGAFYIFVGCIIPHGHWATIIIGSIIFLVGVA YVALEFVPSIEPPANMREVDAGWGAEQV NEUTE1DRAFT_120903 MGKKRSREEAQKEVVQNDPTVDKMDEDSDSSDSDEDMDIINVDF ELFNYDKDIDFHGVKTLLRQLFDADAQLFDLSGLSDMIIEQNTIGSTCKVDDKANDAY AFLTVLNAWEHREKKPVAQLIEYLSDKAVKAGDSSLSVLPELFTSGKAQVGIVLSERL INMPAEVIPPMWNMMIEEIQDAVDDKEPYEFTHYLVVSRAYVEVESSLDQEEQKRKRL RDEKGLQYFHPEDDEMRKHAVGAGTYTFTKEGDSADSKRAFQELGVKSQGFMMLIEAG KFKQAVKAIGDCIGAAN NEUTE1DRAFT_116352 MDAIIELSDVDKALDLSRIRYQLIRLEDTIIFHLIERVQFPLNK NIYIPGAVPLPDTDLSLMDWYLWQQERLQSLMRRYESPDEYPFFPDAVQKPILESIDY PQILHPNNVNVNDQIKEFYTQKFLPSVCPDFGREDRGVNKEN NEUTE1DRAFT_40193 MLRPACCQPQRPTTKGPTRGLEAFGRKVTTTASHLIGPITDPTS HPHYQTAMTEIHKQLQKPTLQRGVFAIARTTPTDLVRSKLSSKEIQSRALAYVPDELL RNIPASSNSYSLFQGFQASFPDFTDDGKKHKRRVSRGRKMLDESPVQPGSPHAVQRLK KDKASMMHQLEMLGVRKNMASSEIREIDNKIANLHGMRKIILDRLANLEKEEAVLEHD IMDVESRLDEAQELADEAESLAMATPNKSEEDLSRTEEGFMSQSIYEKLPAANTPPGK KKPRNHRKKTLPILHEHFEPGTMIRSMRAHQDNITALDFDAPFGLMVSAAMDDSVRVW DLNAGRCIGTLEGHTASVRTLQIEDNFLATGSMDATIKLWDLSKAHYDPQGSQEVDEE DEDLAFVNPNDHAVDPPAGSMADCPLFTLEAHLDEITALHFRGDVLVSGSADKTLRQW DLTKGRCVQTLDVMWAAAQATAMGSSDGPWRQTSRSADGSADFVGALQVFESALACGT ADGMVRLWDLRSGQVHRSLVGHTGPVTCLQFDDVHLVTGSLDRSIRIWDLRTGSIFDA YAYDHPITSMMFDTRRIVCAAGEDVVKVYDKVEGRHWDCGAGITEAEKAKSPAIVERV RIRDGYMVEGRQDGVVGVWTC NEUTE1DRAFT_145117 MALPMAPPPKGPLGRYRLLSPTASVRVSPLCLGSMNFGDSWQDY MGECNQETTERILDFYYENGGNFIDTANNYQKEESEKWIGEWMKKRGIRDQLVIATKY TTNFRAGHGETEIMASFGGNGTKSMRVSVEASLKKLQTDYIDLLYVHWWDFATSIPEL MQSLNQLVTSGKVLYLGVSDTPAWVVSKANQYARDHALRQFCIYQGRWSAASRDFERD IIPMCRDEGMGLAPWGALGGGSFKSEEQRQQQANEGRKIKTTEEQIKISRALEKIAQR KNTAITSVALAYVMHKAPYVFPIVGGRSVEHLKGNIEALTLALSDQDIDEIESAVPFD IGFPSSFLYGEKVPAHPSQVWLMGMAGTYDYVPVPKPIVPTAENGQ NEUTE1DRAFT_128509 MFLDTRVLRYLTAEDWRVLTAVETGSKNHELVPTPLIERIARLK GGASGVHKSIATLAKSGLIGRMKEAKYDGYRLTYGGLDYLALHTHSSKDVVYSVGSRV GVGKESDIMIVADKTGKQKILKIHRLGRISFRTVKSNRDYLRNRQGGSWMYLSRLAAM KEYAFMQALQEEGFPVPEPISQSRHTIVMSLVQSLPLRQVSSVPDPASLYAELIDLIL RLAKHGLIHGDFNEFNILIKEERTNKYTIEDGEEKETETVTLVPVIIDFPQMVSMDHV NAEMYFDRDVECIKRFFKKRFHFVPTQLGPFFKDAKKTVGKDGAKRLDATVEASGFTK KMLKELEKAIQEQQAKIDAGEAVEEEELDEDEDEEDDSGSDSDDEEDEEDQQEEEDHA VFEIPANVGRPLSDAEKGLEKLLLGGKGGKRRA NEUTE1DRAFT_59993 MAQESDLSKTADKSKGKAVDDEKKHQDVDGKTPANGKKEEEQNA SEELSEEDQQLKSELEMLVERLTESDATLYKPALEAMKNSIKTSTSSMTAVPKPLKFL RPHYETMTKLYDEWPAGDDKSSLADVLSVIGMTYSDEDRQDTLKYRLLSPTQDIGSWG HEYVRHLALEIGEVYAKRIANDEPTQELVDLALVLVPLFLKSNAEADAVDLMSELEII EELPKFLDENTYSRVCLYMVSMVNLLTYPDNETFLRVAHSIYKKYNQHTQAMVLAIRL NDLGLIEKDFEAADEDPALRKQLAFLIARQGIPLEFERSNDDDEKIYECLSNQKLSEY FKSLGKELNILEPKTTEDIYKSHLESSRVAGMTNFDSARHNLAAGFVNAFVNAGFGSD KMMLVGKDKDSWVWKTKAEGMMSTVASLGTLLLWDVENGLDHVDKYTYLEEEQIQAGA YLAIGIMNTNVRTDSEPAMALLADPDKLAHKNPLIRVATIMGLGLAYAGSCKEELLSF LVNIISDPEESMQVSAMAALACGMIFVGSSNSEVSEAIVTTLLDEESGSRLNDKWSRF LALGLGLLYFGRQEQVDVILETLKAVEHPMAKPTAVLAEICAWAGTGAVLKIQELLHI CNEHIEDGEEKKGEELLQAYAVLGIGLIAMGEDVGQEMVLRHFGHLMHYGEANIRRAV PLAMGLISPSNPQMKVYDTLSRYSHDNDNEVAINAIFAMGLLGAGTNNARLAQLLRQL ASYYHRDQESLFMVRIAQGLLHMGKGTLSVSPFHTDRQVLSNVATAGLLAVLVAMIDA KQFITSKSHYLLYWIVTAMHPRMLVTLDEDLKPLTVNVRVGQAVDVVGQAGRPKTITG WQTQSTPVLLGYGERAELEDDQYISLSSTLEGLVILRKNPDWEGEK NEUTE1DRAFT_78677 MSSYRAIPPKKRSGAGLDFASSFRSASPLAQEVLARDLAEFSDD AADEVDNEALSVSDNEDETPGPTLYRRPSGVAFGAIRPALAGPGGLEEAPILTRAERT RSRDEERSLLRDNHILPPKHDRPPQKQTLFRALYTRIFSTKVPRRIPDEEVAASPIQI VIAPPTPSVTETEPLLGERSLRHRGANGHGDEPSSHHHDHEHEHLNEQWEAAVAAGQI KTTWQREAKTIAVYSRSLIVTFLLQYSLNVTSIFAVGRLGTLELGAVSLATMTASITC YAPIQGLATSLDTLCAQAYGSGHKTLVGLQLQRMVYFLLLLLIPVAAIWLNAESILAA MIEKDSAKLAAQYLRVILLGTPAYAMFESGKRFVQAQGLFHATTYVLLIAAPVNVLLN WLFVWKLGWGFIGAPLAVAATQNMLPLLLLLYVWKVDGRQAWGGFRKAALRNWGPMVQ LALPGMVMVVAEWFAFEILTLASGRIGVAHLAAQSVLVTVTSTTFQIPFPLSIAGSTR VANLIGAKLVDAAKTSAKVTIVGGVIVGLFNVTLLSVFRNQIALLFTQDPEVIELVAQ TLPVCAIMQLFDGMAAVSHGLLRGIGRQEIGGYVNLIAYYVVALPLSFGLGFSLGWGL VGLWMGVTFGLLLVSLVEYWFIWYSDWELAAREAENRNASG NEUTE1DRAFT_145121 MSTATTTNVAEPITAETILRLFPDIDTRSDALEGHDEEQIRLMD EVCIVTDENDLPIGTASKKLCHLMTNIDKGLLHRAFSVFLFNDKNELLLQQRATEKIT FPDMWTNTCCSHPLNLANETGSTLPDAIAGVKNAARRKLDHELGIKQEQVPFEDFRFL TRIHYKAPSDGKWGEHEIDYILFIKANPEVNANLNEVQATQWVSAEKLKEMFKDPSLK FTPWFKLICESMLFEWWASLDNGLEKYTDEQEIRRMLH NEUTE1DRAFT_38565 METVTTSNSSITSDSTTISNTAASSSSAPAVTVVELKRYSLVPP KWQEFVRVAGMSECREASLSLAHAFAADDYAQYLVNTEDPEDAKTVVGSGGGDSRGVM SSGTIMTPEDKWKLHVDIMTYAVAMHCLNGLVTTIGPEYDSVALCCTVTVRSQCVSKY CRLPPGHDLDSWWVLFRSGAWRLYYQLSIEGRKRYFDEVVPLLHDTKAQVLGERDHDA WYLVYLGTKPSSQGRGYAGKLLKDVMQRADAENRPMYLESSSLANNSYYQKFGFEIKR DIFLKRGRDPVRLSIMVREPNASGMTPSSTRKDLVTGGSTVGALFAAAAAPLTTTTTT SNTLMGSTRVKFPTVGSHYHHGLLAHGHCHVGLGVGGKKLM NEUTE1DRAFT_78687 MAGYGGYGGGDGGGFMSGSQQGGSQAGGSKYADESLRPVTIKQL LDWEETFPGAELAIDGHPVTQVTIVGQVRSVKPQPTNITYRIDDGTGAIDVKKWVDSE AQGGEDGGSGAGAIAPDAFVRVWGRLKSLGGKKHVSANFIRQIEDFNEVNYHLLEATY VHLFFTKGAPGSGGAKQEGGGGDNMFVDQGYGAGGGDVAMGGAGPGGGNSAMQARLAT CSRNAQIMCNFINNSPGGTEGVNLHVIAQGTRMSVRDITNAADELLGQGIIYTTQDDE TWAILDY NEUTE1DRAFT_39279 MSQTATSQSATLVTEDEEENWTYTSDRGVTRCSCCVNPSSLLEP IDLSDRHDTSLLDENENTFCLWPLFGPILFENESSDCRDHCANERTFLSFLRLSIYMA IVSVAIVLSFHLRKAASDVELRMAKPLGIIFWGLSVSCLGVGVANYIQTVNKYSRRAA IVQTGWRTQIVST NEUTE1DRAFT_78692 MANLFMTNEELGKKNDDHKRTKIPPIRSSQWNTARIPPRKTLKR LAIALTVAIFVYLFIHNIPTDNPIRDHRHPVYRPVSAQNPSNAPSIPKLNPNRPWKPP TKPETAKQKPKPIPEVDPKPVPDVGYNGPITFPNLAVSLQAIYETQGTSPTNKNILFA ASSLKSAAKLLPMACQMGTELRSYVHFALMSRSDIDMEQLKAINGIDQSCQIIFHDAR LDKSTESTKTRLSKGVSRAFHHVQTYMHPQAVLVDASGEEEEYFLPAARAQASEEGFP LIELPEGAQKHLAWMTKLDSASLAAWNKVNVEIMVYASTGTSGSLIRLLKSLSAADFT ACAIPHLTIELSHDIDAATTQFLQKFQWPPSRAYSPTHVRQLTLRHRIPRKSLTEEES SVRFLESFWPADQHSHVLVLSPNIELSPRFYHYLKYALLEYSYSVPATMQQWDSHLLG ISLELPSTHPGDALKPFSPPSASKKATAAQQQKQQKKTPTDNNTPFLWQAPGSNAVLY SGQKWTELHKLVSKSLEFLHQQQRHASTTNPALNFFTQKQISKHYPSWLEHALRLARA RGYFTLYPSPQTARNLATVHNELYRGPEEYRSSDAGSGSDSGSRNELGKQKDEISLSP GSLLDSLPAGGNLVPFGDMPLLDWEGRATSLAEVDRGAGEYVDEFRRAVGCGKLEKGK SQMGEDGEEEEDEKNILANGVRKGGVENLFCNR NEUTE1DRAFT_145124 MGKSRKNRVRPNRADPIAKPVKPPSDPELAALRESRILPVVKDL QSPDIKSRTAAAGAIANIVQDTKCRKLLLREQIVPIVLTETLTDNSINSRAAGWEILK VLVQEEESDFCVHLYRLDILTAIEHAAKALNETLASTEPPFAKLTKGQQRIVWDMSHS LLVLLSSLALARDEILEAIVANQNVVRFLFRIVATEAVPQAAFEETLSCLMTLSEDNL PLGQAITDDQETRCYDKLLELVAAGGPRSVFVCGVLHNVFASLQWLDHSPGKDGACDA MLVPTLSKALEQPPPSNKANGQADSWAQMMQVALEIVASIGTDLQTALEKGNRPQPGS ASKDEEWNGFEEAEKDDDAMDVDEDSGDDKDEEDDEEDEEEEGDEEEGDSDDAEFDEA DLEKVTGMDSEDDNEDDLDDLPTLRELINKAIPQLIRLTNIAVDDDNSMAIQGHAISA LNNIAWTISCIDFSDGENANIWKAWAPAGKRIWQRTISPILEADSADLQLATQVTSLA WAVARSLNGTTPLSKNEHRKFMSLYQVSKAQQQTTITSDADDKNKEQQTQEDPFQGLG VKCIGVLGALARDPAPIDINREVGVFLMTLLGSAGESGVPPADIIQALNEVFDIYGDE EYTCDAEVFWKDGFFSHLEELQPKLKAVAKGINKRAFEELRIKADEALINLGRFVQYK KKHAPKVKEATQ NEUTE1DRAFT_60015 MATDTKDAKDVKDVKDETPADTRDALEVLESEAKEWEKASQTTA TPNYTNIYIPNSPGVTESDIKIAYRKKSLLIHPDKTKNPLAPEAFDRLKKAQTELMEE KHRKNLDEAIADARMLLIRENKWTVDSPELKTAEFEKKWQEKTKFVLIENEQRRRRQL KAQMQEEGREQRKADEEVEQRKRKRQHEEDWEKTRDQRIDSWRAFQKGKSGDSDKKKK KKLKPIG NEUTE1DRAFT_60019 MPRRVASPTPSENEVDIAGALFNDDSDFEDNSSKHHTKKGAVTN SGLDLDFNDLLGNGDDGLPSFNGEGDDDEAFIASLTRSSQRKSSNIQGKSVKKGGGFQ AMGLNAHLLRAITRKGFSVPTPIQRKAIPLILERKDVVGMARTGSGKTAAFVIPMIER LKGHSPRVGSRALIMSPSRELALQTLKVVKELGRGTDLKTVLLVGGDSLEEQFGMMAS NPDIIIATPGRFLHLKVEMNLSLASIKYVVFDEADRLFEMGFATELTEILHALPPSRQ TLLFSATLPSSLVEFARAGLQEPSLVRLDAETKVSPDLESAFFAVKGGEKEGALLHLL HDVIKVPLGPPEGTKEESEELQARKRKREYRPNPKEKPTEYSTIVFTATKHHVEYIAN LLKLAGFAVSYVYGSLDQTARLIQVDNFRRGRTHILVVTDVAARGIDMPALANVINYD FPSQPKIFVHRVGRTARAGQRGWAYGLVRQSDVPYLLDLQLFLGRKLIIGHDQKNPSF AADVVVGTLKRDGVDVNIEWVEKALKESTDLKALKGVAAKAEKLYMKTRNSASSQSAK RAREVTQSRGWTQLHPLFGEEAAEAQAARDDLLNRINRFKPQETIFELGPKGKSSRNK AAEVVRNMRSRFKERKTTNDEDDEDVDMEDAEGKPDGGEINAFEDFEDEEEEGEAEEA EEAGAKEDPYADDSDSEMEVTVSSSMHTKKKGGPVNFQDPEIFMSYTPRTTSLAEEKA YGVHSGGYSGNSFVEAARDATMDLTNDESAKNFGLPTKSKMRWDKRHSKYVAVANDED GSKGAKMIRGESGVKIAASFKSGRFDRWRKDNRLGKLPTIGETEKSQLIRNFGAQPGQ QRYKHKMEKAPKDADKFRDDYHVRKKRVAEAKEKRIGKYKDGEGSKRELMTATDIRKA RAVAEKKREKNARPAKRQKR NEUTE1DRAFT_120917 MAFNNYRVRQEAHRVSRDSNSIMNPWRNKRSSTWDVPESQRYSQ PPMAHEGLDDALPIKHSVTAPSRTSNGYDSANGEPSYNPKNIEMQDMEPASQMTGLTG DTNVESAQASAGSAHQRNGSLGLVRRRTLGANDSRTELNGAGQKVAEEEKKKKQRTFF KHLQPKEPFTVGNQIRRTLLNSWLNVLLVAAPVGIAINYVEAVPRVAVFVVNFIAIIP LAAMLGFATEEIALRTGEVMGGLLNASFGNAVELIVAVIALTHDEIVVVQTSLIGSIL SNLLLVMGMCFFCGGLNRQEQYFNTTVAQTAASLLALAVASVIVPTVFDMAADTPPEK VAQISRGVSIILLFVYGGYLLFQLKTHSSVFAEESQKVAAKPFNFRGGHDLKDGAIAQ GLVGPAGMVGGHAVPTQDNNENIRHHLDTAPHQEEEEEEEEGEEPQLHFIVAVATLVI CTVIIAFCAEYMVDGISAITDGDKVSKEFVGLILLPIVGNAAEHATAVTVAIKDKMDL AIGVAVGSSMQVALFVIPLLVIIGWGMGIEDMSLSFDTFQTERATGSRECCLSVCISS WLSALGFTRIHVRALTANSTPKLQPKTT NEUTE1DRAFT_120918 MVRAPVRTSSLSQVAFQLPPDFVFEGDIVPTTASDDCCQTASMA SRNFSSGVPGQVRPPNWSSHLRQFSRNSLERIPDMPRSPDTVSSNSTIRDRPSSSSRR SRIERRWTVTVNESFARDEVLLNLDLIGDEATPGSLMALDVFRPDAEKQAQGSHHHHH HHHHHHHHHKQPPPDRTKEAAESQQRYIFVVKDMPKELKARYPSVEVYVAKHIADVFG MRKGSQVTLTPIDHHNPVIEASHVELCFRDQYMSRSDMWQLAVRELSEKTVYKGQMVL FMGTLKAQVTAVYVEGRKVPSAFFGHNTKPIFRSESARYVLFIQMAREMWDFDSDGSG EIMFNKVVNGFLPALFKKWASLKVRHLVSIVLFARVEYDTGISTELGNPDVQNDYYTG VQSSGDRRPYKDFYRVVVSEMGSGEWTKILYQLKREFNYFRRDISTFHQKAMHSFSSS DDPADQQAALNRITAEASRAIYGNFLEAINMATSLFAHDYIDRDLMRTGISVVVISPS PGIFEVEYDALRRTTEALVGNGIGIDLICIPKAPLHSVPLFRYRNPEYSTEPTHHHKA KTAPSLSSTPKQTAPTIGSFNSMAGSYSPSRGTDITLHGDHAGSGLAQDEWSYALPQW LHVSYWTGESEEALSYHGIALSVSDVSKTRSGDDFLIRCRMYDLQMRSVMETNEIETK PLHLDPYFPHKALQANNVPKPFIDQDGNVFIQNSRIPETLFDHVYGFQKFAPDKHAKL GEKSLWKQLQDYDDSRARQPSFRRTLAPPRHVREYDDSVRRHQADDASLLGTSYTERR PSTSASQMTMTGHRSNQRFNADKPESRNRKTVDFDKLNSKRSPTITPKVPKFMKQISL GSSGFRIAAPKAATAELSIESVNADRSLASSRALMNAGTVATPAKAAINRSMSPQMFT SGTPVFSPWGATPGSFAPSHQYFEGTPSRPMPIKSQQIPTDPAANMLSGSMLASTLRA DYVPDNRDLFYSDAIRAEDAKKVYNNKLLAGAVPELPSTLSPTTALSPWLTLVNPSNP DSNKVDIATLYSRWQHVFPRPNETRVMKWKSLCSPAAVPLTTEYFPTKAQFESEYHRQ PYNVSQNADDELAEEPKSRDEMLREMISLRFCQGFQVVVGPAVAKAFGQKQLKVTDIF SRDHTAEDGTSIFMSVGNTIHQLSCVNGTEIEVNIFVRKPIASPGLYDDRCLYKPAIR TLLDHGYKTRSFDMVAPTPDRNWNYVDAFVAGHNDELSEHLRFWRARFVLIPMTARHS FFPKTQYGDSEEEIRIEGIRKLAQMWQKHRYIPASERSFQASRRKKDMNPLDIVYKTE DPSVVIAAELETLPLLEGLEGGHRKGQLVTSREQFSKKNFSLAALAEAIQQPVENGGI RMQNRRWHLRLHYNCFIGSDMTTWLLENFEDLEDREEAEELGNRLMVTSDDKSKDESK DGRKDGGGLFVHVERRHPFRDGQYFYQISSDYAKLNPPGWFNTRRVPVSVPSTPHSEV RDSPRSNVSMSRPTSIHEDSSPTSGTVTPTAAMMAGGKKPRVVLSKVMKYDVDHRKRS YRPEVVDLHYDRLHNPDNCYHIRIDWMNVTAKLIEDAIEAWAREAALYGLRLVEVPIN EACDITEINPFRRPYMIKLAVQPPNQQPITYFDPNSFTPQAQPGRHFYQRALLRKFDF VLDMEPASSFPYTVDVSYSWGKPDFKYTQFIHRSGTLIAEITDEGDILILANRLYSNR TAAQREKEMQQKGGGSGSGGDNLGLGPGPGRISTPGLYGPNAHPDQPTAVSSPLVKPT TAFLSPALRPHLIGPMASGPPSTNGFGTTGQPLNRSTVTVPVTPVIQDPEVIKDELES FCRDRSALEAFYRELLEREAHPPAPNTTPGLAPVKIPSTTATKDSTLAFATPHLGPGQ NTTSTGHTVPDTNIPTLGLPPGVLAAIGGTGLSNLSDLHLVGSGTGLGISPVRVGSPS LLSAASAASAGHPLHSTMMRRASVQEGVMGSRIGLGFGGGASAAAAAAQAAAAAAGAA GAGAAGSSSDAGGVGNIGGGAGGDAGASEH NEUTE1DRAFT_99220 MAPKIGQKQRLAATIAISSAFFFVGQLNDLISFAVTLTAIIHRH SSLPDIQQPQRRDLGMLAALIHAMGDAINNLGVIMAALLIWLLRSDARFYADPGVGVG IAIMIVLSSVPLIKNSGEILLESAPKGVRTDDIKHDIEKVPGVDSIHELHVWRLDQNK AIASVHVVLTEDGIVNFMDKARTIGECLHAYGIHSATVQPELPPGYEEYELVPASKAS ATIAIALPDGGDGLVDESESITTTPCGTTSASSSITTTSISLAKRRQASTATICHLVC GRGSCAGLACCQPVAPDAQ NEUTE1DRAFT_40279 MCRFCPAIRVSSENSNLHNVYHPSASAKRNQEAKMALKIRYQVR IRLPAVPLVRLNRTNIFFNTWSGVHKMQTQTSMQNIVHIQVWLPYVNSGNRDETRQGR RC NEUTE1DRAFT_99221 MDLSIARRWCVALTTDTHDRRGTEEERGRETRSWVIWASLGLDP DVAAPRSQKVVRRSEETQLWMRLFGTGTGAEKRTGSGSHWKLTEL NEUTE1DRAFT_60026 MLGKLFNLGAVSGAGDTASAQTASYKSITSLDSVQEDIHTRNLL FPDPQDLFEQRLNDVFPLSSVSSVTASPSTNAYDYNGSIELDVRDVRVIVMQDALGSL TSSLLYDSHRRNPTSPRKPSISHTQRPMVIQSNSPKTRQGAFENRSSLGRTPGHGHFE SDSQRHMREYREELATFSSCIFGNSELMAYKGTSTKVHVVPTEAKQTDSGSAFADRQS SMGRASMRSSRLSQSFSSETMPSYAGAQSATSANRVPDRKRVLVTRLFPVSLPVEEDM ISPRSSFTEQSTGYPFPQAAEDVRNKKKKAQPKQKRTPMYAVALVINLPQSSPHYTPT TTSRQGFRESSSYQEQDSFPSSLNSIRPSGWTMVGQGGYGFESFETTFGSDTEDQIDV ITQHWDIIMRTLNQLQSVVAKVILSMLLQADFASPDPLPAYIPSQIAQSQSKAGRRSG DPPPPKPPKTNAKHVTLPPNCLLENRHISRETDYAKARIIDGIRAARVVTGQNRWPIW REEARWVARWAGGKEQGFFFNLLTGFLATHTDWLQALSPPSYRRRYCIQQKGRMDVDA SVPNRTIIVAQDKSVARRLLFLLAAFLPASQQVPGVRPHRPSTAASFGTFSQSPPSLV VPILKEESLRRRINRRTGPGRACHSRNFSLQSQGARAAAVPTNLAHLSMESRHERRAS DASSIRTTHLPIRGNDLTMQKSSAATTGAITPEMSIAHFAMVHRADSFASTRPGSSNS LAADDLKRISRSGSGGSQSFMTSEQRQTSRWGSVISGLWSTRRRDSIVSAKPRSGSIG QSEATTSPMRKDTDRAPMSPQTPGRSSMRQPGDVPTRAALDQRGNPKSPVAVPGENRS FSGQTTPGQKPQRIPDPSGAFESPVKTSINDDGVIDVDIPFPDYLASFETAVSSPSSS GYLSNAGFGTAFDTFEQSSRVSVDADGPLNAAGWLQSYHPDFVLQAMPAQEGLKEQIK ASMEAEPPTTWVPNSSESATEKWVDVSTAIIADSTTFTITRIRYRRLVRASFGSGPNT PATMTPVAASPAPTLPSPSPFDPYIKDEFIEETLLSSDDALVEAVEKVIATAGDISKG SSNCSSRSTSKLRERSDSTSSQQHPEDTYHRPRELVVPQEVPRSQCKTVILSALEEII REVIEDLDPSLGQRTAGGKEASSGIGRRREKENMEAQRIPGSILREAVRKWRESVDMG SE NEUTE1DRAFT_78711 MQGRMDRRERMESFHHVHARPSMSMPKHMPMSMPLGTPRRERRT RDPETPEEEEDSSPFPDVDWPPEDDLSSAPSPHGRHQDSDDFSDHTRPYPRHTRAPSV EELSSTARSSSSFIDIGRPTRPRVSHSAGMHLRDDDTFPPEARLRRPSVLYDEDAEEI FVPRSIMDNRSRPASRAYDSRSRSRSRYDAPRNRSPSTNRQRSMPDSKWSPRSSSDRE TQLTDHSGGDEKLVHYGIPHAPPAPPSVHERPSRKLPTPEVGESRPYGYAPASRPRSP SRVRAPSRSRAQSRPRDQSRPASPSRRRSPSRHGSPSRAQSRSRPSSPSRGASRAPSR APSMRRALIRTSGALDHQALDDEESDAEDVYVQDFSDDLRSHPRLQRPSRQDYESDEF FPGPSSSRNQYYPSEAYPNPGLKRANTYHDVPRGSSKSYARESFGKAGSCKQCGGQSG EVAHKLPDCDHRWCSLCLEQGFLSFMDDHVGKPPMCCKRYISPQLVDDLFDPIFKKDW DRKFVHSSIFASGRPRSLVCPEPKCGTPIGDQDIHLDRDGREFGECRYCRTEPMQYAL LHADPRSNPFTYAMQLESDAQSPRTYRSNGGHSRPVAAVHSRPQSYEERPVMDRLREK RSDDPLSRRFHVPGEFEEYDEREVDDDYRRGRDDRDRPLKPVNYVRRPDMAVPPPLVH RHTAPPPAHSVFERPTTNAWTDAIIPHDSDRRRSPSPERLRPRMSRHASLEKERLRAP SLPRHAYPSDRWADVPPELGGQFRPRSLERQRTSSRHRDASPDMRHAPSFERDAIRSA SRRRTMTPSLEKRKLASRFDADTRQGPGYSTMAAPPMMAAPPPSHAATAPISSRFPVS AAPPPPPPPPGMKRHLTEDDFYTTSRSTRSSDRDRMATPARHHSYHESPQQPRYPAYY EGGIPARTPSGRRRSGQATKEHVKDDLPPSVLAGLGGPSKGMERVQEWNKDAGERIRH VRAPYTGRSRDVRDRDWE NEUTE1DRAFT_78712 MSPSSNSDSPASVVGSMTMAAQAAPNAVRQYPLPNRDFTIEIPS PQIAPTTNGVNTVKSPSTLKSARAPNFSREGILGSAQKARNLSQSSENRPDNVNVSNG FQKAPSEESTNPLKRRNTDAGVDYPRRRATIACEVCRSRKSRCDGTKPKCKLCTELGA ECIYREPGIKLDAGDKLILERLNRIESLLQLNMGLTGHTNGLGLSHNSPGMSNGTGLS GDNLMMQNPDAAGFVSVIPSGGLGTWSATATNSLSTMPKVHTNAALHLLQWPMIRDLV SRPYDPQILLQLEMAREPLHSLTKTPCVDLSNTSAYIEAYFDRVNVWYACVNPYTWRS HYRIALSNGFREGPESCIVLLVLALGQASLRGSISRIVPHEDPPGLQYFTAAWALLPG MMTSNSVLAAQCHLLAAAYLFYLVRPLEAWNLLCTTSTKLQLLLMTPNRVPVDQRELS ERIYWNALLFESDLLAELDLPHSGVVAFEENVGLPCGFEGDEQEAVGRDDLWYFLAEI ALRRLLNRVSQLIYSKDSLASTTSLEPIVTELDFQLTQWYESLPLPLQFPFTRTMLPD PVQTVLRLRFFACRTIIYRPYILAVLDNEQAILDPSVRDACTKCLEASIRQLEHISAH ILVSLVSHRDVIDQIINDVVMEIERYSVLSPSLSLSAEIIKEAEVRRRTFLSG NEUTE1DRAFT_28618 MKVGTGENSMEMKPSELISVSKLGDTDRSWVPVDDRSLLHTRTL CTTVQFVDAQKPVETWGSNFWKEWRLGRVGFRQALWTSMWF NEUTE1DRAFT_99225 MLYKSLAAGVIAFGLPTFVSATLIFVTSMGTSTDPGFLSTIKYA DGKLEVINKQLGICGNNPSWLEFVGDHIYCLDEAWSSISTGSLYNFQVPRNGTNLTLI NRLDTLRGPVSSVRYGQNLKGLAIAAYAGGGFQSFDISNPAAPKALQNSTFTISPKPG SDSQQKTSHAHEVVLDPTGNFIATPDLGADLIRIFKVDKNTLSYVESKTVPTPDLSGP RHGAFVKLDSGTYFYVVTELSNEIIGWKVTYNSNGLDLDFGKELFSIPTHGPGSKGIG NTTRASELVISPDNQFLLVSSRFDEKTFDIPDPEDNAAGQIKSDSIINYAIEADGTLS LQQIFAAGGINPRQFSLSKDGSLVVSALQNSGHVALIKRDVQTGNLTEFIGAVHLGGQ PTFAKLKE NEUTE1DRAFT_39635 AGRSVTLESVPMLWNAGKIVLGSIVEPSLTERTYVQEYTAPCTY CRALKEGKLFANFPSFYGR NEUTE1DRAFT_78717 MAIRNNFFDDQGGFNGVFASLVSWALRTWTWHQYKVYIDIQALQ ISLLGGRVFFTGLRYHGANETILVQNGYITWKYWLRRVRGVKISAKDARGHNETDSGS DADPITEQLPTPKLPCRISVCLSGLEWFIYNRSPAYDSILSGLTDLQEDAVPAASGTE PAGAGDGAGTHESGNTRKRRPNKRETLRKSEQTQRSNATQYRNGRPIRDSSTGRASTM ASFDSEDEEDHERDGQFSHELPLFLQMLPLQLECTKAAMVMGNENTKAILIVKTESME GEVDASATATPDPYRQIFRFKFNHPVIEMKENLDYKEDQADRATRDKQTTTHDPISVH HRPFLKQQVRRLMGGLHRLRRIVPLRRNRSVESLPLDAERDIRSTASHISTSNPNNWQ GLSRYLDSKTHDIGGMRPGWALSEYAAVSTILDSPEATLTILWDVVGKVRRAHTIPGE KATAPSQNINGEEPPAWSIQLSVKGGSINYGPWADRQRADLQRTFVPNLCKDATPATR LPVGAYRVPTKFNFYVEFEDTVTLRVPVREESKNWKWKDKEPAAKPPPEPQTRKHRGR PKKAEQPATIHQRPYGWLDIKVAKDATMSYTMDMVAGAAGFSNQLILELPSTEISSSI NHELLWKSGKQQISCDLSTPLGWNALREWRFKIISSDLDLFILRDHVFLLTDLVGDWA SGPPPEYLVFTPFKYHVELHMQNLRLYLNLNDANIVNNPTDLDDNTYFIVASPLLQSK LTIPIDSYRPNKNAIPFNITASTASVSLHVPPWNTQASFLDTKEVGRLDNMVVDGAYH YHTITSPANTDTLLLNFGTKSLFARVYGFTVRYAMKIKDNYFGEDVHFKTLDEHQDML RLKDQNPDAEPTNKPPHKKSSDLDVILSIKCDEPKILIPANLYSSTRHVLIDTASFGA DLRFTNYYMEMDLEVAPLQLSLGSSDSSGLDTPKSTTGSNTQLFIDGIHVYGHRLFGL PPAEPTYLCNWDLSVGAITGECTIEFLTTLVRGGSAFGFSFDDDENALISAASIVLYD VTFLRLYVQSVGMWLHVEDAAFLLSTGSINVDFNDWARSHYSTRANIKIPNVKVSCIN AEHAARHKMRANHPIETDAILETSVALAIIGRKHDFTNQKSRQQEFIRREDQRTQRTP FLLLSEAPEDFIPDIVDPPSQSVPPMPIPTKANEVESDRITTYSGATSKQSRSLRHKS SFLSSTSKSSVVRPRSRRSSVRVKQADRPSPFASYGRQSRGSGGHIRDISPSTRHSGY YSTFGDNAERQDLMHNTVAFSSQYFAPYFPLENMKMNFKDAAKLSVERDEGSEAERNS LTFDLGDIDPDSIQEDCAYSSIILEMPLGVKAICNPTALRRVVTLLSSLHPSDPEDIL DSIQSDSMKAIFGMQRDQKMKGHVQDILIKIPRADIRFANPFDSDPAGEEEDQYNLSI TRLALASRSETHWQDAFEPESKKTRTSFHLRLQSIEASAAERYHDAFNSPAAVRASVE NIMISMGTRDITYLDVDVGTITSSTSSEKIDYLASLIHRTSVLASNFGRLFNEKSTYE ENMPKNLVKRLIENSQGAPDPGFLVRPSAILRSAPEHLRSFDCWKLVMRLRQMWAVQS QWEKEQLGYTCLDKTQIPSANLRQEVTASFEKWRSWDLGNISDNPLMNTIFGPAETDP GPTKEKPTLAVVKIKQIQFILDPGPKQNEIMAVALSIRADTRRASDAESNARNAETVG RLTILNINCSDAAINLNWELCELATRLLELVDKLESKPSTAQKTASFPSTKKTEDHTI HCVLAIGHGSIALETINLHTSSIGSGLSASLLLQLGQAEKMDTNMIFNCDSVISSLRS HSEGVAKLVLQRPSVFVSHELKKSQTVDSHTIKATASSHHLSFVVKQDPVYITELLDL VVRDEVTKIYKLTKKVSSSAPSASAPKIVDRLSAFRVNVAMFMDQYTISIPLLRSLTY TINGTVARGAMAAHFGKEIIFDFDIKENSHEIRTRMNNVTKRISLLQIPPTNGQIRNH TTDSEHSVTVFASLELVELDASAVYSFLSALNRPEVSSAITELQQQGKAIQEHAKEIT GSNPASDIRASSSGAPQAESKLLVYAINLTFAGLRVFGNSPLHSEVEPFAHIAFKLDK IHLGTSNRVEQQGPLLAYPEFHVNIREVAFEIQKGDAESMRSCGNLGFGALITASSKH DDDGKEQRLFNVKSDAFHINLSPDTISTVVDVLGYMGDKIKDLDTTRELEYLRKLRQS KPRIAINDLEMEEEKDQDIVDVFLSSFTYTFEICNIQLAWLVNHNHPRELPDPDKEDL VLSLDKIELSTRTKNSARLTIENLRLQMVSLEQERRLRSPNSALLPEIIFNIAYVSMS DTRRLAFQAVGKTLDIRLTSGFILPAAHLTRSISLSINNVQQASQNWNPSPPAAVQAA SSPEAQEQIRKRSILGSKRLESLLVDADFAGAVVHLTGKRTPSDLVSASRLGKSSTAG KSGQFGQEEWVNSVTILRSPGLALKLEYCDDGREDPSLFAEVRIDASSNILYPSVVPL IVEMSNNIKSVVSERGDGANPPSPPPAPSPKAKPAEEDGILGADPTAVLGRVKLNLGL RVRKQEFSLSCQPIALVAATASFDDVYFTANTVRSADQGNFFAISGAFSNLQASIQHV YSRESTGSFNVDSIVLSLMNSKHVSGTNGLSAILKVSPMQVSINAKQLQDFMLFQEIW VPRQFTETTPSSPVAKLVTETSQGHLVQRYQQVAATAAFPWTATISITALKICVDLGQ ALGKSTFSIDNFWISSKKTSDWEQNLCLGFDMIGMESQGRMSGFVALRNFKLRTSIKW PEREQALNETPLVQASVAFSQFRVKAAFDYQAFLVADITSMEFLMYNVRRSRQGMGDR LVAIFDGNAVQIFGTTSSAAQGVAMYQAFQRLIQERRANFESSLKEIERFMRRKSVTA PAAVIKRLDQKSKLASEADDTLTKSPISLDTDVVVTLKALNLGVFPGTFADSQVFKVE ALNAQARFAASIEDRRIHSILGLTLGQLRIGLAGVRATGGAPKTLSELSVEDVVQSAT GSRGGTILKVPQVEAVMQTWQRPDSRSIDYIFKSAFEGKVEVGWNYSRISFIRGMWAN HSKTLQRTWGKELPPVTAIRVTGVPEGPAEDITATATAVQKDGEQKPRDQRQSSSTPA STSADAGPSSFSASASASQEKQKEKDQNRRQSNKITAEVKVPQSKYEYRALEPPVIET PQLRDMGEATPPLEWIGLHRDRLPNLTHQIVIVSLLELAGEVEDAYSKILGST NEUTE1DRAFT_60036 MGGTGQKLADVATIVGGVASIVATLLSMVSIWLQTKNYRKPLLQ RYVVRILLMVPIYSIASWTSMVSRTAAAFVDPIRDIYEAFTIYTFFQLLINYLGGERA LIIMTHGREPIHHLWPMNHVLPQVDISDPHTFLAIKRGILQYAWMKPVLALAAVIMKA TGSYHEGDIKLNSGYFWSGIIYNISVTVSLYCLGLFWVCMNNDLKPFRPMPKFLCVKA IIFASYWQGFALGILVFLGAFPNVEGYTQDGLAAAIQDFLICLEMPAFAIAHWYAFSW HDFADSRVSAARMPVKYAMRDAFGIRDLIQDSKETFTGDKYGYRVFDSGDKIMAHEQS RSRLARLKEGMRYERGGKGKYWIPRPDEITQNTPLLGANGGPSRRSNSSSPHHDDADE VILDPEEEQLYAGARKLEFGDWNYPVITANEPWKDRYRSPHPSLFQNTPTGSFYNRSS SSRSSVPTLNPRDPLERRKKEAPEGPEALPNDKKGKKKADPNGQRATNSTPDKGMDPL EIAYGPMVGTTSKLTEDDFRVDDVSPHHPHGTSPGHDTSHDLEDDGKGTPVTEVSNHW GHATDTEGEEERSPHFTVGGEEEFQNVWGR NEUTE1DRAFT_94258 MVNFTIDEIRALMDKPTNVRNMSVIAHVDHGKSTLTDSLLAKAG IISSGKAGEARATDTRADEQERGITIKSTAISLYGTLPDEEDLKDIVGQKTNGKDFLI NLIDSPGHVDFSSEVTAALRVTDGALVVVDTVEGVCVQTETVLRQALGERIKPVVVIN KVDRALLELQVSKEDLYQSFSRTIESVNVVISTYFDKTLGDVQVYPDRGTVAFGSGLH GWAFTIRQFATRYAKKFGVDRNKMMERLWGDNYFNPKTKKWTKNGTYEGKELERAFNQ FILDPIFKIFSAVMNFKKDEVAALLEKLNLKLATDDREKEGKQLLKAVMKAFLPAADC LLEMMILHLPSPVTAQAYRAETLYEGPQDDEAAMAIKTCDPKGPLMLYVSKMVPTSDK GRFYAFGRVFAGTVRSGLKVRIQGPNYTPGKKEDLFIKAIQRTVLMMGGKVEPIDDMP AGNIVGLVGIDQFLLKSGTLTTSETAHNMKVMKFSVSPVVQRSVQVKNAQDLPKLVEG LKRLSKSDPCVLTFSNESGEHVVAGAGELHLEICLNDLENDHAGVPLTISDPVVQYRE TVAGKSSMTALSKSPNKHNRLYMVAEPLEEDLCLAIEAGKITPRDDFKARARILADDF GWDVTDARKIWAFGPDTNGANLLVDQTKAVQYLNEIKDSVVSGFQWATREGPIGEEPM RSIRFNILDVTLHADAIHRGGGQIIPTARRVLYAATLLAEPSLLEPVFLVEIQVPEQA MGGVYGVLTRRRGHVFGEEQRPGTPLFTIKAYLPVMESFGFNGDLRAATSGQAFPQSV FDHWERLPGGSPLDSTSKVGQIVQEMRKRKGLKVEVPGYENYYDKL NEUTE1DRAFT_120925 MLFPEEDEVHLKRWIVKRLENTSDADADVLADYVLALLRTNGEV EEVRRLCETEIPDFLKEDSSVFVRDVFQAIAYKSYLPDAPSVPKPAPPLPAFAAPPVA FPTIQPPGLSYDDAPGHLPQFPSYTGQSRKRSFDGRDETDGRDGQYGGRALKQPRRGA GFGPGGRGGRPDAGNGYLPAYPPYPENGQLPPGFLTGMSETLAALQQQMGLSAPPGYP APAQGQRRRARCRDYETKGYCSRGNTCLFEHGDDSIYVPPPSTSFGTQYAAQPNPIEE YDPTNPGIFTLPPNLPPHQSIHQDGVHPSRSRGGRQQGPKRRPKAPFSADGPVFDRTK STIVVENIPEENFDEEQVRRFFSQFGNILEVSMQPYKRLAIVKYDNWASANAAYKSPK VVFDNRFVKIFWYKEEDSCLPPSVPLGGSSEKHGEAGEDDYSAAVPEIDMEEFLRKQE EAQKAHEEKLRKLEEVERQREELEKRQQELLARQREEKAKLEAKLKSKLGGGSQQGDG SDDGSKPKSISEALRAQLAALEAEAKQLGLDPDAMDTSFSTSSWNARGGYYGRGAFRG AYRARGFAPRGYRGAPFHGGARGNHHAAYAMYSLDNRPKKVTLTGVDFTDPEKDETLR QYLFGIGEFTNIQTTSSATDITFTDRKTAEKFFNGILLNGKEIPGIDGQIEVAWNPSS GPGSSSMASTPGSSSSTGAGATVQKPHSALSAAAMSFVPGGGPQAGVGGDGDSAMGGA GSVSGSDKDVNIVLERSGNHNGNGLHHNNQHYESHKQGDMDYDVADENQWEMS NEUTE1DRAFT_78728 MAADTERTILDFYQVSSLNLVEWPAEKDNNSDVSDDETAKQKAN RRKSRFQALERVVSNRSSVVPGSETSASGVGNLVQRDEPDPLGTTDSVVRTLKQMGVP LQDDSKLRNRFLLSSTTFSPALFLSQVHATDSTEALLNGLEVLNQSIDQKSASLKVLV ESNFERFVRAKATIDNVYKEMKYRGAEPAAPRARAHSRHASRNSFQSTSAAGGLANSM GPTIDPRKKNALIRESEYGVMGVKAPLLDVSAKAEDFWGPALGGREKEEHLKTVGSSL DTYKDYVEISAALAESIKRKDHESLVEEYNRARRFADEAKQIAQNIGSGEPTEAQLYK ILLAARMWHDVDEQIQLLKRDMWRRLVSPQAMAKSDATPGQAHDQHMDLITLLLELGV EENPIWAWLQSRVAYLKSRIQSTAEKSKVEIEVLRRRLANVEKPSPQSIASNLRGLGR QSIESKTPTFDSAEVLDVWETIVAFMNNLMSPQGILGEVVEFWQTVQGFMDGNTQRTL PLGYKGESQGHHRLTQQSSQELQRATVELVDMIREHVLSFFTGPPPEDLSALVSPLPS TPNTPSPSTTPGSAIQATTLQVPINLDPNNLPPPSPKRGEAWEKFAFWPPWSNSISGA HYLAKMLTLVGSGASDMASLAPVGKGDPSELEQLRSLVNATRERCVTALCAAWNRDSE NIKYVEDWNRSPTRKDVTKMPASFAAFERALLSGMQKILYVSEAMTKPGAEDIVLPPA TKLLQMVRSQYVTTLYKALHGMVENAELPIKKPDDDWTTDANDFNVRMLLTLSNLQAL RSEVVPNLNTQFENAFSVKLTDETKTIRDVLGQIDARLFQSYTRPSIESLRRIVRAGV TASDWPPPSGQKPREVKPYIYEALLDLVLVHTQVSTTAASLTSQVLSFLLEQTSRELL EAFKTRARYDLGMLMQATLDVEFVAQTLNHYTTDRASELQSAVYQELDSRTDNDARTR LQAELPEMRAVLKRLREASKSEFACFRKPKKTTGPAATAGSSAGSAAMLAAQVGDMSG LERTDTRDTGRSYGTTGSER NEUTE1DRAFT_116362 MLALRSIAAPAQRQAFRAAPRAVSVSFQNRFYSSRVAQFTGQKD ASGKYTVSLIEGDGIGPEIAVAVKDIFAAAQTPINWEPINVDPILKDGKTAIPDAAIE SIRRNKIALKGPLATPIGKGHVSLNLTLRRTFNLFANLRPCRSVAGFKTPYDGVDTVL IRENTEGEYSGIEHVVVDGVVQSIKLITREASERVLRYAFEQARAINRKKVRVVHKAT IMKMSDGLFLNTAREVAQDFPDIEFDAELLDNTCLKMTTDPTPYNDKVLVMPNLYGDI LSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGKGLANPTALLLSSMMMLRH MGLNEYADKIEKAAFDTLAEGKVLTGDLGGKASTKDFTSAIIDRL NEUTE1DRAFT_37852 MSGSKVAAPAAQDTRIKSILEQGAVKFVIKTKDQKWQCTLLDRA THEKKKAQKESSSAASISGSESGSSASSSKSH NEUTE1DRAFT_116363 MIAQEPSRSLNNIMGSLTWLSVSTCFFPEHLESGLCSRSWKTES GRTRLITRRRTHHPTHRTWNCGRIFHVSRDTR NEUTE1DRAFT_60053 MPPINQAAAIASVGIIAVSVAAVAAIAIYESPEVRRRVNDLRQR IALAFQGWDDEFDDSRPRNPNTPRFNRPEDAHGFYQSRADVGVDADEETKRRQREELM YWNAKRAEMMGESGREFAATTTTHRPTSSTTRGSSFDDFLSEDTAAGPGTFVYNTGTD VRGTENDALRRRGAGRSAAPGVRGLTAAMILDPFSDEFGIELDERINMVDEETRLMAL SQDEMVSNRSDIYNATPTGLQSPVSRTLSPEPRVSVTAPPNEVLFDFETSSQSAAVTA SEDNHERSGAPTPTTVRSTTDTLERDLDVDEYMTAGQDDRSTAYASIQEWAQGSSGNP GFYSPLPITPTEPMSEAEMVSAGQLTPADTMSLADSGEHIENPDAVSSRAQDFDDLSD SDDGIATPGSWSDVGSVISETDEIAHQPQHA NEUTE1DRAFT_78736 MTVRSQIATEPSPAAAATSSAVSVQSTPEPSRGSQEEQDLDHQG QGKDDKHDDRDRDTPKFPHPKLRLEIRDLDHPGAVKFLGAVNASTLLSDAVKNVQRLL YRSPADKHTTCPPTRSVTVILRDMGGVAYTTGTDLDPDHKEIHFSLSYIAARIPSTPP SRLPAEITGVLTHELVHCYQWDAHGSAPGGLIEGIADWVRLNCDLSPPHWKQGDVKID DPWDKGYQHTAYFLQYLEGRFGEGTVRRLNEALRSTREYEAREFWVSVLGEEVEELWG EYCGSVNDDDAKGST NEUTE1DRAFT_120932 MAERITDEQVADLLAILRTDASVDAKANRITTVKTSIKQHNVPA TCFAPLFEALHIASTAQHPVLVNAGFTTLNHLLARLARQDPKFLAKEAPHTLPVVVDK LGDQKDKFRQIAVQALTTLYKVAPVDVERSVRNIAMVGKNPRAKEMSMHWLLQTHQEQ GLQFRGYVPTLMELLEDADGSVRDVAKTTVIELFKNAPNAAKSDLKRQLKNFKVRSAI EQVIVKELNNPSSSVSSHQNDMMDLDEPVVPTRAPAPASIRTNLSASVPTLASERPLT PGLDSRPEPVEPQFVNTQRELDDIFRDMHMFFDGRETEQNWLKREESMTKLRRLIAGN AVSDFHDSFLAALRALLDGIIKAVTSLRTSLSKEGCALVQDIATAYGPGMDPMVEILM QTFVKLCAATKKIASAQANATINTILGKVSYTNRLMQHIWMACQDKNVQPRLYATEWL TTMLTKMAHHKNHVEHTGGLDLIEKCIKKGLADANPGVREKMRATYWTFSGIWPARAT HIMNELDSTAQKLLQKDPHNPNAHIRTETGGARPGMGLSKSVMGAPKPSVRDAIIAQK RAMASSKNQPPRPGSAMAHFSPVGTTRNVSSTSQASVASATTASAVPAPTKSAFGASS GGLSGAPMRPGKRRPEVAARPATAGPYSVRNEVPPAEPASPPSKPRIKTVTSPKTQTL VISPKKAIPRPQQCHSTNSTPELPPSSVVASPSRVMPDPAQIPLPESSPSKDEELSLV VPGSVLPTQKTPSPTEESQQPQIAIVPIEAVEIVPDSPYRSVQVYEDPFTAGQAQPQS TYTSPVLEPKPVNEGAATPPPPQPSYDGENGHGMDEIPIPSSPERTRQNSRLLDSGIS KVETKSLDVHGFRKLQGIIRDPKGAAIFTDDKFDALLSGLFEFLEAHPSEIPHVPAEK QQDVKAQILATIKLLLKKMRENFRPHVSRGLDSLLRARAAYDSRSHIVSGMELLADEL ITLGDPTEITLVLANTLREALLDKDQQHNTAARSLSMGMHVLKEVVESSANFSTPFTP TEQELDTLAGLAAKCLESADSAVRMDAVQLCVALHAKVGDQRFWDAVKREGVRDDPKS LITYYIVRRQREVGTNA NEUTE1DRAFT_120933 MGRRPNALILQYFERGPKLQDQSNRYPHTCKSCGEHFPRGRLDS LTTHLTKKCPAIGEADRVNAIFTLSGMSHASHRLQQTQHAQGQVQQPLQVPTQVNGVN GSPVDLPMIQRDLQRDWTALGVLAEVSRQIDMSEKNDDRVPLHPNVSSGTVLGAATSQ SVEHFVVQDQGNVEHPHQTDEHTVLVEQPKPEPVAPTDEERVLTAEERLHEIIRVEEN ANADAANISMAAAAAARLHHGLLDPQLLGQEAAAAAEAASATAAAAAAVASSLSASEQ AHQSHQSPPEVSPSIPVTTPSQPWGEITYTADAFQTMPAGDSVPHTTASLTKGGFRLE TTSKARHSRARFNATRRKQVQEVRKIGACIRCRVLRKTCSPGEPCDTCRKVLSPRVWR SGCVRTKFSEQLDLYSAGVQIVLAQGRINTFKQAMVLSNHGLVIEACHFPELSSHLRL QVLQRDGIREADGKVTTAVPSDKPLDYPIIMVDNDTQDVPARLESYMREVLPELIKRE PSNFMQVTLQTALEIASTTNDELLKKSLELWGLVEILDRERQWTISVKSTLDDTVISH IKEETHEEVFTTICLQLAAAAERKAAATSKTLLTGMQRVLQDSKVKIDFGMYFATLIL LNCVEKSTWAFKAWEQPNLRTMWPLEKDPGSFAQQGYVIADLLRMLLTIRKALPRTAH RESDGKLVTEEQDPAIKAYFEAISLNCKFANDTFSILVMQIKSKQEQPVFSPTDPRSF EFLFSSTLLLPATE NEUTE1DRAFT_78745 MLLLHQTGSVKIGEVVRYTVTYTPSADHILPSPEFLSLRIKNTS AIALRAAFVHGPYTLSVAAYPSHFNPNEKFENPRRYGIPEFEPMLKAGAVWNCHLVVP DNIRQSAGEGTSKHGQFGNPETRGESVSWVIEVASQVIFSTSAAVNYVILLARDEKSL NLGSVVPVLGGQFQAPEPGQVSDFQQSIGSIKDHPAQPKGVFSRAIRLKVEDTGALWN TPQLPGWDQEVHHRAKCHGADAPVEPAVQNKKHKEPINPKKQKKVHLVVLTHGLHSNL GADMLYMKESIDAGVRKAKQDAKARRAKERAAKHQRDTSSSAETGGKRVTGDEDQLRA DDGQGGSDDEDDEEVIMRGFSGNATRTEKGIKYLGKRLAKYVLTMVFPDQPFIPTTRA ASQAIVHSLKASKQDAEKDSGQKRPSSGSKKTERGYKITKISFIGHSLGGLIQTYAIA YIQKHSPTFFDQVEPVNFIALASPFLGLNHENPYYVKFALDFGLVGRTGQDLGLTWRA PTIARNGFGAIISQFGENTHKHVYGEPQPESKPLLRILPTGPAHTALKKFRNRTVYSN VVNDGIVPLRTSCLLFLDWQGLGRVEKARREAGLVETALSFGWAELTGTNTTAPRARP WAPDDVEEKPGEEGSGDSTPTGPYDAHEVPQPPPHAMLDDDRASLRSTVAASPESEFQ KLQNLQSATTSNNTNNPFTGLINFFRSSETQKQAPAPQSSKANRIYQRSQILRSDDTS TTASASSPRSRVTTGNELLDSGESVMAPPKTTVFEAASDLINPKLPSVEYLIDPSKRP RAIFHDRIYHPSDIPPPPLKKRPTTSGFRRRATASTESSSSSATQAPQVKTPGSEASS PYAPSPGIFPRDSSLSRYDYDDTEHTNPDMDPSEIVDGSQMQVEEKIARAYHRGLSWR KVLVKLEPDAHNNIIVRRQFANAFGWPVIQHLVEAHFSDSATAKTSDDKATNKERAKP LYVPPDEHGSEVKDTDISSETRTHSRGGSTQSERDAREAEDVVFGLPRSSTVVSSSDR TKGFLSSQSASSPSGSLSATEQPKFVRRMDSVTWSDRDWIESGDESDTGSESGGQREN KKDSVFMGGLSPADKKGKGAAAVTSTTTNSFSDRAAAADSGGGSGDSTKPGFKRSSTL NWNWTEKIVGKGALGRPKSPRSSSLASTAGGTTDIQGLGLASSAAQIIGRPQSQGKSA LMPTVVTEHGQMTRQE NEUTE1DRAFT_128536 MHIREMLADAERTKAPSFSFEYFPPKTAQGVQNLYDRMDRMYNF GPKFIDITWGAGGRIAELTCEMVAQAQAYLGLETCMHLTCTDMGEEKVNNALQRAYKA GCTNILALRGDPPREKERWEAAEGGFQYARDLVAHIRKLYGDHFDIGVAGYPEGCDDN KDEESLLDHLKEKVDMGASFIVTQMFYDVDNFLRWVKRVRERGITIPIIPGIMPIATY ASFIRRANHMNCKIPEEWMAKLEPVKNDDVAVREIGKTLVAEMCRKIVDAGIRHLHFY TMNLAQATRMVLEELDWLPSPNRPLKRALPWKQSLGSGRRDEDVRPIFWRNRNKSYIT RTQDWDEFPNGRWGDSRSPAFGELDAYGIGLTGSNELNRKKWGEPQTVKDIANLFVRY LNQELEYLPWSEAPISSEAELIKDDLIALNNRGLITINSQPAVNGVKSTHPVHGWGPA NGYVYQKAYLELLVSPELFPEIKRRIEDHPDITYYAVTKSGNLHTNAPSEGPNAVTWG VFPGKEIVQPTIVESISFLAWKDEAFQLGLEWARCYDADTPSRLLIEDIMNKWYLINI VNNDFHQPRTIFEILNDLSLPDLEKVYTPAHTNGATNGVSEASTVPQ NEUTE1DRAFT_145145 MDDYLDAPMDADDVFPCKGCGEILEEGKAFELAGNRWHLDCFRC NTCNTLLDSDANLLLLGDGSLICNNCTYSCSACGNKIEDLAILTGDQAFCATCFRCRN CKRKIENLRYARTAHGIFCMNCHESLMARRRKKAKAAAQAKARDTDKSLPALPPNAVP PSSTGFAAEKLAADSDTPTELSPRPRNAYGRQDSSSRSSSRPDRSPERLAETNKETVL APPAPNYRHNRNSTMFSADLSGGDAEDFFIPVALDQSPAPSITPQSTADTISDTSRKN KDYFGSARSNAVQADKKESTAPTPHIALMAQMAQERQPSSDYESPQQERLPPRKLSRA ADVIGNTKPAHIASILAEAYNQQPNNEDFKLQNVPKSKRLVGNRSESQASNSIENGTT PRNKESPEPPLTMSPDRIMTPRSSQDSRPRDPMSDEIRPSSDSVTSRSEVSNAGRPIP RKEVPPPVSRNSDGKPLPVPGSNNSEGPALPIRPPPPEAKLSNTYMQPRAPPLPPTQA QTPKNQSTTSGEVQELKPSPKLPRWSSGVEFSMDEDMARILGTDEGSSSILRRVSNAV RHGRNSSGETGATPGRISHSRSVSETTRGTTSPRWPRTPIVEDYSTGNINDITSPLSL ASTTQDDPAYLKRQLRSSEQRVAELERQFNTEKDLKSLNKKLIEKRKTVSVLDTQTEI MIRQLEVLAGYVERAKKTNESFDARELEESAIKEFVQKLERLKHNMTSAIEQLIAERD DLVEEKNQAIADRDRALLEFEQLSSKNAQLADMNNDLTHQIQERFKQQINNGELKSPN GLGIYGHTKGASLNMDAASLQTATTMVGPDMEDPLVEGPTVVNIRKGQVKKFNWKKGS SKVAHNISKGIRGAAGAFQGQEGTQRQQQQQQQQQQGSLNGDNIGLPYAMTVAQVEAP VTVVPPPANTIKPVMVDNARQGGGGGGGGFGFFGGKKPNAQQKSMSVTNISTPAVAEA PTTLFGSDLIERTEHERRQIPSVVTRCIEEVELRGMDVEGIYRKTGGNSQIKMIQEGF EKQQDFDISDPEIDITAVTSVLKQYFRKLPNPLLTFEVYERVLESNNIQDVEEKCAHL KKTVNMLPPKHRDCLEFLMFHLARVASRERENLMSPKNLAVVFAPTIMRDHSLEKEMT DMHAKNNAVQFLIENSALIFEE NEUTE1DRAFT_120939 MAPKRALDEGPTTGAPDKKKAKHGFRVGPENLPDGAWKRKVTKI KRELITKAKVKKQYAKIKAQKEAEAPAASSVPVGPVLDAHVTMEDDNSNYKIDNEVER DVDTPAPIHPSRQILLEKGAAAKAARAEQQKPSEESHNENEENANGGEEQKEVQRTTT TTITTTTTQEDDDGVYIPPPMDPNMHPSRLPKRHRKPNYYEKELALAEKKKKQAEARA AEIARREEEKRQRIAEREKYRKQMAKARQPGARDGKMKLGRQGNLLLDKVKRIVGQ NEUTE1DRAFT_10683 PEPTDRIQSDPVTASPKSLPCRVSEESIRTEFCEGPVDSPYSPA PPERKLPSALTYDRAELMHLLKRTESPNWVPGRHLESRLYEDEVQPSPQTPQTLILNG SCLLSPPAITQEKQDGEWSQFDVKTAGQLESGLDIERPRSALHSGDFTPDNQTSDGHD RQDASQPRKSQDFGNFKDSWASREPTPWPFPRKAMDYRKEAFGSPSSSVSSSLSTSFA YKLPTSPLVHTESREDIESETSSDGIISGSPRLRRHTMNWAQGCPLSHGGMLRHTPPH RERTLPCQAHQPRRSLASLSGLSIPSSSPQTPSMCRSRRLSHSSEGSPLQHASMVGSY EESILRGRMSTTPSKPLEFVAQIGVLGIGKCKLHLRCPSHVTLPFSAVFYRYSSTVHG RSRGDDGPSPYVGQIDLENGLPNPEESQRSKRKQESRHAEQKSPDGDHIVHDIGDEAS GGNGQRFEKNKKTSRSHKSPPGGSYRIPEKGQLQIVIKNQNKTAVKLFLVPYDLTGME AGTKTFIRQRSYSAGPIIDGLKSTVPDGPPILRYLIHLHICSPSKGRFYLYKDIRVVF ANRVPDGTEKLRNEVTYPEPRYMPYRALR NEUTE1DRAFT_60074 MKSRTQSRALLGRYDKLNRGDVGYGGGKSPQNMQTLLLDSLLSR DDDTDSSEKRQQHELRFTIVKEYLQSTKPTEDDESSTYLPDIMQTWSHAGQVNNENLL SAVPVILALLLKLLSQSLELTPIGLGICRTLLQKRQQELISRSLSADKGKAFIISPTL RMLREAVCFDGGAIARPMFRARMSMLKSLARNMGIVHIGEEPEDIKKPSPRTNAVQFF LSALKYLHPEAKKELLAQRDVVTALTRDVKQDLPYLVLDLLNGLRDYVLLDSKVTREA KSNLLSSTTLIKISGLYYYRQANDDLPSIPDAAHDFLMTACANPSCGVIRQDTGLYPR EADPNAAIPSADLEELGLEAIVWMNKFKTEVPVRNFVLSNLLQNLRPWSSVKQSELIT SIFKVAPELIADFFIKNKSFTFEPKLSATWIGYAAFLFNTVALPLPEYFCRGSGYAEL PPPTSIVMDNILPLPLNQKALSRCLTNKSRMISFFATRILILAVQKLDTAVKMHQDPA HSSKFHNNQALWTEAARRLVDEFCQRSPGIKEMINSYRSIPEDDLLHREAASQLLRLY YEVIPQVALMAKFDVAPLLETALKRLSKHKQQKETRSEEVGEDDPRDFALSLKELENL LAIAGYSPGMRWFAATEQLTLSPFLTLLKVYVEAPHGVSLAPVRQVLDFVAVEQQVVP AKDKGHPGISVLLETLKELMASSPSSLAVLWPFLDKCLTRCTTAPVKYLELLQDLRPK DAEDEVNTVNPLVAAIIEQLPFAAKAADSKSTLDGLGRFLPKFLGYSAAAGESKPLLE AALAVMSDSFAKGLGKSLTMPKKHPFKQAGVGKSIQAKQDKNNTTAEGDKSESGDDAA ALDSEQLERVLDVPDSLATDNSALVKWQSKSVDELVDEGYAISLISLLASEHASIRKE ALVNVLKMASKVQQSEYEEKEQIWLLLSELAETARVAAASQAINAVAPLPSTIVAFAC HAVNVLRDPTHSLYPKINSFLTRGPFWSVDRVPLADEVLTEEPAIGDSYYSQLNWLLA YLLDGLRTSKDLELFHKKGAKGPLFERLLAVAGNPYMRTPLRMQVLRILYRASKIEGG STTLVTRFGVVSWLEELLKMKKSMSATQSQGGAGTVVSSEDEVAAYKGLLKRIWETCD KGRVEGWSKGGVESVIV NEUTE1DRAFT_60078 MGPPPETGRNSQAKTTNDRIKGGDSFLLNQENRRPTLDRNGSRN TTNPEDIILGPPRTSFASATTLRNNRTSDADKGLKDNDRQDRTDRFNFRARNIDSEST SDRFGNGRNSAFRRRGDDQDRDSEGWTPVKPRKSFGTEGAERFQGRMGAAGERFGGSR DTQSKDVSDRRNRAQDMRDKEGEDIDTPRRNGLSRGKTDSWFNKENTNSSDNNGASLS VRERIDRSKSWRDREPEDRQNDRYSDRAGGYGGGRYDRDRDQRVERDPEWLDEPVEHK SQGHTAEDFKKFMESMKSKHGGGPKAEEKAPAPLQTNLPEPSFEAEKVLSAPALETGP DKFFAAYGGSLQVSTPTAEKEASKPTSGKGSRFMAFLTPQEGAKTEPSTPAATAPSSG QPNEGPQQNEAEKEAFNLLIQKLQKSGMGGPSGSSGQATNPMAQLFGSFSQPTHLADS QPKSQVASPEPFQQYGGERRDDPRFRAPPPNPLRDILSPRSMAPPTQPPPMTRPEQAL HDILAQRHAMPNHGNNRGTQNPMVNNQTEFLMRLMQNHKEVPEPPRQEQLLVRMPQPT KQVNISDLSEREAEYQRERSVAQRQQQQQQQQQQQQQQQIRGPAPPGFFSDQFHPSDV DNRPPQPPTQMPTQILQRPPPPGLDHHMLPFPLGAGGAGNPGSGVGVQPMGPPQRPMI PPPGLVNGPRNGPMPGMFPMNFPPPGGAFPPGPPPDGLVGPPPPRGMQPPPGFFGGGP PPGFMGPPPPGMGGFQGPDGPAGFGGAGGLPFPVDRRGMLPPGYRGP NEUTE1DRAFT_78760 MPPPRQVIDGLWRCLCPSVDATLLAKAPTLSSTSAIRSRSRVSR KDGLHRHRGARSLSQQSHGYRANVDSFVSPDLYIEPKWPQSQQKSETVADATVTATEI IQATNDLTEVLKEASTPVIYEALRELRNHHGQHGKIRPIIQFLLNNRKEKPNPFIYES LVVANWDITGSADELGFIFDSMVAAGVEPSIGVLQSALKALAVHPDYLLRTDILRSLG AKGARLDKEAKISVTLGLLRDGQFEKALDLLDELVYSDHLVPAWVFEIFIYTLARRGF VEEAVRVMQVRLKARNQNTPSRALPLGTWYFLLDECSRALHHEGTKLVWDHLVQKRLV NPPDGVVINVLNMASRHQDADLATRAIELLAERGVRLGLHHYEALVECYAYNGDLLNA LQVLCIMEGADISPGAASTRSIFLLFRRSPELLDGVKEMMENLKAAGYKIPIAALNVV LEAVCEEGPTVDKAFGMYRDLLHLCSSGPDLRTFQILLQKAQKAQTAQELESEMLMYT LKPTRDMYEDFVRCSIVDGDLNTAFNYLAQMELAPLARNSSRRMWISHPTLVQLLKRC FNEMDPRAWAVVDVARERKIDLEIEIKKLLAEMPKETAVSDQRAQEAITMMGAVRPAY EEALDAGDLKTLSSSAA NEUTE1DRAFT_116371 MLPLGILTAAQGHPMLVELKNGETLNGHLIQCDTWMNLTLREVV QTSPEGDKFVRLREVYVKGNNIKYLRVPDDVIDIAREQQHSQHGGHRGGRGGGQNRGD YGGRGGRGGRGGGDRGGHRGGRGRGRGA NEUTE1DRAFT_38154 MFKGFQKNLGSWGGQIAPIASRTVQFTKEQLGQAEDKTQLPAEY IELEKRVDAIKAVHQKMLAVTSQYSHEPYDYPPNIKETFQDLGRTVSEKVQLLSSATS TAEAQAALTAPPSAKPQPKTFNHAIARASLASSQLLHQQHTGAGEDPLATALEKYALA MESVGEARLAQDAQIQSRFLAGWNTTLNTNLKFATRARQNVEKARLNLDAVKARVKGT TWRLPGTAASAHDEHELSPEAQEEIEKAEDEFVTQTEEAVAVMKNVLDTPEPLRNLAE LIAAQMEFHKRAHEILSELAPVIDGLQVEQEASRASTYSL NEUTE1DRAFT_136137 MFVRTCRSSCNAWTNATSTTQAGSLSPPNAHRSVVLTLSLQACS ARTHESLIRSFASTTSQSKRQEAEAEEKRQVSPRLGPSAPRSSYPSSAEARQKRDSDR EFLISVLESSATKRDARGYLQTFGSSAALTSAGQKKPSTIGILGVPASVAGRPAFVQG TEKEISVKRNEAPHVAVVKLRAPQAWDDVLLEGVAKTLTRLRDLGLLSVIVLDCDDIK PNQASSWHETVTQQTDRLLRAIGQYGFPAAEVVDSGIWKSTENPQIPSSVPSKPLYVG FGKAFTTPLANGHILVVPPRAYSDASLQYSKADANDIVIALTTFFAGLQFGRQYSDYR ELAGKVSDGQSFRKALVDRVIVIDPLGGIPSHRQGHGTQVFINLEDEFKNIQDALYEK TQPTSAGTKDAGVSARATVHLENLRLAKSTLALLPSNASVVMTSPAEAANINVSRRGQ LEAKPDGFAGEVKTRTWRNPLIHNLLTDRPIYSSSLPIGRIKPTHQDEEIALSRMPTT TLAKRGLPVTIFPDPRVKRWQPPQPGVPRLRLTDTCIDLPRLVHLINDSFGRKLNVEH YLDRLKDSLAGIIIAGEYEGGAILTWETPFGLDEETAYREGRLVPYLDKFAVLRKSQG AGGVADIVFNAMVRDAFPDGVCWRSRKDNPVNKWYFERSRGVLKLPESNWAMFWTTPE AVSNDQMMRDYEDVCRNIAPSWADTTKPAD NEUTE1DRAFT_120946 MAPRLFWIGLGNMGRGMSANIVEKANLDQPLLIYNRTKQRCIDL SEKLPAGKTEIVDSIAEGVSKADIIFNILSNDAVVEASIAEILKNDVKGKLIIECSTI HPDTTEKIAQQVEAAGAQFVAAPVFGAPAMADAGQLVGVLAGPKASVDRARPYFKGVT AKAEINMSDEPYRKALTLKLIGNTFVLNMVEQLAEGHVLAEKSGLGTDYLHQWIEGMF PGPYAAYSTRMLTGDYHKREYPLFTVDLARKDAGHAMSLAKEAGVRLHNVETGDAHLA ELKAHVGEKGDIAGIYGAVRMENGLPYENE NEUTE1DRAFT_60095 MSLSQRPPSSALLPASAEVDDNSLRPRNRRVASNRNNATSSSLC SSPSRTSDRGASPIPAARIGDVTGRNDSRLEVAAGSARTSSPRGGRGLLDGSWASTWA SVQEFTTSLLTGGESYHTGSERLATQTAGKQKSSSSWGPEPPNESRPRLEDVGSGSLT EREGRLRALRTASVLESHEGVNGGLDVSRRFKKRNSDEDLREASQSQEAAEHLVYIHH VQPTDTYAGIVLRYKCREDAFRKANGLWSRDNIQIRKWLAIPVDACEIKGRPCEGPSF SGAQVDCLARTPEGTDPFGRDNSNNREFFGPSTNDQGRDDKLPTNDDERPWTHVRWVS LDSFPNPVEVARVERKVLGYFPPRRRKSINTTSTMTSPRTSMDVPGTTLSGETGPMSP GSISSRRTSLMGSRPSLVGDDARPAWMRRPGGVGSLRNVRAPGPEKDYFNTWTNKHLP GLNIDSLPSMAVLGAETARLGFHGAEEHPTIVESPFEDGGDASRLNGQGSTGLDKAAA AVENWLRGAFVKRPLGTPSLGVPKRSPLGAEEGDLIELTDTNSDDDRGGTVNPTSNNL QDTGLSNFEVGTSGRSATMGGTSSLLNLAVRMPICIECRHPVKTLWREGAGDKSTGHN IRLTVCKNCGRFCDKYVEHDFVVLFIDLVLIKPQVYRHLLHNTLMKDEDEFAYMFFLL LCTLSTLAFHGSIRFLTSSRYSPLHLLGILPQFSRPNSVSTALLVSSSTKLFPILMVI WEYDVPAAARSLGWAVVANNVEALKILLDCNYGVATLLATAGALSRWAMGRAILWAAG LDGVDSVGEHSVAEEGRVLGSLLMYAKDWLGRLAVG NEUTE1DRAFT_78781 MRVTEVIIDGFKSYAVRTVISGWDESFNSITGLNGSGKSNILDA ICFVLGITNMSTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDKKKSPIGFEEYATIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKAVE ILAMIEEAAGTRMFEDRRDKALKTMAKKEMKLQEIKELLRDEIEPKLEKLRTEKRAFL DFQQTQNDLERLTRLVVAHDYVRCQEKLQQLASDLETKKQRQKELEESAIRLKNEISH LEEDLQRVKAQRDKELRKGGKAQALEEAVKKHANELVRLATVVDLKKSSMKEEQERRK AGEKNVADLEAALKEKTKTYEKIKAKYDAAKETLEKQRQEADTKEELLQTLQTGVASK EGQENGYQGQLQDARNRATAAATEQEQAKIKIAHLEKRIKEEEPRARKAKEQNAGLLK DLDGLKAQAQRLEKELGKLGFQPGTEEEMYKQESSLQQTIRNLGQESDALKRKVANID FNYADPVPNFDRSKVKGLVAQLFSLPKEYMEAGTALEICAGGRLYNVVVDTEVTGTQL LQGGRLRKRVTIIPLNKISAFKASAQTIANAQRIAPGKVHLALSLVGYDDEVSAAMDY VFGNTLICADADTAKRVTFDPNVRMRSITVEGDSYDPSGTLSGGSAPNSSGVLVTLQK LNEINRQLKEAETALNELRGQIYREKTKLDQAKRIKQELDLKAHEIKLAEEQIGGNSS SSIIQEIANMKETIVQLKQSIMEAKQRQAEATAEAKRIEKDMKDFDNNKDAKLVELQA SVDKLRASLDKMSVTNKALQKELQEAQLDSEQVAADLAAAREQVQDIDVALKAQQEEI DDIVKQGTVLQETHDAVLAQLEDERTKLHVYDDELRALEDATRSKNARIAEEGLELQK LGHQIEKFHKEQQQAAQTASHMEREHDWIAETKDQFGRPGTLYDFKGQNIAEYKSTLR NLTERSQGLRKKINPKVMNMIDSVEKKEVSLKHMMRTVIRDKRKIEETILSLDDYKKK ALQETWEKVNADFGQIFAELLPGSFAKLDPPEGKTISEGLEVKVQLGKVWKQSLTELS GGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVVS LKDGMFQNANRIFRTRFSEGTSMVQALTPADLK NEUTE1DRAFT_40163 RGCAGYYPDAVNITELYGSLCHTLGPRLVRLGRHPNATPPVVLI VRLFDGTGQ NEUTE1DRAFT_99256 MLLASGKSQDLSQGNASPSRAKRCHAKEPFESRQERRPSPVSST RTHSKLLDLDPRLPPMVPVDDYCKWAINQLDQASQIPQDNGSSMTVCSKPSTAEIHG NEUTE1DRAFT_60106 MSDYYHHFLTSMAGVGGDPSLSQHGASIPVQQAPVLSLGTTAYQ NLGGFFNGYAEPIMFSAPKAQRSRRKSAPGLDHIKHRRTRSGCYTCRSRRVKCDETHP VCERCRKGNRECVYPEPAPPKGSVKDSSGQSQQESPTSSRGDDDDDETGHDGSLTPIM DEDEEEEAESATMQSSTPTIPPTPLSAPATSLSFNHGSMNARQGLEGDVQFYLNYYRD NITHYHYSVVNDHDNFFQEMLTELAIQDEGLLYAVVGFAAYHYTLQSPIGEIKDFLHF YNRSVTLLLRFLKRKERHTEMTLLTILQLATIEEYLGDWVNLMGHQKAALEVLTELYT PQTVMQTVVGRMILTWYTRFDVFTGIMASSETMLPREWFTAFVDYYEVRAAENPDELS YKTEVCSGRLRMISMEMSLLFGRSARHQVTEEEYTAEHRRLYNALHEWKNSWDPALVN PEFLVADVSMNGASDTDRIFQPLTPGLLFRPPLFTSTLLTCEWNSITLMHGSQGTSDP SSETLEKLREHAYVICQICEAVETWPLSPAGSLIILQPCLGIAALFVPQEEAYQLWIR KKFALLERMGYISPGTMRLRMAELFRDGSCLRWWLPNDEGFTPMLQSIRAFADERNAM AVSTQSENLRQIRSVFSRMNIRRAAMDQGEGQLSDGVNTMLLE NEUTE1DRAFT_128549 MSLPPSSPRLPSPPPAPEIQIGPTSPAMGPIANRQAQQYEMPTI DLLSHRRIHPGTKAADMAAGPPLVPLHQLDSAFQLQEHLAALHWHHTAGNTTPITRET AKILATPPAHIDKTVWLYELCRFLITQCNNLIVGFLFDEPPCSAATCPEMRASEWQFL CAVHDAPKSCCAIDYCCHTLDWAANTVTNPKYFPSRFFVDTHDKNLALRHLVNIFRRL HRMFAHAWFQHRSVFWAVEGQTGLYIFFKTVCDHFKTLQHENFQLPPEAEGLESTTTS SGDDDVPQVVIEKPKTHQPGGSVTFAKPPVIASRGTPVGEPADDDGLNTAAMRTNTRR HIKSSPSVGSAVTTVPEAEEEDGSSTANLRGKSKVTRRRVPELEPATEEEMETDNIPV IVCHGTTEPHTPHQPTSEADMTKTEDSAPANIAAALPPAELRHPESEKDTEEATASVQ EPVQEGDPTSEDQPTKKSTPDESNEESAKVDDDEVGEQGNEDQESPKSADVTPHNEVG TSAIPTSVSESEDSDVDTPASSSGSANDDPIGDGHESNKDSTMKPVADAGIDEQPVGV EPILESTDNGSREKQDDDQDETKPSHAENDLAQSDENVAQEEEEKGEKEEGKDETKVE KSDEEAMAPGAEQVA NEUTE1DRAFT_60112 MDYDDDAPPDLIETNEVILEEEKPVKVPITIVTGYLGAGKTTLL NYILTAQHGKKIAVIMNEFGDSLDIEKSLTVNKDGESVEEWMEVGNGCICCSVKDTGV NAIESLMEKKGKFDYILLETTGLADPGNLAPLFWMDDGLGSTIYLDGIVTLVDAKNIL RSLDDPAGKVEGHEETDEHGHGPVMTTAHVQISHADVIVINKSDLVSEDELQAVQDRI TSINGLAKIHITKQSVVPELEGFLLDLHAYDRVEELDKPSLGHSHLDKTISTLSIPLP VLTSAQVEKLDAWLRSVLWENILPGHENAADRPAFEIHRLKGRLVLEDGSEKIVQGVR EIFEIFEGPDKSQGQAAGKLVLIGRHLVDFDFPTSLQKTVDLAKST NEUTE1DRAFT_39773 ITTAYNSPTRNRCWTLGWIEIMDRLVVPLPRRQRERMDVAVNML LLCPARNSDYGAVPQSLRGMSGFGGQQQQPQQSGRGVANRLPNGKLGAVSGGSGWAFG SGMPMGGSPGVPPGSARQLGGGNVSFAQSLNGRSPTAIGRPHDQRSPVNEEEGRQKPP VYREDGMVSRSSVGDAVSQAIGTRNPLGAIGNDPPSAKGKEEDRDRTNEVQDPLEGMP AIDKFGLKGLRTLMNNFPDYNSLIIGIDPSSLGLELNSSELYSTQIYSILDDVPPRPA VPKFKIPECYMVKNVQPIEAKIQSFNEETLMWIFYSCPGDIKQQLAAAELTTRNWRWH KKLQVWLTKDEMGNTAQLSPAHERGYYIVWDTVNWHKERREFTLYYCDLETNLGVPMP AIGA NEUTE1DRAFT_116381 MIQQRYTLGCIRQVQISNNLPPKQCSPLKELPGEYSQGMSAPAK DTHSRRVELALSL NEUTE1DRAFT_128551 MAEEEINIPSLVIVLLVTGLAVRYFFFSGNASSANRQPGGTGGA TPEELQRRREREREAAVETIQQMFPQVDRRTILWDLQRHGGNLQATTERILAGRTETP PITFQPPPPPSSPETTAAAQQSAAAAAASKYAEKKVHPDLITRYNLRDKLASAPSEDA GTAAAGAPKTGGWSSNREERQSLLRTRRDQMIIEARRKMEAKIAAEKAAAAAASGSAG GGDN NEUTE1DRAFT_39765 MSEAGTGYFMPGLASPTSTSECGLVPFTITPKTRGIDWRYGAQG TSLLVNAAEESRRSGNSTFSSNFERSTYITGLQNLLNGLPLDLRESEITILQHAVPPA VVARIIQESSPTEHNKPEVKHKDVYRENVLHKFLLWIFCLVDRWVWFVWPKLLCFYVR MKQVSQDHGQEVAGSLGRGALSVAGAIVFVVNQEPVTEAARGIGKWVYEGVSGAVTDF VAMKVAERSGGSNKKKKEEEEKEEEKEGEEEKEGEEEIKLKASWWERYLW NEUTE1DRAFT_120957 MRTSVLRQAGLCRAALAARHLQISSKPSAALLSQVTRAIAVQSL PSAFLPRFYSAEATAQSNTAASNGLVTRFADLAALGVHENVVRAITHGMGYENMTEVQ SMTISPALKGKDIVAQAKTGTGKTLGFLVPVIQKIITQDPDLAHRFGGKRARSDDIRA IIISPTRELAEQIGEEARKLVKGTGIIVQTAVGGTQKNAMLYKTRQQGCHILVGTPGR LNDLLSDSHSGIDAPRLSTLVLDEADRMLEVGFNEELRQIINYLPDRKVLPRQTLLYS ATIPKDVVGLARSYIDKNNFEFVQTVKADEVLTHDRIPQYIVPCKGFENIYPAMLELI EKALHESKTNPEALPFKAIVFLPTTAEVIMANAIFKRLQWKFKHIPKTWDIHSKLTQN ARTRAADEFKNARTGILFSSDVTARGMDFPNVSHVIQTHIPPNREQYIHRLGRTGRAN KPGQGWLIVPDIELHAARSRLPGLPIKRNDELECASVNAADSGADKHANFQHILDAAS RLPEDLFKDCYSSYLGGALQGIDRQALVYALNDLAKFGWGLSEPPAVRQSILKHMGRV QGLRVETREHSMRPMGSGPGHRRDFNSRGPRRQSDDPFENVLHRAQDLDRRPTRRQQA SF NEUTE1DRAFT_78799 MEAREAGRRGARPDKAAASATYIFYLEKRVEQLEHLLKDNNITF PPAENLDYCSKKGDRPRHVHSTQVDTSGHPSQLETPDSINNQRPQNEGDDVVRLQKLV SKSDLGGVSATANPRYLGSTSGISFARIVFAAVQSSVSDQKSTSDKAGIRPYKPAPNN GPATAGTSMRDSFFGLHTKPTIHPATFPSRAMGEKLMSLYFEHANPQMPVLHKGEFLE MFEQAYAEENRVRGPRELYMLNMVFAIGGGIIVGESTKASGSAEAPGKTDDNTRQCQP EEYHASAIVHLEACLGNSAGGLEELQAVLLLANFALLRPVPPGLWYIVGVAIRLAVDL GLHYEDGKDIESGLGIGDQSEAFSRERGRREYMRDLRRRLWWCTYSLDRLVSVCVGRP FGISDQVITTEFPSLLDDRFITPSGLLEPPPDVIGPTYKLIAHHYFRLRLLQSEVLQV LQFQQSQLARASGLNQKNPYMHTSLPSPFLSQFETFRAWRIDIDRRLWEWKNSAPTRQ QTGVQFSPEFFDLNYWQAVIMLYRQSLSVPALFEGEYHTSKEVNSPTMFNMELREDED RVYLKVAEAGQRILRLYRQLHRVCLVNYTYLATHHLFMAGISYLYAIWHSPIVRSRLT VDEVDFTILAAKSVFTDLIDKCPPAEACRDAFDRTAKATIKMANSTGGFGQGQDLSGG YGNNIHIRRPGGSIDQRLDWSSQSDSAASSLQHYRMQQQQQQQQQQQQQHRQHQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQHRHRPPMLRNPSSQYSDLASDAYSVSSASQLS AFQQAQQFRMSGAAAAAAIKSEQEGGFSLMRNLPPPPHSNASSIAEAASGGGGPMAQS PAGETSIDPTLMPSPSAIQQHQQRQGQGLSNPLTPPAQMGGSPIIGAKPQQQQQQRGG TPNDYLQQQQQQQQQAYSNSPGTLSFSDLQGLEFLQSVDGGAAGGMDISNGGDLANLN VNLNPAEVVGQMDLGFGIGWEGNHHDFSDGQQLDLFEGFFFGGQQGGGGGGGGGGAGG N NEUTE1DRAFT_116383 MISRIERAWSVPVLSWFSHVVWGLGVGHCINNGRSLNFVYPYIR CFCMGFGELGKERKLESVAFSKDVRHTDDTSFC NEUTE1DRAFT_120959 MSIFPYVYHMVKDFNITNDESKISMYAGWVTSSFTLAEFLAGFL WGRLSDKIGRKPVLLTGMGGTGLSVILFGFAPSLPVALLARALGGILNGNMGVLQTTV GELVTVKEHQPRAYTVMPIVWCIGSIVGPMIGGTLAKPVDWIPTVFQPGSIWDRFPYL LPNLFSGMCVFFGLAVGFLFLEETHAEKKYRRDRGVELGRYLTSRLFGKNNCFRRRLR RGKNGEDQPLLGETDESLPGYRTADGSPELTSVEGPIMQEPLDLESTGEMVEAQSEKP ERTFTKPIIFIIINYGLLAFHTMTLDSLLPVFLSTEPTGTQDSWSLPFKFADGFGYDT RTVGIILSIQGLYSMISTSFFFPRITGRLGALRLFQIISMSYPLLYFATPYFVLLPSS LRMAGVYILIIWKCTLATMAYPSNAILLTNSAPSTLMLGTINGVAASIASLCRAMGPI VSGYLYTIGLRAGYCGMVWWFTAFVTIGASFLSFGITEPRGRFDGKEEIEEPVPAAGH SATTRDAVEPVAAEPTITINLATRTTQRD NEUTE1DRAFT_99267 MPSLRKRTRLYCWLAGTAEYRQGGIDKVLKGESDLVWRERSALS SHLAFFPLVHMLAPSRGAMPWLMDTRIGFHNHGHLAQPGQNNEVIGAWS NEUTE1DRAFT_116384 MTQHGNLLLKEKYMFERPCKNPSPWPSLMGGVYGILIHPPLLLA EKRGDRCLDSGST NEUTE1DRAFT_78803 MAGKKGQDNSKKAAGQARKADAAAQKAAAEDAKKAAAEAADLVF GSTLLCRFCLPVRSLALVVGLPTLHEERGLYPRSTAGSVPPCDISCLHISAPTCLQSL ALSIEAPLPLFATPYTNTSLRQERRASVWLTYPMCTITGPCATAKSPSMRSPYSTGKT HTLVGWGEWRSLMATCKARTFAQMDHDIRDKVNPNIGTDHELSYREVEAAKKAEAARK KAEREALLKEEEKNTPGRTQPKNAKTAVRKTRGLDLSQLDDDGSGPLATLNASGIDNA LDALSLTASSAVDKIDRHPERRFKAAYAAFEERRLAEMEKDGSGQGLRLNQKKEKIKK EFEKHPDNPFNQVTARYDASREELAQLKEQERTKIEARLGSRR NEUTE1DRAFT_120962 MTTSMPFSRMGNLPAVRRQHLLAEFAGLKQACPNGVFVSLTPGD PNLWSGVIFVRKGPYANAVLRFQVSFPDSYPNLPPLVTFSTDMFHPLITPLTTYMYST DVQHNGTVSATDEERLPPGGFSLRHGFPHWFGRASRSRGERGSGDQRGRPPASLQMTP PPDTRKVQTGNRSEDGSKNGGETEGTPESTTEGNADAASDCSRSAARRDVSTYEVLRY IRSTFDDANVLDSVPLEAAGNPGAWHAWRTHRRQQAANVTSSPASVKSDPDSNLIKID ETITSPTPSGNAETSSATTTSAAPKRPDEWNWDGVWEERVKRGIATSLSEPVLFGNAG AADEVVSQRRCVNSPSFEIMGYY NEUTE1DRAFT_38203 MSEPPGRNTSLAVPKTRENKAIEHASSSTANLLEGYEHCQPVIT VNTFQSNQFLDAYLKWCLNGQTC NEUTE1DRAFT_145169 MDGSVAMDGFITRGKRKAESTLEENVDTTQVKQTITALYNPIDN TPDGDGESTDIKLAILASLHPDFGPDALLDLLIAHDGSVEATTEALKSTQGARQIAKK KPSGITSQASLRSFAVPSTTGDGDHGGASTIPKKPKLLSRKGATLHLYDPSDISTHTP CTVIHNFLPPSVANSLLVELLEEAKTFGKATFKLFDNVVSSPHTSGFFVGSDYELAEQ KSAYFYNGARLSDIRRLTPQLETVRPLVQDAVNHEIQQRIRTHHLYGGKKLRYQSPDR WSPNAAFVNLYDGPEQNVGWHSDQLTYLGPRAVIGSLSLGVAREFRVRRILPKDKDQD GNSSTTSNANLDSLNQGQISIHLPHNSLLVMHADMQEEWKHCVTPAQAIDPHPIAGKR RINVTYRDYRPEFHPRYTPRCACGIPTILRVVQKKRENWGRYFWMCHAGNQPGKEACS FFQWAEFDDDGRPLWKKGTGTRTAGLELDTRESAQAPQSPDMEQ NEUTE1DRAFT_60133 MASMLEFRTPGYNPYAVKYSPYYDSRLAVATAANYGIVGNGRLF CLGLSAAGVQCEKTFETNDAQYDLAWSELNENQLIVACGDGSIKLFDLGVENFPVMNF HEHKRETFSVCWNPVTKDSFISSSWDGTAKVWSPTRNASLKTLPVGCCTYSTSYCPSN PALVSAVSADSSLRIFDLRTPVSAKYHLTNVIPVHAPATGGSGYSRLADGSIYSGTVP NEVLTHDWNKYNDTVIATAGVDRVIRTFDIRNPAGGPLALMTGHEYAVRRVAWSPHAS DILVSASYDMSVRVWTDGSTMPQHVQPPVPSGRQPDTVRAGTQLGLMNRHTEFVTGVD WCLFGAGGWCASVGWDERVLLWDAASLLRG NEUTE1DRAFT_60135 MEHPVKDIRRVIGTLCQGSPQEQEDTLSRYFTPSASFVHPFCRV PHFDNIYIPRYGLLNSREIIQYIYKWYKILSPKIDIEVESAVFDQKSSLLYVNVAQTF SIWFLPFHRSPVRLVTVLHLVREDEFKNNHNKSRNPRRRLLSENNNALAKQHVSDNDS DHSGSLEGPSYASVAAGDATPEKTEEGIPMAHPPNPSNPVAVENAPVASARASGEAER LVDGFNGGNNSKRYLITKQEDFYQVNDWLKFVFSSPGAWVWYAIQVWNSILCVVGVVL FEPLIRAIGIRREGGGKPKWT NEUTE1DRAFT_145172 MAPMKRLSNQRDAIAQKSDFDSVVKEFLELSTPIYKRDPAPKST LHLEEKWEEIPPSAHGQVARIAAFAAAGDPSTTPAIEGSHLSETSPSTRALPRQYAET STIPAYYGALYSSPSPGAVVGITLGAVAGFILILFLIYTCINLGGYSAAISESGGTAS VVTRRSRHHYRSSQHSEAGGGVRGGMYKHRRSSRRRSRSTSSAGDGGETVEIRRTKTS RTDRRGRSGDAHRRGHGDGIILEERHQSRPMGQGHGHGQAVAERIVVEERRRGRRRQS RTARSRSPPERGPIVVESSEPSHPHERERIVVEEDVSSVSSPPGPPRRRRSSGYRDIR PGEFAGGDEPLREIRRSRSIGRHGE NEUTE1DRAFT_120968 MASSGSAKDLTKALTSFIQTPNLPLPDELTTLISNYLDRHEHAE DGAADRLNEELLAIYTKNVEDQPEKYAAFLAILRELRPAMKTPARIFEWWDRLLDPVL EYVGQEKGLAREVASNTLDLLMIDQFDGSSNSSEASLAPFTERLLSRWMDARASQINC APMADFKDRMVKKALMAFGKKDPKGFMTCLDRYFVKREYRNFALSLLCDFVQSQPPHL HVVLQTPLFNNILNSLQKDESTATVTMALVALIMLLPYIPSSLVPLLSKLFNIYARLL FWDRDSYFAQEHTEIGPEGGSSEVTWERVLLDPDHDGSSIPYLSGYFTVLYGLYPINF LDYIRKPQRYLRHANNDDDIDVQAMEIRDRSERFRKQHLLHPNFYNLTIDSEKTDMSR WLNSEADEVLAECMALSLESKHNSPDARNTTPLPVGLDQVAGEELGEDDMEAILNGSL DRGGVSSGVESTPSIVRSQVEPSREPSLHGPIIDAASQQADSTNHLSDQVSLGGQEVA ESPVLSPHQLQSAPHTQLHDRPVTYKASISGLRQSLANASVPSLALGTQEVVEDKSQG QVETSSDSLNQPLPAGEANNYVSLLYHQNLLLLNDLQFERYIKQQHMIHMGELRRKQV REAATEAETQNLVMANRSLRQRLDEAKRGEAQVKKEFDHRRSIAKKWESDLSTKLRAL REEQKKWAAEKLALTHQLEECQRECERLRSAADLAEKTMLQYKQSNEAIDISTEEIDR LKGEVARLTESERAYHGKELKMQQAIESATLAEARAEQLSQQVTAHELQIERVRKHYE SRIADLSDQLAKVVKESQSRNVDNVVAVFESALATSRAKQMELQRECDVMKRKCTELE GSLLDLRSTNEELSSKILANESQSALLYDGSEAMTPRSGSPIISRTVNRGFSDTDSVE GIFRSATPSLIPATRQSSVSSPTSIRRPGTPPGAGMSGDTSSRSSQRTSPVVERHHGK GVLANALKKERKDKKEDKHEKKKSSLPAFKGMRGFV NEUTE1DRAFT_116391 MAHKSSAVADEPIDVLFALHPKFNLMDFAGPLEVLTTALHEAND PSSRAFEVTVAAGESTVLSDQGVAIQSQITWKEAHERLSEFDVLVVVGGNVNDAILKA KAEPMPLITAYSEIQKADPTRERTLLSICTGSIFLAELGILSGLSATTHPDYMTQFEN LCSHSAVRDLTERTDVIEDARYVVNNLRFDIGDEDENPYVRRKSDAGRRPSNARKGSM SFKGATRRESIARRAAMRLGGLRVITSGGVTAGLDAALYLVSVMVSEESANEVARVMQ WTWTKGVVVDGLDV NEUTE1DRAFT_116392 MASVAKTGAEALRNAPFRVGKKQIFLPNHVITFVRPLPKQPPNL ATFIVPLEFNKLDLRDYLYHVYNVEVTGVRSFVNQRMHEQRHGDVGNWRRPKSQKMMI AELAKPFVWPKPPAEDAREAFDYAMWKKQKTAQEQDTKFQGIRAQGEVVPPSQFEVTK DRKAIKARQSKFLSGEETWAPGKVNAFLNSKIVPVEEGWSEVEENLPLDESAEAAAEE SSSKSSETRQ NEUTE1DRAFT_78831 MPPALISHASMSGSASNEPREVTADAFRLGAKMQKERGEATICP TDDEASVPRSKKHSSSQKKRSLDYLWRSGVAGGLAGCAAKTVVAPLDRVKILFQAHNP HFVKYAGSWWGFGEAIKEIYRQDGVMGLFRGHSATLLRIFPYAGIKFLAYEQIRALVI TRKDHETPLRRLVSGSLAGVTSVFFTYPLELIRVRLAFETKREGRSSLRSIIRQIYSE NALTIPKNAPTSAHAPALIPRTGLANFYRGFSPTLLGMLPYAGMSFLTHDTVGDIFRH PKLAQWTTLPQPENAPAGKAAPLRSWAELSAGGIAGLVSQTVSYPLEVIRRRMQVGGA VGDGHRLTIGETAKLIMRERGVRGFFVGLTIGYAKVVPMVATSFYTYERLKTFFGI NEUTE1DRAFT_78834 MDTPQKTAGGNNAYHNFYNDFLHIKDPNERRRLALAEVDRAPFG WYHVRAVAVAGVGFFTDSYDIFTVSLLTLMLGIVYFPGEGKMPTTSDTAIKLATSAGT VIGQVGFGAAADVFGRKSMYGLELLFIIFATLAQALASGSPSINIIGIIIFWRVLMGV GIGGDYPLSSIITSEFATTKWRGAMMGAVFAMQGLGQLAAAFVMLFVTLGFKKSLEAA PTLASCTGDCAVAVDKMWRTVIGVGAVPGCIALYYRLTIPETPRYTFDVKRDVEQASD DIEAFKTGKPKGQPDEATRIVAKQEAEKEMEIPKASWGDFFRHYSKRKNAMLLAGTAL SWCFLDIAYYGVSLNNATILNVIGYSTTGAKNTYEILYNTAVGNLIIVLAGAVPGYWV TVFTVDTVGRKPIQFMGFGILTILFVVMGFAYKHLSPHALLAIFVLAQFFFNFGPNAT TFIVPGEVFPTRYRSTSHGLSAAMGKIGSIIGQGAIAPLRTRGAVKGGNPNPWMNHVL EIYALFMLLGVGTTFLIPETKRKTLEELSGEFDMSGEEEAQRDTTVTENKTEAPTSSA VVNA NEUTE1DRAFT_128566 MPTELEELVGFIASPNANIRLLATENLVPFSASQPALFKTDELT PIKHLKFLIRDHPKIAEHALTCLINLTAEKDVLEYVATDDRFLGILLGLLVEPDEVNA NLMAMLLANLTKWDGLKSLLDRKQPAPEKLKSDDRVINQLMDLFVKGADGTYNKHADY DYLSYVFADLSKHEEIRHYFVNRQDYDDVIPINKIKVFTEHKSDIRRKGVASIIKNAA FDIPSHPAFLDEDDVNILPYILLPIMGGEVYPEDEALEMLTDLQLLPPDKQRDPDSSN ILTHLETLTLLTTTRAGRDLMRSISVYPIVRETHLRVNDEAVQDACERLVNVLMRDEA EGEEIEGGAATAEEEDDIVEV NEUTE1DRAFT_120975 MPKTTAATSKRRHNPLEADLVSTGVLKNNSGKKSKNTRADNDED EQKYVDAKASRNILAMSRSLIEEEENLRTTQNAASGSAPSAFEFDPSRFDRNDDEDDK FENDEAWGEEEEEEVEEIEVDATDLETFNQFITPTMNEDPLLTHGWDGKPEGAEEQGQ SVNLADLILAKIAEKEAGGPQGGYRDEPGPIDEDYEIPPKVMEVFEKIGMILSRYKSG PLPKPFKVLPQIPHWEDILPITQPESWTPNACYAATRIFASAKEAVLQRFMEMVILER VREDIHETKKLNVHLFNSLKKALYKPGGFFKGFLFPLAASGTCSLREAQIVAGVLTRV TIPAVHSGMAIKGLCEISSAQASQRLDCVSATNFLLKTLIEKRHALPFQALDALVFHF LRYPAFATGGHMAPNALPVIFHQCMLSFAQRYKTNITEDQREALLDLLLTHGHDKISP EIRRELLAGRGGGVPVVQPGFDGDDTMLDA NEUTE1DRAFT_120976 MPFSHFSNSKATAILCHVINSDYISTAARQRIVQPSEPIGRVAT LSRRFNSLAMSLSSSPWYQGEERRRSSLPSLGGAVLDGSLSRVPSISGASVRNSKDNS LRGVYDLDPPRPPKEGYEWVWYPEGYWAERKFRPIDFAAASSRTPEAKVWKWRRRSRK TGSGSHDNDASRASPKTNQPQQQLSTSNNTPTSPHSPFRTEEAHILALQSPGVHLLTS TSLVESEWLAPKHSFQTPTSLDLSPPAEVSPDIPSESRETFGFLSKTGISAMSVLQKT KDRRNCSGDRISNPYKQQLFYTGDKDRVLRLGHMLTTRLQEATRIHTPPLKEDTADGR PRGYFFNVSIPIGADNTESSASSKETNFSGTTMPMSLPPTPLRHPQERTEEEQGGYRG PRRGEFSPPPTPGLSGLSPTPGASSLPTTPGTADKKRREWWDAPTRSAEARRAERTER LANKRSRGDGEGGRLRKKGQFTFDVPEHLPNSPMCPANPKHKSKGKGVCVYHGRRKSA SLSDDAYDSRDTTSESSAKRPSVNPKMASVDHDPLLLLRGSIASSSAIIPTTSADTSS DSSTEVPLSQATHLLFTHPARVAIPIDAPTRFVSNGSPVDLRSIYFAWLNHEVAIPEY NASATKLNEELGGAGAVHKFAFVERLELIAWLEGGSEESEYIKPLAGETGGASATGAA STAAASKAAPTVSARSGRGTLDPRLAQIYNGERRMGDRNSVLRGIKPTLAQAFMSKKP GPTAITNNPALAINQKPARRPDPIILLSPSASSLLRMSNIRDFLENGRYTLPDHNASI SVLHVSRMMKDIDPNRPMRFILVEGPEQFKPEYWNRVVAVFTTGQAWQFKNYRWSQPT DLFRHVLGIYVGWRGEQPPESVRSFGHRVLATSVDKVRDPAAAGAENRWRDREVVESI WKAIEGNMRSKGWRKDAAPTSI NEUTE1DRAFT_108646 MNGYLHRFTDYLYVLDYRPSLSPPAPAAGGNRLYEYSPKKARPT IYRYTLFLWKLKGVNVSTNKADIMDANVEKDRSRLPDHQVDSLINLSALPDIHITSPA CINGVGVVTSCFRYAAPVTGGGWGDYLR NEUTE1DRAFT_136169 MSRLHPICQGDKKPWPFSDERPSERSGTWQAAAQKGQLTYDHVE PDGVLAPNPSLNPASLRP NEUTE1DRAFT_40040 HRELLASPRPAGILAWVLACEQVVCKEWVCSSSSRSTNSDSSSP VVALQAGNRSTGSTVNSSKSRSASSSISTISSSANTGSAPATGTSGTASNTPSSHSSS SSSSSTTVSALAGNTIAKAKSNSVRDPVAKPPHPAVSGSQTRQQAHSHLSSLSVSSQR TGGIFALAAAALDKTLAGLGEPRIRSRRSNGRLSAGPESPVGGPLLPGPDKSSRSRSA SRSSPEDERHLASSLVPRDPNPPSQPYSETDPNRPLPVRVSRVDNKMHQTSSRLLRMT DDDRPFTKDFKDLFSTLIVSLLPLSAHRVRLTKVEHTFLSEDAINNLGSLKFSQSNRM PDPKDPSRIVTTTTTTTFSMAKDMARSICQRFLEARFIESADGRYQQVYSMKGSVWQL TPKGISILDRFCSRNGIQQKQVAELIGNSLPQIVILERNPQTDKVLTDRALIEVIFRR FIGPNGFNIKQSVSSADSDSLSDYRDGLTGVKMAAERKVNGRTYRDTFTGKAATDWLM DCSTAVDRRETIEIASLFVEYELMEAVQQDKAHMQQNPGHHLFQPTKHAIYQVTPKGK DLVNGALVRGRPSESDGHSASRSVGIARDSNTQRLDKILNENSLRLLFRENLRETHCE ENLAFYLDTDEFVRQCRAAIDLAQRSPNSAASLDGIKEIMAQAYGIYNAFLAPGSPCE LNIDHQLRNNLATRMTKAVGQDVTMIDTLREVMALFEDAQNAVFKLMASDSVPKFLRN PKYEQTLRNYDFDSITPVHGQGRMVERSQSRSNRT NEUTE1DRAFT_99288 MGGGRGMSCGGVSFGTSAPPAGFGAAAAATDAVAPAPAGFSSPS SGPPPPPPPPPPPPSPGAPGARRPVQADMEEEDAAVTATAEYEPDCEEDEDSVGEGED NDAIVASSTTIFCPTPTSFIWNGAPVAVTAPTVLTLPCTTDAAGATAAPFHPPPARPP HGPHGPWSAWGSAAPAPNSFSTACDSFVTQTAVATATTTEMVIPVTHAEPTWTKAPAQ PSVVMAGAARGYPAAAAVLAVVLGMVGVFCF NEUTE1DRAFT_60169 MFSSPPGTTGGLDPFAASSPVLGLPTTPSVIATPAGVRTSARRS AAKQQAARQHTPVAADAKTRFIVERPPAKKLVFEETWRSLGPTGDKAGAKGGKGSENP SPPPAKVVNSEGEEVLGGEGQQAKQRRGTSEKSRAAAAAVQTKDDIYAIPDDSEDELK LNGRADPQRVKEKQQKQEGKKKRGPQRKPKEATAVTTDGSNDATKDPPPPKKRGRPRK ADRITAAEKVTNAAPAKVSRRQPRSTKKKPADLVEISDSEEEPDDAIDDQLLADLDYE DGKKSHQRAGQQQQPELRGILSPRKKRVGGDGDLLRKTVAFSRSKEVEDEDEEDEEER KGMSTEVMFDDLPTKKKPASRENGIVPESPTVDGEDAEDAAAEDEEFDTEEAGSNDDE EVCVICSKPDTRKGNQIVFCDGCDKAVHQKCYGIPRLPKGDWFCRECLELGKDKEKKK KKKAVPSASADVTVTRREDETMATVEVVPEEKVPDIPNFERHLRSLQRVLVERCTGGR RIKLRGQEEAYAKTCQLVEQTIVAGEGNSMMVIGARGSGKTTLVESIMSDMSSQHKDE FHVVRLNGFIHTDDKLALREIWRQLGKEMAVEDELINKTTNNHADTMASLLALLSHPA EIGLVPQDGVTSRSIIFLIDEFDLFATHARQTLLYNLFDIAQARKAPIAVLGLTTRID VVESLEKRVKSRFSHRYVYLSPPKSLPAYWEICKQGLMVDEEDMETEGIDQAVEGHTE FFEWWNRKITTLYKTPAFQHHLESHFYSTKSVPAFLTSCILPLSSLSPSSPTLRIPPT PAVHSSSSLTHTLPTTEPPDSKLHLLASLSDLDLSMLIAAARLDIVAHTDTVNFAMAY DEYTSLMGRVRVQSASAGLLALGGGSRVWGRGIAGIAWERLVTLGLLVPAAGSLGGGG ANARGAGATLGQSGGGLEGKMWRVDVALEEIPGAVKLGNVLARWCREI NEUTE1DRAFT_120980 MATSTVLSTLPTAVTTAVSTASISGSVLSTISTALASTITSSTS SRVLTTTTSVPPKQVPNTDAAGSAQKFGGISLVAFLTALATSLVVFGVQMGLFLLLRH KLARIFKPKTYLVPERERTEPPPASPWNLLSTVLRYNDREIIKKCGLDAYFFLRYLQT LLVLFIPIAIVVIPILIPINYVGGLGHQVVDTNTTDTEDSDVPTGLDTLAWGNVRPEH YRRRWAHLILALLVIIWVCGVFFAELRVYVKIRQDYLTSAEHRLRASANTVLVSSIPD KWLTEDALRGLFDVFPGGIRNVWLTRDFTKLLEKIHRRDAIHVQLEEAETELIREAKR RQLAQRSLEEKRIRKAHQVKAESKKERKHRAKAEDDEAKRRAEDNGGLSVGDDDSVPR DVATAVHGGEKPEGKGLGDIQEGESEGSPHHGPRGGNGLFKVGENLGRGLRKGVGFVE ETGHNILVLPKALQQNVEGELERTGGFDFIKDGPQSSPTSSTSSEYDSKLHPGDDDAQ PKPSFASETPLQASQARHAHTLSEATQASTLQKGSDPRTIGNTTRKVSNVNDMYITEK TRWYEFWKPPSGGYVSPVPQGYEREDYPWKVERTKWQKFKAAIPFYHSDIEDVEYPPA FTKDYATEKEEGAEWQKWLSAKDRPHHRLALFDWTPGWLPALPLLNKKVDTIYWCRAE LAKLNLEIEEDQQHPERYPIMNSAFIQFNHQVAAHMACQSVTYHIPKQMAPRTVEISP NDVIWDNMAIKWWHEWARSALVFAVVTGMLILWAFPVAWTASLAQLDALVEKYSWLHW LVENKTIHNVIKGVAGVLPAAVLAILLILVPIALNWLATFQGAKTGSQTTETVQTYYF AFLFVQVFLVVSITSSTFQTIANITQDITSTPEVLAENLPKAANYFFSYMILQALSTS SGTLLQIGTLFMWYIMARILDNTARAKWTRNTQLPSVTWGSFFPVYTNFACIALIYSV VAPLISIFAIITFALLWCAHRYNMLYVTRFRTDTGGVLYPRAINQTFTGLYVMELCLI GLFFLAVDENDQVACVPQAIIMIIALILTILYQYLLNRSFGPLLRYLPITFEDEAVIR DEAFQRAQERRLGIIRDDDEATALNRSGPGANDGKDIELRNLHKRTKSEADSLSRLGK LNPVRGIVQAGSWAARGGRQVRQAAFGKAEENLRTATIYRNERRQKDIEAQRAIGDAL FGGYHDEIEDLTPEERDVLVRKAFQHYALRARRPTVWIPRDDIGVSDDEIKRTQEFSK YIWISNEGTALDSKVRVVYGRNPPDFSEVDIINL NEUTE1DRAFT_78852 MTDFRDLRIAIIGAGKLTRANDVSTSPGTEDTDVEDHAGMGGLG TALAFAKKGFKNINVYETASNLGFVGAGIQMPPNVVRILDHLGCWEEIEKEATDVKET SIRQGSTGEELAHVFMPDMREKYGFPHCTGHRSSLAGGLYNGCLKEPAIKFNFVTSLV KVLSWGPKPRILLKSRAQDEPFEVEADILLASDGIKSVTRTQMLSELGLTSEEEDTGQ AAYRIMLHRDQMKGDSEMLALLDCDTVVRWIGERRHIIAYPVSGHTIYNLSTAHPDTN FAGATNATYTTRGEKKAMFEVFGTFCPLVHRMLDLVPEGEVCEWKLRIHKTLPTWVHG SAALLGDACHATLPHLSQGAAMAIEDGAVLAECVSRIPADKLDDAETVTKTLKVFEML RKPYTSTLVDLASHSGRVLHLGEGKAKEERDRLFRDNGKSGVVPDKWASPDVQKMTYS HDCVKEVIEKFDEVFASIA NEUTE1DRAFT_116396 MGYYPQQQPPPGAYYQQGPPPQGYYQQPVEQKSGSDNVCMAILG TCALCCCLDAIF NEUTE1DRAFT_60176 MTSAVSTTEHQGTPSLSDGLLHFPTSSALKLEPHLPPPRPSPSP TITTTNSNPNKKPFVTLTFATSLDSSLSLAPGVRTTLSGPQSKAMTHFLRSRHAAILV GVGTAVADDPGLNCKILSPLSSSPEGVGNGKREQHPNQPRPIILDPSARWEVSEKSKV IQLARLKQGLGPFIFTAKEVGEVPEERRAVVEGVGGKYVCVEGLKVKGEGKGEGATSR ESFAWADVLRTIKEEGLDSVMIEGGGSVINSLLALEQAGEQELVDSVIVTIAPTWLGA GGVLVCPPRAVGRDGQPAPPVRLRDVSWQPLGEDVVLCGKILR NEUTE1DRAFT_145188 MDQAASIVKEHHDAIINAIKSVVQRDWRILIVDDVSKKIIESSV KEDDILNVNIANIQEIEEPRDENSGMDAIYILSPRPHIVECLILDLAKGRYRNSTVLW TGILGRELRARLATAPQNIDSRPLLVDFFPRESHLVSFKDPYSFPILYNPSCEAVAMP HLDALAQKIAAVCITLGEYPKIRFFAPRNSEYRASALCGRLAALVQDELDAYKQFKAD FPPQTTRPQGYLLIADRSMDLMAPLVHEFTYQAMAHDLLPIKEGDKVTFHMTVNEGTP EAEEKDMELSDKDKVWVENRHRHMKDTIEKLMSDFQNFIKQNPNFTNQNQDTTSLNAI RDMLAGLPQFQEMKEAYSLHLTMAQESMNIFQRRKLPDVASVEQTLATGLDEDYKKPK DILEQMVRLLDDEAITWVERLRLIAIYVLYRDGVITDDIDRLLNHAALQPAEKVKITN LQHLGARPTRKLKEERKPHPPLFPRTQVKNPADEDNFLSRFEPAVKHMLEDLFSNCLD PAIFPFTRPPTDGGAADAAMTTGGSLRSAAPRWASANRRQVENRQRVIVFMAGGATYS EARICYEESEKRNRDIYLVSSHMLTPQLYLRQLEDLSMDRRRLGLPMDRPQPQVPEHF YAKPKPPPQPMQQPPAAAPPGAASVQPPTQAMGAMTMNSGTASPHAGGSGARPPVPPA GKTEKPVKEKKKRNLFGLKKS NEUTE1DRAFT_145189 MSTNNSTEDDEPKLPHGIVFQDIERTAGEEDDGQDKDQSETSTR FGSLCWPNFLSTIKEGDADDNRSKKGNPKWYQKLIDAGIEENGIQPVPLEGRTSTQYN QLFTVFFTGLLCLLPIPTGMLATLEFGLSLRDASLVIVFFALLTCLPPAFMGIGGMET GMRQFVQARYSFGLYLVIIPLLLNAATITGFTLMSAIAGGQTLAAINPSHVSINVGIV ITCLVAFGISLLGFNFVHLWERWTWIPNLIALVITVGCGGRYLHLQSQPAAPATPAQI LNLGSLMAGYFITFGGMVGDYSIYHNPRGVLSKSFSARARIFTYLYLGLFLPSVPLFI LGAAIGGAVPQVPAWQAAYASTGIGGVMMEMLAPAGGFGKFVLVVLALSVIGNIAISM YTISLNLEMLMPPLPFKLRVHRFVFILVTMAVMIPMAIRAAMKWETSLINFLSIIGYW SACFDVVLILELVVFRRMDYATFDQAIWNVGRELPPGLAALGASMLSWALVVPGMAQS WYVGPIAKSTGDIGVEVAFVLTGLFYLPLRWLEIKIRGRF NEUTE1DRAFT_78866 MADAMAIDGPRGEKRKASVLGDDSGHAPRRIRPLDPDVVNKIAA GEIIVAPVHALKELMENAVDAGSTSLEIVVKDGGLKLLQITDNGCGIDKQDLPILCER FTTSKLQKFEDLQSISTYGFRGEALASISHIAHLTVTTKTQESNCAWRAHYGSGKLVP AKPGQSPDPKPVAGRQGTQITVEDLFYNIPTRRRAFRSTSDEYNKIIDMVGRYAVHCS HVAFSCKKHGESSTSIAIQASASSTDRIRQIYGGSVANELIEYSTSDDRWGFKAEGLA TNANYSLKKTTLLLFINHRCVESSNIRKAVEQTYASFLPKNGHPFVYLSLEIAPERVD VNVHPTKREVNFLNEHEIIQAICEHIRSKLAAVDTSRTFLTQTLLPGGTWSASDQQAS SSTPSKTSGAASGARKTPARNESSLVRTDTNMRKITSMLPPASTMAAGSKGDEIPSTS GVKATINGTNMDVEMIKYETVERGATACRLISVRELRAEVREEMHHELTEIFANHTFV GIVDERRRLAAIQGGVKLYLVDYGRVCYEYFYQLGLTDFGNFGTIRFDPPLDLRELLS MAAESERTATADATGRLDKDGDDEMDVSEIVELVADQLVERREMLLEYFSFEISPAGE LLSIPLLVKGYTPSMAKLPRFLLELGPRVDWSEEKACFEGFLKELAIFYVPERLPATI GTDDLSSVQGDGKDVDVETAARRHHVRFALEHYLFPAFKSRLVATKSLMQTGILEVAN LKGLYRVFERC NEUTE1DRAFT_99297 MSMASMGLRLRVYIFGFCDAVHDHGYSPSFTKHDTLQIDGTSTF CSTYLVPVRPQFSSTEPQLLGKAITFSGHGISHSVPDLPLRPGLGGLQSQASIGTDVT DFVNPRSRPILFEASRRALLSAEVNILPNSMVEKQSPESAWSFSLGSTPSDAGSSVQV HADNGSTSLKRPHDGALTDIEPLAKRDRLIEELEQMAPTGSKAAIESLPTRQKEINVH GDPVAFTLLKASVPQLVEWGCIDVSITQKAEEQLQQRQ NEUTE1DRAFT_136179 MGSNQYLGRAADTSSAGPLVFALTLFQALTTGSCPFLLDFNGGI QYRFRSQLQISKLKTHATLEDIEVQLHIMLGMINNMSRNIRREVITRHEEVRDLLIRN RNGNCDENEDNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNHNNHNDKGKGTDNGNG SGHRDGFHHGLPPSASPGPGSLHPSPRIPDEVRATDAMMHPGISPK NEUTE1DRAFT_145192 MQPLSQRPDVLRNTLGKRRGITDLAQFALDLNWVRADSKSTTRS ESYPSPPMSGSPPFPPKASQAAAERRQSVYQATTRDGYREIAVTHGGEGLQSGALTHT RPFLPNPPQGMSYEYQRAEPSMTRPHLYDAPHGTTTLQPSFPPIAGPGSTGGPLPGPL PHSTALYHPGHDSHPQTSPKPQRKTKGHVASACVPCKRAHLRCDARRPCSRCSSSGKD DACIDVQHKKRGRPRLRDDRADIKYDSARFGVPSDGMRRPLSSQYHSGSSSTLTFDDA LRRTQSFRVLKSQPPDSAMSRFAERGSAHDSNVFLAPLTMPIKPPEPVAYLTVDLQVG KASKTFMDAIGRVSVHGLRLADLVVGHDREKVAYIQRQMVDQQRRNEPNYLPPIFGKA EEERVMDMLSFSLEEISKYPLDRQDILTFLGQDGLPRQLSLRTALSKRDSIYYVVLLL MSPVRPFNYSESPPSIRETTRSYQPYTQRPPVATDLGLRHSRPANNEYGEGAHVPAAP PPPRSMTGFGANVPSAYISSPRRTEYSNAMPPFQIPRSELISPSRPDPLPAFQLPPIR NHQPNAVQLQEVQYRNREERARFDIGGLLDHPNPAEHARR NEUTE1DRAFT_38109 TKLGITSLFGPCVLRGVHGKGAISCHFSCHLFILKEEKKKKFRV KRKREAKVTPHMVQTAKVSPRKGTKQYEEKGRHHYHLPYNPGRRATSIWTGPYRY NEUTE1DRAFT_128580 MAHMRAPTVTIPLLPLPKQTVLLPGVVQRVAVSSTRPDIASLLA AVYAKAASKTPNGRIDTIPIACVPLASPLIGPEGHLLIENGDDRTETTDDVDPAKATK ADLFPYGVAAKITGVEGRGTGEFTLLVEGVTRIHVETVISDKAYLEGKVSSYADPALI TDAALEELFMSLKLLSRQFVTILRLSSLLLQSSGTPGLSPLLARRLDFYIAKQKYPGA LADFMANIVESSYEEKLEILTLIDVKERVAKVIELLDRQITNIKNSMRVTTITATTLP FPMDPDAAKHGKIKPPVKAPGQGAGMPFSPQGGFMGRGGNADEDQEPNEIEELQKRLD AARLSPEAAKVANREIKRLKKIHPAQAEYAVTRTYLETLAEIPWTTTTDDRLGPDTLN RARKQLDDDHYGLDKVKKRLLEYLAVLRLKQAINDDVESQIKQLEQELGVASESSKED AAQSSPIDLGVHEKVKAGGAKLEALKSRRMVDKSPILLLVGPPGVGKTSLARSVATAL GRKFHRISLGGVRDEAEIRGHRRTYVAAMPGLIVQGLKKVGVANPVFLLDEIDKVGGS SIHGDPSAAMLEVLDPEQNHNFTDHYVNVPIDLSKVLFIATANSLDTIPAPLLDRMET IYIPGYTTLEKRHIAMQHLVPKQLRVNGLDESQVSFTPEVVSKIIESYTREAGVRNLE REISSVARGKAVEFADAKDSGHPENYNPQLTVDDLEKFLGIEKFEEEIAEKTSRPGIV TGLVAYSSGGNGSILFIEVADMPGNGSVQLTGKLGDVLKESVEVALTWVKAHAYELGL TQSPSENIMKDRSIHVHCPSGAVPKDGPSSGISQAIALISLFSGKAVPPTMAMTGEIS LRGRITAVGGIKEKLIGALRAGVKTVLLPAQNRKDAKDLPQEVKDGLEIIHVSHIWEA IRYVWPDGQWPSEHDYPSIESRL NEUTE1DRAFT_78875 MPPPHLHPRSRMTSSLFAATVVASFLVVGVPHILPCPAPRVAFA DGDMSADGQPKRRRRRRVENTEGKDEILQFETELGSGDVGRARALKRECPVPKPGGML GSMLGFHKDNDINDPSSPSNR NEUTE1DRAFT_99301 MSDLDRAIAQLRACRPIPESDVRELCHKAREILIEEGNVVTVNA PVTICGDIHGQFHDLMELFRVGGDPPDTNYLFMGDFVDRGFYSLETFLLLLCLKVRYP DRMTLIRGNHESRQITTVYGFYDECYRKYGSANVWRYCCDVFDYLALGALVLGASNTI SPSAGEGTVGNPAGAVLCVHGGLSPLIDKVDKIRLLDRKQEVPHEGAMCDLLWSDPDE IDGWGLSPRGAGFLFGADIVKVFNHRNDLSLIARAHQLVMEGFKEMFDASIVTVWSAP NYCYRCGNVAALLELSEDDSGLGVLARSNGDVNRSNGGAGSSGGLGEGELQPMKGPAR RYRVFQAAPQDSRGMPAKKPVADYFL NEUTE1DRAFT_78879 MRKTTPPRTAVFFFQLAGWAYQPPEGDQTCLGRLLVAARSGVSP ATAAIRSRSAISVRSQSTAALAQHDASHDLNNDRFPPLEPLPPAAESLPSPLPERALT SAKLAALHARLNLSPKIPLQTLARTLVDASADENPQFNNANLAFVGQTLINYHIAEWL LCKYPRLPQGILFSAMKAYAGPKPLLQIARSWGVDTAAVPGGEVDPGLLQFDALKPGV SITNFGYKRTELAYLEKFKWRRGMASRVVLDDDFGDVEEAEMVANEQDQDVSYDRYGN PDTRAAAERAHAYFVRAVVGAIYAHCGREAAKAFVKAHIMSRTLDIAKLFEFKYPTRE LAALCAREDFEPPVARLLSETGRQSRTPVFVVGIYSGSDKLGEGAASSLDHARFKAAM NALKAWYLYSPGENPRVPSDMLEEGAKPWTPAYIDMGEVISR NEUTE1DRAFT_60205 MARLGQLTFAISSTGRRSPLARSLTQSSALSRAPARTKPSLLSF QQTRHGFFASGDNKPKPKTRVPRSLSAVLLGSLLVFSLNPTADVVQTFDSTDKTAKTD RSSDTDLFAQELESHGKIDDNSTWSKLVDGFEGFSAMTSAEAGKLSNKLVDLVLPEWS KLIPGYIRKLQRELNMAPGSLAAEIWEEARDPAINPEIQYSAKVRVSEDLCDEEKTFL ARRKKITAVALAHYLGIKEEDVNPEDVPTIAICGSGGGLRALVAGTGSYMAAAEDGLF DCITYTSGVSGSCWLQSLYFSSLSGNDFQRLVNHLKARLGIHIAYPPTAFSALLSAPT NKLLLTAVVEKLKGDPEADFGLVDAYGLLLAARLFIPKGELGINAQDFKLSHQQEYIR YGQNPMPIYTAVRHEIPELDEAGNEQSHASEAAKAEAKKEAWFQWFEMTPFELFCEEF AAGIPTWAMGRSFQDGVNLPTTEGFYLPEIRLPVLLGIWGSAFCATLSHYYREIRPLI QSLGGFQAVDNLIWGHNENLSKVHPIDPATIPNFIHGMNGKLPPTVPRLAYDSDYIQL MDSGMSNNLPIYPLLRPGRNVDIIVAFDASADIKTDNWLSVVEGYARQRGIKGWPIGA GWPKASASASTTARELKEAEEATEAEADNKLAQAKADQATRHANDPKVKPEGDSDQSL MSQQEKYDQTAHELGYCTVWVGTTQERRTDDPPPPPVDDTSSWKLMEPDAGIAVVYMP FLANEKVSSSVDPASSDYMSTWNFVYTPEQVDQVVALARANYDEGKEKIRATVRAVYE RKKNSRLEREKRMAEERQRRKVRLGIDGKLGEGDHFS NEUTE1DRAFT_14194 LSDNRNIVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGES GLGKSTLVNTLFNTSLYPPKERKGPSLDIVPKTVTIQSISADIEEAGVRLRLTVVDTP GFGDFVNNDESWRPIVDNIEQRFDAYLDAENKVNRMNIVDNRIHACVFFIQPTGHSLK PLDIEVMKRLHTKVNLIPVIAKSDTLTDDEIAAFKARILADIKYHKVQIFEGPRYELD DEETIAENNEIMSKVPFAVVGATNEITNADGRKVRGRRYPWGVIEVDNEEHCDFVKLR QMLIRTHMEELKEHTNNVLYENYRTDKLIAMGVSQDPSVFKEVNPAVKQEEERALHEQ KLAKMEAEMKMVFQQKVAEKESKLKQSEEELYARHREMKEQLERQRLELEEKKSRIES GRPIEKEPTKRKGFSLR NEUTE1DRAFT_99305 MKIRRLFKYHSLKKKPIRPTWNKYNLYNLATAGREPRISGKTFF QQKWLAKSLTRAYHGEHIKERKWARMFSRRLPAVVNMDPAYMAKYNGSEQAAGRGSGL SEPPAYEKTADEKPAKQVIANPGRKVPTPYEQMTFAPLERRLDIAIFRALFASSARQA RQMVVHGAVTMKHPGYLLNPGDLFQVDVERVLYATGAPKDKKLLAAAMKAAKKELAEE AQEAAEENDAEAEEAEEGEAQEATSKSGKKEKQVDTSKFDEAWNRKYELLQLQRLLQY AKHIADSPSHQLSATRKQALRALKRDIKAAIKQAQRRDGSVSPTGNVVDDLTMLLERL AVSPSKAIQQEEAAKELSKKLDKQSRQVLKKVIEYNDEHEDNEIDPSKPYMTPWRPRN YMSAFAFIPRYLEVNQNICAAVYLRHPVARPGESEVPSPFSPTINQLAFNWYLRRG NEUTE1DRAFT_78891 MSDTTANTEAAPAVENVQTEVQTETVEAPVAEAAPAAEEAPKTE DASKEEEKKPEATKSIDRADYKNNRKYDPSTQPVTDDPVKIRNQVEFYFSDSNLPTDK FMWETTGGVENKPVSLKTICSFKRMQMFQPYSAVVAALKESAFLDVSGEEGQETVKRK KAYTSSSDAQKARLAASVYVKGFGEETGSTQFDIEAFFTKFGQVNLVKLRRNEENKFK GSVFVEFKTEDEANAFVALNPAPKWQDQELVIKKKKDYLDEKTQLIKEGKMEPGTSKK KIFFEGSIKGAERGRGRGGRSGGDKGDWKSRRDNDQRNGFKGGRGRGRGGRGGRGGRG GRDNRDRNNDNNNKRAREDDGDKSAEPAAKKVDTKTEAVEQQ NEUTE1DRAFT_78894 MNSSDVQMMSSQDAPGSAGLAPDKIASSLPSKKKSRRGADPTNQ KRRCVSTACIACRRRKSKCDGALPSCAACASVYGTECIYDPNSDHRRKGVYREKNDSM KAQNATLQILIEAILNASEEDVLDIVRRIRTCDDLDEVAESILRDEKNATATNYNDDS DDPTQAGRDDATSQAVEGERDLARKMGELRIENGSVRFIGGTSHLIYLSEPTDASEEP ELETRLSTCDEDPITTWTEVTKNPQLIIHLVNMYFNWHYPYFTTLSRSLFYRDFIKGK PAGQPRSTVYCSSLLVNAMLALGCHFTSVDGAFAVPGDSRTKGDHFFAEAKRLIVQND EYEKPRLTTVQALALMSVREAGCGREAKGWVYSGMSFRMAQDIGLNLDIGSLDEKEVD ARRITFWGCFVFDKCWSNYLGRLPQLPKNTYNVPKYDVFPDEDAELWSPYTDAGFDQS CKQPSRTRAIGLQLSKLCEISSDLLLFFYHPSHIGRSSGKSAELKKLSELHRRLEDWR TELPKEFEPKDGQLPNVILMHMFYHLQYIHLFRPFLKYTKEASPLEKVQPRRICTTNA NSISKLMRLYKKLYNLRQICNIAVYMLHSACTIHMLNLPEKTARRDITHGVRQLEEMA EDWPCARRTLGIISVLARKWNVELPEEAAIVLKRTDEKYGMFSTSEVPSPNRTAPSLA PSSPAPPYSPEAPLLFSTTAAAGLEQQPYSPMTLYSHPTPPHLAPESISDPGMSPNMV TFSDLPGPSAPIIPQQQQNMQAISSLSQNNMLHQHPHHLQNQHQPQQPHHNHMTYQQQ QHNLLTHPVSASSMSMSDTLATITAWGIPTSSPGNNNNNNIVSQHPHHQKQPQQQQQP QPQRYPTVGSIGTNTVKPPAAATQTFTPAQLHANNLATATRSTASNHNSSKSVGRHVS PSSIYAIDGQDWYLKDGVTWQQGFQGWDLEGGGAGTATSTGGIGDGGGPTDGAGDSMA RLAPRRNIAGGGGGGSTGQQRQQQQQQQQEANMFAYHHGAERRGDGIETTGMGMTTVA GGGGGFDPIGGSGLLDDLVGLEGLGSLDGLGHLPGLD NEUTE1DRAFT_78895 MSTSSDPSEGSIRPESTQPSHCSDNEIHFGPFKVTNQVFLRTPH SFALVNLKPLLPGHVLVCPLVPHKRLTDLSPAEVTDLFSTVQVVQRMLGRYYFHPVML ETGGSFNIAVQDGPEAGQTVSHVHVHVIPRIRNVSAKDTETPSDALYEWMAEEKGNIG GALWDRDHGHGHGHVAELQARPKPGGSFPSIEDAARTAREMEEMEREAGEYRKVLEEM GILSV NEUTE1DRAFT_78898 MDFQGMDSDTTTRLRDTLKTNGLTDNDTEATGLLGPDGGHDARK DSWAAMKDFEGLPWWRKPAVWWLVAPYAMFTLAFGGSLVPKINLIITLICDRYFADRS AADPSFVFTPVIHGGNNPQCNIPDVQRLVASFTLCMSVLVGIISAFTAPKLGALSDRY GRTSMVAICSVGGVISEITVILAGKYPDTVHYSWILLGAFFDGLAGSFTAGSVLIHSY ASDCTPPSKRGVAIGYLHACLFTGLAFGPLLAGYFVKWTGSLLSIFYVTLGCHIVFIL FIYFVTPESLSRRRQLLAREKYAREQEALGEVPRWAAVVSKFIPFGKHFGHGVRSVHR ANPFAPLKILFPSGPQHKRLRRNLVTLALIDMSILGAAMSSGTILILYTEFVFGWGNL EASRFMSLVSMVRIFVLIGIFPVINYIFRTRPAARRRRESGNLLVDEKNVGADELDVW VLRSALISDVIGIGGYIFARTQEWFILSAVIAAFGGLGSASIQASISKHVPTERVGQL LGAVGLLHALARVFFPIIFNGLYAATVSTYPQAFFVLLCSIFAVALVASFTVRPHAED DEPRPSSAGSGSTRIRQRQDLLEDEEVIPGL NEUTE1DRAFT_116399 MASMTLRLPTAQLCKAARSSSFRPSFRCAQQQMRWLATPTTHPV TQKNVGTAMVFLNMGGPSTLDEVGDFLSRLFADADLIPLGRLQNYLGPLISKRRTPKI QKQYGAIGGGSPIRKWSEYQCEEMCKILDQISPETAPHKPYVAFRYANPLTEEMYKKL LADGFGNGKGGRAVAFTQYPQYSCSTTGSSLNELWKWRQRLEGKAGPSEDGKDGTIRW SVIDRWPIHPGLVEAFARNIEEKLAEYPEERRKDVVLLFSAHSLPMSVVNRGDPYPQE IGATVQAVMQRLNFSNPYRLCYQSQVGPQPWLGPQTQTSVEEYIHKGQKDLVLIPIAF TSDHIETLYELDQEVIGESGHPDTVKRVESLNGNPVFIKALADLAKEHLDSGLACSHQ NSLRCPGCKSERCAASKKFFAGQEIIPGH NEUTE1DRAFT_60225 MSWRKDEDERLDGDEGDEELDENDYKTQKDAVLFAIDVSKSMLK PPQTTGDKKADKDSALTAALTCAYQIMQQRIISQPRDMMGVLLFGTEKSKFRDDSGSG TGYPHCYLLSDLDIPGAEDVKKLKALVEDGEDEDEIMVPSKEPVIMSNMLFCANQVFT TNAANFGSRRLFIVTDNDDPHAGDKQAKSSAAVRAKDLYDLGVVIELFPISREDKKFD LSKFYDDIIYRDPAAEAGQSEGPKTSKSGDGLTLLNSLISNINSKQTPKRSYFSNLPF ELAPGLTISIKGYMPLTRQTPTRSCYVYEGEEQAQVVQSETAQVDFAARTVEKSELRK GYKFGGEHICFKPEELAELKQMGKKTLRIIGFKKRSKIPSWASVKKSIFIFPSEEQYV GSTRVFSALWQKLLKDDKVGIAWFVARENAHPVMVAIFPSGNPDDEEANTPYLPAGLW LYPLPFADDVRSVDHVTAPPRPADELTDQMRQVIQNLQLPKAMYDPRRYPNPSLQWHY KILQAKALDEETPDAIDDLTLPKYRQIDKRVGGYLAEWKEMLAKKANDLQNTRAFKRE FEEDDERPAKRAKPSKKAASGGGGPANSNADLKKAFEQGTLGKMTVAELKDIMASKGI STAGRKAELAERLEQWVEENL NEUTE1DRAFT_19452 MEALLGASNSNPSCGSILELREQLRSVFSTKVTEKRAEHVSVTL ELRSTSVFHIPVTEPENEQLEQAASIDPSLGGAQSSVAPVSGEGGANGNVNGNQPTRQ INAIDALINQPSDDHVLQKSVAKHIITTLGEIDGSSWAVRQVSRGEQGWTFGYVCKDS WQAWSRQNAKNPARAVIGEWSQKPDSMDAVNLIGQLIELLVPPPAPREPKHALQRREP KKPKEPKPPRPPKPPKQPKPPKDPNAPKPPRKKRPAGEGGAGDGTQPKKRRKKKDAAA VGPDGSILPPEMRGGDTQNGYSTYPEGLVGQAPAAADEGVHVHSILNIPPAEAARRRT VAIDLLESGGIDPKTLSPEQFNIFANQAPELQQESLDMLKKYGAERLRIVHPDKEQGD GAQAAPATQAATAPSTAPINLSKKKSKKKKSGVVDSEEGVAASGGKKKKKKNKEKLTR GKCDPCRDRKIPCTKEKPSCSQCTTSGLQCHYALERKVKDISPESEAEPEAQSEPVVV EADEEPEGLGSPGFHNEPAHHEPASHEPFNQEQDNDSIDNNMPHGLPTSGLVSPQPES STTYHQPSDLYQQFNSSVDASATPEVAHTGVTPAAMDYMHSSVTDNSLHNFSYTQPQS EPEPAPAPVPEPEPIPEPVPVVEQPPPVQHTSNYAQQSSSTQAGGRTRRSLPSGPSLQ SGAMNGHTTHAPTESWTPVPVPTIPAAYQNKPSPRASRARKPAPAAPQTSMQNTTQHR TQNTVQQPVSQAFDDLRQASSWSSVPQPTIPVQKTSQQQARTSPYQAAAQTVRTSSRQ GNRSQNHTPVQQQTSAPGRHSQLQASQSHTDNSNYQSNTGVAASTSVGNYDSYSQYPT SSSRTEATNTRMNYEPYTNPSSTTSNSYSSYDTYNTRSSTKTPVASLSAAQPTASSYN TNTATAAPSTSQWGSSTSVPQTRSSARSTYDTNPTTSASNQYNMTPSNPPQSSSLQGF NVRPHSMAQTRSSTAAYAQQQQQHHQQQSQAQQQQRQQVQQQPSYNSYTSQSHTTNTQ QQQHQQQQQTQNWGYGSFGSTTNASSTGYGSTGASSNANNSYSTGTSASSHAHNAAPA ATNAAAYSHQQPHHRSMNLSSNTYSSHIGNEDQVLYDLLRHPGA NEUTE1DRAFT_108671 MAVVRLLGASWRSWRRLSSTSSVDLDKPASTLGWDGPILVTYLS ASAARLCGCVWKVGGFHHGRPHRTTEDHNVQFSSDSQTGVLAKAVRLSANVVYLCYVL CANGSAASTILRLNTPFRLVQVRIYVVWFVGLVTNSISLFDNPFMPADRIPGEPRAAQ GVSCETQTDGKQTGRCWHI NEUTE1DRAFT_128591 MPSLTSPVEDRVIHDEHDCMTDDDSDFDFDEEYFARTYQPLSNL PTPPPSSRNSSVPQSPQSLVENGGLLDSELLGPAIHLVNLIPPAASLALPSVSLVHEL LTRTGLKLDEIALAVCVLDSISSKFALNWRLRCPPSRREGSTTSNEQQQQSKRYTLPA AASQLHIDCVKPEVIVLGALIIAVKFLEDSHAPTQYYRSAWGNNLWTCEQINITERCI MEDLNYRILPLWDERLIGDALKDMERAGRQALLPLPPAAAAAAQSQYQFQSLKEQHKR CVSSGAAVYRPGLQLTPAGTPLSEYNSPPPFSMDMQ NEUTE1DRAFT_128592 MAATVYRPATAPIADAPCEGLVCLELEDGSAYQGYSFGAPKSIA GELVFQTGMVGYPESVTDPSYRGQILVITFPLVGNYGVPSRETVDDLLKDLPAHFESN QIHIAGLVTASYAGEDFSHFLATSSLGTWLKEQGIPAMYGVDTRALTKRIREKGSMLG RMLLQKEDLAVSAPSLGVPGDWKPHFETIEWVNPNEKNLVAEVSIKEPKLYKPDEATA LKHPSGRNLRILCLDVGMKYNQLRCFIKRGVEVLVCPWDYDFSKEEYDGLFISNGPGD PKVMDTTVEHISAALQKNNTPIFGICLGHQLLARAAGAKTVKLKFGNRGHNIPCTSMV TGKCHITSQNHGYAVDATTLPTGWQELFINANDGSNEGIMHVDRPHFSVQFHPESTPG PRDTEFLFDVFIQTVAKCTTDNTLLQKGVEFPGGTTEENERLHPRVDVKKVLVLGSGG LSIGQAGEFDYSGSQAIKALKEEGIYTVLINPNIATIQTSKGLADKVYFLPVNAEFVR KVIKYEQPDAIYCTFGGQTALSVGIQLKDEFEALGVKVLGTPIDTIITTEDRELFARS MESIGEKCAKSASASSVEEALNAVKDIGYPVIVRAAYALGGLGSGFANNEAELVDLCN KALAASPQVLVERSMKGWKEIEYEVVRDAQDNCITVCNMENFDPLGIHTGDSIVVAPS QTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPFSREYCIIEVNARLSRSSALAS KATGYPLAFIAAKLGLGIPLKEIKNTVTKVTCACFEPSLDYVVVKMPRWDLKKFTRVS TQLGSSMKSVGEVMSIGRTFEEAIQKAIRAIDFHNLGFSESKTALMSVDDELQTPSDQ RLFAIANAMHAGYTVNRIWELTKIDKWFLSKLKGLSNFAKDMTKLTANDVVGRPDLLL RAKQLGFCDRQLANFWDSNELAIRRMRLEAGITPFVKQIDTVAAEFPAFTNYLYLTYN ASEHDVPFEDRGVMVLGSGVYRIGSSVEFDWCSVRAIRTLRESGFKTIMVNYNPETVS TDYDEADKLYFENINLETVLDVYQMEDATGVLGAMGGQTPNNIALPLLRAGVRVLGTS PEMIDTAENRYKFSRMLDRIGVDQPTWKELTSFEEAKAFCQKVSYPVLVRPSYVLSGA AMNTVYSEADLESYLQQAADVSPEHPVVITKYIENAKEIEMDAVAKDGKVVGHFISEH VENAGVHSGDATLILPPQDLEQATIQRIEEATRKIGAALNVTGPFNIQFIAKDNDIKV IECNVRASRSFPFVSKVMGVDLIEMATKAIMGLPFVEYPAIDRPSGGVGVKVPQFSFS RLSGADPVLGVEMASTGEVASFGVDKYEAYLKALMSTGFKVPKKNILLSIGSYKDKKE MLPSVAKLSQMGYKLFATAGTADFLQEHDIPVQFLEVLAKEDDQKSEYSLTQHLANNM IDLYINLPSNNRYRRPANYISKGYQTRRMAVDYQIPLVTNVKNAKILVEAIARYRDME IGERDYQTSHTPLQLSGQVNFTLQDSLSRPHSFKKAHVLSVEQYTRADLHLLFTVAEE MRLSVQRGNVMDILKGRMLATLFYEPSTRTSASFEAAMKRLGGEVISVATQHSSVQKG ETLQDTLRTLACYADAIVLRHPDETCVDVAKKYSPVPVVNAGNGSREHPTQAFLDLFT VREELGTVQGLTFTFVGDLRYGRPVHSLVYLLRHYSGVKVQLVAPKGLELPTDVRQQL VKAGQLLCESETLTPEILGKTDVLYCTRVQKERFPSEAEYEKVKGSYRIDNQTLKHAK SKMAIMHPLPRNEEVAEEVDFDQRAAYFRQMRYGLYCRMALLALVMSG NEUTE1DRAFT_78911 MPKDLQECGIPNIMFRSKYGEFVLRVLAGAIVSLYAPPPGKSAR ENGPSGSEWVLFSPGKDRCLLGIVSSLQV NEUTE1DRAFT_145207 MLSTLIRPFKGAARNPDDGDLLERNSEPLLRRPASVRSYTQHRH ATADFTEADDDDDESDDARQPRQNASNRGTEDEDGLNQAIGVLPLFYAGHLDSLPIYS MTHAIRIIVQARTDTTLTWEQLRSPQVFQFLVKPMQQQIRSQHFSRGTLYALMANCLQ FEKESQVYPANTGTSSTRAKVCELLAIKLLKEYSTRELVDALSYDFYPLQGVTGLHMP TNSNKREKPAAMRTSTLEVAIRASAKHFLSHPLVVQQLEAIWNGAISFYSAADQLHRR TSIATDLSSAQSRRQSTVRTPLLGSQQGKEDRLRGQPLPLGRRSVTLYDPRQASVFKL SRLRVPRYRQFLSTCSLGVLLCLFMAVLNERSSTITTLELIFWFWSAGFMLDEIIGFN EHGFSLYIMSFWNIFDLGILLLLIIYYCMRIYGVFLLDPHKLNDNAYDVLAAIAILLL PRIFSILDHYQYFSQLLIAFRLMAVDLVAVFVLVIVVCCGFSVFFISKSTNDPSVVAY SMFQILVGYSPAAWEIWPDHNWLGKMLLGLFLIICHFVIVTLLVTVLTNSFMAIALNA KQEHQFLFAINTISMVKNDSLFSYVAPVNILAWALTPLRFLMPMKQFVWLNRTVIKAT HFPLLLIIFIYERFILAPSMYEPTDLVENPGRSRHRAVSIVDPASRTALFSPSLRIRE ESLVGFQKDRALEEVFRRAPDSATIRTQRRTERRKTQNAIRTWMDQQDGTFTSPHDYG AVDNRPAQDWQRRMSMVREQPKRFSGHDPDTRSTASDPADMVSNATFPAVPEYLNNGT VRRDFANEAKENTDAENGDDELVTNDEYEEDNATHDTEQVRNYMDEGQSTETGEDYFT TWVPSRFNPGLTSAQRTPVSPRPGTWRRVPMHNRTMSNNTMIFAPAQDRPLESSSSAS MDPSLPSQSRPHSTRHGIPEGSVASPTAGLRSPRRSLYLASSRPQSMVMSPRAMVRIA PNNRSALALEIPDSASGTHDSPSSRRQSSVELPNSADLDPSALGAGSQAGNGVMSSTT TLAAAMLEKRSQQEQDSNRMNKLMLAKMNLQASLGEVVREMQVLRSTAPSTAGNSADE GTSSGSYLAKKAIEQQHQQQYSTPSRRGSGEERRSSRLSSSAGSAGSTNRDMANRGLR RSFRRLETATRMPTLSGHETIDRRGNSREEHEEDDSDYSKSEVNNKIFEPRHNRRSKG KGKVAIGAAIAAAAASSNLDEREDDDRRRHQVGGGSSLDERHHRMDDLIRSGDFALKE GVN NEUTE1DRAFT_94302 MFPSPASYVALSSGGVSPGSSSSSSPRLFVRHPRLAIFTLALVG VGAVFRHVSTTIRNNELAQKSSPGSRFFVSVDRSGGGI NEUTE1DRAFT_128594 MQWSSLPPDLDPPASPSPLDLSVENAIKHHFDELNLLMSTTAEE GEGNVLAAVTFPKNSDAIACNGNAWRTLWLRMSFPTLAGLNSSKINAMFKPKRQERMR RHLGMETLPPGIDYVLDFTPPTEGSELADLTAALWLPKMVKLWFLAGHYCPEEILESG HLASIWDKRPLASRSVSAMLVLGHDDACRGLLQFCSMDRSEWKPKVVPGIVDVDPEDE EHSSYFPTFRRIPDYCGIRHRVAIMRVLHAINGNGLLLNSAARMWTVAQVAIHLEVPQ VVVDPVTQWLIAPPNTKFVEICPERSFQLALALKIPSVLVAAFRILVSELAVDYAAPD PSPKRSALTWAQRRRDDYGDLPSDPVEYASRTFSERIIGLLEMLRSNTVFDRIGTGLE EWDLLKQQGMIIHEQGSNELKTAHENLIQALVGIFHNDIQRCLREHAPSGRLATLIAA QRSHYIPLHERYSLRDLYENLSHTQRALTPFFWESLYHQSLPKLSGNGPFSLSTYNGC TIRTYVDKYTSLWEAANLPHPDFQPNPEKGEYHSHHISKRLNEFNIHQFESEVYAALS SLCDRVLGKGYNEEPFQFFLSDHLLLPSLTSPEMDFLPIWAGGLDDGSGGVFQNAIPP AEMGPSEPGPAYHTGYTIPTTGTRTAGTGTRDGDGDDTTMMDDDDRIGGSIYGVSELG DNLDRFEIISARTAQTATETATVPSMDVEQSVVTTTTTTSSTGLGSGLGRLNLDPGGP NRHRVVAVPSETSSGDFHLNSREDGEYADAMYTQPAEHQAVGRALARYVDGNDGTTTG RSESGHASSSSKQGGMIAAGGRGAGGSNNNNGGGYSDTDNFMLEDDEDGGLKDLDGSD DGSLTVGADSDYDMV NEUTE1DRAFT_116406 MTIETDSAEVRNAQRREKFHEADVVVVGAGVFGCAAAYALANQG RSVILLERWMHEPDRIVGELLQPGGLQALQKLGLGHCVEGIDAITCYGYHVVFHGEEV AIPYPVVDDQGKVYSGEVAARVESTTTTTTSNGTAGKANNGPKLRRPEGRSFHHGRFI SQLRKACAGHPNITIFETTVTSVIRGSHSNQVLGVETLTTIDRNTGEKQPDFFFGQLT LIADGYDSKFRKQLLPSGPDTTPVVRSKFYALELIDCPFPPSGYGHVVIGKTSPILLY QIGTHETRALIDVPLDLPAASAANGGVRGYIENTVVPTLPSSVRPSVLAALKDGKIPR SMPNSYLPASGKANTARTPGVLLLGDAYNMRHPLTGGGMTVAFSDVVLLASLLHPDRV PNLADSTAIETAMKEFHWRRKAWTSIINTLAQALYSLFAANDWQLDALRKGCFRYFQM GGAKTDEPVALMGGLLQQPVVLARHFFTVAFVAIWLHMCERVGSVWGLWRAPLVLVEA VLILWKACVVFLPTIWKEAV NEUTE1DRAFT_60244 MSSPLPVPSKAALTALRGLVVGTSCTLALVAEDRRRRIRNALTA IENGERIRQAKNYNTGGAALAVALEEESLLDAGVSPLAPGPYAALPTEIIRQYDSART AELERLQRGEDASESNTTQGEHDSGSHPCGHSRRETPRSFTPKKRTLTGQHHFIPPVE GTPITQTRHIHHRFSRKADNEGGGRSSLAFPSNDQIVAMVQEACRTRELGQMEKAASL IFEATNQNQAPDNLNETWMEASAVLCRTYLDMERAEDAAKILSTVLARAPLTEARYFD FQPVRLIDSLLAKATQAKTGENICPAKLETAIGLFLPRFTEKPVVQSAELLAVGRALL DHAFAVGKSTRAIDVYWRCISVSESRYDFTSWVITKLHDSGDYKAAIRLFLANYCKMS PSAPSIIRIAGPVVQCVEKAHNYKPAQVLKTLLNIASGRCQLKTEWVMRLLTAHWRRH GNFDELETFFQQLLESGLKDVVYHLDGVYRVMTELALEAGEDLKAESIFAQASTELPQ LAHDVRLLGIFARFKAKQQDWEGVRESFVAMKLDSKPALDACGTAFVPVVKTYAANHT VQETDAFMRSYITELKVPLSRYLVTLMAKQYASIRDLESFVEWLEYCSTAGFQVDAAF TNAVLVSCRRNWNVPFRDLRTLFRKLRELNPNFVDRHTERVMMDAALSSDNKLEGGFA RGRVLSLRINPNLGPIRGKSDTEHEVTLAMKEALSYRHPAKALSIYKRALHLGMPFSE CALKLAVNAQLKLENRPYKKTYDLVLKAQDQGNNVDAILNYLLGLQLADLAAKGGEIR SIVQTAIDRIKEVGLKIADTFLHRAALICLSSGHFEGAHHYALMAAESNNHAEPCYNL DNFRIMLYSLSGLLNIDGIRHVLAKAMSSNYKEHTGCLKALKRAREMVMEARLNDAKP QQRHDAYDILSEGIDTIIESRQRLQSQRVRLGTESVRIMRQAALDAGGAPVDFAEIPW LNSSKPQIRQDDDAELDLEEEFRRQQQEERPEEKEGRTVFDEFLVLDSAFSTTGGKMA GTGAGLARPVPAA NEUTE1DRAFT_136203 MVLGFEAASTHPLHPTASTSTSTSTIAPSSSPSSLSPTAVADHG LTHQQGFGTITEKDSPRNMTPDDGDFERRPPLLQCMLAGGLGGTSGDMLMHSLDTVKT RQQGDPHIPPRYTSLGSSYYTIFRQEGIRRGLYGGWLPALLGSFPGTVLFFGTYEYSK RHMLDYGVQPHVAYLLSGFLGDFAASVVYVPSEVLKTRLQLQGRYNNPYFASGYNYKG MTDAARTIVRREGFSALFHGYKATLYRDMPFSALQFMFYEQAQSWAHKWVGSRDIGWQ LELLTGAAAGGLAGSITCPLDVVKTRLQTQVVPDPTASAIGTSSATTQASTKDGTIKP TGTTESSTQKLQKRLISTSSPSTHTPRPGAVTLDTSSVFTGLRIIYQTEGIAGWFRGV GPRAVWTSIQSGCMLFLYQAILRQLETRMPGEQERTEVA NEUTE1DRAFT_40829 MAARWGAGMRNGFLGQFSHYLIRYATWIPPLVVFNGWVAEITQI KGPSINPLNPKGKVVKRVVGIAGDVIRTKAPYPHEYVQVPEGHIWVEGDGDKTKDSNY YGPISACLVTGRVTHILSPWDRFGRVKWWEHNLRPGIKKARHLLE NEUTE1DRAFT_116408 MSLSNCRFYEEKYPEIDSFVMVNVKQIADMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSPEDIVRCEERY NKSKIVHSIMRHVAEKTLVPIEQLYETIGWPLNKKYGHSLDAFKLSITNPDVWNDIQF PTEAVAEELKTYISKRLTPQPTKVRADVEVTCFGYEGIDAIKTALRTAEARNTEETQV KCRLVSPPLYVLTNTCLDKNAGIARLQEAIQDMRTSIEAAGGHLVVKMEPKAVTESDD AELQALMEKRERENAEVSGDESVSESDDNIPETV NEUTE1DRAFT_60254 MESLSNLGNAMSSVLSETTSTTATAILADPTEALSSVVALASDA VSKASDVVPEHTPTSWFTIILWLLHRISSVLYFVIKLTTITTPTFLFNIFSTSLTVTM NATTLAIKIFGYLERPVFHELTRSMQTRKLIAGETLNLEEEQGFCLVVDGMVEIFVKS ARDGRRSSSGPSFESDDEEGFAPGRQRYQLLTEVRNGAPMSSLFSIMSLFTEDIQMHD TDDDDSPDPTPSAPGTAMPGYPMNANFQQSNDTLPSIPGTPLSDNHIMQRGMASAESL TMSPLAHDSSRIPTLSLVNTRPAVPRRPVPKRLNTTSAHPDIIARATVDTTIAIIPAS AFRRLIKIYPKATAHIVHVILSRFQRVTLATAYNYLGLSGEVLQMERNMLKYTVRQLP NHLRGDALDRLKEKFKRERERIGEKEVEKGIALHNAHAARRRRSAASLRKEVALQAYS KRRQSIVTATPAFGTRRERPSPDMPSPGDLLTNTQQLKSGPASQLDLARDATSPRPQR NLSPFSTPRNAHVSLDTREALDEDDMFRESVLECMFRAIGLTGNGSVNPDSTQASPRF VPTDQRRSRGGPGHTAFGFMDAFDPFDNDTESVTSCGFSSSSPVPNPQLLAHDMKDEV EIVFFPKGSVLVEQGERNPGLYYVIDGFLDICVPGDDSSHDLLQSSAKVDHGHDSATG ADAFGANSSRFPEPNMNNNFGAEQKKGKQGRRSVALIKPGGLAGYVGTISSYRSFIDV VARTDVCVGFLPLASIERIVDRYPIVMLTMAKRLTELLPRLLLHIDFALEWVQVNSGQ VLFREGDESEAIYLVLNGRLRLVEDQKEGGMNVKAEFGQGESIGELEVLTESARTGTL HAIRETELVKFPRTLFNSLAQEHPNITIKISKIIAARMRAFIDDPSRMGLKDNVVRSY KSSSTLNLRTVAILPVTAGVPVVEFGNRLMNALSQVGPPNGATCLNQAAILNHLGKLA FNRMGKLKLSQYLADLEEKYGLVVYVADTNVNTPWTQTCITQADCLLFVGLADSSPEI GEYERFMLGMKSTARKILVLVHQERYSNPGLTRQWLKNRMWINGGHFHVQMAYTSNTV PIHPPTKRFGPSLKQRVQILQAEIQKYTSRKVRHVPFYSPDAPFKGDFHRLARRLCGK SVGLVLGGGGARGIAHVGIIRAMEEAGIPIDMVGGTSIGAFIGGLYARHADVVPIFGL AKKFAGRMASMWRFAFDLTYPSASYTTGHEFNRGIFKTFGKTQIEDFWLEYYCNTTNI SKSRVEFHTSGYAWRYIRASMSLAGLLPPLCDEGSMLLDGGYIDNLTVSRMKSLGSDV IFAVDVGSLDDNTPQAFGDSLSGVWAFFNRWNPFSSVPNPPTLAEIQARLAYVSSVGE LERAKTMPGCIYMRPPIDDYGTLDFGKFDEIYGVGYKYGQEFLQKLREQGVLPLVEET EAKKALRRTMAPRRASI NEUTE1DRAFT_128600 MDSQGFREAAATAIDDIASYYDNLDDRNVVSTVEPGYLRKLLPS EAPVEGEAWTDIHKDIEGKILPGITHWQHPGFHAFFPCATSFPSILGELYSAALSGAC FNWICSPAVTELETIVLDWLAKILGLPECYLSTGPTRGGGVIQGSASEAVLTAMVAAR DKYLRETVPLEQFPEGSEAREDAIAHKRSKMVALATTATHSSTKKASIILGVRFHTIA VHADTGYSLTGPVLAKTLAELRARGLEPFFMTATMGTTDTCAVDDFAGIVSTLKSDPV HPAGTPGELWVHIDAAYAGSALVLPSVREQVSISLIENFHSFDMNMHKWLLTNFDASC LFVRDRNWFIQALTINQAVYGNKASEGGLVTDYREWQIPLGRRFRSLKIWFVLRNYGV KGLQSYISRTLKLGEEFADSLKSRPDLFEILTGPNFALTVFRVAGKEQGKSDEELNAL TKAVCEKINASGRMWVTSTVLDGRFAIRMVTSVSTTEKEHVDRAWKILVEAAEEVVKA NEUTE1DRAFT_38004 MRFSFLYFVLAVPAMGILVPPNHENLSPDITENQGGNTSDGKTT CPRGTNTYCCDSLDYSGFQVECIGRNELIFQSRTETSELTIIDFPGPGKVDYLEECLD YESRPMCCCVYELIPDMPQIPREISCDADCHALKEPKANDKVTGDL NEUTE1DRAFT_60260 MADPLSFYLEDDKTQPGTRSNFNVDYVIHYKLPTTSEKEEAEAA FIQLINALSAVGFATEVRPGGKSSLLVFTKIASEKLLTSQVYRYRIQDWLFGVRTSAP NQDLSKYLEEEPVSEAERLRLTYLLITKSKNEGGAGITAKVGKWKYVDSIFPLHDHDF NRRWIKAWSSKYFLDEEDLNRIRDKFGERVAFYFAFLQSYFAFLLFPAAFGFAAWLIL GKFSWFYAVVNALWSVVFFEHWKTKEVDLAVRWGVRGVSRIQHPRPQFQFEREAQDPV TGEIVRVYSPFKRLARQLLQIPFALACVVVLGGLIVSCFSIEVFITEIYTGPFKQYLT FLPTVLLTIFMPTFSGLLTNLAEKLTKAENYETHDAHQASFIEKIFVLNFITSYLPIF LTAFVYVPFGKLLVPYLDVFKVTAQSFTTMEKPVTKSWEINPDRLTTQVIYFTVTAQI VNFLTEVIVPYAKRKVFKKVQEVQSEFTGNGHELQIKDHPDEADFLKRVRNEAELDAY DVTVDYREMVVQFGYLSLFSVIWPLAACSFLVNNWVEARSDAMKIAIGSQRPVPWRAD SIGPWLNSLGFLSWLGSITSAALVYLFNKHDINPDGTPWDISAWALLLSILFAEHIYF AVQLVVRSLVQKLDNPGLQKERAERYALRKKLLEQTFGSHGYDADLTEEARGPGYVSS GERITREALEEEARVNATKTPEQLFWLRQRGAAETIEIGRGLMAEVAAANQTKS NEUTE1DRAFT_60264 MAPQTPLTGVEVAKHNNKDDCWVIVHGKAYDITEFLPEHPGGMK IILKYAGKDATEEFDPIHPPDTLEKYLAKDKHLGPVDMSTVVTEKAEVDPEEQARLKR IEEMPLLEQCYNLLDFEAVAKRVMKKNAWAYYSSAADDEITLRENHAAFHRIWFRPKV LVDVEKVDFSTTMLGTKVDIPFYVTATALGKLGHVEGEVLLTRAAKKHNVVQMIPTLA SCAFDEIMDAAEGDQVQWLQLYVNKDRAITERIVKHAEKRGCKALFITVDAPQLGRRE KDMRVKFTDDGSNVQKGHETNRNEGAARAISSFIDPALSWKDIPWFQSITKMPIILKG VQRVEDVIKAVEAGVQGVVLSNHGGRQLEFARSGIEVLAETMPVLRELGLEDKIEVYI DGGIRRATDILKALCLGAKGVGIGRPFLYAMSAYGFDGVDRAMQLLKDEMEMNMRLIG ATKIEDLNPGMLDLRSLYNHGAPPTDTLSNISYDALISPAQRVKFAEKSKI NEUTE1DRAFT_78944 MSSSLEAKIVVLGSQGVGKTSLVTRFCKGAFNPAQITSTVGASM MTKRVIDTDTDTVVRLQIWDTAGQERFRSISRLYYRGANACILCYSITDAASFAEMGM WLTELRRNLPQDIVLHVVGTKADMVARDPSKREVPFERCIAYVAENLNPGVGSTPPPT ATPLGMPGVGGSLNSNSGGGLGGTEPRSPSSKRSSGFWGQEVGWDACHEISAESGEGV EEVFRVVTRKLVEQNRRMQQALLAAAATPGGGGGGAGGNGLPGYFDLNPSATIRVGRD RRSWLFSPGILSTPGILLPGGGGDGGGVNVGGEQQMGDDGRRGGKGKCC NEUTE1DRAFT_60268 MAVATRLPFIPPEATSQIIGDQDLIDLSQEDVASENDDRGNASD VESEDGVKRWTVNPEPKPKKISAKKLADTAAFNSWIEEHQETLARDQRKAAIEAARSA GVDVLPAIGFDSERIITSPREYQVELFERAKQQNTIAVLDTGSGKTLIAAMLLRWVIN GELEDREKGLPRRIAFFLVDKVALVFQQHSFLTKNLDFPMEKLCGEMVEGVESKAFWK EALEQNEVVVCTAEILSTALHHSWIRMDQINLLIFDEAHHTKKDHPYARIIKNFYIDE QLERRPRILGLTASPVDAKVDPRRAAAELEALLHSQIATAADPAALQHTICKPKTELI VEYVRGRPDSETVLNKQLRKLVGGQELFKKPLNFTTSAASKLGTWCADRYWQLFFKQE DIVKLESRTERDLMKVAALDEITEKHVKQVREAHELVNAHTFSPAALDPTMLSSKVIM LVRILRDQFERGVGAQRCIIFVRQRNTAMLLADLLQQPEIKSHIPSIAAEVLVGGGTT GSSYVNAKINFQQQNRIIRKFKLGEINCLFATSVAEEGLDIPDCNIVIRFDLYDTLIQ CIQSRGRARRPDSRYIQMIEKGNYEHHSRILRAKGAEDVLRKFCEALPEDRKLTGNHM NLDYLLRKEKGKRQYTVPDTGAKLSYMQSLVCLANFTATLPHPPETSLSPEYYITTVP GGFQCEVVMPDASPIKSAVGKVHLSKGVAKCAAAFELCLALLKAGHLDNHLQSVFTKQ LPEMRNARLAVSSKKKTEYAMRLKPELWSVRGVVTQLSATAFVLENPDTLGRSSRPLL LLSRSALPEVASFPLFFGTKRFSRVRCVPIPGSVQADETLVEQLTCFTLKAFMDVFSK EYEATAVNLPYFLSPMDGGHGFDFRLAKSPAHLIDRKTLAYVSENEKVPYTFLEPDDF FQDKFVVDPYDGARKFFTHHRRHDMKPTDPVPDGIVAPNHRAWRGLGTTHDILNYSNS LWSKSRGFMIFQADQPVVEAALISTRRDFLDDTLRDEDVEPQQCFLILEPMRISPIPA DVVAMLLCFPSIIHRVESNLVALDACKLLGLDLRPDLALEAFTKDSDNSDEHDAEKEN YQTGMGDNYERLEFLGDSFLKMATTIAIYTLIPDKGEFEYHVERMLLICNKNLFNNAL EIGLEEYIRSMSFNRRQWYPEGLILKKGKSKDARQRHVLADKSIADVCEALIGAAYLT GQEKGSFDLAIKAVTAMVKDKKHRMISYGDYYAVYQKPNWQTDPANSAQRDMAKKFSE RLGYSFKHPRLLRAAFQHPTYPSLYERLPSYQRLEFLGDALFDMVAVDYLFRKFPAAD PQWLTEHKMAMVSNQFLCCLSFHLGFNKCIATMSPSILKDIAEYVAEIEEALEAAKEE AIKAGTTADEYSRDYWVHITHASRLPKCLSDVVEAYIGAIFVDSEYDYSVVQNFFNTH VLPFFEDMHLYDTFANKHPVTFVANMMAHKFRCNEWRSFAKELDTDVTEGRGGRGGNG AVAGEISEINPPKVVSALLVHGKTVVHAVAASGRYAKSAMAKKAIKLLEGMSVEEFRE RLGCNCKGVPMEVDGGVPEADVDGEVHGTAV NEUTE1DRAFT_60271 MALHPAQDGAKLIFAPAGASADPSVPGQAAQFLKAETRGGLRRS DLDPDSPITQFHKWFTDACQPETGISHPETCTLSTAQLPSGRVSSRMVYMKELDPKGF VIYSNFGTSGKARDLFGSEDGSSTGNPWASLVFWWEPLERQVRVEGRAERLTREESQA YFDTRVRGSRLGAWSSKQSAVLHPDPADPDDDGRRQLDKWVKESEQRFEGEEKIPVPE FWGGLRIVPERVEFWQGRQNRLHDRFVYDRVHQDGGEDKWTLERLSP NEUTE1DRAFT_121019 MAADPSTDGGLDIAAWRAQIEAMKAAVAGLPPSSSEAGGSTTNS DWDDDEIDSTYSPTRNGDGPRDIWDFISDDELDSIEFTDGGDYYHDHENADGVFQHGG FASGSGSGSGYDFGWLASRCADVRSAAGLGAGALQDQIMDILGSGKSEDELQSSLTDL VGFDDLDFVIDLLSHREELVSSVGRQLKQQQEQQQQQQSNGGDGGLRLLSKAQREAAL RQRDYEHKSTPLAAARAKEEEYPHVYRAYSAGNTLSHSGKRYALPPGSERKEFEKYEE YTIPAGKTGTLGPGRQLVKIADMDGLCRNTFKGYSTLNRMQSLVYPVAYKTSENMLIC APTGAGKTDAAMLTILHTVGQYLTPSPFEDHVATDFAVAAEDFKIVYVAPMKALAAEI TEKLGKRLAWLGIRCREFTGDMHLTKSEIVQTQIIVTTPEKWDVVTRKGTGDTELVQK VRLLIIDEVHMLHDERGAVLESLVARTARQVESTQSLIRIVGLSATLPNYVDVADFLN VNKYAGLFYFDASFRPVPLEQQFIGVKGKPNSKQSRENLDQVAFEKVRDMLECGHQVM VFVHSRKDTQATAKMLLEKATDEACTDLFDPSYHEKYEAAMRDVKQTKAREIRELIPK GLGIHHAGMARADRNLMERLFAEGVIKVLCCTATLAWGVNLPAAAVVIKGTQVYSAQD GKFVDLGILDVLQIFGRAGRPQFEDTGIGMICTTHDKLAHYLTAITDQLPIESKFSAK LVDNLNAEIALGTVTSIPEAVKWISYSYLFVRMKRNPMAYGIDWSEYDNDRSLVQRRR QLATQAARTLQQSQMIIFNETTEELRSKDIGRIASQFYIQHSSVQIFNSMMRPFSTEE DILKMIAMSGEFDNIQSRNEEANELMAMKHDERFVPYKVSTGIDQPHTKTNILLQAYI SRAQPQDFALTNDLNYVAQQAGRICRALFMIALNRRWGHQCLVLLTMAKSIEKRIWAF QHPFHQFDRDLPRPVLNKLDELEALNIESMREMEPAEIGSLVNNHRMGGKITKLLDNF PTLSVEAEIAPLNRDVLRIKLYVTPDFRWNDHLHGTSESYYIWVENSETSEIYHHEFF ILNRRKLHDDHELNFTIPLSDPMPNQIYVRAVSDRWLGAETVTAVSFQHLIRPDTESV YTDLLNLQPLPISALKNPGLEEIYAQRFQYFNPMQTQVFHTLYHTPANVLLGSPTGSG KTVACELAMWWAFRERPGSKVVYIAPMKALVRERVKDWGARLAKPLGLKLVELTGDNT PDTRTIQDADIIITTPEKWDGISRSWQTRGYVRKVSLVIIDEIHLLAGDRGPILEIIV SRMNYIASSTKNSVRLLGMSTACANATDLANWLGVKEGLFNFRHSVRPVPLELYIDGF PEVRGFCPLMQSMNRPTFLAILNHSPEKPVIVFVPSRRQTRLTAKDLINFCGMEDNPR RFLHMDEDDLQLNLSRVKDDALKEAISFGIGLHHAGLVESDRQIAEELFLNNKIQILV ATSTLAWGVNLPAHLVVVKGTQFYDAKIEAYKDMDLTDVLQMLGRAGRPQFDNSGVAR IFTQDAKKDFYKHFLHTGFPVESSLHTVLDNHLCAEVSAETIVTKQDALDYLTWTFFF RRLHKNPSYYGLEISAEEHSSTMAQQLANDYMIQMVDHSLSELAESGCVEVFPNGDVD PTPLGKIMSYYYLSHKTIRHLVRKARASATFLEALSWMSRASEYDELPVRHNEDLINA ELAKALPFPTSAFDGLPMWDPHVKAFLLLQAHMARVEPLPITDYVGDQTSVLDQAVRI IQASVDVMTELGYLSSMLQFVKLLQCVKQARWPEDPALSIFPGFDHAEADRCKSKMTL NQLSKSTNNSRGSNQQYSLLQKLARDELGLAPAQANRFAKAAQAVPDVHVSVENVKHG ELTVVLKRLNPITEREGRIYAPKFPKPQTEGWFVVVADVKRDEVVAVKRVGWSSGRKQ QQQQQQQEQNHQQNGKKTNGIEVGMRPSARAVMRLPPVEGGVKGRKLDVLVVSDGYIG MEYRVEGVDIPGPPAPEGDADLDKKGKGQGGKRGDQSQEGGEWGESSK NEUTE1DRAFT_78959 MSSNRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNIR TWFANVEQHATEGVNKILIGNKCDWEEKRAVSKEQGQALADELGIPFLEVSAKANINI EEAFFSLANDIKKRIIDTSGKEASGGSSGVNVSDNSGSGSGGKCC NEUTE1DRAFT_78961 MAIVADKVRHLDVLIDRIVGLEPGSTQDAEHDQQETGLLTAVSE PPSPRADELLRIARILSTASRSGTFLPPSRIKQLLEDSVLATEKELAQQGEVGVESQY ERDIEWLLVAKATVQTYGILMNTFFDQIMPLSDEIWYWDDVLSSYLKSCLYATQIAPA RAWSRAKEVYDVVCEQIRNIHLQQQSEVEAAQSGASLSEGMSQKWSQFYSIVRQSMDV TSVSNLRRKVLSPVDLCRAEARRKRAELQKLRETIAAALGILVDEGLAFDRKAEEDKG LESRLMVADSTLVDSIALMHAILTNSVETNEPLSVFEDSVFKVARDVRSAFSTTDSSK PKVSSVAERLIQILDHSLLQHKTAVAKHVSENGRPSRVVRYWLPTLALLVSSPTILYV LVKRRDSIIDWIQNLGITVRDFWFNWVIEPIRKVIGTIRHDTNSEIAIMSRDSLKADR ESLERMVVEFTLDNPSVAVGSPNVTDLQIADIRAKVREGDVTPILKAYEKGLRRPLVG AVSGDLVRSLLIQVQKTKVDLEVAISGIDSLLKSQELLFGFVGLAPGVVVSIGIVQYL RTVFGSRKGLRRGEKVRRIVRVLRNMDRILAAGATNPKGGLKYKDRGLLLCEAHVLRE LATEVLPGDIQREFLEDLEDLTSVKNVVLQEKALQRIRWAYADWLGKK NEUTE1DRAFT_116421 MASAVLNATVVGLSSTDTYFTVLEEVSKYNVQLSYPEKLWAAWY LWMQNDVLATGLMSFFMHEIVYFGRSLPWMIIDALPMFNKYKLQKEKRPTWREQFECA GLVLLSHCTVELPQIWLFHPIATYFGLDYGVPFPPLWKMALHICIFFVMEDAWHYWNH RALHYGPLYKAIHKIHHTYSAPFGLTAEYASPIEVMLLGIGTVGSPILWVSITKDLHL ATMYVWIVLRLFQAIDAHSGYDFPFSLRHFLPFWCGAEHHDVHHERFIGNYASSFRWW DYCLDTEAGEEAAKARRAKKLAAIKAKKAQ NEUTE1DRAFT_99338 MQIEVTLHNDAINKNSVDDKASYYGILADVLIPGRGEPLKNGAL VVKDSVIEWVGPSNDIPSEYSSIRFSRVPVLMPGMWDVHTHFEGVGVAMGIRDSMKPF LPGTATLIGAVIVDDMRRTLMAGFTSIRELGGYAGDVAPAIDMGAIVGPHVYAAISIL SITSGHGDIQDAPLRTVLDACANGSSSSFVCDGVDGCIKAVRQQIRRGAKVIKVCSTG GVLSLNDQPEDTQFSPEELRAIVQEAKRSSRVVAAHAHGKPGIMAALEAGVKSIEHGS YLDEEVAAKMKEKDAILVPTRHIVEGITAGNDDLDPRQRAKLERTVQLSRDSIKLANR MGVKIALGTDTFSSDRNHAVAHGKNAMELRYAIEAGMTPLQAIEMATATPPETLGPQA RKSGQLKAGYDADLIAISSNPLEDIEILIDPDNITHVWKGGVLFKSPR NEUTE1DRAFT_121024 MMPVGVPPQPEQQGAMPAPSTPVGVSQMGIVSPGDSAGDGRKSK RELSQSKRAAQNRAAQRAFRQRKEGYIKKLEQQVRDYSEMEMSFKALQNENFALRDYI LHLQNRLIDVQGDYPQPPPNISLATPHTQQLQQQQPPPPPSVPHGIAPDPVQAVQQVP PATSAPSASLEEAAQAVAGLSRSDHHMGGTSRDPYNTAASRTDEDARTAEVIQRQLQA DNNSGMPDGLPLQQAAI NEUTE1DRAFT_128614 MKGAKRPRPIDLPQGFGRHRGLHPGVNNEGIIVHGHDPWASFIP GPGFVSGSALRNATGRGRPTQNRNVCHFSFPGRWKPYLVRRLSRQVLTPDVVAAAIAV MPHRPSTSAGPGSFRSASSRSISEKRKSRDDMLLTVDGWRGTERLNPLRMQPYVMDIR GGNLPTPEASPDQAAASSMTSFLAPAPMTGHESFMTSMEVPIGMALGSPSQTSLIQPS SWQPQSITPPRRTPSPPPPPPAAAPQMVLQTAPPAPPLQRRKTTRQRIFGALFGRGKQ SNTAKAADLISANSSAVSLSSTSSGREAAGSAVPPRNHAISGKKGQKHVPLLVRSNTD LPTTTVPVEPRQRRQPPPPPVPPVSSWNDRSRSIAPNSETSAPLRLDVEIPSITLDRY SVMFSGVLNPQQQKRNSKMTLLERRQATLEKLKSVNDRAVAQREEEVKQFRRASSPQP TRSPSFSTLPPLPGRQQLAVPPSPRSRAHSSPAVIPSPTVDGFSDYLQPLDAPPPRRE KKGVPIISPRTIKEQERADHKQRFLEAQQQQPQHSYQESQPQQKGKSEYLPGDENTSA TPRKPEVWEEQQQHQSKSEQAFPPRKDSHFAPGDSTLMLDTPKSPPAQFLDVSSSRQS NEPKIASPFLLKPTVYQPPEPREVVSVLSTRSPTSPPPQSSMPETTSPPILSPESPSL PTASSPLLPGHKGHTLSPSVDLAAAAEEEAEEANPIVKAAVEISVARTISISRRAGAR PKRVVSCASSTLPSSPPPSGQQLRRSPSQPPTQSRSGRPPPTPLARSASVSAGSLQRS RSVKANQITITRAGTLKARGPGAAPKLTTTTHDLDSVAETKQATPVLVAPPPA NEUTE1DRAFT_116423 MSWQAYVDSSLVGTGHIDKAAIISAAGDSTWAATPGFTLSADEM KFISAVLGDNGKGPNVDKVFAEGLHVAGQRYVAFNIEGRHVYGRQGKTGVIIVKTTQA ILVAHYGENAVAGNSTQTVEALADYLIKAGY NEUTE1DRAFT_121028 MSNQTPEAQAAAAAHLKLIEQLDIHSVHKSFRNTHWRPNQRRNK NIKTILGDAQRKEASSILATPQDASGAATPAPVGDDSLSTSGTSTPVVPSANGANANG TTANLAQASRSLSKLVLEKSLNVPAKGSNGLAASLSSAPVATYTNIESAPSLAPMKRY CDITGLSAPYIDPKTRLRYHNAEVFAMIRSLPQGVGEQFLEARGAHTVLK NEUTE1DRAFT_60304 MESPHEHQQNLLLSRIITNVEKLNEAVVVMNKALQEINIQNMNI ELVAQMFKNYQSNVLFHLEATDNLKEPS NEUTE1DRAFT_60307 MAPSAVDDTVSRREMWKTLPDPVLYPVKEAKFEKYIEPQSDGYE RAKAQSGGNPAIVIDNGSWAVRAGWSFENAPRLAVPPIMAKYRDRKLGKTFSFAGQDC YADTSARGHIRNAFEAGTGIVSNWDVMEHVLDYVFLKLGMNGVDGAIDMPIVMTEAVA NLPYSRKSMTEIIFECYGAPSLTYGIDSLFAYRHNQGKTGIVVSSSHSATHLIPVYNQ KPLLAQATRLNWGGWHAAEYLLKLIKLKYYYGFPGKLNSSQAENMVRDFCYVSQDYDS ELAHYLEWTGLEDRERIVQYPYTEEVINQKTEEELARIAERKKESGRRLQEQAAKMRL ERLMKKEQELEYYKDVQRRILEQTTKKEIKRILDDAEVKDEAALDRMVKELEKSIKRA RTKDLGGEQEEEQEAPDFSLLDVPDDQLDEAGLKQKRQQRLMKSNHDARARAKAEKEA EKARIAEEARLDEERRTNDLEGWLEEKRQLRLAKLAQIKERDRLKADLGNRKSLANQI RMKNLANLASDTPATTGRKRRRGGDDDDFGADDADWGVYRSVAIGANKGDSDDEEEAE EDLEASVRALEQDLLEYDKEFTYEHTLEAQNDWTKSLLHAFRYGPRPFDAAAPAETHR LHLNVERIRVPEVIFQPSAIAGVDQAGIIEIAGDILNQRLVGIPGLDRDSFLKDIFLT GGNTMFQGFDERMRASLMPLLPAGTPLTVRKAKDPILDAWKGAAGWAGSEDWQRAKVT REEYQEKGAEYLKEHDLGNAFS NEUTE1DRAFT_78991 MGTKSLEFKVRKLQANSTTEKDLAKKQPSRVYVNEEALLELTGS KDGGRAITIEKIDKNSSEDQPVRREATLWKSPERIDKASVQLYDAFRDACGYQAGVQV KVTVQEGKLPEAEEVVLEELPPEKAGKDAETAASIDPSDLPGWEFFLAGRLSMIEHVH AGLTFKNLYARGPQRSFVVASVNGRPTGNARYLDGKTKVRIGAPSPAGQVDGVPQGKL EVTGVRGLDAQIEKLHDLLSQFTDPWVFKGKPRYRGIAIHGGHGTGKSMLLNTIAATG WGTVYRIQPKDKLADIQDMFQKARLEQPSIILIDQLERLIDKERNNRTSVIQALCEAL DTLGADAQETGEIPKVAVVVTCLDYTTDVPEDLKDPGRLTGEVYLPLPDVDGRKEILA SFNLRVAPEEEDALLRSLSERTHAYNGKDLRRIVDEAEFIARTRINRAKKTTTPTSTE DVISLDDQPPATEEVSTLLESMHLSPSNASTTTTPTSYFLASDYEEALRLVRPSAMHD VNLKPPPIHWSDIGGQASVKVSLRRAVRMSTEPVHVLSRFFARPPKGFLLYGPPGCSK TMAAQAMATESGLNFFAVKGAELLNMYVGESERAVRRLFQRAREVAPSMIFFDEIDSI AGQRAGFGHGGSSTSGGSSSGLNVLTTLLNEMDGFEALTGVVVLAATNRPQALDPALL RPGRFDELIYVSPPDQEARAAIFKKEAEKRQMLIGEEEIERLATITDGFSGAEIKGIC AVAGTRAYDRYLKLDSVEEDTAVGITMEDLEMAAKGMMRQITPEMIRGFEQWEKQFRR Y NEUTE1DRAFT_121031 MDCPACFPLWFALGVHDLDAAERTAVVAVLQPVMPKQPTWATSP LEKPKRKRRSAEASGARKDTETSSEEVFPSQSELQAWFKEFTSERSNFEATAQSVPLE LLQMRVKKSSEHDKLESEEKMRRLEGTGFSGPIKMDPRHGTVGPITLRQPRDEFDTLV SSVDMEEKPAVDQSDEDGGPAIIVLDCVSRSLLESDFRHIAGHGQHVDGWTYGLVKVV QSRSRTTHEPQGCYFLFFDNESNARAYINVLERLHNKSRQRLPKEWRQTTTATPTSVQ PAGELEGSEDVEESADELPDVDEQQGGGLGKPSEYAAATSIPDDDGLDAARYTLLPPT APLHYQIFTRNNILEFENIREASKGLRPQQLLSQPKSKRSGAGSFISPPPKDLINYAQ DPQIEHSRNSALVHLVGAKMTIRALRTAIEIDSEARNYPWQLLQEEEPAATPSTTTTR KTTEQQGKDEEDAAIKPILSTLSTIKFSKFNEYRIEHELDEFSGGQMDEGEMRGFSRF VVSFADLGAWV NEUTE1DRAFT_78995 MDIEQTFMTIHSGLDSIDIPRHPVSMLGWEIAAGALPMTSISGL YDVALARRVHQEGVLLDKAAVLHYTRIASRDGKWINCECCFTVVHDVLVASTSVYLGG LKSERRKLDAPHIERIFSSAPRDPRYHMLEHMSPKFTMPPAGREPRAALILNRFTRNL SIMFATNAVESILGISPEEIKDKPFYECIQENCLQEAIQVLESAKANESIAYLRFWFR DPRIGTDGQDDEEEEDEDEDDNDSGEEESDEDDDEVEYLGSRAKGSKGRVVRRDDDQM DIDDRPSRREGRGEAKETDRRPSSFELEAVVSCTSDGLVVVLRRARPQVPEHVPQPVP VFTYENGLFAAPWGLRPIEPSVPPELIHIFRPPLLPQHMPLKENVRAAGGPPMDQLMR SIRDVAVFAWALVGINGNIANYAHGFLPRDETQPPAADGRYQPGRDSRASNHHLAHCR EYYNPNNGRSLAATVRASSPPEYPSGQQALPSGSGSGSGCTCRGAGAGSLTQRQHTPG MLFYAPLPPQQQQQQQRGFESRPGYQSQAGGVFCRGALQQPSEEPGSLVPSSSISPIM QQQQQQQQQQQQQHYHRTPPLRPHHHHSPSPMLSPRRGATPESEYAPAPTPAPPARHD LPPEPWTAASAATATATASTTTQTAPDGNEMRRNSRFLWQ NEUTE1DRAFT_60319 MIWKFIDGVQQTFHNVLGGADDSLSEDLTMDMSNSTFTLPPADL ANLPDLENIMRQMSNTANGREMLVKSIMEHDIIPSLGNLVEMAEDLESLDDLFRLCNM TKTMLLLNDTAIIEKAVSDECVLGVVGALEYDPDFPKHKANHRQWLSNQTRFKEVVKI EDDTIRRKIQQTFRLLYLKDVVLARILDDPTFSVLNSLIFFNQVDIINHIQTNGGFLN DLFSIFADPSAQHLRKKQAVTFLHDCCAIAKNLQPPARQNLYTNFLGHGLVRVINFGL CDHDVTVRVHATDILVAMIDHDPQMVRHTIYRQLQERQKPLTDVIIDLLLVEVDLGVK SQMQDALRILLDVAPIMPPDAREYPPNQQRLRQLQSIDPQQELLIQHFYENSAARLFK PLLDLEGQTELKFQPMQEYIFGYLNDILCSFIRQHQHRAKYFLITHSLAQRFVQLLSC KQKHLQLVAIRFIKQLVLMNDEFYMKHIAEKQILGPILDVLLRAITRDNLLCSACLDL FVLINKENVKDLIKHLVENYREKIMALSHMDTFREMVSRYDQTEGYTTNPEYFMDEDE DEGPTAAESERRPTRPTSGLMEEQLTVDQAQEEYWNGVSDEEDEGPQNDSHRTNGTAT TLPLLKPLVEYGSDEEDGVAGDEATAQAKKDDEDNSAKSNGERPVTPTKGGPAAAAAA AVVATPPERISEKRRREEDEDDDAFDKLLQSKRRGSNASAASAGADIRKKMGISEDDG PAAEGATTEDNGTSTTDRTSPNPASPLAATTAVTTSLSTPKKIAFNISSKVKSMLGGG GGKPSEPEADTGTTTTSANDKAQETSITTGATTALGKENVRIGEREEKEEEKETSKSV VQEVGEEEEEEDPNAMKD NEUTE1DRAFT_108705 MAIRTGCDWPGYKPDGFLASGPPWQEYYLRIAKPIGFGAAHDIE KIRRRGLKFGYGMTKTKARHDSSARHDTNTTWEEKKKKKRRTKLPSRIKPWPGLSQKI SSPAAAIIRRVLHRLVIVEDVISITIESVSEEQKAGVGV NEUTE1DRAFT_39662 LVQPPAVLREPLSAWLALDRLLGVRPWVLGFHWAIHSVQNSIRA LTPCQPQSSQKDVVAQQRLL NEUTE1DRAFT_128623 MSVFSLIKRSRQAAKEQNAKNAQKDKAEAKVPYKHIPRHAALDA LMGGPTGWKEADRLRIMEENRRRSVMTANGMGMPSGLSTPVHAGVFTRANSSLTHVSY NNPSGYATPVPPIPRAYSYHGSVPGWSHHGGEVSYLSIDMAGAASSGTSIKGKEKELR RPKLDSGRASWSSSRLAATGGRIHFEGGSGSAPRTRDSSNSPVQSSSNSSSSEDDLEI TPVRKFTSAVTTSSPLAGLPSRRTSDTDSVHRLHPGHARNVSNSSYKQAGHSSSPSQS SPKSSPTKVGGGLTSSSASLSAAGIPPVPAIPPMQFGGTLALPTASSSHKNSHPIAPS VVVEEDGPDFSEDNSFQSSTGTGAVTSPIGTAVSTVHTANTTAELEPMQSNIVSNISD NSKTPYRTYSSQKERSSVAVSALPTSFDEASLAIPQQLVTLPQHQATGKLGKRPKKIS KEEGEERGTKVPKKSRWSFMSNRRTAVAV NEUTE1DRAFT_60321 MAPSSTISDIIGAPLQLVWFLYDVAAETSTPTLFLIAVSVAGLA LGLIYIVLHIVAPKPRLPYPSEKTYITTNPDGTISKPRPLPCWYDRWHAESRLKEQSS SSTTVSYPTIPAGFPVPDFGTVEPAEVEISVVIPAYNEADRLIPTLEEMVAYLDEHFG RPAISGPGSRPTSKGTGTPKPHRNVFKDASAHKRQHPPSGYEILLINDGSTDRTVDVA LDFSRQHNLHDILRIVTLEKNRGKGGGVTHGLRHVRGEYAVFADADGASCFGDLGKLI EGCEDVVDGSNRGVAIGSRAHLVGSEAVVKRSAVRNFLMRSFHFVLMILTPPATSRIR DTQCGFKLFSRAALPHIVPYMHTEGWIFDIEMLMLAESAPATPVLASDGSVIGTSYGI KVAEVPIGWHEVGGSKMNLVKDSVKMAIGLAVLRASWMLGVYRRRLA NEUTE1DRAFT_145240 MYAPESTWTRAFLAVAVVQALIASSLEAYVLIAVERCFEPAVVQ VPRGHTVPLFFALFIFGFVYQLILVYDALRSSSMIQVVGLCVYNLCLLGYALFQPKDI KDALDSLAGSITADGKPLITPGTNAWGDCMPALAALALVVAVATGVFCFVAWKLRSEF AWVVYKAINADRAMRKRILILQSYTAFLKFDLFFLLGFLLQNMINASADMGRPEFGLS IGAIPLVLLVIWLAVVCAQSEYTVGTLVIILVQLAAAGFLCYKLTTIDHELYMFRTFA AITLIMTLCAAAMAVLCLVNFGKGVKNITESQLRQKSTHNLPDTDYASSSYLYGYVPR RSPLDA NEUTE1DRAFT_99352 MGYTTLWKRLSPTQLNLAIQTFSLISIFFEGYDQGVMGGVNASP RYVTEVGIGLPDGTVTDEVHQGGIVSVYYVGCIFGCFAGGWLADRIGRINGLFIGAVL SLIGGVLQAATQSSDFMIVARVVTGLGTGALTGITPVLVSETSTASHRGGFLGYVFIA NYLGIAVAYWIYFGMSFLDNGNSDVQWRFLLAFQCFPAILLVLGIKLLPDSPRYLASV GRLDDAREVLEHVRGTHDATAVEMEFQEIAAVAKSSQKSSPIQFAQILAGQGGKPGHL GRRAWLCMLLQIMGSWTGITAVTAYAPVLLSAAGYDRLTQNGLTGGLSTVGIVGTIIS AQIVDRLGRRKCLMGGSFALFVVNLVAACVYEGARAHPERSSSFAPAAVTMLFLFNIC YAATWGTVAFLIPTEIWSSDMRAQGNGFGITGWAIGVGWTVLVNPIMFRHLENRTYFL FAGLNLLWIPIVYLFYPETANRSLESIDVLFSTDSPFHWAMERAYRQHGDILADMDSS DGPAKKSESEPHGIEESVHNERV NEUTE1DRAFT_108710 MATNGVNGHAAVNGGSPSSSQPLDLVVLGMNSGTSMDGIDCALC HFRQETPDSPMHFSLLKYGEAPMDLDLKKRVLSIIKHDRTSASELSEVNVHLGETFAA AAIQFCKENDVDIKSLDAIGSHGQTIWLASMPDEGIPRSALTMAEGTFMASRTGVTTV TDFRVSDQAAGRQGAPLIAFFDALLLHHPGKLRACQNIGGIANVCFIPPEGPEHAYDF DTGPGNALIDAVVRHYTNGEQEYDRDGEMGKRGKINQDMVDRFMARIPYFSWDPPKTT GREVFRDTLADDLIKEGAELGMSADDVVATVTRITSQAIVDHWRRYAPKDRPVDEVFM CGGGAYNPNITDYMKEQFPQVKMFMLDAAGIPGGAKEAVTFAWQGMEAIVGRSIPVPD RVETRRPYVLGKVNPGENYRTVMTKGMLFGAGRAKLDHVKEMVNYVDGKVFNNKW NEUTE1DRAFT_145241 MPAPPRPRRLLRNSRACDFCHKRRIKCQENLLDPSRCQNCVEFD ISCTYLRPLRRGRGSPKNAAGPGDRPDAGQNIASNARRVHPEELVALPSTSQPPQVGD DQPLDLADASSSATSERRSEPLSPAWQGFARTSTSAIKKLLSVYHKTVYPIFPYFDPV RLDQRLEMLEHCRSRAFFCSVMAACALASARVRDGAPSGGQDVVGIIPAQMFFAAAEE ALPKDLLQSQDFDYLRGIALLALASLQDARIGAMQMYIGHYFTMLAINQWHDESNWPT GLHPTEREERRRLYWSFYTLDIYSSIVWDGCIHFQESHAKVEYPTGRNCNEAESAPLE RAHWIVGWNFTTDLYRILEHNLSRLRTRSSRFHLVAGESVSTPTLNVSSQDRVNELYL ALPPIFKQLQPATGDPDQDVYGFQAANIQATMALLKMISLSLESDPDAERKCSVVSDI LATFHQVPKPHLRAISAPLIYHIGGIGTILGSVMEGPLSESSCCVVRDLLLSMAVLLE SLEACLHRSGGAGQRLRSLVARIEEYIVSRGGGRAIQAQQAADIPASAFREGTSNNKS SSWDGAALPDLSGQFQLPDELLQDWTWPFAMSNSYLSF NEUTE1DRAFT_40319 MVRSPDAGWILEASRIAKSGVIQICLVAFVLVWTAIETTCRYSS YFNIPETTKAVRFSLPYELLSQLLRGAAFTFTLLSGYHYYQYWYDALPVGLAFFLGLA RLLATPKWQRVSLHQINFLLFTSLVTLATAYLLPSLRMRSDEEVEKVEIGAIGSLAFA SLIALMTPREWGPPPATENMAVYRHISEAQPTPEETSSWFVRYFSFGWLTPLIWKGWR TQVDMDDIPGLPWYDEPSLLLDRILKVRERYKTTMWTVLSFQRSEIVAMTLWVGSSFA IELVAPFAMYQILDYISSPHDAVLHPIIWMALLFMGLMGKSITMQQYVFTSTRLVVRL KSAMTQELYHRALSCMELEDDVINDIATRGAKEQRTQTTSAGRLANLMASDVDAVFKA RDAIIGLVGVPVGIVVSIIGLYKMVGWTSLVGLAFLVLSMSFPVWISRMMGKTQRKVK LAQDLRISLISEYLEAIKAIKYFSWEDAIIKRIQEAREKEQKELWHICLWYALLGQSG ELIPVITLLLIFSLYIGVIKQPLTAPIAFTTLSVVMTLRRNMGYVTQMSRNLTDAHVS IERLDKFYSNTTPLTQFPEGPLQIEKATFRRSKRAPFLLKDISINFVEGGLNIIKGQS GSGKTSLLLSILGETALEKGMVTRPSDVAFASQTPWLQSETIRDNILFHAPFEQVRYD RVIEACCLGLDFEELPKGDLTEVGENGTALSGGQKSRVAVARALYSKAPLLLLDDIFS ALDAKTAASLWKHSFCSVMLKGRTIVLVTQMPWLASQADLAITMEAGTVKNIERNVGV VRTPVELEYGTTNNEDSQESTTTLKIPLVPGGNRAGSPKKMKDEISNEMSASGTSRRF TFLRYMLHFGGPGYVILALASNVIANAVLLGTTWWLSIWVNAYNKKEAVNVAFYITIY AAFNFGQALLSGISSLIFNRGAWLAARKLHRGLTEGVLNVSLGWWKNVPVGRVVNRFS RDVGSLDSQLSSAVQYFIDAGMAILFRLGAVSSIMPIFMLPALCTVGIGIICGEMYTR TAVVLKRLVSSSQSPVFSQFSGSMSGLAVIRARQNMPEMFRDQLADRLRSFSRFQETS FNLNRWVGVRVDFVAALVTVSAGAIAVWKVGVVEAGLVGFSLSNATGLNSQILYFVRF MNDMEVELQSFHRVEEYVKLPVEDEKHGLRQPDTDSEGHEDSSLLEVPEDWPRTGAIE FRNVTVRYDPDGPDILKDISLKFKAGQRIAVVGRTGSGKSTLVLSLLCFTHIISGQIL YDGVDITRISRKRLRQALTIIPQEATLFNGTIRTNLDPSGTVPVQILEKALRSCTGIA SFDFRESSSDNSINGAETVDSNDSMVPTERTPLLSSSPSSSSPTNGSGSGSISTRGAL SLDTRVLAKGENFSHGQRQVLSLCRALVRKSKLMLLDEATASMDFETDRGIQVALRQE LDAGEEKTRTLVTIAHRLRTILDYDMVVVMGGGRVMEVGSPGELYKDKGVFWEMVRFS GEGEDLEGC NEUTE1DRAFT_136240 MYQLSQLVALAATLSTVMGAYQGFNYGSSFTNGAAKQQSDFEAE FRTAQGLVGAPAGGFTSARLYTMIQGGTVNAPISAIPAAITTRTSLLLGLWASAGDAA FTNELQALSSAIQQYGTALGRLVAGISVGSEDLYRISPTGIENKENPGAAPLTIAHYI KQVRDIIAHTALDGVPVGHVDTWTAWVNSSNQVVVDASDFLGFDGYPYFQKTQQNDIG HSKSLFDDALSATKAASQGKPVWITETGFPLSGKTMGQAVPSIANAKYYWDQVGCPLF GSTNVWWYTMQDSAPATPNPSFGLIGSTLTTTPLFDLSCNSSKLSQTTSSSISLASGV SIASSQSEKSTVTSAITFLAPVSSLASNGTMTVASLPSGTSTAASSGKASSIKGSMGD VIVVVMMAMALFGP NEUTE1DRAFT_79012 MAVGISRAKCLMMLFALASIAVLQVYARGTHEKYGMERKASPSD MSLEELDGLLQDCPVVQALSAEKVIAHAHQSSSATERLFAILFPGSPAVNAILATLYI SGPPNFLLALCPTNIDPSSLSVMVAFAVGGLLGDTLFHLLPEIFIGEDSHESAKFVLV EPNRNLLLGLAILVGFMTFVAMDKGLRIATGGEGGHDHSHGHSHGGHSHEHGAATGVD VAKKGDAKNRKGEKNELKKKDVDAQEEDDKKEVNPSAKLGGYLNLIADFTHNITDGLA MSASFYASPTIGATTTVAVFFHEIPHEVGDFALLVQSGFSKRQAMGAQFVTAIGAMLG TLIGIAVQEFGGSSGSSEAAMGMKEGIWGTSLTWGDMLLPFTAGTFLYVGTVAVIPEL LETGKDKAKELKKTLVQFAAIAVGAGIMLYISWHD NEUTE1DRAFT_79014 MVHRQAFVAGIISSGASSPSELSSELVLGTNTANRPAPYQRSNI DINITNHYNSKVYTSSSPIVGDVTITTKRDVRFDSIEIILLGNTRTKTEGVNSPVEIA HTFLKLTDQVRDQHVLLPPSMGKEWEIDDMAPTMASVEYSIKARVLHQPNLGGERIRI MEARKSIHVLPASPEEPPLNITENDKLYCMYKKKSLRKFLSSKIGTLTAEAIQPRAAI LQSNGRTMTSTPMAQIRLKYEPTSSQQLLPPPSITSISGKVTAHTFFNAGTTPTFPNL GNWTADYSTDKRGVYSTSVPLPKISVVHPTTWQQHPCSLERRDSGYASDRSSSASETE TRQLIPPLLSSSSPPPTTSSMPSSRSSFSSTKKAKPSSSTSSIYLTSTLSIPLPLPLD RRTFIPTFHSCIASRVYTLTLSVTAAVVGSTSASTTFSLTLPLQVAVEWDPEQQEQQR VDGQGLPHFESLYGTTTGTTVGVDGGIEGVNEEEEMAAAEEHLRPRVIGLPPDSWYRE MQMQRESHRERESGREVVRGADNGGGQQQQQVRVAEEGRLPGYGEAILTR NEUTE1DRAFT_40599 MGITAILQRLHLLRSSEWDPPTPLDHLLTDMQSWPLSIIPIHLH FLRLVVTHLYHLFLFLRGHAFHPPSNIPPIRVVCISDTHNYELDLARIPDGEGGLLIH AGDLTDDGSRESIQRQLDWLGEVRRRKGFERVLVVAGNHDGFLDKEGARQVLFGEGSK GDGELTWPEGVQYLDGMVGEGENGGVMTIEFEGRAKGRKLNVWGWGGVPRCGGPEHAF QYDRAAHPWTNRIPVETDILITHTPPRHHLDLGLGCAGLLAELWRVKPKLHVFGHVHW GAGREAVYYDECQRAYESLMARGADVPFPPAFPSIFSVWMDSMDAARVIWHGTTAVVW KWVMQGPGSNNGALLVNASVTYGNTGKVINPVQVVEL NEUTE1DRAFT_121041 MERTIGAVGLRWAAFFALLICHQVHAFYLPGWSVKSYKEDEAIP LLVNKVYSDNTQLQYAYYDLPFVCPPTGEHKFGGLLSGQSIPLNLGEVLRGDRIKVSD MELRMKHDSECNFLCNRVITRKELKRARQLVRDGYVVEWIVDNLPGATSFVTVDKTRK YYAAGFKLGYTDLSSGRPRYYLNNHHTIVIRYRKAPGKDGEKGGKVVVGFEVYTKSVG PDVKKNVDGCPANLHDVEQNFELYLAPNKTVELSPGSSYYVEDDDTYDDDAKLTIPYS YSVYFREDNSIEWHRRWDLYFVNQVDGHKIHWLAIVNSLIICGVLTGVVFMVVAKTIR SDIRGYKARSADVEAKRRTKATSATEKVPGLLEQEVGPDSLADVDSDDEALEDITGWK LLHADVFRTPAYSHLLAPLVGSGMQLLFMAIGLVLLSALGIINPSYRGGFVSFGVGLF VFAGLFSGYFSARVYKTFGGQRWRKNMVVTAVLFPGLLFSIVFVLNLFVWAQASSTAI PFGTLIAIIFLWLCVQVPLVYVGSWYGFVRKQGAWEHPTKTSAIPRQIPVQAWYLRGL RTVLLAGLIPFAVIFIELLFVFQSMWQDKSGYYYVFGFLAVVLALLVVTVAEVTVVSI YIQLCAENYHWWWQSFFIGGGSAVWVFLYCMWYYFAKLHITGLLSSMLFFSYSFMACC VYGLLTGTVGFLTAYAFVRRIYGAIKVD NEUTE1DRAFT_60343 MGFLRLFSKRSGNKSKMDVSPKSLDYEAMVAGAPPVLGTNPARG NGPLQIKFLPRDVSDAQIAARADGLVTDGFPMPSPVTPSFRAEDIERPSSAPNGLRTL SRSLGAGGSLRVTRGAKSLLKRPPPLSFRMARPETSPRPRSISQNGIDTIVFAQPQVA PSVHSRSNSIVSNTGSRYRDIIEAHSEIKPINFKIRMKATGIRDYGEDVAERNLGENG HNLDSPSVKAFYARSSMISEKSKMDEITKRLESEPNSRRARAPAVALNLPIDLSTSST ISQTSPRAITSYEPTSPSYAHPDRTLSLDDTEVHDHPPVIEWPLRTIAASTLKPSAGD ATLSLKLPQTIKSTPLSPNEEQSAPRGHSPLSNASSVKCFAVNPEYHHERSWSSSSST VSISDMRSNPSSPSRSQHTADTSVNLSQTSFKSATPLPSLTFLPIPEAPDNFNIDDYI STDASSIKGHQRHTGESEEELLFNDFGYGAHGTQLPGLLDSSTLFEREATVQSQHCRC SSWTTTPINAGSFGRAVGQQRYVLDTGVEDDSDETDYKDSSMLMSPIPLHSPKPQHLH TLSGDIYHGVVGHVPRTRTFGSDGTFSLQGSRGTKRTPVVLNCRGIQSSEMAIANSET RPITPISPRNQSKDIAEIKTPENTKSEKGKQKMQEKEDEDKEQQNDTSNKKLLPPSTP DATVTAAMKRRKQIKAGKRATEPIRKRRPPGHGQGVISSPKSAKSPSKSPSKSPRIAS KRLMQRTTSMGAGGGECSDEEHHADIEG NEUTE1DRAFT_116429 MMTPEYLGYRPQTAPSLILLETHHCNRGGRCMRREWQKRSKRRE TDVTEGKGYLTDISMTEG NEUTE1DRAFT_60345 MASNEVDPHGGVPAASDRPAFIPLEANPELMTSLLHKLGLSTSL QVHDVYSLTDPDMLAFIPRPALALLMVFPVSAAYESARLAEDSLLEDYSGKGPLEPVL WFRQTIRNACGLMGLLHAAINGPARQLVEEGSTLDKIIKDATPLDPVARARVLETNSE LANAHKSAATQGDTEAPAATDEVDLHYVCFVKTEDGGLWELDGRRKGPLKRGELGKDD DVLSQAALTLGPLKFLERGGGDLRFSCVALASSFD NEUTE1DRAFT_128634 MNALLGQAWRCPRSAVLVTRRFRVKSSKPPKKPAPRSSKTASDT SIRSFNDLPADEQLRRRLNDRERAQRRTTPSEPALRQRYDEFYDLTKHRLNHLLWELG QWDPEHREYRAFGLHTQESYYQEISFFKEALKRSFHLASALGMTSRTDNPLFWNLRSA FIKGDAAGLTKELRYALQTFLMRKQFSKSTTELHLRLADLRFPYEWFPATRQLQRTIH LHVGPTNSGKTYNALKALENAKSGIYAGPLRLLAHEIYTRFTAKGKSCALITGEEQRI PEDADMFFRSCTVEMTPLNWKVDVAVIDEIQMIADEHRGWAWTQAVLGCQAKELHLCG EERVVDLIQELCARLGDKCIVHRYQRLNPLLPMEQAVGTDFKNLQKGDAVISFSRVNL HSLKAGIEEATGRKCAIVYGSLPPETRAAQAALFNDPNNEYDFLVASDAIGMGLNLEI KRVVFESAFKFDGMAHRPLTIPEVKQIGGRAGRYRTATDAVRSGKEEETSATSAFSKW GAPGFVTAMDEQDLGVIRKHLQNDAKPIAAAGILPPSHIIERFASLFSPDIPLAFVLS RLREMARLSSSFNMCSFGEHLDISDVLKEFDLSIYDRSVLLTAPVSLREKGQKDILRA FAWSIANLSGGHLLEIPEVDLEALDVDASQLDPQGQKNYLLRLEGLHKAVTLYLWLSY RYRGVFVSQKLAFHVKSLVEEKITNCLEAADYEADKQQRRREMLRRQAQSHSKKEEKL LGAEAEEAPVAESGPGLWDAEGHEEPLYREKKEVNAALPVRARRTTANPNSRVSRG NEUTE1DRAFT_79030 MASSSTSATPLLYSCIAHNTTILAECTTSASSQTSSLASLILPK IDHSTPQKLTYTHGSYHIHYMSESPSDWTSQPSASSAGGLTFLVVADSFLGRRIPFGF LVEVRKRFLAQYPPGPTNDFSDMPNYGTASFNSELKTLMVDYGTTGQGQNDAITNAKR EIEDVKGIMTRNIEGLLERGERIDLLVDKTDRLGGSAREFRVRSRGLKRKMWWKNVKL MALLALVVVLIVLSIVLAVKNSLP NEUTE1DRAFT_121047 MPIPFLASVLMDGWPSWLPGPWTLTKAGSALGAIAVTKIYASGA TCTAERNMHGRVVMITGGTSGIGAATVYELAKRGAQIILLTHHEHNDAFLVDFIDDMR ERTNNQLIYAEQVDLRSLHSVRKFATKWVDNAPPRRLDTIVLCGSTLTPPGKSRTETP DGVESTWQVNFLSNFHLLGILSPAIRAQPFDRDVRIIIPTCSAYIRSPSLDKALDKKD WSPGKAYARSKMAMMVFGKAYQKHLDAYKRPDELPMNARVFLVDPGYSRTPGMRRWLT RGTVWGLIVYLFLYVFSWLFLKSARMGAQSILWAVMEGSLVRDKGGKVVKECMVVDCA RKDVDDEAIAKKLWESSDKLIEEKEKDAAAKRARAKKREMEKEEDKKERERVEEIEAL VNTIKKGKQKDKEKPKSGKKAKNGEKTA NEUTE1DRAFT_79035 MGSSDRRAVKVTDNDDAEDVPLHHLRPFGTGLYKQQIKFVPASD GDLDSTSSTTTKSSKPKLDVAGLYLDMVLANKDKNKNNDKQNENQSTQPTIVVPQQEI CQICSLPYAPNPESKAIHEQSFAHQSRLPHSHPPSALDRSRMGLKHLTSHGWDPDSRQ GLGSAAQGIQFPIKGKKKDDHLGLGVEVPKNGQLPVPKKKEKLLDAKKVRKMAEVEKK KAERIRKELWGRGPDLERYLRKGG NEUTE1DRAFT_79040 MASLGGKDYHRQQPMLDDDDLIDPDDADLNDFDDPLAPSSSRAP LTGTIGSSSSSAAAAGGIGSSSSGGNTQSAWTSRIPGEDRRAPNNTIDETVWETLRRD LLAVWSKMREVLYPRYLFGGTMLESEDGLRGAYANIRNAGLSGAREELTGLASRVMDP ESLLQQGNMSPGLRDWDLWGPLIFCLLLSTLLSFRSREQQREIVFSGVFAMVWIGEAV VTAQIKLLGGNISFAQSVCIIGYTLFPLVLAAMLSALSLYWVARIPVYLVLVGWSFAA GISILSGSGVVKNRVGLAVFPLLIFYFALGCLCFIS NEUTE1DRAFT_79043 MTSPYHAAAREKRAAERILDERNANSGGVPRGSSSSRGPQQLPL HSDPIGTEGHGHGSHHHHPPAPKSWFPVRAGGESGRSGIHPWHFFRIVFRSSSLASRM VNVLWPVVPAAIALRYAAPHQDLAIFILAYIAMVPCANLVGFAGQNLAKKVPHVFGVL AETTFGSLVEIILFIVLIKRYSPTSSVDYTQVIRAAILGSILATMLLCLGFCFVAAGF KREETHFSEIVSEAGSGLLLTAGFGLAVPTAFYNALHGRLDIRELDRKTLEISRSTAV LLIIAYLVYVFFQMRTHHGIYDAIFEADAERDDDKHKELQSHRLTLIESIIALAVGVA LVTIIAIMLVDRIHFLVEERGVSDAFLGLIMIPLVEKAAEHLTAVDEAYDNQMNIALS TVLGSTLQTALFNGPLVVIVGWGMNKPMGFNFELFDLAMLILAILTVGNFLRDQKTNY LEGMLCVIVYVAIGVAAAHYPNPQELHEAFPTTGTSPAPATSGSEGGHH NEUTE1DRAFT_145256 MASSHHSANATPDPLKGLKRKRLSTEPEASTEPGHDAAFAGNPS CDSQESSGSCGPATTGPKKRRGRPPKLVSEPTIAESEIEWPAWFKELEKTHRALNLVY TFCSTRKHLATTFETIRSTVESHTKRSLTIEDVAAIVALRPESIQFAYVDELMLQLDV RGAEKDETFKTGRYAGKSSQSFVPDASVGGMTGLEGLGDAGPDHTGEDEQESKSGREV LYFEFIDEDLKRQVQDKKTGVPTKPTRRLRHEHVKMPVYSQKQMTALIEKRNTKFANA VNIFLNRCAAESLDPEMALRSESEPFVPRPSTPRASTPQTKPSTLPASIPKERKGIPE IVQELKDSPWYTGQIVPAGHRVFESQEPVYGYLNFLLSQDLVNALYNTKGITQFYAHQ ADAINALHDGRHVVVSTSTSSGKSLIYQLPVIHALEQDRNTRAMYIFPTKALAQDQKR SLKEVMSFMPGLEEMIVETFDGDTPMHDRNIIRDDARIIFTNPDMLHITILPQEERWR SFLQNLKYVVVDELHYYNGLMGSHVAFIMRRLRRILAALGNTHVLFISCSATVANPKE HFTTIFGVEDVKLIDFNGSPSGRKEFLCWNTPYKDPGDPSSGRGNAKFECSRLFCELI LRGVRVIAFCRVREHCEKLVNAIKQELEHRGRSECMSRVMGYRGGYTAQDRRRIETEM FEGKLMGIVATTALEIGIDIGNLDCVITWGFPYTIANLRQQSGRAGRRNRDSLSVLIG DSFATDQYYMQNPDELFTKPNCELSIDMENMLVKEGHIQCAAHEMPILPAKDSKYFGD DLATVCEERLLKGERGFYHCHDKFRPRPSQFVSIRDIEEDHFAIVDVTNNRNVVLEEL EASRATFTIYDGAIFLHQGNTYLVRDFNPDNKMARVEKVKVDWTTQQRDYTDIDPVET EAIRRIKGSKSLAYHGVIRITQVVFGYFKVDRMNRVLDAIQVDNPPVIRYSKGTWLDV PKSSLAILTSRRLHVGGAIHAAQHAIMSLMPNFVMSMPGDVRTECKNHLKEFARRETQ RKRPARLTFYDAKGGAGGSGINIKAFEFIDTLLRKALGRVLACQCREGCIECVCSELC KEANSVISKAGAEVILKSLLDEEIDVESLPMGPEETSPAGVETVVLAKPVPPRNRKTV KIIGLAGEEIEIQVNDEQDDEEEEVDDS NEUTE1DRAFT_108727 MLFSMLTAGAFLAASASASVTAKPVKKPFDFLVTFGDSYTDNGR LNYYIGNGGNPPPAGQLHGEINVTASGGLTWNQYASRQVGAKLLDYAVRSGATCSNKI ISRYFSAINRDFPAVLDDEIPSFVADTAYRSIFPDRTADNTVYALWIGTNDLGGDAFL TENQHPGKTLTDFSECIWTVFDTIYKSGGRKFVLLNVAPLHLAPLYATIENGGFSGPS QFWAGKSQYNVTERSEKIKEYSTTLNTVFSYGAAVNTKLKSRWPKATFDLFDVHSLLT DIHEDPAAYLDAPYNSTGYFHHCPASGSNCVDQTSLGPLTGFMWYDELHPSTKTACHH PVAVIWELKHVSIADQEMPDSVIAKHFLDVVAGQSKYGTRYQG NEUTE1DRAFT_128642 MYQPQYGYNPNPAAGAGAGNSAPQAPHLQPSPSRGPSPSQQQHH QQMVYNSQQQQPGQQHHPGQQQPQQQQQQFHMGSQAGSSHFPAAAAPSPGMMGTGAGP AGMMQNSAMPHTMATTNGQMAFQAPYTSAPYVAAVPSPVAPQPHLPANYMMSASMPHY PMNAALSQQQPMMQRIHPSQQNAANMSASTPQRSSNPASQGTPNNAMPSQQPGTYSTT QGQGGSANQTPTTTQPQSGSAGGTPQTPTFPSTGGQGQVNGTPIHSAPPSPATRSRET ERFAVLLEINHELLYELACLHISRLEIKREKELAGESGEQQQNGGMSLSEEEKLTEQD YQQCLVRARTNMGFMGSLSQPGKQPNVHPYPAYLTPPPLHLNLRIRVAQNTSAEDSAG DAPPDPNTDRAERHEIMTDLYKKLQSLYPGIDYKNEPLWRAGTNPLTGPSGLSGPGSM PGGNPDQLSQQQQHQQQQGQQGQQQQGQQQNLQQQPGQQQQQPGQQLNYQQMMMLKQQ QYREQMHQKQLQIQQQIQQQQQNGQLAGGGVGSNHGSPAPSGQLLQQGMKTPQMTPQM SNAIPPGMATQHQQPQGQQSQQDTQQSQPGTSGPP NEUTE1DRAFT_121054 MEHDSLVSVRLSGSSRSSLQIDTNVSPPRNNVGGLEDSPCASPT DDAETETIKEEKNDEDRHDEVKTLASTYSPSLREELESVQEGDEEAEDDNDDARSEGS SAESEDVNWDDLQKKEDEQVKEQDVDNTQSTAMLLAKLEQENNKIATNPKSVKVAAVE KVASPGRKPRPPSMAQLRQMVNGPTPPALRYSVLPPPPMTELEFYMALVKDPKQTAAR LPTLLSNKIRKGIPPPLRGVVWQSMCGARDKDLEDVFERLSGESSPYEGIIGKDLGRS FPGVEMFRDPEGDGQRMLGRVLKTFSLYDTKIGYCQGLAFLVGPLLMHMPDKHAFCVL VRLMENYDLRHCFVPDLSGLHVRIYQFTELLKQHLPVVADHLEDLGVEPAYVSQWFLS FFAVTCPLPMLFRIYDVIFAEGASETIMRVALSLMRKNEGRILACTEMEDVMQLLLSR GLWDCYHYNADEFVQDFVALSDVVTRERMALLEQGYREAKIPPSANPKSEDAPGSDVA TAASRFLGRLWASSSTPKLASFASAVQGSSTASSSSPTTISSGSTLSPGLSRPLSMLR RSTSKQSIASTFNSMEAASQSSSASSSASVLSSASTEATSVQSRDSSTTVDHDSSRDS VALGSSAASTKAKQAHFSVTPSLYQDDRKHLHTQIEDLLTALSELQRNHALLASQLQK EREEREEDRQAVRSLLDGLRKKANPAQSYAAAAREAEEDDEAPNKDVEIDLSPEELSD LLDFVADRFSEEDPNKAAIPQTKEQLHEDLVRVREQLSNEQAKTQECNRRIHDMEQEM ATMKEQLRETHAHVRNMHAEKQRLERQIHGMRVRASDTTPSSEGGDWFGRSSVGGSSV SGSGLRELKLVRSKSSPAPPPVYPTKRSSSMMTTSTLASTHRRNTSNSTAISAMTSNS PPAPAPVSLAAASPANTGLAPTNENDALLLELVQAKTAEAMAKQEAEEARQQLERMRK AFGLAPGEMPSMNSQSAHNSMAGMFGRLTGPSTPDGGPGLQKVVTSPPATSAGNTASG ASFWGWGRK NEUTE1DRAFT_60375 MADDYSNGSTDLDALKNNAASAYDAVTKGPVAQNVADQSAKTVD ELSNLSASRRTPSTPAATGQPLTHYHSFFSELLSWNNPRASAIAYLSIVAAIFSVRYL DMLRLGFKLTWMVLGVTVTAEVAGKTILNQGLATQLRPRKYYTVSKETLDSAIGDVHE LVNFFVIEAQRILFAENVYVSGLVAIAAFFAYYLVKIVPYWGLALIATTLAFLGPLVY KTNKEFIDAQLHRASEIIGAQTAQLKTVAQQNTEKATQLTKQYVGEYTAKAQTLVSKA TPASLHGEEHTNGHANKSELRDADFPPAPQADIKTEPVVPVTVKSGEQQPLLI NEUTE1DRAFT_79058 MSPFNLETCARPNILALEPYRCARDDYKDDGTNILLDANENAYG PPVPQNLATGSGSSGPELDLLGLHRYPDPHQRDLKQLLCSLRTTHAHTSKLLTPENLC VGVGSDEAIDALLRCFCVPGRDRILVCPPTYGMYSVSAQVNDVGLVKVPLLPAPSFAI DVPRVLETLSTEPNIKIIYLCSPGNPTGSLLAKEDIQKVLEHPTWNGVVVVDEAYIDF APESASLAEWVNEWPNLVVMQTLSKAFGLAGIRLGAAFTSPPIARLLNSLKAPYNISS PTSALASYALGPDGLKVMRANKERLIQQRERLVAELPQIPGVGKLAGGESSNFLLYEM LDKEGKPSNETALKVYQKLAETKGVVVRFRGKEHGCEGCLRITVGTEEEVTRCLGALR EALKEVGGE NEUTE1DRAFT_99376 MSLTTLPPELRHKILASVIWTPTATLYNHLDLFYQDPPRVRLRD DWDIWIPATSPQPPALPLLLTCRILRDDVQYLLHSPTAAQKSRPYEIDIVFIPKCGIF PTWVCCPLPSQFNLDTLQASFRIMDVEDIDDEVETVGRQGEFLRRFHGISSNFNANDK YYPNPPPGSWNFYRLLVSFLALGPRGLCSPAYQRKNRGSLFSSRSRSPPRYSLRHLII SVTSKEETETDEERWERADRGAQRFLIAHNEDLPYGAEGEEDIFPGPPPNDDDDDFAQ YTWTGPTDLRTVRGMHIHAGRRDTLGHADRYGLYLANTLWALLDFGGWLSRGFGLMLY ESILDDITFYVDGEPRPRFGMDDLLLSFLERPKPTKPSRTLTPEVAAALKVWKEWVMK WRTWRTLREGCSGSGVLDDTCMEPEPRPSFDAFVRYLPASTWDYDPNEPEYSDSDADS VSEDDANESIVNK NEUTE1DRAFT_145262 MVSALLPFEGPADLSRIEAPVTLKAYLICAFAACGGLFFGYDTG WINGVLGMPYFITLYTGYSYDYDRKQPIGIDPVNFGLPSSTKSLMTSILSCGTFFGAL IAGDIADFIGRRLTIIIGCLIFCVGCVLQIASTNQTVLFVFGRLIAGLGVGFISTVII LYMSEIAPRKVRGALVSAYQFCITVGILLANCVVYSTQDRNDTGSYRIPIGVQFLWAL ILGTGLFILPESPRYHVMKGQLQSAAKSLAYVRGQPIESEYIKDELAEIVANHEYEMQ VVPQTSYIGSWVACFHGSLRKGNSNIRRIILGCGLQMWQQLTGINFIFYFGTTFFQQL GTISNPFFISLVTTLVNVLSTPISFVAVEYLGRRFLLIYGAMGMIIMQYIVAIVGVTA GRIDANDPAAVRGMIACICINIFFFATTWGPTGWVVIGECFPLPIRSRGVGISTACNW FWNCIIAVVAPYMVGNSKGSANLGPKIFFIWGSLCIFSMLFAYFLVPEMKGLTLEQID KMMEEVSPRKSKKWMPKTTYVAELARRDAEKSRQQWRDVHAATNTAGGLESGMMGGGY QEANEMGAMDPYGHGTTHGVHGGGGGYGNSMGRDPYESPNTVRY NEUTE1DRAFT_79064 MASNGAADGFTTPNVLQAMLTMRSGDSGSKKAAMDYLQKFQKSN EAWTTTISILQGSPEAEAQLFAATTLKGKITYDLATQIPESEHAALRNQILVLLKKFA SGPKPVRVQLCVCLAILAIQMQSWKDVLQTVVSALGDDVSSHACILDFLRVLPEEVTE GRKITLSEEELIQRTSELLADNANEVVGLLINYAQSSPAAATNPQLFECITSWLREVP VTVVVNSPLLDAVINGLSDDRSLQAAAECLGIICRETRDVDDNLETIQALLPKVLQLR PRIQALADEEDIEGFKAITRVFADAGDSWVLLCAREPQHFRPLVDALLECCARDKERD AIHYTFNFWYELKQYLTLDHYIAARVQLLDVYSKLVDVLLKQLEYPESDDPNEFDLFD GDREQEEKFREFRHHMGDTMKDACQVMGTTECLTKVHEAIKIWREKFGGQATESAVPH WQSLEAPLFALRALGRLVDKEENIVLPEIMPLLVQIPVNNEKLRFAAIMVFGRYTEWT SAHPDYLQPQLSYVLASFQTPSQEILRAAAQSFKYFCVDCKHLLGPQAIELQGFYNSI LDTLSDHSKEDLTEGVATVISVQKTEDIYSLLKLYCDPLVQRLMTKANNATDDTSKLE LADHINLLTQYVQNVVPYWPSNSDNPAVRYWQEVFPILATILDNFIDFVPICERICRC WRFMVISYRTAITPLLGPLANKLAEGFAKSKQGCFLWASSAILREFSEDREHVEDGIV DSIYGFFEAQATNVLRMMSDIAPIDLPDVIEDFYRLLIDALLYYPQRLIPSPLFTPIF QAAISALSLEKQEPVSAALHYIRDLLTYGGDNPASSSQQFGAVGVQLREHVRQLLLSQ GEALIKQTLAGMMITFPRDCFSDGSGVLLGMFELLPAETSAWVDRTIRMLPAGTITDA EANKLMAKINEKLQGGDRSNMRHVRTLLQDFTNTYRRRYIAPRDGLGMLTAARFHFEG NEUTE1DRAFT_116436 MVLPAQTKSSIAGSVVFNTLRNFKPYVDAKRVSVFLSMPTGEIQ TDGIVRHALESGKEVFIPYLHKNPLASPNLPARVMDMVRLRDLHDYESLKPDRWGIPS IDPATVHERQRVFGGPDAHHSDQTLLDLILMPGVAFDIDPEAGAIRRLGHGKGFYDYF INRHNQKSVELGKQETPVLLLVATINLWTA NEUTE1DRAFT_121061 MTPDRDRSPSPDIAFFLDDSDHNPSFPLRELLHQAELPAGTNSS TTTRGQPTPTSSHSSQDFEVSLIGSIQDQDRVDTLPGRDEPVQTLPGNAHGLLQQLHL HEETGGDNADSDNDSIPSEPVSEELMTKRKPSLLSVAPGRDSPSYGAITSAPARATNA PQSEGGEPSARAESRSESSARFRTGHDSTGRSSTGDSCDGSMGRSSERPSLSLQKSGE WGGSMTMRGIEGRFEAEVPLEGESLLDHIDELNEFDETEEDPADNSPYAQVRASVAPT DNTTLSINTPRMWTLAVLFSIIGSSTNLFFSLRYPSVAITPVIALLSTHPLGLMWDFF LKRADDPPEEYVDGCRTDGANIPVDHPTDEQPRVFVPWDKRSKLDQLRLWLAQGRWNE KEHSCVYVSSNVSFGFAFATDVIVEQTQFYKQDAPILYQILLTLSTQIMGYTFAGLTR GFLVRPSGMIWPGTLMSAAMFTTIHKQENHVANGWKMTRWKFFMVVFLAGFLFYFVPG LLFPALSYFNVITWFAPKNVVVANLFGVVSGLGLFPMTFDWAQIAYIGSPLLTPFWAA MNVVGGLVVVMWIIAPIAYYSNWLYSSYMPILSAAVFDNTGQVYDVSKILTEDFVFDR EAYSKYSRVFLPITYVLGYAVQFAGLASLLTHTICWHGRDIWAQWKQTLGEMHGAELK GSYEPVAVSTEGLSRTWSRLSSRSSFTRSNSSTENIMNREDVHNRLMRRYKDAPMSWY LITCILMLAIGIFVVEYYPVHLPWYGLLLALGICSILFIPIGIIMAVTNQHSSIYLIC QLVAGAVFPGRPVANMVFVTYGYISSAQGIKFAADLKLGHYMKIPPRILFSVQMVATI VSSLTQIGVLNWMFKNVPGLCTPEALNGFTCPIARVHFNGSILWGVVGPSEFFGPGAT YRPLVWAFAVGAVLPIPLWLYARKRKDSIVRKLNLPVLFGSLGWIPPATGLNFSVWAL VCYVFNYVIKNRARAWWAKYTMTLSAALDSGLAFGIVVVFFGFIYPGVVRGFSWWGTE VYKQGCDWQACAYQAVPEGGRFGPDVW NEUTE1DRAFT_79073 MFLLMVPLFSYLAAASLRVLSPNPASCDSPELGYQCNSKTTHTW GQYSPFFSVPSEISPSVPEGCRLTFAQVLSRHGARFPTPGKAAAISAVLTKIKTSATW YAPDFEFIKDYNYVLGVDHLTAFGEQEMVNSGIKFYQRYASLIRDYTDPESLPFVRAS GQERVIASAENFTTGFYSALLADKNPPSSSLPLPRQEMVIISESPTANNTMHHGLCRA FEDSTTGDAAQATFIAANFPPITARLNAQGFKGVTLSDTDVLSLMDLCPFDTVAYPPS SSLTTSSSPMGGSKLSPFCSLFTAQDLTVYDYLQSLGKFYGYGPGNSLAATQGVGYVN ELLARLTVSPVVDNTTTNSTLDGNEDTFPLSKNRTVFADFSHDNDMMGILTALRIFEG VDVDKMMDNTTIPREYGETGDDPVNLKEREGLFKVGWVVPFAARVYFEKMVCDGDGSG EMVQSEEEQDKELVRILVNDRVVKLNGCEADELGRCRLDKFVESMEFARRGGDWDKCF A NEUTE1DRAFT_28247 WVMSLDTDYIYLEEPDWSEQSGAWETTYIHVHNKAVPDQKVWQS AAEAKKEKQKGIIVDSEKSRVVKKVNNKKDLRKREKQLTQSMQVTTIVSKAVDTSK NEUTE1DRAFT_79075 MGPASVIILCIFLAVVAAAIFYVLISRAQATRLGLPPPPLTSYI PFWPEPSNSLPYANNTSSSSGGIKGWFGKFKKNNNRTAAGAYEPSGANANAARSRGFG PLDPDEAWDARVGQEADGYGPAYYEETEMQPSRHNNLGDDTSYGGSSYQLNLAITPGN NQPGTGTGTSNPFADPEEERGRRRSRSPGPAANKGLSASAPQNPFDDAAADHTNVSMR GVSPRPIEGTGASKPSGHNNGEPETPVERRSIFREDV NEUTE1DRAFT_79077 MPDINLQEIHDTLVEVAFEAGRMILAANPNNIDKGSKMNSADIV TETDQAVERMVSTTLRSKYPSFSFVGEETYVAGETKVTSAPTFIVDPIDGTTNFVHGY PHGFCISLGFALDRRPAVGVVYNPALDTLWTAIKGQGAYCQRNASLEPGKGQGPKQKL PLNGGQPLGDLSTALVAVEWGSQREGKNFDIKTRVFRQLTAAKENGGCMVHSLRSLGS AALNICAVAAGQVDTYWEGGCYAWDVTAGWCILEEAGGIMVSANPGDWNPELDSRLYL AVRGAESGQKEIVEEFWGVVGDDRMEYKH NEUTE1DRAFT_60401 MPPKIEAQEIETYWNIFSARTNGGKFLTGEQAAPVLKNSGLRDD QLERVWDLADVDNDGNLDFEEFCVAMRVIFDLLNGEYADVPTTLPDWLVPESKAHLVQ ANRALTGKAPKFEQVEDEDDTPGLKDGFDWYMSPADKSKYEQIYQENRDMRGEVSFAA LQDLYDSLSVPDTDIRSAWNLVNPSASSTINKDACLAFLHILNYRHEGFRIPRTVPAS LRASFERNKITYNVNDPSQSRWAQKADDETSTGRKAKFGDQYLTRLGRSSFKTAGTDF SSEQTDDQWEEVRLKKQLAELDEKMAKVEEAANRRRGGKRDTKPALVKRELEQLLDYK RKELRDLEEGKGKAAAGGSLKSVADDLATVKEQVEGLEAHLRSRQEVLEQLKREIEEE KVGR NEUTE1DRAFT_116440 MSAAFEKAVADSKKLTSKPSNEDLLEIYALYKVATGADFSKADK PGMFDLKGKAKYNAWQKVVDDGLSAEEAQTKYVAKVEEMKTQYGYDENKAPEAVGSN NEUTE1DRAFT_145272 MENNRSSKRTVQDAELGKAGDVRNGGISRTTPRSLSRAVSPPLK KRRTSPEEGEEESFPAEEQAKKQPCSYRRVVASPFHLTTIRSLGQNSNKDTVSLKGLL GDPLIKECWEFNYLHDIDFLMSAFDSDVRHLIKVHVIHGFWKKENTNRLQIQSDAARY PNITTHHAYLPEPFGTHHSKMMVLLRADDTAEIIIHTANLIPRDWSNMTQAAWISPRL PLLKPDAQQNNSSPRSSLPAGSGEKFKIDFLNYLRSYRAACNPLIDQLAKYDFSSIRG SLIASVPGRHSLVDDFPTRWGWAAMKETLKSVPVRQAGDRVQGGGDVDDSEKPEVVIQ ISSIATLGPTDNWLKNTLFEALSGSQGPKTLLSSKSKPDFKIIFPTPDEIRKSLDGYA SGGSIHTKIQSAQQAKQLQYLRPIFCHWANDSADGVGTTTTTPIREAGRNRAAPHIKT FIRFANHNTKNSIDWALLTSANLSKQAWGDAQSKNNAGEPQVRICSYEIGVLVWPELF ADSDGTSSGSKTGQKAVMVPTFLTDTPASHGSEKDRTSLLGEKQGSASTSGNGEEDGK GDDEKEEKSSTVVVGLRMPYNLPLQRYGLQEVPWVATANHLEPDWMGQVWRHE NEUTE1DRAFT_121067 MRWLPLFLGAARLAVAASTTTETETEDEVKEYTKFNDVPVPPLI ELTPDNWEKESKASKWLMVKHYSPYCPHCIDFAPTYQTLYEFYYTSKPVGDENANFTT FYDFRFGTINCVAYYDLCSAHKASSYPTTTLYKNGEQVAALKGVKSMPVLSEIVEKAL EATKPGSRPAKLELPKPGEIVAPGYVPKVAATKDTKETSNVDSAKDVPKVDLVKDSAT VEQPVKDTFITGNDEYLMPTPKTEDKAAEKVVDKVVDKAADKATETEATETKAIESKA ASKADPSVEATAKIEKVKGKEEEEEKKKSTSPAYYEAPAVAAAAAASIKGNKPKTTPN PNGISQPLTAESFQSQVTMTQEPWFIKFYAPWCHHCQAMAANWAQVAREMKGRLNIGE VNCEQEARLCKDVRVTGYPTIQFFRGGERVEYTGLRGLGDFLAYAEKAIDISKGVQDV DAASFKALEEKEEVIFVYFYDHATTTEDFLALERLPLSLIGRAKLVKTRDPELYDRFK ITTWPRLLVSREGRPTYYQPLTPNEMRGTRQVLNWMKSVWLPIVPEMTASNAREIMDG KIVVLGLLNRDDEESFNGAIREMKSAASEWMDKQIQLFQLERQELRNAKQLRIEEAED RNDQRALRNAKNIRINMDRADRKEITFAWVDGVFWQRWIRTTYGIDVKDTGDRIIIND EDNRRYWDQTTTGNPIVPSRTSILETITKISQNPLNIKPKLTISAFEKLFFDIRMTFS EHPYLSMGCILGIAFGCLSWFRNSARAQRRAGHGTHFKLEEGGIGAPSEKGSTGGFIQ SVFGGSGGSSNGPKAD NEUTE1DRAFT_38877 MDLPTLPISPSMTYVFSPDQHGHLVPWIAALHASCMTMDRMIGP FLPPLENGKLLGWWRERIAESNQGTRVIVLLLPETPPGSKPVGNDLRGLAMLKLSDTE TGSFRGKIDTLLVNQKYRRQGGAKALVDALEYEAARRGRTLLRVNTETNSPAEAAFKK FGYIEFGQVPQFSKAVVPQGSLKKSETFFYKDLLAFSQPPSGSSSNSS NEUTE1DRAFT_145274 MGFLRDKLKQKLEEVTAGVESLLHEDDTPSASANAQQAGDDEVP ETSYPSQYGANRFGSFAPESSGDVKWYVDGASYFHAVSIALEQAQESIYILDWWLSPE LYLRRPPAKNQQYRLDRMLRNAAERGVKVHIIVYKEVEQALTLDSRHTRKALEGLHEN IQVFRHPDHIPRGRDVIADLRSKIQMGTFSLAKLPQETLTALYGTKDDVTLFWAHHEK LCVVDRKLAFMGGLDMCFGRWDTNSHPIADAHPSNLNDIIFPGQDYNNARVYDFEDVS NWENNKLDRTKHSRMGWSDISISLQGHIVDNLVDHFVDRWAFIWNEKYKEKDPGKYAL ISADAPSRDRGYGDNAPYPESDNYETPFHFRQHARRFLGDTDDVDHDNRSRSGEHPRR SMKVQLVRSCSKWSAGHDTEASIAEAYIEIITNAKHFIYIENQFFITATSNAQKPVEN KIGKAIVDRIIRAHREGEKFIIFVTMPAVPAFAGDLKSDGALGTRAIMEYQYFSINRG GNSIMECLQRAGIENPSDYIRFYNLRNYDRINTSEAMAQATRDAGVSYEGARRDYDDK FGRAIDDERNQYESSRDPYERNRGDDGYGRGRYEGDRGYEGGRGGYDGGYDGGRPESD EYDVQGGYGDRYDGGRDDDRYGGRQSGYEGGYRDEDYRQSSRYEDGYEGGYGGSQGGG RQYSQYERYQEAASKITDSTWDTISSCYMLNGPEVDSVPWNGSPESEIDAFVCEELYV HSKVLIADDRVVICGSANLNDRSQLGDHDSEIAVIIQDKNRVESSMNGEPYQASAFAA SLRRYLFRKHLGLLPDQRWDAANNNWTPVNQQSVNEYDWGSDADRLVEDPLADDFLAM WYKTAKANTKIFRKVFHAVPDDTVRTWEDYEEFFSEKFVLPGTEAKEAEKGYEKGKVD YGHVVKDEFPGGVEEVKEWLGRVRGTLVEMPLNFLIDVKDLAKEGLSFNELTNTLYT NEUTE1DRAFT_145275 MSLTCTSHRDPDKFPAVQLFLLAIVRLAEPIALTSIFPYAWAFI KRLHIGNEDDASFYAGLLISSFALAEASMGMYWGGLSDRVGRKPVLLLGCVGTMFSMI MVGFATNLWVAVLGRAIGGLLNGNIGVIQTMVGELVTKPEHEPKAYSIMPFVWSIGTI IGPAIGGTFADPHDSFPNMFPKGSLFDRFPYLLPNLICAGMLFMSIVLGYFLLEETHP DMQPRILLPDDTFLSENTPLIETSDAMKRPAVDLRDENYGTMRARDIQNASLTLKTIE RQPSYNVFSKKIMAVIVSLSIFTYHSMTFDHLLPIFFEDDRVPTSQSFGIFKVLSPFY SPGGLGLSLQSVGMIMAVQGVIALFMQAVIFPLMAERLGVYRLFILVTVLHPIVYVIM PTLLFIPERLLYPAIYFCLVVRNFFSILLYPLLLILIKEATPSFSVLGKVNGLAASAG AACRMIAPPVAGYLYSVGRKMDCTALAWYGSMFVAVIGAIQCFQVKRDRSRDVGGDDS IGQHSDSDGEVTPAAETA NEUTE1DRAFT_99392 MLNQNPGLKDIAYSITGGAIKAQGYWMPYACAKAVCATFCYQIA GALIPLFGPDFPSECISPGEPRYGIMIIKPELISDTMRKAQELYQRYGNWGGGCTSSS PARRPLRTASSVSQERHHHHPYPNQEHRDRQQQQQRMVRSRRGLAEESSCVDAIPQLR GISAPMPPAGEWTPPLLRSNAGRPCPVMSTSTHSSISYPERAPHRSAWTAVNHQPPNN SLDRYSLKRPLPSNEPDESVSHSNWPSRSQAPNPWLTAIPRSPRKTSSSPWASQPGSA NRSRAGSINSMASQHPQGLPSPSLILSSPSSSMVSRTSSNSPSPRPQLPPISKLCSLP VPSGRRRLPNGRPSRVGGDETSSHSRQDHSTRGAYQFSAGYQRALTPPSSTSAPMHWR GQRRPSLQDQHEHEHILDTQPRRIAVEANMECGDDNEGHLHLPLPLPRTSSSTSIVAD KNANDTTSDSSSRDFNCASIGSGRDDGQTSLAARKTAALTLLHLRQQEEEKEEAAAAA AAAAAAYSSAKRPESPSSSLSSPVSPPPTSGQPSPTLSAVVTTTNLRRGTTTATAATD TTEPLAPPPSPSSNYLGSPISTSIASSSSSSSFSPSTSCNGTRENSVVANEMTRYAGQ EADADGRRHCDGDADDEDDYEHEQQHRRKRRRLLLVGRAKSF NEUTE1DRAFT_79101 MDSDVESMFEGESDAYSPEVKPKAKKPAAKKAAAPKAPAAKKLT QTTLTGAKAAGKKRPKPDSEDEDGSFGSDKDDGMLDNTPPNAKKQKKAPVKKAAGKPL AEIENDSMQIDSVAPAKKTGAAKTATEMYQKLTQLEHILKRPDTYIGSVERSEQKMWV FNKATGLMENRQISFVPGLYKIFDEILVNAADNSQRDSSMTFLKVTINRESGEISVEN NGKGIPVEIHGTEKCYVPELIFGHLLAGSNFDDNEKKTVGGRNGYGAKLTNIFSREFT LECQDSVNGKRYKQTWTDNMSKMAPPKITSNKAADFVRITFRPDFSKFGMADGIDDDL EALLYRRVYDMAGTLNFKTYCGLYAKAIAKERDEVADGEDVTPATVIFEQQRSEGKLW EVGFTVSDGSFQQVSFVNNIATTSGGSHVNYIADQIIEVLMKELQKKKGKGHGLKTAN VKNQFFIFINCLIDNPAFSSQTKEQLTTKPAKFGSKCLLGDLFLKKVRQSEAIDNLLS FADKKRDKDLAKNDGSKRKRISNDKLIEANYAGGRYSQECTLILTEGDSARGLAVAGR AILDPNRIGVFPLRGKMLNVRDASADQILKNKEVENIKKFLGLKHGKVYTDTKDLRYG HLMIMADQDLDGSHIKGLLINFFECQFPSLLRIPNFFQEFITPVVKVWQGPNPKKPQR LQGFFTLPQYEEWKEAHRNELRRWKYKYLKGLGSSTTDDAQLYFTNLNKHLKEFDVMT REESEMFELAFSKKKADARKEWLAKCEPGTYLDHSTEKISYTDFVNRELILFSMADNI RSIPSMVDGLKPGQRKVLFGCFKQNLIHDQKVVELAGYVSKEAAYHHGEQSLQQTIIG LAQNFVGSNNINCLEPSGNFGSRLSGGSDAASARYIHTRLSPLARKIFHPLDEPNLEF QFDDGKLIEPKVYAPIIPMVLVNGADGIGTGWSTSIPNYHPLDIVENLKRRMGRDSSG DGEEKPFEPMTPWFRGWKGTPEPDGPNRFKFNGIVEVNPQNPNEVDVTELPIRMWTDD FKSKLEEIIRGDKSPSWIKDYKEYNDHQTVHFIIALEEKHMSSALRDGLIEKFKLTKT VATTNLVAFDTRGKIHKYENPQEIMEEYYHYRLNLYGERKKHWLKVYHADYRKLQNQY RFISEIIENKLVVSKKKKSVLVQELRERKYEAFPPKSDGRNVKSPDEELGAADNEDEE DAVGGARDYDYLLSMPIWSLTFERLDKLKQQIAAKKAEHDELDALSEKDLWCRDLDAF TAEWEEQLRLEDEVRKEIRQKGRRVSYKGGAVSRLKKSKGAKDDEDFDPGAKKAKAKA APKAETIKTQQRFLEKFSGMAKPKPKSTAFTDGADDLSDDDFDLLNKKAPAAAKKKDE DAEPVTTIASVRAKRATIAKPKTYALSDEDSDDDFLDIAKATTTSEKTTTSASASVSA AEQSERPVAKPARRAAGAKKPIVDEDSESDLDDFIDDESEEEVIPKPTTKRATAAKKP ALEDEDSDVEFQDAPEEPIPPTPKPEAKKATAKKPIVVEDSDEDMMLSEAEKPAPAAK PATKRPAAPKKSPVLEDDDFESDADDKILSEAEKPAPAKATAKRAAAAKKSPVLEDDD LESDGDDNMVSEAEKPAPANPRKRAAAAKAKSFFVDEEDSESEASDDDDMLLDVGSLV KGIGAPAATSEGPRLSLFSMSRPEGEGSGIGLPKVKSRAFHTKAFLDNDSQDDTNYEA LAKSSPRKHSKTGEADEGSEDDSIGKKVNAAPVVAKKRGRPAGSKNKATDDDAAAPKP KPKVTAKKAAAAGETASAVPAKLKTLVHLSPAAKAYAKKNQKAKKLASDDEDDLDGDD IVMKDPAPAPVRSRPGRAAAARKPIVIDSASEDDDDSFGDIGVSSKAKKGGRKVADDA FDMEDSE NEUTE1DRAFT_79104 MPSWLKKIVSLGRRPKGSTSVTKQDQSNSRSDFKSAAPSERPYS NGQKSTPESQPDERVGERKRTQPQEKLPRNTTKNSEEQPRDSVNMTAAATTAVSHTQK TSTSVSDHIHLSQRTGDLIQTSAHNGVRDIENSGGALNPNQIVFVLKSGNGRYRIYSL IEEEPSSAVAATAKSSDESASEDGEKIKKAKVINGKAGDDHEKTKKLSPFKLSVTYAQ SLPEELTREFLVEKAPEHLAGVDELHVIVSTRSGLGLAAGFYEGVLKPLLEEGFGFEA VGDVVEGDDDDNEGRKARSGKTYQITFTKSADTVKDFARGLVSPSSSFAQGRQQRQPS RTIILLSGDGGIINLLNGLDHHPLDVSSSPSPSSTPTLAILPLGTGNALFHSLHKPLY KPQGTDGPSPLVLGLRTLFKRGVSAPLPTFRAEFSPGAKLISGQPEVLPDSNDSPKDY LLFDVTSTSSGTTPIRKRPEITHLLGAIVFSYGFHASLVYESDTPAYRVHGDKRFGMA AGELLKLSHLYDAEVFIRRSSSSSSSSERREEIITLLPKDSHSTYILLTLVSNLEKTF CISPASRPLDGQLRLVHFGFGEEGVGGAEAEAENRGKQTMDIMMAAYRDGAHINGPEE KFKDVVGYEEVEEIKVVINETDERWRKVCVDGTIVEVEKGGWVTVKREGGIGSGQGEK GRERLRVLVDKGVVVGRW NEUTE1DRAFT_60425 MASEAKDITAPPADKQVIAHEGKRYVTVKEGLAHILVPEPSEED KENLRPDQAVRQVFYNPIQQYNRDLTVLAIKAYGKEAVQQKQASHSKLEKIIEKKRKR QAQKQDQQPNKAQKGADGEKATTEPATEATSAETVQQNGEEAKDATEQETDVPVEQGD KQATNGEAKKGKQPPFTVLDALSASGLRALRYAHEIPFLTSVTANDLLATAVESIKLN VKHNRLEDKVNVCHDDALAHMYTLIAKELRSKDPKGKPTISEKYDVVDLDPYGTAAPF LDAAVQSVRDDGGLLCVTCTDSGVWASNGYPEKCYSLYGGVPVKAWFSHEVGIRLILY SIQTAAAKYGLTIEPLLSLSIDFYIRVFVRVKKSPAAVKFQGGKNMMVYNCDSGCGAW STQLLMRNKASPNKKGSGVFYKHTFAQGPSVDKGCEHCGSTMHMAGPMYGGRIHSPDF IKRVLGELDEAPTDVYGTTPRIRGMLQTALEEILLTPEEQEAEKAKEEAIQAGKKPDP AFKEAELAAIDPYPFYFHPATISGILKCSCPPEAPLKGALRGLGYRVTRSHCKPGSMK TDAPWSIIWHVFREWIRQKAPVKEDNIKPGTPGYRLLRLGEKKKKEEQAEQADGAEAP KEQQSEQAEGEKMPEVVFDEQLGRDDKTVKLVRYQANPRENWGPLNRAVGKVPSHHRK D NEUTE1DRAFT_39335 MASPKHKNRDFNIPQIPPQSPESEAFGVESIIYKSDRPSSIPIP TTNAGANTNHGNRVPSNPNRPSSRPFSASTVPHMNNTANTSTFVANKNDQQPFTSSSP RPVSNPFRTSSYPKLRFLTPLEWARIARSIGGIADPSSESHNVVHPTCWYWPPRGMPE GLYRDVVYQRTRYLYSYHIFSIMRWVLMILQIVLGAVLTALGAWGGSEGVGTGTPITI LAAVNTTVAGLLALMHNSGLPDRLRLNKVEFEQVADHLKEVLDTGIVEVGQGVDDLLN NCFSRYYTAKATVWANMPDAYTSSSAASRDKPQLICPDPTIHLLVNHEKASTQRE NEUTE1DRAFT_121074 MLSDTCASWMRSINSCFGRGNKSNSNSEKSIVITRDQRPTFSPP PYAEPVFSNERSFEPTHERQRGRSRSIKGVRSSRGGSVSRSRGRWLSRSSSRPRHRPH ISAPTNFRHVHSESFQYPTPGDHPSQQCLLPQPQLQQQEQHQRPKRSRPPSFHPIELS IRASPLLPDYAADENETTSRITLPPPAHIAEDSNITQWDISSATMGCNNVDYPTSFHL PRRAVGQVQQSSTNSQFPPRIPTKSRARAYTAPSTEIMVERIASAMLEKEKLQAELDE LVERQSVYKGSMPGTPAGCSASESMPAFDVPAIPAAAPSFAERLSYDERRPKTAPSSS QPQGPGAFSDLKAASATPDRTTLALAAAAFNSHPPMINFAHHWYGQNGSDHGANGHRK SPSTSTVKASANESRTRISKPVPPPQRIITSEFDRAIAPPLPLVLRPPLRKKKSFSRV SNWLFPGGGATVSNYSNSNLPDAYDSYRKRQDSISKDSITNVPKPIKQNEGYYQCFTP SQGNQQQQRQQGASMDYMNNNTYSDTASTCSPSSWRSEEEEVPTTTWSLGSSPVRQTP GQTPKQQTPVLSADEANFAVSAAFGAQSTTTAPKIEVQGGGERAVNGSSSKGAGAEAG EGERTGDTGTCVTVTGLKIPEHRNGHRPQSVGVAF NEUTE1DRAFT_39444 MPPPGQMPTPEQIQAIQRQLAIDAEKAGMTVPEFVEQLKRQHQQ MMMRQMQMQQQQQQQQHQQGGGAPPPEDGHQHQHQHQHQHQQGPPAGQARPIVPGPPK PEAIALAKFLRSQELKPRTVILNGERKDMFRVKRALRALQSPAYEKARKKNPLLPEIT DRASLENTFKLLPMSMLALRVTKIDPHEGHDHPPTKKPHGGGKRVKGLWTVRIEPQQD AQDDMYYVWLWEGSQVMRKVYAALALLVIFAVVLYPLWPLKMRQGVYYLSWGFLMFLG LFFAMAIFRVILFCITYFVLSPGFWLFPNLWEDVSVVESFKPVWAWHDPNPKKKKKNK SKTPAGSAKANATFAATTGQPAPATAVTTATDTQVATATPTAAAQRNYVAPKVEELAD DE NEUTE1DRAFT_60430 MSASDTTLPPSSSRATQTKAEKDSKARGAGSAFFPLGYKEAAQQ WWSSVTARQAERNVLSFIPFLREATADNASTASQLSDLANADPFGHRVWRQTMVPLSG KDRALNEFSIERVGEQVDDTLVMLHGYGAGLGFFYKNYEPLSRVPGWKLYSLDMLGMG NSARPPFKIHAKDQQGKIREAEAWFIDALEEWRKARKIERFTLMGHSLGGYLAVSYAL KYPGRLNKLILASPAGIPEDPWAVNAAMPEPEESAYGNEFTQDQESIVNRETPEGGAN TAFIQADPKDKKAVASSAGKPNNNSNGTNTKKDTAPPRRPIPGWISWLWDANVSPFSI VRMTGPLGPRFVSGWTSRRFNHLPPDEKEALHTYSYSLFRQKGSGEYVLPYLLAPGAF ARSPVINRIQDVGRQIITQSSSPSSSASTPEQPAAPVREAGFPIVFLYGENDWMDVAG GYAAEEKIRKRVEQALTDQTRTEEERKRENGSAKVVVIRRAGHHLYIDNPDEFNEVVR KELEETKEWGRRMRAEGLLPPTPLEN NEUTE1DRAFT_60434 MADALDSIVKGAPMAQDLPHLNSLNRAISGTTPIASSNVPLTPT KGSHNGAASSDPLSHTPSSPSMIYLNLLILEASLRAQYLDLRARRRHHTFFLVLLGLW TTGFGYALFLAPREDGSGVGGSVYWVVETGEKVFFLGGIMTGVLVWATGIWERGVRWP RRWLTVSNRGLRGFNCKVVLMKRPWWKEALGTIGWFLTYGLFTNATSSYRYVEPAILK EVDRELGLSGHGHPTLPVVNVGHDMEKGGHEEDLSPGGDYVKLLLLAKPFSPTFRENW ELYRSEYWEKENERRALLRAKLKERDRKLRKQTGGWFWWLPWRRIAVGEKTHHHHHHH GSSVSEKALHPRAAAVSSEFRRNRSSSRRRGSMNGSALRSTTPTPSPRGEYDEPGLAR KGSSSLTPEKVRRKKTLAPPGSATKTRPKVESRSVTPENPSPLAKESSLEPEGLNA NEUTE1DRAFT_79116 MQNVPHTPSVGSLIRESITRAAPYDTRPPSPPTVDIPATRAVGR NSVLIAPSPRNLDPALFDLNSDYASIITHGLRPQEALDQASDWEYTARRSAQKIIDPS LYLGPLSVVRDREFMKGEGVTMVVRTDGQGVPPVPTLGPAAKKVTEELGVQVEAVGVG GLQGLIREFPKLNEKIARHLVAVHKRSLGAQQGKVLVCCETGNDRSAAVVVAYLVEVW GVGLIEALRFVLYRRFCVAWDDDTRRYLKNYEDILMAKRARWQDQEANDRDAAAAADE SRMNKLKRQLASVGFDDDSDDEDEEIWGNYKGASSSSEETIHQARGRNFAPFLDLPPR GAEHRNNEGENRPS NEUTE1DRAFT_60437 MASQRKDRPQRNRKPPKIYGFHVSGQEEDTFTDFEPPSPPHRPI DTRLPFDPQLVEECAFPSLDPVTHVGLGPSEVYKVYRQARREQEVKRMVEYEPLYHER LAQLKQRKQVVGRRDIGEFPGGVVDNRSRGRSRGVSVQYANTPEERVRWERGREQEFV WAVGESSSEEEDEEKEEEEEEDEDEDDHVQQRDTLMRTSRLLLSVRNANHTKQKEAVA AVERARSHAQSLASSQGQKSPGPLLPLAPASASAEGKNQKDADGDTVMGGGTIHEPPY SFAQLSTLLSLSTDEIISLLYLINHETRKMIVLDSLSNQLAAIEDIDAVRGALDRLKP QGLVTDSVSSEDIRQGQAFLRFMGLHKVAEWLAEYVGVSNSRDSNEGRHVIKINGRLL DGDIPAEVAGNVLRRIWKEEQERATRIENARRAEAAKASMQPPPLGLVNRSVLELTDR SVQNGKVLTIQEAEQAARRLADFGLLVPQSDHYTVSLNGESVHLKQDLQARRLWNHEL EAMRKAQRQNEAVPPARPTLESNEERQPSRPTLDQVAGSSVGNREEHRQGVRETSRGQ ATLVQQPPPSTGAISSAPVPMDIDSSMNRATRHDKEQQQKGQPSSSANEVDQEASIAD ESQSRNSPTNDYLLSIGIDLSFHKPPPLDTSSGSPTLEERTAAFLAANKATLDAIDKE DEMEARLRRRQPAQGQAHDEEPAISAYGLRYVSEARTLRSNSIYSSRLPSEAPSVVPA ANTTPTTARGNRQATPVVANPSGSGHEMSAEIQRQVQVVTEAGASSVAPHNALAGNGN SNQVPTAVTIQREEARPVAVVTPPAPETPASQRKTRIILKVRGRRVTSQSSITFTDTP RSEPPVHTSPHTLGRNFSEPTRRHRELSQEISFGDNATDHTSSRSSFKQSLPTRRNLF GTTRQQQHASFSTSGTLTASNHIQSQIPMPSLVIGGHPTNASSHPSHLQRPSMAGFRD LASTEPGSHRQNELGLAAPMPPVIPVTISSTQANPSQVIRAVADRESQQTMRGGAAEE DGKRYATRSQVHSEEQGESGP NEUTE1DRAFT_99404 MAMGAYDYTFILLVGYTICCTIAAHYGFGQNMWDIPPEDVPGAI MWEAIGQCFAVIGMALAKWSLGLFLLRLVTQTWHKVSIWLMMGSLMGASISVCFVFML QCSPPAYLWDRSIPGGHCDLNATPVSLTLTTLCVIADFFFALMPWIFLWKLNMNQREK MIIAISMSLGVIAGACGIKRTLQVPNLSTNNYSHDTVGLIVWSSAEIAITMICIGIPV CRPLYKSFFEKIISSRNGSGGYQKQQSGGASQSLGLRTIGGGVIPGRSGGHDSKNKSR PGRDDESDEFELQRERTMPDSSGSDGLGLGPAGAGQSPFNDANAISGSTATVAVGRMG GMDNRSEESILGEEYRRGHGKSNGDLERGEGPGPRQAQGAGHRGNKRSSGGKAAVIQV TEEWHVTRE NEUTE1DRAFT_121081 MDSHAGLDGVLQPQVLKLTLRTLPSPFISLVVIPILSILGWYVI SWATNPLKKYPGPFLAGFTNWWRLYQTRTGRYHEIVYDLHKKYGPIVRIGPNTLDLDY PELIKTIYSTDGKWLKTEFYHNNSAIVNGKITYHIFSTTSPTEHAKMKRPVAKYYSTS YVQALEPHVDAVLNDFCKYVEERFINVPGGPKELDFGEWLGYHAWDLISSVTFSRRFG YMEHGSDFDGTIEKAEAALRYFQTVGQIPILDYFLDKNPIKRVGPPNLVHPARIAVQS FVARLQGKDENYDPKNPDYLQHFIDSKETHPDLVDDNQIISDVLVNLLAGADTTAIAL RAVFYYMLKNRSVYNRVSEEIQAAGFDRSKPVPYSGARQLPYLEACVREALRIHPAAA MLLERYVPAGGITLPDGSFVPAGTAVGLNPWVVSRNKSIFGEDSDTFRPERWLQQPGE DDEAFHVRMQKWNAADLTFGNGSRICTGRNFAMFELYKVCATLLHRFEIELADPTKEW KVWGSWFTIQKDVIAKMKRVYGNAKVRIGSNKTIIGLPGAGFNGVGLHFRRQSNLILR NIVSSFVEADNGDGLTIEKSSNVWVDHCEFYSTLARDKDFYDGLVDISHGSEWVTISH DNNGSEDTGHLHVTYANNYWKDCGSRGPLIRFGTAHIYNSYYENEYSKQVGYAVEIDN EFGGANNTAPTGTLTASSPPYSYSLLGSSNVAATVPKEVGAILSF NEUTE1DRAFT_99406 MTLALVGPSQAALRFGCSTLSIQRLDPLVEPGRLPSEEFSNYWT AVLFFKHPNGTYKRVPIMQNSVLLNGINGGMTIYYTVGFRMTVSSPTTNNLNDAKGHV GLRFVCLTDKNTRFPELPDFPTKPCKGGIMTVHHFPSCWDGKNLDSPDHQSHMYNTAK KAFSPAGPCPASHPVRMPQVAYETLWDTTQFNNMWPKDGSNPFVLSYGVNKGYGTHAD YVFGWKGDSLQKAMDSSCMFNACENGRPLKSQNVQAMNKCAVKNMVNEDLDSWLKVLP GQAM NEUTE1DRAFT_121082 MADIPILSSNLNGSDTKERARARACVYCNKSKTKCIWPGEPGVG ACQRCARLHRPCTVPHTAKERRRGRGTRVGQLEEKIDGLVSLLNASRQIQQQSSAPGS ASTTSEPPISGHDAPDSTVFGQLPTPATSSQEQPSASRPFCILPMSCMHVNDGHLGDC TGQHAQGCPPPSHTTLQTPTSTALSSSGGSEPTNSYIPPTAYIDITPQPYGYLRITMG EADRLLKLYQTDYHPRFPFVPIPRNTTAQDLFQRQPFLFRTIIQIVAPQSAALQRSFT IWFPVGLVVDLGLNSHKPSKQDGNGANQFVEDVRRLNGHRGRPPHTLEDMRAYLGCFY VSSLTAALFRHIPLMPCSTYLSFCCDKIEAEQEYIADTYLVVLIRMHRILCRIIAVFP APDSDGSGIVTFSAAAHMAMTNLRAELDDFKLQVPRNIRDNLSFEIGFKGILVRLYEP VVYMASSPVSSIDGIRKSEAMWCCLDVVKAALDSYASIPVADLSYLPFNTYCHMTFSL ITATRLVVLQDPDWNSKLAGESLDFAGIAQRISDRCDQADTVAIAEEWRRKRKYVNDT VSVMSMHRNKLRWIRSWYLSKTAAPNPAAPESHPPIHHSDSQYQGQILPATEMIAETG NPAPVEALSPVSFLGDEWWQAMLDDMSFLQP NEUTE1DRAFT_99408 MSGHSSSHSSSPGKTNWDSIAMGSSALGFIKTDVASKSSSSRGG SSHGSSSGSKSSGSSHGSSKGSSSGSKR NEUTE1DRAFT_136295 MALPLPPGLTQNEVAFLAEMEMVTVVPRQRLDSIDLLGGKTPQL RPPHRAQLPLWLALLLKKQRRANIVPPAWMHPASLAEIIHRETKEDPEAFSPPPPPPS RALYSQPGTARRLNPSYVDDFTQTQQNSQQDPNSILSPPFLPSNVAESPAGYLPYHWL EVAEALLTHAGDDMPAPAGEVRSLLRDLVEVRAAKMRSSTSALEGFGDAYLTLRGVGA MELAENRAFLAGLVDGVRKIGASAEATRREEEEEARRGGDYGGDGDEDSDEDMGL NEUTE1DRAFT_116447 MGKKKREGQPTVASRRWEAVGEKSSNRTRTSFQTPRPSPTTKAH ARTTWSTMRYPRGHGRSMRRGQAQTEFPQDGFATR NEUTE1DRAFT_145289 MDSDQPQPRRRGRPRRDAFIGPQNRPAIWGDPNLPRRQTRAQRE RLQELANGNIPHYELGEDGRQRLLNRDQIRAQNLQQLENGNIPQIEIGDNEEVHVLNA EQAGQPAPEPQPEPGSEQEQEPEQAVIVHEGQESGSEEDPVRGEGSSLDENADPSDSS DPKADLDPEDGSEAAHPRTPTPPPPPPQTPPVRLSPSSPASRLDPFDYEDTPPPALPV LSSPQLPHTPPRPAIVVNPPLEAISPQHFLVSAGYVAGGWSGGFAAAEGQQPAPQPIP VADNNENGGDEVEWQHPHDVVEWQYPNDVEEWQHPDEEGEEPHPSGKQEEQRSDEESE DAVTRFGEEPSDSSSSSSSDEDAAPAHDRGERPDSSSSSSSSSSSSSSSDGSDADGEG RGNDEERRDSPDPDGNEDQANNGERHFNDSDEDLFGEGASSEGDRGEANVGLDQPHDE DEQELPELPPSNNQPDEPAPAGEEPRSTSSLPDFDDEQWEADERELQRRNQRNNPLPW YERGHAVQRHPLGDLAILPERLQGHDSVERNAINWTRPSLDFGAYSRAPSLPSDWSSE EDWDYPEEEEEEGSSKSSCTGSSTSRSGGSSDSDDELPPVAMPVNGTKVSSQGSSQGR SSPSQQQETPLSSPPPRLPPTPCPSSPKRNRKVKPVPSPLKLAYTSDGTSVSSRDLEL DMAARTARSNAPPPSAGITTATTNTNLGQQPPTTPKKFSASKPSMRRNSAGPFQQSEY PSTTVFREDFTFVPRDGRLSAPGSVSGDDGTYTLPDYTGQGDQDISPKTKNPPKVSQT GHAAPGTREARVQVGGKGFPQVHKDKGVKVVDPGDAECSSEEEEEEDEEEDEEERKSP KRKPHHRRSGIYCPAFSTERYLQDQKQQKERERQEEERGAYYQYNPRYDEGYTGGLTG SAVPRWMSAEQDTLNPTSWISTASTESSLAKTSASSGAKRSISSITDYDDDSDESSGS LAKRHCSSPPVSPKRPRSFDEDDDEGNRSSKRLRMELPALEKGDGQQSLGAKVVGIVS QQTDAVHQEEQTRYDPAMIENEEYDPRTVGLPRIHGSQGSRSSASSVAGTAQSWRGST EYDPADEREEEEYDPQHPRG NEUTE1DRAFT_99411 MILPPNLSHECPEHGTDPDRLHVATAVHKDCFVIAKQSCPLAPR LTCERIWELAVARNPWPHGFRPPKSLSLESPYFMSPQAVNQVASIVSIPQLKNFPSEL VERIRLYSPGNTPFWRAVAALTVASSLPNIPIFAEQRVKIRHILHWKRGEQIATVGPR GQDDVLRLTFDVDGIREIERFCQQPQICLRSLGYGECSRRLAYCRNGLLRLAFDADDI YHDNEHGVRIPFLPRIWNTPTPPDLTNCIYLYHGDIFKDFATGYYISLDSISGLTFVY RGKELVAIHPHRGDDVEMNCPKVLSSGSSKIQEACFFLPVSKEDAILRIGVGIYKNAF VVVVKKRLSGDSVIGLPCHDPPRDDPTSEGPDEDEFYKDELWWGQRDPIALVYGIPGK RNFLSMLGGYRGTPPAKPKWEGWEGIIPHIWHSNLFRLDDDEDLRFYTRAPLRGVVAA QMFYYPSRRNRPTGRVCSGIIFHYDNGGSRVVGEIRLENRAKLAGETIINPKLICLER DILPGFHTPNIHFVTESEIESGAHPNDSSWNFDECPRCPGRAFTYHPMRGTINWWFTA RQRNSIGIYECIED NEUTE1DRAFT_121084 MTTSPICTDSIKRAASLVASDLLTYYHGSEPGQTPGILPGPPPA GDYYWWESGALWGTLMDYWHYTGDSSYNELITSSMLFHTGPPLNAYMPENYTISMGND DQGFWGLSAMLAAEIGFPDPPADKPQWLQLAQAVFHTQADPGRHDDVCGGGLRWQIPM ANIGYDYKNSISNGIFFNLGARLARYTGNETYAHHARKTWDWMMAVGLMTEDGNVYDG AHTGVNCTDVFKAQFSYNAAIFLQGAAFMYSFTKGPEQVLWKNRVQALLDRTIAFFFH AGPMIELSCETPTVILCKTDMLSFKGYTHRWMATTTQLAPFTRDPIMRALRNSTAAAV NSCRGPLHDGRACGFRWTTDGYDGLTGAGQEMSVLAALSSLLAFNENAGGEGKTGNKG APLTGETGGTSKGNPHAGTGKGVVDPTELRELTKGDKVGAWILTAFTLGTLGAAFVLM ASGSFEKLEGGATAAAAAAAAGEKKKKEKLSIWYKIARESYFFRHQG NEUTE1DRAFT_128675 MDDLESLELLSLVSKVTSELQNHLGVSEKTLAEFIIAQRVECGS FDEFKQKLATIGADFPPSLVESIDRLVLTLHPKFKGQNHGQTRADENEKHGQSAEEKT KVFKGLSIPDKEVDVIDDTLALLESLEPKRAEKERPRKRSRTPERDDYADDYGKRKRK ERYRSRSRSRSRSISPPRGRRKGRYDDEYDGDFRRPPPREVDETPILYKVYEGHVTGI KDFGAFVNLHGVKGKIDGLVHVSAFGQRVNHPSDLLSKGQEVKVKVIKLEGGRVGLSM KDVDQETGMDLAPQLRIGSGANMEALGGRGGYDTKNVVTNGFGDKGAFQATANARQHK KRMTSPERWEIRQMIAAGIAKASDYPELEEDYQATLKGEGQMELEEDVDIEVRDEEPP FLAGQTKQSLELSPIRVVKAPDGSLNRAAMAGTNLAKERKELRQQETEAKKAEEKKVD LSSQWQDPMANPETRKFASDLRKNAQAAPAAPDAVPEWKRAVAPKEVSLGRRTNMSIK EQRESLPVFAFREQLITASEMLPTTIPEIQRQNLSNTILMLKAMGINDLLRFDFMDPP PVNTMLTALEELYALAALDDEGLLTRLGRKMADFPMEPALAKVLIASVEKGCSDEMVT IVAMLNLPNVFYRPKEKQAQADQKKAKFHDPHGDHLTLLNVYNSWKNNGYANPWCFEN FIQARSMRRAKDVRDQIVKIMDRHRHPVISCGRDTNKVRQALCAGFFRNAARKDPQEG YKTLIEGTPVYLHPSSALFGKQAEWVVYHTLVLTTREYMHYTTSIEPKWLVEAAPTFF KMAPTDKLSKRKKAERIEPLYNKYAGADDWRLSAQRRGGRGGGGGGTWG NEUTE1DRAFT_116449 MCSTDIFLGFLAILFPPLPVWVKTGICSADSFINLLLCVLGFLP GLLHAWYIIAKNPTPPFDYDGAVYDANDIGRVESGQRIYVFVHDQPGNNQTGGGRHYH HQQAAHKNQQPQRQGPMNYGTTAGHAPQDSGIAHAGPSAGGDGDNSHAAPPPSYAQVV AGDHKIQTQD NEUTE1DRAFT_121086 MSTHVQDSASSGDVPAEVTATRPSSDNDNAATHGEEEAKPSDGD TSPVDGKENMPLAETAVPKKKKKSNKSKGQKKRPTGFEEYFCDPPITPAEYEEERKSI YPPSRPFVDRIEECIQRYRARRRFDSAKENLFSRYLFLGGIDTTTRQFQGTASLTSRD LDGLDKDDIRDIAANDYVQRKPGMHASRYYNPNQPEHWDVDFTGVAAGFFSETLMRLT TPGAADYAAGVDLVSNFLKYVDLHDVCPEYAEDIKNAQKVCQKAREEMPLLVQTLLLL PGNFSSAACLVTCEDDDEMAWARELMDEKAARQNIGIVMSILMSSKCGHENGIRFEEL AGFPTVTKTITQRVYEVVSIVLPNDECHAKFKSINKHLGDANAIKPCGFFQVKPTVVR DGWENTMHNTVEDVTYNLVMEEEILALIKVGFKFKMDICLLNYGVGFIKNSVDGYPTF YEFLPQELMWGFKEPVVNPRPGPSIHNPTGSFEGGDGMGDFLNADLEDDAPEVDASPV NTFPVDGAPVGSAPVDNAPVGPAFGDDEIEWAL NEUTE1DRAFT_60460 MCDSQGELFIKPCTDQELQFYKTANESHQAFADLMPVFMGELEL NDSTSVRDLDEQLPAVSEILSKETKEEIIKFSKEQAAEAAAQSPAPSTDRQWTEKAKS RKIETNKSVVLENSAYGYTRPNIMDAKLGKRLWADDAPKAKQERFDIITKETTNGSHG FRIAGMRVYKGSTNPNELDEQGYKVYDKHYGRLEVNADNVVEAMRKFIFNPQAGIDEE IGKIIAGLFLNDLRRIEEVLASEESRMYSASLLFTFEGDGNALRAALDRTRADMSGEQ YKKDDGPYTPGLSASRVDSGIEMDDEGQMVFPINAAIGQNMILANLAQAPASQDIQLT ADSDADSMSGSSNGDIPRIYSLKLIDFAHAEWTPGQGPDENALFGVRSLIKIFEQLAD D NEUTE1DRAFT_79143 MSIPGLGQIAPQAPTTTQRTINLRPFGEWRFSIPHQHSTFSSNS SAAGVTVRLAAGTAERDGTELAPNCVYTFLPGTKSKLFTDQGCTLEINNTGGYPLEDR VVEHPPEQSPMLSYLNLHFGLQDHERAAAAQAQQQHPTYHQQQQQGRGAGPGVARPKP GPRVLICGPPGVGKTSLAKLLAALATRMGSQPMVANLNPTDGLLCLPGTLGAAVFGTL MDVEDPAGGFGVTNTPISGPSAVPVKNPLTFYFGHEKMEDDLDMWRQMTERLAVLVRR KFERNRDVRVAGLLIDTAPVEAGDKEGQKLLGWAVRQFDANFVVVLGSEQLKTELGQR FASEKTSFEEPITVLGLDKSDGAVQIDKAWRQKSTETAIKEYFFGGIKARLSPFTQSA SFDELVVFKAPDEPYEGAPVLERVEITPEMAHWTLAVMIASVTDSPQAIRFSSVLGFI VIADVDQERRRVKFLSPVSGRLGNHPLIWGRWPEPYLNLLA NEUTE1DRAFT_79148 MAIISTILESAFNSPTVRTHHGDLGAEIRDGFGEPEQNRGLTND LAVVSLTFATLSLILTLSTFYWFVKMRKTFRHDDLIKSVWFVIPSIVHLLRGPLRSNS ALCQIAGFGLALGIEASDIAVLLIAIHLLMTILRLRTGLYRYRYWAYGAYCFFPVLFA SLAFVDGTGYQEAGHYCYLRTDKSWARRALSWVPRYIICCSILAIYLFVYFYVRSRLE DYGRRSSGNMNHPHSRDTDDVPPTPRLISHGLLASLPNSRRGSAADQNVAIKDRNRSL SSISTLQFELHVDEAGEQPVSKGRRSLKHGPAKMNWNWPGFRPPRASRSDNSSSSPRS YWHRPVPSECSTVHGNHASHDLPPSSTNTVNQGTYHIDLTLTHILTMLRKGPPRTSNT PPTTRPSSMTISPDSIGGDSGVTRNRERARRQLYALFAYPLVYIIVWIFPFLSHVGYD DKITPNDPQWILFVSMVSLGIQGTVDCMLFTLREQPWKHAHGDFWTALRARMRFSGSW RDVGFAGRTREEMFHDSQLARKRREQEIADERARRAVHEVTQEGADVEAGAGGARGAA TRKTGAVEWWDTADLLDGMIDDDEELRDDANDDFWAQLYRLNGD NEUTE1DRAFT_79149 MSSPLTPPPRPIRLAILEADTPVPGAHARYSGYRGVFTHLFTRA LASSSPSSSSSSQDQKQQQGHQHPLSSYLTISAHDVVNNLSDYPSLSDIDAILITGSK HSAYENDPWIVKLTEFVKKVLTEEGDGDSDGSKQGKKIKVIGVCFGHQIIGRALGQVV ERNEKGWEVSVTPVGLTDVGRRLFEGREELKIQQMHRDHVVGVPDGAQLLASTDVCEN QGFIIPGRVLTVQGHPEFTTDIMEELLELRKATGLFNEELFGSGMDRNKVDDGVFIAQ ALYKFLLQD NEUTE1DRAFT_121091 MAPAARVSLNKFLITARKALLAPPAQRPNPLVFVIGNESADLDS LCSSILLAYFCTYRTTPPTLHIPVSNLPQADLALRPELTAVLKPAGLHTNDLITLDGL PKDDNVTPENTRWLLVDHNSLTGPLAARGFGGPERIIGCIDHHDDEGVVPPTVKPRMI EKSGSCMSLVVEYCKPVWEELSRLESEEGTTNSREEWESQLAHLALAPILIDTTNLTS KDKTTEWDTGAVEFLEGRLTQSQKTSATGGGQGYDRTAYFNHITSLKEEIAGLSYRDI LRKDYKRWEDGGLAVGISTVVRGLEYLLTEIGDQKEFTEALRAWAKEQELDIAAVMTV SNPDGKFTRELLIWAFNERAVKVVKRFVEKNGEEGLQLQTWGSGKLDSDDGKGEWVRC WTQGRVDKSRKQVAPMLRDAMKEVARL NEUTE1DRAFT_128683 MSATPAVLRASACSACRFSALRLFVSSFATPRAPLPVARTRVLS PTAPYSTFRPSPRLLASPSSEEHAEPAAQEQPNKTEDAQAEASTETNNEGGADVPWYL QVDAPTHPTLVHEPPPLPDIPEGSPKLMEPLVKFVSDELGMDDLKLLDLREIDPPPAL GPEVLMLFGTARSERHLHVSADRLVRWLRNRGVTAKADGLLGRNELKTKLRRKARKAK LLGTTGLPSGADDGITTGWICVNLGTLGWSDMEMEFKDEKGVTSGFGVPQSGTTLVVQ LMTETRREELALEKLWSGILRRSLERQDKIAGKLPEASFTTSPDTVSEQSFSPSPIRS SGRNRKRPDRLYFSTSARHHATAVDVSQTDLATAMAGWEYSNASPSSIDNLLAQDTDS KVQLLIQMQDYLFSLPRDQALSAVSLCEDGSPSTFMRLFNRAIEKLPSAQAWEARLWL EKAARALQHPDHDLARLGDLIQEMKLSGAANVSREKFVDLLRMIFAIPETTDAGVRQQ ASLSMDVIDMLFSRGEKVIEYDVVVAVIESLLQTRARPPEARRLLSQFEDLLGEAQMD CPTEDEIIRLLDVYANHRAWNKFWNVWRIFPRYCERRTERMYTKVYERIAAEDHQGMA TDALRWCVEEMWHEQPPVRVTAAMLKALEACIRIADPEAESLAKDIDDRISDAQYMDR EFVRLWLTLHGSAAWGA NEUTE1DRAFT_121093 MLPRTASATRTPHLNRLVSAGSRRVLPRCPSSLGTRGSLAATPR LLSTITTQQPSPLKRQPAPRATVGFERHLATVLDEPAQTATTPLYELRSFSPQAPLTV RDHTKVYAKQRVNYHGIPGDVNEMFLVFEACLQVGRLERAAQVLKRLANLDVVPPADY LDLFNQYLDAKVSQLLQEPDVDKADDIHKAFETMVSDGRELPVGGETVALLLKASLTS TDPETMQRYVTRYLSLLPTQTALETVFNTEILTYEELTKVAELCPKYNMPDNVDPDTF AQQQQQQQQQQEQQQQQDTSIDQSEVSIEPLLTSSEPSAIPQVLGTPQKGFGLQFVKR TVSMFKDIPDGFDISTLPISQQREIQSKLEKDCVDASLARWREENESLQKMGLNTSLD TPSLNSRLYQWQKDLETRLRTMLVEVEKSEMVSKKNKDDLDRCIYGPFIRQSNPERLA AVTIISTLSSLAMGGAHKGSTIASLITHIAKFAEEDIRVQKAEALISKHNLRKAKSKQ HNPRSVLRFKNSTASAGSSDMADSNNVAVEMDDEAWTTTIRTKVGAALLSALLDTAKI TLVREDPVTKTLITQNQPAFSHVMQLRKGKKIGTIIPNKAVVELLVREPVPDFLARHL PMVTPPDPWVSFEKGAYLETKTPVLRLKNGEREQRLYTEAAIARGDMDQVFKGLDVLG KTGWKINSPVFKVMLDVWNSGKKVANIPPLDPIFDLPPEPASTEDPTVKRAWLKEIKV IENERSGLHSQRCFMNFQLEIARAYRDQTFYFPHNVDFRGRAYPIPPYLNHMGADHVR GLMLFAKGKPLGESGLRWLKVHLANVYGFDKASLQERQDFADENIENIRDSVINPLNG NQWWLQAEDPWQCLATCFELAAALELEDPTQYVSHLPIHQDGTCNGLQHYAALGGDTW GAQQVNLVPGDRPADVYSAVAKLVIKGIEDDLAKDNEFAKAMHGKITRKVVKQTVMTN VYGVTYVGAKKQVLKQIEAAYPNITAESGIEAALLASYVTQHIFRAMSTMFKGAHDIQ NWLGEIGGRVCRALTPEQLDEFERSERSPHGDGTASGENITLAGNPRKSSAHKNDEIL NNFQSTIIWTTPLRMPVVQPYRKHGTKTVSTCMQDLVMTIPERSDPVNRRKQLQAFPP NFIHSLDASHMILSALHCDELGLTFAAVHDSFWTHASDVDSMNAVLRDAFIRIHSEDV IGRLAAEFQARYKNSLYLAKIETGTKVAQEIQRWRVRNKLGPRKELLLEKERQELLRS SNPEDVERGKKIISPASLYELYSSAEDLTVPEDLKEVTIGNLAGVEEKKVRRGREMDE EGQVDGSEEAVEHEDGMHEDEMLADEPRDMDGGSGLDELRNTNHFALSQKRAKASIAS GGKQKHYLDIWLPLVFPPIPEKGDFDVRSLKDSTYFFS NEUTE1DRAFT_38235 MAALDTYKYTPAEEKEVQQWIQKADTIKASDDKQSVLDALNADL ATRTTVLGTKPSKADIAIYEAVAPLVKAWSPEERTGQQGRPNIVRLVDFVQNSPLFGL NVADADKIAIDADEILYDQVVQAATDAAPAAAAPKKEKKEKKVNENRRPKATPPPPAP LSPGLIDLRVGHILKAIKHPEADSLFVSTIAVGDAPGTEDTAEYEGQVCRTVCSGLNG LVPLEEMQGRKVVVVCNLKPVKMRGIKSCAMVLAASPKPQEGVEDDHKGPVELVNPPA DAKAGEKVYFEGFNAEPEKVLNPKKKIWETFQPGFTTTGALEVAFDVDVCKDVKDLEG KSGIAKLVTASGGVCTVPTLAGAQVR NEUTE1DRAFT_38710 MSYTKLRAEQRLAEEEEKARVENEKAEEKRKKKWKKKVLSKEER DAKAKDLDRLLAQSAAFSSILTNKTKVLGRVGTGLDGKTIGEHELTMAKQPKCVVGGT MRDYQLEGLTWMYEICVQGMSGILADEMGLGKTVQTISLIALLREQENYLGPHLIVAP LSTLSNWIDEFHRWVPSIPVVMYHGTPQERQDIFKTKLMHHLHGGRPTEKFPVVCTSY EMVLKDRANLSKINWEFIIIDEGHRMKNFNSKLFRELKTFTSATRILMTGTPLQNNLK ELWSLLNFLLPKIFRDWEAFESWFDFSDLEDEEGTEEFIADKTKQELVKKMHVVLQPL LLRRVKSDVAKYLPKKREYVLYAPMTKEQTDLYNVINDKHIDTRSYLESKVVERLTGA TTSTASSPRSTSRSSKANSVKMESDGLSESGSFTTKTSALTLQEKESSPLAKDAFALM MGKRTRGRPRKALSVSEPPAEETTPVKSTTTGTKRKAAPVVETPAPKSAKSSRQSTPM STRSRSRRGRRSYKEADSDEELLDDDEFEKKLAKEVVEDDVQDMEVDLDVEEIKRAQT LDLAKKEISNKKLGNPLMQLRLVCNSPHNFYNPWSNSDQPIDESIVTASGKMLLLDRL LPALFERGHKILIFSQFKTQLDILEEYCRELRSWEVCRIDGGVAQDDRRAQIEQFNTD PDVKIFLLSTRAGGQGINLASADTVILFDSDWNPQQDLQAQDRCHRIGQTRPVVVYRL ATKGTVEEELLMSADAKRRLEKLVIKKGGFKTMGQKLDLREEGLDRETLRALLLKDGQ VYKFSGDKEILSEEDLRVLCDRSDEAYASAAKGEGNADGWKVIETQAEGIRTAGEKRK R NEUTE1DRAFT_116453 MARHGGRDSLSGIEKPAVEASENYTHNEQPNTGNLNVRAMDPEE RIRIEKSLLRKLDARCSYFVVIYILNYLDRNNIGAARLKGLQEDLKLSDTQYATCLSI LYVGYILMQIPSNMFINRIPRPSLYISAVMAIWGLISTLTGLAKGFGGMVAIRFLLGF VEAAFLPGALLILSKWYTRRELTKRNAILFCGNLISNAFSSLVGAGVLSNMDGVLGHR AWRWLFYIEGAATMFVAVMAAFILPDLPTNSRGFTEEELLVAQLRMTEDVGEADSDSA DMGIFDGLIMVVKDWKVYLMMLTFTAYTTGLSFNAFFPTLTGTLGLAYVPTLLLSAPP WVFACIFSLINAWHSDRKQEKFGHIVGPICMGLVGFVISMATLNKAARYVALFLQAGS YAGFIVFYSWISSSFPRPPAKRAVALAMINAFSQLGNVAGSYVWDLKENGYRKSYGIV TAMFGITVVGCWVIRMVLVDQNKKLALGEESAWEVHDDVANQTARVEGLKSEGADLKP QIGFRYLV NEUTE1DRAFT_60489 MWLINTRTLELQEFVGADIPAYAILSHTWETGEITFHDAKAKLL GKQTSRGARKVAKACEVAAEHGLAYAWVDTCCIDKSSSAELTEAINSMFQWYRSSQIC LVYLSDLKAVELDHAMYTSRWFKRGWTLQELIAPSRLMFYDQDWKERGTKEDLASLLS KITRIDIGILLDPSRVYTVPVGKRMSWAADRQTTRLEDRAYSLLGIFDINMPLIYGEG PKAFTRLQEEIIRRSNDVSIFCWRTQENTRWRGILASSPDEFRCAAD NEUTE1DRAFT_60491 MVTQTQEVQQWHTFEDGFDNLTRGTAPMPTPGQDEVLVKIKAVS LNYRDTEVTNGEYNHYEAYSRRTTPLVPCSDALGIITAVAPPGPGASEAPWKVGDRVL STFCQSHLTGQITAKDLASALGKPLDGVLQTYRVFPSTGLVRVPEYLTDEEASCLPIA AVTAWMAIFGMEPFGTWAKADDGKPLQGKTVLLEGTGGVAIAGLQIAKAAGARTIITS SSDEKLRRAKEELGADHVINYKTTPDWEKKVMEITGENDGADIILETGGAGTLYKALD CIAFGGLISCIGYTAGKEDSGEGSRMNVNVLALRRTVTLKGIINGPRDRFEEMCEFYQ RHEIRPVVDKVFEFEEGKEAIKYLRSGNHFGKVVVKVE NEUTE1DRAFT_37966 MDSLLDQQEPLSNDTDPFLRVYRYAVTLHPSPFLSTRYTIEPPS ASPRTSSDTPTKQTPLPPSLASNDFSKQTPRQTHGHEDNKAESRVLVPRRGASNIPAT APRAVVNTPQRTVTVTLYAANHRPYEVPIKFYHQQTTRHSRLEQIWAGQMQGGPELLS WIEIVRHKLFAQIPGFVFVPQDPFLSPIETVNLMGGLTDQRDDMQKLSQLTAKFLREY FANMSMEDRPVLYSQAQKIGDGQKLVDFHKESLHDIFFSIQVHRKKQNKNIVGDHLKG PHPLVARAAKEKYVQEACFPIHPCVMKKVVQHVVEARKTYLLKKQDRAQAQPHVQPQL NRSGSIRTMRSCVSLKANDMNNNSIRRMKSHASLKDTESTNIGKNMIIPLPPIPIEDW GCRDPHAWLVGWDLEMESLAYMRRSSDFPRLGKVQERQLVLYQFARSVDEWLWRLEQA EKNTGCGLGLTEPEKVRACEMENLKDTEELLIEL NEUTE1DRAFT_99427 MADADKGLPVSCECGYIKFQTPSSKPLGTACCHCTSCRRQSASF FGTSVYFPTSQMFPLPADLESKLSVFTHPAESGNTMHCYFCPKCGVRVFHAGVLPDGN MRPVVAIKGGVIESGLDWKEMKPKHIYTRSAVMELPEQWECYETTAPAQYGPAPGDDA KDKKTKE NEUTE1DRAFT_116455 MAPTLPPDRRPVVISGPSGVGKGTLFKLLFERHPDTFTLSVSHT TRGPRPGEKDGVDYHYVTKEAFEELKAKGGFVESAQFGSNFYGTSKATIEEQSAKGKV VVLDIEMEGVKQIQASGFPARYVFIAPPSEEELEKRLRGRGTDKEEDIIKRLAQAKNE LAFSKTGVHDKIIVNEDLETAYKELEEFVYAPVA NEUTE1DRAFT_108790 MSTRQIIADPADGARLDGGGLGLRLRSSFMLSAVSIGIRPGIAV VFTSRGKSFARLILSTWRFLPVSGWFSGWEEIEVIAKDGKWKLLADHGVERLRDVQPQ LIKLLPSSCFRSTMSRITTIKAAGPQTRLEPHLDVGDAYNFQNHVTGRSQLAWIKGKC RTQESVRLNQQLGPDVSTAVFLAVVEGHEKFLSAKTLATRPGDRYAL NEUTE1DRAFT_39453 METIDLVIIGSGLFGLAMAKTYHQLHPTLTLVIFDKAETIGGVW AEERLYDGLRTNNLKGTYEYLDYPMSPELFGVEPGEYMTGPVMHKYLSSYAEAFGIKD KIRLRHTVLEAAHQDGVEGGWVLTVESSDEGMTKKKVFAKKMVMATGLTSEAFLPDFK GRETFGAPLFHSKELKKYEGTLKGETKAVTVLGGTKSAWDAVYAYASKGIKVNWVIRG DENVESGHGPAWMAPSFVTPLKLWLEALVHTRILTWFSPCVWGAADGYSLIRRFWHGT AIGRAVTNAFWWVLGNDVKTINKYSSHPEIKKLEPWSPAMFVASSFSILNFPSDFFDL IRKGTVNIHIADITRLSPKTVHLSNGSQLETEALCCVTGWKHVPPVKFLPEGIEKDLG LPHSLDGDAEPIFTDEMVARADEEILSKFPRLRNQPIINKRYKPMTETEGLSVSDETI SQARQTPWTLYRFMVPPSPRFLATRDIAFIGCFCSFSSGLSVYPQALWICAFLDDKLP LSVMPSRKALLEGNSKLKNEAVGEKGKTVEQVRYETVLQARFGKWRYPAGHGHQFPDF VFDALPYIDSMLGDLGLKIHRKKSWLAEMTEPYGLEDYKDIVSKYAEKYDGKMCA NEUTE1DRAFT_99430 MSGYTSGFSSHNPNTSGSGSGSGAGSSDISSSAWKSNASEIRDV SKSFSSTASSSTDSKVKSYAASEASRWDSMANNADSMADKK NEUTE1DRAFT_39686 INYKVEIKKDKNDKEIPLPYSLFYNIFRKEFLILKKTFRNFFKK GFIRANNSLAIVPILFI NEUTE1DRAFT_40256 INENLRKNFIRLLQSTAVSPILLIKKPNNGVRIYIDYYNINNII LKIYYPLLLIKETFDTIYRIKILLNSI NEUTE1DRAFT_128693 MSWIPRLHVWRDELWRTDEALDLEQPTNVDKSASFAFEAFIIAR YGPLQIPRRASVCRNLQAPEPRGDWINQAFFLPTHISSGVQVQRPPRTDSVPVQNYSL SRQGCLKLTPYKHIIACQPAHVAMASNQELYHHLVLAAKVPTSQPDALEPLNRGLIDC AIKACNFLRRQSSPQPWDSLLPSLEKCKSVNHDGTLSKSSLEEAFRDIGGKTLILHVA SQNAGLLIRPSSNDSVIFEAFEASPSLEAVLGAKNALLWDFPGRAAQIPISEFQTDSF RSNLALFLEKASIETLNALNARAVKKQTAVIETRDTADCALISQLLISLLIAIGGPVS TPRFRKRVRDEVNFDNAKKPWRRAPMWLILRVAVRQMLCLELGNEAGRATYKMFICVV LAQFLEQTSRELAAEMVMTLRAKLCRRLTKLESDKLQASESARPVYDQLLNCLSPWFK TVIQVATQEATRAWELHKASVQRKIHLLPAKSEIANFKLQLANSGNYLQSLLYLHPYP LKEIPCLDLRAMKSGTLGQATKFANKYLRLAELEDEIEQAIEREARSPPDSMGGYRLG CLRISEMITRLIKEVGDAYDNDPAQMSHHILNVMDLWVSLDQYATSFCPLLMAYHPFF TPEPLDLLQLASFSDLSRLHEIQEYLKERAATAAESKTIFAPPSPSSFGVRYTQECDD RDRLIAVWDTINRECHRTRYDKIQAWVSALNQYEDLNRKMHGAGSCLCIQISETERDV SKCLLCWCKRQMRRMVVEVHEDYLPEDKDEAATIVFELCAPDFFTAYRNATWKIHQTL ALQGVASHTKPADTLLSYCQLKGFASESAQSSTVTLASVPKSWLSTHYRNLQVRNSPE LKDIVLPLALRFEYFDEESNSWITDHDRPFQLARFCGVSLPNGFGAIEGTRDGGQITR LVREPSSYEIIANQTKCPTTMSTAEFTAHQHLLSGTSRRWLTMLLELNTPNLNFSSEE TSRLFSELITQAGTMEKSTSVFRDAHLMFRDDAFCARLAEEVERRLTTISWRDVFCMD VMISITLRLYELGSSSTKRSADKLLMNARRVTLQWLKDLRVEVRDAAQEDIAARASEY GRWAAILCRRTFSGHSPNLPMSLQDLVTFLEAAIGLQENMLVDVSKLSQNFKLVLIRD LKAAYRLQSTVSRAIKAYPQVIETILDPIWPNPTSDPRTFSDLKFMSSPNSRWIEQQI ITRHGIYNEKYIVQFNFIEGYLLIDGKPPGTLPAEIRDHEIVKEVFGNQHLITLPSAM PGMSHMLRGEAHHKHQVHFGIRDGQVIIRAKTREGTVLELIPRRKFRQVKGHDIPASL IDDCFHWMTISDKAYPRLEIRHGKPWRQHDHNWVIDLNTRVARRRDTRLIDPQSKLFK MVEENFKYFEHPENLLVYQPGKGNIVVKLERLGLTFRVNHGGLLESKELRSEMDPNQD AGTLYGFMSKICLRDLQDPSQRSIITAMGVPSWTRQGSRTVIQAAPVSQYGLFSIDNV LGRLWCLPEPRNLYAKALFHALTTSPFPDPLTGRTGREEAQHLLQSGLCQPWQPLDND DLAMLRFIHQNLCPRREYYPPNQRKLQTAIWERHLSYAQHDGYDAIVQAIIAKSDRLY SFHNNTSTPPSCSSVYISHLRERGLLRQSLYETSSHYPSTFTGRGDELYHSRDWDMTT KRCSNVFRIVHQVTENGFKFNRTKRLSEILEDWKVIGGFKVTPEHDFFSLIDVIERMP SNQFGSMVSFCCNIQPGDKYALPFRLAPLVFRDNADMDLAVSFAAFSRFKELKALEQP KVDFFADFRRHESPTLDMIQGIIRQHASELPVPINLPSAKARSKFQKEQEHHKQLCIS EEKRIAELIHCQWPTEALSFEGIESSVIDCYEVRQTLQPRWKQLWDNHQLSLWTDSVD DVLSKHSSAYELPIMFIPTDTRPYQLPRRKEVVPSLASDLLPKSGPQSLPLSAQVNES QSMHLSALRPKADRGQDLVRPEYQELDCILVSLANEPGSIRQEYANDLRRSLKALQSA PRRVKVDIRPTLALMDSQILSCEQLIRTHMDAIHQSWSKDDSGSPWLIAGGLWPNGSK ITMMEQLRSVAENSFGDGMKSALVGLGIMLTRLQKLRRMRHAHLQRNSQRVLDELANP GHTNWDAEEYPDFLLLELESNILIRDEQFEVAQAIIAPYSKSNSVLQLNMGKGKTSVI TPMAAAILADKQQLCRLIVPKALLLQTAQIMQSRLGGLVGREIRHIPFSRRTKAGLEM LNVFEELHHEILNSGGVILALPESIMSFSLSGLQSMVDSKSKQARQMVEFQRWLTETS RDILDESDVTLAVKTQLIYPSGTEKAVDGHPHRWHVAQALLGLVEAHVPDLRQKFGRR IEVEKHGLAFPRVYILDSEVEQELKRRIIDDVCAGKSPTFHLAESVQPSVKARLKHAL SDPVVEDFQINKAKRAFVDRNSAGDKILLLRGLIHSGILLLCLKKRWNVQYGLHPDRI PIAVPFEAKGVPSANAEFGHPDVAIIFTCLAFYYSGLTLKQFTDCLGSVLKSDDPQSE YDIWTQGCANLPQDLRQWNLINAEDHLQVDQLWKLLRLNKAVLNYYLNTFVFPKHCKQ FEVKLQTSGWDIPLFPRITDKQAQSARTTGFSGTNDNKYLLPLTIKQDDLPSLSQTNA EVLTYLLEGRNRGYEKLAEHYGRQAGRRLTESELLRRLSQKRIRILIDAGAFVLEKGN QEVVKEWLSYDTYSPAAVFFNEDNKAMVLSRGSRKPVPLLATPYAENLEDCLVYLDEA HTRGTDLKLPQAACGALTLALNQTKDHTVQAAMRLRQLGTTQSIVFCAPPEVDHDIRK VCQLSWLHHIDSGHCVRWLLEMTCRANESLRPLYVAQGTDFCKRMNSALENPNYVGST KHRDAHTSVILQKECSTLKEIYGSKAQSSGLDVGLPAITNSKLRSFYETLTKKKKDLT HTTSEALACALDEVEQEREVEFQVEEIRQVRKPIHHDALEFPGMHPNILRFIKKGVLR GTDGYEHAFTALGRTTLGRKYEVRSTGSKFYVSSEFRRTISASKDPSDNFFRPVEWIL YAPRTQTALVLIPEEAEMAIPMLRAAENRSPVHLIPYASPVTRNMTSLGRLTYYAFPS LPKSTKIPDWLTIEIGILAGRLYFDFTEYGAIVKYLQADRVNEAEGEGADDNCKPTSS LVNGHTEVEFAKNPLAFVLDWLTQRRRGQDITHTPMGYVCQRRKLSAEHPFFRSSEAE MSEVGGTSPKRRSDEEDESDSDGEYDMVEMDQSDDDGGEDVSAVEEWHREDE NEUTE1DRAFT_136320 MSAPTRSPSLYQILPKMGLRWNYHHGRNILRPERRDVVGVAVLQ VLVERVHPNMRMTSSPAKQEVERLLVDIVDTSTRLVRNNTAMLDPVDFMAGIGMTGVG EDGFDIWDWEDESFLEQARVGTELGELLNPRIFQALFMAIIEARQRFRSFAAEHLRAE IPHRCCPLAAAVDRFLEAAVFWDVTSMPIQFSI NEUTE1DRAFT_60503 MASSTAQSGPTRGPFEPPVPTPQTELKQPPKRPKWCLTEHLLTT YGYEFQTATQHPFLEAAAKGELPKDVLSRWLANDRLYIHSYIRAAGKLLSTIDLPQKI PAPKQDGSEPEPEQWETQLVDWLIEALGAVRREERMFIEVAERYGLKIDLTSPAATAK SVSTSTSTSVEHHLHAPAANVLTIPDEQKLPGLLQISELFSTIIPAPIPVAPARGLVV AVSADKSSPDDPSSTPDPDQIAKASESEKDKESQPDDEDEPEEQPVHPPPALPWLEGA LTFYGTELAYLAAWSYAKSLQNKYSAGATSRSAGAQGQESSSSNSAPSAPRQYTTPPH TFTTTTPVTSPAPSREALSAFSSPHVSIQPFQPPPPPNSASGDRSAPGTQDADGGALR NEFIPNWSSVEFALFVNRLGRIIDGAVSELLEAAGGEGPVANAAKGAILRRVEGRWKS LMVAEASFWPDI NEUTE1DRAFT_99434 MSNIKREPSDRKSTMSTPATSPTKTSQRETLTSTTPTAPTTDPD PNPSQLLDLPTLTDTFSQLVHKLSALASVVSQGGSIAIATGCTATVAERNSIPGASSI LSLAEVAELQTIRRVIDTATEVHARFRREMRQLSKQHHKSSEMVRSRLVSLQAKNPRS KHHRRKLRGGRMTGGRADSKIAAGSIGSQLPNFGPDLIPRKHRGSVGDLLRGTCFEGV QNSTSTLEGSVATPDSAQTHKSSVEGSQQSAKHNFGDGEGREETVVLEEK NEUTE1DRAFT_38529 MASTSWRPNMTLKECLLPMRGMLWEDRPHILRSLEYDEIILRSF RENYIPIAPGNISFEVTMLVFVANQKVGRHHKAVDYAPGKEYDFFAKLANWNGNGGKM PTHIYAELFRELIAARVRFMRRHRDSAETPSQLAVQLSELARHMYGRYSDEDLSRRID EEILKAEEDQGSSTGGNLGEAESTELSKNLEPSAQD NEUTE1DRAFT_40338 MTSRPVFVENLSLKECVPLEGFMWQDRPTVLRSLEHDKLVLKAF LKNGLPICPGNIGYELNIVAMVAGQELGFYRLLPGGRGKPKDISGREYHFLSTLAEDV RIGRRMPKHVFIEFFRELVNARICFLTHHPGYTGTISSVAEKMDIFLKKTEGHYSDAE LSKRLEEEFLKAEGGQGPVAGEQTGGAAGGSEAMDLS NEUTE1DRAFT_99437 MSLQATRVTYQPARLSWSFYDQIPGRLSFPSTQADLGAAKVAKI VIFPHPHSYSHLTPGNLSLYLPMRYVHFQPTVFTNGGANTTPHRNMPGPIRGSDSNGN GGNNRYQPYYFGSSNVPLSLRPRLDGTLPVARAAPPARPDPTKRWDKLKNFMPKVGMK FQAGSTVTTRLRRDKAIWYAMMDTLLFFKARHLQTSLREIMDIATDAAIKRKPPVDFF ESLGHGDGLITADATLHFLRSVRESHPLSRRLSSSTFAELFAEIVKAREAYLADNEEG GTDRYPVSPLVQAVDRFLTVAWFWDGMDILVKMESEHAELDDDNDETTEEQEEIDSDG EDTPVTGAAAVSSLVADFDGCTIWTLSTNSYVDDVDLFADVDPLTDEAEDEVMGDTHH DS NEUTE1DRAFT_128695 MAPDKSQFRYEPAPPIPTYDEAVASGAGSHTVFEAPHSPLDATD HTEGHSLLGGTASSSSTHDRARPPRGYQPPTVETDDEGESWSSSDDDSDSEADHVRRE MQEMEIDDSNHRSQSIWGKRIGRFSLPRWKWGWRLPQISLPRFRRHDANASLESATTP AANGNTNNSNNEEQPSSGSRFAFPTFGSTALFLLVGRLLALFLLLGFVYLIFVSDVFG NMSRRLGSGMFNPNDIRQYLESNISSNQIKDNLQHFTSYSHLAGTEGDYALMEDIELL FRKYGLEDVSRDTYHVYLNYPKADGRAVEIIDDKADNGKGKAIWSAKLEENDQATGLT GHQTWAFHGHSKTGDVRGRLIYANYGSREDFQRIKDLGIQTAGAIALVRYYGPHADRA LKVKAAELAGFAGCLIYSDPLDDGFKKGDTAPKGPFMPADGVQRGSVSLMSWVVGDVL TPGWGSRENMPRMKKEQTKGLVKIPSLPLAWRDAKVLLQHLKGKGQRVPEDWVGGVPD VDEWWTGDHENSPIVRLKNDQDENEKQAIWNVYGSILGIEQEEKKVIIGNHRDAWTLG AADPHSGTAIMLELARVFGELLRMGWRPLRTIQFMSWDAEEYNLIGSTEFVEQNDDML RKDALAYINLDTAVTGNTLHVAGSPVFKGLLMQILDIVRDPYENATLKELWERRNAND LEGLGAGSDYVAFQDIVGTSSIDLHFDGKGHPYHSSYDTFEWMEQVGDPDFVHHTMLG QVVALLLYELTDRPVLPFDMAHYAEKIRDWTGEIVPWVEDQAKNAKKDAVPKELAASL NSMIKASDEVMEAVRRFVAWEHEWQSSVVASGGWEPSGWGRKRCEFNSKMAWFETDLL DPEGIPNRTQFKHVLFGPQLWSGYDEAYFPSIRDTVDSGDWGLANRTIAKVAGIIKRA AANLAEGTLGG NEUTE1DRAFT_145311 MSTPAPPEDQARLLEDALIAVRQQSTLMRKCLDTPGKLMDALKC CSTLVSELRTSSLGPKQYYELYMAVFDALRYLSVHLRENHPVNHLADLYELVQYAGNI IPRLYLMITVGTAYMSIDGAPVKELMKDMMDMSRGVQHPVRGLFLRYYLSGQARDYLP TGDSEGPEGNLQDSINFILTNFVEMNKLWVRLQHQGHSRERDQRTQERKELQLLVGNN IVRLSQLVDLPTYKNGILAPLLEQVVQCRDVLAQEYLLEVITQVFPDEFHLHTLDQFL AAVSRLNPHVNVKGIVIGLMDRLSDYAEREAQNEEGEDRGQLEEEALANLLERVKLAK SAAETEEASAPTPEAPENGEHAETDVGSTDETLNAEPSVAETEATVTNGDEVEPAKKH RGIPENVPLYEIFFGQVKNLVQAQHLPIQDTIALCVSLTNLALNIYPERLDYVDQILD YAHSKVKEHANSADLHSPPAQQSILALLQAPLKRYVSIFTALALPTYVPLFQSQTYPT RRAVAGEVARHLIKNQTHITTTANLENVLEVLKVLIKEGSQAPSGYPGVVQQRGRALE TDETLEEQGWLARLVHLLQAEDNDTQFRLLQMTRKAYAEGNERIRTTTPPLITAGLKL ARRYKAREHYDDNWQSQCSALFKFLHSAISTLYTRVNGAGAAELSLRLFCSCGQMADK TEFEEVAYEFFAQAFTVYEEAISDSKAQFQAVCAIASALHRTRNFGKENYDTLITKCA QHASKLLRKPDQCRAVYLASHLWWATPIASNGETEETELYRDGKRVLECLQRALRVAD SCMETATSIELFVEILDRYVYYFDQKNESVTTKYLNGLIELIHSNLAGNQQDSASVEA SRKHFMQTLEIIRSKEYEGIVLTPK NEUTE1DRAFT_121104 MTALNTLPAELLLDIGERLDRRDLASLIRVSRSCHAVLTDKLYN VRGKQEAVRWAADNGSCLVMKTALSRSLNENEKSELVSIPILRPLVPPDRRWPLMATP LARASYHGHLDVVTYLLNVGARQDISSYRLCKCIDLQSIFEGFEGHDFPNVPTWYPLH YAICSKNEEIALLLIDRGAPLVVCQGGPHITALQSAAANGCLKVVQRLAKRYRELNHG PLLTPGQRVDVGRPPAPNATDARLNSAMHYLALCPRREYVREICLYLMDLGVPLDTPW GSMAMSPLLMACALGNFTVASEFVQFGANLQRRDPTEQERQQLLQEDVLPEHRQTNAS YVYTALGATYRRAKQAGVVWRPGGKARWEIERQNFIRIFIQHNGKVNEPVSRAGDTAL ARAAERGLVAEVRILISEGGAVVDKPDAFGMTPLCKAALHGGLAAVETLLEAGADPNL PSRIGQITALEMISGMIAVPEIEQIIILLLRYGTRVGRLSPRSPHQYERTHLIGLLKR VLDRNNPSDYLAVSTILENSTEANIARGCWQYAAEVALRLDKNGDSKKPGHRQLCLLL GTFGSNVGYAFDDSRLCSIVKQLIKTGHPENMSCLFYLGGRNMELQLRDYFPSVVRTL SGVFTREAMLALALTHSKESALPLVSQLLADNDIDVTGRLHCLSTKPTLLHLACSNGD WGSAGLLITRGCSANAVNGCLLTPLAEAAAGGYRKLVEALMDCTAADPHESFRSPSDT TRVLQDHLAVQEDFLRPPPGAKLSQFVVRELMKLQGAPLGFTDSDTSNQHSLDPSLPK RGARNRYTPHLSGFSALEAACHEGHTGVVKAILKRHPLARHTHLQVQRGYMLVKPSSS LLTDAIMNGHWDTTHILLNNGADPNQGILRGCLDLTPLHICVEALVHTVRLYNAEAET LATEQPIPPGSEAPPPFPPDMHPQLLPFVKRIQKIVSVIAHLKNRGAKEESDNSVFRI VHKLEGEPFSHNTMDLLKRFMNREDPEYMGGRFMERHEVVVTRKFTLDPEGKKIVLSA EANDESKNEGKGLPKKTNSTPQLETWQSLGLFGFDTSSHMVQDVVAGLAPSTWASAHG RGTPSPDTRPRRTSLIAQLQMRRSSGVLGLAGKALAICLLLFFLSTGAYGGMITGFTN GFFAALTRIKTDPSVIVGYEPKEEFTGVKAVDQILVTLVTFFAAWLDAGGPVEGTSWE ETWEGPWLVGLVKGREGTQRGRTWDVDVPLWMAMVQFAGAWALVSVEGARRGNQGRVV GWTGFWGMGMQLLSYTIAGPIYFIVYLVTSPVASGSDVDALVVDGWEMAMLPVCVTMA FIVPAFMMGLPSMPQAEHQNWIATWQVFPILQANLIFFLKSLCGSSDAGAEGRNSSRV TNGGKAAGASPVYRFTMSLCIVAQLAFLAIALIPPTALPESLAASYPWLSTMLREVSI GSALPRALWNPPSTDTASPIRITYLAPLAKHFLQWDVYSGNFALLTWAAYQYWTAGVG GFKRFGKALGWFVLGGPVSAAAYLLWERDEAVVEREDERGRRRSR NEUTE1DRAFT_79192 MRSFTRSTADEGGASVDNASFEKGLSIFSKTGILAPLRKTGTSA TTVTRTIKASTQQLYRRYVLELIFQEKELPPSKDGRHIPLRPHHDKPLIDERRGSAYI PNTIRTSRYTVYDFLPKQLFFQFSRLANFYFLCVGIPQTIPGVSTTGNFTTIIPLLFF VFLTVVKEGYDDWKRHRLDKVENARSATVLRPTGHVDSRQDWRTGFGLRRTKDTQTVA VEEVIPDSDYQWHATQWKDLKVGDVIKLSRDEDVPADIVLLYADGENSMAYIETMALD GETNLKNKQVSKALQRCNTIQGIANCRAEFVVEDPNPDLYRFDGRVTVDGETLPLTLN EVVYRGCTLRNTTCAIGMVINTGEECKLRRNANRHPKAKKPAMEKIANRIVISLVVVV ISLSVGCSMGYLIWKNAYERKAWYLKHLGVDFEDIIIGFFIQFNNIIPLALYVSLEIV KIGQMLMLNSDLEMYDKVSDTPAKCNTNTILENLGQIGYVFSDKTGTLTENVMKFRKM SIAGTTWLHEMDIVKEQEEQGLTQTKTKGKELNVMLEPTCENGNALTKTTTAVSVRSP SPRRSSSQWRSTGRPDHVQPEVTTEDLLEYIRLRPKAPFSRRAVQYLLAMALCHTCLP EIRDGEIEFQAASPDELALVKAAQELGFLVVQRSSQSVTLRISRGDGTELERTYQILD VIEFSSKRKRMSIIVRCPDERIWLITKGADSVILPRLRMAQLAIQKANEVRKSLEVEH EMQRRSEAREPQNRLRPQVTVRTASYDVPYEDAVAASVSDKFAFLDNPSVCDEGAIFT RCFKHIDDFATEGLRTLLFAQRFLSESEYNTWKKLYRDAETSLVDRQERIEAAGELIE QTLDLIGATAIEDKLQKGVPETIERLRRANIKIWMLTGDKRETAINIAHSARLCRPTS DIYILDATKGNLEGQIMDIVDELNIRAETMPTAIPNHTVVVIDGHTLAALEEPATHGN AKELFYSLIPTIDSVICCRASPAQKALLVTAIRNHSHQPSTIKKKGFLAYLITPKRTP PLTLAIGDGANDLAMLSAAHVGVGISGREGLQAARVADYAVSQFRFLSRLLLVHGRWN YARTSKFIVATFWKEMFFYLPTELYQRYTGYTGTSLYESWSLTVLNTLFTSLCVIVPG VWEQDLSAETLMAVPELYVWGQRDGGLNLRKYLGWMMAAVAQGVVVWWVCWGLYGGIA VKDNGLFAVGNLVFTVAIVWTNLKLLIIDTHHKTGIILGAFGITFAGWWIWQIFLASA YAPGVWPYAVRGGFFSSFGPDPAWWVALFAAVGLLTAVELGYKSTKRNMIISGLWRLG WRKWMQWETWKGLLALGRGGGARGAGPMWAWDEAGGEGRNVEEWDVELWQALEREQTV REALKGMARVGHEGDGPEPEEISKEEEDDEKNRVEETSTMDSEGQHGSAVVESLQISK NEUTE1DRAFT_38605 MGTVGFVYLCLCLCMSLSTYDCDRNGDWMSVSQSVSQSVSQSGR SSNREEESKDEDTEQLN NEUTE1DRAFT_121106 MMVPSLKALLMALSTPLANQGIPTDTFGNGGKAVAALSNGVSLR IMPLGASITYGQASTDGNGYRNSLRNAIVKLGNPVNMVGSRHHGTMQDNDVEGWPGYR IHEVHAKAHTAVPAYKPNVVLINAGTNDAAGNLNISTASDRMGAMIDEVFAASPRAVV ILSTLIINTLPATEKRVLVINDQYKALAQRMRDAGKRVILVDMHDATKGPIPEDMFDS THPTDVGYRKMANIWFQGLVEASNLKWLQAPEPLSGVPDSGVVTARMVKEKAGKRAEV FKS NEUTE1DRAFT_79198 MEKLTVVEAAPMPASHIKPTSFFTARSRACCRCNEEGSSHDQQC IKAECTHGYCTDCPQLDSRGREVIPHSFPCNWVCNTCSEVHSVLSILVKNVECKCEKP TLQAIYDQFGRIFLYFRNDPAVDDLTNPAKVQEAAWRVWEAGAEPWLPHVIAAEEAIA RASSKKGWSQLSQASFSSEDPLDMDMSETTESVVSDSY NEUTE1DRAFT_145317 MSSKPKPKRPNAVRRIMEREESRERRFREASVASNGGASNTTPA PTAAAPPKPNRPKCANPKCPKPNVVDGTCQTCGMVADDSNIVSEITFGESSSGAAVVH GTHVAFDQGGIRGVGGLAFRRVAGGGASEARERSLREVKALMQQYSYQLRIGQSISDI AFRYYKACSHANFVQGRRKQNVAAICLYAACRAENNHKIMLIDLADLLHTDVFALGRG YKDFLNRFPEFLTGPRPIVIEDLIYRFASKLEFLHDTNKVALSAVRIAKRMQHDNITH GRRPAGICGAALIMAARAHNYRRTVREVVYIVKVTMATIQERMDEFASVPAAQMTVQD FDNEDLLKAAPEHDPPFVYKQTDEWKAKHTRPKKRKRKEAGDGKKKGTKKIRTNDGSS AAPQTIDKDGFVVPPVPRQEGDSDPDVEAEALIVTAVAGEEQQLKTLVEEYGELDEED EDEDGDSNSDSDSDDEEEDVDPSSEVAFAKAQGVDIAASGASSNGETSGKNGDKEKKR GKKLRKITMPITQEWQTDEALLEKEMEGHLHDPEFLNAAQAEKVATDKRVESQKKLAD ARKKKQERAQNAKQQAANSASVPSVPAPASAPASTSGPTADPPPAPTSTEQPSTTKET DTVMEDAGTREERQDEPVTDRLNPPLSTQYTRPEYVPNKALDDPIVHEHEFADDPEVK YCRLGEAEAKMKEQIWVNIHVDFLRSKQQRVFDAKLAEKNKGPNSNKRKNKKNRVEDN GGVPETAEEAAVNMMRNRGISTKLDYSKLGQIFDLDFNEKGPGSNEADSALASEAGDA TENHDKEGEGAGSAAAAAVESAPAAEEEVQEEVEDAAPEEEFEEEYNNEDEGGYDDYD EGGEEDINPFADDDEVDDEDEY NEUTE1DRAFT_116459 MFKRSFRSRDAGNSQREPTQISTSTDSQHRVDKSARLMKKSKRD LQKLSNLKQPLVGENSSRTQPQSTQAKPAEKPLAITPSPIITITVGGEGRLFAAHEDI LCQAPLFERMLAQSKRILLEDEEPEVFSAILEYLYKGDYYPRLLHNQQQDSWELEDSL SIPANRAAPLTPPTGNNSPQLGGGYAGQFPIEPTVFLSSLNQYLLRDTVVYCAAERYG LEELKRLALRKQGLQTGIDVGTILRSAQYCYAHTPDSDSRLRAHYLALIIRCRKTFKR SGTMQAEMEKCGSSSVYGHGSGKLFFDLFIAMCNHLDDVIDASNATRTPKTI NEUTE1DRAFT_40570 MSTGNEATERSSLLGRDLPRPNGVVQDHPIFLRVCHAPWGFINQ TTLVVLRGLILIYLTILAPMLLDYKLYKRQDGDSPWRIAFQFSTIAFILLWLYHLLAF CWSYTHLYYPDIDEEHNTWESALLRKMSPPEQTITSRNRLYFSLYYTVSHVFAFMNTF IFWAFVVPKGHGELPKERQRGSGDDAGSLVGMFPLSLDILLKIALTRLAGDFFSHGCI KRQIPVHTHIIGLVVLLCAYLGWAAFGKLFIGRAPYFWLDPEIVRYRELMFGYCALFV ALGPAFFAFMYGLISLREELAEKYGSPSQDATPDGSQQGDQE NEUTE1DRAFT_145320 MLSGLANPRQAAVQLMNFGLILSTAFMMWKGISVITDSPSPIVV VLSGSMEPAFQRGDLLFLWNRNVLAETSVGEIVVYNVKGKDIPIVHRIVRKFGKGPEA KLLTKGDNNVSDDTELYASGQDYLVRKDIIGSVFAYIPFVGYVTILLSEHPWLKTVML GLMGLVVVLQRE NEUTE1DRAFT_121112 MTAAGPSSSTHVAQERTDNDGSTAMQGAPLLPHSVTKDKNGAVF QQQQAPVPFGYGTGTYQPLPLRIPGSEIAYSKKWHVVKLAFQTASLVCSAVIFGIGLA LGVYGEQSEDYRWWEIDPVFAIDASAAGLAILWTVAEFLVLYASKGRRGIHPGAHVGV QLIIVLVASLGVSIGGVFVYEFTRDYYDEPVIPNLLTGLMRTLLAFSSILWIIHFFLF VRACVETHTVNATNKSRRITYVRVPVPVPMQMGQIPHNMVAGQHSIPDQQNMMYGGYY APIAQQPSTVPQGSQQQPAVPLQGYQASTL NEUTE1DRAFT_128707 MQPLDSDRRPFYDDIQPSTHPSIPCNHPHDPYISGYACLDYQQL THSDFSTPAVTLQLQTDNYADSRTNCTPIQHTPSGSHGVYADHHIPHCLRSSSFSDGT RSVGLTLSTSALTVSGSIPVTPHSAYLLGSLTSPVTAFSADVVTASSFPSDTWPTCNQ TYTAWPPYVADEMQYESPTTAGLSLQSLPDILKPVLGGDYNGEHKYIDQNMTTTYTNA FWRSQAALTGKLGAAKNKEKLRKRRRHRLAQTEDGQLVSSKLEDAESAHSPSSAGMKL SAAVNRSLTASRPSSLLWVQEDVAGSLMNPKGKERGRKEDNKSNKKRKQQQQQQQQPV QQSHKGVSLEKITSRRALYVEQAWEAGTCDGDTDMVLDHDNNSNRSYSVTGHDLDLDT AHTASPRQEIARVKHNKVEQKYRNRLNAHFEALLDVLPPSVALSGEQGFEAETTEQIQ PLKRLTDMAELDIPDKERRVSKSEVLDRARMYIQTLENEHKRLAAERKQLRKIWDEYG NANREGQ NEUTE1DRAFT_121114 MSATRKRKPEEEPEELVSLPEDSDGEEEEEYVSTGDEEEDVDDD EEDEEYDGEEGGEDEEEEEEETTDKAPPKKKLKTAAAEDEEEEEQDEEEEEEGDEEDE DEEEIPEEEEEDDDAEAEAEEGSPKKAQRSASKAVDEDDDEEEADAAKAAAGGDDEE NEUTE1DRAFT_99452 MTTINRELVSEPIPLIPSCQFRLICISPTEAPLTAAIDVVRPIV PRVTNTSYKSHYRRWSVYGLFAFGAVVGLSFACHPYVQTLGYMAASQLVSTSVERKAM L NEUTE1DRAFT_145324 MHPPNERERSHHFHFGRRLTPNSTELNSANGGANSAVPFPAHAG PGPWPKHDAAASGPLHHQQQHPQALNNNHHHPVHCNPLQQHPPLLNNDNNHHHHPIRM EPRRGSHGHGRTSPAIASAPEAHSQHPQRTQYASAMNHHRPTVPLALPNRTPASPRWD SIDARSRNVSPVPPSPFQVNHNHHITSHRSSQRSRAESTTPTGTPRLTPRSVFPGLSS HPFIPEALEPEDSDQDRDHDRDQLQPQEEREDPEPSPTRLSTRKFPLIGRGSQQIDDE VMRHSPIPLTPTSPAHSYTSSIAHSRRANTDSVMVVENFSRPRKTSVSIRSKNSDISV MRAAPPVRHHDEPSFDSLHPANNHPTPYNENIAPNQWPQDRRFSTASSHSSSTFSSSI AKRPSNDQLQAAARDQPPVTRRPFAGRPPPPVSYNNHNNFYSPTTREPPAWIQEEFRP PSFRSPFATNSGERCSILTTHSITEQSIINGYARASWRTNSVADDGPSIEDVMVMYER GFNDSEIDNIGFRKSRRFLDDTDHFDPDIGVFPMGDNSRPATSHSDPDTDRTTKIFEA MHDDPLPLPGARPISRKSARLSNFILRKSGLMNSLPKDIGLAITEDMERKRQQSITEY MEKQRQESISGEAMERRRQESDAKDPAKHDSAKLMDGDNVIDLQAQEPAMAGTPAAPT DSMESMEPMDTPDLDEVEEEEEEEEEEEAAVEEEKFRAILTPIPTANMPVEPPEEPGS RDRYGFRKANSNVTRQQYDAWDAQYSEYLARRRRKWIAFLKDNSLMTDRPNRFPPRSN KTKRFIRKGIPPDWRGAAWFYYAGGPALLSKHRGVYDDLVRRAGLDPKGPGRLPDAKG EVKPLICEDIEKDLHRTFPDNIRFKPPPSTTPGGDSQAASGYIPGVTQPADPAQEPEI ISSLRRVLHAFALYNPRIGYCQSLNFLAGLLLLFVETEEQAFWLLNVITRVYLPGTHE MSLEGSKVDLGVLMGTLKDSLPNVWKQIGGDELEGNPSRRHRLGNRVRHGGKNLSISD PNRLPAITLCMTAWFMSCFIGTLPIETVLRVWDVFFYEGSRTLFRIALTIFKLGENEI RAVQDPMEMFGVVQAFPRRLIDCNMLMEACYKRRNGIGHLTQEAVEEKRQERRENIQK WRALQEAASEAGPRLNRAAHIGNPASRSQAAGLDLAAEDDGHGNRKASTLFSRRRGDR EQSRADEVM NEUTE1DRAFT_116463 MRLVLGWRWLLKGKVFNQNWMWRSLDAWVGMKTVRHQRKTRPIV IGIIDHGNEEWRKHIALAQLNDGACFIRRLIAMFNAKSPGN NEUTE1DRAFT_116464 MASTKRIAKEFADCTTAPPPGISITLPSDADLHTWHVTVTAPPN SVYAPGRFGLILKLPTDYPFKPPTINFTTRIYHPNITNDSLGNICLGLLKSENWKPAS KIISVLEAVRNILVEPMPDDALEQRIADEYRRDRPEFEKNARAYVERYAKGSVNFVQA PPPPEKKDGAPAGATTGAVNPGRAAGRQGGASSGSASRPAPPA NEUTE1DRAFT_99457 MPTFQAINVEPEENVDEEIDTTKQIQNALKLHAQGPRFFDEASD AYNALFESEIFRYPESKTEYERTEERPDGTLVVEPTLAQGLEVGAADVDGIASTLPQT LYLSFKNHGQFIVDRIKHKARASKLGSEFDYDDAAIQHDAKKALDEFSAALDYDPSDA DLWRKTARIAAFLKSARISRYSLEAAVELDDDPAVTDFEPPSLAEGFAGEQLKRQLEI LDDKMALSHPIMKSFREKGLPKFLERYLDPIPSLPDHTKTMAAPRPDSDEVGQPRLVM NIPSYSWTELGIALVHFMAEHGFSGQALTIQLPEGIVEDEDVQMEIDKQLQPPEESTQ EEEAAVAAEVTSAEKSGAQDTEEAEFSLRETKVTIVEEARAAQPPKDRTSSLPTRKRS QSAAGIPDPADEEKGETKRSKRTRRRETAPEEAMDSATLLATQLQPFQAADQNLFQMT KNFLENLGVTDRDTLDRITEILDSCASDDRTKTASILLDGRESPPLSLSAFLEHSKSP SQRAIEPPQFDDVRNIRGFVTRMNSGWNTIQDVVYEYVKSLAESYSTEKWSDQMKVAV VQVISRLDEGIYERVVYDLEQWQLSDASGHQPPTDIVDLVYMLFELHLDVYERITNPN SVVEQITRTKARIRLGRWWDLATQVSRRRHRGTDDALTIRFLWAAVVFITVTEGVPRE HILKCWHSLRDHLAITTVPDIVLPNNAVMPEISVAAADREVSKLTTMEFFLGLFQEEQ DNPVSVIDTLEPVLNPESVCINSPAAPNPAEDAMDEDTEPAGNIKSVKDCASQGLQDL WNFIEKSSTDLRLLLWSRLGDAYGKIKYTTKQFSCFLRSIETIVHDFERKDYVNTPQA ARKELFMTMLKALDDQIIQSLHLALNHNNSFDIIDEEHIKTTSAALAKVSCLLHVAAM YEDEASVGIIQPPATGSLAASFLNRLREMQVRTWSLQYTVLKMGINLHPDIFLTPEND LADYLAAVHQVLGLRKYCKSSNKIFLKMMRVELLKLKNIENWEDYLGQVLYDLHGLKL GVGIWDVQEHDCPPEKLEKRQALAMVEKISLLAHRITMKDLLKSDLKNTVESMQQAIG SAKSTAQMMHNHRNFNEYLKTPIHPLHLYKALTGNVDLDAVTINTPDSAPAKHGWFFL LGMIALTKFKGVDLNRRQTPGALDDLRIAVTFLRLQLQYTPDRWDAWFRLAECFDYEL DDMVLWTADKMNKDRADLVKFQRQSIHCYTLALSHSYASTSDPNVYALSGGDTEALYD LYHEFGMRMYASSREPFAMEPFMHSDQHRFFIEVSGNGTYKEILHNQMSDYQVWKFAA SLFRKAMAGKPKDWKNPYMIAKCLWKMYQKPEDELDEKNKHGRPTVQAVIKALEKTVE VVRTLPKPRHSQDPILEPHYKILSVINKLVTRGDLPHQEAADILQRQPLAPGRGEQVT LENDEDWHEYMLRYMRHLRDKDKSNWQHRMIIRHARLLFDGDEIGDEEDETKKEASKE AASKAFAVLRENMFTKTMVMNVWKCDAERPGRHHVYTEQYIRYMARLLAVMNDRANLE AVLRRIRKKGVDFYHFSELWQHGVQIYLKMIRKAFNIPANDEDPFKNVAPEDFEAVAE KIVEWVITPEAENHAALNAMKEAIELKKLNANLMKAGPIDDLINDCYSIIHHEMVPER AVSETPQGGDEYSRAGEGELTTKPAEGEEPKSMTLSILPALQERERAATAAAAASGSL RAPSEQPDKMSEKQLSFNGDIAHRGRKLGVRRPDVLRKAEQAVLRAAEGPPKALSGVT RSSRKGSVSSGSGRKGQTTRDEGADDDNDETDEDVTRHDDEDITMKDADDEDNNRPRS RRSRRGQDDTQMADDEHEEEAMSSPPGSVHDSADDESDLSDVPADYEDDIPPSLLFPN LRRSVDASAAGGAVAASATTPLTPGRPTIYSSSSESGSSSADEKAKSEPKRTPRKPSW HKSQAAKAQHERPGSSSIDQHKATEGGSIGPMRWGLKTGRPRNGSGTSASAVVHGVPG TEDVEDEEEDEVEGEVEDEDEDEGEHEDAEETVEEVGETEEEGDGDVDVDVDEEMEDG EGEEDVEEGEEDHEDDEDAEAEEEDEEDEEEAEEAEEDVRPGEHKGDVEEGTEGEEEE EEEEEEEEEEEEEEEGAEEVEDGEGDEGDEEEEEANEEEGDEEEGDEEEGDEEEEEIG DEDTDDESEHDSSTKGN NEUTE1DRAFT_79220 MVAPAVPEITEEILHEAVDTRTESLSSLRELGPPDLVHLVKQPL KNPGKHYGVYHHVTGVDASSSASLAAYINTLTYKEFGNSATAKTVEGTYCCYNAFSRV DMRVHAPFPGSVDSYCVDERGEKRKATDELWLETYLCSVLRAYSYADDGSGETIRKIM GVRRFNPVSSTETEHKFLSAAEQLFFRGWQLGSDSVVQVPNNVSNHLTAGLLKYFSTT GRHTSGINLFEKLRSQNVEVASLLAKVLFMGNEEVQGVRVLYEALKESPMDYVMLDTQ AEFLLKKAETAPTPELREERLRMALGCADRSTIAAPSEFGTWARLAQVYVAMEDWENA LTILNSCPMFTYQDKDAPVMPEPKDVNLPTLPETRLDEIDSEPDSRFSEQVDPSLLGL RAAAYRGTFKQAYSILTEMTAKIGWDQLLKIRSNVFVMEDEYRNEKQEPSYPAKRNAS TDALRGSPDHTTNGETAPKEGAETDEVEDEKKNATLAAEQTEGLERPPSAIDPEVVRK AEENGDNEDHFSRLNNKRLCERWLDSLFMVLYEDLRVYTIWRTQMAQYRAQSMQYKKS AEEWEILGSLAERLQHTDEAVEAYRACLGQRFSPKALAGILKVFEKQKSTRESVAALI RLVTWQYRWYSEFSPELLHTIRTLIEDEGAVKVRSIIQATNLPQNVLDLTHHYAALCA TFRSSGTDG NEUTE1DRAFT_94363 MAPLSKTHKLNTGDEIPAVGLGTWQSKPGQVEKAVEAALRAGYT HIDTAYAYGNEKEVGQGIKASGVPREKIWLTTKLDNDWHKHVAEAIDTSLKNLDTPYV DLYLMHWPASLVKGNTKEVYNDWDFVDTWREMQKLVDTGKVKNIGVSNFGVKNLEKLL SAESTKIVPAVNQIELHPGNPSPHLVEYLRSKGIHASAYSPLGSSDSPLYKLNSLTKL AESKGKTVQQVLLRWGVQKGWSVLSKSVTEERIKANIDLEGWSLTDEEIAQIDEVHKE NSFKVCGDDWLPVKIFFGAGDSD NEUTE1DRAFT_121122 MASSTDKKPPATPAPRSIVPDANTFDPSLPASKSGAGASNTTTS SSDTQPNCPFCHISSTFPPYPPTSPPSPFPPSTSTSPQPQTFLLLSTPLLIAFLDIMP LSPGHLLLCPRRHAAKLTDVLPDEAAELGRYLRILSEAVTRATGIKDWNVVQNNGIAA AQVVEHMHFHIIPRPGLREAERFTSTMFGRGKREDLDEDEGEELARRVRGFVTEVVRE EVEEERGLREKAKL NEUTE1DRAFT_99462 MSSREQYQVPNSQGFTTGASNNDGNSGGSSVMWYTCGDCAVRVP LEKGAPIRCQKCGARVLYKERTKRMVQFEAR NEUTE1DRAFT_79233 MASTSASSAAKADQPVAIVCVGMAGSGKTTFMQQINAHLHGKKE PPYVINLDPAVTHSPFESNIDIRDSVNYKEVMKQYNLGPNGGILTSLNLFATKVDQVL GLLEKRTAPKPDDPTHTPIKHILVDTPGQIEVFVWSASGQILLESLASSFPTVIAYII DTPRTSSTSTFMSNMLYACSILYKTKLPMILVFNKSDVKDPAFAKEWMTDYDAFQAAL QEDETNNAFGGAEGSGDGMGSGSGYMGSLLNSMSLMLEEFYAHLNVVGVSSLYGTGID EFFAAVQEKAEEFKRDYQPELERRREEREENKKKARERELNKMMKGMSMGDAAGDVTV GDVNDKEAPEPLSTDEESSDEEYGDDPNDEYDREGLQARYAAAMQGEDDSVLADASFA KYLHSQR NEUTE1DRAFT_79235 MSTMLRKKEAFTVITPIPGFIPRQLAIDILHSHSEVITLNPLVL DHKPIAAPQDAETDEYYATWYEITERVTILPGIGRMGATTIKFNGCFHDMPWGLQTHI YAPMNVDLRNTYRIAGNQPNFEPPEPLEMGLSALGAPSDGLYLREDIEIKCSRTVMSF VKSQMKKAGGEMVRRIIKKAELLDAGVLQAMIEDGKLKTFNPADKRNTVRRPAVASPG PQFSPTFASSSASGTPPQSPGVPYQIPRPLSATPSQHRSSTPGSYHRPNSYGKAPAAF GYGTRNGPQELSVTNPEPVSNGPIEMPGDFYHAPSNLQPQSLPSSNRNSSQSYSSDRP QASPNPSLRSGFPSPALDKNFGLQTHQETSEEHREEALKKLDPRIPHPSHYAPYNPAD YGPVDPLKVLGNQYGHSPQAQQLYKNTYTR NEUTE1DRAFT_128716 MDSLEDFEKQLAAEKEERERAKEKEQRRKHKHHHHRRDRSSDRD RDRDGNRDKDTERDKDRHRDRDSDRHRSHRSRDDHDEEDDKDGHRHKRSRHSRHDDHH EEESRHRHRHRDRSGDRYSERDREHRSDRRRSTKDTKDLKPTDAKEDLPLPDEEKAPM DVDKPALVRDSWMTAPSAVDVDYVQRGRKRSPSAKKEEPKRVLHEREVNRGLAEMENW SMPVERDIERGQEPPRKREVNYTFGDEGSQWRMTKLKAVYTTAEQTGKTVEEVALERF GSLEEFDEAREEKIEVDRRKLYGEGYAGKEKPTGELYEERMAKMRSQRPESPDREKSS PPAQGVIIEDQLQKAPPIDQSTLNRMRAALMKAKLRKAPEAAKLEEEYNAAMAAFQAG NAFQAGSVSGAAVVLDASHNRMLAGPRGEVVAVTNTKRGRERGTVVENEDMSIDDMVR EERRTRGQAGGEGLRLAERIAKDAKFDNDLEYLDENAAKLAKRVHKNEASLKNVAVAE YRKLNRILDTCPLCHHEEQAPPKNLPVAPIISLATRVYLTLPTSPELTGAEGGALIVP ISHRTNLLECDDDEWEEIRNFQKSLTRLYHEQGREVLFYENAAAPGRRLHAAMMAVPI PWDLGDTAPAFFREAMLSADDEWAQHKKVIDTGKAAREGGMGKLAFRRSIAKEMPYFH VWFNLDGGLGHVVENSERWPRGDLFAREIIGGMLDSEPDVIKRQGRWSRSDERVDGFK KRWRKFDWTRVLTEGA NEUTE1DRAFT_60563 MSTKRRKTSDEPSALKKAAAPSAPELKKEKKVKDKSTKDKSSTK KTEKTEKKQDAPEPTEESTPATNSTEEDSVTLDVAPEQEEVETVKKTFKDLGIVDALC EACERLGYKNPTPIQEQSIPLALQNRDIIGIAETGSGKTAAFALPILQALLDKPAPLF ALVLAPTRELAAQIAQAFEALGSLISLRCALILGGMDMVTQAIALGKKPHVIVATPGR LLDHLEKTKGFSLRSMQYLVMDEADRLLDMDFGPILEKILKFLPRERRTFLFSATMSS KVESLQRASLRDPLKVSVSSNKYATVSTLKSNYVFIPHMHKDTYLVYLCNEFAGQTII IFTRTVLETQRIAILLRTLGMGAIPLHGGLSQSARLGALNKFRAGSREILVATDVAAR GLDIPNVDCVINHDLPQDSKTYVHRVGRTARAGKSGHAISIVTQYDLEIWLRIEAALG HKLDEYPLEKDEVMVFKPRVEEAQRHARNEMKSLMENQGKHGGLLKRKRGNGQGGGRD HMDAEEG NEUTE1DRAFT_79244 MARKRVKKRTHVGAKNPVSSAALNGHADRKDPKSMVIRIGAGEV GTSISQLATDVRRVMEPGTATRLKERKANRLRDYVTMCGPLGVTHLLLFSRSESGNTN LRLAIAPRGPTFNFRVDKYSLAKDVRRAQRHPKGGGKEFLTPPLLVMNNFTDPNADAN SKVPKHLESITTTAFQSLFPPINPQRTPLKSIRRVLLLNREKSPENDGSFIINFRHYA ITTKAVGMSKPLRRLNAAEKLIKSKSRKGGLPNLGKLRDISEFMIGGEDGQGYMTDGT SGSEYDTDAEIEILDQGPKKVKSAKARAAIAAVEAAEEEEEGHDDNVERRAVKLVELG PRMRLRMTKVEEGMCSGKVLWHEYVHKTQEEIRELEKKWEQKRREKEARKKQQKANVE KKKAEKAAHKKATGGKSKDDSDDEDEMDMDDYPYDSDMYDAEDGFDSEGLAGDAEEQA NTKMEQDGEWEDEEEEIAQEAQAKGKKKPALK NEUTE1DRAFT_116468 MGICISVRSIAYLRARSLSHAIFFWILSWGYLEERIPTGVVGVA LEERWEKSHWPAPDLFQHRQDTKKGRRDGGESRTKCPSPAFAPHIFPHFHLYSTC NEUTE1DRAFT_116469 MNRQQPARLLTTSARVYPSSLPHLYQASHKSSKGLKWLPPLVGI AAIGYGISTYREAQMQRRVAAMEQAELERQRRANMLADAYGDRGSLEELERAVRAYEA QQRQ NEUTE1DRAFT_79248 MTRILLTLLATAAAFQGALGAPFPQAGGKPTGTAPPALPSRPIG PDGTPPQPPRPPMPLGTGIALPQPPRPPFPLGTGIAPPQPPGAPLPLGTGITPPQPPL PARPIGTAPPALPPKPIGTGSALPPPPAPPKPTATVPVPSSPPKPTGPPPNPTVTASV PVPPTPTTTV NEUTE1DRAFT_38357 MYSSSMLVALFALVNSLPGALGGFSSTATGNIAIYWGQNSANQV GGQQRLAYYCRNTNVNTIPLAFLNVIRDTEMNFSNAGDDCSIFPGTKLIKCTQIEEDI KTCQSLGKSILLSIGGATYSEGGFSSPAEAISWADRLWAMFGPVSNSDKRPFGTAVID GFDFDFEAVAQNMVPFATRLRSLMDSAAATFGKKFLLSSAPQCPFPDRANNDLLQSVA FDFVSVQFYNNYCGVHTFQFGSPSQNNFNFGTWDNWAKTVSKNKDVKILLGVPGSSSA AGTGYIAGSQLANVIKYAQTFSSFGGVMMWDMSQVWANAGFLDSVASALGSTGGSGVG IIPTIETTSLPGSTTTSSTPVVQTATTWVTVTIWATATVNGPAATAKSTSTTTLWAES TTAISAPTPAAGLVNQWGQCGGNGYKGLTSCRAPYSCVKLSDWWSHCN NEUTE1DRAFT_99472 MVGFLIPPWYKPFWYFLTLIIAWTIKTQCLMQILTNRISLILYN PEKARKLKVYIFLAIGVINVSVFIVWIPARLQVLIIGLMSLRNDALYVQVHPLTYMAK LYIEMNIAEFLGKILKQSNRRHNSFSLSNNYHSFTTFDGWRPDLEATGNPHNYIFNRE WRRSKHQFHIKPSGGQMHPLNMDILTGGEESHDRTNKWGGIHGAGLYDISAEKEDEVG KPSTPSEVRVKDSPWSMMDVKRRSSSQESRQSRRVRPRTQRAETCAGYDDGKDNNGDT GVDRPRANRCISQDYRRSRSNRDNGVQTDLDTDGLLRGAIPPTKTYSDHGRGG NEUTE1DRAFT_60571 MDQESSSQHPKGHQRTRSAVKGSTPLASHLLPPQTQQTDQQTSS SHAEQQHQMIPGGHGHQARAPVSGSPLLPRSPAAHSPAPPHSRRQPPPLSLSPAGPSP RMSFSPGPGSGAASGRGAPRPPPDFSHLLRPDIYHELAPLNVPPPFRQSAKQPSASTP IPDLLAGGHFRAAAVAAAQALTGANGAPAPDPADHALILSLFYVRLACLTLIDATALA TQEVKALEDLNATFYVDETGHNLIPWELRILAVRLQAISFADQRRAVMSYYDLAREAR LRLAEAAAKHDNSEKQLWRERLNDLGVRVAGVLVEMDDLKGAVEHLTSLKESDNGSGK MQMARALLWLHLGDVDAARGCVKPAEGSEGPSDNMTERILHALCDMADGKYSEALNQW EELSKVSDDEMIGVNRGVCLLYVGRLQEGKDLLEGLVDSGRTSHTLLFNLTTMYELCT ERSRTLKVQLTEKVAALEPTSFGWEKTNADFKL NEUTE1DRAFT_128722 MTRNLFWTLAPGLLSFLAFVLVSTALFGQHSTWLDGVYFLEVDV SSMSIPPKLGESSILNDTSMVSSTDHTGPNSTAQSLGVASRYTVGLLTACGRGNGTTS CMSSYVGYFFDIPKVLHFSATALQGKVDGTFLKATESYKTASAFMSSGLIASNIFNFL VSIVGCFSPRGAGVMSAISTCFAICATISAIVVFNQFHNAIMATYSRSIGLTSNLGMS AIVIACVGAFISLLASILYVGRSLMEDPTRQRRRRRNRPVARPTKEKSMPLMDSGNSY NIEGIEVYHGASDGAGQPQQKNGLFGFVGGKHNYVQVEKQHPQGVDDIANHPVSALGS PDSKPRLDEDCAAPDEYTQKRKPAPSPPGNRQARDPIASYEPYTSTTQL NEUTE1DRAFT_79253 MPSLSNILLAATLAFSSACSAKTIPVKVGESGLTFEPANIKADV GDILEFWFYAHNHSVVTSTAAKPCEPKTDNGFYSGFFPVAQTGVASDNVFRVTVNSTT PLWFYCSQGKHCQAGMVGAVNAKSTDDFDKFTTAAKAAASNVTPAGGIFGGSVAKASS SDGSSSGGSSSTTLVPVHSGSASASGAWSSATSSTMPTSGAGAVGVSFSVLVAALGFA LA NEUTE1DRAFT_60578 MAPSIPTEPASKRNGVNDHEVVPIGPSPGLSSNPPRYISEQTLQ QMLKSIGYDEAREDAYRLKGVQLIDSVRQSISLCEPIASKLYLRILLTSATGRAEYNY EDVALASLFVACKVEDTIKKSKDVLCAAHNIRQPHDQRTPDDKMFDGPSKFTVGLERH ILETIGFDFQAQYPQKLLIKLLRKMFPKGEKKDNPEVKKFITDAYDMSIDLYKTFAPL KQPSFPLVMAILELTVLLTGMGGDHIPQFYADRYPARKGCVLEVMLDLMDLYTQFPKS TKVGSRYDLNKLMDVKIDINKMLSGNRYHRHFAWCDKCAQDSSDALSVTPGSAISPAT NSSLPGNITVKRNGKSSEGTLRFVFDHEDARFERETVAEYFDDVYEEIEEEVEERIPD EPRHSSRSAHHSHSSHRNHTDHGWSPYSRSRHGGHNSDRHKGRKSHGYY NEUTE1DRAFT_121136 MVRISLLTYLALGLGSTTVLADCGQAPSSSPSVQIPTGVLTPVT DFGVNPTGLDLHIYVPKDLAPKPAVILALHLCGGTGPIYSYLSNYNPHADERRSFVVL YPSTPHDNNCWDVASKKSLTRDAGGDTTSLANMVRWAISEFDADPAKVFVTGSSSGCM MSNVMAAAYPDIFSAVSCYSGIPAGCLAGSPGASPQNSDPTCGQGKMIKSGEEWAAVL KSIYGKPEGYTEGSYPKVQTWHGEADFFVNYPNLGEQLKQWSTVLDVPFSKTQANAPD TGYTKIVYGDGSKLVGYSAKGVGHTVPVHPEEDLKWFGL NEUTE1DRAFT_60584 MKFMIATVAAFAATAAALKLTAPEENAKWDLSQTNTIKWDHVDS DPETFQLVLVNHQTGGEVDMTIADKVKTSDGEYKLTNFVATPGSTYSIKAFGTEKTNS GQLAESQTFSVTKSGVSTTTTATTVKPTSSGTPATSSSATPSQTSNAATALGVTFGVA GPLAAVFAMLF NEUTE1DRAFT_116473 MPQKIAFAQDVKLRPRARSEPLADPALQPRARTRCFRCAMAVAA TTRVPAKAVVVLLSSRRYPAVRHRNLLAASRELGTRFLVAWVPVRKLPEAGTLYRECC SSVWKGSVC NEUTE1DRAFT_79266 MQDELDQWTIPRGYSMRIAGAKVTGKKKVRWVCFRGGEARHHRP PVDESVIQAAKAEGRRKPTTDRTSKKCGCLFKFEVIETAKGSDLWTLHYPNEEHKTHN HGPSDQTSDPRARRLPTEVSREVDQWLRQGWLVSKVQEELRGRGFRNVLNTDLYNRKR LLKKENAQGQAGG NEUTE1DRAFT_136367 MTRLPVLTTTPARHPTSCASLSLPLLSLLNCVLPSNPPSASNSQ TLTLSIGSGPGLLEALFLHHYPSRSSSFYGVEVAPPLSQLKEGKEVNTWLPEQNAITV PGTWALVGREWLGETRGLVFVYPRSGVLVGRYLAEMEGIAAERGKGLEVVVWIGPWCD VEEYRAVLEGWGVREDLIGEEEGSGKLVEEGEVVLVYRSRRRE NEUTE1DRAFT_145347 MTERKIPPPDDKGSQEASPKDETTNDSGTPAKEDPADPNTPSTN ESQDGQPQPNDDLLEKIKWAKEWIKNNPKAAAALGLTAGGLTVVAAPALLTGPVLSFM GFGSSGIVGGSFAAAIQGMIGNVVAGSWFATATSAAMGGYGVPVVAAVGQGVGAAAAV AGGAYATKELRKEQADKEGEGEGKDEGGENPKDPGNDGNGNGDDGTGTEEEKASRWRQ SGLGRRDFDDESTLLDDSDSETSDKDWL NEUTE1DRAFT_136369 MPAMPWDEDDWDNLSWEEKLERIREWLSRNRLQAAGIGLSLAGA TVVAAPALVTAPAAGALVPLGFGSGGVVGGSLAAGVQAIIGNVAAGSWFASLTSTAMG GYGLAGLTTAVQSAGVCTSAAGLITAILNGNGREDDGNTDGAGPPSPKGPKDDGDGSE VEKIPRANQDGHRGKDFDEETALLDDSEASDEESFVQLR NEUTE1DRAFT_145348 MDFGKIMDDAGKGFDEFATNAGKSFEEAGKGMNEFGENVQKQAN EAGKAADELGNNMSHTFSEVVGNALKQAEQLVIKLTKEVSEDAKRALGLAQDAERELP MMALKVSDWASNILPKLLEAAGDLGESIKEQVQKAQDWVSEHPDVVMYIVLGVTGIVI LAVPGLLMTPILSGLGFGASGIAAGSMAAAIQSGIGSVAAGSAFAGLTSAAMGGLEGT AIAAVGQAIGAAMAAGGAAGAASKLMNNE NEUTE1DRAFT_60596 MFLPELPEGLGLEGYIILAGTLIILLQLVVSPLLKILGFGKIGI VAGSIAAKVQSLLRIVVSGSIFAILQSAGMGGYGAKVIADVLTVAGLLTVCGYAKTPP TVPAGKKQQAQIQTFESDTCLSMLRAADSSSFIPAPTGTISTDASQWAKNFLPQAGAA VSSVVEDARKHTEQLLITLRSHSLTRVQIGQFSENAGEWVNQNLPIAADTLADILDNA KKHAEHVSIQLTSNVLQDKSQVVMGQDGEAVELIPITVLDWATNILPFLVETSKELAE SARLEFEKAQKWASEHPTATVFIVLGVAGLIILVAYPGLIYKPMLDALGFTSEGVAAD SIAAAVHSIIGNVSPGSVFAFLQSAGAEGYGAVALDAALRATGATIMTAGAVDLIRQW MEEKFNGEVPVIEIGGL NEUTE1DRAFT_116476 MTVKVHAGEWVSHTLRISPVILMRIIEEAKKHASKVTFSSVFHG TGIPKLSDYQPGVGKEGADGKSVPITPQDWSTLVLPWLESAGVLTEDIRKQYEEARVW AAEHPLEATLLVLVVSGVLIVLVAYPHLLYKPILKAIGFTSKGVSKNTIASAVQSWIG NIAKGSTFACIQSKTMGGVGAFTLAPWIYTGVLGSTAACVRAGLGIMRPSPGERHPLK AKL NEUTE1DRAFT_128731 MAFWGHIAEWGASTVAPAVVGLVMNAKEHAEQVGGQAAEWAANE VAPRAVGLAMEAQKHAEQVAEWGAKEVAPRAVGLAMEAQKHAEQVAPAVVGLAMNTKD HAEQISGVVAHWSTHHFVPAASGLAHNAAEVTKNVEQHYEQASPAERWITRVIVTASL TVVAEGFGIPLPKLLIGPILDAAGLSTGKAADGLTAESGAAQVSQPRSVVASLGPDAG ATRKEMGNDAIGTWLTVAAFALSLENLVGFTAWL NEUTE1DRAFT_145352 MVHCCLFTPFPASASANHAPKIPLIPVVAGLAAVAAPAIVTAPI LAVLGFGAAGPVAGSAAAIAQGVIGNVVAGSPFAIAQSAAMGGYGAAIVNGVVQGGGL LLTGISSAECLMSGCGRDEI NEUTE1DRAFT_60600 MPKDNKPKKRDGAPAITDARFANFETDPRFQLPSKKNLKTKLDK RFSKVLKDAEFTAVAKVDRYGRKLKTDTKKKALERLYEDESEDDTDKEDKEKPNKGKK DEVEDESDFEVEDDDIVQRELEAANSYDPARGGGFSSSDDDSDSDSSDSEDEKPEVED EADAETRPGIRLRKDKEAVEEGEITNRVAIVNIDWDHIKSIDLFALFSSFVPPGGRIE KVSVYPSEFGKQRMQREELEGPPQEIFKKKSDSDSDSDSEDSDSDEAIKKELLEEGDD QDFDSDALRTYQLDRLRYYYAVMVCSDKNTAYKIYEATDGNEYLSSSNFLDLRFVPDD VTFDDEPRDECDSVPAGYKPVEFVTDALQHSKVKLTWDTNPEDYSRKEALKKAFSGSR NDIAENDLRAYLASDSSDDEEDEEEKEQFEAEGADVEEAEEEKTLSKKELARRKMRAA LGLADEPVVKSKKDTPVGEMQITFTPALSANDKKKGDEDREETTIEKYKRKERERKER KRQEMLARREGRDPNAAPQQEEEQGDQGEDLGFDDPFFTAEPVVPSKTAIRKEERLKK KAEREAEEAANAAEKAQLELLMADENGDSGRLDHFDMKQIAKVEKVKGKKKGKKGKKG VEGNDGLQEEFAMDVEDPRFKAVFESHEFAIDPSNPKFKATQGMKKLLEEGRKKRKDG PSVTEKSERSEKNAKKVKTDDADIDGLINSVKRKAKAKAKK NEUTE1DRAFT_108844 MDSPLSKEASSIDTITDSLTPSTTATNPPSTTSKPHPKRTLSDT AEPTTCPSISSSSPLATPSKDTDSTSPSTASATTPLSSPQATPSPTAHLSVIIKSRQP TTPVIPDSLSTDTQGTTISKPKIIILHHDTVIDGRRIIIRTIQNCFAAILGPNASQPS ETAILNAFAHNATLGEALGQLGAFKTSGKPDFFSAAAEAANSDTGTKSSSKGKGKAKA TDPSTPTQEEQQYDYQHAIALWTQAYLHYIHEFLSEFDIYPDLEPFAKQMKQQDVNVV ILIAQDGFESSSSPEQTSSTDGDKDGKSKKKKKQQQPATPAPGVKIIQELMRRMGVTA AGKGARGYQGQGRQAVVGGATAVVVVGGIYASGIEGEAVARVWKGDILPGFITPQIAQ EANLGGGKGDASKETDAKIGTVAADSPAMRKDEVLFACCSKQYLGLARAIGATACWIT RCEDKTAGKRQADIVVEDLEKLGQLVVGDRVSNGKGEESVVDARHSRQRDESGISTSE KKKQTPKNKSTTITTEDIDMPDADCNNGATVDQKIEETQDDGSEGKSERKLASSKAMK VVKTEHVEKKSVATVIQQKGSTGKKRTRDDVEEWLPVEMGGEDVPVVDLTEEP NEUTE1DRAFT_145354 MTTSHSLKAAAPPAAPLTAALTTHLASLLPSSSRDSLRTSVLPS LLTSIAATSTALRAAQDVSLAGSSNSFGDDQLNVDVLAEEAIRLCLAQCPSVVTASSE EDPIEKPVQHTGLPFQVVEAEQDRTHGEVYTVAFDPLDGSSIIAPNWTVGTIFSLWDG TSALNASPREKQVGAVLGVYGPRTTAVVALRFPGEEKGVCFEVGLSESSEAGKGQEWD LIRPSVSYAPPPFKTRYFAPANLRSTNTHAAYAKLVAHYMAENYTLRYCGGLVPDVVH ALVKGHGVYLSPVTETSKAKLRSLYELFPLALVVECCGGRAVDPVSGENILGDKGVEG CDERGGIVCGTAEEVEYAKEVLCG NEUTE1DRAFT_40208 MRAGNFYSEKITYNTRDSLVVTDPTTSLALTGLSMGERTGSRVF QWVWSYVLSVY NEUTE1DRAFT_128734 MLFSTALLSLCAFAAAAPLTPSAVKTAVSPDGYTYIVESEAYVA DTFTNSDGRNVTVLVHPALKCSIDMDASGQSLNPGTLTKRLDWNDGVQYADSCGASSY IRKTSGNSPLVSDCASIRDYYAGHQGRYVAYWSDRAFNSGYCRLVITNTCVFGVKSSS IYPVHVGSRDISDLTRDSINKFQSSRRVGAEGHMNCNGDQPTSVDWAIFAN NEUTE1DRAFT_60607 MRVTSVPLGLPTTAKPLFRSSTARSARIITNTSTPRRTQCTSAC GHRNVNQSRALAHAARPAARIILPATFTAGQRRRATTATAATAVHNANPENLGPIQEY DRRVANGELRNDDHQRGIIQNLQHLHEELRNYAAPPVVRPTLESLKPQKSLFSFFGGK SKSAIAEIPANLPRGLYLYGDVGCGKTMLMDLFYDTLPHNIKSKTRIHFHNFMQDVHK RLHKIKMQYGNDVDAVPFVAADIAQQGSVLCFDEFQCTDVADAMILRRLLEALMSHGV VLVTTSNRHPDELYINGVQRESFIPAIELLKNRLHVINLNSNTDYRKIPRPPSGVYHT ALDAHAASHAEKWFRFLGDPENPEPHPEVQTVWGREIHVPRVSGRCAWFTFDELIGQP TGAADYIELMRSYDAFIVTDIPGMTYRQRDLARRFITFIDAVYESHAKLVLTAAVPLT ELFVSRQEIEESLKKQGKALDQTHSVADVMSHMMDDLDQNADKLSKSNLFSGDEEAFA FARALSRLTEMGSKMWVERGMGLEDKGGKSEHDSWTKTRSRQMEDSM NEUTE1DRAFT_38506 SSQSFSRLLIEVNLDPRPNQTAYTPPFHNTNVKQTLIYSQATTM SSAEAFPPSMGRGSEHANDTNKTANGKIDSKPNDKHHAEPNSKHAASESHGKSHTSEP NSSSKSSTSGSKTAPSSSSSSLGSRNPQDLIQRGVYKSNLLGTATFIGLRALDPLLQY KLLAGDWGTRLLSKLNISSIPLYDYVLETTTAEGTKASIIRHLPLPRLLLLLMSAGSS LKQIYWLLSLSREELTPAAAVEVSLFNTVVNTMGSLLLLSTSTSAALSTPRITIPFTF DSATGTYMSLPLPIALGTVMYVTGMAIETLTERTRKKFKDDEANEGKICREGLWNKAR HINYGGYTLWRAGYAMAAGGWIPALGVAAFHIWHFVSRSTVYMSEYMQSRYGEQWERY KKEVPYSLFPGLY NEUTE1DRAFT_145358 MKISNASLLALLLPAASARFVEQAEQNRVMLFPDGIPEEPKSTT KYHIELSPGNTRWVTEDEKWELRRNGQRFFDITDHAELGTFNKRPSKQSVFPKKPTQK KDLEPLLKNLSKTEMEDHLTTFTSFHTRYYKSESGRQSSEWLLKQVRDTIKAAGADDT VTARHFEHSWGQNSIIATIPGKTNATVVIGAHQDSINLWLPSVLAAPGADDDGSGTVT ILEAFRVLLQSEDIIKGNHENTIEFHWYSAEEGGLLGSQAIFTTYEKARRGVKAMLQQ DMTGFVSRTLQAGEVESVGVIVDYVDPNLTDFIKKIIVEYCDIPYVETKCGYACSDHA SASKAGYPSAFVIESAFEYSDNHIHTTDDLIKYLSFDHMLQHARMTLAFAYELAFADF AKLEKGHGDL NEUTE1DRAFT_39728 MANLICRAKHEIFLATNYWQSSVASTYLTNAIRDLNRRLASEAH LSSGVESQPQKNKIVLKILYDRGTPKQLLSPHQIVSPDTYTDASTVGLPHPDEISFID MQVMNYHTPIMGTFHAKYMVVDRRVAVLQSNNIQDNDNLEMATHLKGPVVDSLWDMAM ISWYKHLEPGMPCVNSPASEAWGREKEVEETGVGQIERKVEVKRLAVTEEPPTTGMTT QPTVTPKVPRHTTEEPHYDTSIAAEVARVQTSLASKRDPGRRVIETHLQAVTRLLNHT RNPNFTADPLAPDPVTDPNNKMTPYLFLPHHQASIQGQYQQPEAVPMALVNRAPYGLP NHSSVSNPQNAAWLSALRNATHSVFIQTPTLNAAPLLPAILDACNRGVQVTCYVCLGY NDAGELLPHQNGHNEMIAHQLYSSLSPGTRQNLHYYWYVAKDQTKPLVQSKGLRSCHI KLMVVDGHVGIMGNGNQDTQSWFHSQEINVMIDSEEVCGTWMKGIMRNQNTAIYGALD KEKGMWVDAEGKEADGVIGVDPGGRVKRWAKGAVGAVKRVQGIGGF NEUTE1DRAFT_99495 MLERGTRQSSSDATVISNDSAINSDRMSFASLEEEDPYLPNLWI CDVNLTDDQELAMEHLIAHLNHPRVVSLQATANALNELMPWKAVPENYMSSDTTTHSL SSISSMQLKHPAARVMREFWYIFFDVAKNIPYWHPAQKRLQNLIKKLADLCEADVKVE KKEWMTRERDGPETLASLLPSHMVGALAECFMASATEWMKFAAHLLWQQALDWDNHAS DKSGKNSSYIWDWGSPTGVMYGGESGMHMDRWMYWYATFRSIIKRCSELEEAKLVVYY AQVPKTAMDRVMGAPESNTVGGDHSKKSKSEVSGYLTGEDLIARGIAGIRLGNSGTSE YDAVGDVIEGIASLTLEATVFKEEAVSSETTSSKKAEVKTEAVAKGKLVIKSEILD NEUTE1DRAFT_40958 MEDESARVSDDYVSDTSVSKISSPSYATVTTEIHTESEFVIEIK HETIPEDPLLPKAMNVCVMCDRPATVPCLEAFDTDYQVITPRDVPVTTMSNFVYVASY SLKGMFSAEEVNQSLAVLTKGLIPFIPGTAIIAALSWSPERTSPLNLEDVTGRDIVFA LDKLRLENVGVYNPDPRRNNLPSVPGIKITDFNNPFFACAFGADHRIESLQPVQVLER EMRYKGHEKMFGCTIPFLLGLPWITRADNDPRWEIERRKIEKPINLRYLNFQFDAGDE RRILENIRLSNDEDWQAAPCHYGTFVLVHLLGVKIYPYHISALCDYLDYCSEFTTTPS KEGFLDSWQQWCQAHDGDRDEFISPYDTGDLEEADRPDDGAKSPARNL NEUTE1DRAFT_121152 MILTSSAAVLLSTVTLFAQLALGLPTASEPVHHESVRAIGKLSH RDELHDAGVVWDKVVRQSPVVSPTDPRDSFNNRNPDIPGVGYPRSSDADPAFTIPEAT LRAAIFLPSGFNASTNRQVVLFVPGTGAYGHESFADNLLKVITNAGAADAVWVNVPNA MLDDVQSNAEYIAYAISYVKALIGDDRDLNVIGWSQGNLATQWVLTYWPSTAPKVRQL ISVSPDFHGTMLAYGLCAGNFGKVAKAGAPCPPSVLQQLYSSNLINTLRAAGGGDAHV PTTSFWSRLTDEVVQPQAGLTASARMGDARNKGVTNVEVQTVCGLSAGGGQYGHSTLM AHPLVAAMTLDALKNGGPASLSRIRSQMFRTCSNVVAPGLQLTDRAKTEGLLTTAGAR LVAFPTKLLREPALRDYAT NEUTE1DRAFT_60620 MAPAEPNAPPFRPKGKLPHFEDELEDWKGYIEWEKYPEKKKQVE EILKQYDFPDPPEFQLVPLPKTNPILTGERFKQYHYATGLGHLVDTSWQYVLKEKAED MVHVLQFPYNGEPPGDRLVSTEITRNEDFFVRNHGGVPEIDADKYFLEIDGLVNNPKR LTLADLQNEELFPRETKVVSLQCSGTRRLEQIRQYPGDGDELINAPWGEGAIGTARWT GVSLKKVIKHCGGLKDGAKHIELFGADTYFKKGKVYNYVVSIPWRKVKIHEVLLAWEM NGKPLPKIHGFPLRAVVYGYIGARSCKWLYRIRGITDPSEAPVQRKEYLYYTPQIGKQ NALYSNGFSIQDMPVSSAIISPKDMDVITHDGKVKLRGWAFSGGGHWPIRVEVSPDGG AIWYEVPTENMSTKYYYAWRLWEIDLPVDPEGWLEFCVRTWDNAMNTQPTYVRSAWNW DLHVTSSCHRIRVYSVNRSKPLTAARLKALEEHGIPLTPITKPLPIELETDEQYQASM AEQRGRDPLE NEUTE1DRAFT_79301 MPVTLPRSRFQRQLVSRSTIRKLIAAFIVWTIVEAQLIYYRVAH AERDTQAQATTLLKPTRVYIASLHWNNAAILRSDWNKAVVDLTKALGADNVFVSVYES GSWDDSKGVLRELDRDLGRLGVQRQITLDKETHQDAIDAPPAEHGWITAPSGEKRLRR IPYLAGMRNLSLQPLLNMAENGTTFDYVLFLGDVVFSVPDIVALLNTNDGQYAAACSL DFSKPPRFYDTFALRDSGGHEYATQTWPYFRSSNSRKAVLRGAPVPVSSCWNGIVAMH PSVFTGVQGLKFRGIDDTLAEYHLEGSECCLIHADNPASRTRGVFLNPNVRVGYSRKA YDAVHPPGGWLSLSQVFSGLWKNRVARWLTTPLLKELQVRWRIRKWAEKAEGRKEPGP FCLINEMQVIVHNGWAHL NEUTE1DRAFT_79305 MAGPDNSSESPGYGTDTGDDRLKSWFRSLSPTKVDIVGDFAGKE RFAIHGEALLAHCLHAMKVDFDYGFQILHAVHSVETLLQRLHDRGCNFHVLWFNREYQ LSIPTEAPAEITYRYQLTRSILIEHFAHAQTDKQTVFSLVFTSLDSNSFAQYLQEHHP HFFLGSNGTTPWWTGGPQLLMPLHMLYRINSAGLHIACVENLEFKSSRAFLLVASPRG AQRPLNGDTLSPIPDTSFMEDNIQHRLGGLAKTHSLTAREIISLYSLAHVLANHALDA SEGDGIGQHVRALLLQLVFMGHCNVSSRSFESRGSGLELGSAANSFICSLSGPAQRIL GSWSSGPFANSAWDAFDLFDGRFYLALLRSSHNIHLSCALHEQFVQLGGLLEHLCGYD ATAKWPVDLTNTDGEEARLKISDPQPNQLVPSLSILPFSHPVLDKYLTSVHLRTDAVI SSPVDHKVHKELTHWHNKKPIDPKQPTKAPDFWARRRNQRFMADIIAYSASLTGASGK IIEPEIVVVRDSVEKSKSANRHALNKGKKQANSNIPLEISGAQYAHKEVERRKEAKFK VKSLAIITSWEQRCLEFSKEASLVKRYLLVEKYLLGLSPDHLSVIGAEVSLYLCHILR QIQGRYNPDTLTRKLPCFGHALVRTQETAKITMTKEVHTLVNRVSKSLELPHYKIPAL LSERKLPFEFLDIASGGLLPPGKSSLDFQLGFCGPFFERSFDSAPDSRVPFEPDAWQR KVLDAIDENKSLLVIAPTSAGKTFISFYAMRKVLEANNNDVLVYIAPTKALVNQIAAE IQARFSKQYGQEARSVWAIHTRDYRINNVKGCQILVTVPDILQILLLAPSNAAGPTSF SRRIKRIIFDEVHCIGQSEDGIVWEQLLLLAPCPIIALSATVGNPLEFRDWLSNAQKA KGFDMEMVVHSSRYSDLRKFIHDPSPGSYEFEGLKPVERLPFPGLDSDCENPLPFSFI HPIAAIMDRSRDTLNDASLEPRDCIELWKHMTKHQIGQYQVPNSLDPKKMLPSLVKKS DVTRWESALKDVLADWMLDPNSPFDELRNDLRGEQFARLSSTYNQPESIEYQPSQSGP IVSRRSIFSLVTGLRSHGALPAIIFNYDRAGCETVLRELYDTLESAEAAYKQHDSKWL KKLVGFEEWKKRHESAKTKDTKMKQTKKTGSRNEDDDGAMSKGDRARDAANRELSVWD SFDPEAPLQQFSFADNTKLTQEELNTRLKSLRADAVRPWIRDALFRGIGVHHAGMNRQ YRQIVEMLFRKGYLTVVVATGTLAMGLNMPCKTVVFTGDSVFLTALNYRQASGRAGRR GFDLLGNVVFHGLHPHRVFEIMSARLPDLRGQFPTSVTLILRLFILLHGTQNSEFAAN AVKGLLTQSRLCLGGPDAKMSIAHHLRFSIDYLRRQHLLSENGVPLNFSGLVGHLYYT ENAVFAFHALLKDGYFHDLSNSDRSREDVILEIMLVLSHLFCRHACPQYKDKQFLERV HRSPCVGILPDLPRKASEVLERHNQQTLSIFQNYVSSYTNQHLTGMPDNCLPYTKYKV DSVDAQPTPDLSTVLPSSDSPRPATVVRSPFSALSGFTDEFDTIHELCETVRAGVFLE ESAVPYIPMAPKETNGVPWNAYLYDFFKHGDMEALKHVNMIKGGDIWYHLKDFSLILS SIVTSLANFLELPNADGDFGFEEEDDEIEMADDDRPIFSGDEGNGIRHDVCGAPAPPP QKEQKKTKAKKKVVAESWDDEGSDEDTEEDTKTASHGTSWSGSASGYPQAPRWADDDG QSLMEVFRYFSVLRQEFEEKFRKTFA NEUTE1DRAFT_121156 MLHSSILAITALFLSATTSVIALPAAEADLAALEHDTAEAAAHL FKRASPDPTDTTFIKNVLNTVNPIRSKFKANPLAWDATLASFALKKSNGCMLNHTGPY GENAYWWWTIPATSTPNFATTVTNAFKSWTSQAEISAYQQGDLLGGGHFTQTVWKAST RIGCAFSTNRCVQNPNQDWWFYCEFSPRGNLVGAYPGNVTVV NEUTE1DRAFT_79310 MSSSPTENDIKPNDVVETDLGIVPVPKVEGTWTVDKAVETISTG KYAEGSDSPIGYFHLLERLKTTKREGWTRFAINRGESISDHMYRMSMMTMLAPASLAE KIDVNRCIKMALIHDMAESLVGDITPVDNVPKTEKNRREASTMDYITKRLLGNVDGGK QGEQIRAIWQEYEDSKTLESLFVHDIDKIELLLQMVEYEKRAKGKLDLGEFTFVKTKV GLDEMRAWADEIIKEREEFWAGKEHVRRDGGDEGVITQEKEQMQEAYYAGHGRKE NEUTE1DRAFT_60632 MSFGPDSLPGGYFEPGGENPSQTLSAGIFRPPASPADSNHNLGK SNGSLYDDIAMSNGTSPSQTPEQANAKRKRLGLGVQGATPNDHNMQVDGVNDGKAETG MTDAGQARYNLAGQLNATPTGGTFTVNGRLEESMYSDLDYRRAAGSKRAHDDPDGPSS QMEGQVDSSTQQQNSANSSKWGFLGLQTIGQVMGKVWEFCKGGGFRGFQAGGGTGYEV NGSTVTPAVQATAVGQPWASEQQEQPIHFTMEADQDTSMPPQETVITPIPPPVQEFVA PQEFSSPLPLPMSDYMPYAPEYHETNNPDSLTRPAVKRRQVSEIQDDLNRNWIMVDEN GNGGSPSPSPVLPSFGAASSGRATPGLRPPSSLRTRTTGLSSSNAARRITAPSQRFTG GPSMLPRTRAPSRLSQHTVAPSVGAKELPSFGAPRSPPARDTPTPSFSTSTTTAAPVS RIPLPASAGGAPGSGGARTSFGGLDAAVSNGRQSPATFNGRQSPSHANPTPFAFSSPA YQPSSNSRPSSRQSLGYGNAGGGNSNTRRSLQAPIQLSSPAIPRPSSSSAAKVLKSPT NHHRRGESSGAAGPSHSSSARPGSSMRRNRGLSLHGAYVEDGLKGSPRLDGEAKHLAK KKMAAERDADANIDAFNEKLLQMIRQGKEALGTKVEVLDDRGGSNVVWEEDEL NEUTE1DRAFT_79313 MGSSSSPILESQQQRPHRRERAEDQDGHAAAADLVTTTESRSLT RGLAQRHLSMLGIAGSIGTGLFLGLGGAVARGGPLGALLGYFVIGLIVCAVQFALGEV ASLLPVTGSFVRHAEFLVDPAWGFAIGWNLVYGNVLSIPSEITAICVLFEYWTEGRIS PAVFIVFFVVVTVGVGMALVRVFGEVEFVFAMLKVVLVVFLILLGLVIDLGGIPGTER IGFRYWKSPGPFVEYIATGDWGRFLGFWSVMTGAVFSFAGVESLAMAAAETRNPRKAI PRACKRVFARVVLFYMLAVLVVGMLVASDDPRLDGSGDSVAQSPFVIAASAAGIKAIP SVVNAIVITSAWSASNQSLLAGTRVLYGLALKGQAPKIFLRTTSWGTPYMCVLLFGVF MSLSFMSLSERAINVFWWLVRLTSAGVLVSWSSILVNHIRLRKAMDRQGIAYTRLPWS SWWTVYSSPVALFMCIVILLTGGFSVFTKGNWDAATFVSSYLDIPIVLIAYLAWKFYK KTKIVSLDDVPLDIAFEQAEDAIFEDPEEGKTKGWARAVSWIWD NEUTE1DRAFT_79315 MKTSNALITLLSFFTAGALALPTAQQATEGPAKPNVNAVQVNYG TPRCPDPRLDALCMASNANAYCDAQGFHNNFMKTCKACYCEQ NEUTE1DRAFT_121162 MCPNTDTVGLHGWTAVPVDADAIFEGKPYLNEPTPVSLSDIAWP SDDPIVAKVQEYAKEKLPIETYNHSMRVFHWATIIARQQFPTHASSLSPSTLALTCLL HDIGTTPAARASTQLSFEFQGGFIALQLIQHQLGGAQSQAEAVAEAVIRHQDQGTVGT ITFLGQLIQLATVYDNMSERPYLVHPQTREQVVTKFKRCGWSRCFSKSLRAELEEKPW AHTTHLGSEKFPNGVRFNKLMEEYDAWTE NEUTE1DRAFT_79319 MAVSNSHPSTQPPDDPSHPAPLESDPFHDDGSASEASYTSENSD IELQHQSGSNNVAPLFGRRGRIAPGSQVGLDAAELIYLAGDASQVLPVYVTIHRIRRL VIAAIDDPYTLDQLQTPRMDNMMVRPLVEKLYSPDNLSVVYCLLANRVQFQRSATDES LYVAVNNSRAALCELLATRVLRRFHQDHPDRPGLLLLANILVSGFDPFDAAPDPLRRY RRSLQWPYQDRGGHERKLTALELAILSESKFLIGSVACQRIVNAVYRGQVVYTPLSFV DILPDHYKYHPVSLYEPRRASILNHRRLIVPRLRNLLELAHFTILLGLYVLTMTHRND FYDGGGSPFGGFEAAFVIYSTGWVLEQFAALVEHGWEVHAQNLWSFLDLTFTFIFGVY GVVRFAELWFYPEADYAIPILCVAAPVLLTRIAFTLMPDNIVFIALHAMMRDFTRLTF IAIWCFTGFLLGLLWLMRTGAPPDPADVAAGLVTAQSSIEDRPGWATIGKWLLWIWFG LDGTGIERTADFHTILGPALAVTFAFLGNTLFLTILVAMLTNTFSKIISNAQAEIYFR RAVLTFQGVKSDSIFAYPPPFNLLALAVMLPLKLVVGPAMFHHINVAAIKVINFPVLL MIALYERRRVWAKSATPSAGDGEDSKWFFGLNPYADIHALFRTEPPQEVLDMLEKMDG IVDEELEDMDIMGLRRGSRGMGDGLGRASTELELGRSMEFDVTGSIEGMHRRRKHSAG SAV NEUTE1DRAFT_136396 MQFACDVLSTQLYKPVRAEAMVGLFCISSVISIVRGVATEVFKQ ASFCDPYKCQSHTHWPYIGTLNWSVDKCPVAVSKPWSVLQELNILELDSAAAAHPGNT WNTETLLGQSKVADKAFIVSSKVNADRPLPHLDEARISTSHHHRPDFEPPRG NEUTE1DRAFT_79321 MILLARKKTSTEAGWIVFAVIFSTFSSALIIFLCWRNNRGSSKK SSSAQQQSGNSESRAISRRSVRYWYYYPEYFTGYNGSSESSEYHYANPGRDYHLEQTP NHYIYPPSYPPCPDPPPPPPQPPRTPPHIAVYRTRPVNVMSFLDRTQMPRKPPPVTEV TSPERTSTPSHESGSSSSSDSEPDEPPPDEDGPPPSGPSGGPSDGLHPDGSTPGSTAP DANPMGVHSPKEDGSVISKQDVSEAQANFSKLMSEATTITSRSRHPLSGSEAESQRDN FARRISGVRYVKAPMNASSRERRNSMPASPRCRSPASSTSNSPTPALRDITPKPNSHA SHSSSKPSIRSQKSRRPSLNPSSPGSRAPSQHSLLYAASRHSSLPQSPTHSHHSQIPS SPSRHSRSYSRSHSRTQVYGNPTSRHSSPPQSPTPPHHSPIPHFPSRHSHGRPTSRHS SRSRSRAGSRISSMPPSPTVTDFAPISSISHPLRSPVLPGSPHFSVSPAYRSGGFKVL LSLQPDEQNDRKGSRQSSRYSRHSGEKSPSVVSVSVVELLSLEKEQDDEAGRGEPMSL LEHEEYEESEKREERRDSEDMMLDENEVQRRRRRRSVSSDSQQQRGMDLAEYEGDSGT MEHGHDSHGDQDPESEPEPEQAPEDYYRSYSPVAPASTTNPLPSSPNHSGHSSNLSPK SPYHPRKYIPIQIHKENRPHQASVCSVSDSTDSGIGGVGEGKGG NEUTE1DRAFT_79323 MNPATPMRQVPGAFLNTPAAPRTDDARRYLDSLPEKNTARPALG GFGSYNAGGAGVASGPVTGPISGSVGGAGAGTVAAPRPVSQVPVPMVPAQARTDLPPV AKAARRITNTLLRDENYPDVDSYARAGSSSDYDMYRTDDAPGAPFKKTHMYPIPDQVF ERLNRGEVNTQLGLFADINYAWAAIDSSLFLWDYTHPNPELIGYEDATTTITAVALVP PKPGVFVSNITHILVVATGTEIVLLGVSATPTPSGSKTVALYQTKMAVHRGSTDVSLI IGTSNGRIFFGGYTDTDIYELLYQQEERWFSSRCAKVNHTHPGWTSVVPNLPVPMGFL GHRDQEHLVQLVVDDTRKLLYSLSDKSTIRTYFMEPSGKLTKVIEKNKVSCLSDMTHM VDLNRNPLLNERVRIVSLSAISAQEASKSHLMALTNTGCRLFLTATSAASYMLSASTS LAPQSMQVQYIKFPPTSEDQQVPLRNEINTAVAYAPAPAAAQDQMSGLLSESCLGERF PPGYFFDAVRPKESRTDLLFVSAPDVGRLKQSAVLRYVEQGTFIPLGDGSRVLSIGLT GKPFAAAKQPLGFGNELAVQFDHTPSEFAVLTNTGIHIVRRRRFVDIFANAIRVASGD EDLDSKVKTFTAIYGRVEMVAAALAVACGQGGDARRAVDPLTEDRARSIFINYGGQPK LADADVLQAPEDNVQLSARHDALVLYLARLTRTLWKSKVIEIATDSASGGFTVGSAIP ISKLMTVQESVQRLRRFLEENKSLIQGLAGPSDRVSSRQEEIALQLEHQSLHALRQLM DSVSEGISFVLMLFDERVTDIFMRLDDSSKQQLQNLTYEALFSQDSGKELAKVLVKAI VNRNIANGANVETVADALRRRCGTFCSPDDVVIFKAQEQLQRASESTGNPNLMRTLLA ESLRLFSQVAGSLTMTNLRNAVEQYINLKYYAGAIQLCLIVAREKDRGNAALAWFNEG KPANDPREKAFKDRQSCYSLIHEVLDRLDIESASEPDVVDGRPTLAVTKRAEAYSVVN SSEDEVFHLDLYDWYIEKGWTDRMLAIDSPHVVTYLQRLAAIDAQHADLLCRFYTQRS RFFEAAQVQAELAKSDFPLGIKERITLLSRAKANASVTTVGVSRQQQQLLNHEVTELL EIAHIQDDLLERLRADPRIVPEKLPDIEKVLDGPVQGLSTLFNDFADQAGYYDLCLLI YNAADYQNPHTIKETWENLINQIHFETEQKQEVWRLIEAGEALPEGVEALEVPPPMPY EAVVSQIQSIAHRTSLDSLIFPVDTLLPMVCAYAINNHQDASIGADPCWPVAAFLQLG VPHALIARVLENMFDAQEAPFVGKHRKLVVQWINCVVDSWIREIEHRGSASGKNGDGA ITTWVAELLTRAEEALVAIAAGTRDPAQAASITATRRDTRELKRKVEMLSQAEAQGSL LFSTR NEUTE1DRAFT_79325 MDKVAEIWGTVPQYGQWALAGIGALYVATRVGAFLQLLLNAFIL SGTNLRKYGKKGTWAVITGASDGLGKEFAQQLASKGFNLVLVSRTQSKLDVLARELEL RWDGFKAKTFAMDFSKDDDSDYERLAELIKGLDIGILINNVGQSHSIPVPFLQTDRDE LQNIVTINCLGTLKTTKVVAPILAQRKKGLILTMGSFAGVMPTPYLATYSGSKAFLQH WSSALSAELKDQGVDVHLVVSYLVTTAMSKIRRTSLLIPNPKQFVRAALGKVGLNSSE PFPNTYTPWWSHAMFKWVVENTVGVYSYFTLRLNKNMHIDIRNRALRKAAREAKKQ NEUTE1DRAFT_79331 MTDKVLDDISHRRYNPLNGSWLLVSPHRTKRPWQGQQEAPALNK LPDFDPHCYLCPGNKRAQGDSNPHYKNTFAFVNDYSAVKEEQQEYHPQKDAAGDGDDD IASLLLQAQPATGRCYVLTFSAKHDTTLADMSATEIVPVIETWTRIYASHLSASHPLR DAAARSLSEIPPNPDGEVEPAKKQQLRYMQIFENKGAAMGCSNPHPHCQIWTTSTLPE EPGKELAQMTKYHREHKGRHLLEDYVKVEMAKGERVVWQNDGFLVVCPWWAVWPFEVL VIAKRHVRALVELTSEERLQFAEAVQEVTRRYDNLFETNFPYSSGIHQAPLDCTEEEA ETSWFHMHFYPPLLRSATVRKFLVGYELMAEPQRDITPEQAAARLRDCGGELYRKSLQ NEUTE1DRAFT_99514 MGVIRKKIAARGGEGGVKYVCDVCSADITSTVRIRCAHSACNEY DLCVQCFAQGASSNAHQPQTHPYRVIEQNSFPIFDREWGADEELLLLEGAQIYGLGSW ADIADHIGGYRSKDEVRDHYLQVYVDSPNFPLPKRCSPHDMELANEISREEFQARKKR RIEERREAAKNAPTLQAKTKPTASVPSCHEIQGYMPGRLEFETEFCNEAEEAVQLMQF DPGDGINPRTGELEPEMELKLTVMEIYNCRLTQRVERKKVIFEHNLLDYRENTKSEKK RSKEERDLLNKAKPFARMMNRVDFEQFCQGLIDELNLRQAIAQLQEWRSLRIGDLRSG EKYEQEKQARIQKSIPLGSMDRERLASAQRSKQPPPPDPPSGAALLVQPELPARMQSP HVIAEAEKLCSMKVEPGQVNSESVIVANGDTTPSKHKSLPQPVPGIQPLLLSQDNAPD LHLLTPEEVKLCEVLRIQPKPYLMIKEQILKEAVKGNGSLKRKQAKDICRVDQQKGGR IFDFMVNAGWVVKA NEUTE1DRAFT_121170 MDQAAQILEVAHHVYARADDAADLHATRPPSYKIIGILLAVGSG FFIGTSFVVKKMGLLKANEKYNEVAGEGYGYLKNAWWWAGMILMLIGELLNFAAYMFV DAILVTPLGALSVVVATVGSAIVLKERLSMIGKVSCFLCIVGSVVIVLNAPQESAVAN IQQFQQFVVTPGFLSYAGVIVLGAVIAAWYAGPRWGNKNMLVYISICSWIGGLSVVST QGLGSAIVAQAGGEAQFKGWFIYIVIVFFIASLLTELIYLNKALNLFNAAMVTPTYYV YFTSTTIITSAVLFKGFKGTAVSIVTVVFGFLTICSGVVLLQLSKSAKDVPDAAVLSG DLDQIRTVAEQEQPETEPKADALRGAAAIVRRLSSAKQQKMEMEELKRLHEEKMREAL ETVGEDGPVYEWDGLRRRKTILGSNSASQRSRATTSATFQLPMPTPHPPLGWSHFPTE EELAAAARPASPALSSIVGTIRNRARSVLLPGHPNFRPTSASNKVQSPMHPVQLTEIA VPAQDFDEETGYYPPGSAAGPSSKGYLYDRPGSRGSISSSGRRVQFSTDTNHPSSSTL AAPLAPPPLRTPTGATRQFSFQSVFKRGDRRSQHAHTQSDGANSHKSSHSSDKQDRDN LTTHRGLSTPQIRRGASEEETLGLVRGDSRDFRTTPRTSKHYDDDDGDDGSEEFEEVG RYMDEKQSRYGPSITHSPPRVPGATGVGGGREQQYLGGEEEDVEKEDTKHMESGGQGQ SQGQGQQHRSSRSDINSYRERLRRIREHERHSDNNGGGSGPSSPSKSSSNRGDGGGFI NEUTE1DRAFT_99516 MSGQSSLALKEEGNRHFQKGDYVAAEALYTKAQPPFFKTHRILA DPTNPLLYTNRAMARLKMSRWDSVIEDCEECLRLSSSTTHLPLKNYDQAVAYALEAHK ICADTHDKSLAAVTSQVLKCKKERWEHREKLRKREEQELEGEVVEMLRREMDGLLKTT SSSGEDEDEEGRKETEKMYEEKIERIRAVFERARDKENQRRPNPPDWAIDDISFQVMV DPVMTKTGKSYERASIEEHLRRSETDPLTRTPLTIKDLLPNIDLKHACEEFLNENGWA VDW NEUTE1DRAFT_79340 MSDHRIHVRHAHHHLERKGWDDVKSFWNNVFLPEQKTEAFTTRV AQTVTDENFWATATAQETIATNGPPLTAEAAPATTTAHTTTHHSAAPPSTTLLKAFTT KAPESPVSSAPAELLPSDVMTDEIRSTGTLELESTLAVASPTSMSLGAPTSTTAAPTA TAASNTQQGTSSAATAGIAIGVLAGLLAVLLLVWLLFTKRKRQMEAEKQRLADDEKIN GPFSDKNEIKAPTAAPQLSLRPASQFMPTEVPERRTSRANMMNTSSNVPGSPLSRPAG ASAWERPTVSSTQTAGERPGTSASFNPFGDNQQIPEESSPVSPAGDSSVSAGAAGAVA GAAAGGLTRKTSIRNDGFKPLDLTKPQPLPLNAHPPSPAGTDYSINTVSPGLPPGPSA SAAAIAAAGGPAQSTVHRVQLDFRPTLEDEMALQAGQLVRLLHEYDDGWALCIRLDRS QQGVVPRTCLSARPVKSRPPQGGPGSRGPPVRPNYGPGGPGNGPMSPNGGPGYGPNGG PRGPPQGGPNGGRPGPGPINTKFMNPRGPPPPGSWSRPQSPAGPMMPHNGTMSPNGGH PASPGGNNPMSPVQNGNPGSPVDRPITHGTQSPVTASRRMTPPGPSPVAQEYRPDSRT ASPAPPPPVSNETPLGQAY NEUTE1DRAFT_79341 MSEHPFQIPGLGQARPNEQLPADNFAPDLLVAAASIVGQDVLAV NSADPAQLLGLPKPKTEEKKDENAMDVDSKQSDSTPAKAQEDVSMTNHGDNKQQTATE NPTASNLDSPPSPDVTSTLEAALNGMLDAPTSSANMTNGDQDGAQEEEHPEWEEDSSP YESSSESSSDSSSDDDSDDEDAHPVLGVEETVRMLMAGLDEEDEDGPNKGKGAGAPLR TKNEIVEEIIPKPEVVITPEMKIEPLAHVEFIVENTVVMKSRVPGEVKVLDLGSVLCK EDRTVIGALAEIIGNVKSPLYTCAFANQDEIKELGLEVGTQIFYSVEHANYVFTQELK KQKGTDASNLHDEEVAADEMEFSDDEKEAEYKKQLKMKKRGGKAGRGGLREQVLRDQS YAPSTAYAPSASSATLDHSPTVSSATLNYDEDDDGPYRPLARPVGFGQGGPPSLPPKP EVGFNAAHGGQGQRGGFSRGNRGEFRGRGQRGGYRGADRKPGGHRGGRGGAAAPSYGG YESTSAPPPFPNPQLPPPIPPNAQIPPPPFGATPGAAPPMPGGHWPHIPIPFPPPPIS FPRPSTQSPVPPPQLAGAFNFNFQAWNQAQGQPYQYPQQSSSSSVSAPQQQSSTPSYP HQAPAVPPVWSGVPPPPPISGAYVNPNFFQQLQAQHAQQPQQPQQPQQSQQPQQQQQQ QQQQQQQQQQQYWGQHNAYGQAPK NEUTE1DRAFT_60663 MPPPTSEAPKKEFVHPKAKHAKKQAIRDAYAIEPISAFYIFLGA NLIAALFAPIQDCDETFNYWEPTHYLSHGYGLQTWEYSPDYAIRNWLYVALHAIVGNI RRLLPHSNKVAEFYFVRCVLAVICALSQTLMWRAICLALNPRIGLFFIVALVFSPGNF HSSTAYLPSSFAMYMAMLGAAAFINWRGGLKTSMAMFYFAIGGVLGWPFAAALCAPFL LEEVFFALVGDKDRFFEAAHRVVRGVVAAGLLVFGDMLVNTFFYRKVEIASWNIVKYN IFSKTGGPELYGTEPWDFYFKNLTLNFNIWFVLALFSLPILLLQKLLSKSAETFQTGF RTVVFLTPFYMWLAIFSLQPHKEERFMYPAYPFLALNAALAIHTLLAAFGNADPKSLV GKIPAKLKLGVVALGLLFSMAIGLARIYGLYTAYSAPLSIYKPLFEVGGEGDTVCFGK DWYRFPSSYFLPRDMHAKFIRSEFRGLLPGEFSEAGTGFGLFSGTWLPTSGLNDRNEE DPGKYVDPRTCVFLVDTQFPEQQKGLDWKAPPNEPDFAADTEHWEIVKCEKFMDPAST SFLARALWLPDWEIIPEKFRRKWGRHCLLKRKQ NEUTE1DRAFT_99520 MISPSSGCPTSSINSNTYTVSSSSASSPIPSSSHLDPDSRSHKG SYEAVLEYFTSNSLSHPTFKPFIRYSITKAAPAASLPPTVPLSPNQFCPRCTKALASS PPPPSHAAWWRRGGELCTPEELFHSASEGQCQFCWFVMVKVLRRLVVGVQVEDEEDLK QKVEEVWRDIKYLLVGLSFWAEDRREDVWRGEVSVRCLPRVPLGREKDVDVDMEGKVV VSEFVRLRGYIPGPSYPPSFLASFHTASEQSWNQALEWINNCRSHPLCSAAETMGSHD RRPARLIAVGRPGETHVRVIETAGLAVSETPFMSLSHCWGKDGVPTQLLKENYDCFTK EGIKLTELPKTFRDAIEVTQRLNIVPYIWIDSLCIIQDSKQDWDNESVKMQYVYRNSV LNLAAGASPNSHGGLFNARHPLSTVPWSIEVPLSDDDDKDYNIKNKKFLTSEYRSEKE SDLILFTRGWVLQEQLLARRTLIFGKEELHWECVTCEASESFPLSIDRERWDGDVNDR RTIFQHQWENLTGTDTGSRLVPADNSDMKAKRRKAWELLVQTYFSRSLTKASDRLIAI SGIAEQLGVRWGPGVTYLAGLWSYRLVQGLLWYMGGEDRCEERDAQAAPSWSWASLVP EHVPGVTDLKLTYAEAECVDGLAEVLEAQVTPVRSTNPFGPVIPGSGSIKLRGPVLPR PRIRNRDGNCEVYDLVFGANEMSSDFRLNESGVYVNMATGMPAYLHSYQSIAVAGWDN INDMKEDEEEAYLAPLQVQLIEDGPAGTPSKLQLVGLVLLKASSWLAVPQFRRVGLFF ITDEAPQWNSAPDNELQDDEQRDNETATHMNVDFESSSDPESRAVRYPDPNYHHHPPD TYDDSSNSQPDDVCSDDSYSSNPFANATWESESGEETERDPAEFNEYYYEDFSVWATG IERLKNQGFLRNIDTWFAQRIQQGQRSDPLKEDPYVNGPRPLNTNLATRYSPCLPRTF YHRINRFLETCQAVARLKPDVVLGGGEANGYFVYEIV NEUTE1DRAFT_116490 MAAAAESRLFQPLKLTPRITLAHRLAMAPLTRFRSDDEHVPIVP LMTTYYSQRASVPGTLLVTEATFISPAAGGYDNVPGIYNAAQIAAWKKITDAVHAKGS FIFCQLWSLGRAANPEVLAKEGGFKLKSSSAVPMEEGAPVPEEMTVAEIKERVAEYAG AAKNAIEAGFDGVEIHGANGYLIDQFLQDTCNQRTDEYGGSVENRSRFALEVVKAVVE AVGAERTGIRLSPYSTFQGMKMKKDLVPQFEDVIRKISGFGLAYLHLTQSRVAGNMDV QPGEDEESLAFAARLWDGPLLIAGGLTAETAKHLVDHEFPEKDVVATFGRHFISTPDL PFRIKEGIELNPYDRDTFYLPKSPVGYIDQPFSKEFEKVYGAQALN NEUTE1DRAFT_121175 MPLLVARLASSPTSPNNYSLRTPMIQRGAENNLIVSTPSQGTGQ NQQTSASSQLQASLPPSNSMASRDPALASSGVQDHMAFKAEPDISTPASATLRQDNVL SGVGQPMVDQPLLVIPNTVGHMVFQPLPVVPVDMGPMFFEEGCPCPGCQQWIAQQRQQ INDLTRHVDGLEASIRPAGPPAIHSTKKVLITNLPSEILNMIVSYVMGGVHEWHYSPP KFPLSAQIEGQQQGQPDGHAYAHAQHEGKRCAGSLTDEKLHGLSQTCKRFRDLCVAFG AHDYLQIWTEFDEFLKDDFTRLSEANRNCGIISRVKHLIISPPPNGRAYVSRPNKWNG WGEAGRLDCVRTVIAGMVNLVSLEISSSKKYDTLRNAFKNRTLPTVRSITLDSLAPLM GTLIRSFPNLRVLRSRSTGVWDRPDNEVFKSLQSSQFIETACFLGTRGIRISRQHRTP QYAVESLSQLKHLFWEFSEPHCQAEDTNMIEALRAHNNIRKFTMLRHASRPPPDFSQV YDDDTTVANLYFDRIPHLEEIGICRAKDRYGYGHADSGKVFVRIKKQVEAVKPAAKED GNGSNNKGGEEKEITNDKNNKTAMTPTPETITLEKRKVLRIYNYRQSDEYRIKQLELG HIPRTPDRHLSPGIARDPEYSMFELEWRWARHQTLKESYEREAAEVAEVKASATKAAK ASAAAEKAKAAVGEVMAAAGIPHVSVVEGIPAVATVADSISSTSLVPGGIPAAAAHHG IAVPPSIFGSAAAVANLRPNEAAARSIDNATSSSNSSSST NEUTE1DRAFT_79349 MASPTRRYKCGPAPPYLAPQIPGSDPLNSTTPDGQSASNTELLT KFSEHASLERKPYTDVHVGLTSPAARKPSFMELPAEIHLLITEQLIYPDALSMKHVNR YLYNLVDTGVRKKVEWLVQCHFLCSVGESTMSVKPAPALGVWYTAPQRRPNIMNNSTC SDQGSFYPLCLNSESKPFTFRIQKPSPTLVSAGSTTLISCAGFALASSMFESRPLYCE EKKKKEKKQEGGCA NEUTE1DRAFT_116491 MEENKDQSKSALKKAEKAAQMAAKKAEKAAKQATLPVVGGKKAD DIIGITVKKSENFSQWYQEVVLKAELIEYYTEISGFFIMRPATMYIWNVIRKWFQEHI DELGVEETNFPMFLSQKSLEKEKEHVEGFAPELAWVTKAGDKDLEVPVAVRPTSEAVM YPYYAKWIRSHRDLPFRLNQWNSVVRWEAKQTTPFLRAREFMWQEGHTAHLTEQAAGE EVLQILEFYAGVYEQLLAVPVVRGRKTEAEKFAGGYYTTTVEGYIPSNGRGIQGATSH CLGQNFSKMFDITVEDPAEKGKHIHVWQNSWGLSTRVIGVMVMIHGDDKGLVLPPRIA KIQSILIPVGLTAKMSAEEKDAHMKKVDELLATLKKAGVRADVDTREGYTPAWKFNDW ELKGVPLRIEFGPKDAAKDVVSYARRDTGEKGTIPIAELTTKVPELLETIQQDMYNKA EVSFREHRLKIEKWDDVVPALDAKNVVLIPHCLVPECEDKIKELTTGRSDEAAEGPES QKAPSMGMKSLCIPFEQPEGLVKGETKCLNPECSRLAEQWCMFGRSY NEUTE1DRAFT_128764 MASMFAQSGSGTLFLGGQKISGADIRDQNVIATQAIANVVKSSF GPSGLDKMMVDDIGDVTVTNDGATILSLLDVEHPAGKILVDLAHQQDKEVGDGTTSVV LIAAELLKRGNDLMKNRIHPTTIITGYRLALREAVKYMKEHISIKVENLGRESLLSIA KTSMSSKIIGADSDFFANMVVDAIQAVKTTNNKNETKYPVKAVNILKAHGKGVTESML IKGYALNCTVASQAMTTRVTDAKIACLDINLQKERMKLGVQITVDDPQQLEAIRARES GMIIERVEMILKAGANVILTTKGIDDMVLKLFVEKGAMAVRRCKKEDLRRIARATGAT LLSTLSDLNGDEKFEPSYLGHAEEVVQERISDDECILIKGTKVHSSASIILRGPNDFT LDEMERSVHDSLCAVKRTLESGSIVPGGGAVETALHIYLEEYAGTVGSREQLAIGEFA QSLLVIPKTLAVNAAKDASELVAQLRSRHALSQRIQEGEANEDEKIVARKKAYKNYGL DLMKGKVVDEIKAGVMEPSMSKITQLKSAVEACISIMRIDTLIKLDPEQRPEDDGHDH NEUTE1DRAFT_39965 MKLAIILTFVATALAFTRPKANEYKNSDCSDLNYGHNSFFLEDV TMDDTTKSVYLTDGSTLEGMPKGWFGYSDKTGDGGKCSGEKLGRLPEKCVNIDTLASE RIKCVRSEVL NEUTE1DRAFT_79358 MSGHFDTNPPQSPNLDSDAIELVQIGQQHASFAGHESDPETLRA AGGTPPPEGIDPATIALVEDVPPDGGYGWVIVGAVFLINVNTWGINSAWGIFMEQYIR ENTFPEASHFEYALVGGLSVSLALILGPIISAIQKTLGTRYTMVLGSLMIFAGLFSAS AASRAMGLLPPWFSRHRSLALGLATAGAGGGIAWNLITGKLLAVSGLKWTWRILALVS IAFNIICAFLCRERPISSSTGSSKRRSFNIRDFGRTQVLLILLWGFITEFGYVSLWYS LPSYATSIGLTPSQGSVVNAMLSLGVGVGRPLVGALSDRFGRINLALFCCSSCAILCL TLWILARSYAGLIIFALAAGAGGGCFWSTVNPILAETVSLAESSAIFGTICFALVIPT TFGEAIALQLVKGGDGINKFIPSQIFVGCTFLGGTALLMLLRSWQICEIERKNEGMGS NQNGEGHKRSGEESRTEEDLEEGVMASGRSAGVAVLGELRSSAAGMWSPRKLFVARRV NEUTE1DRAFT_99530 MGQLSDSHPEDGGTRVSSAPARPNMSAHTGSTGEIRRQWLLSRG DEIKAEDPEDSIQSVRNRVSFGTQNTAKDTTVKQEDVASLDVNQIMPVHQQKSRKNDV VNSTVGVGDDDDDDCMIIDEADVPEHVRMKANSKPFSTQVRVAPDVIGLGGKKVTVKV EEYDNGIDDHDNDNGAVSGDNLFVSQHSDRGEETDEFMMDEYAELSDGSIASERRHRH GRRPIAGGKDGLKRSPKKRPKPGREVQHIDDEYEGDDDDDIEEMEHELQILVAEQNLL ERRSAKGKLTPTGEMRLEEVDRKIESIRRRLGASVEPPSVDGDRDRDTPHNSRVPTSD TTKKRKGAYAEPGKARAKKPKPEPKMTAHKKKKQKEKAMQMITSMLGGEDPVIARNHM RILATFDQLPEEAKTVEGMERHIRDAIEADGMLTPQEKKRIAGDLKQLKEARVAFGKK NYKQDGGTWKIAGLESRLHHYQFAGAGWMVNRERSGDVPGGFQCDDTGLGKTVMTLAC IAPWDRDEDPSHGGTLIVVPASAVSQWMEEIAKHTSRMTSDQYHSTRQHRMRQGSMNR MDIVVSSYQEVVKGFPNERLPEVSERMREQEGELFKVKWFRVILDECHAIKNQNTQTA KACLALQGEYKWLLSATPLQNVPDTGSFRQFRKKFELKKPQQARGLPADLSALLSEIV LKRDITTVFLGRALFKIPITHPDLELWVNFSREETLIYRMVEGKFRKELNDKFMQRAG INTTADKFIKSYLTLALRLRQATAHPYLLESLMRDHFTPDDIQKLKEELCKLKTNQRY VQQIGRWCDGLVQQGVLRRQPYAGDDHDKFGEGDYGEEFDIAPQLESMQKAKMDMQSR AEICSCDHFYCPECIHDHVERAKSRKAKRYICPVSTCRRALLDPLIIRYSTQSQRDDR ASVSDMASTARKSYNAIRKSKEGKHDLGDDFHGFQPMGDPRNATFLAEADRKHTVNMT PSAKTTRLKDIILEWQKDAPDDKIIVFTHWILLGRILGRVLQQEKIDFLYLFGGMGPT VREDQIKAFQTNPRIKVLVSSLRVGGQSLNLAAANRVVILDAWWNNGMEKQAFGRVFR FGQKKESWFTRILAKNTIDKRIIDLQEDKLKAISKAIQTNDNTKHKLTDEEVGSLFGR TRRRTDGTLVIESDYEDDESDKGDEGQDATNGGEGSSRVHHTCEGESGNDFDSDSSSD SDDSDW NEUTE1DRAFT_128766 MAAVSPQRSQDSRRARLLELAVAVGVTELPPIPPLPPTRLPTPS PQDDLQAEELLRYRRQAENPESSQSTLKRAFSSTKKPWEAKEIFDALDNHVASGGSPA VANVLIRKLFELGGDPNIKALKGSRSDSILSRRRSLKSQERSEILQKAIQNRQTDMVA VLVHYADYIALDMALPDAIRSKDLIILGLLLQRGANPAQSQNAQIEFRQLCISGGHPD VIGLILQSPGGFSSQLLSLCLIDASRKGCLETVWRLSRSVADADFDTAEALRTAIEQS RVDIALAILTGRQPPTPGGAGLMESFKQLLSQSIGPNEKLFLIEALLCAGAPGDMAAV ALQQACQAENVDMIHLLVQNGASVEYQDAKVLCDIITKGNCNLLQLLLNDRTRLSPSL ASRCVGVIPTTVSPENRYAFLNILLRKGAGGTALGDALIAAVRAGDDQSVNLLLTPYF AENPVPEGKLRKGSPKMKHARHEVASVDHLNGMALNNAVMMENIDMVKKLLTGKPSPS TLEQVFPQVKKLAPNERYLITEAFLAAGLSGPCLSAALTGAIEEQHPRRDDRFISLLL RYTEGTYSDNKFGVLASIAVGDVPLLRKMLKRPLSPQTSVAAMAKAMLIKHQEVRYTI VELLLQAGAGRERNEVSAVLSQLLAAQPLDVQLFSLLLKHGNVDANFKDGEPVTLAVC DPDPAILELVVQYGKPTPETVNRGLNDLSKVPTTAAKAAKMSLLLLCHIPQKDHLDVL LYHEVQTILQMSLESRNYDVVGTLLSAGADINSHNAAAFCYAVKACSTQLTDLMLSVK PAPDTLAAALRHSVNIPDSSNRQDFTRKLVEAGTPSIEVNRALSYALHAHLSDYQLIE LLSAYADSTDGKALGLAVEKQDAQAVELILAKSSRKYPAAVLNTTFAQAVKLKNPEIC ASLLKRGVSGSEISDALVTAASDGNLILVNLLMGHGASLEHQEGQAIVEACKSAAISV LSALLHPTAQVKKLILVKGFEAASVSVTDVKDRTEIFRLLLERGVNGEVVDKQLIAAG ILGDDGEALVRLLLSYGADPDYNSGEAIWKATKRTALKSLKLMLGVEKAASDGQKGPS QQTLLKALKASRKLSQDARYQVIEWLFAAGLEPSEDVHTALHRAVKEESDVRLIRLLL SHGASPLVNGCETLMDATRSYRVDVLEALLTFDVPGKDVSWTFEHSFTPEAAEDWMTE QGLLIAEMLLEKGAEGGEPLFPALNVAVDAYGTEHEALARRFSTLLLQHGASVTCQDG LVLQKAAKKADSELIQLILQQNPDAHSVSMAFPHIFDADLQEEEILSLVELFICYDFE GEGLDVMTPSSPPILLRALNKYPRSEKLLETLLNAGYYHDQLTTMRVMDSSEEEQVNL LFWALSQPQKRISSSIIQLLIDKGAKVNFETRVSKQTPLMLAIQHRRPDLVKALLLAG ADVDVADAENNTPMALATAIGGELGTAMMASLLAAEPSLNDGSLHNAARKLNLEAVKV LIEFGHDPDFPSIVHGGRSALGELCLNAAETGPLSSTQEKDMEKVMAELIKRGSDLSI QADGKSVLLLALHSTDPLPITRALLKAGVWKTINAPDHQWTDGVYTYSPTQYLLRVLP PNSTDRSALLNLLRSYRCRDVYYANDGPQPDGAVNLPRELLRAERERRARAERIEKET EEHHIAIARTKEIATMQNKIFLARAELEDSRIRRQRDEEMEAVRSRQTVEEEAFAAEL HRRKAEREVSMKHERQLLEAGLSRARLVSEAELEMEERKREQTAQWEQQRLQAAKQLS DVRVHERREMERIEALSDARMVKRLGEHKKLVETQERLARSLNGAGPPGGGRRQMGYI TGELD NEUTE1DRAFT_108886 MAAEIPKTMSGVVIEKPGGVDVLKYKTDLPVPEIKEGELLVKNE YIGVNFIDTYFRTGLYPSSSGYPLITGKEASGTVVSSKHPRFSPGDRVAYLGDAAYAE YTSVSASRCIGPLPERISSETAAAALLQGLTALTLVREAAGIEQKLGISEGPWTLVHA AAGGTGSLLVQILSVMGAKVIATAGGQEKCEQAHHHGAQWTIDNKSKDVVERVKEITN GRGVDVIFDGVGKATFDADLDMVARKGTVISFGNASGKVEPLDILRLGAKNIKVMRPV LFGYIVTPEEWERWTTELFELIKTEKVKIKVHEVYPLQEVARAHTDLEGRKTTGKLLL KL NEUTE1DRAFT_128768 MAVGTVLVTGGTGYIGSFTTLALLDNGYDVVIVDSLLNSSKAAL DRIELICGKRPTFYQVDITDEAGLDDVFSKHPDIDSVIHFAALKAVGESGEIPLEYYR VNVGGSITLLRSMAKHNVSNIVFSSSATVYGDATRIPGMIPIPENCPIGPTNTYGHTK VMIETVITDHIEAERRKAKKAGKPFEQWNGALLRYFNPCGAHPSGIMGEDPQGVPYNL LPLLGKVATGERDKLLVFGDDYASKDGTAIRDYIHVLDLASGHLAALNYLREKKPGVK AWNLGSGRGSTVFEMIKAFSKVVGRDLPYQVVDRRLGDVLDLTANPALANEELQWKTK LTLEDACADLWRWVENNPKGYRQDPPAELLEKLKASKAVKN NEUTE1DRAFT_40750 MQSREVALWVLFVRLPLQAEFAWTFAICEARNTWHPPPIKVKLL KSPTDAQSDYSIPLVNCANRSDARET NEUTE1DRAFT_145389 MPPRRITRRSVVSPSPALSDTGTPKPGKRGTLIPVEQVRNQTPT RFSLSYGSSLVAMPDRNKTAAGTDLETAFAEIHETVRTDNIKAEARRRELDARRGSTT PGPRRPDPIEEETEEEEEEDEEVQEEKEEDDDDNQGGYYNDEEEEEPEPDPPRRATKP AQTLNKLQDQIEKAKLLEKQRAEERAAEEREKAEKDAAERERKRVEKDKKDKEEREKR EKAEREKKEQAAKAQQEAKAKAAREAQERAEREAKKRARDEEDQEQAELERAERNARL KRERSEDARRQAEQKHAAEAARKKEEQRQAREASEAEMASLEEAKRQAMRPPPPPSKQ LLSTPPTSRTRELVVPDTGNSYVEESDVYTDSEKMREVLEEEVVRMAQQRRLARYTPE PPEPPRIARRPASTLSNSFQHAPHQVDQHQDLFDTEAKSMSDKQYPSFGKVSKPTAAR PNQTSRPRAEQSNTTNGETPPPPYTTAPPTFMQRLLKLIRRSTWGVWKLFTFLVPVLL IGLIVLTASSYGSPDSNTSIRWYGWKHWRSNVGQFIPSHPQLTDDQFNDLKDFILEQS SSTESAVKNIQSLLPRMVHVKRGPNGDLIIQDDFWHALLDKMLKDSSVLTLDGTGDIS EEHWDALRPRLIKAGLFEKGPSDEHILQIAEGTVSKSWERWVTKNGEKVAQVVKKHLP GDKGDGVTRDAAISRDEFVGLLKKRIAEHKEEIDGQLDSVKKGLETLIDTTVKAAISN SEGSLSKSEITTLVRNIVKKEIPRAQLEAAAKDGIMRNYHDYVETQVNHFGLGNEAGI VLSESSPVYRLDSQALPGNKHLSKLLGKPKPISSKDQVTLEAEYMLALSAWNDVGQCW CAGITASRGAELAVEMANHVIPQAIVVEHVHPNATNDPGSMPKDIEIWGYYPDADDSK RLLAWMDELYPGEREADMKRVDADNKKSLSLINRKYVKIGELEYDYAKTSGSHGMFVH KLSEELLDLDAATYKVLVRAKTNHGALDHTCIYRLKLFGEELEFEGEE NEUTE1DRAFT_79371 MAPGGPKGRGGKGKTEKERPKANKAEHKTLKRKRELENLEALQK RIDELDPKSTEINKFSDLPLCEPTASGLRASHFEVLTDVQRAAIPLALKGQDILGAAR TGSGKTLAFLVPVLEKLYRARWTEYDGLGALIISPTRELAVQIFEVLRKIGRNHSFSA GLVIGGKSLKEEAERLGRMNILVCTPGRMLQHLDQTAGFDVDNLQMLVLDEADRIMDM GFQQAVDALVEHLPKSRQTLLFSATQSKRVSDLARLSLKDPEYVSVHEAAASATPVGL QQHYIVTPLPEKLDTLWGFLRTNLKSKIIVFMSSGKQVRFAYESFKRMQPGIPLLHLH GRQKQIARLEITNRFTSAKYSCLFATDVVARGVDFPAVDWVIQVDCPEDADTYIHRVG RTARYESKGRAVLFLDPSEEEGFLKRLEHKKVTVQKVNVKENKKKSIKNELQSQCFQS PDLKYLGQKAFVSHVRSIYLQKDKEVFKFDKLDLDGFASSLGLPGTPQIRYQKGEDVK KLKNASRAAMSSGSDTEGSDGEIRKKKKEVRTKYDKMAERQNQDVLSTHYRKLLGEDQ AEDNEEDDFLSVKRVLDDDAALDDAAGNAKSGTNPDGTAKVVKLGKNAELVIDSHRRE KLLKSKKKLLKYMEKGQKLVFDDEGNAHPLYQLQDEEDFQKEGDAKELRQKFVESEAT KVKEQDVEDKLLAKQRRKEKRERAKARERGEEVEREKGPLQPALLDAHSDVEEDPLAL LRSLPVAGKGGDSGDESEDDREPPKKKAKKWFQKDDSDTEYSDDGRPKRKKAKMEGGR KVIEMDHEPDNLQDLEALAAGLLED NEUTE1DRAFT_39917 MATLTVETRSQPEKLVSNKTEAVQIETVQIECVVCTETKDFLHF PLSKLSTDCQHAMRVCVECVRLSITADLKNKHWQDINCPECNNRLDADVVLRYASPET RAKYEDFLTKHMLESQEGFRWCTEPSCGSGHIHEGGYSQPIMKCPNGHLSCYVHKVPW HKGMTCDEFDIVKKNPDSPAYKQHLRQQEENKQSEKIIALTSKPCPSCGRNIEKNGGC AHMIYTFHLCPQLNMYRKDKLLFFVPRSL NEUTE1DRAFT_145391 MENSTLPHGNVINIDAEGDLLLDVGGDEDSESSQPQRLRVCSST LRRHSPVWKQMLFGPWKESKPANVKSEEWIVKLPGDSVKPLQIVLNIIHGRFSQIPHS LDLDELYNLLIFTNKYDLTATLRPWCVQWAVVAHGDLSSEDTLKSLFVAWELGDENLF ALRIEEISVNTSPQDSFPSIQAVNLFRKPGLAGGSEAEDEEWIVLEHQDYLGPHDIID VLLSIRKKVLFIIAMAVDDDLVSRRYPPYGTACSASRNYNSGKTRGTATLCDAAIFGG LMIHMDQRLTLMNRGTIKTDSVVQVAASVFSNIKLIEVLPNHTQCSLHRKYEALDQEI HEKLPGLVAKHIKPGHKSYMENQRNKTGIELSSQAERKQIQASTRRYW NEUTE1DRAFT_79374 MAGLPDEPGTSATTTSDAQSPTLENMDTTNDASMLSCDEIIEID PDGDLLLYTKPGPGLGGRFRFRVCSAALRRHSPVWKAMLFGPWKESKPADDSRWAVEF PEDPAYEFQIVLNIIHGLVDRVPSSLDIDTFFKLLILVNKYDIAHIMKPWRTKWETPA LSNLVGPDVLKGLHIAWTLGYESLFIARLAQIAVNTWVDGDFIFFRDSSTMDLDGIFV EDEDYLGPMDVIDLICNIRKAVIEKMIAPLNEDLEALVNSTPRCTSASRYDTITRRVC DAAVLGSIHRGMIQRRGSILPRESSCIIDSVVHLASELFPIMDETRTFESHHTPCSLK DKYKKHNITLHDSVITISREFLTSEHQAYMASQRSKTGLENQSAPSRQRYW NEUTE1DRAFT_40387 MAAELRTSNANTEAAPSGLTTVDIDPEGDLLIDANSCRFRVCSN ALRRQSPVWQQMLFGPWKEAKPTDGSAWIVEFPDDPAYPLRIILFIIHGKFELVPPHP LVISIYNILILAQKYDMIGIARPWCSQWLKAASEFNLPAADVVRSLYIAWELGDEHLF ALRLEEISVQARIDSEYRLVYGEDIILEDEIHLGPYDVLDYFRYTYGFA NEUTE1DRAFT_79375 MSLSQKGSATILDDHETAIPSKDDGGDFRAIDPHSGVKRGLKTR HLSMMALAGIIGPGLLVGSGGALHSGGPASLLIGFGVIGLIAFSIMQSLGELTTLYPS GGAFTDLGDRFIDKAFGVAVGWNYLIIWVCVLANEYNVISSVMVDWSDKVPLWGYFLI FWFAFLGFQLLGVETFGEAEFWLALIKLMGLVAYFIFSIVYVSGGVKGADTIGFKYWH DPGPFADGFRGVASVFVFCSTFYAGVESVAVAATETKNPGVAVPHSIRQVFIRIVVVY MGCAFFFGLTCPSNAPDLSGGASHALKSPMTIALHNAGWANGTHLINAFIFVTCLSAV NSSIYIGSRTLLYMAQDGKAPRFLGWTDRRGVPVYAIIFTNACGALSMMNVSTGASKA YGYIINLSGVSTFLVWGAISLIHIRFRAAWKAQGHSPDELPYKSLWYPWNAYFGLISN VFLAFVQGWSTLAPFDAGNFVDAYILLPLFPVIYFVYKFTFKTRLWRPHEVDLQAGRR RDLDEAKEVERGADGRPLPWWRKIFSSL NEUTE1DRAFT_108895 MVLFWSLALNTRLFNNKLQGVKESYCITFIGGTARVAGIRSYRT TRSGTVRALPINGADCWQARLLLQWILRERLKPMDGAIHGMGGLRDLLLRLSQLALGV NGDTPRAFVSPSHSRSLLQRKRQHYLGLVGNKISRERSGIILCVKTREIGVVPAEWMV PVKQYDPPPFTDMFSDTLSVPSVFNLSSLPLFQVPCFALWEMPLWEFNNRTRPC NEUTE1DRAFT_145394 MASTSTAGDRIKKFLGIPADERLDDTAYYDDGSFVESEPTTQDF LNEIRPTVQRTLNYLRELFPFVNWIFHYNLTWLLGDFIAGVTVGFVVVPQGMAYAKLA NLAPEYGLYTSFVGFLLYWAFATSKDITIGAVAVMSTIVGNIIANVQKDHPDFDAGDI ARTLAFICGAVLLFLGLIRFGFIVEFIPIVAISAFMTGSAISIAAGQVSTLMGIPNIN SREETYKVIINTLKGLPNTHLDAAMGLTALFGLYFIRWFCTQMGKRYPRQQRAWFFVS TLRMVFIIILYILVSWLVNRHVKDPKKAHFKILGHVPSGFQHKGAPRLDNEILSAISG DIPTTILVLLIEHIAISKSFGRVNNYIINPSQELVAIGFTNLLGPFLGGYPATGSFSR TAIKAKAGVRTPLAGIFTAVLVLLALYALTSVFFYIPNSALAAMIIHAVGDLITPPRE VYKFWLTSPLEVVIFFAGVFVSIFTSIENGIYVTVAASGAVLLWRIAKSPGKFLGQTE IYTAPRELVRGSKDSGLTQSLLQKSEHHTAFLSLDRDDLSNPELQISTAWPGIFVYRF GEGLNYVNSAKHLDNLTIHVFKHTRRTELNKFEKLGDRPWNDPGPRRGQAFLTDELVA RPTLRAIILDFSAVNCIDVTAAQALQDLRNQFDRYAHPDKVEWHFAGVSNRWTKRALV ASGFGVDSSRTAKVQRGNYKGDVQEVDQGPLVAIGPSVSASDIEAVPFGTSGSGSTDE KRPEGEGGATNGDVEKGNANGEDISTVPTATSADAGALARDAGGKRLVPVFGINRPFF HIDLATALKSAVRNTGVVVAE NEUTE1DRAFT_40229 VVAAFPRRVRQIYCIRDGKAGATSDHCTGKAFDFMCSDAGGVPT KSGREIAEWVMNNRSTLNLKYVIWGQKIWSPSIGSVKSWTSWRTMENRGSITQNHW NEUTE1DRAFT_136437 MSATNSVVICNGTNPPKKKNGDRLSKTLNPLAPEFVPKVLVSTP PDSGRSTFADPVHTPVKQDYPPYLGPYPFPGWRQEPYQGTGPQVPYQSPHGQAFNAPY QVGYAPYPAPAAAAYGYEPHTSFGRNITREVMAVLNNPSPPRPSTDGSQAPAYPTNAV SQTS NEUTE1DRAFT_60704 MRFDRSILLLPFLPSLVSAWHPPTLQGYNLVWYDEFEGSPQTLP SASRWNIINGKLNVNNELETYTSSTKTIHVSGDHTLQIIPWRQQNGNGDWISGRIEST YTFTPPAGKKTVAQAEIRFGSNPTNTKAGIWPAFWLLGQELRSGGSWPACGELDIMET VNGDLRGYGTLHCGTYPGGPCNEPSGRGASTAIPNQDWHTWKIEWDRTSGDWWTSKIT WYMDGVKFHEVSGQSLGDSAIFDKISNKPLFFILNVAVGGNWPGYPNANTLDGPGSMM EVGYVAQYVS NEUTE1DRAFT_60706 MRTVAASLATLALAIPALAATIQGGPTRKPYVCSDALQYQITEQ DIRAGAQKLQDIADANNGTRVFGSTGHNATVDFLYNTLKDTGYYDVYKQPFVETYSAG TGSLSVNGKALDVRIMTYTPAGSATGPIVYAGGLGCSAAEYPAEASGNIVLVSRGNCT FGQKALSAKEAGAVGLVIYNNVAGSLSGTLGEAFKDYAPVVGLSKEDGEALIASIKGG EEIKAEFKVDAVTEHRVSFNVIAETKGGDHNNVLVVGGHSDSVAAGPGINDDGSGIIG ILTVAKALAKFQVKNAVRFGFWSAEEFGLLGSEYYVKSLNGSKTELAKIRAYLNFDMI ASPNYIYGIYDGDGSAFNLTGPQGSDVIEKDFEQFFSKNKVASVPSEFNGRSDYAAFI ENGIPSGGIFTGAEGIKTEAEAAAVGGTAGIAYDVNYHQAGDTIDNIAWDAFLLNTKA IANSVAKYARSFKSLPAINLMQRRWDGDVAQTLKRNKKRANGAHVHSHSGPCGGGEEI NEUTE1DRAFT_128777 MASRGVLPQILTGRLNPRAPSLLARASPFRSQSALPPSSLYYQR HTPLLRRRYATARDNNGAAVQAKDTKENVEHEDAANAAADAASKDTKSKQAVLDEASS SSASSSTTTLNETNDWETNVNLNIDSFKQLPHANFGVNQFIPFDPEFREVLKQIPWEF RAPIRYAFAYGSGVFPQSKSSGKVITDEELRKIHPKAPEGVKRAQDGTPKMIDFIFGV THTQHWHSLNMKQHREHYSALASLGSGAVSYVQDKMGAGVYFNPYVVVNGILIKYGVV QLDTLERDLTQWDTLYLAGRLHKPVKILRDDPKIRLANQINLLSALRTALLLLPPKFT EDELFATIAGISYLGDPRMSLPTENPSKVKNIVGNNMTNFRRLYLPLIETLPNLEYND AGATERDWIWNDKSLNLVQDMDPVKRGNMVRRLPKAFRSKLYFEYQKKFAIPQLDFNK MMEESQNEDAISFKRRQGGGFEQRIASDDPAELRKQVRSVIKKTINWPATTQSLKGPL TSGFKRTIRYLSEKMDKYRQGRDAENAKTATAEDKPSERSGVDSKAEMK NEUTE1DRAFT_121192 MPPPSIPQPGQGKPPLRRGSISGASGPQAQFRASIGASAIPRPQ TALRGSRPGSFRASISAQPSFAFNPSGDSHIPLSIANLSRSSSRQGRTPTGPSPPPAN DETAASSTNPTRDSSKENIAPPDAAEYEAQRRRIEELKAEVGTLNYQISNYEQEKELG RLQMENEMRDIRRQAEQDFKAKQAADAEKSKALRHAESLQAELDALRAEKETQKRELN AKTREAQEEARLLREQVEELKAAKEEAARLAEREITELKAKVTVLERTAQETEEENRT LKDTLEKVNAQLAERDDTIGKLDAEVLRLKAHTGDAETVSVIRRELSDQVNHIRMLES KNREQITELKHLRQVYKAVEVVEEEKRTLQRKLEAAQTLETELAEERRQRLRLEDERR SWAAYLESNSEGPVEFDSPEAVARALVEERFRSASLTERLGALQPEIADRDNIIKSLE DEKARLLEQIEKLRASSGPSANDKARARLDRQRALAVKEVEYLRAQLKTFDMEDVTMQ PEQLDEQKAKRIQELEDLVDKYKEEVNTLHADLTSLESASTSPVQPVLAGTKRPRDDH DGAESEQLGQLARKNRKLQSEFSDLQTSHRILQKEHEVTTSQLAAAKEQLKTRILSLR DNPTSEYEKIKTETLKALKLENAELLAHLERQPTLFATVPATQIAALKREISAAQAET ASAQKRADRLKQVWAAKSAEFKEAVFSTLGWTVTFIPGGKMRVESVYYPSKTEEHENS IVFDGEKGTMKVGGGPRSEFANRIADNIRFWVRERGEVPCFLAALTLEFYEEMHGAKQ LQATGGGESGSGSGTPMEGVTGTASA NEUTE1DRAFT_60714 MTTPTMDDLSRAEYPAMLASLQPNQAVQIFSDRVKRIAKVNQEI ADWLQERRRVEEQYVAGLRKLLLFKVPNAASELGVFQAPWDKILSSTDGIAASHQLYA QRIDKDVEQPLRSFQSRKEMQNINTINANLQTMAKELDDAAEKSEKLSKKGGKARSQK VDAAASRLEAASQQWESQAPFIFETLQALDEQRTNHLRDVLTQLQTHEVDQATRTQAA AEEVLNTMLEINTGLEIHNFVSKVTAGRPKFERRTTTARQSSSAGGGSPPVGPPPGTP LHDDDGMSQHSGFRDQSDSKLRSRIGTMLGRRRQSIHGGFGQLGPPKALGAFTRGLGS SHGQTLSPGGSSHNLAESHPHRLSSMAERPSTSDERVKRDNINDFLHGGTNGFNSGDG QAESSQPRPTPNNLTNGTAENIFDAAPSAPPASTQQSEPQEEPAKDAEGYTIPQYSHD PIAEAQKEAAAEEPDHLFKLNIQNEPIAEEDQDAKQAALSNVAHTLTAMGLPNRKAGT VRGRRDVRHTMYIPAMPVPDHPENPFPSSPSLPATASSIIRSTPPITLGSEPSRASHA SDNQSIRSGTSYGAGSAYGGIAHLKHQDIHGPDFGPGLHSSIIETVSALFQDGEAKSV KVTGEIALSYKSDPHNLQTDNQIIRLNNFARLESIGPNRLFVTSDATSPDEFTINTSH LTTVSTPAFTYRVHAENDTALVSSHCPISINPVWKPQGDKLGLLLQYRVNPSVTLQRP IVLHNVTFVATYEGARASGVQTKPSGTHLKEKHLVYWRIPELTLTDDWAKIICRVIGE QNGEPQPGHIEVRWEYTAPPAGEVGSGISVSRLVEGKGKEKAEEQSEEEDPFADDKGP ISPPLGKDGRTWAEVPLSRKLIGGKYEAK NEUTE1DRAFT_38010 VDWKCEDCASPAAQFRAEQPELRVVERYDSIQKLQQFVAAAGLS ENAFVLEKNAYESARTREEYDEFCNQSPFGSSEADQAATAVSNEQDEDGNAEVDPGVT IGDYQNCYYITSGVTADVYRAGDRALKVIVETRNIEPHNPTREAKLLGLLSKPCIPLL DTFRDYEQRLVLVFPYMPLTLESLRERAPLTDSQIRIIFRDVLTALASLHSQGIIHRD VKPSAILLESPTGPAYLSDFGASWHPTLSAATEPADNKILDIGTGPYRAPEVLFGNHS YGPPVDMWGAGVMLAECCRRGANKHLFESRAVHEDGNQLGLILSIFKTIGSPTRESWP EALSFRTPPFDIYREFECRSWDKILPDVTEDWRELISGLVKYDSGRSTADQALRYRCM DEV NEUTE1DRAFT_128781 MPAQTKLAPLRRAAPTRFEFLDDDSDSKNDIDQPLSASHAATGL GISARVSGHKTVPVQPPPRNPRRLTAVQQKQTYRPYRPTSSIYSETSPPLAPHDPKQP STLASHYFQRYRGGEEISPPSSPEPSYTQPHHRPPILPPGDVSPIEEEYEETAQGASE PQPYGRTQHPHYQGPGSHSPPSKHNAAASINVMRRGQRRLSDAALRNTHVNRAPPSRQ PRAPGPCLPLFDPVTGEHLDSAHGRPLNRPPPGAPHGPDPRFARQLPPPPPPPFAGPQ PKMASTGDRMMRMASASPRESGPDPAAGAFAANRPGWRGPSGRTAIVDPVRGNPKVPP LRIPDRDGRRVVSQDSGPSQRPELSLGIPRRNQMSPPARAGAPMGFAPRDPGQRVISS SQVHPQSATTPRPVRSPIYPSPPYSAITSRGDAPFVAAQQLKRDGMGPPLPMAFTPVS PTNTSPVNSSPQSSQPNSVQRKPTSSYANHQSQDSFSSVYSQQQPEVPIPKQQPPTPQ PVAANPLPADEPCIKPPSRFSVTTYATSISTITHAPRESLDDFHDNSDYQPSVSSISQ RRRSESPKDEEPVQSIMNRSRPKLEGFDYKKNQVPIVVSLQDQIVSPYESVIDRESPA ARDRRVGIANGSKPTPRRVSATPSDINKSLPPAPPELEALSLHDGKPSSPKDLTASER VALYDAQIQALGNRRINITRSIKQMTELMPTDNILNSEAVRRKREIEKQKVEGLKTEL AEVQREMYELGLKLHRAYKRQEKEATFEPTTLWVRRVTG NEUTE1DRAFT_145403 MTTSTAPDVPHWRLQEQLPESAAPLSPHHEPQAPPRRPTAPVAP DTPPQPDAPRIPLPAPTTGSSTSATAIEPPTSNAAPSPTAKADHQHLGLPSCTGPTTS TATSSSSVSNSSLSTSSVTANIPQYPKNGTVPGGVDTAAPGGSFHSSEQPTTATTGAR GVTVDGPPSALNGQPQQSQNPSQVPAPSPIPTPDATNSAATSTSTSTPANATLSATDP ATTTIPSTTITASARSNFRDPIRWRDPITIHYDSDSGHAHPARLHAQSAHPASASSSS QLPPPSQLKYELSRQHIEHHPASQPATQSQLPAQAQSQSSAQPAPAQARSHHEPNRCP PSRSHPQTYHTQPPLQPAPAPVTATQLPPPAHSPRQSYQYHLQASPYQYQAPPPAHPQ HHYQHSYPVGAPLHHPPPPPSQQQHHPYEHPYSSRNDGLISRPIIMDPPRSRPVSQLP PPAEPISSSGFPSPATTHAHLNKKFADDCTRLTYAIQQSTPEAVRRVVRDNWEKCMLG TEFHQAFILNASIHHAVPSITRRAVRDFGQKMVLESKHELISHFSTADLDDIADAIIN KASEAFLDKCLNARLLTIEAKPLITALAKAERLGYNPADIVEEQHERVTPTSQAARPP PPPQSTYPGRLQCMGCYRVFTHQVPYEYHTSRRLCTVRPPASVKGFEFSCQYCGEGFT RSDEHQSHERARTCFHTGPPLARGPGRPPRAAPVPSSIAQATPAVTRTIDLTSAGSTP SAETPAGTKTPNAADPYGHLTEEQLAAMDADLKEAEAKFAPRFAEAYAIPDENERRIK IEGLRNSFGTKQSMIRKKYGVRLRQRRTKAEIQAEEERMGLEKLRKKQKAAAQQAAAA AASASVSASASTGTAPPRPAGSGWVAANAPRANAVWEEHEAKRRRMDASGTYQTPTKS TLSVSEIGGGLAGVAATAETHDPTRPSPISGHQTASSDGQSEDEEDSGSGSGRSSTAN DEMDVDEQLGSGPASRPLQNNHNSNHNDDDDDDSDSSDDEDIPSTLPAHVRQTLATRN A NEUTE1DRAFT_60724 MSYPGYPPASPYGQPPPQGGGYYQQPPPQQHHQQPPYGGPPPHA HYNTYQQPQQGYGQPPPGPPPGQYGAPPPQPYGAPAHSPPPGTYGAPPPPSTSYYPPG PPQAGYGAPPPHGYGQPPGPPPQQSYGAVPPTPTAYPPQPYAVQYPPTPPSPGYGPAM TIPWNPDPDADRLRKAMKGWGTDEKELIRVLADKDPFQINMLRDAFQRRHRRDLIADI KSETSSWFEEGLVMLARGPLLNDVHMLFNAMDGAGTNEDILNDILLRRSNADLKSIKA EFSRVFHRSLEEMVRGELSMKTERHFMIVLGATRAEDAAPVMKADIDRDVDDLYNATE GKIGTDEMRVCSILSLRNDNQIRAISYEYRQKYARDLDTVIQKEFSGHMKDALLIQLR HGTDKYMLQARLLEDAMAGMGTKDRLLTSRLVRAHWDRNNMRNVRNAYEQRYKKKLAK RVQGETSGDYQRLLMAICGEPI NEUTE1DRAFT_99551 MDCQVRFAHRQMLGRASSRLFEARSPLLPMEGGVRTSGAKKKKS LDGDALGRFDFKGGDAVWLSTFQIMAYAVAARACKVETAGCCVSGSARRFASQTVSTH GEID NEUTE1DRAFT_145405 MRVHWVHLPTLVLVALLAACQALPLIEHDSSPKPLLPRATYSVV PINGESGPEHGPGGPVVTKTVVQTAHQPLTQSSTRSPANSLTRTVSVVPIQPEPTLTL TTTVVHVVPTISATTAATASSISPFSFLSFAVTMSYRTISATPSSTSKTSNSTAASTS PSPSASNTSKSVSSLSTRPSPSTTSTESPISITVTVPTTTASIPFPTTFISNISSVSY DDGIWHTTYPPWNGSTTT NEUTE1DRAFT_121198 MALFGFGNPKRVSISRRALETVPSQSQPDAWNDWQGLNERTLPR EGIQVTHATCDRDLRFRVKPWKAMALGWNSFTRGRKSVGQARRRRCQHRIVLQD NEUTE1DRAFT_128785 MSCLATRSVLPLGRRLITSRARLSTAALGSLDIGSGNGMSMPPG FNIDVAGQPNKQPRIQSSSKIATVADKIHARDDLGKTMPPSFKAQVAHLDTFELPEKI TGSASDRALGKAIIDTWRYEGIIQIAQSDLQKKLWNDAKAASKRFFRKPAAEKAACVD SQSYAGYIASGEEVTDGIADYSEIFTITKDLDLEEPRVRAKWPCHGPCPWPDVEMKEP MMRYVDNLGLEGEKILQLAEFGLGVAPGTLTQYTRDGWHHTRVLRFPPRNATNGKGKE GRGIGSHTDYGLLVIAAQDDVGGLFIRRPRDDEKFANWQKSAAGHKEDADGWFYIPPV EGVHTVILGDMMQYLTNNYLTATPHKVGLNTRERFVIAYFHEPSFQAVIKPINEGCGG MRTAPEQTEEGVHYGTHFTNMFMRNYPDRITTKRLLAENRYAKLSKPELRTMDVMPAP QLD NEUTE1DRAFT_60728 MARSIETAPERNGVWGEEKREAVPCSKPTSDESITSDDAGTVDE IVQDQPPALPFSKARCIALVATVAGASFLNTVSGQAVVIVLPTIGRHLDIPDSRLQWV VSAYNLAFGCFLLLWGRIADIYGKRKIFIWGSAWVAITTVINPFLPNEIAFDLFRGLQ GLGAAANVPTAIGILGTTFPPGKAKNYAFSCYAAGAPLGAVFGNLVAGLIAEYASWKW VFGVIAILAAIITVAAIFVIPPPKHTLHQDGASVKGSVDWIGAFLITAGLLVLLFALT EGNVVGWRTPWVPVLIVVAVALVVVFVLWQQHLEKTGKQAPIMKVSMFHSKRFSAAML IMGLFFSSFSGWLVFATYFYQDYQGQSVIQTTLRFLPTGVMGLICAFVVSQLLSRVPT YMMLAFGNACVAITCILFAAPIPPHTSYWAWSFIGMILSVIGADTAWPCLILFTSHSL PQADQALGGALVNACGQIGRAVGLAIATAIQTAVMAQQRGVSVEDAGYMKEWEDASLA GIRAANWFHMALGLCSLAVVLIAFRGSGIIGKIPAGNVKRNEQSAQPEAGRKAADEEM GISESDDAKRISQ NEUTE1DRAFT_39037 MSNQNSRSQAGSPSNEGARARREFRPMDAILVDRAPLTEEEKEA RKARGFSENYMGDYNNERNKSADIPDDQNCSLYITGLPLNVTVRDIFDDIRDIGKVYS LHISPRSDSHSKAAAAVVFFTRAAAERFYNRFKTRDNPTVRWGGAVANPGRILGRMPV NVVWNQVKAAPFTERDHVTRVIQVEGPSDIVNEKFLLSYFRTKCRFDMEDISVLWEGF CDVPVSGGQPPAPSAASVHAEPPAQAPARRQGRALASTNWRERAHPVTAEPEAEPQEN AAVPTTRTHSRIMEFRFGTVKGQALACRMALDWEFKERGVMCRYASAGCSRFTENRSP WISILPPAPVLVSILDVRLLALFALLMLLPSGYAGVTRCENSVSGSGMDSLACFFFWW WWWWCRDDGVVEFLVPKGGL NEUTE1DRAFT_60731 MAQQLYLQLHYSGLSPTTEFHSPTTNYDRTSSSSLSPSPVMAVG TVFRPTRPEDWEPYRDLIAHLYTTMKLKDVMNEMHTRHNFKATEKQYKTQIKKWNLDT KYTKASEYMAMIKTKRRRENENPPKQTRFILRGRPVDPKDINRFEKRASKKGMIKDEE LAYNAKEAEDIEDLVYITPSPSPEPDFSSYAPPPPPTQYQTTEYHEQ NEUTE1DRAFT_60735 MRIAKTFLSLALVADAVYGSSWFSNAAYNKWHETELERWLSDHD VPYPTPADRKELEKLVQSNWDDQVVAPYKEWDAAKLTDYLKQKGIETKDSAGATKDSL IDQVKGVWYESEDKAHNSWLNVKDWILDSWTDSQLKAFCDRHGIPVPQPRKRDTLLEK ARSSYETIAQKAGETAAYPGNWLYETWSESDLKEWLDTHGIPAPQPTTRDKLIATVRR NSRLAYLRMKEQQASATSSAQAAYATLTDKLIDAWSESQLKDFCDKNGIPVPQGTKLN QLRALVRKHRADLLGDTLSASASSAFGAATSNIGSNYAKATDAASQAAADAFDKAINT WSETRLKAYLDARGVPVPQASKIDELRALARKHAHKAASGYTAWTFDDWTYDNLKDYI LAHGDAAGKKVANQAGVTRDQLLAAAQSGYASASAAGGDSYASVTSYLAKATDSAKAQ TFDTWSESDLKAYLDSYGVPVPQGSTLNELRALARRQWTYFKYGTSTPTETVFAKIGE SAKAGWDWIKGQLHIGADAAQKQAMKAGDKVKEGADSYQKGAEKVGDKIKEEL NEUTE1DRAFT_60736 MSTLEELDDLDRREREDKKKDQDKDKNNKKEGDGDAEMKDAEPE ADDILDEEILSLSTEDILMRKRLLENDARIMKSEYQRLSHERATMKDKIKENQEKIAN NRQLPYLVGNVVELLDLDPTAESSEEGANIDLDATRVGKSAVIKTSTRQTIFLPLIGL VDPEKLKPGDLIGVNKDSYLILDTLPAEYDSRVKAMEVDEKPQEKYTDVGGLDKQIDE LVEAIVWPMKEAERFKKIGIKAPKGALMYGPPGTGKTLLARACAAQTDATFLKLAGPQ LVQMFIGDGAKLVRDCFALAKEKAPSIIFIDELDAVGTKRFDSEKSGDREVQRTMLEL LNQLDGFASDDRIKVLAATNRIDVLDPALLRSGRLDRKIEFPLPNEEARAQILKIHSR KMKVNPGVNWGELARSTDEFGGAMLKAVCVEAGMIALRMGKNQIGHEHYVDAISEVQA KKKETVNFYA NEUTE1DRAFT_60739 MDFAPYQAAPPEHGRTISPPDFTSSPRTSWDYGRRSSYSPGSGN KYQQRPAQASPPPLLHPQPHRAWSGEGISRYQSPSATPGTANGIGSGIGFSSRNGSGG GGGVGGSMGEYFNALGAREGMVSEFDTSLGLRLDYEACLAYLAVPPLGAILLLILERK SDYVRFHAWQSSLLFTALFVLHLLISWSSFLSWLLFLADLALVGWLVINAYRDADTLD RYEVPIFGPIASGILDDE NEUTE1DRAFT_116498 MLRSTPLMLIFQHNNLTAIEWAAIRRELSLALSNVPVPEDAPDI TSKIHLQVVRTRIFDVALKTVEFFDPSTVEPTTATTATGTKVPATYNHDLSKHAWKAV KEATKNTEAVEKTVYGQLAPLLVGPVAILTLPSVSPAHLGAALSVLAPSPPAFPAPSR KKNPGYYDLTCQSGLQKLLLVGGRIEGKAFDYDGIKWVGGIENGIEGLRAQLVHMLQS AGMGLTSVLEGAGKSLWLTMESRRSVLEEEQNPKKEGEGEEEKKE NEUTE1DRAFT_121207 MDEPLTDLRGPLAAKYTASTDYSKIADKLEVPHVAQDVHEVATL INGLENGAKKKTSFKVKKTTYEVTQSRDHIRVDSWRFHDWDYKKHDLPTYARGLFTTR HKDGTPEITTRGYDKFFNVDEVTETKWENIRTRTRGPYELTLKENGCIIFVSGLADDT LLVCSKHSTGDRSDVETSHASAGERRLEEQLARIGKTKADLARELRRRNVTAVAELCD DSFEEHILAYGPDKAGLYLHGMNLNLPEFMTYPSPVVQKFAEDWGFIKTGLIVIDTID EVKAFLEEVAESGAHDGRDVEGFVIRCKMSYQPGQQPFQDWFFKYKFEEPYLMYRQWR ECTKAIIAGKPPKFKKHVKITEEYLLYARQRLAGDRELAKRYNQNHGIIALRNDFLAF KNLKGSDAANFEALHGGGAAADVESDIILVPIATIGCGKTTVGLALTHLFGWGHVQND NITGKARPPRFTKALLDILDEGVPAVYADRNNAQKHERKQLITDVKIQHARARLVALH FVHNNLEKVREVCRDRVFARGDNHQTIQAASDMNKVRGIMEGFINRFEPCDPEQKPDS EFDDIIDLDPSAGSRQNLETVIKELHRMYPKFMPNIPSAAEMDEAIQYALEGYKPDLR HVIPDRSTKNKNQPKQNGQQQQQKQKKRPLEYMSVDMTTKDVLNTLEKAFAAAGSEQS RFFKQLQGTRRVQPKFHVTLIHRAGAKENPQLWDRYLRMNELEGPNFPDGKLGEIDVQ LERVVYDDRIMAIVVRLIPRPGDEHANPDSSEPAEPKWKCTNRVAHITVGTRDDSVKP RESNDLLVKWLEHGTGAETGIYDLLIDGKPTLQGVVRGVLSR NEUTE1DRAFT_79431 MSNNPYLLAADNPEACVALLRENPSLASGQDEHGYSLVHAAASY NHLDLLRTLVGEFKVPVDLKDEDGETALFVVETVAAAKVLAEELKLNTTIVNDEGQTA REKIEAEEDYPEVAEYLRGLEGEANIPNTAQGTTNGVTPADLPPAPEGLQVQIGTMNE AENAGEPDPEFRRRIEELASREDFETAEGQAALRQLVEDAILGPRQE NEUTE1DRAFT_121209 MLSFPTPSVYQSSKCFVTYGVMGHLDPNQPPTKSKPWSTVASQD FINRVELIIPQEAFEVVRKILNEKAAPEFKRVVMSLHDILSGDFFTEYIKKGILTMLL DKEAYERAGLVGKPDGVKGKRGLKPRWVVEVDLTSSSMVPGKKGFDRLIYASKNAFNT PVTWLFCNLSSTIPNPDPLASHSPTKCAAEPSVLENLDAMLPTLKPPVDTIGPEGREE LEYFSTELYEWLSLVRLQSPRIQVGDQVDPYLCRYQVPGDGQQGKICKLTWQGFLAPS WCREILLNLVAELPSKTWFSFSTTTFSKGMAGDNSEISLFRPQGAPGEYVMWEIKSHE NEUTE1DRAFT_79436 MPEMEYLIRFSQSHETFRLPEIEALAIVEGIDLKVISYSLESPF CIVSLPSSSAARRLIARSILVISIHELWGHGATLPEVHSSVRSHTEPLWSQYLSCSFK FTIDSYQGSRTNDQKLSIINSFAYLGFAGPIKMRNPDDEFILFEDWPWNSTPLGIPDP KYYYFGRYLGTSSRETLPKKLDLKKRRYISTTSMDAELALVTANIALAAPGKLIYDPF VGTGSFPIACAQFGALTFGSDIDGRSIRGDEKKRTLRGNFEQYGLTQNLGGMFTADLT NTPIRKSALGTSTSASSSSSSSSSSSSSSSPAGQPKSDGVSGRIFDAVVCDPPYGVRE GLKVLGVKDPEKCPWVIPKGMEMYKDPDFIPPRKPYSFLLMLDDILQFSAQTLVDGGR LSFWMPTANDQDQEIPVPQHPYMEVVAVCVQDFNKWSRRLITYCRIPDAQVEAAAIKA YEQAKAERAVEEGKTADDLNPFRNAYFKGFKN NEUTE1DRAFT_121211 MPRVAPFNDAIDPPSPRLYPFSSCPDTDDSQMRSQSHHQYFSPP MIPMITSPSTADVIERTGPAVLSPESQSEVNLDVDMDHGSERMRDDRRDADEAHKQRT EIDDETSVAVSDPAHSVHVEQRNRTITANRVPTSTSDIRPLRTYGVSGGHRNRKQGDE LLAPNNTSLGQVEEVDLTGNDTPWMHDYGLAGLSYEYCQTRLTPSTPSSYLRPGSKFS GMQKSERSRYDVEVEIKQVDLRESFLCGYLRIQGLTDTHPTLTTYFEGEIIGPHYSFL TQHPQWGANDRIDISHWSKFLAFKPYAKVAKRGGVHIRDVAQKDNIFMRWKEQFLVPN HRVRTINGASFEGFYYICFNQIHGTIQGIYFHSKSEKFQQLELHHVEDKGCFGAMEFR NEUTE1DRAFT_38114 MSAANEPFYLRYYRLSSGHSGRFGHEFLEFDFRVLGDGRSATAR YANNSNYRNDSLIRKEMCVSSLVIDEIKRIIKDSEIMKEDDEKWPTKNKDGKQELEIR LGNDHISFETAKIGSINDVTDSADPEGLRVFYYLVQDLKALVFSLIALHFKIKPI NEUTE1DRAFT_79445 MSFLENAYSLVKDNAVDGTPTVQELKTQLEKGTDETKIETMKRI LTIMLNGDPMPQLLMHIIRFVMPSKSKPLKKLLYFYYEICPKLDAQGKLKQEFILVCN GIRNDLQHPNEYIRGNTLRFLCKLREAELLEPLLSSARGCLEHRHAYVRKNAVFAVAS IYQHSPSLIPDAADLISTFLEGESDPTCKRNGFAALASIDHDKALLYLSTVFDGIPNA DELLQLAELEFIRKDAVVNTQNKARYLRLIFDLLEASASTVIYEAASSLTALTNNPVA VKAAASKFIELAIKEADNNVKLIVLDRVDQLRQKNPGVLDNLTMEVLRVLSSTDIDVR RKGLEIALEMVSSKNVEEVVLLLKKELSKTVDQEYEKNNEYRQLLIHSIHQCAIKFSE VAASVVGLLMDFIADFNNNSAVDVINFVKEVVEKFPQLRPAIIARLVDTLSEVRAGKI YRGILWIIGEYSLEEKDIRDAWKRIRASLGEIPILASEQRLLDNVDGGAEEKEKEQVN GSRAAPTGSRKVLADGTYATETALTSQSTAAARLEAVKASSKPPLRQLILDGDYYLAT VLASTLTKLVMRHAEISSEEARTNALRAEAMLIMISVIRVGQSQFVKAPIDEDSVDRI MSCVRSLAEFTAHKELETVYLEDTRKAFRAMVQVEEKKRAAKEAHQKAKTATQVDDVY QIRQLSKKNATDGADAFDADLERATGGDNSSAEDLSGKLSRVVQLTGFSDPVYAEAYV KVHQFDIVLDVLLVNQTTETLQNLTVEFATLGDLKVVEKPTSQNLGPHDFHNVQCTIK VSSTDTGVIFGNVVYEGAHSTDTHVVILNDLHVDIMDYIQPATCTETQFRTMWTEFEW ENKVNINSKAKSLREFLDLLMASTNMNCLTPEASLKGDCQFLSANLYARSVFGEDALA NLSIEKEGEDGPITGFLRIRSRSQGLALSLGSLKALNKIGSAA NEUTE1DRAFT_39120 GCCISRSSEEEGVIAPYPAGSRTSRISGSSRAINRRELDAGGSP APTTAGSQLRGIGGGSSVTSDDRQPLTSHPPSGATSFSHQPRQEQQQQQQQQQQPREQ REQRRRSHRRRSSQHRGDSGDDRGDRDRGRRRHSRNLSHHINKPLKRHEWTSDGTVWT RAALDRERVEFFDTRVTGRQEVWLAIHAALEILWHQEQVDAAAAAQHGDGTLAAASDY EGEEGQGEGRGSGAEEEEEDDDNGREIALATAQTILNAAEITLPTGNLAQGGAYDLLG NHYSLPEHIVSDPTNISTSRPPSSMEADEDEEGYADTDTKGAVSVANEEVTEGASQEA LENGDEVCSSSERHHRGKKGKTVVNVKDLITVRVRLSDGSRDVIVQVDKGDSVRVLGR KVAENAKLSSDKKIRIAYMGKVLKETSTLPDQGWKQGTIVNALSPPQQQQQQQVAFDR FLSLQLWRVNTMPQ NEUTE1DRAFT_121214 MSDTKQPVAFIGLGAMGFGMATHLIKQGYPVTGFDVWPPTLEKF TSAGGLTASTPASAVADKPLCVCMVATAQQAQSVLIDGPDAAAPALPQGAVLLLCSTV PCDYVQSLAKQLSAIGRPDIHLIDCPVSGGAARAADGTLSIMAGVPSEKALGKSKPLL EELADPAKLYIVQGGIGAGSNMKMVHQVLAAVQILAASEAMGLATHLGLDLARTNEAV LKSDAWNWMFEHRTPRMLTGYQPIASATVIIVKDTSIITAEARRSGFPTLMTSVAEQV YFSAVGRGYGADDDSGLVRLYAEGKGKVGPVQGTAASGEEKLALVIGLLKGILLCSAA EALAFADRVGLDLDQVFDLCINAAGGSQMLKKYGPSIIKAFREGTARQGWAAAESETS LKEIADGLSAAVEEAQRLKAPVFLGSQALNVVRVALQSSPDGVAAGAVVKVWNSTSME KAFRPHFFNHGKPDANPKEKKNCHWCQIRSFATHAQLPISIVNREDDAFLNPNFRFID HSIIGKNVPVADQSFRVGCSCASDEECMYSTCQCLDEMAPDSDEEADPYTRKKRFAYY SQGAKKGLLRDRVLQSQEPIYECHQGCACSKDCPNRVVERGRTVPLQIFRTKDRGWGV KCPVNIKRGQFVDRYLGEIITSEEADRRRAESTIARRKDVYLFALDKFSDPDSLDPLL AGQPLEVDGEYMSGPTRFINHSCDPNMAIFARVGDHADKHIHDLALFAIKDIPKGTEL TFDYVNGLTGLESDAHDPSKISEMTKCLCGTAKCRGYLW NEUTE1DRAFT_121215 MGRPPLSENRTMSILNKAKAGGYAVPGMCCYNIESIIATVKAAE AARSPAMVLLFPWAIQYAGDSLVKAAADAAHSASVPVSLHLDHAQTPELVRRAADIPD GFDSIMCDMSHYEKEENLKLTAELVQYCHEREIAAEAEPGRIEGGEDGVAETADLEGL LTTPEEAEEFVNTGIDMLAPAFGNVHGEYGPRGIQLEYDRLQAINERVGERVRLVLHG ADPFDEEIFRKCMAGGVTKVNINKGMNNHYARVQDEMKGKPLTSVIEAGTKAMQEAIE QYMHWLGSAGKA NEUTE1DRAFT_121216 MSKRHLFPSLDGLVPKALRGIVASNARLNLDEANKVVYDSEAPR SNVSIVSGGGSGHEPAWAGYVGTNLLAAAVMGDVFASPSTKQILAAVESVPSDKGTLL VITNYTGDCLHFGLAAEKTKAKGNPCKMLICGDDVSIGKKGGSLVGRRGLAGQIGVLK VLGAAAAQGATLDELYDFGSAFANSIVSISATLDHCHVPGRTEHGALAEDELELGTGP HNEPGYWKLSPAPSAEGLVQQILKYCLDETDPERSYVKFNPGDETVLLVSNFGGISNL ELGGLVDEILQQLLKDWNMEPVRVYSGCLETSLNAPAFSVSIINITAAAANSTYTVDQ IKGFFDTRTDTAWEAVAGYQSYPRRRKRSEQVVPPPKEIRRTVDDSTDLKIDPALLES MIKSACNAVSAAEPDLTKWDTVMGDGDCGLTLQTGANALLDALTTKQVAANGSVVEVL NELEDIVEGKMGGTLGGILGIFFVALRTALQENIGLAKEVGVPALWGKALSKAISHLR QYTSAKVGDRTVMDTLIPFAMAMEEGKSFEQSVAAAVKGSEGTKKLKPKLGRATYVGV GADNNKELPPDPGAWGAMVGLQGLLSAV NEUTE1DRAFT_99573 MSTTTTPQPRWRIAVGCDDAGINYKNKIKSDLSSDPRVISVVDV GVAHDSQDKSTAYPHIAAAAAKLVASGECDRALLICGTGLGVAIAANKIKGIRAVTAH DSFSVERAVLSNNAQVLCMGERVVGLELARRLAKEWLGYVFDESSASAEKVRAIHEYE GREGGREAEWVKGC NEUTE1DRAFT_136470 MYFSHARTTNFITSFLDLMQHHTSTKTEQSNNRNNLAAALASKI DIFIIPDHLSLQSAINRTANHPSQSDPTDPKILFGAQNCHWEDSGPFTGEVSPAVLAE IGCRIVELNHAERRRHFAETDAITSLKAQAVIRNGMIPLICVGEGSPGQIPAPGEVAI RKAAEEVVGQVERCLEGVDPTKEVWLAYEPVWAIGAKEPAGVGHVRGVVRTIRKSEVM RGREERGGVTRILYGGSAGPGLFGQLKEDDGVDGLFLGRFAHEEGQLWKTICEVAEVE NEUTE1DRAFT_136471 MTRNICITASEGQTGFLIAELLLKESKFAKRIDSITGLVLDPTN PKCTELESLGAKIVPHHPGRERQMVKTLKEVGCDTICVIPPAHKDKVDITIELCEAAK KAGVQNVLLISAAGCDYAERRKQPRLREFIDIENIVLSSKGDPSVDLGHSPCVIRAGF YAENLLLYSQQAQLNGILPLPIGENHKFAPVALGDIAYVAACVLAGKGKHGFDDRHRG QMMIVTGPMLCAGKELAEAASQAVGQKMEFENISEREAKRILKAQADIDDSEKEYLLE YYSLVREGKTNYVVTTAFHDVTGEQPTQPSEFFRMYSHELRPKKKAKTDNST NEUTE1DRAFT_108931 MDLNHGLSPAHNPGNSAGEMTDDQKSNMKVASHHARLHRSTGQQ ASRVAQNIDKGNSGPVETESAISSIEHQTLRQQMKIKQKGHGQFISNSTKHSQESEEN RNGILNHFHSHSNGERYRKEHATRSKKIKRKRDDTEEQRESIARSLPVSIVRLSPGIV MQEREEGPKKTYFNTQETADAARIRSLEAENDLLKSEINELERDLDKTRTELLEELSE LRSKNYVNPTKDADSEIGKDWEHLVFLIEQFVSNHFPTMLLWEDIQRAGTVKQYSPIS NVCAEPESVLQSGYIYPYLVESMVWRFVKKEVFDPKSKLWAGKIGEKFDDICGKITGC VKKFPVNETTKFNTAFHEWRSRSVSFLVDLGLDRKSRDHSTAREMMEELRQFISPKPS RRRFSKETLVDAAEIIRKAVDIDIQLRKSKAYFPIIFSGAVQSNQSRFFGFSFNAGIM GKISLGLSSDQLDQTSPPIVDMAISPGLMKRGNADGTNYESTKVLAKMRVICDLDAFF NDVDDEEDDEEVDNSDASVHMAPEYANDSEGSDLTCTESPLAQNGEHGNKEETEESNI IVAAHAFAKAELEEQEGGACDVAESKPNSPDSSPLTVKGEVVSAEMVYPHAENAAGSS EAMDLDGGADLANQPPAPKVDHDGDLQMESV NEUTE1DRAFT_39823 MPSTPPKSPRKSANSQGTVIVGIDFGTTFSGVAFTWSNQVDKIE IITSWDADTSDNTDECKAPTAITYGPGNKVTWGYSIEPESEQLKWVKLLLLNDEDIPE EVRTSDKIKKALEYLQKHKKTAEEAVTTFLRHLWEHSIDCITRSISKGMVNYAQFHIV ITLPAIWPSYAREKMRLAAEQAGMLDKRMGVETQLTFISEPEAAAIATLADMEGRFDV KDGDTFVVADCGGGTVDLISYKLIQAEPMIVKECVKGSGGLCGAVFLDEAFTNVLKSK FGKKWVKMDESNRRDMVRTQWETGIKRNFKMSSKKSYNIRIPMECLLVKERRAIGASA SLPTITITPEDVREAFNPVVEKINRLINGQINAVKEKEGVSPKYVILVGGFGRCNYLY DRVNEIFGAEVEVLQAKGDKPWTAICRGAVIHAATQSGIGKLSVQVQTRVARASYGIC LSEPWDTRRHDPEDKYLCEIDQKYFARNQMAWLLKEIDEIAAQKPMSLSVERTFDCNG PMKTPDDVEIFETNIFPPPTRWDPEVIKELCSIKWETVIDPHTLPKCKNRIGGAYSEL RYRFEMNCSGESVGFAIYRGGKKEGSKSVKVDYNTR NEUTE1DRAFT_79465 MFPPALTLLLTPGLVAAAIQPQAYASSADGRYKLSSYSAPVRGT GTPGSNSTWKLTIDDTPSGRKQTIKGFGAAVTDSTVSVFNALPSAQRTALLNTLMTTA GANFAMMRHTIASSDLSANPAYSYDDSNGQTDLSLSNFNLGDRGNAMASLLAEMRRLQ PGLTILGSPWSPPGWMKLNRVIQGTTVNNNLDHAYASQFAQYFVKYLQAYQAKGAKID AITIQNEPLNSRAQMPTMYIYADEAGDLIQNNIGPALRNAGLDTKIWAYDHNTDQPSY PATVLSRAGGYVPAVAWHCYASSLDWSVLTTFHNAHPGVEQYMTECWTSARQPTPWNW AASFTMGPLQNWASGVTAWVLGTDTNDGPHLTGSDACDKCTGLVTVDTAAGTYNLRGD YYMMAQFSKFMRKGAVVMSGTGSWTYGDGSGLESVAATNADDGSRVVVIENQFGNEIY VTVEAKSGEVWSGLVYRNSVVTWVLPAAGV NEUTE1DRAFT_108934 MPRAAAAARQKALADKKAAKEAEAAAKAEAEAQRKAEQQAKKAA KEAEKDADDEEDGGKDEQPPVKPKGKGKGKEKAAAPKKAAPKKAAAPKKAAAPKKAAA KKVPSPKKVAPMKSAVPKRAEAVPNAKTANNPATGRKRTAEVMEGNEDKDNNNNEEAE NEDEAQPPAKKARSPAAAKTATNPNHRNFQLAGSLSFEIPRKSVSMGPRAQPRTSSEV ARADAAGRAERADGNRSNREADDEAGRMAREGLEKQQQKKKKSPPVRVSVRNGMGRVQ EREVQFDVDERRPGEGQSQDRREDDERENEDEAVDEERGEVDENLNEGNEDVAEAAAA AGADGGDPDKDPSDSSSDTSSNSISSVPSERTYRDLPDIQEEHIMSGITSRLSQLQEA YNIRVARTKRNGLKYNQGIFTRASGPEYGDPVTVEDLKRDFAHLKILVQKLVKMIPTD RTLEEWTKRVPDVWEELKKMTKYVKAYWKPDEGPLRKWLLEALIWRRLHKLMFDRQRS EYFAFGHGRAMLLEFWVTSLAAGLDFGEAVPELCEQRARLATFLKEMYEKESPSRVAR AFEEVFCHGILDFLREKEQEHPPRTGRYFHEERAEREREMQELAVQIIGHASDLNSRM LRSSGNWALIYTDPRTEDQKFGYSFVPDKMHLRDLEDEYVVSNNSTGDLGDLQRDEMG KEVILAITPGLWNYEEWAKMDPHYTIPHVRSEVSIVRNKAKQKGKDSRGRRGATMSDE SRHSS NEUTE1DRAFT_108935 MALLSVVTRPFLCRPSNLQKNWDSFLSLRAYGVHFSGQRLSIGS FQKRGRATRSSPVQFPRHATQLFTSQAPERVARSITQWHPPTDQDMWEQPVLIMGAGH IGRRVALVWASALRPVTVYDISKNALRSSTEYITDNLAKYCLEHGTHPGPVHFTSDLR EATTAGKRHGLKLDFSAAHDTEPKSTRKKGPWMVIECLPENLSLKIAALAEIERLLPE NCIIASNSSSLMTSEMAPHLQNPGHLINTHYYIPPRNVMVEVMSSSHTYEGIFPFLTR EMKNMGLTPMVVPPGVQSQGFIFNRIWAACKRETLAVLQEGVGQPAEIDALFRDFFHA EKGPCERMDEVGLDVVANVEEHYIEQRSELARSGEKPLEWLKKTYVGRGDLGEKSGDG LYTWEEREALKAKHKREKFPEVEEALGA NEUTE1DRAFT_99581 MPESRSSESTSAYEREDIDTAQDDEQSPLIQEAKGAISFDTISS PVRPTPTSRQQPSRNRSSLGTSSKISTVDFGYPSKSASDSTRRRTMSEEEDRTLPSSP PPPPIKSSTDTGSSSKAPVVSPTMTQLQAAAVRSMTAAEHGTFKADNNLSWGDPAGLP MRRTNDENLVIFRRAIGINSGLAGESDTRSLEEGRRRAVGMYAATMKAQLEKRVKHAL IDVLLYASHLAQILIGATLTALGPSAGKHAILITVLGAVNTVIAGVLALIKGQGLPER LRHDQAEFRKLQDWIEQTEALLAVGVIGRDRKEVGLLIQVAFRKYNAVRQSEENNRNE NYVRVGAADPLGSGSGGASAPVEHVGHTGKLLNLDDHERNTGAYQSAGSGRGKGSSHS GNQ NEUTE1DRAFT_79470 MATVSQSTASLSSSGKSSHHNRPEDESKGAGSMAEPNDVEKLSP SPPPTGPPQPNEKDLYKPKSPRFWLTLLCNFMALFLVALDRTIIATAVPRISDEFNAL GDIGWYGSAYMLTTSCAQLVYGRIYKFYDMKWVFLVSVVVFEIGSAICGAAPSSTVFI VGRAIAGMASAGIFSGCMLIMIPMVPLHRRPAFQGLFGMVFGIASVMGPLIGGGFTSG ATWRWCFYINLPIGAVSFIFMVFFWNPPKEKRPPASPGVHIKRLDPIGMIFFLPGTVC LLLALQWAGSTYAWNSWRIILLFALFGACTAAFIVVQILKPKTAMVPPKVITQRSVAF GTAFTFFLAGSMLMMVYYVPIWSDQQRRSKVQTVKQVDPIKSGIYTLPLVLSLVVSSI IAGIITQKIGYYVPSMLVAPSLMSVGEGLMSTLNPGSPSSHWAAFQFLTGFGLGFGMQ TSGLAIQTVLPKEDVSTGIAINFFVQQLGGAVFTSVGQTILSNLLVKKLSGIPGIGGH VIVNEGATQLTNEVPPEYKDRVIGAYNYACQRIFLAAMGLAFASLLCAFGMEWKSIKK GRQGPPPSQPPENGSQGPNEGLLRPSSSFSPKDPSEGPTTNGLIMAKKEAPAEGLPRQ HLRSRNSRRESLASEGRASGVLTKPPPAHMIPGSPASAKGSPRSSDQKIEQDRGSSYH SAPTNMGSQEMKELPSPAA NEUTE1DRAFT_60791 MASELTPPDQRHDYLHQHPQPPLPPSSSSSEQRHSVGVHSLQAV AEPSVMKLTRGHSCVLCQQRKVRCDKQKPCANCVKAGVECRVVPPQPPRRRKKKPHER DLIDRLKKYESLLSQHGVNFEPIAHDLKISECVDDVADLEQDLSGLKTSPSSAADHVS PGDQGYDKQKWFPYNKEFRAMDEELADSSDEDCEGPTLHHAYDTMFDNNDGFPFVVGG SPTSVTNSHPSSFQIFQLWQTYITNVNPLLKLSHTSSLQKQIISAGAKPANIPKPLEV LMFAIYFSAVTSMTAEEVQTEFGEDRTILLAKYHGATQQALVNAGFMRSNEIMTLQAF LLYLLCVRQYVDPRSMFCLMGIAVRIATRMGIHKDGQQFRLRPFEVEQRRRLWWQIVI LDKRIAEITGSAITALSSCGGDCRFPLNINDSDLNLHGKDFPTQYPGPTEMLFTLTRI ELTVAAEPNGLRAVVTTPGGTRVTQPRVHFSPSPASPDVVTHVANTNLPRDLESFCTY MENAYLKYCDPKVPLHFFTLLMTRQALGKLRVIDFLCREATQGVMDHNERDALFEQAI LMVEYDNMLQGNEALKGFKWYTMLNFPLPAYIFLVQDLRTRTTGAPCERAWTLMIENL ERRGLTSNLRTPMHIALGGFFVKAWDAHEAAQNQLGRHLQTPKIVTLMRNTAAKFKRP NSPPQVGSGPVGGVGGSMSMSSDPRQSVANSATPPGMMPTASMPPAMAGMAGPHGLPG VNMQTGMYTTPKPMQQTPQTDTSPQMGSASMMMNESMMFGSPNGGFDTGMGQMFGAGA PAPPSAGSMDLDISQMDWNYLVQLSSFGGFNPNYSAQMQYPHQPGPGNQ NEUTE1DRAFT_60794 MTDKLPSLLTPIAPPSRTSFSVSAAVPVQDGIRAQENVEEEPYT IRCICKYPDDDGNTIYCELCDTWQHIECYYPHNSEDALRDPDFAHFCVECQPRPLDRE RAKENQRRKLTSGVTEGMTDKKSKRPLSKSHKKKPKPSDLPLTGQHSKAEKQASTQDS HPAPTKKSKSSHKSSHSVSSQAAKRSPQFGSTKSNHAHPPSPAATPPDLPADFEIHTY APALLSGSSDRGVEIVHTNSFASLQVSNAISAWLRDHNKLQKETGWAYADIFQPLPPN IDQLKRPVDIELSRKTLGQGTVASWKCLKAPSAIAHNVPLVELNGQIGIQSSYCADPD SRWQELTSPLPYVFFHPMLPIYIDTRKEGSQARYIRRSCKPNAMLETYLSDGYELHFW LVTDRQVAAREEITLPWDFRFPNENKSRMLRVLGLSDEDTSAHAESSVDDGEYQALTS WLHNILSEYGGCACNLGSECAFARFHRNHLGKSQAPANPPKTKKRKSKTQSSSTIGTG PATDSRAASEGHLEDAPENDRRSVSGSARSKPSSRDLTPTARQGSFDTLGILTEPTDR DKRKVSMIEETFRRIELQQQPTKKRKARGSDAATTSKKGSKSSATTQTTNATNGADER HCHFANGETAITSKATSPAASAKSSRVTKSKKTSSRKGSVAVVSHPVPAQPVRLPSRP KYADAGTQTNPDTEANPAPSPARPKRRLVSVTMRIMQHHRWLKEQQRKQRLLNQAVAT VPMDVDSPKDNKAVVSVAGLVQDTTLKPSAPAKATTSDVEMPDAPTVSASHLKATQST SVVTAPKSKVLDLRVPMPPVPPFPSSTSLALTSTTSLPAGTSVAQSSFSVTGLPSPLG PSSINGLTANPSPIKKKLSLSDYTKSRMNKVAAARPSVSVPSLKPNAALDEPKLTTSD DNGGAASGSPTTEKTKVFPCMD NEUTE1DRAFT_116506 MAAVPRLQHCCKLYLLGDMNFFHKGVSMMAASAGLSQQNGPHSR SCHAGTTKLPNAPET NEUTE1DRAFT_116507 MRLSAAVIALLSTSAAAFSVYRENSVSANDELDVPGKSPLRFCD AAADRKDDIVTIEEVILTPNPPEAGQTLTIEASGIVKEAIEEGAYVNLQVKYGYIRLI NTSADLCKEMKNVELECPIKKGRLSITKNVELPKEIPPGKYTVEADVYNSDDKHITCL TATVFFGRKTLGFLDDL NEUTE1DRAFT_79483 MPPTPRRLVRRRPLSERIQAFLNPIDFYIWISEEIQSFDWDSTA FGTWFGLIANVLFLLARANANISGGLVDDDVFSDAPSSGFTKALINFLIWTLIPISGL NAFYTMTRSRHYRLFEANVEAQGPSTPSAHRVRVDSSPSTPTPLRYIQNFAKGESAEA RAHPDKTRDVWEVAVWDPYPATLRIFCLFSPGHVLIYMLFLPLAALDPRPSVTVFKAL FLQLLLTAQMLLLTSRFTQQSKDMNIIHREVMHEYDIKYVHPRVYPIYREVATQVSIV DDVLEEESVAIGTPSTIIRHGFETHPNPNYTKHFDPDGVLKQSRELTQTDASTPAARP YTPITTGRPSFGSVTSPSTTTTTRTPTLLRQNSYGNTPSTISMTARPTPSKRQVAHAG TTPYPPRKAAGGGGGSLGVYQHMNSPLNRTASVGTDAPSPRNGREMAALEQRDLADRL IRQHSPVKPSPLKSEIYPAAAGGSPRKSSAAAAAAASRDGDGDSSAYMQHSPATLNNA RANRWAHERFPTRRV NEUTE1DRAFT_60808 MPSAESTPRTLYDKVFQAHIVDEKLDGTILLYIDRHLVHEVTSP QAFEGLENAGRQVRRPDCTLATTDHNVPTTSRKALKDIASFIKEDDSRTQCVTLEENV KKFGITYFGLSDKRQGIVHVIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGTSE VEHVLATQCLITKRSKNMRVQVDGELAPGVSSKDVILHVIGQIGTAGGTGAVIEFCGS VIRSLSMEARMSICNMSIEAGARAGMVAPDEITFEYLKGRPLAPRYGSEEWNKAVAYW KSLASDPDAKYDIDVFIDGKDIIPTVTWGTSPEDVVPITGVVPDPETFATEGKKAAGR RMIEYMGLVPGTPMEDIEVDKVFIGSCTNSRIEDLRAAAQVVKGKKIAANIKRALIVP GSGLVKDQAEAEGLDKIFQEAGFEWREAGCSMCLGMNPDILSPKERCASTSNRNFEGR QGAQGRTHLMSPVMAAAAAIVGKLADVRKLTDYKSSPHVEAAVIPETTSTAKAHIDER IEEDDVEKDKLADQPQDSSPQVNTSVSKSSAGLPKFTNLKGIAAPMEKANIDTDAIIP KQFLKTIKRTGLGSALFYEMRYNPDGSENPNFVLNREPYRSSKIIVCTGSNFGCGSSR EHAPWALNDFGVKSVIAPSFADIFFNNSFKNGMLPIPLKDQAALERVHAEAAAGREIE IDLPNQLIKDADGNTLCEFEVEEFRKHCLVNGLDDIGLTMQMEDKIAEYEAKMSQQTP WLDGRAYLKRKGQGGKLVAKAVPVPKTNRGEEKKEPLEW NEUTE1DRAFT_99590 MASHNHHVSHSCQPPPLGLDGAMPWPGPIYRQPATCPQSPAQPT HTRKAASVKSSGSSISRGRKNIAASVKEFFHSLRPSNCPEQGFTLRHHEHDHGHPTID EILTGERNTSTAMQPRTTNARRFTTPRQKSVDSDPGEAETRHHDPSTPDTIVSEAWNI PVVLPRRDSNISGKSRVKATPIPVRKITSRLNTRSDDGPKATHHRGDNQISSLDGTWA NMGERRVKSGNIPTFSMPSRKPVPTQNRSCLPTSSQAPHVGTADSHNVISSAVPGYNR ARSLFLEKQEARRQRRILREAGDYLGVTGANPYTGMLDNITPRTSIDMTEFRHGSPQS CPKGSPRGEEKRRGTGKRTGPRQPSKLSKESEQCQSPSNKSVEPAQAGESATTSHTSN SFLGMETMAVMVPSGGSLASATSFPSNTKSLRLRFHPLIPRRLGPGPMDTGSVPGPTG IKKPFSRIPVPDRCPVSRKMLPGTPMAPVPSSGEVTYPHLDLTNLNPACQWANMLIED LSGLERSIRDSTREAKAWASSIAQDISGLGQALHIPQPQSACTPIITITGCESSPRRQ LPSVIKEICPKGPEAKAPQKARKTSGKKAVKTNQETSESVTVSSSHQTSSLSPSAVPK NGSSTPLDSPPSMQPNVSPKLARPDLHHHHSWTASLTADRVAEMAAEDLARASQDELP KAASAKTSGRVSTPRPREQPGWEMRKTMRKEADIQDPRNGKRRPPLTSTYTRRPSLAK SKSWSSKNQNSVDIKTLMQAMVHGAARAAFTQHPANQRTGSEGIPASASGSDSASTTG QAITGDMKAGEATGAAENATAVELGTSPITSLPEQRNKRHKRHKLKDSAGHGGDDNDG RSRSQDPKSKVSEPQTCQGDGAAASDDLYRLFPGLALPVRSMVAVGSGGPPFEVPVGV RIVVSVVVTFVAQFVCGYWAFVRPVFHLDSPLHKRYARGQATLGDVVVYVFALMFVFF AGAAVVWVIRGTVLVCRLVRAIIGGLAMLAGL NEUTE1DRAFT_128813 MASHENSHASPTVNPHSFLGMPSEIQKDIVKHCCQPDLICLALV SKHCRELAASQLYRQFHIVFPDEDDPSFDSPIDGLAAGLDTFVTSNYDYAQHLRDLSL DTLSAGSKGEAAYKPYLLQNSCGKFMNTLLLLALRKANKLETFKWNIRVELSRPVYAV LHDIRTIAHVHIRMQAGPSLYEKPPPLPHAIHPPPSSTPGLPPVHWMPQGTHPPPVPP QQGMDFDLQITTANSNFVNAHPVYLVHNSQPPHPHAFAQQHPHLQAFPQQPPHHHHQP PAPKPPSKPKAQKRSQVLTEPPTLSGFRNLKSLAVLDIDNLDIVTEIKSCVRNSAGTL TKLKLSFSHLLASQARKPPAPEVVTDDSDEEESVWSTPGSSPSPQSSNAVESTGAKAQ RAQEEKKTQESVLGRIFDVEHFVVKKPQKDVKAKEKISAEAVNADPGQRFIQHVMKAA ELLMMEKDDLRREALDIIETAASTYVTSLKTKQPGATSASSESQSAQTKVEAKGGSST AELGTGPMNSSVPARNKKTDDEFTPEDINIEEPEGELELEPGSPGPKIEDTETVLELS PSQTLSSLVAGGLDPASVKKAVANLVAQKVNYQTLIKKLEMNEARANDIRKETEELRE QDGPVDCKRIAKTEKEITKLSNGIELVRSELNVVEAEVADSQKEIYGLRNSDTAESQH QRRSEYTRATRGLPLESLSIYLIPAKASFFSKAIDIRMLTRITLLNVGPQAPIWAYFK SQNEENPLPLCKIFTDNVTTTFLQFVSQLNQVTELFLLEREAKYKPESFASKTQTTID DIRRLALTKHMASLRRLMIKNLASAAWDVNTKTIMLLCKRGKNVEELACNMSMRCLHV FNQNARLLGNLRALHIPILRCDDPCPSLPIEGKKFLIDNLSQFPLTKLEWISINDGDC VDHVKFNKPQSVEERKRRMTAKEAKGKSKATVVTASNGTSSNTTQYPNFSPPETWDDE SSTDEWHGDDELTSSSSNFLVQLGTMRFYDVWGVRIFKKEVFSGRL NEUTE1DRAFT_60813 MADVEEPQFNTLAERIAALNQQKNFSAPSTAGKRPPPPPPVRAA TDIPVVPPTLPNRNEGLPTPSLPPRPTRAASAIDRPPPLPRRTTEQDIPEDKPMPTVN KKPPPLPSRNSIQHTPPALPSRRPTPSASLPVPGVRRNSNSSDISHLSNVSASSWNNT ASSSARTSITSDSGHPLRKLPPAFDQAKLPPLPPTRRELEAKAREAQQEQEREANRSA PPAVSQGPPRLPSRSSLPPPSTASREPPALPSRPSLPPRLPSRPARHSTFPDVEEEPG PPLPNRRLPPPTLSDRPKSVPEPAPPPIPLSSRPTFDAVVSRKANGTEVIPRSVSAPP VGPDCLICRDFSGPDTVAAQHPISSLPRHDTIRYLAHVLCDPFDSPTDKARAIFTWCH HNMAYDTHGFFNNCIPHHSSPAEQIFSGKAVCQGYADVYKAIALAAGLDCVLISGHGK GFGHHDLASGSSVPPEESNHAWNAVRIDGGEWKIIDACWGAGHLGDDRLFHKKFSPEM FYLPNEIIGKKHFPTDRRHFYRSDGRVLTWEEYILGDGPTPCWYGDADKDGLDQFSLE PRTAPIPVYSGEVVRFQFSKVCVHWDPEKNGGGKQYLFVVAIEGRDGRNKDFVPLDTD GFWWWTDIPAIDLGAPGQKITVFAVSTIDNKCARGLTKEEWLRKKGRCAYSFVGICAW DLV NEUTE1DRAFT_145437 MERHHEGGCEELKSFLRLLGKEIKDLDDADEETYDLYAQDLPSS DLGIIDPKTTELQLTVAGRELTIHQSPGILSSARAGGTTGAGAPSSSFSPTTTTTTVI ELGAGTSAIVGLLLAPRVGRYVLTDQAYVSKLVERNINENWSSVVQQQQQQQQSLVGS GGGQGGKGKKGGGGKGSKKASSSSSSSSATEEKGPGERLVFRALDWEQDTPTSSLAQP VAASFDLVVACDCIYNEALVDPFVTTCVDICKLRGSSTTATTTDNGGRPTVVVVAQVL RNSDVFEAWIKRFVQDFRCWRVPDDLMIDGLKSEGGGGGTSGFVVHVGVLREGN NEUTE1DRAFT_79498 MVIPTLTPVPKLVAKPPYTLDVPGQTPVEGETPVRRIPLAIDGL HAIPPNRRWGENEAIGEEIDTVYKLVKWAALAHGESQCMGSRKLVNTHVETKKVKKMV DGEEREVDKNWTYFELGHYEFITFKDYEQLTLDVGAGLRAIGLNKGDRVHIFAATSQN WLAMSHGSATQSMPIVTAYDTLGAEGLKYSMVATNAKAIFLDPHLLPTLNGVLEHAKG ITHVIWNNQNKVKEAHIEALRNERGVTVLSFDELVQLGKQNPVAPVAPAPEDLCCIMY TSGSTGTPKGVPLKHSNVVAAVAGVCPVVQPFVGPGDRLLTYLPLAHILEFVFENASL YWGSTMGYGNPKTLSDTSVRNCLGDIREFQPSVLVGVPAVWETVKKGILAKVNAGSPL LRNLFWGALALKEKLMGLGLPGSGLLDAIVFKKIKDATGGRMKLCLNGGGPVAKDTQK FISMAICPMIIGYGLTETTAMGTLQNPNEWTAESIGAMPASIEAKLVDFADAGYFATN KPNPQGEIWLRGPSVLSEYYENPEETAAAVTADGWFKTGDIGEWDQNGHLKIIDRKKN LVKTLNGEYIALEKLESIYRSAAVVANICVYADQDRSKPIAIIVPAEPALKKLASSSG VKGDSLEELVHNKKLQAAVLKELQNAGRAGGLSGIEIIEGVVMSDEEWTPQNGLVTAA QKLNRKGILNKYKKEVDEAYGPKQ NEUTE1DRAFT_79503 MGKSQSKLERDKLEELEKATHFDKKELQQWYKGFLKDCPSGMLT KAEFQKIYAQFFPFGDPSSFADYVFNVFDTDKSGTIDFKEFICALSVTSRGKMEDKLD WAFQLYDIDGDGKITYDEMLKIVEAIYKMVGSMVKLPEDEDTPEKRVSKIFRMMDKDE NGSLDMKEFKEGSQRDETIVSALSLYDGLV NEUTE1DRAFT_79505 MYLPRQLISKLYLHLQNTRHPLSPPVLILVALETDALCACRILT QLLKHDYIPHKIQPVAGYSDLERAGTELVSPMMETRGGAGGVVVCLGVGGMVDLGTVL GLEPEGEENTFGGVEVWVIDSHRPWNLGNVFGGFPLEPETQEARTFSARTPIGVNGGR IERAYKPGKGGIIVMDDGDIEDELSKERDAYLALVDMPEIEDDGRDLGDSDEDESETE EDTGDTSVVAGRKRKSWSDFDEDDDEDDRPRQRRRSNSVSTFRFLHSPRRPQHRGLIS LRDDALFSSDALEAPPAAQPPRGPSANTLRRRLLRLRRENEGVLREYYRLGTSYSEPI SSMMYSLASELGREDNDLLWLAIVGVTSMELYGRSSAGIAVAARRSDARISGWLGMRG ARIRQLLRDEVRRLNPPEIGNGRVLPETTGIIPTTARSPEDTSIRLSPEPKFLLIRHW SLYDSMLHSPYLFSRLKTWSEAGMKRLHKLLAKMGVSLVQCKQSYAHMDMMLKRELRT KLLKYASLYNLDELVPTIDTDGKDRGGAKDGWGFVRSWGWRATLSAQDVGVVVGALLE VGKNTTSADDLPAGPSQDLLDVDNNQSQSEEWIPRFWEAYDALEDIEALKAGLPTAQY LHKAIFTTGTTILKKKQISHLRAFRMCVVKDSPDATLFNHPGALTKLALWIGEALAEQ EKEATGGKLAHGGRGTPLVVASLDDKRGVYVVVGTGGGGGPDTKFLDRESAKKRAEEK EAKANAKEMQRRIKDKIKAEKKEAKRKEKEEKKKAKSDAGGDEDEEEDNEEDSDDDSD LSDSDSDDDDESDEDEDELDQELERGYGLNKFGTAFQDVVAETNARVRIDSFEHCVVE VKKEDLSGFLESLSMKVVIG NEUTE1DRAFT_79507 MAFSHMHPAHVIRDPFPLDWRPGNLSYPLRSIHAPHSPGNYGFL CGVYFSISFYLIHHDLSDKAFLFLIYNFPRPFQNINHASESNSEVTYALSKKVRWIMH LQLRHSVLTPLRIESNVIPENREEICSGPLDNEMEQGAGVIEPLAEGEWQSGEDTATS DYDPSIAESRYGSLTSSVNDHVWEYGRRYHTFREGRYPIPNDDLEYNREYMRHAMLKE ILEGKLFIAPIGTRPQKIADLGTGFGDWAIEMGETYPSAKVVGVDLSPIQPVWIPPNV EFVVDDIEDEWVQDSDFDFIHLRWVSITLKDNETLFRRLFENLKPGGWVESVEVKPRV FSDDDTVKPDHALLRFYDLTREVLSTRYGFQVEIAQRISELMHRIGFINVREIVMKVP VGEWPRDQHQRIIGRLMKEVSMDLCVAMSARPFIENGMEEKEREELQSSVKAVLNDRR VHAQLPVYFIVGQKPPLSAHPSTTSFGSSQQT NEUTE1DRAFT_79510 MRTTSTSKLIGLCLSAVQQIIQECAYIADDEPAFSSSSPSPHQN AGSPKPPSNVQTLGSIRLCGLEGCKEPLVELLCRQASKILQLYGTKREKAISLVKRRL ELLTKTAYGKFYAYMYKEVPTCWRQLYTDAAILRFAVLVLLEFDFEADAGSKEDADEK EKERRMKRKMIVDEMVKTLDLSLILAGAAGQSRGRKWVDEAFALLEDVLGDMSAMTTA AAGGAKKGNDDEQEGERPQKKRRLSSSPNTKDEVIKGINDSWQNTPSFSTIEPFTPPV KNPISRVSAESLPIEAFQSHFAKPRPDGNPGPAPLTITGLVDHWPALTTHPWNKPDYL LSRTLSGRRLVPVEIGRSYVDEGWGQKIISFGEFLSKYIDASIPYTPSSSNVSPFLSS SSSAQPQPSSSDLLPEKDNTQIAYLAQHPLFLQLPRLRQDILIPDLCYTAPPPHPTDP SQDQPELDSPQLNAWFGPPGTITPLHTDPYHNLLVQVVGRKYVRLYGPEQTGRMRPRG KEGGVEMGNTSQVDVGVVEGWDKLEGEDEEEVKSGDEGGRENSEMGWDEDFKKVPFVD CILEPGDTLYIPIGWWHYVRGLSVSFSVSFWWN NEUTE1DRAFT_121235 MTGHHPNHHNSTTCLSQTESLSLLALSAACVAVLANTFQGDGYP LIASLALGGLAFSATFSMIRWLGPTFMRAGLKGVDMSKHHKKELPECMGAIAAMVYLL AVIIFIPFPFYKDIVAATSGGGNRDVVMHVEHVQEGRFLHRFPHGKLASYLSAVMALQ SISLLGIGDDLFDIRWRHKFFIPAFASIPLLVVYFVDFGVTSVVIPTPLQPYLGELFN LGALYYVYMASVAIFSPNSINILAGINGIEVTQSIVIALLLAFNDCLYLLTPYPHPAT DSHLFSLYFLLPFLGVSFALLWHNWYPARVFVGDTYCYFAGMVFVVVSILGHFSKTLI LLLIPQIFNFVYSVPQLFGLVPCPRHRLPRFNARTGLLEPSVTPWTPERQPKPPVAWA LKTLDKVKLLRVTLDEEGRFVETSNFTILNLWLVWRGPLREDRLAMEITGMQVVVGLF GLFVRHRLALILFKQDNLGV NEUTE1DRAFT_121236 MSKFSQYLGDPPAIDGKSTIDSIVNHGHQDLVQAVAFNSYGDRC ATGSVDGKIRVFNRHKDGKWRVCDSWSAHGGEILELQWLPPTIYPNLLASLGIEGRFK LWAENPSAAPGRRFGVNLTGHGGHHGGANTLSSRRDVGGGLSSLSSGDSKPTPAFDYR NPKSPIRSFSLKHNDDTRHTYLALLSVDGTLEVLENETPENITEFSRIDQFTVCPKPS RGEETSFRVRFDPNQDVCYTALRAGVPTDALGLVVAAMDSVKVYRTRDTVSASLGVAT AAKEFYLAAEISPKDPVAGHRGLVRDVAWAPGNIRGYDIVATACQDGYVRVFGLSTPA LQMQAQGMEGTKGWGVGEMRKHQEGRREEGGGGGTAAARALAGSAAQHGGGQDTHLKS GIRAGLADQSRLSGTAGDRLRLGGQQQPGQVRHVVTEVARLDSHRTPVWRVGFDDDGQ ILGSVGDEAITMSALSLPARTPSGDTACLEPPSNNRLNASERVLGSPGRRRLNIPNTR ILSSLESYGFSTSSFLRSSEESVGSRQRHRLNPTAMEGALRDLYREQEAAKNNEVIEC ETQPSVAGGNKSIKITEQELTKPEVEHIEDIEHRKTPSLNGSDAKEIIADISAVNTEL IISLANAALHMGKSNSSTLPRQRLSSAGPNNPGATPFPSLDLAPCGRALASSKAGLLS EEAPSNLSTQCLPHNDRKPSGPYPRQQSKKKSSILRLLRYIFRRPIKAKKWVVRKFRA KRKGVSKRFKTKTKARKEKKKRILELPKVEDTTVAPVTGEEVESVIVPVTVLEKPATA PVTAIEVDAVKAELKEVEQIANDEHVSVAEKELTIKAVVEKKIGSDEGSVEEVEDITV EIHVVDASTCVA NEUTE1DRAFT_60842 MTASSRDNSVGKQRSAAVDGQDGRGWARGSRTGGGRGTGTGSDG KNPEQSQNGQRATNGGDGNGTGQDDKDNGRRSPQSQRVGHQLLDSHLSSSKAKDHDHD HMATDSDLDLDTDSESDTTDSEEDRRISTELHREVFFSAVGEEEAYELTSTKKKPKGK RKKGNRRRGTSKFPDLDDESVSTTTSGKSFQLRYTPEEEREVVKKFDRKLVLFVALLY MLSFLDRSNIGNARIAGMEEDLQSTPPKDGWYEWALTAFYIAYICFEWMSLLWRLIPA HIYVSIIVLCWGLTASLQAVAVNYPMLIFLRTILGIGEAAFTGVPFYLSFFYKRHELA LRTAVFISAAPLATSFASFLAWAILKLGEISPVRPWRLLFLIEGFPSVIVAVLAWHII PDSPQTARYLTRREKKVAALRLRSSSSSSSPFSHSSAGPGLKTSDILTTLTSPTAWLT AAIFFLTNLAYSSLPVFLPTILMSMNHTPLMSQALSAPPYLCAFITVLCTASFSDRYH NRSTPLIFHALLSAFGYSVLAFSQSLGLEPGSWVRYLAVYPAAIGFFNVVVLTIAWSI NNQRTEGGKGMGFALMQVLGQCGPLVGTRLYPAKEGPFWKRGMGVCAGAMVGVAFVAV FLRAYLARKNRKLEEETAYEGVGQDEEEGLVDGEREGGSREERFRYML NEUTE1DRAFT_128823 MDSLTVAFVFRLPSFGLFVKFTRYDYLLTISVVAAALLAASYVA GEHVGPTKPKYYFPKHVKRQYANATITSNDAPITTIDTSSDALSQTAKRETTSNDQSE SLSDIPFVKSTSSRFLTSSEPQSESESDTSRVTTVVIASTVYVSPSQPTTVDLSTAGT AAPSVPAVDTDFVSSTDSTAVSSDSTSQGATGLSSSATDNSSTDLLGFPTGTSSGSTT ESSLSDSTTEGTSSGATSSPGSTPVTSLGSTTDSTSSPVTATDRVNSSASASASDNTI LPSSGANVTSSFASATDAVSSSNSVTETASSSSPTTDSASSSASVTGSVTSPGSIANT TSSAISASSSSSVIDILSFLNPGFGSASSSASATDSTTALGSVANTTSAAISATDVAR SSASATDVASSSAAWTDASGSLTSSSALPTVSSGVISNTTSPDSSSSLQVLTPPTTTV EPTSTANATTAETASTETATDGSASTGWLPPIIIGFNYGFNYPFVAKNNNAAAQIFRL LPEALAFASSIESHRVRVTKLMPMNTVNTLGYWTTLAVVSYPQAYVESLRLDVKIASS PLYNNPTPLVYNLTMQINPAIDIILGSTLIGDGSGGDSGSNPSNAGNNGNADPFTNNN NGNQTSQQRGTTAGIVGGAVAVAAAYGAAMFVVARRYKRKKQAHRRASSLGGSPSDMQ QMGGGSPALMGGALLSRDFTGYGGVAGGAAAAGAGAPGGRDSHGSGRSGMGLSSRTAY ISAPVAAENSLGWN NEUTE1DRAFT_145447 MSGSTRKLVYGLQVQGVRQRRRRDRSRIRVHEFMTRRASENGRE GGTKARRVNLSGVLLVRVAGRWSREHKSTDGGGEVERQTSRGEDLQRTTSPKGSAGKP SCEFGRQSRRKG NEUTE1DRAFT_60847 MGVNKITHVAGIGPQPEAGQTVIIEYTGWLKDSSQADGKGAHSI GRGDFVTQIGVGRLIRGWDEAVLEMKVGEKATLDISSDYGYGERGFHGHIPPNADLIL NEUTE1DRAFT_79528 MTDTQKNEVDLVTRMQVDESVAGTTAEIDESLYSRQLYVLGHEA MKRMSASNVLIVGLKGLGVEIAKNVALAGVKSLTLHDPEPAAWADLSAQFFLRPEDVG KPRDQVTAPRVAELNAYTPVHIHQSPSLADNLSQFDKYQVVVLTNQHTDLQTIVGEYC HSKGIYFIAVNTHGLFGGIFCDFGDNFTVMDTNGENPVNGIVAGIDEEGLVSALDETR HGLEDGDYVTFSEVEGMEGLNGCEPRKVTVKGPYTFSIGDVSGLGQYKKGGLYQQVKM PKTIEFKSITNALKDPEFVISDFAKFDRPQQLHIGFQALHAFAKSQGRLPRPMNDEDA LVVIASAKEFAKQQGVDVEFDDKLLKELSYQATGDLNPMAAFFGGLTAQEVLKAVSGK FHPIKQFMYFDALEALPTNSKRTEELCAPTGSRYDGQIAVFGKEFQEKISNVKQFLVG AGAIGCEMLKNWAMIGLGTGPEGKITVTDMDSIEKSNLNRQFLFRPKDVGQMKSDCAA KAVQAMNPDLEGHIVSLKDRVSPETEEIFNEEFWQGLDGVTNALDNVEARTYVDRRCV FFHKPLLESGTLGTKGNTQVVLPRLTESYSSSQDPPEQSFPMCTLRSFPNKIEHTIAW ARELFESSFVKPAETVNLYLTQPNYLDTTLKQSGNEKATLEMLADFLKHERPLTFEDC VQWARMLFEKQYNNAIQQLLYNFPKDSVSSTGTPFWSGPKRAPDPLKFDPENPTHFSF LEAATNLHAFNYSINAKGKSKADYLQALEGMIVPDFSPDSNVKIQADEKEPDPNADNT AFDDESELGNLKSQLPEPKSLAGFKLNVVEFEKDDDTNYHIDFITAASNLRAENYKIE PADRHKTKFIAGKIIPAIATTTALVTGLVVLELYKIIDGKTDIEQYKNGFINLALPFF GFSEPIASPKVEYNGPNGKVTLDKIWDRFEVGDITLQELIDDFEKRGLSISMLSSGVS LLYASFFPPAKLKDRYALKLSELVETISKKPIPAHQKELIFEVVTEDADGEDVEVPYI KARIR NEUTE1DRAFT_79532 MPGGKHSESYVATTSFASDDDEKRCKINLSELNGVVAWNNGIDL EKQHTSDLRIDLYVDTKKLLAMFKLHGFILLKDGNTKKKLYLLVHPETIQSIEFARSH RPPAMQGPDGDNFISLEFTMTQPPSLVAPTANKGSYEPRPRYQALFDSMKSLATLCQF TVYLNRLNLTPEYREQLALLPSVFSSSRPFGLMRTDEILASFDVLFHGGPGQVIDLGK TAPPSGTNHNQTLEEIAKETAAKPTDVIPPPYTNDSSSRNAPGYLAPIDRKRRISESL SPVTTDEQVQPPSTSGSLLQSPNQFSTPTDRKRRRTSELLSHSPTDKHILLAIRRVLG RTASLDVRVKQVEKLITECLNAESTCRYDTEEVEQIFGHINTKVDERIDDHLYDVRRE LEGALLSQTEEWVAETVELAQEELRKEIEDEWVEDIREDITVKMEKMVKREVLKDMAQ AVKVMRSAQAHKDDAKPTTRRRMSTSTASTQSTVTSTKPIPSATLNQSLPGAADLQTA IRDIQRRYSPKISAEEMMRVLDFLGENLIEAVKYNGCGTELKWLYVQRWAAKQ NEUTE1DRAFT_116516 MIARFLPDDANPAIRPLSAPCSHCHAGAAGRLSLAPGFVQYLPP PLLPSPLNTVDCRRSVSRRPYAHSTPPKAVKGLYK NEUTE1DRAFT_79533 MATSDTITNWVDPNDKTGEFKRQVSSFRSFISRSDPSSPFPPEK GRYHLYVSYACPWATRALIVRKLKGLEDIISFSSVHWHMGPLGWRFPLAEEGKEGGDA AGDQVVPDPVGGKQYMREVYFGVEPEYNARFTVPVLFDKKEGRIVNNESSEIVRMLGS EFNEIVEDAKARELDLYPEDLRAEIDEVNEWVYHDINNGVYKSGFATTQEAYERNVTK LFEGLDKVEKHLKEVQEKGKGEYWFGERLTEVDVRLFPTIIRFDPVYVQHFKCNLRDI RSGYPAIHRWMRHLYWNVPAFRETTNFLHIKKHYTCSHPQINPKGITPLGPVPDILPL DEEVEAVKAAKN NEUTE1DRAFT_39374 MSFSNDYYGEWNALPSLALGNGYGAGYGDVYGYGYCNGNGDGGW NFAGNPGLDDHFNWDDWVTGNLRGYNSILDDSVIDTSVVDNSIIDNPIPSNSLNTAID TSVIGERASLIDIFTDPVIGNMIPEFLLDPAIGTSAIGNWIPGNSVTNNSARVIWTSD NSATSNSARGSQIVRNPVGASPIVGTPVAGNSITGNWAFGNPVNGNQATANQSHVVAN PVVGPSVTGRNPPTANAQYAPLMAAGKQAYVQGQSAIVAADVQAFAQIQAQAAAQAQG QATARQQTPSALMAAQRKRSATAQSQGMNGRLRQRAIAAAQAQAAARRAAGLVPAAPT TAPIPSPPFQPQGIFPFMKLPEELKLIIYRFVWHTTPDTSPSDQASYQHRLEFYSNPR HLRAQLRGLFKLGAISSAIRYVAYAEYFRSTQLYLRYDSHFRWNYSDSWHGSDKLTES MSLLWQDATLSPLLTEYVEHVAWHVGPVYRREQCDMQRAALDWLKNCKNVKTLEIVVA MRSAHVRPKDVKSLIQRKGRFASGKMPYSTFKYFRFFSWSINRLDVLRKKGRLEKVVI SVEPFVNESTKVADRGTIDLKALLERSDWFQKFKRDTVKSLLAPKKQKIDTKSEKTQE RSFYKIEQHLGHLNPCWHRSYRI NEUTE1DRAFT_108961 MFEVNGLTEKIAPGKKRRGRRIADFSSGGSGGWSRDFPSPVMLV QQLDMGLTAEKNDFYLERCERKEGLSQYLISSIDGRGKTEGSLGKAKSHTGRRESSRE GKPRATASSLPAAVFQEQWLAITGDAWKRCANMGTMNS NEUTE1DRAFT_38738 MSSSDKAWKISLKAAGDGNKPASKLLFFRFPPEIRFIIYRMAWS VDPKPYRVKRSGQLRIAYLKKQLSFVVKMGAVSHQMRVEAYSEFFHRTQAYFRWNTQM QGYSWHNVQVMAKMQSSFLLKYHLQHVSLYWPENYEHRITTLRWLEGLKQLKTLKIIL SNGPDLENRKGAHYSVNAMRRLAVSLARRNANSEKPFVTFVADRLELVNLWNSVDWFR ALVEGLAKPDAEPPHQIIEQHLGCLNPLWARSLAFRI NEUTE1DRAFT_99608 MPSSPQTSKPLLFRLPLEVRLMIYRHAWKVDPKPHTIKGSRQLM ESYLKQQLTAIGRLGAICQQMRTEAFDEYFHHAQAYLRWATYGSGSRTLHNRSYKELL LSSPLLMAHLRHVSFHWAGENAHRKKEVSPTQAVLWLHCLKQLRTLDLVISHVDLEYS YPRYSYKQKQVLLSLANLRGLKKVTIKHEDNGSWLGNPENSSSRLHNCDLCRKFKEEF ENLVMTLPKDAEPPCQAIKEHLGCLNPRWIKTKSDRI NEUTE1DRAFT_79537 MILTNSNDPEPQVENAPNGSSPPQPRKRLNQAQRRQMSAQLNIA IDPRAQLPDPSGPQPTAYHHQQTGPRAHQPNPPHTGNQVPVQLQGHAQPRHHPSRSYH GPGPQHLDLNTWRQPLNSGPNPGSAHHTPQGSFDGPVPRNPRGGALWNPGRQPQVRPE QLKAQTDMLEGLCATIIANAQIEYDDIVKNETFRQKIEALAQAVITQYEQSQNGFHDF PPQSVQLRCFGSLASGFATKAADMDLGLLSPLSRLQPDAPGSPIPRLIEKAFLEVGLA ARLLSKTRVPIIKVCEKPPKSLYDDLVEEHLKREREMSDKGEDEENDDPEHDLNDMQD QPKKKRHDEEGNQDDNGTEKKEFKLYQALNQTLNSYYGTTKGYLRSLGGRDLTNSTIN NFSPADFQKLNQVCLAFVEGLEDRQLRDRLFSYNSLNRYDLEYRADQPRTLQGVYTQV EGEQMVMMWESRHITEKDADKEARGQMCVNRWKTLQDHPNCSADPLGYDRDLKRAADQ LRGLPSIQLMLLSQGQYESTAQYCARAKELVEELVGNDTADERREAITLISRRYIDGI KDEAIREAVKEFAESVGYSDFHAIGKRHMSYHLAHDLEHCLGRNLYPKDTEDKISSYI AFLRGPIVRSPVEPFECGFETPLSPDLIETISQIRSIGDPSTMGPNQPRDPYRDRFEF PKSGVGIQCDINFSAHLAMHNTHLLRCYSSCDPRVRPMVLFVKHWAKVRGINSPYRGT LSSYGYVMMVLHYLINVVKPFVCPNLQQLAPPLPPDLTAEQLNDVAFCKGKNVHFWRD DQEIQRLAAMGMINQNRDSIGHLLRGFFEYYAQNGSLSTLPGRGFDWGRDVISLRTQG GILSKQEKGWTGAKTVLESTPGVPSTVAPSAQQPQQQAAAPLAGGAPPGMAPPPGLAP PPGFPAHSPVPAPVPPAPTTEEPTPTGPFTEQHQQPKPDVELKEVRYRYLFAIEDPFE LDHNVARTVTHSGIVAIRDEFRRAWRIIRNAGRLQVQGQGFQGHQGHQIGGGEVPVLE EENLLEDVLEAGKKKQREEFDELLDELHGRVWRGEAVGEARREEDGGGGGGDA NEUTE1DRAFT_79539 MSASPAGAPPTGVAPNGPQPPKKIIRKKANADPLVARKKTLPKP PMARRPADWEARSRASETAGQNRSLRQLEIKAMEHKRKRSMNPGKWSEQAQGYVEEFP LIVTKKQLMELRFHVMQLNNKHGNLDVTDQDQFPRPVTLQRRDPRLPPAHRMALKEEM EDVPMDDAEAERIRQEKEAKEAQRALDQAKIAPVMKPNEPNKPQKKDKSLKTHVFHGK HSEEHKKALDLRYQETLPWHLEDAEGKSGVWVGHYVAGLSEVNCALIQTQDQKFQMIP LTRWYAFHEKPKFNVLSLDDAENMMKQNKDVKRWVMRDRERELTQKEKADTRMFLGGR ARVKTESYTSRAAPKSERRDDFELDMSGDEFQDDDETPGFEAEDEDAKEAKERIRREQ AAANLFGEGDQSKVDEEERERQLEKLRLKKTGKEVVKRLVKLEHAMDYDASSDSERES DNPFADSEESEDEHEEKKEGEEVKEEEKKDGVSGTISKGTATPTGKQKAPDSAKKNKL KRAGSPNLSESSEAESSRKKAKLAANKGTASVGQSRSNTPLPGRPKGATSDGEMSDGG AKSSRPQIKQVPTSRPGSPPQPGKKCRCSPSGSPPPTSGGATPANDFIKPEEVMAAID QYPNGVALAVLMKPFSHRIDATPNRSKPHLSTKSEWLGMVKRFGYLGPDKLIRRKTGP AQGGGSGAASPQS NEUTE1DRAFT_116517 MWFQKVEVVLKRLWLEEQQITYKRSSHRYKRLDFARSISQYHYF MTLLLEHGGDEDI NEUTE1DRAFT_128830 MPSDKAAYLAAHYLSTDPPNKSSSSTSKKRKRKNKSAEDGLIIA DDDDTSWAQATKRDSDDDDFDGPVLAAVVVSADFRKAKKSGWKTVGSSSAFTKQATTS TTTNSTKEDIDAAAAADAILAQTAAETAALAREAGGDDEVLVVDTTTGATALTRSHQP AETATQAPIMSNGTHAGLQSASAITAQLKARQEAERRELERIRAERDQQHPEDQEELV LRDATGRRIDASMRRAEARRQQAEAERKEAEKKRALKGEVQLEQARRRREELEEAKLM PLARSKDDEQLNAELKQQDRWNDPMAQFLAPEEVKVKGRRKETAGRRPTYKGPAPPNR YGIKPGYRWDGVDRSNGFEAERFKAINRRERNKGLEYAWQMDE NEUTE1DRAFT_121246 MATSLESSVQYERDLRSSHKEWKRSKDSSTKWASGTRELPGVKK NWMTGELLGSGHPNQLIKSRPARKVRDSSCDYPKQSSRNLYKLRHGGGAGRSNNIGYH SINFPKLFAHKDIRYDDREDYSAAPNPPSPSLLPKNLFSSVTAAEDNFLYSFDQDSTP GFSRTPLTLENFVKTDDRKTEKFVEKEYEILDANGEALKGRKAKRRGLRGGAAAGSSQ QEDEDRVEEDDGFELI NEUTE1DRAFT_145456 MEDFGAAEEQAQQPNNAHDETRQSRTTTPSAHTSAHPSPPHQQQ LQTGPLVTTPASAPVSGPSTLTPGPPPSDTGADAGSEPVNPRKRKKASRACDFCHVNH QPCDNGQPKCSVCTKHNKPCLYLRPTKRRGPQKGYRTALNTYKESAAAWGAVLGAIPG LDALIEGHLRAAGTQGKQIITSIKDANAQEGLIARWQGSGVFRAFFGAVRPGTAGGVG GGGEDGGREGSSALTQDGEQEDEGMGGLGVGSPSVAAQGVLGGQAPPAKRFARETEQR RQVARDLAQLQQQQQQQQQQQQQQQQLQQLQQRQSVAAEAGLGGGGGEGGRRASISPT KSPAPSVSVPPPPILQPATTATTTTMTTTTTPAPRPVPVTSTSTGIPTLNTLNTITTT TTAPPKFTINDSTLSDIVAKDAAQSSSRESQTLRPLGFAPDETIADFYSMGANPDPIM SAMSMSHHHHQYHHPLGGPNGSSSSSSKHFNVNFNGGGSGGGGRGGGGGSGSINGNGN GNDYDGTYHGGIGGGGGGGSGAAAGDADDDFLLFDSEQRAYYELLMGRSFG NEUTE1DRAFT_60868 MLGPRNERVFDPNASIVLVGCRGAGKRTLGFMGALHLRRRLVTE DHYFEKLTGISRGQFLLQHGKDLFARQDVEVFKCMLDSNRFGCIIECGMSSLSEEAQD ALREYCRTNPVIYIHREREQIAALLDATDATALLKADEKHRECSNFEFYNLDDSATHC VGTSTSADCQQTVPSKLLNVREDFTKFLDQITGRGATKAWLESPFSVVAIPPEFRSYS YTLRLRLSYLQELDMALEEFEASADCVEFIIDQWPGDVAEVVSKQVALLRRKLGLPII YHVEENPRGQRRRAPEEKNPVDSDLLELGLRLGVEYLSVDLQRDESLIQRALRYKGRS KVIGNYWYMGFGAPPWHDDQHLENYRRAQSLGCDLVRMARFSTGDSPVGYLESFKKRV EQTIPNPRPPLVAYDFSVLGIRTPLQSKILNPVKHRDMDTDHDFIAIINTYSHSYGLE FQQFLLDPLEFYVTGSNVSWSLSPAMQNAAYEFSGMPHTFQAVTCSTLDRLTQICLSD TFGGASLTAPFKLAIMPQLKVKSHHATAIGAVNVVLPLRGKTNAILDHANSRNKAGAA QEFFGDNTDWSSIFTCLRRAISPRNYVQPSKTTGLVIGAGGMARAAIYALIQLGCRNI FIYNRTVERAREVANHFNNWAKGQQGMVVMAKPSADGSQLPTLEICRVIERLADPWPE GYQLPTMIISCVPATSLDGTPPADFVMPEGWLRSPTGGVVVELAYEPLVTPLVAQMYA YRDQVNPSWVVVDGLEVVAEMAIEAFELMTGRMAPKRLMKDVCRRTWEQQQRGGGDGA SGLVL NEUTE1DRAFT_37762 MANQSKISFLSLPVELRLQIYRLTWDLDPEPYTFSLTTYHDPGS LKHDLLKDQLTHLRKLNSLCRFIRSEALSEFFWRTQVYLRHEPYSNIDGAWYSDEYQQ GLDLIIRDPLLAKYTRHVYWAIMLDKDVEDIDRHKHRGIREYKPEFKVPDMPWPWESY YFVMRLPNLTTLHVDVETYKDWHDLRMWSCCMAELYEWPTMKKITLRLTFKTAKSIIT KAHRSRWVRRGRSQERLTRNILNHLLTLPKDTYRDAPCHIIQNHFGYQCPVWRREERL NEUTE1DRAFT_39086 MGSHSVFPFLHLPAELRLEIYRHAWTVSEEDHVYRAYYPYDDSN LGLTVDYLKAQLSAIRKLGAISQRIRTESYSEYFDHTQIMLRYDCVVDIDDSIGDHVW DDRNRSALYIIGSSYLLQTQARHVYLHWPARSEYHILYALFGVTRVDIRMREELLNEQ WAFGCLSRFRNLRSLDVHMCEGLVDLMGGKKLKRLLYDGDRRAKLIEALKTHHQKLEK AVVRTDLDDPEDIKDLNELHAFKWWRRLKKDIAVLASEGPSSAVCT NEUTE1DRAFT_128834 MPRTRPATTGYERLAQADQFSDDSDTDPLDYSVASLQPASAPRY AAITQPRPHSGMTSPKMPGSSSRPPGGRKGNRGPRQRSNSGVDLKAINARLERWADEI ASKFKRGKKKGGEEDEQLEIHHTVFQAPEGVRPVTAEMLARPEPGYMTKAEFEAIVDS VRTAIEQGVHPSMISQGSSGSYFARNTEGKVVGVFKPKDEEPYAAGNPKWNKWIHRNL FPCCFGRACLIPNLSYVSEAAAYVLDAQLRTHMVPYTDVVYLSSKSFHYPFWDRYTYS RSKKSLPAKPGSFQVFLKGFKDANLFLREHPWPDQYLSGFRTSDPQRKKKKRWVDSCR PGSSPAGEGDSDDENSSPISLTPGPGNFVWTPTLKQAFREELEKLVILDYIMRNTDRG LDNWMIKVDWETQEVSIVSEPVHLNTNTEEREEDDDAAEQGPRPVDLSQRGPPKTRAS YPYRTQKPMDASTSTGAAIKDPKITIGAIDNSLSWPWKHPDAWRSFPFGWLFLPVDLI GRPFSQKTRDHFLPLLTSTAWWSQTQLALRRVFQLDPDFQERMFAKQIAVMKGQAWNV VETLKTPDHGPLELTRRAKVCVWDDLVDVPVAVPMRAPSAEMRRQAAATVAADREQHQ GQTDYFTTGNRHKTTIDEEEMDIGFAGNSSKPAVADLLGLGSSNNTDLPHPGRFEIAS PATIYDDYPQSLPEGQISPPNGTTPTIDHPLASPPARRSESDNPTATRKHYPVSVRPP AANGLNMYNPERDGSAGPGATGQAGYHERRFSFATPASRKVGNTIASQMYSTSYSHNA HAREWSLEGAGEGMFGGYVNGGGDMDELDDAGGDLGYAAAEGMEGNQRKVIVERLEAV KSRNPVFTWC NEUTE1DRAFT_128835 MVIPRRPRPTRDTISLPNPVVGRLMTVPRPTLATSIATAYSLKN MSRSPRPSCPGQQTPDSLTASSRPSGAHNISLPSSRPQDVPLPASSSTLASTNYATSY AGTDSFMSGATILAQAAILPGNNTTEEEGDSSTAVVDRKNDPRFRSPEAYLGLPLGEI VEGPEYFLHPWHKIMKESTDFPFFMFNAIRYPAKSLNKAAAEAFPWEHVETIRDPWLN DGKLMRYDDSVGHCVEQPCDDVSKLDKGKLFGFTHELDGWLDFLHEDTKRYVKSIKDI QAQVNALQARVKEIHLANDKIYEELLSLRHKLKRVRGLNVLVGTQRFLAQARENELKM QQEENNNKHHELLFEIAKQFYEYEIIVRKALYLDSIHVSDLHRADAKRQADDKANQEE QERLMSFIKLQSQKYVEEEAKRQHKQAKARATSAKKDKAKGKGKGKATAAADFDREDD DNNEDDTLLADLELSEPQTLFAAGQMLDPMCAAPHDNSRKVPAWFPIFDAQQIVPLRS SKPHERFDELMVKFAELHQKAKEEKKEEGAPTKKPLSNIFHEFHDPHPEWPTSWRREH GGWWLCDISEKATAPEKACKLCQAARRQAIREERENMARGIYPDDDEDEEGNVRTAKE QYDEIKEAMDLAMAQAHVKEVEWLRGEVKSLDEEAKRVRKWKEMSFEEKRKLGFH NEUTE1DRAFT_121250 MSVAMRRLVTATRTLSLTTPLRLANALSQQIQLRDYQEECIQSV LAAINQGHKRLGVSLATGAGKTVIFTHLIDRIEPRSDNATQTLIIAHRRELVEQAARH CANTYPHKTVEVEMGNVKASGIADITVASLQSIISGDRLLKFDPSRFKLVLVDEAHHI VAPGYLRTLEHFGLRQKQVDSPHLVGVSATFSRADGLRLGAAIDEIVYHKDYVDMIGD KWLSDVIFTTVDSSVDLSNVKKGAGGDFDIAQLSRAVNLEETNDIAVRTWYAKAGTRK STLVFCVDLAHVADMTATFRRYGYDARFVTGDTPAKERADILDAFKRFEFPVLVNCGV FTEGTDIPNIDCILMARPTKSRNLLIQMIGRGMRLYPGKENCHIIDMVSSLATGIVTT PTLFGLDPDLLVEEASVEDLKEQGERRAKEEARKEIVYHSTERTNPAPQGEWAVTFTE YESVFDLIADSSGERHIRSISQYSWVMIGQDKYVISGPDGSYVKLEKVAPEEEEQGAP PWVAYEVRTLPVSTPSKSPYASPREILKAETFADAVHGADSFLASSAGQGKYPRNFIH RGMKWRDDPATDGQIKFINRTIRRGQEPVEPTDITRGAASDMITKFKHGARGRFADLS AVKKRKKKQILLLEKDKRLRETVSVGSVAAMAL NEUTE1DRAFT_121251 MPPAMALPTINDHDAQNDAESHYGSAIDDAEFDYAQLDGIPRRR HQVESAGSEYEPPSDEEVDLEKTKRGRSRSRSKSILTPRPPKRSSDGLALHTERPPKR HKTPFNHSYLALLNADIIDAASRFVPSGDETTQQLAPSQIGLTVWTPAEKELFFSAVS RLGPDSASAIASRIRTKSELEVAEYLEVFRQTIKTRQDPKLATPAQLLQPVTPAEVPA AVELSQQCCNALEEAADAVASRQESWEEAEEMRKWGENRWLIRKDNVKGLEMEAREER EKETERKQREEKGKGKDVNQDGDYEAVVKTEEDVDEFGRRKLPALDLFRVRNMLDLSE NVFMNSTVDDYNWSSMSDEPPAIRATALEDLHSLVVSLTRRLVAAVIYISESRIKAKR AVHPLTRNHVWIQDVEAAVLSLGLKKDSHQFWAKAARRLRLDVYGDEDYDYKEELARK EEEGIDVDVEMIRDEPEPMSYAEVEEALGLEPDTNRPESDDADEFDEDERSDVESLAS LSSTPSLDELDNLEQNTEEPTQTEPHQIAPSSPAPSEHHQLDLVEDEAIKSEAKELLR YSALPMAITSRAKQVLYARIRTQRAQEAYADALDVRASYKEEKRMWQLLGREPPEDLV LVKGEIPQEPVATGRHTGVDELLGTRGVEVGAWREKVEEGFGGAEWEVEWQRHLEDPK ERGR NEUTE1DRAFT_79561 MASTVPSPEPASPPLAGAAAAAAPKPSSSTSPWTPPPLSSHNTA LAIIPPPHLWAPINALRSLYDKAYTKWPPHINLVYPFVEPEHLENTMELAPWGILEGR RKRNEARVRVRLDGVGVFEHRKHNTIYRCDEEAAFNLTSTTSLSRLRAEILGELGYHQ QAEGEEGKEQYKPHMTIAQSEDSRSSAHKFLVEKVGLLPTVEWEVEQVWVLVRDQSDG PGKGKMKVWGTINLEEQVGCSIHENPVDWEEALKMDLGWEGRERDVEEMQRTCRFDEE RGVWVRDGAGKEEEEREEEAKTPELLSIASWNVLADFEHSSSSTTDQEARYRLILRNL LSPSAKADILLLQEVTDSFLSFLLSPSNTSPESQALRHLYPYVSHPPPSQPDASPLPN FLNQVILSKHPFSWSWLPFARKHKGSVIARFPTIHGSNPDQPLVLAAVHLSHGLTDMT VAAKKGEIQRVIGHLKEKFPQNPWVVAGDFNLTSSSWTVEQAVKAGKISRETMATLNS VERMWKEEEGLGMEDAWVVGRAALGEGWAQEEEDIMDDMDEEGEDNRLFSGEQGATYD PTRNGVAAKMVGSGGNMRPQRYDRIWVRGQQVLRIGRFNRFGFLKSNQEKGEGHEMGQ YGSDHWGARCLLKVVQQETTEQTEKTAEEKSRATTLETSIKLVKPLDGPLSEPGSLKE AMAELNILPSQEETDKRKAAFQALKAILLDTPPPSQSPQPSTTDLTTTSETQPRSNQP PLIITPVGSFALGVHTSSSDIDVLAIGPFSTTTFFSLAIQRLRRAASSPLYSQEYQPK ILRRISSSTGTMLELSLCQIKFDLQYCPAAHIASHWPRILSTAPPGNAVWSLPALTLT KLKAARDLDYLRRTVPDLAVFRQAHRAVRSWAKGRGVYAQRFGYLGGIQISVMLARVY KALALGAGGVSGVGVEELVASFFEEYAQFDWEKDMVYDEVCPWFRQKREKGGEKEVYK RTGREAMVVLGWFGPGLNTSGQASVWSVRTLKEEFKRARDLLVKGEVKSWKEFLKGSG AEEFLTGYKSYVKVDVQYWGLSSSKGAQFVGWLESRCVMLLVDLHRRAPGVYVRMWPG RFVEADAASQQAEDDEQTATEGTGLRDYQASYLLGLDADTTTSKEDLKLALGAIQTAL QRFEEAIRSDKKYFDASSSWMSASIVKRSELGNLQLDTRNDWVGEYTPGEDESDSDPE DDDEEDASLLEDLDETAPKKKRGKNKKPIEETQQPRLEPGPKLRSAGDVMNRLRWDSA IDSSDYVVGYEDRFLGVKERALNMWKTEQTDEEFIPQHRIVYFKRRSDGQVVWDRKTK KDEVFGSGL NEUTE1DRAFT_60883 MALNLPPVGGTGGGAHTQPSLPSLPTHLQSDTHLTAHLASRFHV SLPISRLSSHALVSINTYTSSTKGDGTRESSAMGGAEDMAERAYIRLGHRSENQAVLF LGESGSGKTTIRAHVLTALLNKSSTPLSNKVSLAAYVFDTLTTTKTATTPTASKAGLF FELQYDTASTTSPQLIGAKLLDHRLERSRIAAVPTGERNFHVLYYLLAGTSPAEKAHL GFEEGTTGGKRWKYLGHPTQLKVGINDAEGFQLFKTALRKLEFPRTEIAEICQILAAI LHIGQLEFETTSSTSATGDDSGGFSHEGGQMVTAVKNKDVLAIVAAFLGVGVQDLQTT LGYKTKMIHKERVTVMLDPAGARENADELARTLYSLLVAYIIENINQKLCAAEDAISN TVSIIDFPGFQQQSSTGSTLDQLLNNAAAESMYNLTLQTFFDRKAELLELEEVTVPAT SYFDNSDAVKGLLKPGNGLLSILDDQTRRHRTDMQLLESLRKRFEGKNPAIEVGSATA KLPGSNFFTENTAATFTVKHFAGEVDYPVKGLVEENGEVISGDLMNLINSSKSNFVIR LFGQEALHTVVHPQEKTTVMQASVSSKPMRTPSVLSKRGRPAPGRRLRGEKADPDIAI DEVSESGEPSRKSSKGSEQGAAAQFLSALENVKTALTAQGTNCYFVFCLKPNDRRIAN QFDSKCVRAQVQTFGIAEISQRLRAADFSLFLPFGEFLGLADADTILVGTEREKVEMV VEDKRWPSNEVAIGATGVFLSERCWMELAQLGENTSTSGRYGLPSDNGSTPDPFSDPK ERLMPSTSSTPQMYNDKKAGYFGSNDVDARSEAGTAIGAGDMFKTFETREQMAEKANE KDMAEVEVYKDKPSRKRWVFLTYCLTWFIPDFAIRILGKMPRKDVRMAWREKVAINFI IWFFCLLAAFFIVVFPMLICPKQHVYSPAELSSFDGKGKNPAYAAIRGQVFDIGDFAP RHYPRLDSKSFTQYAGLDISGLFPLQVSALCRGVKGTIADTVTLDYKSDNITNAAAVI SSQDKNAHFHDFRAFTNDSRPDWFAEQMIFLKGNGYKVGNVGYSPPYVKQLAGKSQSI AILEGRVYDMSQYIKGGRHQRAAPGKPEPTDPNAVNFMDPLVVDLFTQRAGEDVSKLW EALDLDVNMKADMKLCLDNLFYVGDVDTRNSTRCQFSTYLVLAISILLATVIIFKFFA ALQFGQKNMPENLDKFIMCMVPAYTEDEDSLRRAIDSAARMQYDDKRKLLIIVCDGMI IGQGNDRPTPRIVLDILGVSETVDPEPLSFESLGEGQKQHNMGKVYSGLYEVQGHIVP FMVIVKVGKPSEVSRPGNRGKRDSQMVMMRFLNRVHYNLPMSPLELEMYHQIRNIIGV NPTFYEYMLQIDADTVVAPDSATRFVAAFLEDTRLIAVCGETALTNAKASFVTMIQVY EYYISHNLSKAFESLFGSVTCLPGCFSMYRIRAADSGKPLFVSREVVEAYSTIRVDTL HMKNLLHLGEDRYLTTLLLKFHSAYKTKYIFAAHAWTIAPDTWEVFLSQRRRWINSTV HNLIELIPLNQLCGFCCFSMRFIVFVDLLSTVVQPVTIAYIVYLIVLVARNATVVPMT AFLLLGAIYGLQAIIFTLRRKWEMIGWMILYVIAVPVFSFALPLYSFWHMDDFNWGNT RVVAGEAGQKIVISDEGKFDPASIPKKKWEEYQAELWEAQTSHGGNNDDIRSEISGFS YATKNPYNVAMSEYGGGYNYASRPGSTTGFPLGGYSDKTHLPVNNGSRMSFANSDMLM AGNRHSQFSGSQFMGRTPSQQELEMTNMAGMPSDDALLAEIREILRTADLMTVTKKGI KQELERRFGVPLDLKRAYINSATEALLSGQL NEUTE1DRAFT_99623 MYGAPATITPARFGECWSSVRWPDLIDPKACLEGRWSNEILAFG FSFAYSGALRWHWTYGYIVPLGLESLNTKKGWRGISCLVRTSATCYKHSRPEVDSHQE PSTIKLTTSTMEYTSKVTMGHLHILGIQSAINPKTGHDNETCSAALVCRGAMNNRAPS CS NEUTE1DRAFT_60887 MASDAGMGGRGPGQQQPSQVSTTTLLNSVHNIYLSSQPYRLDAG TSLVVNTWLTASQAGPDGHVGGTVDGALALRAWEHARRRAEDGCIILGSLHNSTPSLL RPFLFTIPLEIPASVYKALDAIEPFLRCVTPYNPSTPRQTGLAVTLTLNLTGNLTAAS LSLTQGGIDTDKGLLGVPSEPGYRAFDVFYYLLTTASTPAEREFLGLKAASQYSLLAR SGTYDPPSYLPTADDGAAADDFRSALKDIGIKGSSHRNLISILAGLLKLGNTLDYNVD QEVLEEICEDVGGLLGIEPEILARQLSTDDRATLIGGLYEALVDWVIKKANDAIAVQM GRSRNGDDSSDGASRSGAPTSNEDSGDTVCLTVLEIPDQNLGKAIAMRGIFDDTQGIN SEMKADGVEVVPVSSSVVREMQSAVAECGPDLGIMVGSVGRERQRLIEKREEILEKTA FASEDEGFLRKLLLPVPTEGINLGRTGRVDVADLLNRSRAWYHLCIHPTDDSPSSLAA LPAVNSAWSAGAVSRQLRTWRLSEWANRRNKHIDYTADFDHHEFTQRYRPLGCMDGRD GIESWILERGWSNGEVVVGNERVWMRESAWWEAESMLDLKPMEAERLNSMRNLMAPGA MGSGYSHNGSGFFPPQVHADHMRSPFADGTSNGSYDHLALGGNLSQNNLNPSPVRAPS VAPTNMTGMRSGDYGLGPKGDQYKGQVFYNAEGQLVSELEPDLAEGKKIEERPISSQR KIWVFIVWALTWWIPSPLLKFVGRMKRPDVRMAWREKFVLCLIIVILNAIIVFWIIFF GRLLCPNFDKAWTLEEIQNHAAGDDFWVSIDGKVYDITKFWRLQHSDNNIDTSSDVMM PLAGQDLSAYFVAPLYISCPGLGIADSTTLQFNTTPEYVVAQHISGPTQPNIRSKLSE RYWYTERFLPAIKEYYHGDVVWDSKKIKQEGLDWNHPWFIYEDLVYDMTDYMYTAKRM NNDATYTFIDAKITNMVKNNPGQDLTEQINDLIDRASSNQTQYESVMNSLNCVKNTFY VGKPDFRYSARCQVNNYILLAFSIIICSIIVVKFVSALQFGSKRRPAPQDKFVICQVP AYTEGEDSLRKALDSLTALQYDNKRKLIFVICDGVIVGAGNDRPTPKIVLDILGVDPK VDPPALAFKSVGTGAEQLNYGKVYSGLYEFEGNVVPYIVVVKVGKESEQSKTKPGNRG KRDSQILLMSFLNRVHHRAPMNPLELEIFHQINNIIGVDPELYEYLLMVDADTCVRED SLNRLVAACANNAKIAGICGETSLQNEDLSWWTMIQVYEYYISHHLAKAFESLFGSVT CLPGCFTMYRLRTVDKGKPLIISDDIIREYSDCYVDTLHKKNLLSLGEDRFLTTLMTK HFPYMSYKFIPDAYCQTAAPETWSVLLSQRRRWINSTIHNLAELIFLDEMCGFCFFSM RIVVFIDLFGTIILPATCVYIVYLIYTASSHTGPFPLLSIIMIAAVYGLQALIFILKR QWQHVGWMIIYLLAFPIYSFILPIYSFWHQDDFAWGNTRVVIGESGKKQVVAVDEEGF NPRAIPLQRWDEYALANNLPGRRGGPLEKGMDEHLGGMYEETYEVDDMKSVYSSVRQP SVLTGFGGRGAGAYMPPHSPGFPPNGGGSGAMTHASTFVGASPFTEQNNLMNRQSMAS MGTMVEMQRRASPYQDFPGGHSRGPSQGAMRSMTNTPPALGAGGMVNARLSTATGMSL GIPGHRPGMSHSESSRSFDFQRSTPGPDDQMIIEAIQGVLREVDLDTVTKKQVRALVE QRLQTELVGERRTFMDRQIDNELANM NEUTE1DRAFT_145465 MKSIARPSRFPSPLKASLSSSNYPRLYSILNQNIARPHYDRPLS SRHELEDKVPWLANQPLHQLSLADLVKHGRPPLSAEALLSSARFTLSLLPIRLAHRIQ ALRNLPYIVVSNPNISRIYNNYQHSLSTLLPWQGKTISSLDDEIKFTQVLAELVQTHT DTIPILAKGFIECRKYISPSEVTRFLDEHLRARIGTRLVAEQHIALHYSSTPHFDPEA SPTLCPEPKTHPSYIGVIDTTLRPASVIDSCGDFVADICELNYGVRPEWVVDGEPDAT FAFVPMHLEYIVTELLKNAFRATVENGMSREPVVVTIAPEPASSSASAATPGVYSDYC PPSSSQKNSSPSSSGSNSISSSQQGKAAAQQQQQQLQKQAQHGIIPLHDRAPGVTIRI RDRGGGINPAVLPNIWRYSFTTFNDADDDDHHESPGAWGEEAMGLISNTGVGGSTIAG LGYGLPLSRAYAEYFGGGIAVQSLYGWGTDVYLRLKGVGKIEY NEUTE1DRAFT_116521 MLLRPLLETQYRLSKFTTNHYTLFHYFHTATPTFSPNNPPTTAK MPSYIVTLKDTATDEEIAKTKEDVKAQGGKIGHEYTLIKAFQAIYPEGSVSTLENHEH VKGVEADQEVRTQ NEUTE1DRAFT_60894 MADVQAHKPHRASKKSKEKGASKHTGEKNPKAFAFSNPGKLARQ AARSHDIKEKRLHVPAVDRLPDEPPPRLVAIVGPPGVGKTTLLKSFIRRYAKETIQDP VGPITVVTSKKQRLTFIECANELEAMVDIAKVADIVLLMIDGNFGFEMETMEFLNVLA ATGMPGNVFGILTHLDLFRKPQALKEAKKRLKHRLWSELYQGAHLFYLSGVLNGRYPD REIHNLSRFLSVMKNPRPLVWRNSHPYTIIDNYRDVTHPTQIEEDEKCDRTIELSGYL RGTNFSAEGQRVHIAGLGDFTIKEMEQLPDPCPTPAMEQALAKASGKTGRRRLDEKDK KLWAPMADRSGLKITGDHIVITRENGFTFDKDAVGVERGEGEQLIIDLQNERRLLGQT DEGVKLFASGQKINQLPVEDEDVGNTGRKSRRTARLADGESGEPPEDEGFESGEEDEM EDGSDVESEFNEMKLGKMFRKQTDDDQEEDIAFADSDSDLGSISGDEDQGSDFDDDED DEEDPELKWKDNMMERASQIHGRRKPFRAVDLARYMYDESMAPIEAIKKWAGQDDEEE IEENIEEDDETFFRRTGKEDKEDSWEDRMIPKFDYEQLEAKWSDKDAVEALRNKFATA NLLDDAEGEGEDGSDFEGLDDEDDDDEGDGAFEDLETGEKHGADDEDEEEEEEEGEEQ PAESLEAEREKNARRKEELKLRFEEEDREGFKNDKAIARREGGNDDEFGEDDWYDAQK AMIQKQLDINKAEYENLDESQRIAVEGYKAGKYARMVIEGVPAEFVKNFKPRMPIVVG GLSATEDRFGFVQVRIKKHRWHKKILKTGDPLIFSLGWRRFQSLPIYSISDSRTRNRM LKYTPEHMHCFGTFYGPLCAPNTGFTCFQSFSSANPGFRIAATGTVLSVDESTEIVKK LKLTGTPYKIFKNTAFIKDMFNSALEIAKFEGASIKTVSGIRGQIKRALAKPDGHFRA TFEDKILLSDIVFLRAWYPIKPHRFYNPATNLVGWQSMRLTGEVRRDQNIATPLDKNS QYRKIERETRRFNPLRVPRALAAELPFKSQIIQTKKQKKETYMQKRAVVVRGEEKKAR DLMQKLTTIRKEVVEKRKAKKAEQHEKYKKSLEEAEERIKSKEKKEKKAYWEREGKKR GPSGDQGGGGKRRR NEUTE1DRAFT_121258 MLTLTTRQSLHHLPSSLLRKRSLHLAPPFLLDDYTPRYLQLSEV DAAKKRSQAYAHLAKCNLCPRKCGVNRFEKTGWCLIGEKAKVNVIAPHFGEEPCIQGH HGSGSVFFSMCNLRCVFCQNHDISHQRNGMDLTPEELGDWYMKLQEVGNVHNINLITP EHVVPQVALSILHARSQGLRIPIIYNTSSYDSLESLALLDGLVDIYLPDFKVWEPSTS KRLLKADDYAATARESIKAMHAQVGDLCFTGDGIAKSGVLVRHLVMPGLEEEGAKIMR WLAEEVSRDVFVNIMEQYRPSAHVGKVQTRRKTHGGEETEGDKKEERRYAEINRAVKG EEVESVRKAAERAGLWRFCDPPKHDGFNI NEUTE1DRAFT_121259 MPSSVSSSHGGQATLIDGRPPSPAMSTTTTLSPPSPVIGGGGGG KHDHHPSIISHDAMADVKSKIEKLANELKQQQQQCRQQSSNNTRPILPHLNTDSIITA TTAKKINLTRPVLSPFSRAKSHQLQQHGHQPSTPGPVIPGLEPASRSLSDLHNERSYL LHNLQTQGERATRLYQRYAHLEAKKEALASTSSPSDENNATASSVGSKKKKIKKDLSL LRSRIAESTQQEQLIMLRLGEIHVELVNRGRWVMTHQYQHQHQHQMVYSPMSAVMEGH QGYFGHHQWHQQQNHGGGGGIPATPSSADTYSVSPVGGDEYLYTPSVLSPLSPSFVPG GGVSFFEDIWTRPSQNSQDHFTCPGETLVPAPGPEQEHEHHHPTEGQEEEEEEEEDDQ TPKPKTSFQDLPLDSPAVPLSAVTPKFSTTTSTVSTVSTLPSEHLATPITPSRIPWDE YPSDSEDDGSFESFDASSFTPIGVTTINPLTCNNSLVNGSGSGSGSGSGVMISEPEEN QDEEQEKEGEEDEEDEGSDESASEPGDLLSEQQRRTASFSRGGDDWTKGNNNNNNHRR LSMRSLKNLWPAALAAVGVQMGLEEEEDVPELRLDTVI NEUTE1DRAFT_121261 MTTLIDPATQAPLPPDAIQRILHITSSSVHIYQIPPMRSTKGHM AADWTADPKAHIFTGRVRIIETSIPPQTPEQIGEKDTVKVDIVLEDPATNDLIAAAPY TTQAVVEATIDSSRFFALRVQDPNTGKKAMLGVGFEERSESFDFGVALQEAQKSLGLI EGVAPLIKSSKKEEAEEKKDYSLKEGETITVNLSGTKFGRRARQDRPLSTDLDSDSQP PLSAFSLPPPPSSTGGGSGLGMPFLPPPPSSGRAAHRRQPSAQELGFDDGPNGMFE NEUTE1DRAFT_79585 MATDDLSNKPLAVRTTSSTSTDGASFDIVKTYRDLLTSDPDMTM PVAAIESLIELLRVTPSSTAMETVEVVKTQKALLLDSAPNPLPLLAGADLFEQYLLRS LRGQTA NEUTE1DRAFT_145472 MPSAESMTPSSALGQLKATGQHVLSKLQQQTSNADIIDIRRVAV EINLKTEITSMFRPKDGPRQLPTLLLYNERGLQLFERITYLEEYYLTNDEIKILTKHA TEMASFIPSGAMIIELGSGNLRKVNLLLEALDNAGKAIDYYALDLSREELERTLAQVP SYKHVKCHGLLGTYDDGRDWLKAPENINKQKCILHLGSSIGNFNRSDAATFLKGFTDV LGPNDKMLIGVDACNDPARVYHAYNDKVGITHEFILNGLRNANEILGETAFIEGDWRV IGEYVYDEEGGRHQAFYGPTRDTMVMGELIRSHDRIQIEQSLKYSKEESERLWSTAGL EQVSEWTYGNEYGLHLLAKSRMSFSLIPSVYARSALPTLDDWEALWATWDVVTRHMLP QEELLEKPIKLRNACIFYLGHIPTFLDIQLTKTTKQAPSEPAHFCKIFERGIDPDVDN PELCHAHSEIPDEWPPVEEILTYQETVRSRLRGLYAHGIANIPRNVGRAIWVGFEHEL MHIETLLYMMLQSDKTLPPTHIPRPDFEKLAKKAESGRVPNQWFKIPAQEITIGLDDP EDGTDINKHYGWDNEKPVRRVQVAAFQAQGRPITNEEYAQYLLEKNIDKLPASWARLD NEKIANGTTNSVSGHHSNRTSKQQLPSSFLEKTAVRTVYGLVPLKHALDWPVFASYDE LAGCAAYMGGRIPTFEETRSIYAYADALKKKKEAERQLGRTVPAVNAHLTNNGVEITP PSSPSSETPSESSSPSGNNTTLITTEGLFSDLDGANVGFHNWHPMPVTSKGNTLLGQG ELGGVWEWTSSVLRKWEGFKPMELYPGYTADFFDEKHNIVLGGSWATHPRIAGRKSFV NWYQRNYPYAWVGARVVRDL NEUTE1DRAFT_116523 MSSSQEKPLKSYRGNCHCGAFIFTLLVPEITTVEYCNCSNCRKK GYLWLIPDTTKGHKFEVVKDEGKLTEYVAGPKGTKYKFCKVCGTGVCAIYKKEQEESG KEGQGVLVNVRALQELKIWDLEVKEFDGKSIPPPYQSAPFDGPEPTAEVENGVVYHGS CHCGAVKVAVKTKGPLDKTYNERVIDCNCSVCQRGGYIWIYPSSSEQLSFAPQSRANL TSYACISKLMCKTFCKTCGVHLTNEFNSAATEDEIGAAIKGENGDMVMRWIKGVFPIN IRCLDRCPGDDDWEFDKCVKELKVEKGDGWGKIQPGYVNP NEUTE1DRAFT_121265 MDAKKQAPSLLDDDSFSLTSFITGYTSSTTRTLSSYHPSQHQIG GDSQSLYQPYGVHASPFHPILDPSLVPPQQQQPQPQPQPQQPEHQHTYQQHREELSCV TQETLRQDCAQARFIIWAAVNRNLCPTLKDEERSKCPLHKCQLLLSDHESMLKHLVNC RYLSTGEYWCPQHNRVERFDDVKCKRCLSHPSKRRKMLFMAKKFFHGLGHKSKRSQDS GFDVDHDSSAPPPYEFLSAASVDQPIMMQTQPTELESVMRYEIDSVEVSTIYNNPETG LEAGVDPQALMVPAPSMVLTSSQTLPGIPETSEGISELECTEPSHPLLSSYQSFMGMN WEGSGASHSASPFPCTLPDDASGRSSQSRPSLQVNTQGLAGRRLPQRHNSRPAVVPSR RHGLSPQSSVRSNASADTIFTTMSSTLVSPVTDYSEGLSSDVAWSVNHTKMTDEFNEV VETFYNAPFPNILDGPAELPAEIHVPQMPDDLLFPLEMPSADSTYPAQLDLTADDPMD IVEPEQIEVHNDNICNPEVETMIMSAWDLIQEHLSASRQAIQDIPDNHLANKLRTMPC KEIALTGLQTLQQMLQGEQPSSSMDFLCLIHVIYAFNFVTRQEHMEHDAKGLFFQSLA YENLLQAEEGTVYRQLVYHILEPSGITPGDLGNFRAKSSVVPLSRSSSLKGKAPTTRI DISSTHSDTLLVAGCRFLDELESCIVFGQPSHSLDINKSELHRKHQEVLMFSRNHGFS SDVTKMLCELVKYNEDLQLLKGKLSEICNKVNNGVIFSGRRLEIEVLHAGKESMPASR YHSDYVPKVWELCDEIYLKYFGSVNDRAVYHQLGISVIQSVISGFDIPQNHSTVTTTE ENQDELARFLKDHTDIGKVPMEAYRKFSVPTMTLTPPEALHSSHVASLTATFGPQQPQ QSQQPQQTRQQQQQQQQKQASHLGEIPSEDQAPASTTDQTQKPDSDPQHPPQCHLCDY RPDGNPRWFKGSMAKHMKVKHSDQPDKIYPCKFPGCKSKYKNRPDNLRQHMIEKGHWV EGEGGWNSRSGSTKSERRPSKKRKKGDDFVVGSDGN NEUTE1DRAFT_121266 MSRNTASSSLHCRPPLRLPPQSHPSSINTPRQRSNNMDGSNHMS SSITELDLSKCHPRMVDRINAVPGLKTSAAFFTFLRANLVLPHSGLSSNPSTPYLEPY VPPPLPPLSRSPSSSSSSSSTTKSSKSRSKSTTMTSTPPTVAASGAENLDDIPPLTLD ILTTRPAKTDALKLVADSIAQQRQQASYHLITHPACLSALSAALALVYQFGIARASPQ HQDWGTTAMLLSGVVMTYLMTIRYFTSGYIKLAESLSWDWLLNPSADGNSPATEEEDI VLGTYYGTELIGALVLRLEPPTSSRSSSPTANRQRKSHSRHNSFSAKTKSLKGGRGVI RAWTTKLRYRGRGVGKDMLVEAVRLTREKCGKEAEVGFAAEHANANCGEVLPEWFCGG FRKGERRAAKCLEGVVAEYKR NEUTE1DRAFT_60919 MTTSTSTPRITVLGSLNIDLVAYVSHHPLPGETMTANSVAVSPG GKGANQAVACAKLSRSHTSSSSSSSEETAHITMLGTVGADSYGSLLKENLAKHGVDVS GVRVLDSPGAKTGMAMIVVDEPTGQNRIILSPEANHFLQPEHVSEVAHLSSSSGAGGA PQEKPDLLIMQLEIPVPTVLQALKTAKQNGVQVLLNPAPAVPLPDEAFDGLAHLVVNE TEAAILSGLEESVLDTEEGLEKVGKVFLEKGVETVIVTLGGRGVFFMTGGEGGRKKGL IKAEKAKVVDTTAAGDTFVGMYALEVVIAAKKGEQFDIEGAVRKANKAAAKTVERPGA QDSIPWRDELL NEUTE1DRAFT_39436 MPPTIPSRGGPSGLKHRHSVGGKSVLSGASGKGKGQGGVGIKRH RKIIKDTIRGITHYPQSINNGLLMHPCSVCFSQACYSAYLHTSPPSLQHHQLFPFHKA VQTFSEEAAQAREDGHRNGREKVIFALRRIGKPIYGFDPETWEPPARGAHRRALAQGG EQGRSGNRSGNNDDSD NEUTE1DRAFT_116524 MMPPTPHHPLDALANVAGTKEPIYGPEAIRSVAEEAKTTPYTET AKDDLKWQAMESTCVETQCFYFMTDSGQLAFAQVIYSNVAGIRTTCQFNCKVFSLDGS KPHLWCSTPLNNHEFSEDKTSFYATDCAVELSEDGNSYTIKSLNDERSIVNVTIKRTA PGFKIGTSGTTLFGTDLANPWGSMRHVFWPRCVAEGTIATPDGPVDCKGRAMFVHALQ GMKPHHAAAKWNFCNFQGPNYSAVLMQYTTPPSYGSTVVNVGGIVKDNEIIFAGAEGA VTHVAIKGDTENDWPEPTAIKFEWKGTTKDGKQADAVLEGELEDKLDRIDVMAEVPGF VKQIVAGAVGTKPYIYQYAPQKKKLTLKLKLGEEEISEEGYLFSEATFISA NEUTE1DRAFT_145479 MSKAAKGGAGAVEQVVKLIVGAGQASPSPPVGPALGSKGIKSMD FCKEFNARTAHINTGTPMPVRVTVRPDRSFHFDVRTPHTSWLLLNAAEAPIGKGGKRK GASNPGKEVVGTVSLKHVYEIAKIKQSELRLSGLPLEGLCRAVIYQARSIGINVIP NEUTE1DRAFT_121271 MATAEKESEQRQPDEQYQAVEPQSAKVTPAEPSKTEPAVRFKST VEEITPEGTTSTPTIAPDVTLGEPGEVTPEQLRDIAERLKACPLQERRISLFQYEAFS LPASRTPSHEDESRAPSREHTRSSAGRNSPLLTPHVRGHEMHTPPLTPAGTDNVDREL RRESALAQERRSANLITPQDSSHEPTSPTSLRNVQLPTPDEQLRSSSRPTSIDGRDRP QITIGEHRRGLFSVGSGGSSSPSRSQPASRESSPSRALAASQFYTRQLPPPGDANDPY SASKRPAQKGIEPRFIFSRKKNSSSLSLVSKSDKRSKKDRHDNDDEATIPSRNSSMAD LKRFFKLGPHKNKRPASPAASVKSTPKTPGGKSAQIPFGDDHGLSSKYGKLGKVLGAG AGGSVRLMKRSEDGVVFAVKEFRPRHSYETEREYVKKLTAEYCMGSSLHHGNIIETLD IVQEKGKWYEVMEYAPYDLFAIVMTGKMSREEVSCCFLQILSGVTYLHSMGLAHRDLK LDNVVVSEHGIMKIIDFGSAHVFRYPFETGIVHASGIVGSDPYLAPEVYDEKKYDPEA VDIWSLAIIYCCMTLRRFPWKVPRLTDNSFKLFAAEPSFGHDPKKLLLPPSASTSALS DLPYRDYDPQSVRASSDKIDKVQDDKKTTSDHKPTAHTTSVQGEAGSTGEKKEVIRGP WRILRLLPRESRHVIGRMLDLDPKTRAKMTEILDDPWVANTVICRQIGPGNVAHADDH VHTLEPPSAPAGTKDEKAKR NEUTE1DRAFT_94422 MATTVRSVKSLIPLLDRVLVQRVKAEAKTASGIFLPESSVKDLN EAKVLAVGPGALDKDGKRLPMGVNAGDRVLIPQYGGSPVKVGEEEYTLFRDSEILAKI AE NEUTE1DRAFT_121272 MRSTTVLAGLATVLAPLASAHTVLTTVFVNDKNQGDGTGVRMPM DGNIANAPVINMNSDDMICGRDGLKKVNYAIPATAGSKMTFEFRTYVDGSRPGFIDES HQGPISVYAKAVSDFDQSPGGSGWFKIWHDGYDESTKKWAVQKVIDTNGLLSISLPTG MPTGAYLLRTEVIAMQNVTTKADGNWYCEPQFYVNCAQVYVQGSSSGPLSIPTDKETS IPGHVHPSDKGLNFNMYDMKGLLPYQIPGPVPFRPTTSSSGSNAKAALTTPTNFSGAV PDNCLLKNANWCGFEVPDYTNEDGCWASADNCWAQSKKCFESAPPSGIKGCKIWEQEK CQALANSCDAKQFTGPPNKGKRWGDVTEQSSVQVPGVMKGADLVDDPVDTTSNEKANN NVVSIPAATATTFITTSSAASKPVTTVPSIAITTTTAAVAIPTETAAQNTLIRCGRGD KNQRRAMHINRHKRADF NEUTE1DRAFT_79619 MPKRPYTSGVGAAASDRRDEARRRRLNADSSSSGPSQSHSQATP SSQHRPPEHVQAIHDTLNWLSTQPDILESDDEAEVIDLTQADPGPVLEFYGHFDGKIV GVRYYNGVASPGEVVVCKREPQNQYDPNAIRVDNVLGTQIGHIPRTVAAKLAPYMDNG DLVVEGMLTGEKEFYDCPVRLYFYGTSAPLQRARLEERLKKDKLVKATQLNQTRKANE EQRKKRTLELRGNGTYGFPSQTQEPEPQVTMEQLAKMSEVTNFRSGGDMIKSLAMSEE DLANLPMASQPEKLRAKLLPYQLQGLAWMISKENPTMPAKGSTDSVQLWQHTADGRYY NMATGFYNKSPPQLMSGAICADDMGLGKTIQIISLIMTEGLGTGPTLIVAPVGVMSNW KQQIRRHVHEEHQPKIVIYHGSKRKEFAKTLQDQNVVITSYGTLSDDALVKTRWRRVV LDEGHSIRNAKAQVAQNACKLEAKSRWVLSGTPIINTIRDLHSLLKFLRITGGIEQSE IFNTVLTRPLANGEPKGEALLKSLMKDLCIRRKKDMKFVDLKLPEKTEHISRITFWPD EQKKYDALLSEAQGVLENYRTQSKRSQGQFQGVLERLLRLRQTCNHWVLCKKRITEVL ELLADKDVVDLTDENRAILQQALQLYIESQEECPICIDPLSNPIITHCKHVFCRGCID KVIEVQQKCPMCRAPLSEDKLLEPAPEHSATQDEEELESETKSSKTEAVLALVKGTLD KEGSKIIIFSQWTSFLTIIQHQLDEAGYTYTRIDGSMNAAQRDAAIRALDYDPNTRIL LASLGVCSVGLNLVSADTVILADSWWAPAIEDQAVDRVHRLGQTRPTTVWRLVMDNSI EERVLDIQKEKRELVGKAFQEKQDGKKKVKETRMADIMKLLS NEUTE1DRAFT_116529 MPLGAYATQRKDIQSDAGLFVSFPWHATYSKYYTILCTLDEIYP EQSQTSRGQIRIANTNNKRY NEUTE1DRAFT_136540 MDPTPNNNDQAPKPEAAEKKDEHTEQTQDGTTGPNPEISNVKKN DNDDDEKQNDDNNERDNNEQKDDDDDDDDDRSENDSEAEEEEEEEKAGGRAKKHAATI EESSSESQPSTPKDEEAPQPKFMRDENKRKKKNRNQEVAERPRRRRRPRFADQEDSEE EEPKSYRQRQALQQQKQQNQMMLMQQQQQQQQMQQGGGGNGGKNPLRLRLDLNLEVEI ELKAHIHGDLTLALL NEUTE1DRAFT_128855 MRPPHAVYHGVSKWKEAPGKTTYGTQNIQPKRTTTTFFVPRPKR HSLLTTRSSKPQLRNQGDMVIGLLIIAGIPTTIGVCEALSAQKKANNASKEKAKFQLT ASISLDGGPSEECFCVLRDGRLWIDHPDYPMPGHRFMGYYFTYPSEEKHLGMVSTIAD DPPMLNWIYCDKDTGMVNHGGRQATIGHTIGPWYWSDDETWLTLEGDTLQFVAVQDEE TQRWCVYWDKDRKIRGSSGSYAGEEEYSDEESEGESEESEAEDDSEFSGSETGEPRKP IKTFEKEPKKEAGKNEGQQTGGGDTEGKKTEVKTEDKQDDGGEAPPALPQVQKPVKWV PILLRRRMQLGMESRYVKGANG NEUTE1DRAFT_39506 MSTQSGSDTSKKSKRQPKKQAQTYESESESEDYAPPPRRKRGKK QGPLDSLALDNVNNTVGGLTQGVTGALGGVAGGAVQNHQQGGGGGGGGKSDTLRLRLD LNLDVEITLKAKIHGDLELALL NEUTE1DRAFT_79624 MSLRIQGTMAYTSSSSENSLLNKLAWSRKNKFLGQLHRSMTSKF SSPSDRDSSAGGDQQAAFSSSSARQSRNGAASHIGRPRPSTTTSRGGFASDERSTRDG MTDYVYEEDADEKAEEARHGSKSGSDVEIEDITDAPRSPPANYSRPRPAQSPQSTPNI DKSPERPPPPPPKHQKKTLPGDPAYVAPIGKIGPIGSTPKIASRSNSEKGGISVSPSS RPQLPPYIGSYLKGKTVDEYGDIIDPRTGTILAHAGGDLPSIVGRQVSNDQGDILGDE GELLGYVADVEVGKKTASPEEAAPKPMMSLAEIMSRNNTALMVDHDGNILDAQGNVVG KFLDHNNPVHRERQEKEEDQKGEGKGKGRGREEERGVPVPHYEEADKDEKEGAAEEEP QREQSRPPRRTEEERRANAAAWRKENPGESPSDIFLDVKSTTEGIQLTIRIPTVFGNG QQLKPNISFS NEUTE1DRAFT_39400 MVDPGHFPKCLELSVYARGACSVMTPLRHPVHDGESRSLQCSSM MILPPSSDLDMQRKKKSHSRLSYGLALYAFPFVEGR NEUTE1DRAFT_39836 MSDIAKKTLPAGAQQQGAKTADTTSQADPSAPRSTHAGEEGASY VGGSESYSHEAESSAEGGEYTHAKQGDEHLEGIEEESGEILMKDEEEGAEKPSSDEFE KVEAEGDDARTELEAQSREPADFDVGDDDETEETESKAQTQTTEGQEEAASAIPATET GEGRPEERSTVSEASEAKMSETSGKTSLLTEQAKEALDKASKDQPTEEARESFLQSAK DGLTAGSTMTGVTKKTGQTETSAAQSQPQEQASHPSDEVSGSHQYTVQGEGEEGTATG TKTAPSEYTATGPDTQAQQTGTSQPSGEVSREQQYARSVTFDESQNKSQEAPTEYTGE DSGSAIGTEQTATQTGTGYTGTGYTQSQSQPQPSHPSDEISGTNQYTGTGTTTYDFGT RTATAPTQIGETDQTGEGVPTGESVTVAEHLDFSVLKNGKVNKGGNVIDSDGKIVGRV VSGILQYLVGKKVDENGDIWSDNGKVIGKAEPISDSEREDMLKEPAPFESFPDAVVDG NGMVVSNGEWIGKVIEGDLKVLRGKSVDADGDIMDKAGNVIGRAERWEPEPEEEPEPE PEVDKSILAGKRVNKAGNVVDGSGVIYGRVVEGDVKRMVGRMCDKNGNVLSESGDILG KADLVPEGEREGMKEGPFSELEGCTVAKDGTIVTPGGDIVGRLVNGDPKVLFGRPVDD DGDVLDKNGNVLGHAERWTPEEVLRKKNPMSGRKVNREGNVVDEDGNIIGKLTSGDPQ SCSGKEIDDDGDVVDNKGTVIGHCTLLEDLREEESPEEKEKREQAEKDKKLALQMAVC IEQCLDKIRPLCKMITEKIDKAERTPEDERDEEALVREVRPLIEEGGRILTEAKGIIK GLDPDGRIAANAKHKTASREATPEEYHLAEVLKDLTGDITQCIENAKRKLEDMPHAKK ELNPLWGLLNEPLFQILAAVGLLLAGVLNLVGRLLSGLGLGGLVDGLLGTLGLNRVLE GLGLGSLTKSLTGASKKKNSGLLGLGG NEUTE1DRAFT_121277 MLRSRQAARALKALTEAQQISQRTTLSASQRAAVLLTNRSVQTR NQSTAAAATRPTPSQHFQPEPTPSNVQPLVGRKPEMDESFIGKTGGEIFHEMMLRHGV KHIFGYPGGAILPVFDAIYNSPHFDFVLPRHEQGAGHMAEGYARASGKPGVVLVTSGP GATNVVTPMADALADGTPMVVFSGQVPTTAIGSDAFQEADVIGISRACTKWNVMVKSV AELPRRINEAFEIATSGRPGPVLVDLPKDITAGILRRAIPTDTAIPTSPSAASRAAIE LSRKQLDASIQRVAKLINIAKKPVIYAGHGVVQSKGGPALLRALSEKASIPVTTTLHG LGAFDELDEKSLHMLGMHGAAYANMAVQEADLIICLGGRFDDRVTLNLNKFAPAAKAA AAEGRGGIVHFEILPKNINKVVQATEAVEGDVATNIELLIPQVDAKTMADRKEWFGKI NEWKSKWPLSDYERAERTGLIKPQTLIEELSKLTEGRKENTYIATGVGQHQMWTAQHF RWRHPRTMITSGGLGTMGFGLPAAIGAKVAKPDALVIDIDGDASFGMTLTELSTAAQF NIGVKVIVLNNEEQGMVTQWQNLFYDDRYSHTHQKNPDFVKLADAMGVQSKRIIKPEE VVEGLKWLIDSEGPALLEVVTDKKVPVLPMVPAGCGLDEFITFDQERDRKRRELMVKR TGGVHGL NEUTE1DRAFT_99650 MSDKPSTGTKAEMSSGSGTSTGPSTGAAASDTRDKPVATKPAQT CDCGHTGSCTCTPGDCACENCPKSGRLSKLSPLLRRGFR NEUTE1DRAFT_79630 MAPAKRKTASTPSVASKRTAAATASANKVTKASAQKTKALTVRQ KAALARAALAESSGNVTATPSTAGRATRTSGRGKRASATTIQEEEMESTEHTGTIAEE QGDEDTTMHDVTATEEVEAPPKETTTRATRGRPRKTAAAAVTETSMSVTATATRGGRG RKKKVEELVAVEEDETSQQEEVTEVTHEETQIEEEESVPIPGAWHSGPPASTTRKSSL LGLGFKTHTAGARTDDPELRRKLGELTQKYEALELKYRDLREVAVKEAERNFDRLRKQ SEERTAASDQLIAALKAELTSQKEAAREATRLHKQLEASESQLSSLNAKFAELTSSLT KSKTEINALNIKLTASRQAEAAAIAKISQPQVPGSAMKNKQNGNRMLTMGNSEAIAQA TQQHQLKEDLYSDLTGLIVRGVKRDQQTGEDVYDCIQTGKNGTLHFKLAHISANSPHV DADETTQYFDEDQFHYMPQLDASRDRALIDLLPDYLVEEITFPKQHAAKFYSRVNKAL TETVPQAQ NEUTE1DRAFT_145488 MTTTTKTMLDQQLKHARLVSSIAATVISLACGTNYVYSAWAPQF ADKLHLTTTQSNLIGLAGNLGMYSMGVPVGMYVDRRGTRPPVIVGALLLGLGYFPFKA AYESGTGSVPLLCIFSFLTGFGSCMAFAASVKTSALNWPHHRGTATAFPLAAFGLSAF FFSASGSVFFPGNTGAFLMFLSVGTFTLTFLGFFFLKVYPRSSYHPVHSGPGLSSSQQ LRRTLSEESKPQAGRSFVDGEPGMSPTVYTTPGGTTAPALSGAIDELVGPSSSRDVSP PRRSNDVEAASAGTAQEDIDETSSLVSRSSSLPGDVYVESSVDMDRSHRIDIRGWALL KSLEFWQLFCIMAILAGIGLMTINNIGHDVNALWKYYDKTVDDTFLVHRQQMHVSILS VGSFIGRLLSGVGSDFLVKVLKASRVWCLALGSVIFFIAQLCALNILNPHLLGFVSGL SGLGYGFLFGVFPSIVAESFGIHGLSQNWGFMTLSPVVSGNVFNLFYGKVFDKHSIVN DEGERTCPDGIDCYKDAYYMTLGACAIGLCVSLWTIRRQHHQRLKEAKRTAED NEUTE1DRAFT_116531 MPSCDWPRASGPLTGGSDTGPEQPAAYPSMIHMKHDPSSIFPSQ SLLNLSPIGGAELR NEUTE1DRAFT_60954 MGALLSLPLLAVPSLGTILGFVGSCCGAAACSALCSACGKCGNS VLTRIAYALILLINSILSWIMLTKWAIEKLQHLTFNYVKISCGNGDCYGWLAVHRINF ALGLFHLMLAGLLLGVNSSKHPRAKIQNGFWGPKIIAWLGLIVLTFFIPDEFFVFWGN YVSFICAMLFLILGLILLVDLAHNWAEYCLAQIEDTDSRTWRAILIGSTLGMYLASLA MTIIQYIFFAGNGCSMNQAAITINLLLWIAVSAISVHPTVQEYNPKAGLAQAAMVAIY CTYLTMSAVSMEPDETEDRRCNPLVLGQGTRTTTIILGAIATMLTVAYTTTRAATQSL GLGGSGRGQIQLPDEDEHDLVTQQPSLRREMRAEALRRAVEEGSLPADALLSDDDESD AGDRTANDDERSSTQYSYAMFHIVFFLATAWVATLLTMDWDDKKQGDFATVGRTLWAS WVKIVSSWVCYGLYTWTLVAPILLPDRFDGY NEUTE1DRAFT_60956 MSTPNSDSPAAQAAKKVFSRLDLDGHHLPPSPAPSSPHNGRRYA LATELVYTETKDQYGASSIPIYQSATFKQSSSNGGSEYDYTRSGNPTRTHLERHLAKI MNANRCLSVSSGMGALDVITRLLKPGDEVITGDDLYGGTNRLLTYLKNNQGVIVHHVD TTNVESVRQIISPKTAMVLLETPTNPLIKICDIPTIARITHEANEKAVVVVDNTMLSP MLFNPLDVGADIVYESGTKYLSGHHDIMAGVIAVNDTELGDKMYFTINATGCGLSPND SFLLMRGVKTLAIRMEKQQANAQRIAEFLESHGFKVRYPGLKSHPQYDLHWSMARGAG AVLSFETGDVALSERIVEAARLWGISVSFGCVNSLISMPCRMSHASIDAKTRAERQMP EDIIRLCVGIEDADDLIDDLSRALVQAGAVTLTVDGFHANTAEGAAAAAAAGSEAAET TTTAAPSL NEUTE1DRAFT_60959 MTDNKRVAKDIMSGLLLAATTISVYFIFRDYVAPHVAKLIDPDR AKHDQNRLKAQQNLARIQKSRDKSKVANDEFADDEVPGDSPSSVRVEDLVLNEYESQV ALEVVAPEDIPVGFDDIGGLDEIIEEVREAIIYPLTMPQLYSHGGTLLSAPSGVLLYG PPGCGKTMLAKAVAHESGASFINLHISTLTEKWYGDSNKLVRAVFSLALKLQPAIIFI DEIDAVLGTRRSGEHEASGMVKAEFMTLWDGLTSTNSLGQPARIMVLGATNRINDIDD AILRRMPKKFPVPLPGKDQRRRILELVLADTKRDPGFDLEYIAMVTEGMSGSELKEAC RDAAMVPMREAIRNQKAAGKSIKKLDPNTVRGLRTNDFFGRKGGAPSLAADSRPSSIR PEAAMSTREIYEVETETEDILS NEUTE1DRAFT_79644 MLSFILIQNRQGKTRLAKWYVPYSDEEKIKLKGEIHRLVAPRDQ KYQSNFVEFRNHKVVYRRYAGLFFCACVDTNDNELAYLEAIHFFVEVLDSFFGNVCEL DLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE NEUTE1DRAFT_99658 MTWLSDHLLELDSSDERSFSHEIAKWCFFLKDAGYDSTNVVGTF EEWLHEHSHAEPLSARRLSLAELEIKKFYDIPTKAPRLVPTDEPCQPSPPPEKTLQQF PSINEASEAHPVAGFGQIHPDRLKLSRYGDNKHEEGEVIDVDDWQRPVVAISSDEDVD PQTKNTMSFLTGANRMVFGEDEAIMTTDLQGKKKKTKKAKKSRAQTMQSGPTSIQTQE KNKTSCGRCGVPGHYHMQCPTNLDPSFDKSPPDEYKCNFCNRYGDHYATVCNRNMNPT SLTQQRKRFAERGRQFHPSRGRSRSPLRGPNDKRHIGRERSPYRVPRDRHHYDRESSP YRESETRHHVDSDRSRERRRSRERYRRRRSRSRSRSPSPPRQALPRRDNPQAIREHRF KHPYRQPSFQKLEPSSPPQSRALDYGDTFEHMPDAPYRPLSSRPTEVKIRGRGSSLYY DDNVKGAMPASVYGNEDLMSRKTHQPQMPAPHQDDYVYRPTLYKGSFITELTDLIAST TVSPLARAPMKSRLPEVFKNDLRQSSGSWVIEPTIINTTLVKPELVPNIVRESSPPVE LGVTEKRLDGRHGTRYHPAILELFKNRKNVWINKIDKAMRSQASSFFWDTDPKDLEEE LDVSADTNAESASDTVMTEAEPVGTAIDEANSEATPVALLTDGPRRVDSTPAIDVVME NHTEMQILYRDEDGVQTHHPCGDGRVGFKPPVDAAMEDDEPLGFSAAVDVSAIVDEVI AEVAAKTTSGSVEKESPSIVATTRSETLSLPKTINLTSAESAHIVRKVKPGHGVTVND HDNCTPMEGAEEVGDSSKSETAIKDVINVEADLEPVEMKISQPEDQVDVTVFEGSKAQ NEUTE1DRAFT_145493 MPPAGAAFVAKLKVQLKLAIARLRMVQKRDEALAKTQRRAMAQL LDVGKEDSARIRVENIIRSDITTELHEILELYCELLLARAGLLEAPTCDPGLEEAVKS IIYAAPKTEIKELHQVRTLLAEKFGKEFALQAVENSDGKVSEKVVKKLSVTPPREELV VGYLEEIAKVYGVNWPKKKANLGEPPDFMDEDESPSGGQAQKNLEVPLKAEDKEAEAQ EELSKATPPVSFGPASPLHVNPPPPSTDNIHPKVTLNHQQLQSKQSPAGGGVVKKAPA KGSIADGIPDVDELAKRFAALKK NEUTE1DRAFT_145494 MADKDKDKQYKYRQEIQQPSELILRRSTRIQRSQSARPSQTPKP SRKPKTTSSASNTTPQISRGRPPTRSSGPRPQQVSTLESQRSLTYTPTRRASTGSAPK ELVESSANSPGVPSQLVSADPVIHSGTALATSSEQSFQDSGSLHSGSPISGISQTLSI FQHSSGPYMEEDDQQLSVPSSVSMSISSGSEPSPRADVS NEUTE1DRAFT_39726 MSISSGSEPLWDTDNSGQVTQLEDDTTSAYVQSRRKRDSGHGSV AKDVSITADRSFESLSGVLDNGHLCSATEEPSRLFSSKCSSPPYQPSDVLNSLANCPA APNESPGSETQQLDQPATLKKAQENIVKSQTTMKQAQITTGNELQNITRSDEAGQTSV LLPEITAQPQCEDHKSAAGGSSTEDGTNDVVETFWSSCHPSEDRIKTISSAEVWFTSQ DQTFQPIDVDMESNDLPEEPLIPEDITHDQPSEPTTQPDETIMDPEWDDWIDNEIIIP SAPSQINTFTGEFSTPIGPSTSPSVHQVGDGSALFQDAFNTALDEINTENQAKRPKFS IPNDIIFPSSDAETERRGINDFVNPYPKDPWEFYWKKRAETKDQFIARFANNVRQMMY VSGETGEPSVETTSIIEDIVRQQVIHMLKNCTELAARRGSRSITINDLIFQIRDDAPK VSRLRTFLSWKDVRKNVKDSEDKGGDADIGAAGEDPSAAAGIVPGAGGPVDDAAKKNK KAKVGLPWEPSSFYSVEVPEREDEEDEEEEEMNYITLQRLRKADERTKAMTREEYVTW SEFRQASFTYRKGKRFREWAGFGQVTDSKPSDDIVDILGFLTFEMVQSITEEALKIKD HEDLLKERTGEAGPTKKRKLPKGLFDPPGEGRTPVEPRHIHEAFRRLQDPSKKRRAML NGTRLQPRTDLLII NEUTE1DRAFT_128865 MIGNALSDTGDASLQKQFIPTSCPNNSASGCSIHSTTTGIFGCN DVRASSHFLSTPQTRSSPDIICIPTRTLYRARTAYLMSGTAPSIPNLLTLRGREGGGG VTRGRYRGVIHRGGRGHGPGAPSASAAHDATIQGTDTDAAVSRLSAVQIGYIDDPYAE LFAQSGPGAARRLPIINRGTYARTTAIDKLVDKFLDDTESSTEGRQIVSLGAGTDTRS LRLFSPSAPTPRKRVIYHEIDFPAMCEKKQRIVCSAPQLRSILSDPDSVEELSHHGGG NSWHSKAVAEKHKGSELWVHGLDLRAIAASQQPQQPLPPGVPIGSRGLHASPFTTGST TQHEKQTEDTSLPQQREPLTLTSLNPNLPTLIISECCLCYLPPSTASSIVSFFTTTIQ SSLSIVIYEPIKPDDAFGKMMVSNLAAREIRMPTLEVYKETEDQERRLREAGFSGGEG KGIGGARSKTIEQIWEEWTSQEEKERVDALEGLDEVEEWKLLAGHYIVVWGWRGVGVD LEI NEUTE1DRAFT_79655 MLHHIAAVGGSLAKRYIEDGLEANDSSGSNPPASNDEAVLAGLP FVIYFITGLLFIYPAIGVFYTAHNVFPTLAMIEDPNPPPEYTPISLDAQEGSVPSGEF EVVEANEKPITSSLRATHRLLWVWGREGYFRGLVCALITVFSTVILAAFITVYTFLPH FVGSFIATVLLTQLSTAWVHIVITPPSARPWYSRVLPFRYVFQATALPLLVHWATSVV AVELPRLLAVMIGLPLRLFEEADDNMDMDLRLSSLLLKGIPVAALALLLYLFAVIPAQ VILRRVQASLLPPTEDAIVPFDRSFKGKLDPAVLGGGSETFLTMRDALTTFGREGWTR LVKMYLKVLGITVAFYIVVATTFALEGGVIMTLNWLLSGGK NEUTE1DRAFT_136559 MSRLLTRLPNRARLLPMVPRRAMATELGTSKSPQNGQKGFVSVG SQAQGDSPSNQGPTEAGQKLQKESKGKPDGMKGDSEEARAAGGDQKAGTSGSQK NEUTE1DRAFT_38482 MLVEAQLVSRAADQRITNAVDIGQRRRKRYSPTSNRRDLTTAKD TWQQRAGKKKTYNTWDSLVVTDPTTSQAIGSLSMGERTGSRVFYHLWSYVLILLDCRL YITQANHGERPER NEUTE1DRAFT_79660 MHQGSTETSVSLPRDPYPISSGQTVQHGSPRSQPSSPDDASPHE SIFGGDVASSSDDGHRFDHDFGRLRAADYASAAQPVMTAGQRIAEYESALLLSRHHAP HTVAFQVVKNTSSSPGCVKLADFPNEILTHILSHLHPDSHGDVALVSKRFYHLVAAPY AWRRAFLRNFPGQESLLSAKNRSEFANEDGELDRIRSESRYFARLSAMATWRSEYLLR TRLLRSVSRGKPDGSSNLSIQSGKKAAAVLTYSPRLTWMVTHLHAVFSGNRNGPRVMH GSRDIGVASVGDPTTGKIDKLGMDDQFQFQQLDEVHPGLVYYGMGDGAAAVPNVIDVS QPYGLIGAEAFPGGRVYFKPQGQLRGRFLGIVPETVDDEPEIPKIPELLDAVCSVWIA KSPNVPTATDSMIGMFTGSTLGIVTAYTLGYEISGRRAGNRVGEITARWVLCPGVPIV DIKVDDNYNLRRKGMNRVWAVALNALGEVFYLIQTPAPSSTRGKSTTLLKDAWDAGRT AYWEMIEATRRVATPDDCNKNAADGSYTPRSSANFMNLSRAQIVAEAREIESFLRRKP SDFRRVCLGWDMQRRLEVDFAAGDESGGEAIFKIDCGLEENVPPAVRRYVRHKTSPVI NPEKVAALLSSAPKASIFGGAETSNSLDCISQSTTSNGEKPSAIQASSGSPSTSATPA GDTINGWSVTDYKLKIPLGAEITASAIDLSTYAVMAAFEDPLCFEHDGSGDTSKEIPG RRARYLAVGTSTGAVNVWNTRDQHSAHTVKPLRVIQTDSPAISSLALSALYLLHGGTD GVVQAWDPLASTHEPIRTLNAKMSGRIPRPILSQAPAMERNVYSAVRAIFLDPDPTVL RGVSAWGNNIRFWSYSSTNQTPGRKRRHRHNDVHGRLSSRRTSHHVSGFIAAEEAELI HEEETRTREMARLRSRFGQGLADMTEEEALLYAQMISEEDFIKQESVRLAAEAEMGST IDEEWERLPSTGSSADQLTPEPSLPGASPSVTGSGANLATANQESEEEMIQRAIRLSL LESNGSVDGVVAVEPAPAPEPVAAEAEQYPPRSPSPLPEFSIKVKPLKGKGKGKQPSL ATGSSSSHSTPVIPFSETGHSNDSAFGRDPSDIAYDTVPAAATTNVTSGTDIDDDLAL ALRLSLEEEQARQHRMAHLNVMPMVSDVRHALENSEEFPRLDVKGKGKGKMI NEUTE1DRAFT_116537 MSSATQGGPAVPKEEKKGFGKVLARVKTVLRTKRLSTSGAKADP AAPAEKKGKEAAKSTTKTAPAAAAAAAKTVDANAQKVPRAQLFEERAKKLGELYGLEL KPSEWHSTEGHALRVEKPIKMRVHRKCHQCDTSFGASKECSKCKHTRCKQCPRIPSKK TEAEREESRKKRAQIIKDRAENAPIVPDWHPKREKDLVLRRPAKTGTQDLVHKKPRQR VRRTCCGCKNLFTSGNKTCTACQHVRCTDCPRDPSKKDKYPYGYPGDQPSKRQAYFEC KACKNTFASEPTVTTCPKCFNRNTERLAPKRVEPQPDPEAWKSIQAKLAAMNLK NEUTE1DRAFT_116538 MWMESRWWPSPSLAHLVARFRYTYGIPDAYLGQTTYRMTEASVI NALDQDK NEUTE1DRAFT_128869 MEDYKTYLAENILSEDKVVTYRLLSRALRVHPNVAKQMLYDFHK TQSSKKPGTVYATYLLYGIKKSVQNQNGNAMDIDLPSSLPDADPVDDEEVPSYTLVLV QEERLADVLKEYETISSIHVYSVGPHPAKDLTLLVDAAQSALAIKDDAKKPRPRTIVN SHVRRRERKGLGLKAAAPAKQESKTKAAPTKTAPAKPSPAPAPAAAPAKPQEESKSAA PAMEPEALTATTKKPAPSLKRNTSAASGIMQAFSKAASLPKKAKTSEKSTTAAEETPA ALSDDGEDDDDVPLPKPGAVSTRKSKKEREEELRRMMEDDEDEDMEETADSPVPEEEE EEEPVVEEPPAKAEVAKEEEKEIVTTSGDGRRRGKRRVMKKKQIMDDQGYLVTIQEPA WESFSEDEAPPAAKPKPKVANSAPPAAAGKAKKPAPKGQGNIMSFFSKK NEUTE1DRAFT_79667 MAAFPRFRFLAIAVIFHFAYIFSIFDIYFVSPIETGMRLFNVQR PPNRSAPADRLVLFVGDGLRADKALQSHPEPYPKSDADLTPRPLAPYLRSKILEQGTF GVSHTRVPTESRPGHVALIAGLYEDVSAVTTGWKLNPVNFDSLFNRSRHTWSWGSPDI LPMFEQGAVPGRVDAYTYGHEFEDFSSDATQLDLWVFDHVKDFFAEARRNKTLAEALR QDKIVFFLHLLGLDTTGHSYRPYSKEYLNNIKIVDQGVKEVAELFRDFYRDGRTAFVF TADHGMSDWGSHGDGHPDNTRTPLIVWGSGVAKPQLYPGEVAPGHDEYSADWNLDHVR RHDVAQADVAALMSYLVGVEFPANSVGELPLSYLAADIKEKAEASLVNVQGILEQYRV KEEKKKATELKYRPYQPFGENGLSPERRVAEIRQLIDAGRYEEAIEESAALMKVGLGG LRYLQTYDWLFLRALITIGYLGWIAYALTTVVDLHVLHGRVRPSRTLGGGLFFTSVLV ALYASFVISKSPLTYYVYAFFPVFFWEEVYARRESLAAGRKELLGHINSGGSVASFVL NSALYVGVIESLALGYIHREILSVLFVLGSFWPFTHGLSFLKKHGALSATWFLACIAM STFTLLPAMKAENVNLITIGGVLMVVIGLLYLIFEDFVLADFSWNAKPTSRNHLSRSL VGIQVGLTVLSIVITRSSALSLQAKQGLPRGNQIMGWVTLVASLLMPLAYRLQPNNHY MHRILVIFLTCAPTFVILTISYEGLFYLVFSALLVSWVRLEHAVQKFTSSKSPQTTAT KKPTTTTESHLPAPFRPLTLHDARVALFFFILLQSAFFSTGNVASVSSFSLDSVYRLI PIFDPFSQGAMLILKLMIPFALISANLGILNKRLGVAPSALFMVVMGISDILTLYFFW VVKDEGSWLEIGSTISHFVIASLLCVFVSALEPVSAAFIAGVDVGEESELKEEGKVAE KVVEKVNEAVEGLVGGGDGGGGES NEUTE1DRAFT_145502 MGSGKWAWWERPERRMGTEDGKTGGRQDERHGRKKTATQPPTST SAALPTLSATSLLSLFQNPIDDCVTPGFPRFASRRAQRISRYHTLMDLDIDTAKGATM SATTPAEKSTTASAAQQQEQQQQQQQQQQQQRRQSQPSRQQAQSKQQPPPLQPHPQFS SQSPTQSRQSQSQSPAPSQAQSQGQQPPSASTATGTSTGHMSFRRLVRCDAASLGVPC TNCVAFSIECRIPTPKRKKTAAQSTTTAPSKDSDSERGDTEDRSPRQAGANNTFPAGT RPATAYHTQEGTPTTSVNVKEQAKREKYDNATLANYMNLVMKPKFTRAPITEAGRVAY MGESSNLNLLVHDRQSDSDVVHYPLPEHVRGNKARLSELDSTEIEILHQRGAFLLPPR SLCDELIESYFQWVHPIVPVINRTKFMRQYKDPKNPPSLLLLQAMLLAGSRVCTNPQL MDANGSAAPAALTFYKRAKALYEAGYEDDRVTIVQSLLLMGWYWEGPEDVTKNVFYWS RVATIVAQGSGMHRSVEGSQLSKADKRLWKRIWWSLFTRDRSTAVALGRPCHINLDDS DVEMLTEDDFIEDEPDNPSDYPPDETHVQFFLQYVKLCEIMGLVLSQQYSVASKGKGK NAIDLTHSDMALADWLQNCPKIVYWEMRNHHFWSALLHSNYYTTLCLLHRAHMPPSGS HRWPDDSPYPSRNIAFQAAAMITSIIENLQSNHQLRYCPAYIVYSLFSALIMHVYQMR SPVASIQQVTQTRIRTCMAALKDVSKVWLVGKMVFTLFESILGNKVLEERLQKAAGKR HRRMQQGLSQLEQYRQHQQLQQQQQQQQQQQQQQQQQQQQHQQHQHQQQQQQRVHDQK RKYDEMAIDFSVNTPQPQESYERSRPQTPSLTTKTETTPSTMPPPVTSPHNQNGHRPP HDAFMGGTASRPHTRPATPFNPSFSVPATPPDLYLVTRNSPNLSQNIWENFQPDQLFP ESTNMPLFPHLSPTQQQSSLDPNMMHMPSGLPNQPIEYTQGVKRNLAGSPLPSNPNNN NNGNGLLHPGGMPGQGQGQQGGYGNNQSNSFWNANFDGQIGGGGANDGHSPSDSWSNS SVHGQSVPSTLNVEDWFQFFGINSDPSQGYMNLDIPELMRQL NEUTE1DRAFT_60990 MVQAPMISVPLKATSEIDWVAPLKNYIRNTYGDDPERYAEECAT LNRLRQDMRGAGKDSTSGRDLLYRYYGQLELLDLRFPVDEKNIKISFTWFDAFTHKPT AQYSLAFEKASIIFNISAVLSCHAAHQLRTEEAGLKTAYHSFQASAGMFTYINENFLH APSSDLSRETVKTLISIMLAQAQEVFLEKQIADQKKNGLLAKLSSQAAALYAQAVEGV QENVTKAIFEKVWLSVVQIKLNFMNSLAQYYQALADEDANSYGVAIARLEIAQGLAKE ANKMAHSFPTSVPPNSNLTSDCGHILADATKRHLATVKEKLEELNKENDMIYHQPVPA EASVAPVPKLPAAKPIPVSELYAGQDIQRITGPDLFAKIVPLAVTESASLYDEEKAKL VRAETERVETANSEMAASLDYLRLPGALQVLKGGFDQDILPDEDFRTWCVDVADHENP HRIFEYLHTEKQAISTILDKSSRQLDMEESVCEKMRSKYDAEWTQQPSSRLTTTLRTD IRRYREALEVAAKSDGQLATKLRANENELDEMRQAAQHGEIDELFQRAVRKSRKSNPN SPATVEPNLLEADFDDGGPSVVEQIQKVEDILKKLSLVKKERLQVLQDLKQKAHSDDI SQILILNKKSIANYEQQLFQQELEKFRPHQNRLVQASHKQAALMRELTVTFNNLLQDK RVRADQSRYESVQRSRTSVINKYKRAYQEFLDLEAGLQSAKNWYKDMRQEAESLEKNV EAFVNNRRAEGAQLLNQIEQDRAANKSSHAALEQERLKNLMERMSMDPSPTSPKPSSG SGGRPTPAPLSFAPAAVSNTPLSAYQKSNFSTQYPASPPATQVPHNPGGQQQTPYQQY NPSSLGRIPGPASPPPNQTSFNIGPGRHPASPPPTQTSFAQSRPYSLTTYGNPSALNP QGGQSQQSQPGGYVPPGFVPPPPPPGPPPLGPQQTVHYGGNEYYAGAMGNPNIGRPGS GQQGPQGQQGGWGQPPPQQQLYQQQGGGGGDPWAGLSAWK NEUTE1DRAFT_145504 MGQPTSAAITPLRISAMAQTRKNSSLPAGYVEDKSKGPMLRFQE SLPRLPVPTLEETAARYYQSLKPLLSPQELENSKKAIDAFIAPNGPGRKLQEKLVARR EDPKHKNWLYEWWNDAAYLSYRDPVVPYVSYFYSHRDDRRRRDPAKRAAAITTAALEF KKMVDQGTLEPEYMKKLPICMDSYKWMFNASRVAAKPADYPVKFSADEHKYILAIRKN QFYKIYHEVGGKQLNTAELEQAFKRVYELAASRAPAVGALTSENRDVWTDARATLLSA DPKNAKALEAIEASSFVVCLDDAAPVTLEERAHQYWHGDGQNRWYDKPLQFIVNDNGT SGFMGEHSMMDGTPTHRLNDFVNDVIFNNKLDFSDPSVRSNLPEPEAVKFNVTKEVQA EIDRAITDFNNVIGQHQLAVQAFQGYGKGLIKKFKCSPDAYVQMIIQLAYYKMYGKNR PTYESAATRRFQQGRTETCRSVSEASVAFCKAANDASVDDKTKVELFRKAIDSHLEYI SAASDGKGVDRHLFGLKRLLEPNQEVPALYQDPAYGYSSSWYLSTSQLSSEFFNGYGW SQVIDQGFGIAYMINENSLNFNIVSKGLGSDRMSYYLNEAANDLRDLLIPTLEAPKSK L NEUTE1DRAFT_79678 MEEGSVNYGTPNNGASPSANPILAQPPLPDSTAGNQSEDVQMGE GPEPTIKQDDSTPAPGAASATPLDASEGAVVAATAPEDEEMGDAPKEETAQNGDGASA NEGSGDVAKTKEQIGNAAREHVISQTHAIILPSYSTWFDRNTIHNIERKALPEFFNNR NRSKTPAVYKDYRDFMIDTYRLVPYEYLTVTACRRNLAGDVCAIMRVHAFLEQWGLIN YQVDADQRPSHVGPPFTGHFKIIVDTPRGLQPWQPAADPALVEGKPSKDTEAKATATP VPKNEQTLELGRNIYEANAKNNKLNKTNGETPAANGASEADALTKAPIAKVICCNCGI DCTRIYYHSSQADVNSKTKYDMCPSCYLEGRLPANQTNASYTRMENPTYTSILDRDAP WSDAETLRLLEALERYDDDWGEIAEYVGTRTREECVLQFLQLDIEDKYLESEKLDAPV GLQMLGSHGGQLPFSQVDNPVMSVVGFLASLADPTSTAAAAGKSAELLKQGLRNKLEG GAESTESEDKGKEKEKSGDSMEVDIRQETTTTTTTVSTTTTTTKTSALANIPLATMGA RAGGLASHEEREMTRLVSAAVNVTLEKMELKLKYFNEMEAILQAERRELERARQQLFL DRLSFKKRVREVQEGLKAAAAVGGEQGVKLAQEALTDGQRMSFHAPPAVGSVQPLSAE GQVKTYEA NEUTE1DRAFT_61000 MLSAGARAFSALTRPRFTTASTTLRSPAALRRLLSALAVLEQRD GKLNPGSLSAVTAAQKLGGPIHAFIASSNVKAVAEEAAKVEGVEKVIAVENGAYDKGL PENYAPLLVENIKQGGYTHILASATAFGKNLMPRVAALLDSQQISDVTAVESENTFVR PIYAGNAIATVESTDPIKIITVRGTAFAPAAIGSGSAAVEDGVDPKAESTTEWVSEDL AKSDRPDLSTAEKVVSGGRGLKSKEEFDKIMLPLADSLGAAIGASRAAVDSGYADNSL QVGQTGKVVAPQLYLAVGISGAIQHLAGMKDSKVIAAINKDPEAPIFQVADVGLVGDL FEKVPELTEKLKSA NEUTE1DRAFT_121301 MGGPSQPFLYDYDPVRYSVDDRLPPKLFDPKAVTRASFDKPKPK PKPDGPLVSFNVHPDAFPPSERTIKSFRPMGPRSKRWIIIMRKVQLAFRLLQFIGAAG LLVIMIMLNNMKDVPGLIIRIALGVATLHTGYGVYHLRRPAGARAPMSTAAYHFGVII VDIAACLVYAYGVYTIRKDGATWGTLLSDKSVLQYFIPAVQYGLIGAGALHVVSIGIS IFLAIQFWRICKMPPDMNPLEDHLTSRAHKRNKSSVVSISTYLDDAKQPGTVAGSHRD SSIHNGDELVRPRAIPFTHTRQSSDFSERSSGKRESRVTVDLPQRQYQITPGNSPRSS MPPRSSYHGSYSDQVPFASRGAVNPRDSFASSRPNTSYNKGEQLTASPTSMSPTKPLQ QSQKPRGGKFQETWYTTESLFNRTHERNRTMKEAQKNGGGGANNNNKRGNRAYEALTS YYDTHDSDDDHQHLQLQQSPYSPPRSGAARDNHKLGYRNSHDDDDIDLADLHPNPLRS NPSSPTLIIDSGLGPERSITPFSRLRESILRPLNLNPLNIKKVRQPPKTQHLQPDSQE PMRNRDSSIQPEALFSTAAVSGGSGGGGGGGGGGGAKPYDSSTSDIPSTLLAGHPANY RVVSSGHDYDFSYSGAGAGRQRHVSGKVAEEGMAGGFGFSEDITEGGRQDKKRLTVTN A NEUTE1DRAFT_128878 MTDNNGNPEVPGQSPDDVDKASDESSEWQDINDTPSIIDFVTLG MFIIDEIEYPPPRPPSTNVLGGAGSYSALGARLFSPAPDLSRTVGWIVDQGSDFPQAI TDLITGWSTSAVFRHDPTRLTTRGWNGYVGSSERREFKYTTPKKRLTAADLAGTPLLK AKAVHMVCSPNRCKELVEEIITLRKREARLAAAASNKNNNNNDNDDDCDDVDDDAKDP NAYTRPLIIWEPVPDLCTPFELLNCTNALPLVDICSPNHAELAGFMGTDGLDPETGEI SVEQVERNCEQLLASMPLTTYTLVVRAGEKGCYVAKNGGRRRKQPPMKRGKKKKMKVG LDARNFHGGLQHDTDMMSLFAGLLQDMEDRNNEDDPFGRFDVEEGIETDSGIERWLPA YHTDATKVVDPTGGGNTFLGGLAVALARGKSIEEACAWGSVAASFAIEQVGVPELGMD VEGRETWNGERVEERLSGYLERVGLL NEUTE1DRAFT_79694 MDYNALRDEAVRDRVRQAQEFLDPHDQHQRSYRPDIVLMLQKNQ RRLVVNIDHVRDHSSEMAEGLLTDPFNWTLAFNHALKTIVSTVPQARPDQIDPDVLYY CAWAGSFGLHTCNPRTLSSQHLNNMVSIEGIVTRTSLIRPKVVKSVHYSEAKKTFHYR EYRDQTMTNGIVTNSVYPREDEEGNPLETEYGFSTYRDHQTISIQEMPERAPAGQLPR GVDVILDDDLVDRVKPGDRIQLVGIFRTLGNRNTNHNSALFKTVILANNIVLLSSKSG GGVATATITDTDIRNINKISKKPKVFELLSQSLAPSIYGHDYIKKAILLMLLGGMEKN LENGTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTSDK ETGERRLEAGAMVMADRGVVCIDEFDKMSDIDRVAIHEVMEQQTVTIAKAGIHTSLNA RCSVIAAANPIFGQYDTHKDPHKNIALPDSLLSRFDLLFVVTDDIEDTRDRQVSEHVL RMHRYRQPGTEEGAPVRENAGQALNVALNGQSESQKPTEMWEKYDAMLHAGIKVPSGR GSANKKPEILSIPFMKKYIQYAKTRIKPVLTQEASDRIADIYVGLRNDDMEGNQRKTS PMTVRTLETLIRLATAHAKARLSNRVEERDAAAAESILRFALFKEVVQDESRKKRRKT WPAEGEDGMSSDSDDSDDDDGDVATQTTTARSSAARASRASRASQRASRRTPANGASS SSANANGTPGEEEETQGAEEEEDIYDATPRRSTRSSRTTGDSQPSFASSLPASQFRST RSQRSSRRTAQNQDDEEELASGAANLNVDEDEEMDVDGEAAPENQEEEEEEEEEEDEE PAPISEHRLDVFRRALGPLLNSGLFEDDAADVDELIKAVNEKIPGGRRGGEQRFEKEE ALQALREMTERNQLMYTDGQLVYKI NEUTE1DRAFT_145512 MVLIKLNPTVGATDAVASSKHSAVLHRHLDTTFLNMTRSEGNFL ILENDRKIFDASGGAAVGCLGWGDQRVAQAVTNQVLAAPYCATIFYTTRVQEELCRDL VQSTNGAMARAYIVNSGSEAMEAAVKLARQYFLEIDQPQRTRFISRKQSYHGITLGAL SVGGHEVRRAKFEPLLIKNVSRVSPCNAFRGKAPGESDEEYAERLAKELDEEFQRVGP ENVCAFVAEPIVGAALGCVPAVKGYFKAVRDVCDKYGALLILDEVMCGMGRSGTLHAW EQEGIVPDIQTIGKALGGGYQPVAGLLAGHRVVNALEKGSSVFVHGHTYQGHPAACAA ALEVQRIVREENLLSNVRAMGDLLSSRMREILASHPNVDDIRGRGLFWGIEFVADKEN MAPFPSEDHVCMEICQLGLTDKYGINVYPGGGSVDGITGDHIIISPAFNISREDVEWI AATVGRLVSDYFAAKAGTQ NEUTE1DRAFT_79701 MAVGLINGRLAADEEARAEVDVLNSRLEKTSQLTKKIQACLARL DATGKSVRDVAGPLSGETKKLQVLGNSKSPGPSSPYLVHHIDAVIAAIEKLRSPADSK NDEEQIIRMGPEKAGLPNYLASIKRLNKALNDMKASNLRSTQQTVADLQRLVKTGNSQ LESSFDKLLRSETPRAIEPLHYLTKNMPFPVLSQEKITRLGLVNSYLTGVHQQNTGAG SSQESPVIKIYAEVRAQYLLSTLGNLATASTNTAKKKTPEAVYRAGTNGMGTYAQAME GLFLAEYDNICSIFMREDWGPVFQATCQPALVELGRTLRELNSHIKSHITTDCYLAYE IVEIISSLSSNLENRTGELKSSLAAALKPIRETAKSSLVDLLEETKRQVNSLQTLPAD GAPTPLATQTMQRLQSMVNFLRPISSIMISIGDGGWKSAAASKGGATDTIPSLVSFDV GADGQEIFAHYCADTIETLLSSLDARARVLYQQKKAVIGVFLANNVTVIERMINESGL VTLLQSRLQVLDVWRKKATALYTETCKEISIHLFDTVHTNRTARPGSGQGMVSSASIM KGLSSKDKEKIKGMFTAFNSGFEDMVARHKQFTMEKEVRQMLAQDVQHMLEPLYNRFW DRYHEIDKGKGKYVKYDKGSIAAVFRSLY NEUTE1DRAFT_116546 MRRPKRIRNHNLPSRTYVLNGGNSLRDLLSHPPYPRHQSQTRDN GAGADAIRQ NEUTE1DRAFT_61023 MTKQGLKAFRPNTHVYYNRPSHLSQRCSSPAMHGVWEIEQTNIS HLIHLQLRPIDNNRPAEASLIYSTPLSTVRHCISDA NEUTE1DRAFT_99683 MICCEQALTRSTKEPLLACTGTLDKVTFDVGQDGRGLGSLEAIS SVARSSKGTMMPVGEMDRQWGVREIEAKYTSRYKDDKGAAVAIGDDHGFWRRRPR NEUTE1DRAFT_121308 MAEEGRDVLCDTLSHSQCRCLQWAGSQAGIGNRSCTKLVVPSIA GEFGRASMQKLLGSSPRGIDRNDWRGLPGLLVE NEUTE1DRAFT_121309 MQNPCCQQRGLGPQACSVSFSWKIIMCLPQFHSWFKSGRIAPQE QFASFHLLMGISASTCLVPFLSSAEDNSHCPFGNSDQPRSAQISTSFVWSQLGPAGPA KLVQCGRAMSMIGIEIGLQCHRTRCFGCLQPHFVFSRWACVRCFEALWQDVKQKPQNT SEIMRTVSAETEVGFCCSVRSQSDDHSASRNGICCSWLGEAVDEQPNGTIFDYVPGTP GFPATYTGD NEUTE1DRAFT_61025 MSSSPGPYKLVTVNTAPDRAKRLIGRVVEDVKDKYTIIHVGNAA SIEEVKSVVEEHRPNILFTASMWTPEEAHQIITIAKGVVGEDLKTFSLPQGLQVNKGP DTVVEYIEENLPSLLG NEUTE1DRAFT_128885 MSFSRLVRFVPKGDDSKVLIGEPIDSQVDVGAAVRKGGDVEVNV YSGTSVLDAGSPTGNKAIIGRILSPVTAQEAGTIRCIGLNYKKHAEEAKMSIPEIPTL FLKPATALADPYPAPTPIPKHTIESDSADYESELAIIIGKDCKNVSEAEALDYVLGYT ASNDISSRASQFAQTQWCYSKGFDGSCPIGPVLVSKEVIGDVGGLKVRGLKNGKVVQE SPLTDLIFSVQEIISFLSQGTTLPKGTVIITGTPAGVGFAKNPKELLHDGDEFVVEIL PHIGSLYNIMKNEQ NEUTE1DRAFT_39319 MSKRKKKTYSTWDSLVVTDPTTSQAISSLSMGERTGSRVFYYLW PYVLSMPKE NEUTE1DRAFT_128886 MASDGAIKAIDPSTIHQIQSGQVIVDLCSVAKELVENALDAGAT TIDVRFKNQGLDSIEVQDNGSGISSSNYESIALKHYTSKLSNYDDLSTLQTFGFRGEA LSSLCALSHFTIVTCTQKEAPKATKLEFETSGKLKSTSVVSGQRGTTVSVENLFKSLP VRRRELERNIKREWGKVVNLLNQYACIQTGVKFTVSQQPTKGKRMVLFSTKGNPTTRE NIINVFGIKTMTALIAMDLRLQLKPTNTGLLAKVTAQDDDAMTEVRICGHISRPAHGE GRQTPDRQMFYVNGRPCGLPQFAKVFNEVYRSYNSTQSPFIFADIQLDTHLYDVNVSP DKRTILLHDQGQMLDNLRESLIELFELQDVTIPVSHTQPLKSSTFRGPSTAPLGPLTP ARGEDSRDESEEPSSSLPSNRPENGPEGNRPTRRAVNEDSEEAVSDEDNAPNTLSTVS ETKAGPSRSTPANTQASNLLARWLERKSEARKQSVSAAINANDSRREKSPVEKVPQYP KMAGRYDTKDADSDAEQDTAEDNEHVKNISMTQDVVDDMEMDREELPMSSIPPPSQPP IPKGSLLSISRPPKRSAQEEVATITIGGHSITSVIGSSNKRSRLSEGMRSVSLGSSPT KGVRTVPVPSFGGRLTQLFSASGGASRGVLKDLEMTTEDVEMVDEEEKGEENEEGNET EKGVDSDEEALFVSQTKDVPAVEHDDVDEEAEHSARQNSLADEEGNGPISGQSNQSVA EGDDNGEVELSEDAPSECCHHGDDDEKYIDEDEKKAKEEKKVQEMIKAAEKKANEPTE EGEKRSHSFVKGRLKRKDLTFNLIQRLKTNEGDIRSRMETWAKYLPRAGTATSSTQES SDGKPTIGLDAADAEEKLSLKISKSDFAKMKIVGQFNLGFIIAVREASSSHSLEHSPT QQSPAATTQEDDELFIIDQHASDEKYNFERLQSTTTVQSQRLVQPKPLTLTAVEEEII LEHLPALAANGFQVRVDTSGESAVGSRCQLLSLPLSRETTFGVADLEELIFLLGDNPT SSATTAIPRPSKVRKMFAMRACRSSIMIGRALSRPQMEKVVRHMGEMEKPWNCPHGRP TMRHLCGLGAAFGEGTKEKERGWDEWEGVERERVDWKAWVREKREDGEEDGGEGQEEE EEEEEEEEMSE NEUTE1DRAFT_39527 FSLKQLAAAKREGQKKNASGPLRCKDRNSQWHRDCLVYRQLPDS NLSNEKSVSNHVKTFRPAARLDCRGLAEDAEGWGWRAGRAGKHRKTAE NEUTE1DRAFT_121313 MRTRLAGTSEDGHLCPVEAPFPNVDFLLHQQLQQEQDVYANSTT NATASPPIALTSVLPRPSRPSQQSSAAERTSPESPSVRQSPTKRDRNPGPSSISGAGP LNLEHQSPSQQSQNQQQQQQQSFYARSRRSGNFNWKLSHSRNGSIEKPPPFFFSSSFS HSPSTPPLSLGAPANGAAHSKEMEKEEEQKFVGKRPRIRSPWAITFVTLLISILGIGF LALVLNSSFTRHIDPKGCRMSYMRPGYAKFDDFDTEHTRFASKYSLYLYRELGIENDA KVRGVPVLFIPGNAGSYKQVRPIAAEAANYFHDVLQQDESAVKAGARSLDFFTVDFNE DITAFHGQTLLDQAEYLNEAIRYILSLYLDRTRSDRDPNLPDPTSVIVLGHSMGGVVA RTMLIMPNYQANSINTIITMSAPHARPPVSFDSEIVKTYKDINDYWRRAYSQQWANNN PLWHVTLVSIAGGGLDTVVPSDYASVESLVPDTHGFTVFTTSIPNVWTSMDHAAILWC DQFRKALVKAIFDVVDVNRAAQTKPRADRMRVFKRWFLTGMEEVAEKTVPSKDPSTLL TLEDNLSSVIAQGERLVLRSLGASGAVRAHLLPIPPSGSPEAKRFTLLTDHKLDVSSE SGRLEVLFCSVFPMQPGQAVAGFAAQINLAGDSSASTRLACNNAASDVVTLPASLRSS QYPFSKEGEPPKTHFSYLQYDVEDIAEHQYVAVIEKAHTPTPGFVIAEFSDVSQSHRT RHISLRRLLAFGMKFRLPSSRPMVSELKVPTMQSSLLAYNLEISEQNCGKQQELFAPL IRQYLTEPYESKFFVNAREAAVSIHGVAPYVPPPLKSRSTEDGLSFQFWTDPTCASNI NIKMSIDVMGSLGKLYMRYRTVFAAFPLLVVTLVLRKQFRIYDTTGVFISFSESLDLC LKQSIPLVLAFLTFLSLFIWNSSSSATANIWNWANVTSGAIDFHQNDLLIGTQDPFFW FLVPVIGLICVGICTVFNYMTLTLVHILSTAVSLLSFRPGWIRNDERRKALPLPAFYP TSPRRRMVTTAILLVLVSTLIPYQFAYLVCCLVQLTTTVRAQRLASDLRSAANSNFYN YVHSILLLMLWILPINLPILVVWIHNLAVHWLTPFSSHHNVLSIMPFIILVETLTTGK MVPRVNSRFKHFTSVLLFGIALYAAIYGVSYAYMLHYLVNLVAAWLAIVHSTSDNWSV LSGIKHISCTLFDAGSANNVGGVNGTNNTMLAEDCKMRKEP NEUTE1DRAFT_109031 MKRKFGDFSSPTPPSDNKPEPQAPAHLEAQASDSAKRQTIRATT PPAIVAARTRRQAVMADSAVFTDQSIPLNAVEAQLKGLLVDVAKYINESQEAAEGEKE GKNVNEPVILRWAGGWVRDKLLGTESNDIDVAINNMTGEAFALRLRDYCDADPAHRER HSIGPADVGNLHKIARNPDKSKHLETTTIKLFGLDVDLVNLRKETYTEDSRHPQVEFG TAEEDALRRDATINALFYNLHTGKVEDFTGGMKDMERKLIRTPLEPLQTFMDDPLRVL RLIRFACRLGFTIDEETKAVMGDERVLEALKLKISRERVGVELEKMLKGPDPFSSLTY INDLSLYHTLFTDSARSDFPRPPLTSWPIAYQTLHSLSTTLATPGSIYSLLVTSPESA YFAWNLAALVPFAALPDDPDLKGGKPAPPLATQAAREGYRAPNKLTDVITASHRNRTA ILAMRDLVVRPAAGDEGKKMERDRLGLAIREWDSRPGAGANWRLQVLYAVLVDVADRT TGEGKETVEDVLKEWQAFLDHLVEMDLMDAPSVKRIIDGKQLAKALGVKPGKWMGQAL EVVMAWQLRNPTVSDPEGAVEEVRKRKEELGIE NEUTE1DRAFT_79721 MSRDAGDHPAALADSAVLAAAQAPGTPISVSRKGPMFSSSRMQY RSRPVSVAVDPVSLVISECIAITSAIQKHARSPHSSVSAILGGSPNLIQLVPPSGPAL RRDQKKLAGSSAADDSSDLATNRWGLRGKKGKSILDNPLISGFGRLRQELTGVKDIHQ FDALVLLYPFLQIIQAKGTAAPITILALRAIQKFLSYGFIAPQSPRFALAMQSLSAAI THCQFDISDSAQEEVVLLMILHLMENMLAGPGGDILSDESVCDMMGRGLTICSRSRFS AVLRQTAEASMVRMCQIIFEDLKHLEVEAGEESEALDRQTSGDMDSVKLDPVANGTDV PVTPVATELLAAQGNERPGTATSSADPRPSTAVETENGDRSSNGSAADARRSSTSSGT GSTASIDLRPYSLPSVRELFRVLVSFLNPHDRKHPDQMRVMALRIIHVALEVAGPSIA RHPALATIAEDQLCSYLFQLVRSDNMAVLQEALVVAGTLLSTCRGVLKLQQELYLSYL VACLHPAVEIPREPGIDPSLYSGIPQAPKLVKPPPSQAGSGRSTPVPVKDRQKLGLEG GARKPDARQAMVENIGVLVRMPSFMVELFVNYDCDEDRVDLCEDLIGLLARNALPDSA TWSTTSVPPLCLDALLRFIQYIAERLDQAPETEGLPDPEELRERRARKKVIIKGTNKF NENPKGGLAYLKDKGIIASDTDPLCVATFLKGTSRVNKKMLGEFISKRGNEAILDHFI GMMDFTGKRVDEALRVLLETFRLPGEAQLIERIVTSFAEKYCAGSTPEDVADKDAVYI LTYAIIMLNTDQHNPNFRGHARMTYTDFARNLRGQNGGKDFAPEYLQDIYDAIKSNEI ILPEEHDNQHGFDYAWKELLLKTESAGPLVLCDTNIYDADIFNTTWNAIISCLFFVFM SATDDTVYARVITGFDECARIATKYGNSEALDELVYRLSLISTLSSESLSNTQLNTEV QVGENSVMVSELAVKFGRDVRPQLATLVLFRVVTGSEHIIRNSWKYIVRIWLNLFVNS LIPPFFSTEPDRLALPAIPLQSPSNVIDRQKQNETSFFSAFTSYISSYAADDPPEPSD EELESTLCTVDCVNQCHIGDVFANISTLPPQDLEALVDALLAQIPDDNGPEGAVMTVK AENIPPSSPTNGQKARQNTAVYDPTLVYVIEYCTVLALRDRETIELLGKRVIGAIHTI VRDFNNYHPIVIERATYYLFALLQASYDFDLIRVPILLHTVSLFSKEILLKTSSLVLR GLKECTEKPCPLKSEMMTSPDFWVILRTLATNSDSAPAVFDILESGVSGTPSAIIADN YEAAIGLLNEFASMASIGAIEEQRADSTAKKSGRKAPVRPIKQDKKPSENAVVARGIK AIHIISQMTERIPHLMKQSHLESNEAWSAYWLPIFKSLTTQCTNPCREVRHLAFASMQ RSLLSPELTSEDHSEWTAIFGEVLFPLILVLLKPEVFSSDRDGMSETRVQAASLLSKV FLQYLVMLSQWDGMLDLWLKIIEIMDRLMNSGQGDSLEEAVPENLKNVLLIMSSNGYL VPPSKNPERKELWDETWKRIDRFLPELRKDLALDEPEPEPATEPVTEAEPGNSLAPVE AATEAPAQEKNEEATA NEUTE1DRAFT_79725 MRADIPMGTREETHSRFLLTALVSLLLFGLFVWPGDSGSFSWLG SGSEDFQLETVRYYDLSNVQGTAKGWEREERILICVPLRDAEVHMPMFFGHLLNLTYP HHLIDLAFLVSDSKDNTLAVLERELTAIQASTDPSKHFGEISIIEKDFGQKVNQDVES RHGFAAQASRRKLMAQARNWLLSAALRPYHSWVYWRDVDVETAPTTILEDLMRHNKDV IVPNVWRPLPDWLGGEQPYDLNSWAESETALALADTLDEDAVIVEGYAEYATWRPHLA YLRDPYGDPDMEMEIDGVGGVSILAKAKVFRYGVHFPAFSFEKHAETEAFGKMSRRMG FSVVGLPHYTIWHLYEPSVDDIKHMEVANSGQQMEQERLAREKEEKEKAMKEQKMKES FGDATGQWEKDKNEIQNLAMQENKKAEEQPAAGQQGGPADGPANAAPKEEKKEDTQ NEUTE1DRAFT_121317 MVMLAVQACSWLFCDGEPHCLRACPGCLIWPTLVRTDRYRQSCY CHRCCSTPFSQSLPT NEUTE1DRAFT_79727 MADRRRINGPVGTTNPPIYDDAPEKQSEGVKVTRSRPANVIRKM YLKTGVTPSASGSAYLEIESSGNGGSGLKLSCSVHGPRALPRSTPFSPHIILSTHVKF APFATKQRRGYIRDPIERDLGTHLETALRGSIIADRWPKSGVDIIISIVEGEQDRETS KAQGIEAWDTMNALSGCITVASAALADAGIDCVDTVAGGVAALVQDAGNDAAPSIVVD PIPSEHEKVLAACCIAYLPTRQEVTNLWFKGDLPASDMDVYTQLIEKGIQASKSANRV LVDCLNETVG NEUTE1DRAFT_94447 MFRQSTSEGSSAYQPQRFLNAIRPSHCDLPAEFQQKSARSTCQT LTGISKLFPPEVDHSILAVSRRTSERSSVVAAIDWHPPLLLPFIWAIAKDQVAGSSTY CIRSWSSVDRSTKIV NEUTE1DRAFT_79731 MTGRWGVILDAGSSGTRLHIYRWKDPEKALEDASPEELRSLPKI TTKKKWTKKIRPGISTFGEKAGVVGEDYLKELIDHALDIIPADKIHDTPIFLMATAGM RLLPQVQQNAITGAVCTYLRKNTKFSLPDCDLHIQVIPGETEGLYGWIASNYLLGGFD HPEQHAHGQGHHTYGFLDMGGASAQIAFAPNSTEAQKHANDLKLLRLRTLDGSPVDYK VFTTTWLGFGVNRAREAYVQSLSDLYTTSDAGELPDPCLPKGLRLSLDGTPVSKPKKG ETTLIGSGAFDECLRKTYPLLGKDKPCADDPCLINGQHVPAIDFDVNHFVGVSEYWHT THGVFGGKENEAYDFTTYQKRVKDFCGRDWNSIEPSLDAHKKFAVKDAQEACFKASWL INILHEGIGVPRIGVEELPAPGLNASKGAIETAKQKGFLDPFHPVDKIDGIEVSWTLG KMVLYAAGQVPPKTGDDRFPVGFGTNIPSAGATELPPDFQYAGSTWTPLSGGASHNDS PYRPAVNGTTDDGSDWDLEAENLLGKTKAKTTHSLLIFFFIFLALLFFFRKKDRRMRF YGRVNNLFHKPRRSGLFGKMSSSGHNNGTLSSLTNKLFGRRSLSSGSYERVLEEGEAA EFELNDSHFRNSASSYFDHNNDLNGFYSDSSDSSSSGGGRSKLGITSGLATPKLNLDG RFADLGCGNGLMTPGGSALDRAGLVVRTDSRERLGLTPTTTTMSLQGRRSRAGSPTRL KSPLMSPLQG NEUTE1DRAFT_145523 MSQPLFGLIPAGQPVIISPTEAPSPTSFLYAIPPTNPNSPPGSA ISKPFGHVVVFLLPGVVLPPGTAAAIYLVTPPSPALGQTAPNFKFLGGIGPGKESAIF KVGPGAGGTGGGNENVVIGVSVEDAESVASRMTATGTTTPAAAPTSGNGTEGALVPVS AARQQPSTLVLAQRIIKNAFNFLSSYTGSTPGQMEVVPLKAFEEWWKKFESKVRTDPG FLERDDDQ NEUTE1DRAFT_79737 MTSRLSTLDLVAKVDAFPYADRDPEAYAQIMKSFYTFVWEDQQG QVPIGYVKLDIVDALNKAPATLKGQLGLHIDVSNRTVVLFRDAPDKTYEERTRLVGEL TALWREQEAFAILKSWRNELWPVYGRSKELVFSIERAAMGLFGTTRYGVHMNAFIRHQ DASSKYDLRIWVPRRSATKSTYPSMLDNAVAGGLMTNEDPFECVIREADEEASLSEHI VRNNAKEVCTITYIYITDERAGGEAGLIYPECQWIYDLELPADGSVVPEPKDGEVESF SLCTVEEIQEQLAQGMWKPNCAVVMLDFFVRHGIYTPDNEPHYDAFRDRAHRHIAFPG PHQARIHRAPSAEH NEUTE1DRAFT_99701 MSFVKRNTVLSSPRAGLSPSAPQQQQPKQETQLAPGLRPSPTDG RLTTSTGTASLDQLLAGHGGLPLGTCLLVEEQGTTDFSGILLRYYAAEGLVQGHQVHA VAFPPEWRHQLPGLASPDKKSKSASPALAPEEKMKIAWRYESLGNNANAGASTRGDSG APFCHSFDLSKRLASSESKGTLNPISVGGAPSLDRKSVGTASPLKMILKQLRAKLESS GSNAIHRLVVPSLLSPTLYPPGCAQPSEVLQFLHGLRALLRLYSTQLTAIITFPTSLF PRSSGLVRWIEILCDGVVELIPLPARLGAAPPPPSGSDPKANEQPQGMFKVYTLPVYH EKGGGGAESGQFRENLSFSLSASKGLVIKPYSLPPMLEDEQEKPSAAPKKDGLDF NEUTE1DRAFT_121324 MASTEDDRQVRISKIAGRYLVFDIDDVAYIRRQHGICAVFTGTM PQNPTQNVFLGLPLELYAEDAKMLLDRKVAYIADDPLEHLTQLKSMDDETRKSYLQSI KTQRRTAQLVFNEAKAQSMAKHKDKRKPKQPQPPVVVPTELPLSASSADDVAAAVDQE EEGGAESLLESSSSKPVKTDSSTPPQKPLVKEKLPAITPTTSNAMISNGTSNPDVENH TALPLYSYLNERGYFITPGLRFGGDFSVYPGDPFRYHAHYMANSYGWDEKIPMLDLVT SGRLGTAVKKSFLMGGQKPATENSEAGELRAFCIEWAGM NEUTE1DRAFT_121325 MDDSVFLPGAATAAAALAPTSVPIPVLNNASAWHNFTLWTAQHL GYAFNVTKLAPSLEDLVWAGPRMVKKLGKLGGSYIFYPDAIDGFGQRVIAESTDPAAF FVTTTPDSTTAADAARAILESASSSAAATATGQESAAFASRFTMEGARGLGSVFSYAT SKWALACIVMAVVFNRTHIFAATRRRLALRWTVRLALRLPVILVLAWQVERILESIQC QTSPDFANLRWGNASKSSDLMFSEKNNFLHGLSSTLLFGATDKQSCQAIRMVPWDNNS NEQPELVGSLSRLWPLFMTFCVSQFIEVLSATVQGRPVAAETGMTLFEHSLAFAEADA AISNQLGWGLFTGKGNSSQALTGSAVAVTRSMILRRVNTSPEVLLVAFLSAMSHLTSQ ILGVFNLQSKFRLINTGFWGLCFMGSIVWGAVTFSIEDSSAQALLRFPTVCIIGFVPH VLIICGIFICSVIYALTMALSAFAVTEGALDAGQQRPTLRDRLARAHANMQANGSLSD IRIRWDMDFYTALLRAGFGAITMASEAVYLNEDHRVNVKRYTWLEDERFREIEELRMQ WLGGGVSGSRFDSVGVIGLVPVKEDQANATSGYARERAAQKIPRNSVSARRLRDGVGA SERSGRWLLAIEYVMHISRLIITTSMLVIIKLLSYFGIRNPPRWLRALALQPPKPETT TTGRRSGRRGDRGTTAIWVDASDPNSFPVPRSERVDVEAEFRRRLQQPLGSEQPDASE ADIDSKLYSWFLNGGWWGNTDTSGDYVPPDGGSGLDDPDFDTTSIISTTESTSLSDLD AWESDSDNNHLQDGQRTPTQSSPYPNNNSDQNPLQQSWAFSRESTPSQVADLPLAASD LARLLNPQSPEERDEAITLAAHLSFEEGIMTRSRFRQHQARQRVKVLLSGAQHRSLYG YGRGQSTQMMTPEEEARRLEQILLSRRATAAPTEAGDEGSGSGNGSNGAAATAGDWAT GADGLGSEGPQCVVCQCAPRTIIVWPCRCLSLCDECRVSLAMNNFDKCVCCRREVISF SRIYVP NEUTE1DRAFT_145529 MSGAADREAVFPTRQSLGIMKAKLKGAETGHSLLKRKSEALTKR FREITRRIDEAKRKMGRVMQIASLSLAEVTYAVGGNIGYQIQESAKSARFRIRAKQEN VSGVLLPAFEAYQAEGNDDFAMTGLGKGGQQVQRCRETYARAVEALVELASLQTAFVI LDEVIKVVNRRVNAIEHVIIPRTENTIKYINSELDELDREEFYRLKKVAAKKQRDNAE TDAQMKAKKAEQQRLALADSENAEGEQTENTPADILAAEEDEDVIF NEUTE1DRAFT_145530 MSILSRVSDRRPDLSLVSEEDFPYEQDIVRNPGSTKPWLAYIEY KLQKGTVQEQAYIMERACVQLPRSYKLWKMYLRFRTKHVSKLNAAIFATEYQKVNSLF ERALILLNKMPRIWEMYLKFLMQQPLVTHTRRTFDRALRALPITQHNRIWALYRPFAN SAEGETAVKIWRRYMQVHPEDAEDFIELLVAVGLYTEAVHKYIEILNNPRFTSKNSKG HYELWSEMVDLLVEHATAVETGHETGIDVERIIRSGIERFADQRGKLWCGLATYWIRR GSFERARDVFEEGITTVMTVRDFTLVFDSYTEFEESIISALMEMASTRAEKGEVDEVA DFDLDIRMMRFEHLMDRRPFLLNDVLLRQNPNNVTEWEKRVALWGDNKEEVVKTYLDA IEAIQPKKAVGALHQLWTNYAKFYEAGGDLSSARRIMEKAVKVPYKSVAELADMWIEW AEMELRNKCFDEAMKVMAKAVQAPKRSTVDYFDETLSPQQRVHKSWKLWSFYVDLVES VSSLDETRKVYERIFELRIATPQTVVNYANLLEEHKYFEESFKIYERGLDLFSYPVAF ELWNLYLTKAVDRKISIERLRDLFEQAVEDCPPKFAKVIYLMYGNLEEERGLARHAMR IYERATRAVADEDRADMFNFYITKSASNFGLPSTRPIYERAIAALPDAEARDMCLKFA DMEKRLGEIDRARAIYGHASQFCDPRTNPGFWTKWDQFEVQHGNEDTYKEMLRIKRSV QAQYNTDVNFIASQALARSQQKRMEEEAAGNGGGEMDAEVADAMAQLERQARAPVGFV AASEGPKGGSMPVQPVEVHNPDAIDLDEMDE NEUTE1DRAFT_145531 MSEQQQNKAPITIDTTRANTTHSEQQQQQPVQHRNSETATPTFT NFSPVSADSSPISPADRRMSAEWDASKVPPSRFQKRKGSIYAVPKTRDGHVDNNYATA FHQKHMEKGYQNVK NEUTE1DRAFT_121328 MASLKSILMSFFALAPLVAGHGAIIAAVGDAGGAGMALGIDPST PRNGSKRTPFQVDTTRFRGQAAKTVGQTIQGGANKVEEGTQAIMAATKDSLPQVTAGG TVKMTLHQINQDGAGPYSCMINSDGQATEWTAIKVATNVPGRFGLSRATTTDFPLVAS IPADQKCTGTVAGQDNVCLVRCQNPIAFGGVVPVQMAGGAGAGTGGGNTDTTTPVTNA TSAAKATKRPLARRYFAKRSDIEKDSVEDVEQWQH NEUTE1DRAFT_99708 MELEEQGLRRKCEDLRRTLAEKSKKLEQAQELYNKLKQKVLLSQ PANDPADVMGSRLGSNPDRYDLQGQNAGGIGPTTNYFPDDSRSSRRHSGSGSVDSWNK PMDPQFLVPGTPASHMSIGEPGSRFSSMRDTLSNTLPAIPRSGRYTQSSRANNHATAS NIGRTTSASLTSTELRGSRRHDESVVPRTSTIRRVGGV NEUTE1DRAFT_39516 MEQDLACNVNKCGAQLTGQALVTACRSVGNSILSHAICMDCASR HGFTSQGPYTCPVCRQPLNESETGRQLLRPSEEWKSVILCGLSPTVVMECAGRALSFW SYQMTNQMSVLKPFFLLFIQLF NEUTE1DRAFT_128903 MTEIVMDQTPPILQGPSDKERKYDRQLRLWAASGQAALESANIL LVNSGAGTVGVETLKNLILPGIGRFVIHDNALVDEADLGVNFFLDDSCYGKPRAQCLA SLLGELNPEVDGDWSPKTKNDTLGSLLKKSPLFTAIMYTYPINHVELESLEQYSKEHK TPLIAIHSTGFYSYFTIRLPGTFPIVDTHPDETATTDLRLLSPWPELVEFAKTMTKDI DSLDNFEHGHLPYVVILLHYLDKWKATHNGTYPSTYKEKTEFRQLVRDAARTDNPEGG EENFDEAAAAVLKTVAPFSLPSGLKEVFEYEHKGPIQERSTFWIIADAVKAFYTNHGS LPLPGNVPDMKAQSKVYVQLQNIYKAKARKDAAEVLQTAQAIAGTGRKVDPAEVDLFC KNAAFVKLINVEGGGDTAPLGSKERLQQVLRQEMANDQVAEMTLQPMSLFPTYLALRG LAHCGNASSDKTQIVEAVKSLLSDNLSSEEYGDLNEKLGNVAEDLARAEYGELHNISA LTGGMVAQEMIKIITKQYIPIDNTCVFDGIRSRTQVFHV NEUTE1DRAFT_109049 MQLNDYLYHKPKDCLPFVARTMVSEKPSREQRKAGPRASMRIWL DGGSVGKSFRHEASKDGRLIWTPMVWPSSSTHPQIESLVISLVCKSRHSISHSTTSIS PPGTHTQQLQGIQGIDQQTSHPIRPLVLVYPPALTLAWPSTAKRRDSKFFRIVMTIAG LSRPPTATTSGSTPSFKPSSPSLTLRRRGQSFHFSPAVAPPVDPFTAPLRRLGP NEUTE1DRAFT_116555 MMPLRNAWVFLTESDIEIPHLTRYTGARGQSTGVVYSPILYLLH PVNKIDGAASEFLHIQR NEUTE1DRAFT_99711 MAPSISIPTQGGMFHTFQGVTPRKPAVDSRDSVKSNGSGAAKRI TTPHACAECKRRKIRCDGQQPCGQCLSSRAPKRCFYDKHRQRVIPSRKTLEALSQSLE ECRSILKRLYPHQEVHALLPLSRQELLNLLDRPVPDSTANGLPSPPLNTTPMADSETP TKSENILEQIPTRDTEWDEERRERDHIPVEADDINALSLSVDRQASFLGASSIKAALM VMLKVQPGLRSSLAAPLNSIEISHNFPAIRQKSSTQKDPQRIPWSWKGQTLIDAYFKR VHVFIPMLDETTFRADYLEGQRFDAPWLALLNMVFAMGSIVAMKSDDYNHVNYYNRAM EHLPMDSFGSSHIETVQALALIGGYYLHYINRPNMANAVLGAAIRMASALGLHRESLA QGGSDMVAAETRRRTWWALFCLDTWATTTMGRPSFGRWGPAINIRPPEFGVNAGRDSS QHAGILPMIENVKFCKIATQIQDMLAISPLLRTEDRCNLDGQLVVWYENLPWLLRTTD PCAEPLYMARCIMKWRYQNLRMLLHRPVLLSMASSGLNPHTQACDSDLAAIETCRELA AATIEDIGREWTRNQMSGWNAVWFLYQAAMVPLVSVFWQWGNPRVPEWLKQIEAVLEL LEAMEEWSLAARRSREVVLRMYEASRVIQAQGAAAHQLQQRGSQSPHSLNSTTASLGS MHINNDLLMGSPGSAELYMTPIDLEPVEGLGMTGVLDHGGMWDLDGMLWGPSPSPSVH HGHHSTHPDDAVPTVAEYAAAFPTADVVDGFLQHHSAMEFGNMMGHHHHAGAHAGQGQ FGVGLVARGYAQLARRRLEGPKYHHIRWLDAATGLT NEUTE1DRAFT_61082 MSSAVAKRLAGKTIVITGASSGIGRSTAFEFARTAPNHGLKLIL TARRVDALEQIAKEIRQEVGEGVQVLPVKLDVSQPEEVRGFVGNLPEEWRDIHVLVNN AGLVKGVAQAPSIAEEDINVMFATNVTGLINMTQAILPIFKARGSEGGSGDIVNIGSI AGREPYAGGSIYCATKAAVRSFTDALRKELIATRIRVMEIDPGQVETEFSVVRFYGDK SKADAVYAGVDPLTPDDIAEIVVFVATRRENVVVADTLVFPSHQAGAGVMHRKST NEUTE1DRAFT_145535 MSSSAADPSAVRLNSDVRQRHPTASATSEKVEDTSQQQKQQQQQ QSEANAATSRVKKTYGKTPDGTVFVVPTTHDMVTQLLDPREPKNLSDVAVLAIIALHF LAAYYLPWGVKRPLFAAIFMFWRLAYNVGIGYLLTIQSKYKLLVTWAKRWKLFENPAT GKNPRPWLYNLLKKELETKIPQDYKFEEAPIEYNTWLTFRRVVDLILMCDFISYCLFA IVCAHKPDGEGLFMCFARWAAGITLVGFNLWVKLDAHRVVKDYAWYWGDFFYLIEQEL TFDGVFELAPHPMYSIGYAGYYGISMMAASYDVLFISIIAHAAQFAFLVIVENPHIEK TYNPPQPRVRCESEAGSQLQEFASEHSVPSTTGRHDNTPLPVHNLIGLKNLDFFRITD VAIVLLCAYLAVVTMVTPSTRFYQALFVLHALAWRLWYSFGLGVILTMQSEEKMFTRH FLKYGESVGEAWRQWKGIYHLSNCLCHASFIAACYKMYEFPADWTHGWALLKHVVGLS LIALQVWTATSIYESLGEFGWFYGDFFFDSKRQLTYTSIYRFLNNPERVFGTAGLWGA ALITWSRAIFLMALAGHFLTLAFLAYVEKPHMQKVYGRNLRDDAGVTKFIKRSLPPPV TEWQQSIDKVLDETKHFIDEFVDAARSRLATGSSTIVKDTSALFNKYPARLTLSKISA DLAGYDPKHYGLSLAGTRVVGMNEKATGKESPNARVLKDVKTQAFEYGAPIRVKWTAP ANHSKKDWVGLYMVTDNRSREVTEVPSLGRWVPTNPGEYDTTIDQGILVWDQPVEKKS EDTDLVEGEMIFEGDKLWWTQGVFEFRYHHGGGHHVMSISEPFEIQIPKFDDEHMGVD ISGEVGERAVEAALLPVIRNCLDRDPDIAPSNAEERFGGHVERDGKYARRVVYAIRHM FGIDFAPAVVLADGNVRRLAWRICHAKEVLAPFSMSHTNGRTTPVDSKFAE NEUTE1DRAFT_116556 MAAVQQKHDWADDDELEETSTELPPPQKITNKDGSTTIIEYRLN DNGQKVKTTRRIRYITHREVVNPRVAERKSWTKFGLSVKDGAGPAPDTTSVGENIIFK PSFNWRQDAKDESKDPNAQAMKDKLKDKKVKCRICNGEHFTARCPYKDTMAPIGEAGA AADVAASAADEAAAASQGAAGAGKKGSYVPPALRGAGGAAAAGERMGGKYGERDDLAT LRVTNVSEMAEEQELRDMFERFGRVTRVFLAKDRDTGLAKGFAFISFADRSDAVKACA KMDGFGFRHLILRVEFAKKAA NEUTE1DRAFT_128907 MSEPHHYHHHDQGEEVEGAPSRQEAGRLNKRDSKLGLRNLFHRN RSATEAERMSTVAPRDTPTRSGGLRASIASVSHWPYGLHHDNGQAQRSDVTLSGSAAG SPLASTFPAQTLKHKKSASAVRGHASPRASRGSLAAWDPPTLCQVYPQAIKQARLPAC TASAEAILRLHQHKGIFSISDAFGSSSTTAADGAAPSTAGADRSERGKRKHRRNTSGS VALKLDWTTKFFVLISGYLLQYTGDGPLDRLPEKILPLGKDSAAFVSDVIPGRHWVLQ ITATADSDFAAPASHASSLLARLPFRGDKRQASNLLMVFENAADMESWLATLRREIEG LGGKKKVSETGNTHFDNEASELRSQTSQRTLVVDDYVGAMAGDAWDGRQSTSNLDINM DFTERGQSFDEAASTASVISHDGRQLDGLRDSTQRFSYLSSGQRTALTSAGSSPACSP IHDSFASLQDSIPELTALDDQPRPRPRPNAAAISDRRQSLQTSNHLLEMRLAASHPLS SLSTWNFDPSSPSSSSKRSSQRYSLARSTKSLPEEEGEPSSPPPPLPCQMRGGSRRPP PSALCLNSRPLSFVVDQPSPESPSLDRNGVSIEVADETAPEPESLFSSWGLPDATKQR CDSGEDWENLSPTQPVTHGSPEKRSGYAPPSSVAFQDMLRSTPSIGDYPGSPSKRADR RFSLQSQLSERSSEPDRSFLDLSDLGDDLGDLPQISSTSLSKQGSRPAPHFRSMSVAN GLGSRRNKTQLAEGPPPAPPPNRALPPIPRKSSLQVCHSAYSP NEUTE1DRAFT_121335 MTLILTPPKGRVTAATIRNAVTSSVLPIIRTSHHHGRLRPFSHT SASHADFTHAVIGGGVVGLAIAQQLARRSSSPSTLLLERHSAVGTETSSRNSEVIHAG IYYGAGTLKTELCIRGKNLLYELCEKHAVPHKRVGKWIVAQNQAQREALQRIHDFCVN EINVPVRWVSREEAQRREPAVRAEAGILESPTTGIVDSHSLMVCLQGRFEEAGGTVAL ASAVTAIRPVGDANANGKEGWELTVRDTTTGESSTITTSTIINSAGLGAVDIHNMIVP SSQHKQMFYAKGNYFSYPSSSPKVSTLIYPAPEPGAGGLGTHLTLDLAGRIKFGPDVE WVDSPDDLAVNSARLPEAIEAVKKYLPDLDDTQLQPDYAGIRPKLGKAGAVAQGKGFV DFVIRKEEGYEGWVNLLNIESPGLTSSLAIAEKVEGLLYG NEUTE1DRAFT_79775 MSLNPDASLSPSSSTPNLEIPKSIGMSSSIRTHSSLGQTRETSP SPSPRSGSVSLQAAATLNAGLQRKDSLRSSSISPLPASQAPKVPSAGRRQSQVLMNLQ MNDPSIPAPGEMISDSSKPSSVSSPQPIPGRPQQHSRAPSLGELHQELENEQEYQVNR LLSEIRRLQSQLQRQQSSSGAISDDNSGRDTPNRSSTPQMGGISGTSIPKSPGFLPHP RGSFDFPRSSNDLNKARSRTPSRGASPRVRATSISADSMDQWPLGGKDESAFYQAETQ MLIRENQMLRHRIRELERQLVESSGKDVANTHEPTHASGLHRSTSISDVERPKMTAPA QQHQEEAIVE NEUTE1DRAFT_61097 MTVKDDVYHATDHLILPKPRRRYGTSVHPSHWQLRSMVGVEDNN VVYFPGGRDSTEVQRLNITTQEIETIKRLPFQPRCLVARHGWICCGGETGEFTAIHVR ERTPQNDANAQLNTDSDDQVPGQELLDELSRDLDDEDSVEVARALARAQIITAARGNT NNDNKNLSVTSKKFGKQRVNCITLWFPPTLVPAAAGAYNEPVAVLSNNDNSVSCVSLW GEEALDEITYPDCVNRAVISPDGRLLIAISDDPYLYVHERVEKDEPWMNTYRLSHRTH QWVPLRKVPLKSQSKDDRSENRGSFAACFSTTGSYLAVGTQYGIISVFDVAAFSVPGL DPLVTTFGSSRPNAELGAIRDMAFAPGSTDLLAWTEDRGHVGVADLRTGFLSRQILDL DKRDDYDHVTISDRSAIDPGLLLLAAARTDDNEASASASPPSRLRGIDLLAALEARRE RSNREHWDPLESSSFTPRELEVLDAIRAERRQREAATSGGGPTTTERRTNTSIWRDDP SSRLGGAGAGGDTQRSSGSSEQSRERGSGLRTARDTRDLSTYREYADLLYGIPPRAAT SVRASASTGGGAAGSGSSGRDGRNLRASIAALREATDEATAADHLRVITAITRLDRGG DRTTHPPTRPAGSGSGTSSSQAQTASEAAAERRALNLSSRIIANPSLLSPTSRNTTSN TSVNAAATLQQLYYTLHLEDTLPFDSFSRTLPDLDSTRRLRGAHASLREWDDHPTRRA FGALYMSRREPDPHDTAGLCWSEDGRLLFVGAEDGIYEFHVNLLQRSLFPSMDYR NEUTE1DRAFT_79782 MMSTRLTRALPKASIATRAAGMLRKPMTPAFARFESTETNGKVT GSVIGIDLGTTNSAVAIMEGKVPRIIENAEGARTTPSVVAFTEDGERLVGVAAKRQAV VNPENTLFATKRLIGRKFTDPEVQRDIKEVPYKIVQHTNGDAWVEARGQRYSPSQIGG FILQKMKETAESFLSKPVKNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAA ALAYGLEKEQDRIVAVYDLGGGTFDISVLEIQNGVFEVKSTNGDTHLGGEDFDIHLVR HLVQQFKKETGIDLSGDRMAIQRIREAAEKAKIELSSSLQTDINLPFITADSSGPKHI NQKLTRAQLEALVDPLIQRTIEPVRKALKDANLQAKEIQEVILVGGMTRMPKVAESVK SIFGRDPAKSVNPDEAVAIGAAIQGAVLSGEVKDLLLLDVTPLSLGIETLGGVFTRLI NRNTTIPTKKSQVFSTAADFQTAVEIKVFQGERELVKDNKMLGNFQLVGIPPAHRGVP QIEVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSEAEIEKMVEDSEKYAEQDKE RKAAIEAANKADGVLNDTEKALNEYADRLDKTEADAIREKIASLREFIAKSQSGEQAI SADALKEKIDDLQVASLNLFDKMHKARAEAGEQQQQSTEGEKKE NEUTE1DRAFT_61104 MDITKFVVSHRENALLYGDYATYRAQLSRKLLNSRKKLNIATKS RGKFHPKAQITAEQISDNHEYLHLALLTAERTWAHAMSMKASHAADTKGMTGKTRSHI VSRLEKGARTAEKLADVLSQDAVSGASPNDILEARAYAALLRGAANFEKQSWEDCIVS YAVARMIYSALSTSTKGDIYKDLLTDTIDPSIRYAAYQAKIPRTQPIPSIAKKAFAKA DDDLVDRVKAVNPSVLEQGDVTMTGVTGAEGAPTTITWRSREVKIEDASIAVAWASVQ TAKAKLTERLSSASSLAPKDKAAAYDDILAATQDAVDATKQAIDELRGEGVTQSDPRM QSLQITRTAVNFEMISWRIGRNRVLAGELDGARATFDDLSKKGKKAQEAEQASERPKE EAPGRQIAKLKEKVVLYDGTLQSLETITELPGVANDQELFTHLQATSQYFTALKSLAI ARSHSLAHNDANALALIRHALIQTESALPILSSTSSSEESSPARNILVSAADVQTLHS LLNGEIQRARALVEISEHNKNKKPSSGSSSSNGKPLIGQLANYPSSGQVNLENIVVYP PRLEAIPVKPIFLDVAWNYIDYPEKIAAGGDKNQQQQQRTEEVQEEQTQEEEKPKKRG WFGFGR NEUTE1DRAFT_79787 MLERTAASLETCSLQRVLPVARTSLKSQRQLHTGFWQHGASDLE LLDAYQTLLRDSTPTTTQNLGSSQTTKTESRIEPMKASMFLLDFLYPSGTAALLRNIL PIRLPSLESAHKTRRNATRLYSSAINNNSGAAQEPQEKPQADDSFMNADLFEQYWNEQ ESGPSEFGPMYEQIWTAYNKLEPNEQEDFKGDVMVNFAQSGRSVDAWRIRELFATVPV ERWTESIIKAAIKAELALDDVDNAKVLFSDALKKRGLHQGLDDVMAHAFNTSSWQLAL DIWKLFSSFKGSEKLTVEFKTLASVPGFRDKLAEFVEFVAPKVPATKAKKSAKTKSVK TKSPADTESTPSSALAVDTEIADFVRVLANKSLRLFSPTDAVVLLRHAADPSAYEAFI KLAIEQGKTRAAAEAYKKYRQLPKAEVRIWVLRAMLDIFYPTDAGGMELVLKDWYSRY DALEKRVYQKFLAFYAGRGDTKSVTRLANEYRKHLPAEAARDMSLISSLMHVHARNGN PEAARKVLEDCLEQTGLGKPKTVHWNILLNAYAKASDYSGCLEAFERLDEVGKPDSYS YGTVMGMAAVRGDLSFALVLFNAAKADGIKPDVGMVDSLIEAYCQNDRFREAEVIVKQ TVKKGTLPGKYTVLFNTLLNHHARRRDLKGLYNLVEYMHENKIPSDNETYSHLLYGLM YCRQSHHALNLLRASEKDNLFKPAPEHYVLLMASFIHSREPHMALKLNEILSKRQEPE MAERTTRVIDALGRWQQLPGHQRWQKDQKYYITKAINLFKQTLQKTGKGQQEAPRPVV NQFAHVLFILTQIRDFGTLEEIMDLYTTQFPSAKEPSNLPIRLLNNMMLADYHERKFD RVREIWNQVLRKTTLQAFGEVAEEPRDTGAEGAETPAQQLVGPYKWILCDPIKTMQRV FYDENDADGLMAFVKDVRSRGFELDSKNWNYYVQSLARMNRYREAFGECEARLMPNWT GWYRVRARSAMKNQLPLELRRLGSFPQRPRPISHTLIIMAKKYMDLENLANWQKEAAN QLEWVNAECPLTIKAVKTMIRADSDLEKSVFNETEDVLATEEGYAERYDEEENWSEMA EGELRETAKREQAFANQLMSKRIV NEUTE1DRAFT_40734 MGSVMQEEKPKMTRVLALHGYGTSGEIFRSQTAAFRFKLPKETY TFTFPNAPLPSAPTVGVDSIWNQVPKFYGWWPVSSSNISEIRTSHDHLEELLSSEEGP FDLVMGFSQGCTLLMSYILYRYQEHMLTRPSAGEKFELPFKGAMFICGGPSLHVLQDL GVDVTEKAWKVHNATAALLHKRTEQLKFKADNPDTIKRGEGLWDEVGDLMHDPTSKKE LDPEDVFGLDFTDERMRMAVGDLGEIVPTVHVYGGKDPRWPASVQLAQMFEGGMNERF DHGGGHELPRTTECSMRMAELMEKLRRKVDGLEREEQ NEUTE1DRAFT_136612 MPIKRKDEYDEDACFTRSRGRTRRPSTIGTLSPRTRSPVNRSRR QSVRSDHGTGQHGRESVRSRPRREPDHETSDSETAISPRTRRRRVNRSPTPPHRGSVI HDVYYPRPRPRSRTTPYHHLNYTDDEREEDTYHNNYPRSYHRRAHSNPPSTSYHHQSR RPSLNLATLKSSPKTASLLKAATRALEAGAATALKLRKDSPSTPLFSAQSGSKIASAA LGAAVVDGLIEHEQRRHPKLMRKGGLRHHVVKQVVQRGVAGLVGGILEESLAGGGNGG GGGGGDEKGEGRQKGGRSGRKESVKRVAKGMVRDYEKWEKGNADGGKGTGGKKEKVGK SSERGRRESREERERDTGGEERRRERTRERERGREEIVRGRRR NEUTE1DRAFT_128914 MASVSPTTVGATVTMEQPSIVVTSSPDGSVAVTHEPGASLLTET CATPEYTLLNLGPSAIYAPFVGCVDTRQDCCPFQPQSSVEIGFNDVYPETSDSEQAVL ERCPADYYSISASCCPSGYTPWTTDLGGQTPCFSVLQTAMTPPPITNANTVSSNGANP TVVVSDVVYAIGYPVQVPINSFPAEAIAGTVASVLGLLAIAGLALFCYTRRQRREFLN LGNELNDLYGPKRTPEPTNTFRSGVQISGSSSFKDDRTIVEDGAYSRKSKESKRSQQQ HSPFDGHQSMAPPVNTPEKDSFHRTSIHELQSEQQGLNQKSNLQVEESDQQAPQHEDS HSHVNASEHDLPIPSPFALDKPQLPALSQQGELNADDIVNVSNFGDETFASAHSSYDS SLFQALTVGTARPERLSRAYPKVVYVEQKDDGKSLSGEAAK NEUTE1DRAFT_145545 MIDAAESDITVASALAGGAPEPGATSSVSPPPPSGSDRDPNNLN PKNPKNPPIPADFEGEGLVKPPFPLLHNNASADAEAEGSRKDKSSRAGAGVGDNDDDE PAVAKPFHRTTSPDPTQRSGALAPEEEEEDVGRLDGTSGERPRSRLKHKMHKFSLYET ASRFYMVGGDVTEKRYRILKIDRTAEDASELSITDDKTIYSQKDMNQLLDTIDDGNKG TGGLKLRCTTWGLLGFIKFTGPWYMLLITKKSTVAMIGGHYVYQIDGTDLIPLTSPSF KTDQRNTEETRFLGILNNLDLTRSFYYSYSYDITRTLQYNITREREALLNGQVGPMED DLNSMFVWNNHLLQPVANALNTPYDWCRPIIHGYFDQAAISIYGRTVHVTVIARRSRF FAGARFLKRGANDLGYVANDVETEQIVSESLTTSFHAPGPKFFASPAYTSYVQHRGSI PLYWTQDNTGVTPKPPIELNLVDPFYTAAALHFDNLFERYGAPIYVLNLVKARERTPR ESKLLDEYTRAVEYLNQFLPKENKIIYRAWDMSRAAKSRDQDVIGTLESIAEDVVLTT GFFHNGDGHTSPIRVQNGVARTNCIDCLDRTNAAQFVIGKRALGHQLHALGILEDTTV NYDTDAVNLFTHMYHDHGDTIAVQYGGSQLVNTMETYRKINQWTSHSRDMIESFKRYY NNAFLDGQRQEAYNLFLGNYIFAQGQPMLWDLGTDYYLHHEDPRQWLEKRKRHYINWY TPEFLKPRVLPPYPAIKPNSPQSKIPVSRYDDYWLEYYRPSTLSSFLKMFAYRMNSTL RYIPFKSTQDGRYDLSPFRVRGEVGGTGDGDHTHDRKKVKKEVTFATNRADHFSGTKH LAEDAADNASAMNEKAALAAADNNGGNAPGHHRGLSLQRWLPGSGTKEAATAANTTSA TGPSDPTNNNNNTTTNPSRTLGRESSSNNNNTSESTELGDYSYRPFNNYHSNHHQQYQ QTPNNRNSNGYTTTPLGENKHLSAQEKTRAAQSTFTKVVHSSLNPTVSAAEAEDYARY VSHPHHLPLVVSSEIAPGEVEPEYQEYVNGSWQYPASIVVEEEDEEVNGGMVVGSYGD GSVGDGLGITGYASSVYSGYGGYGTPDGGGGGGNRGGAPGGVNGNINGGGLADDDKAL YIEMLKISENPLTVTEEDAQKKRYKAYRKWLRGKSLFKQQPVD NEUTE1DRAFT_121342 MALREIYTTLHTSVRLPSNRKLNLELISSAHGSKLHNRTHTNLR QNATLRDGMVRFHSHSSRNLTSSTSGRPTRQQSTLAVLACLLLKDRIAPDQDSTSADE NSSENEDGEDSLSPGTRLARSIARAISPVFPQKPNSHSNDLDDSNTQLRAHLTTQCLA AADAGFKVLKLLASRGPENLDNPDVLVTLIAYTNPAEEWTTPELAARATLILQQHLDS AEKSNSKKQGFIITHILPIYLRPLFSRSSPSQPLITPSGRPSAYGQNPDARPSGLPDD SSKTKPWKFVDLRAITVFDWTVSEADEESTITNSWPLFIPVLLTLADDNSTSVRRRGL VILKKFLDKFPDIILNQTGLNKVFEDTVLPTLSYLPSITPEEESVQLLGPAYAVLRRL AGKLSGEKKTKLLDRVVREGILLGYFHAKEYVRIVEVLCREMGEVLMEMGVNAVKHLK DIIPMLSTILTDPFASLHPPTLLSAIKALQVVLATCWPRIPNSPWQGEIINSLVLCWL NLHASDSLEDTFPAIRQALVHTADALAAVLATEVDEGKPAISLSEVVEPLVAKEPCLS PLFSAASNEEQDSGLEC NEUTE1DRAFT_61114 MSGPVPIANSLEDIYTRDALDTQTKRWNNLLSKFESTYGHQPEF VSRSPGRVNIIGEHIDYSLYSVLPMAITADTIIAVSTHLPAPKEGTFRIQIANVQDSK FKSREFDILYDSVDIDATVHEWTNYFKSGLRGALELLRKKHGADFKPKSMQILMDGTV PAGGGLSSSAAFVTASALAVMAANGEQTVDKKELTELAIVSERAVGVNSGGMDQSASV FSERGSALFVSFTPTLLARPVSFPPTTPELTFLIAQSFVTADKFVTGPIHYNLRVVEC TLAAAYLNAVLNPPGTLLPGDASPLGISLHGFHETYFALSEHNSGATSSKSITEQLEH LLELTKQTLTKEEGYTRSEIAAVLGLPSTSELDQKFTSRFPVRAERFKLRQRAIHVFS EALRVLKLMDLLETSSSSSSSSSSSSSSTTSTAEDLNSRLGALLNETQDSCREVYECS CEEIDRICAIARQAGSYGSRLTGAGWGGCSVHLVPADKVNNVKEALEREYYSKLELTE EQREGAVVVSKPGSGSALYLGGKEGLA NEUTE1DRAFT_145548 MSTPGTSSQASSHPVPNNLTRSNTDNFKRCCICYEDEGERTTEP VIHPCTCSLPVHETCLIRWYEEIQKRHNRDDVSCPQCKAPFRVEEPFDFVVALRDTIH SQFSKVSPIILASMAVSGTFASSATYGVIAASWFAGYETALGWVGLQITSGQAVAVPV ARSARYGLALITQDDHPTWPPSPTWAMVMMPYIHMSYIRFYDYWLSPIDNRLNRALRG LETSLQPIDSAAGESEAGNNDNNGNQDRGSRFSMSDLLDLGRTAWIVFINPPDQNEAN LDDPWGLGANALNGNDGFDFEVGANAVNNNAVNNNAVNNNAVNNNAVNGNDGFDFDDF LFSDLDRPGPHDEDIPTAIDGASGGLWDVPPLNQDVPIGMVWGGGLQYPEYIQPQPQP APPEPAPAQAAPAQAAPAQVPPAQAAEAEARTSAPAVPAPAPAPAAAAAPPPQPAARE RNDDDQDGVELPPVSFTDLTNNVVTSLLFPFISYGMGELLRLSLPRSWTSPPKPIVTS GFFRSGKIMQGGPGGLLQQRWGRSLAGGCLFVVIRDVFELYAKYRNVQAKKARRIVRK KGGEGGGGQRSNRRAAGAGAGAGTGNQ NEUTE1DRAFT_79797 MTTTKQRLALAICDFLNTSLTDGTLQADDKDNIDIAVNCIGEAF GVDPSDKAAVTEAIGSQNLLQIYSVYEKLKQTKPAAASASSTTSSSSAAPAAASSSSN GPTEEDKKQAESLKSKGNAAMAQKDYPTAIDFYTQALTLNPGNAVYLSNRAAAHSAAR DHESARADAEAAVAIDPKYTKAWSRLGLARFALGDAKGAMEAYQKGIEYEGNGGSEAM KKGFETAKRRVEELEAQNDAPAARGGAGGAGGMPDLSSLASMFGGGGGAGAGAGAGAG GGGGMPDFGSIMNNPMFAQMAQNLMSNPDMMSNLMNNPRLREMANQFQGGGGLPDMSS LMNDPNIADLARSFMGGGGAGGAGGAGGR NEUTE1DRAFT_116562 MEFLTLTGGSCFTASEAVKLMEQINKTAPIKVSSVSGVWVYYAH IAGDASAAQQKLAQLLPLSSDQAAPHLTHIGHGRKWYVTPRYLSPWSSKATSIAQVCG FEKDIHRIERGRLVHIEFEQPFNDKNITFRDVLHDRMTETFSADAPDLVTMFAEGQPQ TLEVVDIFAPGKDPIQVLQEYNKERGLALDQSEIEYLVEKFSQLGRPPHDVELFMFAQ VNSEHCRHKQFNANWTIDSISKGKSLFEMIRNTHKVTPDFTVSAYSDNAAVMEGDHVN FWSPDYSTGSWKLNKELIHVLAKVETHNHPTAIAPFPGAATGSGGEIRDEGAVGRGST PKAGLCGFWVSDLHIPDHTAPWEIDVGRPAHYASALDIMLEAPIGSARFNNEFGRPCL TGTFRTLLTADDTKAEGEFRGYHKPIMIAGGVGIVRENHALKNPSDVQEGAHVIVLGG PAMLIGLGGGAASSNDSGEGNADLDFDSVQRGNPEMERRAQMVINTCVALGIQNPIAM IHDVGAGGLSNALPELVKDAGFGGRFELREVECVDKSMSPLQIWCNEAQERYVLLVNP DSMERFTSICQRERCGFSDVGTVITKEADGVSKLILSDKQSNEYPRPIDVPMDVLFPK GRKLERIVTSKKPQWPAFNPVASLKEAFGESTSDEDLLRQAVQRVFWLPSVGSKSFLI TISDRTVGGLTVRDQMVGPWQVPVADVAVTLSSFGLNGVKGGEAMAMGEKPTLALISP AASARMAVAESLLNLGAADIKAGSQRGDLRRVKLSANWMAAVNHPGEGAALYEAVEAI GMELCPELGISIPVGKDSTSMKASWKDGEVKKSVTAPVSVVISAFTTVEDVRTTWTPQ LHRVEEVGETVLLFVDLAKGFKALGGSALAQSFGAIGHEAPDVRDVDLLKDYFDALAQ LHESGVVLAYHDRSDGGLITTVAEMMFAGRCGVDLTLDGVSKSGSLADLTDALFNEEL GAVFQVRAEDETNFKRCFATCGPPAGLIRKIGVVLPTTKQTLAIRYGEGKPFVTFDRA EMQQWWSKTSYEMQKLRDTPACADSEYAAILDSQDPGLSYTLTYSPAENIVPFTASIT GFFGKTPRVAILREQGVNGYAEMAFAFRAAGFDAVDVHMTDIINGRSLADFVGLAACG GFSYGDVLGAGQGWAKSILLHEKARAELEAFFNRKDTFSLGVCNGCQMLSRLRSLIPG AEHFPTFVQNASAQFEARYSMVKIEEGEATKNSVFFNGMGGSSLPIVVSHGEGRAEFD SQADFQALTEQNGIPIRYVDNRLNVTEEYPFNPNGSPAGIAGVASRDGRVLAMMPHPE RTIMAGVTSYAPEDQLAQWGEFGPWLRMFRSARRWVG NEUTE1DRAFT_121346 MVSATKKPVSAMSARNSTRHRKVSHKVTKIITTAKSRVISNKAM KIRTKSWKDMPSSERRRRMNAMVDELRHQEYVEMPTKPRGSTSTYKAGGSERVQLVKA ASTLPTPPLTPPVLSKPTGSASQSKGDLKPKRPAPEKKRSLSNRDHTTTRNTPIHAPI MSSTFTSLRGLATRLFAGGARPSPSSLLLPNKPATAALPTAIQHQQTASYASKGKSGP PAGMFSGQKAGSKKSKGPKQVDPRIINILRHFAVLSPKRIPPPLRFGRNRYLRHWTIH RAWLLFRRQQREQRERILMQQHQSMSNACEELRNTEGPGTRETGYLYRVAMLKNGVYG LKSIPIEYASRALVETPGRQAWNHEWKR NEUTE1DRAFT_109071 MASGSPQNVIRRKLVIIGDGACGKTSLLSVFTLGFFPATYIPTV FENYVTDCRVDGKSVQLALWDTAGQEDYERLRPLAYSKAHVILIGFSVDTPDSLDNVK HKWVTEANERCPNVPIILVGLKKDLRGDPVAIEEMRKRSQRFVMEDEGQRIAKEIGAR KYLECSSLTGEGVDDVFEAATRAALLTFEKKEGSGCCVIL NEUTE1DRAFT_116563 METKPTGCLRRLKMGLKVLGDSFTVHQPARESCFVVSATRLAAN LEKNTSLQRQPAVHSANKDFGGCVDVWFLCVA NEUTE1DRAFT_128922 MKGNSRHPLVPPPPLAPQSPTSKATAKYTNKDGSKFITVPKGST PADSAQPSPMITTAANAAPGPQSASTSHTQGHGLGQAEGQVQPVNKKKAKRRQKAAAK AAATQGIANPAPSNGFPSPSPSHAQQSTEADHDDSHDEHLEEFNNRRDSRASNIHENG FAQGATTSSKKSKKKKKKSHAGQNAAELPNSLQHDTYVPAPQLSQHPRPGISKEKIWN TSSQEERERIKEFWLGLSETERKELVRVEKDAVLKKMKEQQKHTCSCTVCGRKRTAIE EELEGLYDAYYEELEQYANHPNQGEAPPMLGRRPSFGSMTGMRPRGLPTSYSSHHQPS HAQIVDHGAEEDDEEEEVEEEYSEDEQDEDDYSDDEPSEELHRSDYPADFFNFGNSLT VQGRDRFPILPSFLQSIPSPGTSNNAYGSSSLGGILTVADDLLKNDGKKFIEMMEQLA ERRMAREEDARDQFGSPFSHSTGDRNAHYHPPADDEVDDEEYDEDYDDDEEEEYDSQD EEETMTEEQRMEEGRRMFQIFAARMFEQRVLTAYREKVARERQNMLLEELADEKRRSE ERLVKKQKEAQKKKERQARKKELQAEEKARREEEKMAEEKAKKAEEDRQKEQRRQREE EKRKQKEAQKKAEEEERRRKEAERQRRNHEREENERKARELREREKKAREEARLKEKE AREQKEREAREQKERQEREKREREAKAKAEMEAAKAAKDKSKQEDRAAQKAAALATTA PVPITLPKRPAQPIQTSVPAAVPALPQQPAAYASPVIPVATPAFPKVPAPAQSRQIHQ QESVTTSSGPTSQPGSAASQNPSPHTLTPVLTSPGPMKPHSKSGVAVSGTQSSGVHLP SPAPSTTVNAGSKPLQSQANPFGGPSMNMPFQPTPQPPPGFSNHMQPQYPPFYNPANS YNRVAPGMMAAPPGFSAPLGGRAMSMHSPGFPGPLDSPVSSFAGLPAGLSSLLGKDNV PSHTRQGSGSFEIGPVGSSSQPISRPTPIGRPASVVHGQRRSPEFSTNGTDQAEQEVH LGSSALLEPDDTQQDFPARSHFGLLGTSAPGVRSAPGFGGGPFGMETGFSLPHQNSPW NPVPPLQHNPFVPPPPGFPSSSHHGPWSQAAPPGIMGRTPSIVERSAPWSVTLRKSLC AVCEDLGAGSSSSYDGFIPLGEIMAHMKQFTVNEKEVLDICDTEGNPSNGGGSFIVRD PLSPSGSPLIRYVPDDDASRAPYGPIKHPGDIGSPIVGSGSFHVGS NEUTE1DRAFT_116565 MAATTKQSSLAALASLSLLLFSPVSASAQQETPAQIADAAKRCS GGPAAHPHHQLGSRSEKGAFYNHRLRIPVVPAKPASIAVARAAVTDAPVVEPDVALMK TKITAPAILPRADETTETRAVAGTKRSSSPVICLTTSESSIQSCPTQSTGTASGKLLS CFETSVPVEVCVEGLICQTASSGQISCMYKQSGLETAGIVMAIYFAATIGLAVCGLCF FCCRERRTMKKLERAAEAAKIAKEAKSSAMAAKKRTATAPALDQHQQEQEHMLEQPLM GGNAGYMGGGGYQGVPLNNEYDDVPAPPPHMAGTGYGGAAGVRGGSGSGSPTGGAPNP FSDHNEGHPALR NEUTE1DRAFT_99736 MKFTTTLAGLAALIASAAPSLAQHATSATVTGFSATRNATHINY RAIINVNPENALATFTHSTPGTTLPTNSGAWTSTDPKLVLRFNVVSAVNQVRVLLSDT HVVGNTVNLDYFSPFSDWQGAGAAASAVYTGPASFTLT NEUTE1DRAFT_136626 MPSKKQRQHHCPVCTLVGNVRCLKKQHWRPCEIHGKSGHHGDFS VCVKCDGSEKRAEKAERIERQKEREEQERLRKEEAERKKREAYEAKRAEKEKARQAKH ESKDAKKKEER NEUTE1DRAFT_116566 MRTERRNRVTCPYYLVNGRRQVYLTYRSTWISDLSKHGFQKGTA IPGTVRTPNNTRLSVAPAL NEUTE1DRAFT_121353 MFKKDITPSPKQKLKSSIQRNLRQSLLTTYPLLTPHIDDIIPKK ASLEQIKLPDRVSLYVIDGTTPLFFQADTPSQQNTIVPHLKLVHRFPQCFPTIRIDRG AIRFVLSGATLMAPGLTSKGGRLPQPHERPPREKSAAEVENDEIEVPNEGADEEGHWS RELEKGEPVVVLAEGKEEACAVGVLVAGTKEIKEKGKGPVIEEAHFLGDGLWRMALD NEUTE1DRAFT_116568 MPLVVPGVTTNDPAVQKTEEWMNKLCGKTLADHTSETTFCKSDL PKPTRIIEPGSMVTKDFNPDRLNVHVGEDGKVSHVVHG NEUTE1DRAFT_39410 KITYNTWDSLVVTDPTTSQAIGSLSMGERTGSRVFYHLWSYVII VVGRSTYKRGSCVLGKPSGKVPPTRCEVRNISSHDSA NEUTE1DRAFT_116569 MTTRGVSVLKFVGTVSLGLLTGVSFTLSTLTIPALLTLPSANDA ARALESLSTSAKKHLRTLSTISGSAFAIAYYLSPRAYRHPYLIYTSLLVFGSRFITSG FFGPYFSFITPAVPASFSSGMSSVSFVTARSSQQKKKSSTPRGLEASYEVLGDPSHSE ATSGSEDVEEELANVNGEEVRANVEVFLKKNIVQSVVAGVAFLMSVVGIWGDGLGQQI IVIA NEUTE1DRAFT_109078 MVAAPAMFLEKHIRNPSISSHKRDPQKYLSARPLAELHRPLCTK AFFTGLTPQGENNRPKHNPHEQACHLSDKSIPPLNLRTHYLLDRKQFSGNISGQSPSR PLLERPRQYSRTSPMPGPCPQTESRVFPPFFASVPAPLSESESDFALHDPTPTSIPDL TSFPSYIGQDAIGCPISSHSVRATSTSLRPCHGFIMTVHLKQANHQNTYHPLAPSTKA ITGRWKTLATTPPRGCYYLYESKSMTPLYRQAGYSQIAAAATSSSSNSIDSSNPSQVA WP NEUTE1DRAFT_79819 MPSVYSTVFQNVIRSGFSKSFTHGYAQSFVAATHHNVLNPQNRP SFARRSSTRLGGRLSTLGLQDAFRTSSNLNAGGSVEPRHDNKTTVLPSNAGLLDAYYE HLQKSQAAQEAGEEHDQEWVQFQFPKLIEWKPTATSILAQGDANTLALAADGVAKLDD GVSRVPPEAEAALAHINARIEEEIEVRKQLEAVEEELESLRATSPALAEKLEEEIRSR SRTPSIHLRTPASVARIATPPLDAQSISYAVHLTKLSEAARYAEIPAVFEGMLLANIQ PTATAYNALLMAAIHLPSEKSEIVSKALDVYADMLKRKVSPDSDTYNILVGLLASRSL EVFASKSLLQDKRLRFGGMDEPGKFMFASHELEYAILCEDDRLDLALKLFQSSVKSDK AVYSSETYHQLISACAQSGRVSDMLHLFEHLEANRVTPFAATFPAMITAFANAGDLIS AVECYNEYRNLAIANDNGEPTLQDRLDTQVYAAVINAYVVSDKLEGAMKFYKKIVEEY EASAADIKDAIISGGFVKGFLDRGIYEEALRWAQSVEQDARGRVMGQVATAAADYGYK NVAITAFSNIASHDEAANSAIALLAMSVRQADVASAARYWAALSHPEVKATSSFIEPT AMFAIALIGSGQVVEGLTEAEHMFHRIRASATTETKPQVTDEIEEAAEFIHGFMAARG VTDPRLLPSPASYAPQSFPASPHPATPTASAFEDTFDPYAHSTDFKGSALISEDLESN GRKGPKLNDALTRFRNMRRVGRHPRYVTYAKLISAAARDGNMDLCFDILAMARTDVPL LPQYAVVRYGWSSILDAMVGACLTLGDRVGAEQYHQELLAMGSTPSANTFGLYITTLK ESTKTFDEASEAVKIFHRAKIEGVEPSSFLYNALIGKLGKARRIDDCLFYFNEMRKMG IKPTSVTYGTIVNALCRVSDEKFAEELFDEMEAMPNYKARPAPYNSMMQFFLTTKRDK TKVLEYFERMKAKGISPTSHTFKLLIDTHATLDPVDMTAAESVLDMIRASGQHPEPVH YASLIHARGCVLHDMEGARKVFDSVINDVSIAPSPCLFQALFEAMVANHHITGTEPML AEMRARRIEMTPYIANTLIHGWAADKNIAKAKEIYAAVGREKREPSTYEAMTRAFLAV EERESAKGVVSEMLGRGYPSAVVNKVLELLGGGNAEEASA NEUTE1DRAFT_38634 MQRMTEGKIIYHITINVNRRQRVIKIKLSDVAVSDSCQALGLDP APLLRAASVITGTDAGERRTNQALPVNGHHVTAFLFKMVMIGSDKRRIKELVKCQHGV VTFQL NEUTE1DRAFT_145560 MALAVSAPGKVLLAGGYLVLDRNYTGLVFGLSARINVISRDIQA SPGVHINEIIVRSPQFLKAEWRYGYHLADEDGGIKTIQLQGGASASAKGNPFVETTLN YALTYITRRAAYGTTQTLKPVTLTILADNDYYSSPTNNSNAAGKGSGGSRFAAYSTTL EDAHKTGLGSSAALVTALTASLLSHYLDPSLFDLATDEGKRILHNLAQAAHCAAQGKV GSGFDVAAAVYGSSHYRRFSPSILSSLPEAGKPGFSAKLFSVVNGKDAESQWDTEVVK DAVSLPKGVAVRMCDVDCGSQTVGMVKQVLAWRAAQPKEAKEFWDELQRRNEKLASVL KEGKTEAIRPAVHAIRELVRKMGTESGVPIEPDSQKELLDALEEGVEGVYGGVVPGAG GYDALALLVRDDEETTKRLEEFLSGWSKKKGGKVRLMEVSGEMEGARKENLLKYQGWV NEUTE1DRAFT_136633 MQVCSNFKRFRASLQELLGIKRRKQVRGQDISAPYNFKKETTVI PGITEEQLEGLRDKAAAAHLNPLRQHSPSSARSRRGVDRNKNKHNKNNNNKTIKSHNQ LRSSSLANLPILDSTTSPPSTSANKKQLLASKSCLSLSALHSSHGQGQPQPQPPRKFS VPSSASSPTVSHGSSGGHGHGSLGFDLGLGGGEMGTGLRPPSPCLSLPTRIGMGINSA ASASPVTPTSPLGSGSLVAAGGGGGGGGGGGGGGGGGGGGGGGGGGGVGGESSQQQSV RAQRSRASINQHRNGSGSGNGSGSGGSGTTTPKSPSPVSVLVIGTEAVSLSPISLVHQ QQQQQQQQQQQQQQQQQQAKLQLNTFPSSTTNSTTATETYVSAPASATSEVSAVSAAS AMGGTADLLDSFVLGSPISPISPISPVSPLSLDDEDNGDGGNMNMIKKRTGSVRVGTG TGTGTMSF NEUTE1DRAFT_61146 MSVEVITTISPTTGEPIITRNGISEEELVQLPETASKAFQGWRT TKLEDRQIIVKKALKLLAEKQDELAEELTVQMGRPIAYTAKEVATAIKRSEYLLKISN DALKDTDGEEEKGFKRFIRKVPVGPVLIIFAWNYPYLILVNALIPALLSGNSVILKPS PQTPTIVEQVAKVFAEAGLPDGVIQYFHSGSPTVIETIVRNPKIANICFTGSVAGGLA VQKAASDRIVNVGLELGGKDPAYVRGDVDIAWAAEEIVDGAVFNSGQSCCSIERVYVD EKIHDDFIAAVQNVLKGYKLGDPLDKGTHLGPVISARSKETIQAHIKDALDKGAKNAT PENETFSILPDKGNFVAPTLLTGVDHTMTVMKDETFGPVIPVMKVKSDAEAIQLMNDS EFGLTASIWTKDTAKGYELAEEVEAGTVFVNRCDFPSPDLAWTGWKNSGKGQTLSKYG FDQFVKLKSYHLKDYPK NEUTE1DRAFT_79830 MPEDDIRNKFLDAGDSDDDAGHGSDSEDDFQKGGRNAKRRRVSD DEDSEADDFTDAEEEHDQEDAESKDAPAKDDQETTDGKGKKDGKKEKEKEKKSVLASD LPGMTKPLTKKNLIVTEEAIKKSGVVYISRVPPFMTPAKLRSLLEPYGKLNRIFLAPE DPVARRKRIRSGGNKKKMFTEGWIEFVKKKDAKKACELLNARPIGGKKGSYYRDDIWN LLYLKGFKWHNLTEQIAAENAERSSRMRAEISKTTKENKEFVRNVERAKVLQGIQAKK ASKGSKEGGEGAAQVTESTTPSAATTTTTTTTTTNDDKRRTFKQIPLAKKRKLDETQP EQVQRVLSKIF NEUTE1DRAFT_79831 MVKTREEAKDMWWKVMAKILLAEHRERREKEAVASTWERASAAS VTESTSRPSSAISFVSSEGSDCSLRPEDCFSTIGSRCGHSQDGDQESPQPATSHFSNP KFDEWYAEWFSHWVLETSRKLRSPESEDRFSCYSFSSSAAPVNDSDHHEDSNASHDDD EITDIRTRPSTPTPLSSPTISPLRGRDRYRCLSLSRSSSNSSPSPTRNTSIPSSPVAG SLHCPRRYRSPSPILRRGIPSPLDITNFPLPPPSTISPVFPTSSSSSSSSRSSSPTQS PQHEDQCHPEPPQSPQATSPPIPRPRPRPLLNAKTEDYLSNAHLLRFPATVSARLMMT AETLGVAGEGKRKLVSELQRTPTPVMVETKWKRRASEEVKAFDWGFGMGGEKEEDEEE EEGEEEEGYETY NEUTE1DRAFT_79833 MSPRTDFPPVRACLFDMDGLLLDTEDIYTLCVNELLRKHKKEKF PLPWSIKAQLQGRPGPAALDIFHNWADLPITREQYKEEYYALQAQKFQFTSALPGVEE LLQKLGSTRYWDLKGDATTTNGALAQKPHRVHIALATSSHEANFRMKTNHLTELFSVF ESHRRVLGDDKRIPQGRGKPLPDIYLIALKTINDSLPEGEKPITPEECLVFEDSIPGV EAGRRAGMRVVWCPHPMLKQEAQASLTNGTTEANTEKSGEADSEKPGEVDDGWAEYLP SLIDFPYEKYGIHIPDEAVDQEPSMKETAKIDEGEVLQAVQTEVVEN NEUTE1DRAFT_121362 MSSETSHDKLEEKKVKDPQAEEENDESKQADIKFSPEEEAAKAN ALFTSGKYDAALNKYDEAIAVCPNYLDYPLAVLRSNVAACHLMLEAWKDAVTHATKAL DLLDKLEREDKLAAEKEEKEKEDVEEEIVSAGAAKAGPAVPVTETEAQIARQKRLEDI ARIRAKALLRRARARSELGGWSTLEGALEDYKKLSAMTNLTATDRKLVQAQLRALPPR AKAAQEKETAEMWGKLKDLGNGLLKPFGLSTDHFKMVKDEKTGGYSMNFQEGGGEGKK NEUTE1DRAFT_61157 MDLDAPMAMAPLMGSARTGATILCCNCGAPIDGTSSAGALCYDC IKSTVDISQGIQREATLHFCKDCDRWLLPPASWVTAAPESRELLSLCLKKLRNLGKVR ITDARFIWTEPHSRRVKVQVTVQDQVADGVLMQQSFEVTYVVASQQCKDCAKSYTANV WRAAVQVRQKVTHKRTFLFLEQLILKHQAHRDTINIKEERDGIDFYFAQKNQAEGFIS FLKSVVPVLTKESRHLISADTHTGNKSYKYNYSVEIVPVCRDDLVALPLKLAKSIGNI SPLVLCHRIGTSVNLLDPNTLQTAEVSADIYWRAPFHPLAGTPDLVEFIVMDIESTGV RKGKWLLSEVQVARASDLGVNDNVYFTRTHLGQHLHAGDSVLGYMLEGTNFNSDALEA IESSKAYGSMIPDVVLVKKHYPNRRRNRKRNWKLKRMAKDEGELLPKASDQAKMDAEY EMFLRDVEEDEELRAALALYKNSLKTKREADAMSIADTDMMTEAEEDGPKISMDELLD DFEEMEIQDKE NEUTE1DRAFT_99752 MDRASSRGRSMSPSGLFERRYSTHERLIEREEDHGEDSGSGDES GNGSDSDVGDDGRVSDEDPSPAEGEDDNEERTVTEERTITKKHTATQTVTTSPTASDA QKLYHSLTPDFKSHLEPATWSRFIRPHTAVLDISGIAASTSGPIPTEASTSSSSTPPV RTTTNPKRKSFPSTTLISPVYPTTWDSQDLAGEATTGADATVVHGGPSPGHGDDSTGH TKRPSSPKTTPTTVDGVTRPESFSTRSELPATSPTLGTHPADSVKASEGKQKSSSGDV LKKGAIIGAIAGLVVFGVLLFFLWRKRKHNKKRHSNFASSNILTSTSKSAEKLPEKDP YPFDDKGGRGSIGGESIGGTSQRTFEGVATAIRTSIRQSVSDVSVGATDPGPVSPVSP SADAASLGGLSSLSRRSSLSYASDDYFCGESATSTASSICQATALTYTIPGRVTPVIP AYPATAKIVNLSQKPQKPELVMVRNTRNSSTVELLGLSRNPSKSTIGKPGKGQWDKEA CGCGGNRASKSPEVSTPPMAARTLYEALGGSGPGPTSPPSS NEUTE1DRAFT_116572 MASACSTSPSSPGYEKIPNTSIRILRTVSSVRRFRKPLTLDSRS VALVPTMGALHAGHLSLIRAAARDNHHVIVSIYVNPAQFGVREDLGSYPVTWEADCEA LAKLDRELADDGENLGRISAVFAPTTGEMYPAGFPGQEPDSKGSFVTITPVGEVLEGA SRPTFFRGVATVCMKLFNVCQPDRVYFGQKDVQQTVVIKRLVEDFLMPIEQVVIVPTA RDQEDGLALSSRNVYLGERRRKVANVLYRALKAAEEAYAAGEGKRDREGVLGAAQKVM EETLAEQMKLSPSERVKFEVDYLSLADPDTMLELETVDTKKGGILSGAVRFLPVEEPK EGEDLGHSGGPLVRLIDNIILPPK NEUTE1DRAFT_79845 MESTSQTSSKNQPIAAVLLSRAHDPEETKRILTEKIQQRPLFLC PSSPPPSDARAARRREREKKRLSRKKALKPKPLSANERRKLGLYDVPRSGQKYALFEP LHQLWLGYIREILGNEIHTGGEGAAPKLTSADFHGAEVEVVRSGCVSRVGLKGIVIKD SRFTFEVVTRRNQRKLVPKEGTVFRIEVPLATGTATVEEGQSDENKQQQQQTEAEQPK MIFEVHGEQFQFRSADRANKKFRSHFSKIL NEUTE1DRAFT_61165 MADEQDGRDDSPAQVEDSAPDLRILGDRITLQPSGFVAPSKGSL GDEKEEALMKNMARFRSEPLQFLREVSLYVSGTGWRAYDDVIGQPVFYPGYTEHIKSQ VMSATLLQAKIAQLAEMRLAVEEKQGLLNKNDRNFETKRNQRRSVLVQSLQEVAEQLT DNMVCKMESKTFIRGAYYMVTQLLLRAYHQGIHVSSEEVLRLRNVAREAEKKGQSIIF LPCHRSHVDYVASQLLCYRLGLTMPVVVAGDNLNFPLVGNFLQHAGAMFIRRSFGDDQ LYTTLVQAYIDVLLQGGYNFECFIEGGRSRTGKLLPPKFGILSFILDSILSGRVQDTV ICPVSYQYDKVVETEGYVTELLGVPKKKENLADFLSGGSSVLSLRLGRVDVRFHEPWS LRGFIDEQVIRLSKVPSSINWKDMKNPIVRQKLLRTLGYKVLADINDVSVVMPTALIG TVLLTLRGRGVGRAELIRRVEWLTARVRAKGGRVAHFGNTPVSDVIERGLEVLGKDLV GVAEGLAETTYYAVDRFQLSFYRNMTIHLFISEALVAAALYTRVKRGGGPAIQDIPYQ DLHNQVLFLSSLFRGEFIYSGEGLAVNLEKTLMGLEADNVVFLERDELTGAITKVGLS DAERAAGRENYDFYCFLIWPFIEASWLASVSIMGLTPPLGQKDDIWIQFSKAQESAQL LGKTLYHQGDLSYFEAVNKETLKNSYQRFEEEGIIQVVKSKDPKVPPRMRLAPEWRPA RDEISGVLIASGRLWDFTEKIASSRREGKNRRDGATVSTRVVRLTDDLGQKLFEEAIA GTDGSKKGKGKGKGKGKGAAPARLSREEEKILTADLKESKRRRTLENRAHL NEUTE1DRAFT_121367 MAVEGRPPTPKSILRGHKAQVHAATFIRNNERLVTGDADGFVIA WDLTIMRPRAVWQAHDNAILGIAGWGDDRIITHGRDNKLIVWKLTGDDEARMSTTLPL DPCTEPRPKPWILHLLEVNTMNFCSFSYCPVSAPVLPGQQEDQSQDTAEESKSESELL IAVPNTLASEAIDIFHLPSQTRRHTVKLGDKNGMVMAVALFNQADSLTLVAGYENGLA IVAHRDPVKNDWVPLYQATCHSQPILSLSVSPARDFFLTSSADAVIAKHPLPPVVSQN TTPTHVTTTQADQVSGSEESNRSVNAADKAAGKSLLGAALAKAKQNPSSQPKPTLQPE EVLTHPLKTVNTKHAGQQSIEIRSDGLIFATAGWDSKIRVYSTKTLKEVAVLKWHQVG CYAVAFAHIAPNTGAPSINGQNPTATERTTGTQPTRSDSLGHGTQKPHMDNSLITVVP KLVEVTVRDKRLRQAKAAHWLAAGSKDGKVSLWDVF NEUTE1DRAFT_39574 MNPGVQHPKIHTNQRIVERHGALPPPSSAHLAAFDDPSQSYSAV ANSYPTSGGPYEASPAKRVRISGILASSTAGWGTGQQHGQDHDAEVGPSTEAGPRAPK STYREKNGDITVKKSNAIPQSGSTSSSVNTNNKSRRVRTGCLTCRGRHLKCDEAFPEC NNCRKSCRECKRGLRLNFIDTRVKSPPFVPPTLEWSVKFHDESRLIASEYQGGLGRYP RLDQNTAVTPPHETELNATLRVVAQKQDAGDGKTYHPDNDVTPGLGEQDVVDKGSDAA GLIIKLFPNQPIYDGNQLFQPQDLHLRNDSDGPFTMRVKISQHHTTKDAWASQAQGYR RSEVSSSAVPSFSQHGHQSQSARQSVALTTYGLQTSQSQHAPEAMSENSTARTTPSKK TTVERDYLGTDSEIHLMQVFISEVAPWMDILSKSKHFANMMPDLALKSPMLLNALLAC GTMHLSLTQQQQQQQQQQQQQQQQQSSSQPSGISSVNKACSYYDLAMMELLHNVEQTG PPSDRNAAECATAATVLNAYDIMNSGGNPSPSPFPFPLSRSQQQQQQRVAVDYHIAGC ARALVKECGWNANSASATGTGVGEACFWFNGCMEVLSCLQPALVMMNSWQQTPTVWDP DQWGLDVNVDRWATAANSTAVTGNDSNLKIIENDHQSPFGLHRLGASGGGGYGAGNGS GEEELWAQRILYVLAKVVNFCSANNNSVSRYQETSPHDEQMRLQRRFTEWKSLNDMCD AWRSNCPRSMRPYGYVRSSSSGSLFPNVWLINRPALIARLFYHVGMCILAQVSPVSPR DSDANRELQQHHARQVCGIAAHNKDGAVASIVTRCVATVSGVLSDRAEQTEALAILER ISTETGWRLGSLVTDMKRAWSWGVVEMPNGGGGGTNAANGNNNRGGGGVEGGKAFASA LLGSATTGASCQDSFHSGSNYVLQLAYYT NEUTE1DRAFT_61173 MLFTTVLTSALAGLAMASPAARMDQSINGLQQRGVLAELCIAAC LASACAAGPPACAACLVTCLGTANDGGEVVLDAITLEKAVVDKVEGYVPQITKA NEUTE1DRAFT_79855 MRATLVAVLCHLSLAFALADERTVLRAAISPAVSHWKRSARLAS DQSIHIDLALATASERYSLPARVARYIDYVLPAPDPDPVSSAPKSVAVQDPPTPKGGI GARQTRDVDCLQYIAPQCLRQLAWLAEDLDMFFGDFASDLLTNFNLEPNLDYEYTMAM AKPIPVTNIQVGDLVVQGNLNIMLAAFNEHYCRTGLDPQFDPVYPDPAPGGYNASDCG THVPPRVIAIMYAWNEAWYSDAYARRHEGFFASVFPASCPWVTSVGGTQFLPVVSNGS SSTTASSGVPSSSSFPGETALDDNNTVSSGGGFSRLFPAPWYQGNLTHEYLASAPGAA ELARQGYFNGSGRGYPDISAMARSFLVALHGGYHAVSGTSASTPVVAAMVAKINDARL HAGKSTVGFLNPVLYSDAARKAGVLRDVQLGKNHGCGVGEAFPARRAWDAVTGLGTPD FEKLKELYLGLP NEUTE1DRAFT_116574 MSAPTTSDAAKSEQKLPSREEQLATMTARVEEFQKQNPSADFKL EQKWWVPSLDFGILLQDALPQEINAEFGAIFAKLFQDKFAPEAVAKARKQSRELLQAK YPHVLERVEEMVFKNEAQLAELHHHLQGVDSIGCAGGNC NEUTE1DRAFT_40359 MNNHNFSNSSALAALLHALLSTFYEAAIMTIIKLDSFLLPLWMS TIISIDKAIASTTGLFASGVGPAFRLSTNFGKALRWLAEQLLPTTTTSEEETNMTQET LDFLESRIRYLGNFFDATIASMATTKPPSSPKRDHSATSSFNNTSSTPVRHTPVRSQA CSAQDYNVPDSEGPDFETMSVLSDFYPSDDDIDWLSDQIVARAARAAARRRASDLVLV GASGLSEAREEKMREEKAYLVWKRGYDERLARDMATRAVEKAKEEKRLKALDAHLEKQ KQARLAPRGPRVIRDPISGRVFSN NEUTE1DRAFT_61178 MSTLSFNWDDAHADLPSDSEATEEDLLDNPVLKVSRPVTACTRC RSAKIKCDGKLPACTSCVKFGRESECMPISERFIRGREPNYVRALEARVEKLEKQLHY ARSHMSSQTQPGPGSDPPTAPGPLDHGNDDEWGPDRRDSLDNIRVNVARRAARLHGDQ EIEQVIASLSSVTINPVSQEDHEKTRLSLATIILAASTNKFVPQSRSVGLPPYDKARK IVDFYMSSVHQLYPAFSRRIFNGLLEDIYRTSQRQFTSPEYWLFWMVLAIGSTAQSRQ KDDSHYLDGLDYLACALPFAQEVLSPGNVKQIQSLLLLTLYSTYDPDHFDTWQILGFT CRAILDQGPFADPPEHHGMSITDVKLRRRIFRSAYSLDRAISLAHARAFSFADDAIPA EVRDALADDSSTAETSTYLYRLRRLQSTWYQSLFQGSPSHPLPDSTAFIWRMCHDMHA WFSTLPVSHNPSIRQMLELEMYYSHVFCISPGIRSPHLSTYGELLIFEYAISYISGLC GLADASINTALYTFHDVLRLFFVGTQFAAILRSDAADILLFSPHTISHSASQQGGVTP PPLPRKRMSDTNTTLPNIDRAITALGQIGKLLEWYGARWEDALSLGETFQMYSQDLME TLRGKKQQMGQRQVQQGHVQGQGHLQGQGQVQAQGLGRAHTQGPGGWENQQQQQQHQQ HHRQQSSGPHHRPPPMQQQTWPPGGYSLNNGQ NEUTE1DRAFT_79863 MDAAEIVRRALGSIAERRSSQQHLHPQPQATPSYFPFPTQQHAA PRPAAPRPQRRDASNLGYTLTACCRCRQRKTRCGTSLPRCMPCERAGAVCEYWDSTLQ RKVSRSYVVKLRDKLRRLTDELAQFKTDEPDPQDRRQSPDLSSSLVRPNVTDEISYWF GPSSGVGLQRTLMEEAKRYMESESFANLLSESVTRRVDRANRMQSISTGRKKSYPLIS EIPVQQLPKRATADRLLEVYIQRAQIWAPILHEKILEENVNDVYSGDKDPYKRFVVHM VFAIGLRKLSSQFAGLADSFYIAATEYFWQVIQPRDLKSLQCIILLAQYYLLCPYKAP GYYIAGLATKLCQQLNIVDEQTISSGVDEQTLDMRRRLAWVTVNNELGLAYITGRPNG FSKSHDAINLKFFESLPDENITADGIESGPTCVRKLVAIHFCKMTLLQAEIRRVLYEQ TMPNVNSEEHCWVAKMQKKLEDWRDSAPEKAEPWCKSLFAFYFHNMMISLYRPSPQIP DPSATAAAKCYDASREVIAISSTQIKASAVDVTYIFLSTIHTALSTLLWSVSYAEVRA DHSADEVKDITETALEIFAQCSEFLPEPQAVSEFYDVLISVSLRRYHIKEEPISPTPG FEHLPNASGFGQPGLLNSNMSDPDMFATPQATQTPQDKETPIFRAPSFRYVFDGTPEP EPPTDLQNTPFRPSQPMFRSNSIFGSPSTDPGRRLSHWAPESTTSVNHNAPVDAQGPP TGRFEPSISPPTDPSTPIAMPGAFNPPTTLPQAPVHVPVNTLPITTEPLTSYHLAPSP VMPLPQHAPPLIPTTTAQQAWFNPPPPLLSPHTFSSSRGSISISGTANDVMLNPGLGL WLTRFSDNYSLNRGPPPTSNGWGPGWANTNNNNSNNNPNGLGAGGGLGMGSPWGAQPP PPHSGSMGGGLPPNNNSNMNNVWATHWSDQRQGSLSQEQQDELMDVLETEGMAEIDMF LKMETS NEUTE1DRAFT_39282 MAKKKKSTSVEDNASEVQSPAVPATQDPSSRSGSGSGSGSNKTA KTKAQPQQQPPPVPALIICRNKHWRYISSFHGPWLQLPPEILETLANLNYNTPRPRPI DPSVFFDLVKIRRLVDDATNLAVRAASGVATVSQHSMSGGHHHALGLFGPGGQTKLSR ERKHRMREQATQKLSKAYHLDEIASSVATMQSASPLEEVASLVLQRNPQDADAKYVHF FHEKIPSRQLAQCTNLDALSEIISEKSTQGEPLRTRATVRTFKEDFEGAIADLTEALR IHRVYRLPQNAPKHHNLPQARPGGRKQEDVVLKEEEQPNSLEIQLLFQRAGVYLTIAC QHVQAAFPSKPAETKSATGKAANGDIYEEGNETGSQATTQAIPVLTTAEQEVEKKMME ARKLVRHNAKRALKDYMNYLSHFEYSPDLPIEIAEDFARKVNYAANGVRVPRSFSHAS RSDSPVTGEPGESQPTHRIYALSDLFAASPPADLPPYPSTELTPVRTQQQQPTFATFQ TTTETLTYHPLMNDALHALLLCHCLIQTSTKELLRHAYMVARLARLADGYPIFQASRS PARADWTEVLRASGNLIQLAGTWEDLCAPAPLPLFQPSGPGGGGSKHRKERIQHQAII DALGDDRIKDEASFRMAVKARQLRAENDYRLDNATQNMRRWSVDDGKEYPISTDRASA IARWVLEAPPNAGLSTGAGGDGTARRKKKKVISKNRAGSGFAVGLGTGVGVAVSGEGC TADA NEUTE1DRAFT_38218 MNLTHFLPWSLLLLLHSVLSAPSRTCHERSINHQDICEDNALLQ LLEPVAKPDTNRKLAESFCSSYFAGSAVMRPVQIPQATSRVTTMTPPPAVSPNPIVEP SKMRLAGHNIYEGDNEDSDDSEPDMTTITVTTTVTGTITRTMIPTTTVTNTLVKSMNG NHNTPATTSARASGALPRLGRRRRVVARAPPMMEHCPQAWRGRPEDQIRRACACLVGQ GKGTIVLSRAEGSTVVMTAPAPSPDVSSFAG NEUTE1DRAFT_128943 MKTSVVLPVVLLQAATVSAWGKLGHATVASVAQQYLTPNTVKQV QTILGDNSTSYMGNIASWADSFRYESAANAWSAGLHFVNGHDAPPPESCHLVLPEDCP PEGCVVSAIGNYTERVQMKNITADQKAQALKFIVHFLGDIAQPLHTEGFGEGANNITV TFQGYKTNLHAAWDTSIPNAMLGISPPTSAANITSADFLGWANNLAAKINQGQYRKDV RRWLRYHSVATRKASERAAAAWAQDGNEEVCHYVMKVPGNQLNGTEIGGDYYKGATEV VERSIIKGGIRLAGWLNLIFDNRTGFEFWH NEUTE1DRAFT_99767 MFITRALLSLALAVSFPPTIAAQFTTSLLTPNTKTTIQLRNQDG TASALPSSSKTFQSTTDIVVARNVEDPDYFPTWIGKACPGAQKYNLEVPEDWAWGKAF EAKVNIWYLEGLPGKPKNGKGPRTCGRVACRGDTAIYWCNNDR NEUTE1DRAFT_128944 MPSPSAILRLACFAFLPLAVLGRTVRPYMLPRDANTTSDGLIHP KQLTFSVNCSISDHDYGHGNGVLEGFHYLMSRGGMPGNNPGSCGRISCSHDTGIHWCN EDKTKRKALESYQVIARAVMEIFQQKPCWQNFDDDNFMGAGIKGKALVDGEDWSVWVA HEDCSAAPV NEUTE1DRAFT_61189 MTELPVCVFVSGHDTLSSVAKRLPEQFIEDSKYEAVGMREIITG ATDWDWEGVEGEKERDFGWRTAYQQENETGSSSHEEKGDGVGLFEKGGVGFHERETPA RERPEVYATPDRKSGMLVLEFEGSKEWARGMGIGKEGVRRFLEVLGCVLGGDLGPHVR NEUTE1DRAFT_145580 MMDTLTLLTLVKGLPLLALGVAAGATYPPIPADLTTPVQHRIAI NSPTSVRIAWNTYKQLSQPCVQYGTSPSSLGSQSCSTSSITYPTSRTWANVVTINNLT PATTYYYKIVSTNSTVETFTSPRLPGDKTPFNISIVIDLGVYGKDGFTIEQDQSKRDL IPSIDPSLNHTTIGRLRDNIDKYDFIVHPGDIGYADDWILKAHNWLDGKDGYQAITET FFDQLAPIAARKPYMASPGNHEAACQEVPRTSGLCPSGQKNFTDFINRFGLVLPTAFS STSPDSAAKVNANKARILANPPFWYSFEYGMAHIVMIDTETDFEDAPDQPGGSANLNG GPFGSYLRQQLDFLEADLASVDRSVTPWVVVAGHRPWYTTGSGDDCQPCKKAFEPLFY KYGVDLGVFGHVHNSQRFAPVVNDTADPAGMENPKAPMYIVAGGAGNVEGLTKVGKNV STNLFAYDDAFSYATVNFLDEQRMQVDFINSETGAILDRSVLFKKHDQKFVVQ NEUTE1DRAFT_116577 MSRHQNGQQLEATSTHIPIHRLSSREGSLTANTNDNDPRLKEQE GEKPPVLDTHGDGQSSGISLPQFAQVDGV NEUTE1DRAFT_121378 MNILFGFVSGVLHVSQSNASCFTVSNLYYNQAVLNKMAETFDVT FEKASSVATLMQAGYCSGLLFICPLGDIFPRRPFILGLIAFTATLWIPLCLTTSFPSF AAISFLCGATTVTPQLMLPLVGDLAPPARRASSLAVIVSGLSLGVLLARTLAGVLASF TSWRNIYWFGLGVQWLVFGLLYIWMPDYPSKNPDTKFNYFKMPWQIARLLATEPLLLQ AALVAFLHSGIFTSYWTTLSFLLSSPPYEYSSMVIGLFGLIGVVVIVCAPIYSRLIID RLVPLVSAIMGLVVETVGVVVGTSVGSFNVAGPVVQAIMIDLGGQFTQIAMRSSIYGI QPLARNRVNTAYMVVSFAGQLTGTAVGNRLYAQGGWVYSGACGIAFGGFALIICLVRG PREKGWVGWSGGWTCRREDLAPKKSEMTTEQTLEEAGAVGEGGEDVQRDVQSASASNG GNVTSDGENQQ NEUTE1DRAFT_79876 MEPLIVDQVGMTWNPGVHRRFPWLGVIPLILSICCTGLAIGVVL ASSGQPEAVWSGHKQPVVTIIVTITSFLRGPLMQRASFVQIVDLSRNGTVDLQVKHNI SDTWGGTVDGRDTRNVMFTSEFAQVTRDFQAKAPIHIKGASCENCTLTVKAFGFDVDH CEELTSSPTNYNLTVDLATIGNVSRIFESAIDIWFEHRSFTALNISTKRKAHSDGGDE AYPQACSGKLVGQTCILVPSVVAYNISITGGQASFQTDSWKDDHVLEHINLTWPVSSV GSGITILPLQVVGSTLFNSIAEVWWSGAVGWATKADGLTANLYTGNWSAARSPCHQFY LDPMDDIINSYRELAFRMSVHAANNPGHDSLGVPLPREEQKGIPYTSHLTQVQYAANI PELLLAVAVSLAGPVATMVLLWGWWRLGRSFSMSPLELAYAFSSPPEKGPYGKSSLTL LSDSKSDLGYNGGGGGGVTTMQQENEAGGMDGLFVDCDSTASAGELADHFRNKGKGSA GGGGGGGEPKIQYGVVNGEGGRFSFAVVEGL NEUTE1DRAFT_136660 MSTSTSTQTNKSILFISATGGIALATLRRCLASSNPSNQPNQTL NHPSLTITVLARSPSRLQSLLTPTELSSPSLRIIQGNAHSSSDLVPLLTHLSDPTTLV DIVITSIGGKPDLKKLGIDDPHVCETGARALLSALDQVRRASLHVPNPASVCGNSSSS REVEAKRKHKPYIITLSAAGASTVHRDYPLPLYPLYALLLRNPLKDKRAMENVFVESD EKRWTVVRPSMLTEGPEIGLEKVRIGVEDLQRKKLEGGKVVGYTISREDTGVWIFERL VVGHDGEKYLGKALTVTY NEUTE1DRAFT_145583 MIMEEAEEKRTTSSTWRPSRFSEWWSVHTTPRPPPPPSSPSPSP SPRGNCALQSYGKRLERGWRMQTRERGASNPPAKPTAPRSLARLHETGVANEPWGALR DINIYIIWGFGTSSNSFSSISQSSSSSTPFSLILTMLAKFAALAALVASANAQAVCSL TAETHPSLNWSKCTSSGCTNVAGSITVDANWRWTHITSGSTNCYSGNEWDTSLCSTNT DCATKCCVDGAEYSSTYGIQTSGNSLSLQFVTKGSYSTNIGSRTYLMNGADAYQGFEL LGNEFTFDVDVSGTGCGLNGALYFVSMDLDGGKAKYTNNKAGAKYGTGYCDAQCPRDL KYINGVANVEGWTPSTNDANAGIGNHGTCCSEMDIWEANKVSTAFTPHPCTTIEQHMC EGDSCGGTYSDDRYGGTCDADGCDFNSYRMGNTTFYGEGKTVDTSSKFTVVTQFIKDS AGDLAEIKRFYVQNGKVIENSQSNIDGVSGNSITQSFCNAQKTAFGDIDDFNKKGGLK QMGKALAKPMVLVMSIWDDHAANMLWLDSTYPVEGGSPGAYRGECPTTSGVPADVEAN APNSKVIFSNIKFGPIGSTFSGGSSGTTPSNPSSSVKPVTSTAKPSSTSTASNPSGTG AAHWAQCGGIGFSGPTTCQSPYTCQKINDYYSQCV NEUTE1DRAFT_99775 MGSPELEEHGKTSILVIAGVIGLGFFVFYINRRLNQQTLKRCWG SLEKRGWIKSAETEEDQLEKQHQTPVALKPQQSAIPAVLKKPERAHQAPAHITANDHP DDWKRFNRDSGASWDPTSIRSHHLVWPSIGSSVPGLNFATAAPQGAAYHGRRSPSRSH DNNHAIRRNAIDHGQGTGTGVWLEVPDSGSASSPPPEAVPKAKEIFPILSVPSQAVSI SSFSSSPTPK NEUTE1DRAFT_116578 MVHSTRKKERSTYVGILFAAKTRYTGYLRYLSWLNIECTENANR LASLVDQTGPR NEUTE1DRAFT_61201 MIAKLVSPLGSPKLAATTAQFRNRLPTQFRRGAPLYIAVVVLVL FLLNVTLFHSGVQDTTFVLPHVATKHNIPKYTRAEFPRKIWQTWKVNPLAFEQRDLDT ARSWVGQNPSYRYEVLTDSNDMQYVEWHFGPDGFNRPDIVNFYRSVKAAIVKADLLRY LVMYAEGGLYADIDVEALKPLSKFVPERYDMADIDMVIGVEIDEPDWKDHPILGPKSR SFCQWTFMCKPQLPVMMRLIEQIMTWLNGVAKEQGVPLAEVKLDFDQIISGTGPSAFT NAIIAEINDASDNPGKPITWDTFHDLGESKLVSRVLVLTVEAFAAGQGHSNSGNHDAR AALVKHHYHASNWPSRHPRYKHPAYGEVEQCNWVDECVKKWDENVAAWGKLSEAEQKS ILAEKERKEKEREEQRKKEEEERKKKEEEDRKKKEEEDSRKKEEEETKAAKEKAAKKN AGKGGEKAAKDNKP NEUTE1DRAFT_116579 MLRAIRELLFEGSTMICDKRLVRFEGDMVVSEPEWSEHLPARST NARNPFLTTNHLSLLHH NEUTE1DRAFT_99779 MQNSMSQAYAAPSSIPNTSTEKPHYDAPSAATAGTTYPQADPTT GTTAAAGTTPATNRPTTASTYGNEKHPTGRD NEUTE1DRAFT_121384 MVREDWERESASMCTIFAGSYVTLGAMASPDCQGGLFAPVEHRV ISADTLPDGSHSYLYAEEHHNHDEKYLLESRAWVYQETLVSPRTLYFLGREAMLLWPS MDGAGRQTAFFKLPARATKHGAGTQLDTSVSRVKNKFDKSLPWAKWQLLVARYGHQTD ISFASDRLIAIEGLAGYLQHMRPGERYFGGVWSGSFTKDLLWTIRIHGHERLRVGPDT TKTNWSSEKWLFPTWSWASLSDERHRINVRYNDFSERVNLSLHGPESDEEQLYPDSAF LLRPVGEPFAQNKIVNGQQKPQNPTEKRRYYELKVEGILVPIPRSLLFTGEVGMFLPK IHCNWRHFWCDFGLLAFHDWFRKEYPEGSAEPTFYCLRMMKYIGRSGHYMGLVLRCVD EENQLYERLGVWEQRYVLKMGDPEEFLDEHSSQSHCSLPSIFANSSAPSGQKWNLACT FPYADSYRLRAYSRDS NEUTE1DRAFT_145586 MAEKLSAVRRWSKRLAVEAEPGLTTAQLMLTNHDLKPVEAERRT WGAWNFVGFWIADSFNINTWMISSSMMVNGLSWWQSWLCVWIGYAISGCFICLTGRIG ATYHIGFPVASRSSFGIWGSFWPVLNRAAMACIWYGVQAYIGGHCVYLMIRSIWKAWD RDTIPNTFSPTSGTTTADFVSFFIFWLCSLPAIWFPVHKIRHLFTVKAYFVPSAAIAF MIWAIVRAGDIGPIIRQPSSLKGSDLAWEFVKGIMTSIANFATLIVNDPDFSRYARKP KDALWPQLLTIPTGFAITSLIGILVSSCSFSIYGEAIWDPLKLLEKFLDEGNSAERFG VFVIAFAFSLAQLGTNIAANSVSAGADLTALLPRWINIRRGGYICAAVGLAMNPWTLL KTNNQFTTYLSAYSVFLSSIAGVIIFDYYVVRRGYLEVKELYDGRKTGPYYYTWGIHW RAYAAYIAGILINVVGFAGAVGRKVPIGATYIYNVNFFAGFLVSGGVYSLLCHFFPVP AVSDKWMEVGDEIEDLRVTYDSDGATGSGHEIYVDPMVGKSYKLGADDSKIV NEUTE1DRAFT_99782 MPANIQVMQATGCTNAEAVRLLEKTNGNIHKAVACLPGKDEWDF NLPRVKVPEKSSSAMDYEDGFATRYRTNLRGYEFTSTESNGHDTDDLSLDKLVADVQR GVSCTVIRSQLTRFRERMGPDKTCQLLNGLVKGFPGLFYVVQSRNVEMVKMWAEYGGD VNTTYGKSQTAAITHVIDHFISHFALQKQKQEEKSKSMVLLFAGPSGHGKTEFARSLG KLTSRDLEMVDCTSMRYKTDLWGPFHPFQGWENGSALSNFLENHASQECIVFLDEFEK TKEEVRESLLKPFDEVKESTVPSQARLVGDINVQVRRSYSVCRALVTDGYIPELGARS IANTVNRDISLPVVNDYLDKREQLRENQGRCTYVVGVNEDDMIDVSEAPTN NEUTE1DRAFT_116581 MLIFTVTGRQQDGPNSLSQKSDAQTKVNSAWYKSNISTNVYPAA GASKRTVCNFMTVTLGYLYLH NEUTE1DRAFT_79891 MTLESRGVQNPILHPLLFIFQVIQFVLNKLLSPTPPSPKARLGR PRIAVIGGGITGVTSAAHCVGHGCDVRIFEAGKEENIGGIWSRVNNTSGLQIHSLMYR FHPSVNWKQGYPDKQQILSQVRELWKRYRLDERTVFETPVTSARQHPETKKWIINNDA ETYGQFDGIICAVGTCGRPQIPHIPGMDSFQGEIHHSSQLTGKAAKGKTVIIIGGGAS AVESIEYAVEEGAAQVYVLARSEKWIIPRNPVVDMLLALNIFGQETRFSWIPEFFLRK LFYRELEDIAPPSGKKGLFTDTPMVNSEVMNQIRSGKVKWLRCDIDGFTENGVKINRR EKGTNPKDAGQKEEIKGDMVIMATGFQRPKLDFLPKECLEGDYKPPNWYLQTFPPQFP SVAAINCTYMNGIGSVGNWHIGIYTRLLLVFLLDDRARPRTFWMKRWIDMTAFLKRRS PVGPFEFFTYLELMWWFFFCVAINPFRWKWAFFVFFGLGENLPMKVVAAEERLRNRLQ AREAEDQGTMANGVRHVREEEIRGRTMRIVT NEUTE1DRAFT_145589 MAAPATLPALLDTLTKSLTSTLELAPKLSTVDPPKDGISLLDVK NELLLSYLQNLVFLILLKLRQARTGAQKKKNNGDDGESNDQDLDDLVVKKLVELRLYL EKGVRPLEDKLRYQIDKVLRAADDAERSAKQAEAAAKAAEEPDSESEAAESGDEEEAA PSGQKLSDLQFRPNISSFVRNSGAPGSEEKPKIGVGKSTDASGVYRPPRIAPTVMPTT TTERRERAGDKKQLKSATLDEFIADEMSTAPVAEPSIGTTIVNFGRRTKTAAERKVEE ERRTYEETNFVRLPTAGKKDKARQRALEGRSSKMQFGGEDWRDLGAGVDRINRLTSGG RDRKGTKALLEKSRKRGFDTTDGPKGSGDGGFGMGERFQKRVKVMEGGRRDRGKKR NEUTE1DRAFT_109116 MDGLAFETERAMIAIIPTQKVLSDTLPRLLNGSWTFSRLSGLRS HSWYLSTQNTYHNYPIAGPTMQLKNHAPSGALLASLLVAPQIASAFYLPGVAPTTYKP GELVPLYVNSIRPVAAPDALLHSVVSYDYYQPHFQFCQPEGGPKSVGESLGSILFGDR IKTSPFELKMKQNETCKMLCPTTYKQGVAFYVNDKIRQGMSLNWLVDGLPAGQRIKDE LTGTEFYNPGFLMGQVDAEDHVTFNNHYDILIEYHEVSGNPDQLRVVGVLVQPESKKY TKDIPKESTDVCLSNFEPLELKEDGETKVQFTYSVYWIPSQTAWATRWDKYLHVFDPK IHWFSLINSAVIVVFLTLTVVSVLVRALRKDIARYNRLDQINLDDLSGTSALEDGVQE DSGWKLVHGDVFRTPSYPMLLSVFLGNGAQLFVMTGFTIAFALLGFLSPSNRGSLGTI ILFLYTILGFVGGYTSARIYKSMGGEKWKMNIILTPVLVPGIVFGTFFLLNLFLWAKE SSGAVPFTTMLVIILIWFIISVPLSVTGSWLGFRAAPMEPPVRTNQIPRQIPPVTTYL KPIPSMLLVGILPFGAIFVELYFIMSSIWFSKIYYMFGFLFLCYGLMILTCATVTILM VYFLLCAENYNWQWRAFLAAGASAGYIFLNALIYWASKLSLSGLAGSVLYIGYSALIS FLFFILTGSIGFFSSWWFVRKIYSSIKID NEUTE1DRAFT_94480 MMIHKNQDTIHPRAQLPPVAFIFSKPDQGSRPTLPMCCTARLFF GVCLTLIPPPRS NEUTE1DRAFT_79899 MDKYLDSRFERVEKALATFIDSIAKYNPSEKLAEDLVAADRELA AGLKELERHQNNHARILQLRQETASLDQQTKDIIGGLWNMRKEVKSTPATQYPATGPK YQFTTHELLNYAKRISRTTLPPAHLLYNSEPSESQQAGGQGGQPMEVDSAAQTPMPGL GGGTATAAGTPAASAAPTPAASGPSGPGPVTTSTAPFSQPPPPPSANQTALPEDFKPH INLLTGYQFHPWPTEDKVRMGGMAAYQSLVSRAVDPKGYDPEEEERRKKEEEEARKEA EERAIREREEEERRMREERERMARERERARREEAERSGSISGPAAGAPAAAASSAGGG GRSQFTFLDGMDDDDDDDED NEUTE1DRAFT_128956 MFATSILRSAYPAYKSPYGPKYQYQPHIDGITPKQLYRILYSLP QAAAWTGVALFAVVYYASGIPRLRRDVLQRIPYLGERYFVNEIPASDNGMVGFWGAGA AIVPLLVDSSKLRLLIIRASTLLCHIILCSRGYDVRSFLISILNFFMMDALRVLLETS TFNEVLRGLAISILLAKLTQSTISNSKSQGSSFITAGGFILIYFFARSWLALVNHYAP EPYLDEVFHIPQAQTYCEGRYHEWDNKITTPPGLYLLSVGWHKLMRLAECTPSSLRSN NLVATLLIALLALSCRRRIEAQTAVGTEKSAVSFYAYHTAINIALFPVIFFFLGLYYT DVASTLVMLVAYRNHLNRVASHSEKPGFLNGLWTVVLGVAALFMRQTNVFWVVVYMGG LEAAHVVKGLKPKPVSKNDTPDFVLENIRDSFGFWLRRYAVGDVHDPPVDMAWPDDWA LCLLSIGIAALCNPLRVLRQVWPHITIMGLFAGFVAWNGGVVLGDKSNHIATIHLPQM LYIWPFFVFFSAPLLIPCVLSTLAGLTTRTKSTTPSHTTTKDPGRSSWRSTNPLIKSQ KSSTTKPPQRSSPTPASSSFSPDTNSPGRGFRFILDLVLSRKLYYPFYILATILLSAA IIHYNTIIHPFTLADNRHYIFYIFRYTILRSSSVRLALVAAYTLSRWLIWKRLEGNNP PLRDLEGEMNLKITENKLKWRDEFSASPFVTQDFYGPKRINNDEHKKTKDKQKEKEEE EEEEWLIGGAYTTLSLSSTQPSPATSSPPTSTVLLWLLTTTLSLVTAPLVEPRYFILP WVFYRLLVPAMPLSSSLISSGSSSSFASSTTDSGNDDGNAAAPVARQQQTDNKKGLLW NIIRRTDAALALETVWFLAINIGTMYMFLFKPFYWKTASGEMLDGGRLQRFMW NEUTE1DRAFT_121394 MPSQRPFFLSTFFAAFRQQPPSSLYNGQQPNKNATATAPGGATA QANPTNSAGTVATPRTITTSSSNQASSPSSPPGREGGVMGQLPLSPRSNHSGIPIPEG NRHHRHHHHNSRRRGSDSSSEGFREVSGAEKLYIGGRTATGEEKFFKLGVVRRIRSGD RLSLDRLSL NEUTE1DRAFT_99791 MKASAYLSWAFLLSPIWAAPAANPCDIRSTDTSFTDYAYVYFTG EGTSNGEQIYMAVSNNNDPTQWTTLKNGQPFLTSNVGTKGIRDPSLIAAPDRSKFWII ATDLKVNGLPGGWSNTDMQTKGSKSIVVWETTDLKTWSGPRLAKVSPDNAGMTWAPDA IWDPERNAYMVYWTSSVLSTGNGISAGWKILRCYTNDFVTFTAAEEYLVGYGMDVTIT AGDNGAYYMITKNGPNGLIQENVSYNGLSGQWKKVSENIGQGDLPSGEGPLIFRDNKD SNKWHLWIDNNTKGTGYMPFETTDIATGHYTKTQNYKLPANPRHGYVIPM NEUTE1DRAFT_109121 MCWYEGPRMSKAELDTNTQGYHHRAMKDHHSAVAHHLGDLVLEI EDILTLFDPRTTGAAHQISWDNLVSHLLHYKMMKARSCTGQVKVLTRGTKRCAKELLQ SITAIAEQPTPKKKPKQCRLPRSYRPRIRTANTNTSGNSDSLSPTTKQTFNHSSSTSP QSPIPPTPRQTPSTPITTHLHHLSTSHPSHTRKLTLRTRGLIPLPSSLTSITSDHTRL SPTSHALLLKKASSLSQYEPFVLPRSFRRHQAHTVDATLAFAQSEAGSAFCIREDGLL LTAAHCVVETVQTVGRLFWLVMPAPVEGKEGEDKGKENYSGSTLRGGGCRRGGSNIPP KSRSRIVFARCIAWDLGRDLALLKITHAQALDEGLAIGHPADEHPDDPPGVKAYGSEH RRVLQLSSGRYLGIAPDKVDSPQDNSDTGAMQHDCWTYWGHSGGPIFEQNTGVLVGVH VDWNPEEPMVKRAVPWVAVETFLEENGFWN NEUTE1DRAFT_33990 TGNDNPGNFANRPKEEVQAIASKGGQASHSGGFASMDPEKQREI ASKGGKASSGSFEPGSEKAREAGRKGGKAS NEUTE1DRAFT_40515 MLQAHFFALLLAAVAPAVLADGPPESMGEKFSGLNVLDANGGLQ SLTPAPYTISQWPWGTVPKLCYDTSVNNKYCNPYDLEVYDVRYSDCPIPTTVCRCKNS PMAIDTIAQRVGQLPVKARQYNGYVSSFAGDMCSAYSDSFNNYFFGDCGNSESVFFHE LSHNLDRHVAGASINDWYSLSQDWKDTVAKDTCVADHYSKASWLEAYAQVGVMAGYDA TVQSIYTQNVGCMINQVKKVVGQLNSVWRKQPGQMCDRYWIKDTTVCMGPDAEASGHC QASHAAVAAESGGVNPVLPDGQQKKHDALVKELQRHAEVAAGISPGKPAADRKTKGSK KGTKFRV NEUTE1DRAFT_61225 MASGLSATPLKKINLVRIAHVYYKHKNINEAKKFAEDFGFAQTA EVGKKTYYRGYGTEPFVICLEASNEDEFGGAAFVVESMEDLMQAAKTLPSECKATEIY DLSDAPGGGKCVTFYDPVDGFPFHLVYGQTPVEARDVDFPIVRFNFPKEKNRGANEFQ RFKKRPAPVHKLGHFGVCVTDFAKCYDFYTKYFNFFPSELVHNDEGVNKTVFFRLNRG NDLVDHHCFFFFEGPKMHVHHSSFETHDFDTQVLGHDWLRHQGYKNCWGVGRHVMGSQ IFDYWFDPSGFILEHYVDGDLLDMREPTHNTKAAPDNLHVWGPEVPATFLQ NEUTE1DRAFT_116586 MPRKLSKSRNVYAPASSSSSSSHSTTNLLTPYPQNDGLPPFLTD GLPLPSLVVLDLDYTLWPFYSDCHPWPPLRALSGSVLSDRNGENFSFYKHVPIILHLL QVAGVKLAVASKSPVGDLCREMLKMLRIPGGLPTSVEGFRRSPATKGAAGAADGNGDE EGEEDSGKKTKTKTKGSGSGSGSGSGGGLTNEKGRKTIELFDGGLEIYEGTKLRHFEV IQKRTAIPYEEMLFFDDERPNLEVERVGVTMQLIRDGLDWEELEKGIQKWRANRGIA NEUTE1DRAFT_145598 MTADSIADASAASGTEVGYPNGHLGHLKPEEQEALKSFKTNLAE KGYYKPGPPASHDDQTLLRYLRARRWNVVDAFKQFKETEDWRKANDLNVLYDTIDLEA YEASRRLYPQWTGRRDRRGIPLYLFEIRHLDSKTVSAYEKAAETNPSKAVTDGQTSPK LLRLFALYENLTRFAQPLCTELPDRPHATTTPITLSTNIVDVSGVSLRQFWNLKSHMQ AASQLATAHYPETLDRIFIIGAPYFFSTVWGWIKRWFDPITVSKIFILGPSEVKATLE EFIDPKNIPKQYGGELDFTWGDQPKTDPYIKETVKWENGLKDFPEGPKYWRPTADGTR VECVAVGSEGGKQRMLSVGTLERVCNVKKVDGDALAEGVKGLSVGDAEKNEVVETGKE ATVPVVSA NEUTE1DRAFT_79916 MRLDVKRQLFARSERVKGIDFHPTEPWILTTLYSGHVYIWSYET QQIVKTFELTDVPVRAGRFIARKNWIICGSDDFQIRVYNYNTSEKITSFEAHPDYIRA ICVHPTQPFVLTASDDMTIKLWDWEKGWKNVRVFEGNSHYVMSLAINPKDTNTFASAC LDRTVKIWSLGSSTPNFQLEAHETKGVNHVDYYPHSDKPYLLTTSDDRTVKVWDYTTK SLIATLEGHTNNVSFACYHPELPIIISGSEDGTIRIWNANTYRFEQSLNYGLERAWCV SYQKGKQGIAVGFDDGSVVIKLGREEPAVSMDGSGKIVWARHNEVVSAVIKGADADIK DNEPITLTTKELGTAEVYPQSLIHSPNGRFAAICGDGEYIVYTALAWRNKAFGQALDF VWASKEYSSTNEFAIRESPTSVKIFKNFENKVGGLDVPFAADGLTGGVLLGVKGQGGI SFYDWRTGGLVRRIEVEPKQVYWSESGELVALACEDSTYVLRFSRENYNEAVQAGLVE DDGVEAAFDVVTDISESIRSAEWLGDVLIYTNSTNRLNYLVGDQTYTIAHFDKPMYIL GYLQRDGRVYITDKDLNVTSFALSLPVLEYQTLVLREDMETAAELLPSIPEDQLNKIA RFLEGQGHKELALEVATDPEHKFDLALSLGQLHIALDIARETDADHKWKTLGDAGLAA WDVPLATECFVKAKDLGSLLLVYSSTSDREGLAKLAEQATEAGAHNVAFSAKWLLGDV EGCIEILKNTNRLSEAVLFSQTYKPSLTPELVAAWKESLEKQKKGRVAKVLGVPGEDS ELFPEWDEYLKLEQEGPAAGNLIDVDAEQVQEESPAAEEAAEEVAEEQKEEEKEVEAE EE NEUTE1DRAFT_116588 MAANNYTKKAVHFGAGNIGRGFVACFLHNSGYEVIFADVNADLI NALNASPSYKVIEVGSEGTEESTITNYRAINSRTNEEELIQEIATAEVVTCSVGPNIL KFIAPVIAKGIDRRSEDLPPVAVIACENAIGATDTLAEYIKDPKNTPSHRLENYEKRA RFANSAIDRIVPAQDADAGLDVKLEKFYEWVVDRTPFKDMSPPDIKGINWVDNLLPYI ERKLYTVNTGHATAAYHGYIRRKSTVYDALQDKDIQEEVKKALENTSHLITQKHGIDE QAQHEYVEKIVRRISNPHLEDAVERVGRAPLRKLSRKERFIGPAAELAEHGKDCSALL DAAEMAFRFQNVEGDEESAELAKIMASNKPEDVVKQVCGLNEQEKLFPKVVEVVQRVQ ADLQDD NEUTE1DRAFT_109128 MSIDGSRVGIAIAVGGFVCSSLPHVILGISGGFSAGLAGILLKS GAQEIVDPEMRKWSTKTHVFVRTQYMIGEKKQSTLLRTTGAVLFRTKANHKSGLMTNA KRPTSYPLSGSVPPVGAGKEGRMDASTTSLDNSTRLTSTGNDRGEPQQAARLTNLSRC FLHCLATTWSALWIGIVSFELGGLANGPISQIVNNREFRARCVDSASGIEGMPTRPRL VKRDALKEAGRRSQEPQHPKSNGGAGLRFGGTCEYSVQRIQFSPGFIHNDHRSLGTQE GLLLGPLRKRAVAARTVIVRGYWRLTNEHPGQQGDKPHPTHACHLRPPSTYQNRHLFV QTHSIWTINPNTCHFLFKQWDRGVVHLHLALSVSCTVHQRKRRENK NEUTE1DRAFT_28871 MGGFAHVQPAVTVLTVTQSIVMQVEQTLAVEMMMGHSETGVGLS LVGRRGL NEUTE1DRAFT_121402 MLELLRRPKSATNALATTLLLLALSPTCIHSFGTINEPIVLGQH NEHEMITRLAFQCPGASTGTIINVKSDGICFEPRSLDQLAGTHFDVQGFPIPGGGTNG AVGAPDTLDPVPEGPEAHCDDADFIDIPGYPRTREQATQALQTCVNHLRGRFKQAWKS AADLIELDEEVTNAEGSAHLQKKGQLRIRRDMVDLSPFAGGDCRFAFPALQVNTLARA KCATIEAFGRAVHGIHDFYAHSNWVDEHDRSQPIGVANPPGLGRNDTAPFLDLRAHGQ IPREMLPRNLSTGCFAVPDATPGRSNCEGRVSHNTLNKDHGVVYLDGTFGEIGPGTPR TENGLEENFKRAVAAAVEDSRNAWKALRTEVRNRYGAEKGDLMICALVRDDPVRDCIP RNVAVVIDLSTQAKQNGLLQLERQVAMSLAAKMETSSGLHNMITVVEFAESARVVYPM ESPAYLNLEGTQSNGTLIPFNENIHYPPNATTRLPAHMTAPIHEGKADIGVGLSMAID EILRAKPDGEHNERGAVLLLTAGAEDEDDVHEILQQIERASGEGIRVHYGCINPPLLP LQSFAHTNASTECHPGKGAISSVLKTGGTFSFLSSTRHGKQTAKDFIDFVTNRGLTVT DGSGAGDTDEGEPLPIPVAPGMAIADILSAEFPKKIFSYSAKAGERLDFTILDRSQAS AEAPGGCLNMTLLDLKSLTDPEDEDDTDTNTDTDYGEDEPNDWSPEVELPTLKYCTSD PPRVHHLAYYAKEDMDIALLVQHDETAEKNQLPRNPNRTSQAQDEEQNFSQHEPQSAV GLGEVIFTLELSTEMLGIDHIALPYCPSLGGLCEHDDEGRLIPLRGATTFSGPLSGIV ANETEYENDTDGDGERKREATKVTRRRDDAVTSRHSSSGSSGGDPSATVFSTAVFDAR HGEEVDFCLVGERCKARP NEUTE1DRAFT_145602 MSESWPVALDPHIRHFNPRFLGFSKVRGFREFGQIFEQSWKEKF KGTQFGIKDERRAAMAAKSFLKRSRQLRAIWNRFLLYYTDTNLTYTTDRLAAIIGIAD AVENYTGLTYIPQAGAFKEFLPLDLLWYRNFRSVDLLWRKSPTGTKAPSWSWAANEGD VLFDEKSWLEGSHDDFEYMGLLLDVSVPPAASTLSPGMGSEVVIKLKTLVNRQTAVGL GVREPPGFWVEYLPKARLQVVLDEPVADGTTIFFIVVLRTRTWNNSGYDKHVSGLILV PKDPTSEEDPRKALHRRVGFFRIAEPANAMTLKIFMTTLEPIKIHTTRYRPTTYFGYS S NEUTE1DRAFT_61242 MDLVGDDLTNRQVLRWLKRWDPIVFPHSVKSKPTRRRGAQGQQQ QPEEEKPHRKILMLTGPPGLGKTTLAHVCARQAGYEVMEINASDERSKDVVKGRIRTS LGTESVKTVENKKPETGKQQKIARPVCVVVDEVDGVVSGSGGSGEGGFVKALIDLVLL DQKNSSGAGATSAAGRKKKKGDDFRQMRPLILICNDVYHPSLRPLRQSGLAEIIHVGK PSVEAVVTRLKTVFEKEGIPCEKDAARKLCEAAWGMTSGIDAKRGAEGNAEGDLRGIM VVGEWVAGRLRATITSTPVLTRQWIDQNIVHDLAHGGGGARGLGRGGVKEIVNRIFQE GGGFPTPLLSQAEQPKYAKHEQPQTQLGFSEQRKKYAMAQLREMIDTSGEVDRIMTEI FSEYPNHEFNDDSFLTKPDSAYEWMHFHDTCSTRLYSSQEWELGQYISQPPLACHHLF ASPKRHQPQNTERKWGDMMEEAEAPPLPFSGPRANFEAHEAEKVNRAALQGLQGQLPP SLYRLFRSPEDISTYFLPYLVRLVSPDVKPVVVGGSDKSGAVASVRREGEKAMVRRAA QILAEVGIALQKGKIEPDPAVGQQYRTQWVYRMEPDLDTLATFETAGALVLASQAPTR YAVRQVLDQELQKTIAIRENAARQARMNNGQTASSTFKPHAAAAFNAMDFNANKENTA MVADQKEVKRDFFGRVIVLGEKNGNATAAAAADGGDGKESKRKGRSDVAGDEKDIRVW VTYHEGINNAVRKPISLEEFMRGF NEUTE1DRAFT_99803 MTTANQGTPDHRDQEDGGEGQPRQGGKSGPLGPRPGSGVNKRTT KASAKGGRAKKSLLRRSLDPDNNMNPNIPFVSTPADTPSPFPEPTPLQSDADAKYEGE RARNNQSAKRSRIRKALYVVELDNAVTGYEYNFKLFYKMYKEAKQKLIDHGLGGDLPP DPPIWVRKPIPVGQDVDTQEYRKKLETAVESGELVPLGLDFAESVALQVGKTTGSAQQ TEEAKALQDAKEKYEKTVKQEDKYAEELQDMEKKMQELQHKLWEVQHNRQSLGNVVNR YQARQAGDNNAGPSALQGNGTNLPYRPPVPETAHLPQRLQKMASQSRKERTLEELAAS WPVRSCLENPQMVMEMDQNPIEAKLEQPEDARLDGLPVPTFDGYHHQSQQQQANPNHP TNPSFAQWCQAQGEPSFLDYSNASGNPDAVSAGNFNEYPWPGEGVDFTGGESHFMSSD DHLPGTGHDAALPSTSQPLSPSICFADLHLSPEMGNMFMETGEQSSGDPNQGNYHGSY GQQTDQFNQFY NEUTE1DRAFT_61245 MASARGLTAVGVPPFPSWILYIRIAILVLSLVLLGVAAWAVSMF AGGGSAAYGYTGASGMMIFVTIWSLVVYGGSLGFQFGAPHLFYRIVGLILYSLAVIFW LVGWAYAASEAAVALDWSGYYYGAIHDYGSAMAVCAGLGAVAWVLSIIDLVFFILACV REATTPKLSQAELGQVQPTASVAPATANELPPQPAYSQQPYAT NEUTE1DRAFT_116592 MLQEYIPQNPAIWKSRWQFRRRRYSQDHSQRRSYPETERGAAGK NYRRCVTGDLFQLPSETGSFKRLGALLHESQLF NEUTE1DRAFT_116593 MSGPGRSTTPGRVTSSLPISSVIFYGLGAGLVGVTMMTLAEKSE QLLTSRPNSYVPARTLERLISVRASTDTQLWGLNMAMHYGQGAAAGVIRALMSWNGIR GPFADLIFVGVRLLIDQTLENWTGVGAPPWTWPVDEQILDILHKTVFAVTTGWAVDRW LVT NEUTE1DRAFT_79931 MGSQEQTTLATQNQLFYGTFIYPKTLTDLEYLHNTAVAVDQNGT IVAIEPDYDLSQAASTFFPRLGWAPDSVSIHSSLASHNQFFFPGFIDTHLHAPQYPNV GIFGKSTLLDWLETYTFPLEASLSDPAKARTVYNRVIRKTLSHGTTCAAYYATKDVTT TNLLADLCLRAGQRALVGRVCMDQLSPKYYRDASAADSVAATRESITYIQSIDPTGAI VRPVITPRFAPSCSAPLMAELGKLAAETGLPVQTHISENEGEIALVKEMFPAKKIGAK GDTYTHVYDTFGLLTDKTILAHGVHLSEEEVQIIKARGSKVSHCPCSNSALTSGAARV RWLLERGIEVGLGTDMSGGYSPSVLEMARQAALVSRHVAMGYRERAKLTVEEVLYLAT KGGAELVGMKGKVGGFEVGMEWDAQLIGLGRDVVDEEYEGEEKEEEGNVDVFGWESWP NKVAKWLFNGDDRNTKKVWVKGRLVHERK NEUTE1DRAFT_61252 MRPELEQELAHTLLVELLAYQFASPVRWIETQDVFLAEKTAERV VEIGPADTLGGMARRTLASKYEAFDAAKSIQRQILCYNKDAKEIYYDVDPVEEEPEPV AASSSSAAPTPAAAAAPAAAAAAAAPPPPSAGPAASVPDAPVPALDIVRSLIAQKLKK PFAEIPLSKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGAAMQATFDG NLGKTTQGLIARLISSKMPGGFNITSARKYLETRWGLGSGRQDGALLLAITMEPPARL GSEADAKAYLDDISQKYASHAGISLSTAAATGPAAGGGGGMMMDPAAIEALTSDQKAM FKQQLELIARYLKIDIRAGDKAFQASQESSKVLQSQLDLWMAEHGDFYAAGIEPVFSS LKARVYDSSWNWARQDALSMYYDIIFGRLKAVDREIVSQCIRIMNRSNPTLLEFMQYH IDNCPTERGETYQLAKELGYQLIENCKEVLNVDPVYKDVAVPTGPRTTIDARGTIKYE EIPRASCRKLEHYVQQMAEGGKISEYSNRTKVENDLARIYKLIKQQHKLSKNSQLEIK SLYGDIIRSLSMNESQIMPKESSKVSSVLSKSSSKSKGKTETIPFLHLRKKSEHGWDY SKKLTGLYLDCLEQAAKNGVTFANKYVLMTGAGAGSIGAEVLQGLISGGAKVIVTTSR FSREVTEYYQSMYARFGSRGSQLVVVPFNQGSVQDINALVDYIYDTKAGLGWDLDYIV PFAAISEQGRQIDGIDSKSELAHRIMLTNLIRLLGNVKAQKANRGFETRPAQVILPLS PNHGTFGSDGLYSESKLGLETLFNRWHAEDWANYLTICGAIIGWTRGTGLMSGNNLVA EGVENFGVRTFSQQEMAFNLLGLMSPTIVDLCQNEPVFADLNGGLQFITNLNETMTSQ RKNLMETSDIRKAVTKETAIENKIVNGEDSEALYKKKVIEPRANIKFDFPTLPDWKSE VAPLNDTLKGMVDLEKVVVVTGFAEVGPWGNSRTRWEMEAYGEFSLEGCIEMAWIMGL IKNHNGPIKGKPYSGWVDAKTGEPVDDKDIKPKYEKYILEHAGIRLIEPELFDGYDPN KKQLLHEVVIEEDLDPFEATKDTAEEFKREHGDKVDIFEIPETGQYTVRLKKGASLWI PKALRFDRLVAGQIPTGWDAKRYGIPDDIISQVDPVALFVLVSTVEALLSSGITDPYE FYKYVHVSEVGNCVGSGMGGATALRNMHRDRYLDKPVQNDILQESFINTMAAWVNMLL ISSSGPIKTPVGACATAVESIDIGYETIMEGKARVCFVGGFDDFGEEGSYEFANMKAT SNAVDEFAHGRTPKEMSRPTTTTRNGFMESQGSGVQVIMTAKLALDMGVPIYGVLALT TTASDKIGRSVPAPGKGVLTTARERQGSLPSPLLDIKYRRRQIERRKKQLENEKEEEL EYLADEIEALKAEGRPQKEIDDYARHRTQHIEEEAERQVKEVLRSYGNNFWKGDATIA PLRGALATWGLTIDDLDVASFHGTSTKANDKNESSVICQQLRHLGRKKGNAVLGIFQK YLTGHPKGAAGAWMMNGCLQVLNSGLVPGNRNADNVDAVMEEFDYIVYPSKSIQTDGV KAFSVTSFGFGQKGAQAIGVHPKYLYATLDEEVFNAYRTKVEARQKKTYRFFHNGLIN NTLFVAKDKSPYSDDQLQSVLLNPKARVTEDKKTGQLTYPANFMELEPAKKLQKKSEE SLESTVARAANRLKNSNTRVGFDLEEISSINIDNETFLERNFTEAELQYCKAPSTGRS PQKSFAGRWSAKEAVFKSLGVTGQGAGASLKDIEILSDSNGGPVVKLHGAALEAANKA GVSKVNVTLSYSDSHAVAIATAHF NEUTE1DRAFT_61255 MYGTGTGAQTGVTTPRSSASLRPLTLSHGSLETSFLIPTGLHFH ATQLKERFAAILPAPTDELAQDDEPSSIFELVARYMGFIATEVAQGEDDAQGSYEEVL KIILNEFERAFLRGNDVHALVSTMEGIDDKKLEVIRCYYLARSASNRAIKPYESALFR AAGENAAKIFTIFGGQGNIEEYFEELRELHHTYPAFVGELITTSAELLQTLSSHPDAE KMYPKGLDVLGWLQSPEATPDVDYLISAPVSFPLIGLVQLAHYQVTCKVLGVHPGVLR ERISGTTGHSQGVVLSAITAAADSWESFEEHSKAALTILFWIGARSQQTFPRTSLAPS MLQDSADNGEGVPTPMLSIRDLPQAEVQKHIDQTNAYLPEDQHISIALINSPRNMVVA GPPSSLHGLNLQLRKLKAATGLDQTRIPHTERKVRFVNRFLPITAPFHSKYLAKASDL IAEDLKDVQIDSRTLGMPVYNTFTGKDLREEVEGNIVPSLIRMITGETVHWEKATVFQ DATHILDFGPGGISGLGILTSRNKEGTGVRVILAGTVNGTVTDVGYKPELFDRDEEQA VKFAVNWVKEYGPRLVKNSVGRTYVDTKMSRLLGLPPLLVAGMTPTTVPWDFIAATMN AGYQIELAGGGYYNAKTMTEAISKIEKAVPAGRGICVNLIYVNPRAMGWQIPLLGRLR AEGYPIEGLTIGAGVPSIEVAQEYIETLGLKHISFKPGSGEAIQAVINIAKANPTFPV ILQWTGGRGGGHHSFEDFHHPILNLYGRIRRQENIILVAGSGFGGADDTYPYLTGDWS IKYGYPPMPFDGCMFGSRMMVAKEAHTSLAAKQAIVDAPGLDDSDWEKTYKGPAGGVI TVRSEMGEPIHKLATRGVRFWAEMDQKIFSLPKEKRVAALKASRDYIIEKLNKDFQKV WFGQNKEGKAVDLEDMTYAEIVRRLVELLYVKDEARWIDQSYTKLTGDFIHRIEERFT TTPGQPSRLQSYADLKEPYSAVEQILSHYPEAEKQLINAQDVQHFLLLCQRRGQKPVT FVPVLDENFEFFFKKDSLWQSEDLAAVVGKDVGRTCILQGPMAAKHATKVDEPIKDIL DGIHNGHIALLTRDMYGGDESKIPTIEYFGGKLIESEIPLDIEGLTVSYDEHKNTYRL SSSPSVQLPSLDAWLSLLAGHQRNWRFALLQSDVLVQGQKYQTNPMRRIFAPARGLFV EILHPNNPEKTVILVKEQPRPNRYADVIEVKLDGKNEIVVNMIKDTTALGKPVPLTLK FIYNPEAGYAPIHEVMDGRNDRIKEFYWRAWFGDEKLDLDSSVHEVFDGGKTTITSEA INEFVHAVGNTGEAFVDRPDKVMYAPMDFAIVVGWKAITKPIFPRTIDGDLLKLVHLS NQFRMMPGAEPLKKGDVVSTTAQINAVINQESGKMVEVCGTITRDSEKVMEVTSQFLY RGTYTDFENTFQRKVETPMQLHLATSKDVAVLRSKDWFMMDDVENPDIELLGQTLTFR LQTLVRFKNKTVFSHVETQGQVLLELPTKEIIQVATVNYYAGESHGNPVIDYLQRHGS SIEQPINFENPIPLSGKTPLQLKAPSSNETYARVSGDYNPIHVSRVFSSYANLPGTIT HGMYSSAAVRSLVETWAAENKVGRVRSFHASLTGMVLPNDDINVKLQHVGMVAGRKII KVEASNKETEEKVLLGEAEIEQPITAYIFTGQGSQEQGMGMDLYNSSPVAKAVWDRAD KYLMDTYGFAITNIVRNNPKELTIHFGGPRGKAIRQNYMSMTFETVAADGSIKSERMF KEIDEKTTSYTYRSPNGLLSATQFTQPALTLMEKASFEDMKAKGLVPRDSTFAGHSLG EYSALAALADVMPIESLVSVVFYRGLTMQVAVERDETGRSNYGMCAVNPSRINKTFTE EALRFVVGSIAETTGWLLEIVNFNVENMQYVCAGDLRALDTLGGVCNAIKMMKIDIEK MRKEYSAETVKEQLVEIIKSCANDTQAKPTPLVLQRGFATIPLPGIDVPFHSTFLRSG VKPFRSFLLKKINKTTIDPSKLIGKYIPNVTAKPFAITKEYFEDVYRLTNSPKIQQIL ANWDKYQEEGVSGIPDAE NEUTE1DRAFT_136696 MLRSANAESYQTYFPAMNQEATRKNDELQRRRWALGKIHAFKQS LGENQHNPSPGYALNKEPGYAKVGCLFPVDRFNDELLRSVKEQLPSVFEEALLCHLEA WEEWVKQMMVHCAQYLDYRLARLKQHQEMVEAIKGDDRAPGPETDGEGDEIAEGYEKA QTLAN NEUTE1DRAFT_116595 MDWFPGLVTGYSLLLVYRSGGSARTGLVPGGISTFRQNENWGRR MREREEENRRMQSWKDEERVELMGCIAYSGKHRNTIMSGV NEUTE1DRAFT_121411 MSSSHRRQGSSQSTSSGHSHQQSHDSFESHLTHSTAPTSLYSTQ DHYFSDPKAMGTSTRPEEDLYTPSSYHHSQPKPYVYQDDVSPATSLYPRSSVETYAST TASSQDLDAMDLDDESPVVEAEDTSIPPLPTYRRELVEPNVRATTPQDFAKLFPSLNR LSIRHDEYTSDGNMNLRIDTVVTGRRRTVYQLFHLRMYDLAKREFSLRRYCRDSGREV CNSKRKYAESSTAAKAKSRAASRDDVNKSHHDRPNLKRSMSTAIKTLSAATKSKPVLR RTPTSGAASICSSRPNTSDSCYGDDELCNHSNSSRMSLSSKGKTHRAVPTNTIKLEFS NYARVDVHRRGGKNNKRYEFEWWGHNYAWKRSVDKQMGGQVSFHLIRDGNLSAPVAHI VPETRSPAQVDADERAGGWVPPCFMWIADETIIDAVTDVADVIMASGLMALVDDCIKE KWQTSRKVHRIPVPLTHKTVSLPSIDASPRSIMQHVFGRRHSQTQSHQPTPMRLDQPI AAC NEUTE1DRAFT_99811 MVRYGRTPRPSLVYCDTRACRANSRFQVRRIGDLRQAVYGGVHS TDGIENFRVKVAALSIELGLVGKLVGLVAL NEUTE1DRAFT_79942 MDFSEVVRLLDDTKRQEARRDVERLLNNPPSCQPPWGKNLKELV KWATECKVQAEQEPESKAPERPTLKMFQPPHLQDFWSRNPKWVTDYFVNAQKYPRLEV IVRAMMQEHMMAVQDYMSIIKMHVNPESDREKVTCLEEQDSEEEGATETAGEGAEEEA EEKTEEKAENKATEGQETGDELSDADKKILFQDLQNVVKQHIRLKAILDCYWVMSHEG ALIQDANHMLFMERLRASTAPSDHDQALPSDFPLISPRVHQRAAPIPSSSFPEAQAEE VAEDDKWINDRAVDLREIYPLLSEEAAKELAKCEKVVCEHADFLNEYEEKMGDRTFLL MAKQMELMGIDERSKLEKLRLKAQEMALELQEKETSEREKALREREERLGL NEUTE1DRAFT_136699 MPRHSSIFPGDTPSLQELERRYRIARHDLREETERRERLERLIE RRSELVSNYLEEQKEMAKTRADTLRQRNPSYGSDEWVEFHKALALEAFIKRTIRALGP AMRSPPQ NEUTE1DRAFT_121413 MPACLNRLCFHCAANSPTIAPKHIHILDREDANDTHHEETDLMS SVATLKPTTSPTTTTATQYLTIESRTYIDPTPPTPAVSQPPSRSPSTAPPRSRRGSGS DGVSPTRSDAAYDDKKYASDDERESGSRSELQSIMEQFSEGGGGPGAEEVMSPRLEMA SPLLASPAQYPPRKSSLEPLAPSMVQQLQDLQGLSLSSTSSATTPLKEKGREELAPPV PPKDDPFHTGGSPSQAHKRHSIQSLETPMSPTTSLHRPPPPEPEPEPPLPFDFHRFVE QLRNKKADPVARYLKSFLFEFGKRQWMVHEQVKIISDFLAFISNKMAQCEVWRSVSDA EFDNAREGMEKLVMNRLYTQTFSPAIPPPQPIPGAKNKGRRGERPMGPGRRGQHQEDV ERDEVLSQKINIYHWVKEEHLDIPPIKSYRAPRDKIICVLNCCKVIFGLLKHSKSDGS ADSFMPMLIYVVLQANPEHLVSNVQYILRFRNQDKLGGEAGYYLSSLMGAIQFIENMD RTTLTITDEEFEKNVEAAVSAIAEKHRAESPPPPEQFEKSAAVPMLSAGESSQVRASL DVGGPATPRRSSSSNEARESSEYGANEEKAAIAGLLSTIQKPLSTIGRFFSDEGASSS ADQDVPSPAHTPLPEQEARRQRLSAEEAAARQASAEAAEAQRLFRAEHANVVETLAGM FPDLDREVISDVVYQKEGRVGLAVDACLALSA NEUTE1DRAFT_116597 MWLLEVSGLMDAGFEAESATQKRTTKKKEKGNRKSRDKKNRSRD LRHRRTKVKKKRRF NEUTE1DRAFT_128971 MCGIHAVLTPPGVTHIVSSDLTRCLCNRGPDYLGEVERRVTSST NNDSTDCWTLKLTSTVLALRGDHVAKQPLSDSDADKGSVLCWNGEAWRINGEPVSGND GEEIWRMLRGVEASASVITTPISMQEEKEKHILDVFRAIEGPFAFVYWHEAGRKVFFG RDRLGRRSLMMKRDERTGEVVLSSVAEGLSGGDTNANPNANGWKEVEADGIYVLDWGM LPLSGEGVTSAVLQPVRRDWIEGVDPAEFVSSIGTFNKSLPPASGYAPLSATSPSVAA LKQQLIDSLSLRVLNIPQPPNADRVAHDTRVAVLFSGGLDCTVLARLAHEVMDPEQGI DLLNVAFENPRVVAQLRKDHAKNNGGNGEVDVDSIDFYEACPDRVTGRKSFAELQRVC PGRAFRFVAVNVPYTETLSHRQQVISLIYPHNTEMDLSIGYALYFAARGQGACTHLDG WVEEEYTSPARVLLSGLGADELFGGYSRHPSAYERAGYAGLVDELLLDVGRLGKRNLG RDDRAMSHWSKEVRFPFLDERLVRWAVDTPAWEKCDFENEGGEVEPGKRVLRLLALEL GMEGVAKEKKRAIQFGARTAKMESGRVKGTTLIS NEUTE1DRAFT_121415 MDYQNRAGSKFGGGGVASHSATNADRRERLRKLALETIDLDKDP YIFRNHLGSFECRLCLTVHQNDGSYLAHTQGKKHQTNLARRAAREQKEGKGEVDPQTG LPVGVVGAGFAALGLGAGGVRKNVVKIGRPGYKITKVRDPITRQQGLLFQLQYPDIAP GVTPKWQVMSAFSQRVEEPDRNYQYLLVAAEPYETCGFKIPARELDKREDKQFEFWDP DSKEYWVQIMFMTEREERFNAAPGLTGRR NEUTE1DRAFT_61271 MMRRSLFKAICASALSRSFPATTRRCFASSPVRFADGTLPLEGY RVLDMTRVLAGPYCTQILGDLGAEVIKIEHPVRGDDTRAWGPPYASYKSGSSMEGPGE SAYFLGVNRNKKSLALSFQDPAGVEILQKLAAKCDILVENYIPGSLKKYGLDYETLRQ INPALIYASITGYGQTGPYSKRAGYDVMVEAEFGLMHITGNRDGPPVKVGVAVTDLTT GLYTSNSIMAALLARAKTGRGQWIDACLSDCQTATLANIASSSLISGKKDSGRWGTAH PSIVPYKAFETKDGDILFGGGNDRLFGILCDGLGRPEWKDDPKYKINAQRVANRVELE AEIEKITKTKTTQEWLDVFEGKGLPYAAINDILTTLTHEHTLARNMVVEVEHEECGPI KMVNSPVKYSETTPTIRSPPPTLGQHTDEVLRDHVGLSDEQIRQLREKGVVR NEUTE1DRAFT_61273 MADQTPNVGSQQTSKPSTPNLTPGSGPTISSSASTSSARPYVPQ FSAATQLILQRLKAKEGKNDGGSSFSSVVSSKTTVITGSSHIKVEQDAFEDAKKRLVA GTGIKTSTSMSLKMPLVQPSIKMPTPAPTTTVKKNLSLPSASTAPRAASGSGSNSTTL SLPLPPPPPPPPPPKHKSLTFKSGTTSAIKNINSGLTASGKVSVVKPPKPPAAAAAAA AESKSKKAKTSTGNPVGRPPNTSKAGAAAAESSAATTNTTTGGGHRRKRIKQQQDADE FSSLSSLSDVSEVEDAAVTSTLSGAAAAAQTSLLTMTKSGRQVLKPTTYSPAAVDAAN KKHRSTASTHHYGKRTAEQALCKKCTRMHSPAQNPMVFCDGCNEGWHQRCHDPRIETE VIRDPTKGWVCSLCVAKREGGNKGLSGKKGQQRRELLEQQQQQQQQQAKVATRESWAD KPPQQKRAYLSTLPPQELVGLIMAALEVKPDLPIFPGSSPGTTSTFKGSNNNNNNSNS NGKGHKGSSGPNGKSLKDVILSRETSQENQGGEEGDEEEYDPLVALWPEPGKGLYSTL PFDVDDDDYMVDNGDFEAFSSIVYDDRGRKVLENGLRV NEUTE1DRAFT_61275 MDNNNRPHLNLGTNDTRMAPNDRTYPTTPSTFPQPVFPGQQAGG SQQYNQAYAQSGNYYQQNHNDPNTGLAHQFAHQNIGSAGRASPYGSRGPSPAQRPRTS GNSGQQQTYGNYLSAPMPSNTQTEFAPAPERNPDKYGPNANNNQKKCSQLASDFFKDS VKRARERNQRQSEMEQKLGETNDARRRESIWSTAGRKEGQYLRFLRTKDKPENYQTIK IIGKGAFGEVKLVQKKADGKVYAMKSLIKTEMFKKDQLAHVRAERDILAESDSPWVVK LYTTFQDANFLYMLMEFLPGGDLMTMLIKYEIFSEDITRFYIAEIVLAIDAVHKLGFI HRDIKPDNILLDRGGHVKLTDFGLSTGFHKLHDNNYYTQLLQGKSNKPRDNRNSVAID QINLTVSNRAQINDWRRSRRLMAYSTVGTPDYIAPEIFTGHGYSFDCDWWSLGTIMFE CLVGWPPFCAEDSHDTYRKIVNWRHSLYFPDDITLGVDAENLIRSLICNTENRLGRGG AHEIKSHAFFRGVEFDSLRRIRAPFEPRLTSAIDTTYFPTDEIDQTDNATLLKAQQAA RGAAAPAQQEESPELSLPFIGYTFKRFDNNFR NEUTE1DRAFT_121419 MADPVSPASTAPPAAPAATTATPLPPPPPPPPPPKAPRPQNQAL RMLGLPNLPNKLPSRNWMIFWTVSASITAAIIYDRREKRRNIAKWRHAVEHLAAEPIT DKLGLEQPRKLTIYLSAPPGDGLRVAQDHYTEYVKPVLAASGLDWEFVQGRREGDVRA VVAERLRKVRRGWENKDEQDPNREPTKDELIEIYRQQRGIKDYEGVRGDVVIGRHTWK EYLRGLHEGWLGPLVAPAEPAPLPPTPAPAAAEGSASTEDKPAEEKKEEEAPKPKRPP QPKPYNTTSDYPSEILHPLTPQELTPAVPIREPHILGFLNTPTRMVRFFNRRSLADDI GREVAAVCLATHREFQQQTNPDAPSTDSVQYEQAKELEWEEQDWPKKVWKEDEADADK EVTEKIHTKPVVMDPRLAHRMRRFALTPEDEDRVSKIKVPEEEVEGWIKGSLRKACRW GYDKAFNKKKLVPLEDKDVE NEUTE1DRAFT_33905 MSSRFVSVGVINPSTGEHQHQHHPPQTLSRQPPPPKTTEQKSLY EVLQANKAAKQAAFEEANKIKNQFRALDDDEIEFLEGVAERKR NEUTE1DRAFT_116598 MDLLPAKYPHDLRALRACGSGKEWDDGRKQKTIKTGHCECQLAI DGAVQQQLDRDISGLDHGSRSSGIT NEUTE1DRAFT_79962 MPGGVCAVLDYEVELMADYVSEMATRIVMPQNAVNTAFRKFVSQ ILTSTRLPSTTILLGMNYLAKRVNMMKANGQTTHSEGQIWRMLTISLLLGSKFLDDNT FQNKSWSEVSGIPVKELNTLEYEWLGVIGWRLYVNLDESEDYNAWLANWKEWLEAKKL QQAQANRERLASLVPPIKTDISRSRFSSAWEQKQIAEYEKLSSIKRSQTTHVAYSRHE QSVWGHWSQQQAPLTPPDSGYGTPEYVNSATSVNSHYNEWFDSAVRDHCQASRQYQQP ASYNSFYRGLSNRHQNSTSGYWNYTHNVWEHSLSGDCNCATCAATDNSPPYFMGHTYG QPVVG NEUTE1DRAFT_39302 MYGRTGEFVHMNDLELSSKEKSPMMGETVDPQASRPEELPKLCH IKSRNRSRRSPQYTSPCRE NEUTE1DRAFT_79965 MPSRRSGRAAAKRAQQALESTPKTFEGLDDEDEPMPDADQDDAE PSQRDGEDDVEKDDESGGDGHEEEEAPEEDESGKSPSPPPEPVIRRRRLGRPPKNRPP DWDTLPIEPPNRDENAPRRRGRGGWRGRGGRKGQHFQPTQQSIDKDGTVLDIINDEVD LPEDPEGEKKVDKLGNLQDGREYRCRTFTVLGRGDRLYMLSTEPARCVGFRDSYLFFT KHRKLYKIIVNDEEKRDMIEREIIPHSYKGRTIGIVTARSVFREFGALIIVGGRRIID DYEVAKAREEGVVEGELADPNDIFEPGKPYNKNQYVAWHGASSVYHSNIPSVPQQNAK VAPTKRRVAVNDVNWQLEHAREASNFNSILTSVRRANLNGVYDIHTNQMHYPHIIQPT HARITQVVDSEDASSSSSDLPPVKPSISRNFLITDVEIELPPAGISPAAYEVPFRTSP ADRAASARADFLAPFKGLSAVPDDIRDLLPEECRKAFDSAVKNEEEWFGRWGDEKDTT CRREPVIDKAIVPYSMNIM NEUTE1DRAFT_39488 MTDFIIPKPPQQEKFKENLQNLLMCPECKEDPPHLVEEFSSGDM VCGSCGLVLGERIIDTRSEWRTFSNDDQGNDDPSRVGDGPNQLIDGDQLQTTIAFDGK NGKNLSHLQNKITQDKNSKQLMQAYRDIQGLTDSINAGTQVANAAKHIYKLVEDNKAL KGKSQEAITAGCIFIACRQTGVPRTFREIYSLTKVSKKEIGRVFKQLESFLQKIGGEE HAITTSIPTFNQQYQGKGSTTATELCARYCSNLNFRNSVAVEDVARQLADKTSTISEL AGRSPLSVAAACIYMASHIRNESRTSKDIAAVAGVSDGTVKTAYRLLYNKKDKLLEEI FPEGVPNLDKLPAN NEUTE1DRAFT_94497 MSYNNFGERDSEFGSQRGEFGSERENERRGGYGQGSSNYGSEDR DNEYGSSNYGSNNQSYKNDAGYKGNADGDEPSRYGSSNTYGSENKHSGYGSSNNNNNN SYGSDNKQNNSSGDGGFVGKLTGFMGGSQNKNDNDNQQQSSNNESKGGFMNAFNNMAG GGAKGEKNEDLLDKGIDFVQEKFLGQGPQNNESAVEQAKDKMIAQTIRDGYKSSTGNE FPIKSKDDNNSGNEKKFGLF NEUTE1DRAFT_79975 MDYVENRMAQEAAKQTGNAESFVTPLNLVLLGMLLYTAYSWLRP HTPATIPKEEPAVVFKTFTPRTLLPYNGEKDMPVYLAVRGRVFDVTRGRNFYGPGGPY ANFAGRDASRGLACGSFDEEMLTKDLDGPLDTLSDLDKDQLEALQGWEERFLEKYLVV GKLVAVGDEDKAE NEUTE1DRAFT_109155 MKFSNLPPKPPSRQIRALQEDDGTTITVYQAYPSTIATAAVIHQ RLDASPEFRTTRMTWIKPSWAWMLYRSGYSYKDPGQERILAIKMKREDFLGLLGRGVL THGTAPPRPGPQQGQGITSDAQGRTSGERGEEAAPKESTRESKRKDRLQSLDVKIQWD PERTVRLHSLNYRSIQIGIPAGLIREWAQDMIVNIEDVTDKARTLKKILDERPDVNDE ELAELGLIPKETEFEVPVELRSRLGMYHDGLVMASDP NEUTE1DRAFT_61295 MSFVKLSKCPSNPETPSFHHVRPRFPHNHTHGRNREGSDRHPSL SLMTGKIKKGRKSVFKELDIDSDQPFHHYPGEREFGEITGLRIDDESDDGTSYRQSGS MKSPTTPTSPSSGRPWYSKLTPGRRPRISSTASAPPPGMSSFTRLATLALFIAVVIPG FSYYNGREKVSLSGADAGVIREVVQPSGPILETRTDSSTDYCARWAGQSASVNGTLYL YGGQSKTSPDQTTDTWNNDFYVLDLTKSWDTGSPRLRGLPRPSGPPAVSLGYLWNDYN NLYLYGGEFADNPYVEPTEESVWKYDIKNQQWTEYKQPKTSAGKYSTQGDLLVQRSAE GSGISVPELGLSWYFGGHLDLATTPGWSNQISRVYLKSLLEFTHPGYNNEAVYGLEDR GAGEWGAFRNITEGGLQTNQAFHERADGALVYVPGWGEKGILIGLAGGTADEFSDNLE VLDVYDIASSEWYHQRTSGDAPSVRVNLCAVIASAQDFSSFQIYVFGGQNLQPAKGQT QYSDMYILSIPSFTWMKVSDDNDDEHKPSARAGHACHLRDGQMIVVGGYTGGNTCDSP GIYVFNATSLTWQSSFKALNHDPDLHPENSVLGNSFGYQVPAPVASIIGGSGSGGATA TQPAAGSATAGPFATGKSPVLTVTTTAWGPGATTTATPGDPSDPNTETTGKDRKAGLI AAGVIAGLLGLLAIYLGYCAWLYRRQVRAYKSYLAVLNRYSTPNHPGASTASGLAAFF GFGSVGRKTSKQSRKSAVTDPLNSNGAAASNRPISLNSSISYSSNNHGYGGIPSMEPK MLFENGGGGGGGGGDPQSHESGYGSSRTSHTASRGAGSNLPRPSLGSSTHAQYPPMPP VSMLAASSSGGGGGLISSFGTPGSSPWTEVSLSGLGSGTGTTPATLSSDPSPVPGKVI NAHEEDLAGDGGEFYDEGRGSVSSTERLLEGVEPSFFSVVMRPRRALRVVNGLEEELD EKNGGGVQRGEGQARRGS NEUTE1DRAFT_61299 MFKTKPLGSLKSILPPIHQPLPLNQRESQKLLNVLKASFRAHLD REHGWTPDTNQVAPAAPTVTYLPSASPASSKDMPARPTDKHMRAILENPLFNNKAFVS RSVAAPAPAVRNNRNEIFQLAVSKGIMNIQRALGFLLAVQNDIQLSAAVSVTQSMKES GAGLLVLRWLRSSGEERSLSFLGNRTFTRLLLRYMVAEGVDELAWSWFQRLLNEPALT TGNPERAAYPAVLLDSLIMAKSQAIELDEAYTSMLRGKEVIDNTSASTQHLVQAWRKL CWQTTGQSYKHQIPPASLFESFVAVHDKLPKPLPMSVAHLTLYHPTKPSSKLALEYLK NDHVWNVKLSNCKSDNPESRFITSLASLTLDTIQNLMQTGRFTEAQKLMERFKTHLAP IGPHLGAIAF NEUTE1DRAFT_99832 MPVCALHAICYHATLPARPPCEVSLPLVGASLQLLQSRPSGAAQ LQWPRVTLVTGAMSEVQSRPVAARGRGSGRGGRGGLSTRGGRSATRTNGDSTESSSLP SLEDEGEIGQLRKQYGSKVAIIKEMFPDWSDVDVLFALNETDGDESLAVTRIAEGTIS QWGEVTKPKKVSKPKTKTDTFTSTPADSFAGSTRPVRGGRVDSGRGRGRATERGGRGG GRGKPLNATINGHKNKESEQLSVPTEEANVWGAPKPTEEASGWPEESSPSAEIPAAAP APVAPKPVEPAQKTWASMLRQSVPKPTPKPKEVAPPKPAEPVIEPLPPAAEPTPVEPE VQAEPEAEPEVPQPVEEPTPEPAKKEAPPPPPPAVPALPIVPIEPALPAIPVVPEVAL KPSKDQLTETNLEQVADDSHPPETETAASEAADSWDPRAQGPSATATPNSASQQQHQT SRAPGSGFATTASKATDRTSTRTPTYARRILDQQEPVRMPGNRDQVDRAAVQFGAFSL NEEDDIDGDREEPETRPQPPQDSPVTQPRASLPPAQPAPVPETFAAQKPAASLPPTGP AGMTLPSLANASNLRLIPFLATTATAPPTAPTQPAAAAAASQGAYYLPISSLVDDSLK TPAEAATQPPTAPSTQQFGRFGQPAPQEPAPFGASKPFDAFGQQPGAVAAQTQYETGF PVQGQVAQTQNQQQPVGAFSSAPSDYSQYYTADQSRYNYYGQNNFGQQQGTQGQQDGL SQPQRGFGYNAPQADNLSQYPQSGAQHTQNRFGSGSDMAGTPTPNQAGTQAQTAQTTQ AQTHGQQQPHDQYGPYGSHPYYSNPYYSAYMNYNGYNQGAYGAPYGKGGLGYQPNQYG MSPQAPHGYASSPAGFGQSTLHRETGVGAGGLNDYGRTASGQSAQQQGLGGSGFGGMH DAFGRGGSSYQSQAGQSFNAPGTQPGAGPSASDDLKPFGDSKAASGPSPALGGAARPG SATNNAPSQSGLPPPQSNQQSGLGGMGSYGYPNHLQGHGLHNQTGTGYGMGATGTQGH QNTYGGYGGQGFGGGYYGNPPRGGWGNNYH NEUTE1DRAFT_116602 MAPANTLSAWSDLQSHHSKVGKTFVLKDAFKSDPERFSKFARTF TLPADIASDSPNATEILFDFSKNLVTEETLDKLVRLAEEAGVEKKRDAMFAGEKINFT EDRAVFHVALRNVSNQEMKVDGVDVMNTKGGVNEVLQHMKEFSEQVRSGEWKGYTGKK LTNIINIGIGGSDLGPVMVTEALKHYGAKDMTLRFVSNVDGTHIAEALAASDPETTLF LIASKTFTTAETITNANTAKSWFLEKTGGQGDITKHFVALSTNEAEVTKFGIDAKNMF GFESWVGGRYSVWSAIGLSVALYVGYENFHKFLAGAHAMDNHFRTAPLKENIPVLGGI LSVWYSNFYNAQTHLIAPFDQYLHRFPAYLQQLSMESNGKSITSDGSAAKYTTGPIVF GEPCTNAQHSFFQLVHQGTKLIPADFILAAKSHNPISNNLHQKMLASNYLAQAEALMV GKTAEEVRAEGNVPEHLVPHKVFLGNRPTTSILVGGHIGPAELGALIVYYEHLTFTEG AIWDINSFDQWGVELGKVLAKKILKEIDEPGAGSGHDASTGGLLGAFKKYADF NEUTE1DRAFT_136721 MSWKLTKKLKETHLGPLSSPFSRSPSTSTITDKEDKSQAGSSGA ATPSNENTIAASEALTQAPIVKPPKPGILVVTLHEGSGFSLPEQYRNVFSSNHGGNSM STGSALNVAGSIRTNNSSRTANFLSGSSRPQSSGGFGAIPTNHGRISTKYMPYALIDF DKVQVFVNSVEGNPENPLWAGSNTQYKFDVSRVTELAVHLYIRNPNAAPGSGRSQDIF LGVVRINPRFEEKQQFVDDPKASKKDREKAAADFANKERALGHSGVEWVDVQYGTGKL KIGVEYVENRAGKLKIEDFELLKVVGKGSFGKVMQVRKKDTNRIYALKTIRKAHIISR SEVAHTLAERSVLAQINNPFIVPLKFTFQSPEKLYFVLAFVNGGELFHHLQKEQRFDV NRSRFYTAELLCALECLHGFNVIYRDLKPENILLDYQGHIALCDFGLCKLDMKDEDRT NTFCGTPEYLAPELLMGNGYNKTVDWWTLGVLLYEMLTGLPPFYDENTNEMYRKILSE PLHFPGPEVVPPAAKDLLTKLLNRDPQQRLGANGAAEIKAHPFFHAIDWRKLLQRKYE PAFKPNVVDALDTANFDPEFTSELPQDSYVEGPILSETMQNQFTGFSYNRPIAGLGDA GGSVKDPSFASSLQDNSSRR NEUTE1DRAFT_116604 MAPTSPTPTASATATIPFLYRIILLYIEPLFAINGAFMVFFQPA KYAAMMTRASVPYDAPSQYLYTQIGSGWLYFAFIQAVILRLYDDLRLWQLLCVGMLLS DAGYSWSVIQGVGGWSQWIKVGEWEASDWTLFWATVPLAVIRILVLLQSTAGTRKGEV KVKIDEKRK NEUTE1DRAFT_121434 MPPLRSQALRPWSRNYVKLKADTRKSLQLVCPKDEKFLTSPTWF NYRAPGELAKVTNYSPSDTSRCADITQFARDYTRRKPHRQLEEEEEEEEEEVIVLTRW HVLFVERNFIARSVGSRMYLRMHATTIDTRYFTSFSMLQDEMASRRLDETYDGISMPT MYSSLAQILNTTEDCRKQYNQYPASLVLQTRFSRLRSLNRIHDLLDGYSAARSESYHR IPR NEUTE1DRAFT_116605 MRAATLALGALSLGLAQAWTYPNCDPDNCYNEMTDERFYDQVKE FCPGFLGGSIETIPDYLENCSNDRKAVSSACSCVTYTATHTTGASTIQTSVPAVTTTA SDTTVAPTTSIPDITQPPSSTVTSAPPTSSTTTDDDSDCEDEPETSTDVTVLPSSSAV STSATITVPPSSSATITVPPSSAVSSAPVSSTTDDECTDDEPETSTDVTVPPSSSVTI TVPPSSVMSSVPVSSTTDDECTDDEPETSTDVTVPPSSSVTITVPPSSVMSSVPVSST TDDECTDDEPETTSSVVVVPSSSIPVTITSAAPVSSTTSAQESGTTEWTTSTIVTTTT RTITQCPTTVTDCPAHSTTVTTETITIGTTVCPVTSTASESSVPVTVTSAVPVPSTSV PITITSAAPVSSTSAAPIESSSESSIEWTTSTIVTTTTHTITKCPITVTDCPAHSTTV TTETITIGTTVCPVEPTSSSVPVTMPVVTSSAPVTMPIFTSSAPVTIPIVTSSAAPIP SSSAVVVPPPAPSSSAVVVPPPAPSSSAVVVPPPAPSSSAVVVLLPLPAPALWLSLLL LPAPVLSSAALPPSLFPAAALLPLLPFPLLLPSALPSAPSLSSLAPAPSLPHLWRLAP TLRRGVGCWVLSGCYSLFFKRGMDIHGTLGT NEUTE1DRAFT_61313 MAHQNPTEIQLHLIPLIPNILSALLILVDRPRTVRRSLFIIAAT LLFFQLTITTLAPDAIGTTWKCFTTWGGGICVTLASIVAMLNMPLRDPLLNSSKIGKP FAKPESSVRSPEDIVTLWQWMSVSWMAPLISTACKRQLHDKDVWLLASDFQHQTLHLL FRDLTGTVLVRLLKANGLDIVLTTCLGTFETLAELSEPVLLKQLLNALMSEIPAIRAA VVYASFILIARVLKAHSAVFKLWYERRNYERSRGEMITMIHDKTLRRKAFTIAAEVST PSSQTSTLLGDENDTLEDINNEDAEPSQPWSLRSWVRGVYQAFKHKFVVPSQREKEAP ASTGKILNLLRGDVYEVAQRFWEASTLITKPLSVIVSVVLLWKILGSASLFGIIVIGV GMVVNYYMTRLLERVEQERRATTDVKLERTSQFVESLRHLRWYDWQDRWLGHIMEIRQ KELFQRVKSNVVNRSINVINNITSYMFPVVGFAAYTLILHRPLTVDIAFPALGLFTML QNNLRDLPSLYTSLTNARVAMRRIEDFMLEPDKDDNEDDETATPAFHQSNLEISIRNA SFSWPGSDTTVLDNISFVCEPGLTLVCGKVGIGKTALLQAILGELDQHSGEKNVPAEM IGYCAQMPWLESMSIRDNILFSTPFDEARYWQVLRACCLVEDLRKFKGGDRSLIGENG TGLSGGQRARVALARAVYSRSRILLLDDPIAALDHQTAETISRNIFADQNSPLTAGRL IVLVTHRVDIVKQYAYQVLDIVPGGQVKTFKRREIAEHEKELEAQAATAPDSSPIAES STSQNTEDSSSEEEAELATVAGKFIQEEHRVHGGVMATVYWQYVKAGKLAWWATMVTL CLLIRMTNLGYNWFLKEWGEQYRKSTELGDRPHIFISHPDFKADGIIEPGRHLPPPGE NVRPWLICLAIIALVQVLFEALSDLALIAIMYNAGKSLFAKAMRCVTNATFRFYDVTP VGRLMNRLTSDMGTIDGQIAVQVLTLAFYSLGWMTSMFVIATATPVFIVPSVGMTMLF VYLYGRYLPASQDLRRLETVSLSPLMSNFGTLLEGLTTVRAFRAEPHFQNRIIATTDD FQKMDHIYWSLQAWLQYRFDLVSGLSVYALTLTAIIHGLSSGMIGFVLAAAANFVEST HQVCRRYGDMQMQFVSVERIIELLSLEQEQANTDNPPPAGWPSCTDDIVFDKVTLRYA PEFDPVLVGVSLVIPGGSTVAVTGRTGSGKSTLAYALLSTIQPDAGTGGQIRIGSVDI AKVDKHILRRHITFVAQDPVLFAGTLRDNLDPLDEHSEDERAQVLKTVLNNGFTLDTR VDGGGKNLSQGQRQLVGLGRAILRRSAIVIMDEATASIDVETATYIHQLLRMELRHST VITIAHKVEAVKDADFEIVLDKGRVVKAGRRVPKS NEUTE1DRAFT_121436 MLGLHRLSILPPALIALVLTSIPCAAVNIDFFDYPVDAYDCLNQ ASTTSNCAVGAPAEATSCFCNNGGNFVTGTARCLAESDPGDFAEVYGTLVSKCAANQT PLNVGEEQYYSAAGFTGTARSTKAIVTKASTTDSTLISAPTSLMTSTSPTGATVKTEP EETATFGSHAKSSRAEDGRVNKDDGLSTGAKAGIIAGSTVAGVAVLASLVMLLVRYRR KRGREDSHPMILEQHGNMLLIPSPAEARALEEGNTSENSGDWSDKSKWRPSSNPTDQR KSGFNWESPYDLVYIGDEPEPEPPKEAREMKEQGRAELQGCDRQPVEMSTQALSPKWS VSNDAAQRYSGTEWGAADLAGETTALSQSRGERS NEUTE1DRAFT_79996 MGPSSSPEDLVQLLSKLSVGRDFRRRPRGCPRPGVLQLSNGTDI HNLTVPTDELSGRRFEKKTYDTLPRIYYQPQLPMPPRPAGLCYPGNNDFIGLPTISSL LALPRVFPAHQGFTECSSPVIEHVKYSGYAALGFCVRDARVDPATTVPPCTLDPQLAH NGVVRTCADRTHLEPHKLCGHCSDQSRLLVLNGLPEWFTNDQIAKSRAFLCAPCSAQE AQQESRWAEAEAALGRDPEHLENLKKGYEHGAITENMMRYHDNTSFPVATPPTSDSLA QALADNAPSMFRTAKKLFRCSCKKLVTADLCFGHRLLRADQFLEQVRRMNEWVQFRFS LSERDYKPCPKCMARPGVDAHNFQNREGGADLNTIHWICMCCQETVSMPRYEFFQQDG GLGQEKNDKRLAELLGGLGLGNI NEUTE1DRAFT_61318 MSGKPFSFIGEVVESTVIKAPLSFVWHFIKLQEFDKFWSAISKA EHVKGTSEETDIVRWTFKDGNVIEVKQDEHSNLEHFITFSVINAEPELSYSSVVNTIR LWPVTSGEFANTTFIRWSTRFSSDADLGVIEDAKYKRQDALKDLSKAAEKMLAEERK NEUTE1DRAFT_39074 MSGEAGEEEQKSVPPVETVPTPPSELDSNEATGASGNQGHSAAG DAAGEAAGAAAGAAAGAQGVTTAHQQPPIIYTMASPYIIFPGGGYTAQTTTTFFHAPP PPPPPPPPPPPMPILTNTVTTTTYLTGGFAPQPPPPPPPPPGPITYYPVPAQQVQLAP QVVNGYAYMPGGTVLPNSSVVGAAPAPAPGAPPMVICVAP NEUTE1DRAFT_116607 MAEYSATVSKPTLGVAPEDATSHHVRDSKGKLIGFQNPHPSAGV LRNTLQTVGKMFLSRLQGKMPAPDLSNVQIPVIKPYFLEEKSEAQNSDTKTLRATWLG HACYYVEYPNGLRVLFDPVFEDRCAPSRYLGPKRYTQPPCRLADIPKLDAIVISHSHY DHLSEPTVRELAANNPDAHFFVGLGLASWFRDTGIDKVTEMDWWEDATLTIKKPSTSD GKETMEEIEAQVSCLPCQHSSARTGWDKDTTLWCSFAIKSGGKSVWFGGDTGYRAVPE MPAEEDDYGPKYDSLPRCPHFKHIGELRGPFNLGLIPIGAYRPRWMWSGLHSNPYDAV EIFKDTKCEKAMAIHWGTWVLTIEEVEEPPKLLKEALKTSGLPETGVFDSCAIGETKE F NEUTE1DRAFT_109170 MSQEQPPPDGVPTHGPAPGPSLLSTASASATTTAPSTIPVSVPS PADILGTPTTFDTTITTNIDRRRATSGEIDNWARTIYNYHRMHMPLPTFSPIPQNPSD LEEDDSEPTRIIFTLCSLDLRVARYAAHLFLTTFLPPSPPPSSTTTSSSSSSSKAQAQ AQPDSSPPPPRKAYQYLLFSGHSGLLTRSLTGDHISSTTSSDGDTQPQKAEDKKKFQS EASIFASIALSMGVPPSAILIEEQSTNTGENVRFTHALLEKRGIKVKDFLLVQKPYME RRTWGTFGRQWPSSFCSSSSSSSSSSSFSGASGAAGAAGVGVEWEEEEKEEKEETVKY SVTSPPLEWEEYPDEESGNGRELVINVMVGDLVRIKEYGEKGWQVKMEIPENVWEAGR RLVEEGGYGGHLPEGFRFT NEUTE1DRAFT_121440 MTLLLSATFVLLFAGQALAQDTDDILQHVDPLIGSSNGGNVFPG ASLAYGMAKAVADTNSNSNQGGFTLDGAPVTGFSMMHDSGTGGSPSLGNFALFPYTSC PGGDINRCAFPKKTRANFGGFDESSVSARPGTFGITLNTGIRADMTVTQHTALFRFTF PENSTMGEAAQPLILQDLTDLSNSRQDNGTVSVNEKTGRITGSARFLPSFAQGNYVLY FCTDFSGAEILDNGIFADSRASTSVKNMTISRSINGYPLPGGAFVRFKSGAKPILART ATSFTSVEQACRHAESEIPDFDFDRISRAATEQWQAKMGGIRISTKGVDKSLITNFYS GIYRTHINPQNYTGENPLWSSDEPYFDSFYWYVLLDIPSGGSNADVVLADAYIKGLDG GINDGYAAVVKDAEVEPFDWCCQGRGGLDSWHSLGYIPVQDFDWKGFGTLTRSISRTL EYAYNDFCIAEMAKASGRTTDQEKYALSSGNWRNLYKEDQTSFWWNGTDTGFTGFFEP RYLNGTWGYQNPLNCSNHDDFSVCSLQNNGPETFESSIWEYGFYVPHDQATLISLYGG PDRFVDRLNYLHDQNITYIGNEPSFLTVFQYHYAGRPALSAKRAHYYIPEFFGTTPDG LPGNDDSGAMGSFVAFTMMGLFPNPGQNVYLITSPFFESVNITNPLTGKIARIRNVNF DPSYKNIYIQNATLDGVKYSKNWIDHSFFTEGKELVLTLGDRESDWGTKVADLPPSLG EYKGYGNSSSSAGYGSWNDTAGSSAKRIRSWLRGDLESM NEUTE1DRAFT_116609 MAILPSASTVAAVCFPLFCRIQLICATFITQCDATKHVQFMRYS PTLLCAQHGGSALTELAMVISSHRPFA NEUTE1DRAFT_121442 MVLPYKSNTTATLTHPTALSPPPAPPSPKLKLEKTHMRLRSDSA LSMHTSKSSFRQYPDSRSESPMNTPWYWKKQKDVDAVSTIDTISLGGTTSVASSEYAQ YVGSLPNLFDRTVIKIAFSDPEVGRKLRDFAKPRNYSVDLGLMLETNTKQCARTALPS LERLYREAKVAAEERLVNDLYPEFVKSQLANRLRASLSVTQPSSSIPFKSTYPGLGNA FCLTDSWKPDNPIVYASEGFLRMFGFERHEVLQRNCRFMQGNSTNGDAVHRMRNAIFM GREHTELIVNYRKDGTPFWNFLFICPLVEDGIVRYCLGGQINISKGMGTSHQEIMDLF NFDTPTEENSLPSTSTESYIGSAQRPAIHIQDSCPPGENSPVTPRRSHRQRLFDRFRP RKNVLDTSHAERQPDDPTILMNARKSPSPSPMDGHMENWGISNPFHDHYQVEPEPPKP TPISTPYSCFFVMRYVPSPSTSFHIFPHQTNDKRHSRLPHLPVAFCSTEALDFLGLKG YDSSIVQSRSIFSLLVCATSSTDLIEKTFRSTVLESMAAGESISLEISTLAYDPAPST TTPSPSRDTKTADKPPSVFSSARARSRSNVGREGKTAATREGALSAASSDYRPSSSRV FSDKLKEHGAVERLGNMFAFRGLGGGGGGGKDAASASKVEEQGDKGHWGQGQEQQTKG KRLVSHWTALKDGEGKVAWVVLILTPATAAAASSA NEUTE1DRAFT_80007 MRIIFTRALCAARPTRRTITLPSLIQSQHNLSLTQPYTTTNIKT TQSQQTNHNNTNKMSGQGFSNADTGNKPADPYKQANLDTEVPLDQKINDLASFMTSNK FSMMTTRDSKTGYLLSRCMALAATESGGIDLLFHTNTESGKTDDLKSDEHINISFLNS TTGDWASVSGTASIVTDRDLVRKHYNPHLKAWFGDLGDGVHDGGPEDPRVGVIRVKMV TAHYAISTKNIVGKVADVAQGVITGKPASVNKLREVSEQEVQSWRSSH NEUTE1DRAFT_61330 MLYLVGLGLSDETDITVKGLEVVKKAERVYLEAYTSILLVDQAT LESYYGRPIVVADREMVESNSDEILRDADKVDVAFCVVGDPFGATTHTDLVLRARELG IQVRTVPNASIMSGIGAAGLQLYNFGQTVSMVFFLDNWRPASFYDRIKENRSIGLHTL VLLDIKVKEQSLENMARGRKIYEPPRYMTVGTCAQQMLEIEEEKQEGVYGPESLAIGC ARVGGKTEKFVSGTLKELCDADDLLGPPLHSLILLGRRTHELEHDFVREFAVNKENWD RIWKAEYCGKQ NEUTE1DRAFT_80013 MSKNSSAYGQAAGDTDFRKKYDLDEYAAKARDREEAEKEERKAR WEAKMAGKKYHKPLEGHETLTTARSGHQDFSKLVGTTMLVPAGAGVGKRGRGAGIYCE ACDLTFKDNLQWIEHTNSMQHQRNTGHTGEVRKATAEEVHQRIEQLWEKLQERKREQV VSLQERLEVRKVEDEKEREEKRRKRKEVEERKRLEKEEALKAKTNYGEDVRIEGEHDE DDMMAAMGFTGFGVPKK NEUTE1DRAFT_61335 MAQSSAAATQLRKELKQMQKDTDIPGISCGLVNDNNIFEWEVML MIPDEVKYYGGGNFRAHLHFPPNYPLMPPTFTFQNPIPFHPNIYPSGELCISILHPPE EDKYGYEDASERWSPARSPEKVLLSIISLFSDPNPDSPANVEAARLLREEKEGKHKEF RKRCRACVRESLGEE NEUTE1DRAFT_129003 MSSTTSSPGAKIQQAVTDKLPHLPETKEELKAEIKAEAKAAASA GASQLRSFVAGGFGGVCAVVVGHPFDLAKVRLQTAEKGVYTGAIDVVKKSIAKDGLRR GLYAGVSAPLVGVTPMFAVSFWGYDLGKQIVRATSTVAPDGNLSIAQISAAGFFSAIP MTAITAPFERVKVILQVQGQQKLAPGEKPKYSGGMDVVRQLYKEGGVRSVFRGSVATL ARDGPGSAAYFAAYEYIKKALTPKDPVTGEASGKLSLGAITVAGAGAGVAMWIPVFPI DTVKSRLQTAEGNVTIGGVVKQLYRAGGYKAFFPGFAPALARAVPANAATFLGVELAH QAMNKAFN NEUTE1DRAFT_61342 MATSYALPASTYPQHHHLASDHLRSHGHGHDHCDHSHSHSHGHS HDHSHGHAHSHTPPSLPSLSSLDSPPSRRGSYATGAHSHKKTQSNDYNYRHTKTRPNI PPLGAIKHFRTGSTAGGRPIITPTTAGFEKGHGFEPPATATSHGHHHHSAEWSKFTAF LLPYTSKYPIIHAVMTEKDSRRIFYFMSINLSFMAVQAFYGYVTDSLGLLSDSIHMFF DCVALAVGLVAAVASKWPPSERFPYGFGKIETLSGFANGVFLVLISVEIMFEAIERMM EGRETKRLGELFVVSTMGLLVNLVGMAAFGHHHHGHDHGHGHSHGSSCGGDAHGHSHS HGHSHSHSHDHKHDHGHSHGHSHGGHSHSHDNENMHGIYLHVLADTLGSAAVIVSTIL THFVPWSGWDPLASFLIAVLILLSSLPLVISSARRLLLTIPPETEYNLRETLSGISGL RGVAGYSVPKFWIDDRNSGEESSGNMLLGVMHVQAVRGADMEDVRDRVRNYLLGHHID ITLQVEREGESSCWCGAGRSPLSQSQSTNQF NEUTE1DRAFT_121451 MASIPVALVIPLVLTGLALLVTYLVLGPSKYDGPSSGKGVVVHV LVLGDIGRSPRMTYHALSIAKHGGKVNLIGYLETPPHPSLLSSPSITIHALPPPPVRP ARIPFLLFAPFKVLHQFFCLFALLSYTLPSSQWLLVQNPPSIPTLFIASLVCRLHSTK LLIDWHNYGWTILSSTRGPRHPLVYIAKLYECLFGRLGDHNLTVTHAMARQLRLPPYK IQGPITAVHDRPAEIFKPMWWGKARQDVLERVLPVEQRDLVPSIMEGNTKLIVSSTSW TRDEDFGILLDALVAYAADPVSETTPVLAVITGKGPQKEMYLAQIAELTRSGQLPNVS IVTAFLPFEDYAKLLACADLGVCLHMSSSGVDLPMKVVDMFGAGLPVAAFCGYESFGE LVKEGVNGRGFETYGELSIILRTLLSPEGSGELEVLRKGAVKEGARRWDEEWDAKVAK IMGFAA NEUTE1DRAFT_80029 MSDEPKPQQKFLPLRELSLNSRPFVKRAIAFSCDGELAVAADDS VHVYVPEFPDLTKRRQEKEKLAQAQVQHHQILVPGSHTPSTSWSDDEEGQSKSRRNNP RAQFSEGSKHMPVSYPPLDPRINRELFQTQNLPFPYDNQAAAGGNDDDDSDSEDNVSD ALSSAADSDDDDGVGAHQRANQPFGAGFGPITGVGSSMNHVVRIAWSPSGLGLNRRPI LAILTGSGTLAMYGDDSAVANILPRANEGMLQRRELISWSVLWGVGERLMVPGQQLVL TENIHGFAWAKEIAPGQALLATINDQREVALISVQSMLKVDETRSKSSISLLVESKES LVWNYVGFRKVTLASNWKRGELPELKSEETDTYGRCLHLSTDSFVEFEDAVWNAGRVK YCRGFIVTGFDYKPFEVAVVGGEEYSCESHDSSDCGTTYMDEAVDQQSTNPIIDLVIH RPDLKKQTPVPLFTLIRMSATSTNLDWYETNVPALPGEVATSINEDSDLVNSPSETHE PQWVTQVLQKLAVSVPADMHFKRGYGDDDDVSVASDESDEDEDLDDDDFYGNDDDNTI DMTNNGKDAMAVAPEVPEIHPHRFRLHGLTVSSGGNVTAMIASLHSTQHPERGGWHTV RSTVFFGTKPRKRGATLPYDQADQVQEATEKEKERGAEEAIDPALRGPERPLTPSPVP TRNSVTKSAEPQQSQSRLTTEARLFEWLYGGGDEVPGVTINHPSNLVNLNAPEHQFPQ KLNTLFAYAIARQKCDLCGARILPPVTKKQQHSQQQQQKDKRSGLSGCENGHFFSVCT TSGLAIQMPGITRNCGACGSRTMRAEILARKCIPPNPKFSDEDKQPEQQVKDKDGDVE MQEGEGDADARPLTEEEQLDIKRKNAEKRIRGEIIAMLGDGICGGCGGKFLN NEUTE1DRAFT_129007 MKLGNILRLPALLGAMLLGTAAVVEAEHTSNWAVLVCTSRFWFN YRHLANVLSIYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYSNADRAVDLYGDN IEVDYRGYEVTVENFIRLLTDRVGDEMPRSKRLLTDDRSNILVYMTGHGGNEFLKFQD AEEIGAFDLADAFEQMWEKKRYHEILFMIDTCQANTMYSKLYSPNIIATGSSELDQSS YSHHADNDIGVAVIDRYTYYNLEFLENEVKDTSSKKTVGDLFDSYTYEKIHSNAGVRY DLFPGGAEAARSRLVTDFFGNVQNVEVDGSKNTTLEEDLLALSKTIAALREKAGEEEA ISVGKGNAARNETRKETKNETLLTHKSQFAKPLTDDDWLMKKLSALGAVVGCAVLWQM GSWLESAQK NEUTE1DRAFT_145648 MGKPPAYLFVVRHGLRLDVADKDWHLTSPTPYDPPLTYGGWNQA KNLGARIANIIRERVEEDETAAKAAAQTDPTAKPTRKRYEVVIHSSPFLRCIQTSVAI SAGLAQDSTPFGPSTGGDDKELSGNFAHGHRARPSTASNSASGPAPLKIEVVQGTAIR KSVLRLDAFLGEWLSPSYYELISPPPESVMMLASAKADLLRREDYSSYPHFNAYNHSN LQGQLWSPTPQRTHSSIDLLEKDDLPGVASSVPRSESASSQSTCQSQPDSALQSASHP FPAMGYVPPVPHYAINNNSTIPPGYVAHARDACCDIDYQWDSMRAPLEWGDGGSFPEE WTSMHSRFRAGIQMLVDWYSKAEHPTKMVTKTVSRFGRRESTQAQESEDSDDVEIESI VILVSHGAGCNALIGAITHQPVLADVAMASLTMAVRKPDQEIHENIQRTNTGQKGMIP IHHYYDLKLFANTDHLRIPGQAPNLSRSTSISVASIATARNRYTHSHSISTSNFSYQE TVRGGGDVPSNSLSASRRGSSGSSTTPRFVFGTSCATNGSGGGITVGSGVSSFGLSKP SSLSIGRGRKTSIGLWSPTSLRNSTGFDDDDDDDNMVLNFGTPANDSKPSTMKS NEUTE1DRAFT_116614 MGPTSAMDSLPNEILNDILDLLPTRALLPLVRVNSHFYSVALHI LHQRLLQAAALPEHRLILECYHPSAKLSTPYLYCDYLRTDKIGAGPNGDEEANGPEPE PTLAGLKGLYSHFRPVVQEENRRPRLRYPRRQQQQINNGEPSTDAADHRPSEEVFLDE GELFSQLCCTTNLVKVGPKPGFFLSNVNVSDGIMRVWRSWLSDQTKSTLSSVASSASS STTDLHANANRSAQREATREKATESPEERLARLAEAKAARTVLWADPERNVGVRFRVT KKATDDYINNRHYRREQPLLVPADEAFDEEEQPVAYQLEFDEVLVRSSRLLFTMQKSE EVSEGAGGNHEAVFMDPTGPVSIPVQMIFWPSMLIGGDSNTFHWNHMSALEMTGRA NEUTE1DRAFT_99861 MNQLHTNHVGVNIWHGGLNVMSKALGLTSVKCARSCVGLLSIPR LCHSTASLSTAGQRQRDNNTRFGNKQLGRALERNKLGRRDRSQHGVASTSPSYQGTFQ AKSAGDNAFYKHTAGTKQTATTDTKLKEKHNTQPIWISVKHFQLSKPAADSTTALKIT SFAEHDLGNTARNGVITRKKIWAFKTTHTVVMDISSFDYKDHQIWARQAQWS NEUTE1DRAFT_38855 MTNAFKEHERDLRLSIYTIIVVTTTWGPPLLGGITSRGQTGFEL QFTVLSCFFVLAVPAIALGVPETAYDRADTLPRIDEGSESPYKESMCQGIRRDRILDA INDYIVKMKPYSYTSGSADSITLLEAPRAFIAPTTVILFFTSLFPYSSLWALSSTLSL LFHSVYTTTTIGVLMTGPWLLATATATVLTLLPFFIPRLQSRFPVLSSLSTQFNNKVI TVAITAGSFLSFIGILTFGLHTNVGIDNGKISSTNLPAISFVLGLLAAGAYVFDAISR PLIGLSTASTSSPDNQTTATRHENDMAAGVACWRTLFAGILVIAVPSGISSSSSGAAL SSGHGLRDMCIGFAVVQTVIAAIVAGVWWFYGEEVRRWDGVVMKLEDSGTAGKGSKGR EGSFFDTD NEUTE1DRAFT_99863 MQIHQRKQSEPNSSKLLSHLDVAFKNNITSHHIMSHPKPKIATP AAPNGQYQHFVPQFLLKNFAHRVPKVFKKPKGTPKPKYEKGMYPGDLVLNSLNLTQDP AVFTEKPVSRIFGQINMYDDFTKPTGEQRRVEKLLSKLEAQAALIFTKITKAYAAKEG GVVLTRDERNLIRKFLYLMKYRSRGLYTRFCHDRPDAYSEDDQEFFRKYMNEHPQFKT PMQVWLHNIETIINLDMDTESKWVDELCEKMYYGDAIWFVSHIQEFYMALCVPSEAEN EFILTDNSYSIYEGPSEYGRDGTSNKEKCLAYLELHFFAPVSPKIMVVLRSVLLPEPH EDQNPEIKKGRDFTRSLFLDPYSSSNGMLADLPVHKAGNSYSTIVDGRVVFQEGYDGR KTKNDKFRFPFFPIGSQHVNTINSIFFINCVHCTSFVFNSKQAFARTLEWYLTTPPLP LDMILAGEDEEGRLKTLKKLEVVSRSLGLKKAAFWMMPTLIQDHETIRLRLLGRRFFK TFMELLALTWGSSEVDAAFDDYQLLGGSCDTVEKDIDQVVRMKTLRIKIDSWSLGIDE DIRQRNRELLVNAYLRLPSHRLWLYIQSWRDMVMEHEGINLLSLQMGWPGKPERAIIH GAGSITKCGIQQIEDPARSTDLLAMAMAADNTLTTKDRTWTSQLERFDRFDRLELLIR STCRKGFAIIMESERIKHELSRQVIEDLEKVLFTLVYPTPPPPFP NEUTE1DRAFT_136747 MLIGKMLKLSQQLNKLSKPILVYLAALQDVPVNPIHIATAAAAT TTTTTTSYYVASTCTESPTAVCHYHQIPQYHPGGGGGGGGGGTWPTPFPLVYSPSTAS SLSSVMGWEVWLWWSVGFWRGEMEGAEENVPLSIIRSLVA NEUTE1DRAFT_121458 MFPIDGERPFVLMALLSAAKLVILIGVFCFVVAVEGRPDVHHHF GFPDPSQRAPSAPVAQEAPGNDRVSKYYLHVHGIIAALAFVILFPLGSILIRLLPGRL ALFAHATWQLSTLIVYLAAVGLGIHLIKRDPNTMRNGRLNYHPIIGIFILALLFIQPL VGIFHHKEYKVNRRRGVWSALHLILGRIAITIGMINGYIGLIAMDDDTKTKVKAIYVA IALAIWLLWTAMSIWWEWKRHRRERAEKELERALAEDGTGVGADRGVNDQSDTRAREA LRKAKRSRG NEUTE1DRAFT_121459 MRSTIIITALAASGTVNAGVAGTKNTKRASITPITAKGNAFFKG DERFYVRGIAYQPGGSSANLDPLADPEICLEDIKKFEDLGINVVRVYSTDNSKSHEEC MNALADAGIYVALDVNNPLYSINRATPHPSYNAVYLQSVFATIDEFAQYDNTFAFFSG NEVIHDEAESTLAAPYVKATDRDMRAYIKARKYRAIPVGYSAADVSKNRMQTAKYFNC GSDEERSDFFAFNDYSWCKSDFKTSGWDVKVKNFTDYGLPIFLSEYGCTINGRDFGEV SALMDPKLMTKVYSGGLMYEYTMEENGYGIVNITKGKDITDQTGARIELPEFAAYKKA LAKYPAPTGDGGYSQTTKAQDCPPKDDDWLVDSDELPEMPAAAKKYFENGAGKGPGLN GAGSQWAGDASPTTGSGSGSGSGSGSGSGSSSSATASATHNAAGFSVAGPVNKGPFVV TGLALLFTLVGAVAL NEUTE1DRAFT_121460 MLFSEIFVIVVACITWGLTSLGMAVYYHHLLPLEARRRSPTLQN SSFLLTLVSTLLFIAAFIFWPFIALWNLCAQSPAIVRGTTCCNSNMSRGRSKAGYCGC SCISCEPCISDEVYEMEKTRKEESRRIVCGVQSVQPTMQQTMEMRPYSATSTAQEKRV VESNESLTESAQPTPRVDKC NEUTE1DRAFT_80044 MASLSSKVPRTKTVRSAGTSSSSLSKPIPFSRSTASATSHASHT TYTSSVTSATGPPSSTTKPTTNTSNASHVSNISLFLTNLRLLDLDLLPDWPDINTATF SVKDAAQGQKKRIQCVEWALYQLFNLWDSEETRNKLKPFFPPLENVQSLNLRAALVRS LEQAKKNGVLGRDAIVRKTMLDECKGDRFEEILAVFSSAVLKKVVAEQQLHDPRRRHP ALAQALALEDRGYSGDRSELTALIVAHRASLCRTLRDKNATRERYREFSNLLRIKEQT VADRRQRVQASQRRRQNAGREISNDVKLDVWRTVRHNWSGNERWLETLLHGDKNTRID GVLSAPFDRVWQRVQTGRISELEDKSDSLLDELDDRVKSQKERLQRWQTFRQQMFGKT SKDSTTKESAPQPNPKGIDLGLRGHEALHRGRSVRRLTLLKPVTPIELGGKYKELLND FKSELSNIDPKLTNIPSWLQKPREPPRQESDTDVISNINDEDRNLAAAPAQTCQREFT AAEDMSYQPILEKPKSVHDDGEPLAYSNAAAEGSSCLTRNQQRQEATNPTPPQSAVSP PRLRPSLISIEEKQPERRDRPPTPPTEGTRRQYSSHPSPEPQQLADQILASVDTASPS PLNKPRYILSLAERTRLTLAQCNSQAAADNDDAYGDVDEAEDTYFSPVRSASHKRSHT ISSPYKASTSGTPGDSHNGAGGSGSGGGGASTYEDLMTRTRRSMANFESAQKKAQMER RRSERKSKQLAPPSSKGGYFPAMGEEEEEEGKSALLLAEELLSAGQDVDYDAVFRSRP KIARGPTPGKEDGGGFGEWE NEUTE1DRAFT_116617 MELRSALQSVCRTTAAAASASARSSLAGRHAFSTSARVQLQPPP NPYVSGKSRIETLRAALSNRKPAAGAAAGGSPGATSSSSALPPRPPTANDSPWSIVDA INKDSSSSTSSTSSSGALYSSSKPSPMQTWNETDFETRHMAPQQELNIRLRPSTGRTF YVSGHQDFAGALKQLHRTVAANKVKKDVRLQRFHERPALKRKRNLRERWRARFKEGFK AAVNRTFELKNQGW NEUTE1DRAFT_80046 MAFNPYGAPPYGPPPTFAAYPGVPMAPGMVPPPGLGPPPGMSSA PGLAPPPGVQQPPPATQANRPSGLPASFQPPPNLNINFNAPVIRLGASSLPNKPGMPQ GNDRKDSHTPTSANRPGLGSDRVEQSRAQLRESIQNLVPPTPEERLRTIFLHKIPDGL GGEEGVQKLLHTVGRLRRWDSGHSHLTDCKGALFGFAQYEDPDSLAIAVELLKDLEVP VKKQTPTENEPPKDDDEAFAADIDKVKLKVEVDVTTTKYLEARKEERGEDAAFEAKLG EAKVALKQFVRDLFYPRLRTGQDADGDTTMADGTNAAGDSVEVINIPLAQEDELADIP AEMRETVAAEIAAFRDRSNKRDLERLRREEEFEERERQRNGAPRISRLDSPPPTDAAN SNHVPLGPRGVPNAPSGPRGQQGRGVEFVGGGTSNGYNFKDEDDTDADDDELYQREVN KQKAEEEKLYLEAERKWVNRERQRAAALEREKEREKTETEGFARRKEEQLEREKSWDD EREAARKHHMYYRDHAHWVRKRLQERNDEQARDEMDRRAEEDERRKAEAEMEQARGMA DSFLERQAEEMERRPAAAPAAPQRFTISFGAAAQKAAQRSAGARRTVAEVEGLLDDEE QEQTTKRQLVPIKFEPITDTKAMSEEDLQKAVRALAQEIPADKDGLWAWDVKWDYLEE SIISEKLRPFVEKKVVEYLGVQEQFLVDVVEEHLRKHQKPAELVETLGEALDEDAEDL VKKLWRMVIFFTESEKRGLPA NEUTE1DRAFT_61367 MSAPTQSFKTPPPSVPNTLLSFPAPHVLLVTLNRPAQLNAIPTS QHKRLAALWDWFDAEPALRCAVITGVGRAFCAGADLKEWNELHSKFQGQQKRKDDGGG GKGLVDGVEHGGQYGTLGKRREALRKAEGMKSGEEPDALAEGIVKEGRARDGKMKDED KLTTGIGGGYGTVDNRRKAIESAAHLTEETAPKRDLSDVDDARINTGIGGGYGTVASR KAAAAAARVANNESSSRVGNLGLNAGFGGLSNRSGKKPIIAAVNGLCLGGGMEMVINC DMVIASSNARFGLPEVKVGVIAVAGALPRLVRTVGKQRAAEMALLGRNRYSAEQMERW GVVNFIVSGEQALVEEAVKLAEEVSSNSPDAVLTSKEGLRLGWEGMGPEKATAVLEGG MYRKLEKGENMREGVASFVEKRKPVWKDSKL NEUTE1DRAFT_80051 MKFLAFAALVAAVVSAFPAPELPAGIPRSLSEFKEKHPYTVKRS SHCRKTVSIRASQNDTDDVADDFLRGLKQANNGGTLYLPKDKTFVIGKPLDLTFLNDV QVHLEGEIKFTNDTAYWQKNAFAHPFQNSLMFWKWGGKNIKIYGNGVLNGNGQRWWNE FAGSEILDPGNTYLRPILFYAENTTGIEIEGIHFKDSPCWTTFFVTSKHISFKDVACT AESNNATALPKNSDFFDSLNVEHVSVERAWVNIGDDCFSPKSNATDLYVNTMYCNGTH GQSMGSIGQYSGEKSFIRDVVIENLWMLNGQHGARLKSWAGPDVGYGFIDNVTFRNFW NANNEYSAFLDSCYFNVNATTCSAYPSQVNISNVNFENFSGYTSGKYGRAVARLTCSP NAVCENIKFKNFNVTSPCGGEPVVICDGISGDLGVPCVNSTSPEAKAALKDKCSTAMA TLPKPTPWL NEUTE1DRAFT_109194 MEHGWNYISLFVFSLILILANAASVHSANTRRQLVAAIEPNTVL VEHHDQGYLAPAIPFLKPFTATTAPATTATETNKIEAVYLPPSSFPPEASCMMAIWSL IVDIPTPGPALSAALHQAKDTLAPSAMASPQCTITLPATLSSEYGVYTSRVSSWVSKH SEELDTLDSVCAETSRDMRTGPGCTAPVAVFTGASSVGATSTGATSTGATSTGATSTG TSTAAGGGSGGGSSTAKATGSSTGVMTSTKTGTGMTTTTTTMATVTSSVTTSLTGSAT TVAGATSSQSVSGPQQAGGAARVTGVMVAAMVVAAILAVVVAL NEUTE1DRAFT_129019 MSASGRLGLPSDVDAITNVIIKTMPLDPQWDYRFPLRKEFPEDH YKYTRMLFEYFLDPSFDDWTVMVIEDVDPAGSEKLKIASISMDHTMSPRTATNREVDK RGGSTRRDANQQHFNAFHNGQAKAYKKYFGDIGPEQLHLQILATLPDFQRRGHATTLC RWGMDVIRRESLKDISVMASPMGYHLYTRLGFDHLATVIIQVLGEDEKLTLQAMKYAS IVDGKLANL NEUTE1DRAFT_121467 MIGVDAYSSLPRGPSGSGEDDGFYSAPPGYRWPTSEDHHRVQSW NFVAKQPIANLNSANPGQDQHSYGAFHLNLNHLSSSINNAPALADVVSSSQPWQPVGA SGHSGWPDQENGSHLIQGYVDELRDAGNQWLGSQQDLYNWVTQPCDGYDGHDVDRESI GFDHSVGVQSPLDGCGSLIPYSTSRTPQLRDDPQTPSLSTSATTPESTGNSGPPTPEP SLPKSIPKSQSATFQFVQYTAGSDIGDRTSKKRLTHDDDDKDGSAKIVRKDVMRDQEG TFKGLHIVLHPGEKIVKKVRRTEEQKRTSALARKNGACPNPRSKPIQVEMFANNKQSF AGPAVNEPLFIKRETVFRLTDFSKPDVGVIRLELTQNIGKHHLVYFYSSKQDYLHMLK DNGGLTWDIVSMAIEYAKTKKTKYSNTKFLEQAFHTAKIILSRFHYACNGSVPLGLDW KASQVSSMAKLGPKEVEFMQRIQKEFKKREHDLRNLPSKHEYETDGHWYHQLFIEDWD TSPVDVKDPYE NEUTE1DRAFT_99874 MTCPLQSTRACNLALPQKDTNPIIRPDIDWIPSYKVFKERVQRL AAQNLNRPTTLPAGWPAKITSERVWSGSDFKSEDDYVVKLSQEDILEIESALEYFKTL DLGPDDVSKNNFPLPDLGPKLEEVSDIIHNGRGFVVLRGLNSDKYSSTDNILLYLGVT SYIAETRGMQDFDGRMILHIQAVRKESDVAQHGSMPNSPYVNRAQPFHTDLCDVLSLY ALGVAKYGGESFLASSATIYNEIARLRPDVIHILAQDDWPFDEFYKNQYHTRPLLYNF SSSSSSSSVDGRRKEHHGPGFQFSRRPLTGAHFSPHHPLVPAMSEVQAEALDMVYFLA KEHALEIQLQKGDMQIFNNFAMLHARSSFVDEGEHNKRHMLRLWLRNEQKMWRSDSEG LDKVGREVYEWDKEEWRREVGTKWDIEQSPPELRVDFKRASCA NEUTE1DRAFT_136759 MTTETTSTVEKTPSPKPAVNDRRKLQNRIAQRRFRQKKAMEARA ASLQAEGIWHEYFNLHPQYAGNYEVPYLGPSVPTSPTDVPQQGWQPTIEYQIGAWDSQ LFGSDNFCPSNPPADIVAPMSPPNSSASSSVSPDGSVYGGEAPNKTTYFPLRDQEGYP FSMQPNQLFCDGMFSGAPLDINGSISSTSHHGLSNDAPLGENTDTQLQDQVATKWNVH KPAQSTSSCTWTSSDGEPWEPLLHTAAKNGNCGIILMLLDHNVDVNERNSNGMTALHV AIENSQEDVIMLLLQRGVDVNVEDNSHRTALSMAVSNNSESGVRLCLMHGADLKLAKA SSFGDSLGNHGGGVTVDV NEUTE1DRAFT_129022 MTTIGSLQRLSATSLSKLILAEQASTAAAAAGTENSRSTLAIID VRDDDYIGGHIKGSQNVPSHKLDAMLPTLVRQLQDKETVVFHCALSQQRGPSAALRYI RERDRLMPKEVETKLAATVEEAVGADGEGEVKKKQREEEEKKKPVDQKVFVLDRGFVG WQEAFGLDERLTEGYSKELWRDGYWM NEUTE1DRAFT_136761 MKNRDHLIYGLIFGFIVCCSAALTTLDYVASAESAETGQNPFSS WPHHHPPVVARHPVSGHEDLGSQPVFATVTSAISVAVAAEATHSPFPTTTPTAVGPCR RNNSLLATISSLSGALQEANSNAIYFSSLLATSIIGLQESIDHLTSSASSALASVQAS ASIAIASTEESASNAVIAAERSAASTVSSMSEKLGQGTVTVTATVVSVTTDLIGPTIT ADPNSTIAPNNPQALNNPDIKAVQGAASSVNRAAIAVVVAIIGSSVLSLAGFYLFVRY RKRKQKQKEEDQNVSDALDRAIVSYIVNDQASPTKSGDPFSPTGPPGPIHLETIQEAH TPSPTQGPQPPPVRRPSQAYLPSPTPWTPQFEPSADISQGKMGQAVGRKYSTSSDILL PSPGPPPTIPLPTPPTTQDIRRNISIRYAPECSKSVYGDILARPLETVPTNTSVMSGT SGGGHPLGHSRTASATSARNNSIDERMASNASASARGKHARGPSTTTSRRRAASTGSV RSQGQSMGDQQQWLQKQQQLQPGRRDDSRDPSWPLPKNGLI NEUTE1DRAFT_116621 MAPPPPADLPLAQRIQKLAQTLQFAWFAGHATLLLCVTRYAFSW LRMNYYGRMAQFCYRTTFLSAALTYGIVVYKTWRARQKTGAKPANFVSYLTDENVQYL LLALVWLFMPQYPIAMLPFAIYSVFHVATYTRANLIPTIMPPTKVAPAAGASPSAKPQ YTQHPMSDAIGSFVKQYYDSSMSVVANIELVLWIRILLSAIIFQRRSWILLVIYTVFL RTRFAQSSHVQNSFSTFEARIDNLIGAQGTPPAARQAWETVKGLARQFHQATDINKYI SGAAAPKKTS NEUTE1DRAFT_61384 MVSLLRQLVAGPRQQHEDTGLDLCYVTSNIIATSGPSQTYPQLA YRNPLNRLVSFLDEKHGDGWSIWEFRAEGTGYPDEAVYNRIRHYPWPDHHPPPFRLVP LITASMRDWLDGEDGGSSGDSRDTNTADRNKHDLDRPRQKGERVVVVHCKAGKGRSGT SICSYLISECGWTAADALARFTERRMRPNFGKGVSIPSQLRYVGYVERWAHAGSEPAK RKIYVDRPIEIVEIHVWGLRHGVKLAVEGFADEGKRIETLHTFGKDERIVVKGDAPGG GGVMDMFYDMAGYGAGRESDLEEEEEHKKEVSGLDGAKSDVDDDVTDRSTTDASSARR SRSKEKVDKMGSTASNLMRKISRQKPVLADESEPGGRAVIFKPKKPIIVPNSDINIDI ERRSRASASMGLTMVTAVGHVWFNAFFEGNGPEQDGQADDSGVFEIEWDELDGIKGSS KKGTRALDRLSVVWKVAGTGTGTRVTVITQPGEGSPVPQMKPADWKGANPEQLPKEKG LGLRAETTESASVSKASSLLDVDVTEGDNKDDESLAGVKTSDPKGEELDEVATPKASA NEUTE1DRAFT_80070 MSGEMANEVKLISGRSHPELSEKVAKRLGIEVARTISLNYSNQE TSFTVGESVRDEDVFIIQSTTTGDVNEGLMELLIAISACRTASARRITAVIPNFPYAR QDKKDKSRAPISARLVANMLQTAGANHIVTVDLHASQVRSVFAIQGFFSVPVDNLYAE PSFLRYIRENYKPEDCVIVSPDAGGAKRATSIADHLNTGFALIHKERPRPNVVGRMVL VGNVEDKIAILVDDMADTCGTLVKAASVLKENGAKAVLALVTHGILSGKAIENLNGSV LEALICTNTVPLGDKIERCPKIRVIDISPTIAEAIRRTHNGESVSYLFNHAPV NEUTE1DRAFT_80073 MLSNPLHRFAPYHAMPSPTLLSGGHVSASHLHAAGLDTMGPGSH YALQQLQQHVSVHNHHLARAGPQPKHRQHPYGPATRATGAAGPIRRRISRACDQCNQL RTKCDGQHPCAHCIEFGLGCEYIRERKKRGKASRKDLAAQAAAAAAAPLNGHKNPSQA GENDQSPPNRTESTTAAKRASSLPIEHQTTSNDKTMSDMSEGSVRSQRTGSMDSIDLG AHQTHIASHPGAMDRDLESPAALDLSYGNIHQEYHRQGMGAHLMNGASHHTPYGSNQA AMSNYPDLPYALHTQSPTGYSANTSSGFRIGTSPLSAYPMAGGSTSPGWMNLASPPPQ FAQHVPQPTYSHAQLRYPVLEPLLPHLGNLMPVSLACDLIDLYFASSSSAQMHPMSPY VLGFVFRKRSFLHPTKPRQCQPALLASMLWVAAQTSDAPFLTTVPSARGKICQKLLEL TVSLLKPLIHTPSEEPSPVSSPIVDGVALGGLGVALPGSISMDALTGETGAFGAAGTL DDVVTYIHLATVVSASEYKGASLRWWNAAWSLARELKLGREIPQNSPSMQNSGSELDG EMGNIPGMITEEEREERRRIWWLVYIVDRHLALCYNRPLFLLDIECDGLLQPMDDTDY QNGNFYAYTDPNVLASDPNTPAARHRGPSFVCTGHSIFGYFLPLMTILGEIVDLHHAR NHPRFGVGFRSSREWDDQTAEITRHLEIYEESIKRFEHRNLSLSAQAQAADEKAAEAA GVPTANDAPHDAGTPSVQSVHSVHTTSSRMTESDIQTRIVMAYGTHVMHVLHILLTGK WDPINLLDDNDLWISSQGFITATGHAVSAAEAISNILEYDPGLEFMPFFFGIYLLQGS FLLLLIADKLQVEASPSVVKACETIIRAHEACVVTLNTEYQRNFSRVMRSALAQVRGR VPEDLGEQHQRRRELLALYRWTGDGTGLAL NEUTE1DRAFT_99882 MENKGFLHSLGNHIYLEKQDKLRDLGINLQTSQIIVVGGQSSGK SSLLESLTGFSLPRGQGCCTRFATQITLRRHPTKKTVISIIPRSNADQKLRETLRAFR HELTDFRPEDVAGVIEKANMVMEIRSGINKDILSLPMFSDDILKIEISSPDVSVVLAV LSCLSDPATEGILQFAKVADPKGERTVGVLTKADLVKEKAVLQSLSNLVMGTTLKLGY FVVRNRGADEDDLDISQCNRKEVELFEDPQWKDIASTGRVGVDTLRKELQSLLTGLAK RELPKQKAEVIKRLADCEKRSISYGPPRSTPAAQREHLIKLALRFERLVNDALEGLYG RDVLFGEKPPLKLITKIIELNEGFSNAIWKKGQTWNFKGKTTEKDNGAEAAYIKLINE AFDWASTFPELLEYRHPNIVSFKNPQNDIMAFIGECYSASRGPELGSFGGSVLTMAFQ AQATSWDEAATRHVKGAVLVIHRFLYTLLEVLVADQRMREELWSSLQDDLLKGYRRAC DHAKFVINTELNGRPVTYNHYFNDNLQRARLGRQRSILQGLDANGPNTKVDLSKVQAL MTSAVENKSNAEQVKEDIHDILKSYYKVARKRFVDNICQQAINHFLLDGDQSPVKIFT ANWVAKLNDRQLNSIAGEDATTTTARQRLETEIEGLKKALEVLRY NEUTE1DRAFT_61395 MAFTMHSHSGQFCPGHAKDQLEEVIRHAISVGYRTIGLTEHMPR TQLSDLYPEELDPDQGQTTLAQLVPRHASYLAEAQRLQAKYASQIHILIGFEGEWIRG AEYGPLISSLLSSAPCVDYFIGSIHHTAGIPIDFDKTMFLQAQNACGGSEEKLWERYY DEQFEMLNATRPKVVGHFDLIRLLSEEPDKRVKGWRDGVWERMKRNLEVCKGLGAWLE VNTSALRKGLKEPYPGREVAEEWIKMGGKFTFSDDSHGIAQVATNYTRGLDYLDSLGV TELWTLERVPHPGTAGDIKSELRDKAVTIAEFRQSLRLEQ NEUTE1DRAFT_145669 MTDSVPSPPPQPSPDANGVATTPFAAVDPVKVVDHLVLLLEATL GAKRDELEAPGSLLSKVRYSDTVQRCSRFALDTQVALYIQKDLAPTTTLDGDNGAEAE EPEPTHVYTISSDLTSSPTTVAYLVLLKRPQPLDPIVPLTSQIQMLNLPGPAYLSTSG SEQGPTSSPYEILQLYLHNGLAPYFDASTKSQQLLNGARGRPDVDAKTGIPVTKKRWT ELELSLSHLQQNVEIPEVSLPFHPLVQSTLEEAATKNVKPSIDLLPATVLADSTFLNN LQATVNNWIKSIQVITKMTRDPTTGTANQEINFWLSMEAALEGIENQLRSEGVMLTLD ILKHAKRFQATVSFTADTGLKEAMEKVQKYNQLMRDFPLDELLSATTLTKVQESIGQI FGHLNKKLRICPYPIRRALPLVEAISGDLDEVLHRLLPGTELVKLDYEEFKGVMKQAG SIFRAWDESIKEFTNVAREVTRRRNEKFIPIKINPRHAELQSRLDYVHNFRDNHEQLQ KTIINVLGPKATVNGIVTASGANGVAVVEEIGDVDAVDEVKQAWEALKDVDLLDCTRE GTEKWVRAENIYNERTARVENSIIARLRDRLATAKNANEMFRVFSKFNALFVRPKIRG AIAEYQTQLIDNVKQAISSLHERFKQQYGHSEAHAMAQLHDLPPVSGAIIWARQIERQ LDQYMKKVEQVLGSDWALHTEGQKLQNESDLFRKKLDTRPIFEAWLHDVQRKQISISG LLFTINRIRSAGNILELAVNFDAQVIALFKETRNLLWLNYPVPHSVNNVAKEAKRVYP FAVSLMESVRTFAQTNRQISDMSEVAVLLSGHRNDVYTLISKGIPLRWETFVNTYEVH FKPTFNPNTPLGQTGSKVSETKHVMFIREFAASVSLLQSKTLLLANIYVTVQKALNEL KTCPYEASAFQSRLETIQHAVDQLNLEQYVNLGYWVERMNRQIKDVLYTRLQVAIQAW IQAFEDEDVERPSERKRLLEIASPDAAKSIGPVIKSLVHEITMRNQVIYLDPPLEYAR ASWFAQLQDWIGVICNLKKIKATRYTMSLSTEVVDEPRFNDLPGDCTEELLRVQTSVE KKIREIGAYVDKWLQFQSLWDLQSEHVYDVLGDQLSRWLQLLQEIRKTRQTFDTTEVS RSFGHITIDYDQVQTKVNAKYDQWQQDILIKFASRLGNRMREVYAELEKARKDLEGQA MTANSTAEAVRFITIVQSCTRQVKLWAPEIETFRQGESTLVRQRYHFQNDWLHAEQVD GMWDMLNELLARKSKIVTDQSDALRAKITAEDKVVNDKIAEIAHQWNEEKPVSGTIAP DVASATLTHFEQRITKLQEESAMVAKAKEALDLAPTPDTSLGVILEEVQDFKSVWASL STIWKNLNELRETLWNSVQPRKIRASIDNLIKMTKEMPSRMRQYAAFEHIQNVLRQLM KVNSILGELKSEAVRDRHWTKIYKQIKPGKRYSPVSMTLGDVWDLNLVATEVIVKDII IQAQGEMALEEFLKQVRETWTNYGLELVQYQQKCRLIRGWDDLFAKCSENLNSLQAMK HSPYYKEFEEEASSWEEKLNRVHVLFDIWIDVQRQWVYLEGVFHGNADIKHLLPIESS RFQNINSEFLAVMKKVYKQPNVLDVLNIPNVQKSLERLAELLNKIQKALGEYLEKERV SFPRFYFVGDEDLLEMIGNSNDTMRIAKHFKKMFAGLNGLVMDDEGVISGFTSKEGET VRLKKEINLVKTPRINDWLALLENGMKVTLAELLAEAVDEFTPIFSSENVDRDALIKF MNTYPSQIVVLATQVVWTTAVDQALADGGKDLQLLFDREVQVLRMLADTVLGDLEVLL RKKCEQLITECVHQRDVIEKLVKLNANSNTHYMWLLQMRYVYNPEGDFLQRLHIKMAN AKLNYGFEYLGVPDRLRLGGSPYGPAGTGKTESVKALGLQLGRFTLVFCCDDTFDNQA MGRIFLGICQVGAWGCFDEFNRLEEKILSAVSQQIQDIQLGLKMGAEDEKAQIELDGR QIHVNANAGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELIAEVMLYSQGFNQA KQLSKHTVPFFDQCSQKLSKQAHYDFGLRALKSVLVSSGGLKRARLLETGEAESLGPE DVVEPEIIVQSIRETIAPKLIKSDVEIMMEIESVCFPGVKYVPASLEKLQEAIRRLAA ERQLVVNDIWMTKVLQLYQIQKIHHGVMMVGNSGSGKSAAWRLLLDALQQTENVEGVS HVIDSKVMSKEALYGNLDSTTREWTDGLFTSILRKIVDNLRGEDAKRHWIVFDGDVDP EWVENLNSVLDDNKLLTLPNGERLNLPPNVRIMFEVENLKYATLATVSRCGMVWFSED TVTPDMMVSNYIETLRTVAFEDLDEDAVATGQSSAKALAVQSQAADLLQEFLTRDNLI NEVLKEAANYEHIMEFTVARVLSTLFSLLNKAVRDIIEYNSAHVDFPMDPEQVEGYIA KKVLLALVWALTGDCPLKDRKAFGDKVAGLASFGSPPLDGTSSLIDFTVTMPQGEWQT WQQHVPTIEVNTHSVTQTDVVIPTLDTIRHEDVLYSWLAEHKPLLLCGPPGSGKTMTL FSALRKLPNMEVVGLNFSSATTPDLLIKTFEQYCEYKKTLNGVMLSPTQIGRWLVIFC DEINLPAPDKYGTQRAISFLRQLVEHNGFWRTSDKAWVTLDRIQFVGACNPPTDAGRT PMGARFLRHAPLIMVDYPGELSLMQIYGSFNAAVLKVIPSLRGYAEALTQAMVRFYLE SQERFTPKIQPHYVYSPRELTRWVRGVYEAIRPLETLSVEGLIRIWAHEALRLFQDRL VDEEERKWTDDAVRRIAMEYFPTIDEHKALGGPILFSNWLSKNYVPVDREQLRDFVKA RLKTFCEEEVDVPLILFNDVLEHVLRIDRVFRQPQGHLILIGVSGSGKTTLSRFVAWM NGLKVFQIKVHGKYSAEDFDEDLREVLRRCGCKGEKICFIMDESNVLDSGFLERMNTL LANAEVPGLFEGDDLAALMTACKEGAQRQGLLLDSQEELYKWFTGQIVKNLHVVFTMN PPGEDGLSSKAATSPALFNRCVLNWFGDWSDQALFQVAHELTHSVDLDRPNWTAPDTI PVAYRGLNLPPSHREAVVNAMVYIHYSLQRFNAKLLKQQGKITFLTPRHFLDFVAQYV KLYNEKREDLEEQQRHLNVGLEKLRDTVDKVRDLRVTLSEKKAQLEQKDAEANEKLQR MVADQREAEQRKNISLEIQAALEKQEAEVASRKKVVLEDLARAEPAVEEAKASVSSIK RQHLTEVRSMPTPPSGVKLALESVCTLIGHKANDWKTIQGIVRRDDFIASIVNFNNEK QMTKSLRVKMRNEFLANPEFTFEKVNRASKACGPLVQWVEAQVNYAEILDRVGPLREE VMLLEEQALQTKAEAKAVEQTISTLENSIARYKTEYAALISETQAIKAEMSRVQFKVD RSVKLLDSLSSERTRWEEGSRSFETQISTLVGDVLVAAAFLAYSGLYDQTFRKSMMED WLHQLHLSGVQFKQHNPMTEYLSTADERLSWQENTLPVDDLCTENAIILKRFNRYPLI IDPSGRVTEFLNRESKDRKLTVTSFLDDSFTKVLESSLRFGNPILIQDAEHLDPVLNH VLNKEYQKTGGRVLIQLGKQQIDFSPAFKLYLSTRDPSATFAPDICSRTTFVNFTVTQ SSLQTQSLNEVLKSERPDVDERRSNLIKLQGEFKVHLRQLEKKLLQALNESRGNILDD DHVIETLETLKTEAAEISAKMSNTEGVMAEVEQITLQYNIIARSCSAVFAVLEQLHYL NHFYRFSLQYFLDIFHSVLRGNPHLANETNHNVRRDIIVKDLFVATFKRTALGLLQKD RITLAMLLAQASPYKMDKGLLDIILDERIEGKDVSIDQNTREEAFARAKKTPALKDKI DAVPEADWEKFFTEELAEDFVPKIWNDETEPNDRALMSLLLVKLFRLDRFVPAAERFV TLVFGSDLFDIVEDLKQTVDQVSAIRPIALVSSPGFDASYKVDGLVERMRVRCTNIAM GSAEAEGSADKAIANAAQTGSWVLIKNVHLAPGWLQGVEKKMETLNPNPEFRLFLSME SSPKIPVNLLRASRVLMYEQPAGVRANMKDSMSSISTRSLKSPVERTRLYLLLSFLHA VVQERLRYAPNLGWKGFWEFNDADYECSAHVIDTWIDTAAHGRTNIAPSNIPWEMIRY LIVETYGGKIDDENDFKMLNQLVHTFLTPSAFDIGHKLVEVSHDAEDEQKDAATGGDL VVPSGTSLQEFMSWIQKLPEREPPTYLGLPANAEKLLLVGLGKSLIGNLKKVTDLLDE GEAIMAEASEAA NEUTE1DRAFT_109209 MEEAMDGSRVGNSSMQYRTGGKRKEAGLDVSPKPRQKQAALSME SSAVVVIPGRSNHYQVHLPRRFHHMTLDSDKVLELKPGGSLLDLRKPSIYLLMICGPF HAFATGRPFDLHNANPFTGAMVIMTKKSQVGEILSARDYRITDGKLKRSDF NEUTE1DRAFT_61404 MANFSDLNPFAKRESHSSTSITTYKVLTLLSWVLSVITTVYYDF ESPHDGHLIRRTIWGQNYAHPSGFTQNATITSIYWLVLFILQLVYIGHLFSNDSAQVN AAASVGSHFIFNNLLHFGWVMLFVRSHFIWSEILLIINFINLTSLYFRHNAYPKGIHM PAVSGPLAWTFVALYWNGAIMVPAQHSFVARIFANVFIWSILVYGMFFIVIYKDYTMG FNLSVLSASLGVAQFFDRVIAFQWIFAFTIMAVLFVFTVVVAVPAWTGKEVSWGTRQT QPDAERAPLLGEN NEUTE1DRAFT_121478 MASRLIRFPWPMNVGTDICQFAKEHDTLKQQGKETEGFGHGHKR LEQKWKGHGVDLGEVAAFMAGRFAAKEAAIKAHPHRHLTFHDIIVAKAAELNQIEREL AASSSSSSSSSSSSSSKTFTGSTTGPTTKVDPKNIMPEDRLFDQQLDTNNNNNNNNNN NNNNNNNNNNNNNNNKHHHVFEGSARSPTTPVDTQDIMPEDRVFDYKLETDKPNGSDR ALRRRSFTGSQTGPTTPVDTKDIMPEDMVFDYHLETDKRPFEGSTTGPTTPVDTKDIM PEDRMFDYHLETDKPDTTTESNDSTLVGRRGRTTTAPQETPPGRARLGSGPPVAIIRG VKGDTENHQVALLSISHDGNYATATCVGFDAASMVKGGLGWMVSR NEUTE1DRAFT_61408 MSHMVNPLATPEQLYQRRSFGALPTELQDTIFFSTQCLTQAAGL LLQLPQSVTAQANVLLARYWLVEPMMSHEFSDISAASVYLIAKLSPYPRSPRDLALVY NYLLSPSSTFFHPSSAPASDDSQPPPPPPSPPTNIPNDYYLPEHVYNSFHTRLLRCES RILYALSFDTHVSLPHPLAITYLQALDFLNTSKSTISKRTLAYLNTALLSPQMLYLTS QPNALAVAAIYNAAKDVGAKMPECEWWEVFDVDREELGFLVVGMRSVDGWGRKMREDV ERMKAGTESGSKRGGMITRRDIEGELRRRGISLGNGDDGGNTGVGSEEPVDMEAEMAR RMDEKMAEIEGSAS NEUTE1DRAFT_129033 MAAVKGEPRDDRGFNAPPAPTHDDEPRIDLQWLQELVNDSEKRS VHVLERAVRVGVKALEGLKGPLEAAKNIEDTTAAQWLKMINDVQSRAKPTRTIVGVVG NTGAGKSSVISAVLDEERLLATNCMRACTASPTEISYNHSKDPNELYRAEVEFITSAE WLKELQELYSDLLDGSGEVSRESSNEDSEAGIAYAKIKAVYPRLVKDTMPKHNPVELV NQPSVRAVLGTTRKLQATTASSLYRQLQTYVDSKEKNTDKSIEYWPLIKVVRIYTKAS VLSTGVCLVDLPGVQDSNAARAAVAANYMKACTGLWIVAPITRAVDDKTAKSLLGDTF KRQLKYDGAYSAVTFICSKTDDISVTEAVESLNLEERVSQHETQIRSKEDEIKKLKKK LGDLKDQEAACDEIRDHLDEEMEKWDVLLDKLDKGEQVYDPSEAQQAKKRKRHGGSRR SRKRRNNDPIDSDSGSGSDSGSDGDGDDSDAEMSDSSNKENSNPKVGEEKKLLTKEDI ENKISSLREEKKKIRAGIRDLKDQAKETRTAIKEIESEKKNLESEVKAICIQGRNEYS RTAIKKDFAMGIKELDQETAIEEDEENFNPDVDLRDYDKVAETLPVFCVSSRAFQKLS GRLELDDVVKETMNNLKEALAENIYEIFEKYLPVAADRALPTATGWGAHRDAGGLLWS TYRATCRRSGVFSGASGLRDFNAELIEPIHTPLSTTWERTFQRRLPSVLTNFAKSTKL LLETFHREATIRTQQRANNYHGINMLYQQLQAHCQKISELPDALNAIVQEQQRDANRS FAPTIQTQMERTYQACAEERGSGCFRRMKDIMEAHVGTHRMSIFQAATRVVQDQLGVM CRELKKRLQDEADDLHDILRRHYLSALVGSEVANRKGLPRVERTLRAEMVPYLQKTDP LFAPVVKGEPEEPEKVEEPEEPKQERAASEGLFVPPDAAANEAADDHDTTMVDASIVD TSVVDASMVDAPPPSSGPTATSSIKAEPVEPAPLLSSNQASVPSIKNEHAAPVQPAVE PSRAPSVAAPEAAARPEPLQAGTAASEVVQAVGVVHERARAATAGPDAVGTAIAVPQP LRAATTDPDPISNIKREPQGDHNLLASFCSERNPTSDDEEEFSTATEGEDDEDDEDED DDNAESSSDEDSSRAPSVEMDLVKPEPTDH NEUTE1DRAFT_99890 MNQSVHLLLQLAFMYIATSLGSDESGQHSNMTITHKWHNCAQEM NPTPCIYMNHDRLDPYMNHQKTAIFSCHIRGRQ NEUTE1DRAFT_121482 MARQRAARLWACLALVASLCGNALAVGPSYDVAYCATVNTADPG MDANESDYQSMGLCYGTCSSAEEKYAFAVVWQKSCWCTNVVPNSANTVSSEQCSNPCP GYPSDWCGGDSLYGYLKITGIKPSSTAAAASSTSTGTTTQQATKTTASSTKPTTQPTT TAVVIIKTETEQTAAIKTVQSTVRETVTVIPSLKSSVASSTVVSYPTTLVTSATQTTD DPVTTDPMQPSTQTVTVGGKVQTVIITPTPTATSAGQLAEPEANGKKKAISTGAAVGI AIGVVAALGIIGVLLWIWFMKRRKQNEESGSSFGSPRGSSSGSKSATVTETRFAPELV AWGDGPASKRRSTLMPVDPRLNPYGSALYNGQNKSRESITSFQDNQDYSRRVVGQPRV LRAVNPDPIAED NEUTE1DRAFT_28515 MAKEKRKSLNRARLMGSPLIFDSKMVSRSSYGARGQVLWAKEGW RPKAREREGRGRCPRKPQEGARPPSEDGLKMPGLEDET NEUTE1DRAFT_145675 MKNFGIVALSGAALLQVASAACCRSNNCLKAVALADFEGVMDCK SNLIVTVTPSASTYTETVTLVQSAVDTVLFTETTTEIASTETRILTEAITVTSATETD FLTETVTVPYTTTLISLLPEVTSTSTAYQNPIDIETFKVKKARDTLTQIEEANPLPTY ATADCADWSQYSKACKCVGVTATTITASVAAVETVTVTASDALTTVVATLSTTSTDII SVTETVSDTKTETVSDTKTETIGATDLITATTTLTISAVETATSTSTPVSVVPLVCKP RGLSFRAHNPFPDGSTRWMNVAGSTAIAWQTFPDTPAPGTASALRSTWVLDSNGYLEL AQPVTGQTSVYAAYVLVSDKGATVSVRPKLKADVEAGVAAGTMQRVKGCVNAGTGQLT LSANGRGNMLSCGNGLYLSTGTDGKDVRSDCVYLTPVAA NEUTE1DRAFT_129036 MVRPRPRPRPQSRHRSGSRLAPSPPSTSETWPPSRQPTTTSHTG HRRHRIPLATSFCLAALAAAATPVAAITLPYTPTTILLSGSRSLLSSNSANHGLAYIF TPNADNNGVDLLAVNTSSTLDADSFKPTTLTSGLPFVTKSKTGGFAPTLLENGTLAVL AGDCAAGRASGYGDEDATPAVWSYTPSFEEDGDAKGDWTKYSTQQNPKSSIGIGTGIP CLLGSSLSFSSQIYPTLSDPVIYIYGGMCPFSNSTASTWQASAQYSNRMLKISSRSSD SSVAKPSNNTPPGGDDMSDVIEPENNSNGTSFTISNPQLGGPPIPEAGFTFTPLTPSI TNRSGIVTQQTSHVLLGGHTQHAFVNMSTAAIWSLPEETWSYVSISPSAEEAVGPSVT TVDSRSGHTTVLSEDGDSLVILGGWVGDVSQPAEPQLVVIKIGASYDDWRWEIPQDDR TQIFGEGEGLYGHGAVVLPGNVMMVYGGWAINPPKAASSKAKAKRWLKSLIGITDGSN LEKRQSTAVTNTLRFFNITSQSWSTAYTNPRLNSNGNPTVDIPPTTSDPPATNKVSQN LGLTLGLALGLGVPLVAIIFAILFFLWRRRQRRRAQRDRALRSLSKGMTPSIYGTGFG GTIRHNIHDWGENPSSYTSYDNDLAEQGSSGFGFQFPWNTNSDSNGQGTRYLSGGYQV VGQPDLYQPSGPPAANSTGSGVGRLRNARGLYIPTHGMDFAPLPGREDVNPNGIHPIY EEAEEDDEYNEKDGRDLGASGRLISPEYDDDPFITPAGSILRAGAVASTPYAPTSSES GSGGSLNEEKSSPGLPPPEVLSFSPKQGQSQDPEVRGWKTDVDVADAVLAAKISRHGS VTTTHRAATAASLEAATHQPLSPTSTTTRVITSPARRASNKSTKSRASNSLSKSMVDI SDDARAGSDHRTGSNLSDRSAFSFISGGEHQQAPPLSPAHHQHVAAAAGDIPKPRSFG SGESGNSGSNHSLVAPSASSGSHSGSTAFASAKSNLPTPTPNFNSLQAEGADLLFPMS GSGNNEHPSADEGGEREESEEDWEPIPSSPSKTRRNSRLDSRPRSWLGSLKRMLSVNG GSGGSGSSGGVDSPTKESLLHSNEASGFDHPHLSLIGFNPGALGLGLVRRKQGREAWD INGEKSSTGQAAGGEHNDTAGDGGQGDEWDVEKAVEQRLVQVMFTVPKERLRVVNAEI EREESIILCDVNEGGSRSSRSGTPSNIDKGKGKERAGGQTPDTIEIIAGESTRKISSG SQQQSEHELQSETNLPIRGPGNDTNSDSETNPMRTPASEVPGQDHPQIYLDSNGSPTR KRTPSPFPLLDLALPSPLTLSLSHPTSPSLSPQLPEFTQRQPQQQSSDFSFLQPPSPT KTRTAIRSQPSTLGLNPTTPSSEGSGLTPSGETHIQITPVPSPSPEKTYDRGHTHRRS YVPSIRSTYSVHSLRSVASGVSDTGTATLHVAEAVKMEKVALPSVSEVRTSAEALAEL QQQQQEQPHESSSLGLELLHSQSQAMQPPPPRPSPSPGPGALPKRTRTRVLDLVEKFE SVGSAGSRSSSPAPSAVNENIGSRNGSVSGRPGLARRQTGS NEUTE1DRAFT_121485 MTPPGRKIVAAHLADHEHDENRGLKGYVKATDYALPYMSQWSRI NDEDVKSELLRHINCGYLSTHGIPPTLLALKQHAQSLCALIQLLQPDVKVAEIQVDGA PFASSDFAQGGSKGKGTTSASSADDDLAMKFELNSAFDFLNDLRTPYHTDDIYHHKPL VSLINEVRGRNEVYGTDYHCPLTQIQHYSPPVTSNTTTGQENQPRELRPYSNLQNLLI HTNSCLERLDHEYTATGGLLSVLPTDAAHDTEELQHARTTLLGQWLLFTQHLVARMHE LERSYGNALDALAGEAAIPHQVLSKLGPDGRTGRVVAYPQDQYILVNSGEDVYEYIHS LLDKREAVLQAKERVWRKNGAVGEEMWHKNPQTGAGADNGTDDNNNNNSLFDQMEKVD GDLIGAYFSRGIVQVDVTTRYYRLANSGRSVLFVVPAHAVHPGVEHTRLLETQPTIMA TVQPRYPPRASELEMKYNRKLREASKIQQENLDLHSEMGSQKEQIRTLKAEMERLSHT RDALLNGLGKGEVEAKKEAETLRKRAEKAERLVRELKERKKEMQSKVSKLTKQRDSLM LAVDEASDAEFDMNVSWGE NEUTE1DRAFT_80098 MSDIPSNTSNTDEAKEPVSLSGMIVTLVPILITSAIYIAIFLVL RKSNRRYYAPRTYLGSLRENERSPSLSSGLFSWVKDFWKIPDVYALQHQSLDAYLYIR YLRMAVTICFVGCCITWPVLFPVNATGGNGLKQLDILTYGNINRETQYNRYYAHVFIS WIFFGFVMYLIMRECIFYINLRQAFLISPLYSQRISSRTVLFTSVPEPYLDEQRLRKV FGASVKNVWITSETKEVDELVEERDKVAMRLEKAEVKLIKLANKIRRKAMSKGDVNDV DKQAPLDAESGSIAARWIPRNKRPTHRLGPLGLIGKKVDTIDWCREELTRLIPEAEAA QHKYRDGAFKKVPGVFIEFRTQADAEGAAQILAHHRGLHMTPKYIGIRPNEIVWKSLA IPWWQRVIRRYAVYAFITAMIIFWAIPVGVVGIISNVNYLKTISFLTWLNDIPGFILG AVTGLLPSVALSILMSLVPVVIRICAKLSGEPSLSRVELFTQHAYFAFQVIQVFLVAT VASSATAVAKQIADNPGSVTKLLSENLPKSSNFYISYFIVQGLSIATSVLTQVVGFFV FNLLYKFLANTPRALYTKWANLSAISWGSTMPVYTNIVVIAIAYATIAPLMLGWATVA MGLFYLAWRYNVLFVTDTQIDTRGLIYPRAIKQLYTGIYLAEICMIGLFGASVAPGPL VLMVIFLIFTILFHMSMNAALNPLLYNLPLTLLAEEEGPLLDTPVDSHTAENGLGGEL RNGVSPTTGANYTEKVPSNGTNGAQSRAEDPILGAKEALDASRHQPNHVTGKKPNFLV KFLKPWIYSDYDTLRAFLPRGVQLDPYTEEVERDAYCPPNVTSDVPLLWIPSDANGAG VSRQEVEHTSRVVAITDEGCELDEKGHLVWDREGTRPPIWEEKIYY NEUTE1DRAFT_61419 MGSSFCNTTLEELRIHPGDERPIAGPLTFHSLALIIAAASTLVA IAMSFYLIMRHATNYTVPNEQKQIIRILFMVPIYACSSFLSLRYYYHAIYFQVISDCY EAFAISSFFSLICHYIAPDLHLQKDYFREMQPIKDWVFPLNWMAKCCGGHRKGPWRTP RSGLTWFNIIWIGVYHYCFVRVAMTVAAVLSQYYGRYCESSNSPMFGHIWITAIQSIA VTIAMYALIQFYVQLRSTPQLSPQKPFLKVLAIKLVIFLSFWQSVAISVATSETIHIV EPNSILAYPDIKVGIPSLLLCFEMACFAILHLWAFPYKPYTTARGGGDLAFTGPAPKH GGPMGILALWDAINIWDVVKGFGRGIRWLFVGVKKRERDVSYLHLDKESHPLGNSIPL RGASTRGRQKSSDSSMTTMSEVDIHHQHQHLPQETLTMTGVAVGDLEAPAQAHQHHYA HGVVYGHDGHGRVPDTSYRGAHAVRTESFDSLDLGAGGGMPRAEYERRGRSGSAASVD EHHYYEGRNAGGSGGGTMTYHGGPGMYRNQSSGYYDPLPGPRDEDDSVGLVRHAPYPY GGQGGYR NEUTE1DRAFT_80101 MLHEILLSLSGHPSPLLQQAAATSTTSNDSPLKSALTPPERALL SKLANLSELHINLLSHTARISTSHPSIICRAIATAIRNDHLAAFQRKVLQVEETILKK DAALVGAYNIVPLTAVVGEFDEWNRRMEWLGSFVNQLMKGIKENVESVDQMEWEGSQP HDLTHRPAQKGHSSSATRKREPPVHSGPMVINRLRELMQTGYKDIEEVVKNLVKVAET AWLKQVSAWVLYGRLPDFGADDFFVWREEDDDGEEQFLCVQELLPKFVTRSTAASMLF IGRSLRQIRAKSVEDSSLKGTDHLSTQLTKLSTLSHPIDPATFSNTITEIRQYLSRTT LAQLLPLSDVEKYLQLLRDFFLLRRGEFALALTQQADEKMRSRWRRAENLSAYEKSRD TGGAAAGGNPGTVVVKEGEVAGVLTRVWQAMGALQGEFQSEEDEGLELARDHVRLTIP KYTTDNSSRGLPTMTPTAAAVAEEIGSLKIAKTPFRNLLFSAPTILTMNIPSPLDLFL SPHDVQTYTAINSYLLSLRRAHLRLTDLWKITSLRRHHPAPPRIGRSRMRVKALRERV EKREKTLRTAWATASAAIFFLGETEAYFQGEVVEGLTEGFEDWLHGGNGNRTSEEKKK KDGADWMGSRPSTGRRSRASTGASLTRGRGRDSRLSKRSFGSKRTWDDDVSMGGYDDD DEVDGDDIDMVEDESHAEGNLEESDVWLNTAANPPPAPTNSTDPNRQPRDPQTLATAH RVYLRALLRRLLLTLESFTQPLYELLVNIDHLVALMHRLQQVWAAADLEEDVGVVDAF VDLKKEERDLTAQLQTIASNVKNGIKEVVQELKRIETSPFSTSDASDRASGLDEGEEE EDDGADDYLLADDVPDTAQAGRKRKRGWRAEQEAGKEKIKEAGEYVPRRVGGVDRLLV KLVFGGWFSGGGDQVGGSLDGLMEGDEDSGHKRHHRMVIMDGHSTPVCELRGGLSAVI SPNTSLWEDNQPEDELEAQLAGPQTPEDNEPGDEQALLLRAAQGSKDNDQPLPEEAKK RSITRKIKKLIRKPFDKLRIREEVVLPGPPHPQAPPPLPRREGKRQMVGRAVKKLFSD RSDRYLQIIDEEVDGTQSGASQAGSSQAGLTQSGLSEAGPSQAASSQSMSSGVERAAS RPHGASQQGAQQTEAQVHGSSRGPSRINFTRRAPLDTARQTHAQPVRLTQEMVTAQSS GTPAQTPLSSKEAKNRAAALRRDRIEQNNEEFFRQQAIRDRERIDNVRRFIRSGVNRV WERRNSGGDGNDEGGQGREDGEDGGGGEGGEGGEGGEQRPNLFTRLSHRIRDQDPTPE TRVPPIGDQADEGEVGQRLGNAARVVFAAARAALGWDLDL NEUTE1DRAFT_121489 MSGNLSTKYKAIRLPHHLQFHLRMASSHNDPKLLYAINGVSAYH IANGKEESLTPAGPQTLSLLMVPTSSAFADPALGTENAEQDFYLHLHLPPELDLPLPA TTQIYHQPPTSYLIPRWDLGPDSGAFTRIEFPSVESRKGIQEDVDTFETILAQCTAFL ERAPPPRLNQRSNKSSDEKGGTGTSTGSKGGVAEDLPAYNPGDYGPGEAYAQGSRSNP TPGQIVLVDEDDGSVIGELAEGYQVHEDSALKPGSKDPVEITLPTTPNGKINVAPASP ELFDAELHPAYKRSFLVSNASAASRFIITGSDMLAKLLQNQADNYTKRAQPAAEPMKF KPATREHIRRISHFTGNAAVLSAKTVGQIGKFAQNFGATLGGRGPRKTGTRGFGPDGQ PLDNYKPGLLNKSFMAFSTVMDGVEQAGRHLLASTSEAATTVVAHKWGPEAGEISRSL GGGVKNVGLVYIDVTGVSRRAILKSVAKGMVVGRTADGGVVTVGGGDGGVLSPGEGGM NSDPMNRAGRTSPGSTRGGKQPAGMKGRSETWG NEUTE1DRAFT_121490 MPGEKWKDGRKRGKQQQCLLEDYIVTYLPSIGCTSFSTTTAPSP GITTFNTTLILPLAVVASTRCAPPSTTISILSPADPPDADETSATNSTPTGSTPNRKF NDAFAAPGSGGPPSVLPAGSVIAPRIYTNDRFPNSRQPLPQSSPLATGGGGLRRHGQI PENANKRAEPPAGVKSEQGSERKRPRRLDDIAKLESAVPPAAFAEEVLTAPYLTESVW SEIFDLYKLHFATELPFLHLATLKEKMGNRFRAKQSDTSPEINLVLLGVLTLTVRFNP TLVSYVTTLRTASTVSSGPKSRQLGISSDATTASEFYADVLTKALGGLRASMTMASVE RVQAFLMLGLYEWGQARPKVGGMAAWMYVGMAIRMAQALGLGDGDKEDSKTFKSRPLD ALRLSISKSQLIIAKEIRRRTMFSCLILDRLLGCGKGRASTIRSEDLRIQLPCSEMSF DLSEDVYTGFLNPTAQEMARGPISDSADSVLSRFIRLVDIWGEISKWSFAGGRFTEQH APWKRETTFYQLRMKLETFYSELPERFCWSRSNYYKHENHHASSVYVSLHMLGAVCKI MLHREYIPFIAIRCKKPVGPLDEPTFAEGDEPTGFWNESAEEIFRAAKDIVDLIDISQ QKLPMSTLVLFAVWTAAFVGIYAVYFPHIDVERHMLLPPDEDSGIEFEEIKKGPTGLT YKTLQQMGKWLKMADTYVQYFHDMVRYYDTVKKDYEAAAKAADGPGGEAKINVRLLGG GLEEWKYQGLKVVNNGEILAVDDDRHSISRDSTLEPASSRHDGHHTDHHTKTPRSDSL SFTPINTTTHHHQHPSLDSPADTTRTPDGENMSWHHPPYQRPVTGGMLSSSSQHQSPS QSSFAALSPHLATVKQALGPPPPPPAAVSGSGAAASISTLPNIPVYNSSNEVMQYIQE NQSIPWNQLPGGVDHFAHGTDDFCFDETGNGFWGSMPVVMGASAAHGYVPQPIVGVSG QQGTGWSGLM NEUTE1DRAFT_121491 MSEEATSQYENAVANAGRPELNVTGGSTGFDLVLFYIPSKFQAQ PPQGKFVGKGERHYFAYHPVILSFGIGSLLLLAVLIKYIHSRAALVSWKVRYGQNSSK TTNPYSGSGNVPSGHRPALPRRNIYDSWLAVRFTVAFVALGLFELVVIFFQLRAANTN TKDNIPPHPDLSAARARGDFALFCPGVSASLLIFIVFGTTRTFREYVWTRFAPQLLQD RVAARKALKKQKTPPNEGGGQGGGENGGTMAPPVILSTFQIGVRRNSSHNSANPAAAD DDDLYKGVQTLTTINGHKYRNGPYTPYIRSATPSPCPSPSPGPGSEVDLEMGNGNGGQ HGGGRGSYEGSGSGKQQQQQQQKHLQTARATTAFGVVRSTSRTDDDDVPILKKAMPAF QRGHR NEUTE1DRAFT_61430 MEEEWEPPVPAGYNDANRAFIQAFMARGTLTFPEGQKLVAAILS ATEDETVDPQSITQDTFASFVRTAREAVEPLDYDIRSSRDQLRNGERIWAFINAHSDP ATQMATTRSPEEVAYIKRLMDLLFDEFNTVRMEVMAVDEGQALKASRPSKRRESQHVN GEDEEGQPSTAASDRGLKHSEVLSLLSSLVAEGWLEKSRAGFYSLSPRALIEMWSWLV ATYNDDSASARDWQRIKFCELCKEIVTYGQRCSERDCTIRLHDICEDRYWRTRRGEKK CPKCETEWAGNHFVGERAITSRQAYQRGRGGRANGNGNGSARRSDLEGAVAAQQEVAD DDDEDMAEA NEUTE1DRAFT_116630 MEAREVCTSGWMLLGWGQKRNTVPFRYVGVSNNSFCFAFVFAYL ISTFSEW NEUTE1DRAFT_121493 MASDVGSPRREQTPSGAATTVPQKRTLEDDHSPAVPSPLNPDNK AAPRVQIQAPEDTQQAAVLNREKRTKKDSFKKREAKAAAGGSDSSRATPDPKQQHKEP SINELLPARYKLAPPKLTDFEPARGPIFTSHHEVQGPEGETIEFFDATEHVFNKRAFH YTHCIADPTFPSMFYYRQTETEPYAAHMAFEDSASHVYFDRQGRHVTTDKGFRMSRAN VAVREGRWYWECKVTRGTLREPGSEGDTKAHGHVRVGWARREASLDAPVGFDCYSYGI RDVAGQKVHMSRPKDFFPPGEEIKEGDVIGLEIQLPSEHLHRKIVTGHYNPAVDLADD EPSLEAPNIIRDRIPIRFKQHIYFEKIDYHTTKELEDLFSPAPVASASSNSPEPPNPN HPLPSLRTLPNSYIKIYKNGKDMGTPWTDLFAFLPPASKQSTQSGAHAGREALDDGTV GYYPAVSVFRGGAVEVNFGPDFWFPPPGSGLPQKQQNGVGDEDDVDMLDDGSVPNQEP LRPVSGRYEEQIVEDIVYDIIDEVGFWAQDGGKVIDRFNLTAKDAEKLLATGGGGAQL LPGGRDEIKELVQDD NEUTE1DRAFT_129045 MPVVKGGVWTNIEDEILKASVSKYGLNQWARVSSLLARKTPKQC KARWNEWLDPSIKKIEWSKEEDEKLLHLAKLMPTQWRTIAPIVGRTANQCLERYQRLL DEAEQREASALGLTGPDGGEAHAPSADDVRKLRPGEVDPDPETKPARPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARERQQEESRRLAALQKRRELKTAGINIKVTTKKQGQ MDYNADIPFEKKPVPGFYDTTEEMSRNEYQRAHFDPKKQQVGNKRKGEEDERDGKRRK GDKDPSVQAALKAGQLQKMREAEQSSKRRGLVLPAPQVGEGELEEIVKMGMIGERANM LARESDNDATRGLINNYSTLNTNAPIRTPMAPAQEDHIANEIRNIRALTETQSSLLGG ENTPLHQGVGSTGFESVAPRKQVMSTPNPLATPLRAAGAGPGATPLRVGQTPLRTPRD TFALNDAGDEMSMVGGTPRDVKMREMSIRHQLKQGLASLPKPKETEWELELPDDQQEP KTAEQLEEDAAERDRREREIREARELLERKRRTQVMQRDLPRPVQVDYQSLLKEASQA EDPVKVLIAREAALLVAHDATKYPLPGAQPTGRALEIQKIDDAALQEAKLQVLMEIKD KPKPEEVQAVWEKSNSSSLLLGLGCYEDDEEEEQISTMQIALEEVIDQIVASAEKGNK LEKKLNLHLGGYKNRAEMLRKKISEAHEALEKANSALGAFKVLQSSEQAAIRNRLAAL REEVGFVSTREREAQELYRRTREELDALTLNGPKANGFR NEUTE1DRAFT_121495 MSSPNSIATAILANTGQSRNDYYGGGLGLETPLTGRSLLSVSIG NTTESMASSIVSPRTRGRWDRRISDVESASMYSPTAAAAPPAPPSSSWLTDDDDEASV RSGGLDTRPFPSFGPSSSIAPDSSFYSQIGRFQGVRNSVSLPQPWRRRKESTTTGSYT TSTDSDDEDEDDEDEDASTISGSRHEGQQEQEQEQDAAATSAAVDLFSQHQFIMPKPD ETTPLIAADEENNLCFGGGGYDEASACVK NEUTE1DRAFT_145688 MSHSSAVTTINQFLKPLALDHAVALNLSREFYSNFKDLCTESLN QFLPTPISMSILRPVGRQSTGRFLAMDIGGSNLRVGFVELLSEGQDRRLNRLLEQSWP ILDHLKHENSEHLFGWVGEHIAQVVRKGCETWGLSSEEELPMGVTFSFPMKQTSLSEA TLMAMGKGFAITSDLDLGGHLIKGYEQHRTAGLPPLKIAAITNDAVATLVSFVYQYPA APNQKAAMGLIVGTGCNATIPLQFSKLHKNKFPDLISVQQDYDLLDVGIAVNTEWSIN GSASPLRMLGLISRWDDELDKACEAPGFQPLEYMTAGRYLGELARLIFVDFLTTVQGV LPEDLPQGLHQRFGLSTTFLSHFYPDSDKGDILEQLQKEFELSTDSTFTWTSDHADTL YHIAKAIQTRAAGIVAAATIGLLRCADELPDPSEPVRVGVANGDNVEELVVGYTGGCI QHFQDYLKDTQAFLDFIMEKEFAAQGKRIRVKLEPCHDGGITGAGILVPAALASTQA NEUTE1DRAFT_116633 MDEKTLLPTTTPTITISPPTPSNPNSSNQNGRDKHALRRFLVAV CLMVMVWTLCGLDMDLDDNDVLSEWSLEQMPISTIDTFTVPITDIDVGGDADHEPVPL EAHIMSKCPDARDCFRELVLPAMQRVHTKVNFTLSFIGTPTNGDDGVACKHGPEECLG NIIELCAQKLYPDPKIFLGFTMCLAKNYHEIPQRSLIEDCALEHAIDFDQLNQCATKD DGALGIGLLRDSVKRSADAGVTKSCTVRLDNEIFCIRDSGKWSDCPHGSSVNDLVLAV EKLYGREV NEUTE1DRAFT_80128 MDNAPTGLQKLFPKAIVAKRRDRRRRRSSLAESASLSSTGSIGT DDAVSVPIPVPGFRLQSTSASQAQAQTRTAGSRSRSPAAEGVDSNTDDNTNNNNINTD SEYPDSQPLSTPVPYESTPDPESASASLRPTPISTHPSQIGHLTTSSPLVQAAHLPEV QTPESDLPDLSIRSSALPAASTDSLESAYSAKRADTAIASTNDTLPQRVPSASREQDI LRSREGSTEQFPNITVSDTGASTNTSGIVTDTLSKATDRIRRLSQSQKLTPLVPPQTP PKSTTDSATPAIINTPPTPTDHSAPALSAKNSPVATAPPSPRRNPHALIGNMSSPRGR SRAGSGSIGPSKLSNITSAPLTPTPENPSNPTANFFSSMFSAVQNTANTLSSTISSAN LAAPGNGKNRSVSSFTSHKGGSNNDVNNVEVESATEAFPGADMGSKEPAVKTIGNGDL SLSHLGIVDPPPTAPAPATIKVTEHEARGRSESAPIDANGNPELALPEDANIYRTRSL YEASPGGERTTPNGSVYEGKTGSGVQRSSSIRSAISRRRKRATSAVSGTSGNTVAAAI AAANGTAPPANAPKLTGFAVANKRRNRDFHTLFKSVPDDDYLIEDYSCALQRDILVHG RLYVSEGHLCFSSNIFGWVTTLVMSFDEIVAVEKRMTALVFKNGLEISTLHAKHIFAS FTSRDSTYDLIVKIWKLGHPHLQSSLNGVRLEGTGGDRTEKIDETEVASAPEGGSDSG SADESGSAGEDDVYDEDEDNGDDQDGTQVSDAGAADASGEQAVSRKPSGMMGAASTEN KDEIAQTTVADDFPGPATHAPTECGDAATHYDRVIGDDVVPAPLGKVYNLLFGAPSSA WMTKWLLNDQKCFEINMDDKKGLNNEQKTRTFNYIKPLNASIGPKQTKCMVTEQLDNL DFEKAVNVTVSTQNPDVPNGNIFVVKTKYCLSWAENNATRVQINCTIEWSGKSWLKGA IERGANEGQAQYAKDLFVALKAAVSSRPRSNTQTNGVAPKGKKRGRKNKVASVPTSDA ESVKHTPAKKQDWGLLEPLRPFLGPIVDPLRPVLTGNILYGVLVGLLISTWLRLGMNN SRSGAVIVPGHGDLMGYTNYAQRVAAYEEMWRREESELWDWIEDRAGLDRLHGGGGVE ERGGMGVRKPVNGRKKGEAEYRTAEEKLREEKMNEREVQEAIRVTEERLKVLKAVVER DSAKIDELK NEUTE1DRAFT_40629 AHDHARAASVATQTADTTVAINHHAQAAGEFANAAKSTTSTEAL RTLALLEQHHKRLAELLKVPIEPTSQPSSVDSDIPEEDEEKASTSQDAVIKSAKSDRA APPSLATPPSAAKPLPTLSQHPRYRQRDLSSSIASKLASARGIRSRYQGQSQPLNPSV SNDQAPGNLEVHPRNREGSLRGGSKGNEVSDRAQKQQPSWVPPGKTSPTKEDAAGSSS KPQVSGPDLPTTTDDGFTRFYSTFGSLINRLSAPLAFAGLPLTAEDSPDTASPVLSPE IAPRSGSKPPRQPKATTVSASSDPDLTKIYSRATLRTLARDGHAPADSFYVVPTSGHT ASYASILNHEQKEKRRLAASIHGHRDHGNGNPLDDQEEDEDDFVDARESQIQSPPVLP PSSSSAATNPSAFRKRLGRPKTEKDLTNVIEELHMENQTLKDALDKVSRRLHTFEVHS QTSTLALAQSIRLQHPGTGTGGTAGHGSPINASGVMGATAQGARPEEVAALKRRNREL EEQMMNMTRQMAVMEKDYDKLQKTVEKYRERWEQLKAGAKARREAQQSLSGQGSGGNQ GEGGGGGGGGEAA NEUTE1DRAFT_80134 MSNTTTAGQARPKYERIQSQPENPFAALIPDQQIAVIPSFTLES GVTLHNVPVAYTTRGTLNADGDNAMVICHALTGSADVSDWWGPLLGGPGRAFDVSRFF IVCFNSLGSPYGTASPVTAKDGDPTKGRYGPEFPLTTIRDDVRLHKLLLDDLGVRQVA AVIGGSLGGMFVLEWAYFGKDYVRCVVPIATSSRHSAWGISWGEAQRQSIYADPKYDD GYYSFEDPPSTGLGAARMSALLTYRSRNSFEARFGRNTPDPSRRQIIRERPTPSTPSE AHFHIHNDGHKVKRTSLSRSNSNDSTGIARPVDAPTAAAASNASSHEAQSDPQFHGPQ KTTTTTTSLTGGDVMPPQSTYFSAQSYLRYQGSKFVKRFDSNCYIAMTRKLDTHDVSR GRAASIAEALALIQQPTLVLGIESDGLFTFAEQEELAQHIPDSRLERIDSPEGHDAFL LQFEQVNRYILNFLREVLPDIMNKDVPEGSEAAESSVGQITKSSTFGEAEVEDITAW NEUTE1DRAFT_61458 MPPKRKRSDHRGLPDSGPSRPPPHRPSDTSLGQHDRGYDGGRGG RNGRNARRPDRRDSNQPYNNPNPPIPSSASRPPSSSSANNLPPINTAPPTPAPNPIAP APAPAPAPPPQPTYSAPPSPIQPGYDYSIITDDRVSKWSKGARQEVIDHGVQSRDDED LTEVAAIFQELIHSAVDGRLPGSDAGKVIKDILGPEPSEADRAALAFDAHTLFLDTVA TFMDVENAPFRPQLRDLMYATEVSTTLMRQILDPAVLQPLGLVRDTFVRVGIRQSTNL LYRQANYNLLREETEGYSKLVTELFTTSSAEPPSSEVVQAAFNKVMGLIGTFDLHPGR VLDVTLDVFASVLIKQFRFFIKFLRVSSWWPRTQIKLPPDTFVGGLPIWALPDHSNWV ASEEEEKAIAAQRLKRDVAFWDRARKIKLDAFFELGGRQVTNLEDEELPDASRKSGQE NSIEKDWIKITKTLPPTGNRDAAQMLGFKLRFYTTEARDSEDMLPANLLYLVALLIKV GFITLTDLWEHIWPPDDMMEAVKEARFKELEEKERANRPGEKPKLPEPDDQKVILLKC LLTIGAIPESLFIIGRHDWILQAHPDLIPLVHRILHHSVETVYQQSRPQPKSSSSANC PGKKLPDPDQSGVPKGSIRLAAVTPKRALRWPFPDAETSEGGGYRFYWDEWADNVPVC QTVDDIFTLCDTLVNVIGVSIGQDAGLIAKLTSIGAKSMADDQSPANRSRWLLLLKRL LVPSLSLLETNSSVVDGVWTLLKQFPIHVRYNVYAEWYEGQTSRLEPMKKAFARTRLE TLSTMKRLSLTNIPQMAKTLAKTAYPSPGIVCKVALQQIESYSNLIEAFVECAKYFTD LGYDVLVWSVLSSLGGQQRSRTQESSVLLTSKWLQALSRFSGKVFLRYSTMDPTPILR YVDNQLSKGNSTDLVILKELISSMGGVVSDLDFTDAQLHAMTGGELLRRETLTNLGDK RYTSTKSADRLIQALMTNGLAGRLLANIAQYRQSAISAVVQGDAHIKYLATMADDTHQ VLLQYLDLLRSSLDGDTFNNLVPSVIRLMRDYGLGAGLAFLIGRASIRTAMNRVRGQS ESQAPVASAAPDTDGDVTMTTANGDDTVNTLAADGQTTASAQGANERKVDPVLEPFQP VIDEIPTVLSEDTLRYLTPTCYVLFWALQLGDLSFPQDNYSDEINRLKKLAREVMNDR SDMTRAGMNKKTQKQAEINARVSSLLKENSEELQRYSKFRFQLSRQLTTWFPADITKV DVTADTMLEECILPRLQLSALDAEYSFKFLKFLHEFSAPNFKLMSLYDRLFNHNRLRS MLFTCTVREAEHIGRFLKCILSELSKWHGDKNAYEKEALGSKEVQGKKTRNYVGFATA FDADGKPTAFVEHDAFRDLLFKWHKELNSALRACLNDMEWMHIRNAITVLKAVIDFFP AINFMAEKFLEQLKTITEREAASKNAPETEEGHRVDLSVTAQTAFSELQKRKSKWILV QAFRPGTVSASAQAPTRTNADRAQTSDSKEEGKSSLRAATVALKPSSHGRKQTAAEVE DGEVKDNRSGSARSSAGSGNQKDSTPTRNLPTREAQKDANPPQRSMGGNGPPPRPPAP NAGPLPSKLPGNRNDVNRPSTLNPGGRGLPSNPDLPRRPDVPIPEVFSASQFNRGQHN MNNRREPPSSRDSRDAREPRETREPRETHPRETREPVHGRDSRDYRAPETSRPERPRD FPSTDRRPAEPPTRDSSRQIDRDWPNRAELPPRWTEPAAAPGRDGRQPRDRTPHGANR HDGRLSRENAGAAPSPAAPSHNDAPEPPINPERARLLAEAEQKQSLPTPPRAAEQQHS HINPARAALITDIREPQGRSSSRDQTRERPPRTESPRASERSANSQADNARDDRQGRH RHADYHSSSRDGHAHGDSGSLHGRLDRPAEMERPGGSRDGSYNQPPPRGMDHDHGRPV QQDPNYGRLNPIQSVVDSGPAPVLAATSGSGGPPPSGPRGRGRNNARMGPANGVPIRP DGRFPGMEANRTSSPDRQPPTGPSSSRQSRRGQYDNAGGNVNATPSSLPAQSPGVHPD RMSHINQQPQQSGQSSQQQQPQAGPPPPPPQPPSAPGVHPDRLNQINGPPSHRPTGPS AHNRPPINTPDRPSMSAPNNSGRQQPSGQHGSNFVTPTGPSASNNDRMRSGGGSRQLR GIQNMLDKASMDARGPGSRMRGSSRINTMVDSDAQVLAGASPGNTPVNDRPDPLRDIR ESGSRREMSTDRGDRERDRGRDTRDREPRDREPRSGLAPIQVVGDSREDSSSIPHGGH SRGDVNGEGYGSSSRGGAGGDHERGSSRHHSSRSERSDRPSRRSSRERNPGGGGGGGG GDGKESSSRSDYSRESRSRGGGGGGGPSTANAPGGPSADLMTGGSSRDRRSGRDSNAG SGSGHRGGGGDMPPPPPSREPSHRGHGSHRGGGDGSGHSQGGAPPMGGGRSDGHGRPP RESRSSRPSGPGGGHDDMRGGGDDRSRKRRGDGVIDSSQGLGGHQDKRPRR NEUTE1DRAFT_80139 MDAPEDDLDIKLQKISNDLIADFDTSLVPFLRKQNGSGGTVVRS RLLDPFQELPQLLDPWLPKWLPILAENFLEYQQSRYRNKLLSTRSDLLTPLPRAICKI LYTFCKIRGEKVIVRFLNNETKYLELVLSALEESERHQDAQPSSTDASTAAAGSGPQW TWEERYIVLLWLSHLMLAPFDLATISSTDIEQDDLVPIPGFQWPRGLPGITTRILPLA IKYLASPGKERDAAKALLVRVSMRRDMQQLGVMDALVNWALSALRPQEGLERTPYHYI GVLSFLSGVLISSIDTSDMDKYLLTLFHEVHGAATDQNAAGSVMSSALARKTMIKVIR SLAVSILRVSRQDMSSMEIIETTIGFLLENLADNDTPVRFAASKALSVITLKLDPDMA SEVVEAVIDSLNKNVLWVKDPKDTNAPPVMDIGAVDPLEWHGLMLTLSHLLYRRSPPA ENLNGIINALLTGLSFERRGTSGGSIGTNVRDAACFGIWALAPRSYNQQASMLQILAT ELVVAACLDPSGNIRRGSSAALQELIGRHPDTVEKGIWVVQTVDYHAVARRSRALQEV ALNVTKLSSQYGEAILHALLGWRGIGDFDAMARRAAGASFGTIASELAVGVPDSAARL THFMTMVLDRIKSLQVRQVEERHGLLMSFASLLDAFPNTASASQDAGSTASHDSIPNF ISNSLAGLTEILTDANITTYRKPELIAEGASSLIISSFPVLQSSVLTSNGATLRLPEK VLLPGRALFAKSGNEISSLVAKMTPRPEQVSRIAGLAKANLAKWLVRPEQEIIYVASE AALVLLIFCEDAERENVVREWADAVRYRSSGRATTASGYFAALAMSYSVLATLKVAEQ DRSLMCSAFVERWKSDNDIETRVSILSSLTQSDILRENVDTFLELIAAGLDDYTTNAR GDVGSLVRLQAIKATKFLWERLDRLPTNEAVRVVSALFLRILRLAAEKLDKVRAEAQT ALALVLKAKAYFGFLLNLLFEDTLQPSISDTLKADPDVWMEELLAGLVSSADTGNEEL VIATRAALCDFCQQSKKKTDAVCTALLRNLKSRQGQDRVLVPTLEITAFLLHVGIFQT CDQINYKSLCLQAQKSCYKTGNVRKIEACIRVYGAVAALGRSYGSEQASVDGTDEQRK QEGIMDARKRLGALVMHPWPRIRSFVVDELWGLTSISLNDPAAQKLKGVDWGQAEKGS VKSLIEALELGS NEUTE1DRAFT_129055 MVAIQWGPLAARTLFFASTHPHRHAVNDNSTYAQVDNSTYAQKA QDAAMALQEWYDLPSGLWNTTGWWNGANCLTVMGDLALADPAIDEAIRIKEIFQTTFY NAQRTSATADKLLGANGKFVAMYKYETIEEEYDTIGDELAGKRRSQGFPKFLNNFFDD EGWWGLALVRGWDATGDLFMLTAAQTIYDDMVVNGLDSTCGGGIWWSKDRKYKNAIAN ELYIALGASLANRESPRKDWYISQTVEAWNWFKQSGLINDKGLINDGLKRTDQGCVNN KMPTWSYNQGVILGGLVELSKATGDQSYLAEAVTIANAALKALQDENGIIREIDRCEP NCGDDGSQFKGVFVRNLAYLHNVAPHDNFRDAILKNADSIWAHNRNSKNQLGISWAGP AEAGKGPTAATHSSAMDVLVGALTAIKK NEUTE1DRAFT_39966 MDEDRPRKRVRVSIACHNCRNRKSRCDGQHPRCGRCKELDAPCV YDEPPAPHHRPRSSTIDTPPSDILSRLSALEDKINARGTGTSRQHATQDDGDDIDAQE DTRESNGSTSNGPLDPPTMTGLPRSHQGNAHVDGMGEVQLTDDGENCTYFGPSSNVAL VRQLSLALTRAQNQTSRSRAMPTSANSLASSRYPSLQQTRSESGRQDPSDGGVNVGSR PGHHVPAEPEATNIIHEYFSTIGLFFPFIHQDTFMSTYNDLRKRGFMGARRVWLALLY MIIATVYRTNSPSTPSETSAETSERYFQWAKDLVMPQFLISSSLETVQLLCLMIEYLH GSSQSAQLWTLHSLAVKSALQIGLHSADASRHLTLLEREMRKRTWFLIIMNDNNLSAK FGRPMTIPPSLQNRLEPPQDINEHFPTMYASRAVVATSVDAFKCGVQLSHITCQVVSQ LYDDNAGGSSLNHVNTFDTLRLAFDFSWKLSQWHQSVPSDLRPQNLTTETPPPVLDSP SPSIEAQRLRAVLSLRYYGLCTLVERPVLLKFLGLQPEPGHGHGEVDMNVALLRESGV VSLRRCIRACRECIALAKAIVDRWQNQKVLLSGAWWLTAYHAFGASLTLYTVLLIAGT KPSFSDLLSDSELTTVRSALSDAVDLLSRFGENSLVISRCHDCLVNFLRAYDLVVVEQ QKQQQESHTTHTTTTTTVQTSGNSDHTSSSSHSLPPQGQRQGHVNVIGDGNGVSLTSS SSSEGYVGCSYCYEDQLPSSSAVT NEUTE1DRAFT_80146 MAEYVFSDAPIDGHGNGDRDAIPGKQPEELPPAPRYFQGENTAG FMRPVRFEGEITNLEVVGEIPKSIEGTFYRVMPEPHLPSFIPNDPWFNGDGNISGFYF KDGHVDLRQRYVRTEKFVREAEARRSLLGKYRNKYTDLVEFKIRSTANTNIVYWRGQL LALKEDSPPYAMDPETLETFGVYDFDGQLPSLTFTAHPKFDPVTREMVCFGYEAKGDG TRDICYYSFGPDGKIAETVWLVSPVCGMIHDFAVTENFVVFPIIPLVCDVERMKQGGD HWQWDYSIPMYIGVLPRRGAQGSDVKWFEAPHGFAGHVANAFEDDKGHIQLQMAYAKD NVFFWWPDANGKGPRPGEVEAHFANFVLDYQSDKLQLAEPTYLVDEDMEFPRIDDRVA TRQHKHTFFCIFDRKPGVTDFEFVMPRAGGGAPMSNGLAHLNHETGDIQRYLPGPRKL TGECIFIPRDSEAAEGDGYVMVLLANYEDMCSELAVLDTKDLTKEVALIKLPVRLRPG LHGNWVDRSDVDGHPAPL NEUTE1DRAFT_99916 MALSNGCAHHFVLIKSESSLIQWTCQLCQSGPHYFIYECRYCKM RTCRPCIPGCALGIILGLWLVGLLQVSVWHYGRS NEUTE1DRAFT_109238 MEGFSGSKHLVWASPLWIISKTQNKPPWAITQAPYLHSRFTRRL STFRLQRNEPKRYCQSTISQDLYGRDDLYGPGGGDKRRSSSDSFTFLGTSSFPVPFDW PPMTRLELGTSHSPSSDPMMGDWWTLGHGASEYCALPPSFGHPDTHRVPLDVGCERFS AAEDSRLLRHPLHTDPLSNLSKQRRRRPSLSIWDATWSNSPPADCLIQMSSAPVAGRR HWSTELGYPLNFQWPVSYNVAP NEUTE1DRAFT_129057 MEAHLEPTSLDRTPLSADSVPSSASEASSFTHPDQDPNTPVATS EAATGGLDVPVEEAEDMAGTPSSSIAVPGAIPITSDSGITSTGKSKRRHSLASSFTNS IASRKSRYSEPGSQSVHADRANVSMPPPVTLPQASSSLAKSPRASLSHSRRESSNSFR SFISDAWSDANNSQGYSDLGGGVHVPGSFGSASAVPPLFSPRDFALAAGDNGQNQGQS HNHSCSTTVITNSGSATIEDSETPRLRPGTGNANAESPQPQQQQQRQLPDPALQNPST PTQREYPYHSRRRNSSFFGFEYSQSDSNKRKSISSIYSLASARGVPSSESGSTSGATG SARVVSGFMSSSAPSKSGVIPPASTAQTAEASVSTTTVTTGSQGAPGTHQLSPRESHF QQMGDMLKDGQSRGNHHHTSQAHGHGVPAPVNTSVANGAPPAPPTPRAIPPRSRSRAK RRFSGSTAASGNQSPNGERVVSGHEHRPPKEEHKPAPYGVIGVCALDVKARSKPSRNI LNRLIQNGEFDVCVFGDKVILDEEVENWPMCDYLISFYSDGFPLDKAIAYVKARKPFC VNDVPMQKILWDRRLCLRLLDRINVPTPQRIEVNRDGGPHLLTPEICKLIKDVSGVQF EPTNTDPEYAKQVAPRKIELLENGDVLSVDGTLIKKPFVEKPTSGEDHNIIIYFPSYA GGGARKLFRKIGNKSSEYVEDLNVPRCITQPDESFIYEKFMQVDNAEDVKAYTVGPTY CHAETRKSPVVDGVVRRNTHGKEVRYVTALSDEEKEIASKISTAFGQRVCGFDLLRAG GKSYVIDVNGWSFVKDNEDYYNQCAKILREMFIAERQRRGGIPSPCPSPAISEVPDPL AARAALMNKEKELSALAAAQGKVSLDKQRSDHTRHHDAPPHVKSDTSLVSKLSTKPSS PRNSQCGESAPPTAPSTLPTTPSLTSVAIAEQEHEHEETPPPPPPKPSWKLKGVVSVI RHADRTPKQKYKFTFHTDPFIQLLKGHQEEVLLIGEPALASVLDAVDVALKAGIEDPV KLKSLRNVLVKKGGWAGTKVQIKPMFRKKDKPKKEDKEKEGEKPDEEAKEDATPGEGD SVDKDGNSKPRRPVKRHDSLSGVTMSKFTAAEESLVLDKLQLIVKWGGEPTHSARYQA QELGESMRSDLGLMNRDILEEVHVFSSSERRVVTSAQIWAASFCKKKELPPDFITIRK DLLDDSNAAKDEMDKVKKKLKGLLRKGNERPPQFAWPENMPEPSEVQTRVVQLMNFHR KVMQHNYAKLYSGAVNSLNAINNPSMEMISETSSSSLSSLGSSHVNAVNSIQARWCCG EDAELFKERWEKLFAEFCDGEKVDPSKISELYDTMKFDALHNRQFLEWVFTPPKTMLE EDYGILAPPNGSKDAKSPSPVSSTDNEASKPTESSLAEKIDSKAVKRIFRRRSFLHGF RPGPGPESELPERYFHLHRGNSQTKAKTDARFEPLRELYQLAKVLFDFICPQEYGISD SEKLEIGLLTSLPLLKEIVKDLEEMQASDEAKSFIYFTKESHIYTLLNCILEGGLETK IKRATIPELDYLSQISFELYEMPADPPADADGIPAFNYSIKITISPGCHVFDPLDVQL DSKHCIGCAPRRSLTAHTDWIKVIETLRAKFHQVKLPKTFLAVNLSDAFTFQEKPQGG DEATEVEAESEATPEAERVKEVEPEVVEPDDTEAVAANTTDAVTVDDVTTDDHSDVLE IKIPEDQHAVDVEVVDDGSAAEAEVSESPEAAAGDVSLLPEVEAATPLTAVASKTEQ NEUTE1DRAFT_80151 MPLDDMMPHSDREITSAKRQKLNASVVKSKAPQKKKGSSIFAPF RTVGLVSPTSVPFTSIPLGKTTFQITTSVGRSLQTYDLKRGLNLVFVTRPQTPADITA TTAWKEKVFAAWGNPQNGEAQGIWVFQRGKKVAELELPADLNQPIKQILIFGSWIVAC AQTRLEVWKSATLEHYTTIFSVAAKKGDNELTGGVVNMPTFLNKIFVGRKDGWVEIWN VSTGKLIYTILPPSPDAGSVTCMEPTPALSLLAIAYSNGPLVIHNVLTDKASLKLMAG SEHAPVTSISFRTDGQGAGQDGTKDGVMATATSIGGDVTFWDLNKGGRVMGVLRSAHN PPSHTDKTVRGGISKVEFLPGQPVIMTSGLDNSLKSWIFDESPFSPVPRILHMRSGHA APVNCLQFLPTDFDGAEAGNKWLLSGGKDRSLWGWSLRRDGQSSELSQGALRKKAKKV GILANTALGSHGPTTSLDDLKAPEITCIASSLNRDGGMGAMPGRQVIWDKGNDKSKPT KSELAAMTGWESVVTAHKNDPWARTWFWGRKRAGRWALKTGDGENVSTVAISPCGSFA LVGSVGGSLDMFNLQSGRHKQRFPSRLTPAQMRQLKMQQLKALDKVSQLEKRSSSKTS FAPGSGKHTKSVTGIVVDPLNRFVISCSLDGTVKFWDFVTGNLVDEIDWAPMVQITGC RYHAGNDLIAFACDDNSIRVVDIETKQTIREFWGCRDTINDFTFSNDGRWIVAASQDR VVRVWDLPTAHLIDAFRLEKPCTAIAFSNTGEYLAGATEGELGVHIWTNRTLFRHVPT RQISEKDIAEISAGPTSSGEGGQGLIEAAFEEEQEDEEDEGVSAPVLDQLSADMVTLS LVPKSRWQTLLHIDLIKQRNKPKEPPKAPEKAPFFLPSLRDGTTNPLLLTEGEKKDEE ESRISKLTQLETTRTYQTFTAKLLEGAGTGDYTPFITHLKSLSPSTADLELRSLSIGG LDPESSEDSNELLHFIRAMTQRLAQRRDYELTQAWMTVFLRLHFDVIMESETLLKELA RWKEQQEREKKRLDDLVGYCGGVVGFLRSPRT NEUTE1DRAFT_121508 MSLFKVKAIFEYASGHEDDLNFPAGQIITVTDEEDADWYGGEYV DEAGVKQEGIFPRNFVERYEPQAPPRPTRPTRAAKQEPEHVAAPEQAVSPEPAPAPEP VRSPSPPPVASAPPPVPVPAAEPPVPKPAPPPQASAPPPPVPQAVPPPAEAPRVTSPP PKPAPTQQQQQQQQQQPSKPSGPPPVAEKPSSFKDRIAAFNKAAAPPPAPFKPTGLSS GANFIKKPFVAPPPSRNAYIPPPQQAPVAKIYRRDEDPEIREQEAQAQENAAKAGLAP ASASASNEGEGEEEQPKPLSLKERLALLQKQQMETAARHEAKKEKPKKPVKKKVETHE AAEEGEPAAVPLERQDTEETVRKSVDEALHPRAPAPRRKSNFGVEPNDGNEADLSGAG ETTEGQEDLTEKEEVEEHKHVATAAKQEDAKLAQEEDEEDEEEEEEEEEEEEVDPEIR RREELRARMAKMSGGMGLPGMPGISLFGGPPPMLPKKKKPVPEKVEESEEQPTSPVQR APPIPTMMALPGMSRAPEPVRSPEVEEPRSPLHAPPPPTRPPQQAEPEQPVSEEEEEE EEESEAPTPYQAPPPSLPSRDPGVPPPVPGGRPAPPPVPTEARPPPPPPTASATNSPS EGSASDDELSERPQEESDTPRVAETSHTARAPPPPLPALAPPTPQMPPPPVSPPTSPG ASHGRRQSYYEASPRSPPLPPASPSAKRESRLPPPIPGMVPVSRAPPPPPPAAPPRTP TTDTFHQRQHLSPGRGHDNEEVEEEITEYEGDYDTDIASSVPHKDALKSHQRDTSFDG PASPRSPMSRPPPLPPTSPPRAGPPPVPLMSPLESRKSVDVPRAAPPPPPTQEMPRYG EGDFDPYNYSSPTHGSSSIPSFPLPNSPPSEPYSPETPFHGTPQNLRAPPPAPPGSGR PSTRQSMDTPRSNLRRSVDMTRPSMESGFVANDIDLASQSTWWLQPKSLPPPLHGRKD ILFESEESTASDPHSGKTIVTRDIYVLFQDYSQTVITVRFNSQDPSDVELEQRHEPPP RTLRQDQLEQSYERFGRAISEAVSGKKETVVGDGTPQALIYELLRPFKDALLPVGTRA YGALVYSNLANASTTQNDEIRPGDIISVRNAKFQGKHGAMHAKYTMEVGKPDHVGIVA EWDGTKKKVRVWEQGRESKKVKVESFKLDDLRSGEVKIWRVMPRSWVGWEGGN NEUTE1DRAFT_129060 MSSTSPPVSKPPKSILKKTTHPTTGFSQLPSPTEPSNQQQQRDF ISPGSLPISFADLSSLPTEQRLAHEQALLLQRLSQTELKPAIPLETIELLSSSFPTQR TPPALHPLNHQPLSAANPHPDDARQFLKLVADFTPREYLELIEERNCLGACGYVLCPR KRRQYEGEYKIIMRTGNIAKTEDLNKWCSDACAVRALYVKVQLDNPSYMTDLETGKRV VKVELREEEEKKKKPFGEEEKAKDKDKATSVAEAEDKVAADLARLDLNRKAEISDKAA QMQRDADALAVERGQGSGKLDRLLAGQGVEVTIREKPTTGPAKAPDHTKASSTSRKKR PQDAHHMVEGHKPRFGTDIKSQEAAKKDGSESESEDTDEDDDDINDYLSGRMQISM NEUTE1DRAFT_94538 MGRVRTKTVKKSAKVIIERYYPKLTLDFETNKRICDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDFTQNSESGQL DVDTETKDLLKHLGFDSIPVNVIPVTQAQPVERGRRFGDRPRRD NEUTE1DRAFT_61484 MASSRGVSPGGALLRTSRMFSLPPVIPPPPGNKLQMISERASAT EAYPTHQVLTTFESSRSRGDWGLKRPLPLKSTTGTTYPMVKVKEMDSLEQITDFTSGT QHGLTLKKFQALNIPISTPSEISDPSRLFRPVQRSVFEADTDVTAFSPDEQIQEAEKR WKFSGPWLAGMTPGEFKEYLAKTVRPKRADFRKFIQKKIAAQKTEAANRELQETAALR GDAVAETQEPFKPESITDDEVTEYLRRLRNDNQVLYDLVGQFLDLAPLKPPQAAEARQ HSLMVNLRATDSPYGGRGPPITHPSAGISYLRTAAYLNNHPIYGPQKSHPPVQARVLK PRKGGLGNDAKIGVAGFVADGPLGTSHSNLRGNTVMDKFDPSIEGGAKLWVNVDKATV DSTGRVQLTVSDAKATDVLIAKELIGDAREPIFGSAPKRQEKIFKKIPMTAARLRGRY ENSDSPSSPTMSGSSGYGLR NEUTE1DRAFT_121512 MSIVQRMASNKASSAALTFELVAKCSTTRARASILTLPHGPVNL PIFMPVATQASLKGITPEQLEGTGCRLCLNNTYHLGLKPGQEVLDAVGGAHKLQGWKH NLLTDSGGFQMVSLLKLAKVTEEGVRFLSPHDGSPMLLTPEHSMSLQNSIGSDIMMQL DDVLVTTHPDKARMREAMERSVRWLDRCIKAHKNPDRQNLFCIIQGGLDLEMRRECCR EMVARDTPGIAIGGLSGGEAKDDFCNVVATCTELLPDLKPRYVMGIGYPEDLVVSVAL GADMFDCVWPTRTARFGNAITKHGVLNMKKEQYAKDFGPIEDGCGCPCCRPIDQEGAI GVTRAFVHHNSAKETVAAHLLTIHNVWYQLHLMGGARDAIIADKFPAFVKEFFNNLYP DRKSYPSWAVNALKNVGIDLFES NEUTE1DRAFT_61488 MDEITPTTSTAPKTFTLFPLLPTELRLAIWQASCHPRILEIHYC PSQDRCFTPSKPPVILSVCRESRHEALSRIYAKAFGTRTHPSGSIYFAPDLDILYIPR YYEEEGDFHHHHQKRKAARGEEEKERTRRIMSMGYSETARDFDRYVLNTTELVKNLAI DHVRPEIRRPWETYSKFCLIRSFPRLERAFLILDNTTTTASSTITTAAATMTISTSDD RVIEGVDDGDDDEDQIEFIDPTESKEEITRLMENVSASFSHEVGVGVITRFEDSGSGG GSNDHRAQQPRLDCCLNHASGGRGGDDLALIPKTKVHHHHPSHHAPWQSHTSSFVACV NEUTE1DRAFT_145706 MAPKKYLSPLILAGSAMATAFQFHGHLNALAANNAINAAATTDA GYQACTSVSSVVLSCSAVGSLADNIPEASQAACVCCQGQSELDPQYSSCAAYINTALQ NPSLGSVYGAVYTFCAEYSCGAAAAPSTTTTTQPPTTVAFITTSRATITSAASVPAAC ASVVSIASRCANGNGEDEILSCLCHDTSGKTNTQVEEWASSCLPWAQSNSLQQDVTVI QALESICTAGAGAVSSLSAQASTSTSDDDGGFTVTTSRPAFGLPTAGGTAASDPVAST ATQTRIVETEAPAVTSSTSSAAGVMVQQPLGGVAAWVVNGLVAVAGWFVVI NEUTE1DRAFT_121515 MPSSSSAAFHNRPSSSTRLSRTASKGQLDKNNAEGDLTQSLNHL SISLASPSSVTSQSPSPRKSPRSANHPPPAISPLRPQFATSTAGSPNGTPSRPGSAMR NVSYGRPPSRSQTPALRRKASMNSIQVAHNSNGHGLRRSSSVNLTPSAAKKSPLNDMS PDTSEFKVPPTPQSIASDHFKAELEIHHGHDPKLSAETIVILNDACYGHRYSRPRTSK ASLSTIVERPERIKASVLGVSAAYVRLGERHQDGSFPIHPDQHPRNLPSVPFLIQKTT RRLAITSPTVTNVHGTKWMEELKIMCDTAEAKLATNGKELQRPDMDRGPNAEEPPKFH EGDLYLCAESLDAMEGALGGVCEAVDAVFKPEGPKRAFVAIRPPGHHCSASYPSGFCW VNNVHVGIMHAILSHGLTHAAIIDFDLHHGDGSQQIAWQHNSRGVKAAKNAAMWKKTS IGYFSLHDINSYPCETGDDEKVMNASVCIDNAHGQNVWNVHLQPWETEEDFWALYESK YTVLLEKARSYLVTEAERLRAAGQNAKAAIFLSAGFDASEWEGAGMQRHEVNVPTEFY ARLTRDVVRIAAEVDTAVEGRIISVLEGGYSDRALCSGIMSHVCGLAGAGSTGNGKAH DQYNPSWWSAAALEHLETTLLTPPPEPPKPPRNVATPTYCSPTQASTARSVAPRRTNR PMSPMPSRIPMPPAPEVPWAIAACELSKLLIPRDRQTDSCTHTDLNAEATKARRERQL AQANTSASDAGTANSSDRPSTRMALRERKAKQAVPIKEEDTDEDRVNAVSAGKTGVPR GGARATRSRRLSAASTIVPDSLDSSPPSHRYSATNAEDDGRPETSMSIRPESSMSVRP QGNPLVVNKTRGPAKNKDALQAPRTQRKISTSTIESARSSNASLVSPASASLNDQPST GSNGDGVDTVTGGMKKVKITLITQAQKEARERERAANENAGAISVVTSNLQENKLRQQ QPTQTPVATVQSPTESNTTSPAPSTQFYTPNSQGRNSFSSSEDMDATDKYPELFGHHH LQDGDGDIDMEDFEIPALASTPMPSRTSFPLPLRPLQQSSTPLENFIQYQPPAGKHHA SVPAQTPTSPGHAMNRKDVPVFTATSAIPFSPQKTEQLQQSPAPSTPASLPSVIFAGQ KQEEKEEAVETPERN NEUTE1DRAFT_61495 MAAATVNPLMSTQKSDSSLQVALHPLPILEISDYITRSYLRGYK GAIVGALIGQQNGRQITIEHSFSVKTEHTGQNYKVDSEWFTARLDQMKAVHKDRALDF VGWYTLVPKSGPTDAHLPIHSYFYSQNESAVLLGFHIHEILNPVAGDPLPLTIYESNL EIVDGTEASTAEVEGEDREMKDVTAEPSRSIKFRELPYTTETGEAEMIALEFVREGGS ANVTTSATNTTAAEDEGSDKPLMKKVVDTNKGSKRRAVSSDDAAAEAPTTSSAAKGTA TNNNRDANLTKAELDYMSALQAKYNAVQMMKKRLDTVISYLQRLPPDYLSSGDASSQQ QQQQQQTEGTVQPQYTVPSNKILRQIQALVTNVQLVMSNSTSGQGQGQGERDTDLGAL EKELLKETNDVKLVELIADLMSSVKDMKEVGKKFHVVETAKNSKRREQASHGGGERFN PHHQYPGGGGSSMMREHAGLVGEVSASGAGGSGPAGDLARFDH NEUTE1DRAFT_129067 MDYSASINDTEHAAGSSPWGNSPSSSPRPTRSTFASTIIPDDYV PPTPNNGLDDEGGFGAGDNGFRRHDSTDAPEAQSGALFSDHVPSGQPQQEGQADDSHG EVHPQSQTESHQEPQTENGQHQQPQEQQHHAQYPPHQQQQQQQPQQYQQYQQQPPPQQ QPYSPHQQVQQQFRRPQPQFKLQAKITGLERTGRKDPILRFDVHTNLPSFRTTQYRDV RRLHSEFIKLAEHLISANPEAIVPAVPPALTSAGAGTDEDEARVKALMQRWFNYVCSN EVLMRDDEMVLFTESDFGYSPMVKKKQPATGVRRKILKQFAPPPDDTPELQEARPIVK LFYLGAMDAGHKVDKLVKTRRGLGLVEADYGIKLSNMHIQEPHPGLSNAYRKLGKVLQ TVGDLHAAQATAEATTIGDPFQYHSSDAFIVKETLTNRQILMRELLQAQELTRSKLHA ADRLKSSSNVRRERVDEAIAALRDAQEAESTLQHKTTRVTQNLVHERRKWFARTAADM RLSIREYVLRQIEAERRTLALLESVRPDIRAIDSSGGLSRLGRESHPTVRRASLAASQ GPKGDAWSGVPRARLGEAGSVSGARSISGSFMAGSVLGVGAGGGVEGEEAGGEDAERP GSGGARGAVGGLVGLPEEDDEDRVDARNAASRLATSTF NEUTE1DRAFT_121518 MSMPAKPQQLSECSITVACPDILEDGNTMTDFLPMERERGITIQ SAAVTFLWPPQQSLAPGQQPKSINLIDTPGHQDFRYEVDRCLPILDGAVCILDAVKGV ETHTERVWESAQLSKIPRLIFVNKLDRDGASFKRSCLEVASRLRTYPLICQIPWWNKD EFVGVIDIINLVGMKFSSTGQMSLVSEETIGKENPTLRAEMDKARLSLIETLSEHDDA VMEEFLELEKDVPTSSIKKAVRKLIMDGEAKFSPIFAGASLKNIGVQPLLDGVIDYLP SPLDRPEVEVIQGKKAMPLSKLLTLQDKKKKNHGHQANHQSPITTIGHVFKVVDDPRR GMMSFVRVYHGALNRSNHLYNSNMNAFEKAQALLHVSAKDHQDIQHLSTGQIGALTGL KQARTGDTLLTFPGSHNPKAPEQFRAVHIKTLDTPPAVAFISIEPYTKTASEKIEEAL SKLSREDPSIRWSKDEKTDQLILSGMGLLHLEIAQHRLLTHYKIDRDAAIWGDIEVEY SECLLSPVPPHRAVFDRPMRGENGKAACTATLVPVEDHHRHESILESCVERDGNIIHI AIPLPEGTEDHDSLPFDAELVRQQLLNGVIAGLSRGPRRNCPVRKTHVTITFDPETDF FGNMTTGGHITNAALQAVRACLKEAHANSAMGILEPFTNVTIHCPEEASHAIQHDLVS ARGGAVLEVRRPEESEADAAFISEGGGIDLSRVYVPPDPYESVQSLRDPKKSVVRMLE IVGKAPLKDMMKYDSQLRSMTGGRHSLQLDPGEFELVTGPREKMLG NEUTE1DRAFT_121519 MAPSAGCEHTPMHLPVLSTASSINASVLHGPRDLRLERRTIEEP ELGELQVAVKTTGICGSDISYYKKFANGDLCACMPLSLGHESSGVVVAIGPQVSGFSV GDRVALEVGVACGQCTICRKGRYNLCKKMRFRSSAKSVPHYQGTLQERINHPAIWCHK LPDNISFDAAALLEPLSVGIHAVNRASPAPGSTALVLGAGTVGLLTAAMARQAGCIQV TITDVDQGRVDYAISKGFATHGYVVSRPLHISSSSSSIFPNSNSSSGSSTPSDGIATP YSTLSFRSSLDSAKALAADVLTQTQNPVLDRDDEDIGVDVTFECTGKEVCMHTALYAT RPGGKIIMVGMGTPIQTLPLSVAHLREIDILGVFRYANTYATGIRILCNQKGSGAGFT LPSLDDMVTHRFKGLENAKGAFELASRTMDDEGNLVLKVVIEA NEUTE1DRAFT_145712 MSVPPTPTTERKPGFIPLVAVVDFHHARGPEVERWFGVPEDSDP AAEYDWGLLPFMALSDGAHAATEDFSYFTLLRPATASEPEATSLFGISCTRQMDASQL LNRPADVTRSTVQKAVVIIADSPQYFGMLRERLSVVTKAWFAQREFTDVEILRRFQES LADEKARGTLLGEKEEDRDLYLGMSLRELVREFRWQTLVLLKCCLLQPKMLFFGSRCE RLCMMQFSLISLIPGLLRNLQDSAGPELDNYEKKLQRPTSLRTSDRNSLLAYMGLPLQ IFGKGSLFGPYTPLQQLDILADFGTKSYIVGSTNSLLLQQKDRYSDILINLDEDSINI TSPSLKSALALSTPDRRWIDFITQNVNDTWDDANPGMPKTMGYVGSEEFIRLQFEEYL LSLISSVKYHNHLAIHSQNPRMLLPHIEGDPSLDFNADFIEAWKRTENYRIWDGHTDS HLFDIVEPKHPCAGGLTIDDVQRRIAQQVQDLHLDERFAVGKEVLGRNLAAGKEKAST MFNKLYADMEALREQRRKAAEEAEKQRQAEAAAAGNGQQHGSEKGGGSGSGNGNGGNG QGGLAPAAAVSTVGSKAGAFVSSWTAWAGEKRKGWGRSAPTTPITETAPVPPTTTDTT TTSDTSSQTGSQQPEEKDKEKEQEKKGWGWSKAIRNRTSLLLSGGSTSEERETFMPQS PPRNGAYAALPLGTGSPESVRTTRTGNSSTVEQRAYRRRALSGESMLDAVEGEDGEGY SGSEFGSPERVRGGIARKPVGGAGSRPGTAGTAGSVNLMSLASPEVVRSADNTKTTIA TQQGSDGETGVKKDEKDEIEDKSDKADNKENEDKEDNGMQEVSLDDAPATATEHVKKE PSPVLAPITTTTTTTEETQKEESRSRSPSPSPLQSPAVIVAAEEAAKAREVWDK NEUTE1DRAFT_121521 MAEFIRAQIFGTTFEITSRYSDLQPVGMGAFGLVCSAKDQLTNQ NVAIKKIMKPFSTPVLAKRTYRELKLLKHLRHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQIMRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVINTIASENTLRFVKSLPKRERQPLKNKFKNADSSAVDLL ERMLVFDPKKRITATEALSHEYLAPYHDPTDEPVAEEKFDWSFNDADLPVDTWKIMMY SEILDYHNVEASGQMMFPDEVSISQ NEUTE1DRAFT_99933 MASTEAIFTFSNVPALTETVNGRSDTTGTSIWKPAPVSGGWWYI FPASCSWSRGRSPMYRQGT NEUTE1DRAFT_116646 MGWRGWQQNRTVSVGKEYWSLMITGMIRDPCRQAWQKNFNQYIS ARAADTC NEUTE1DRAFT_129072 MASNTTQRVPLRERRPSVGAPLVDIQGGVAPAGVSRPKHKRTLT GFGPGEIKNVEASIPEPQRKAWLAHQTSGFKDKDGFETEVVRHVETTLARSMYNCDEQ AAYSACSLAFRDRLILEWNRTQQRQTFADSKRVYYLSLEFLMGRALDNAMLNIGQKDV AKAGLAELGFRIEDVIEQEHDAALGNGGLGRLAACFLDSLASLNYSAWGYGLRYRYGI FKQEIIDGYQVEVPDYWLDFNPWEFPRHDVTVDIQFYGHVTKRTDDNGKTIATWEGGE IVKAVAYDVPIPGYATPSTNNLRLWSSKAASGEFDFQKFNSGDYENSVADQQRAETIS AVLYPNDNLDRGKELRLKQQYFWVAASLYDIVRRFKKSRRAWKEFPDQVAIQLNDTHP TLAVVELQRILVDLEGLDWEEAWNIVTNTFGYTNHTVLPEALEKWSVPLFQHLLPRHL QLIYDINLFFLQSVERKFPKDREMLARVSIIEESQPKMVRMAHLAIVGSHKVNGVAEL HSDLIKTTIFKDFVEVFGPDKFTNVTNGITPRRWLHQANPRLSELISSKTGSQNFLKD LTELAKIEHYKDDKAFRKEWAEIKYANKVRLAKHIKKTTGVDVNPSALFDVQVKRIHE YKRQQMNIFGVIHRYLTLKSLSPEERKKFQPRVSIFGGKAAPGYWMAKQIIHLINAVG AVVNNDKDIGDLLKVIFLEDYNVSKAEMIIPASDLSEHISTAGTEASGTSNMKFVLNG GLIIGTCDGANIEITREIGEQNIFLFGNLAEDVEDIRHNHTYGSYTVDPDLVKVFEAI EKGTFGEPNDFMGMISAVRDHGDFYLVSDDFHSYIETQELVDKAYRDQEGWITKSIES VARMGFFSSDRCINEYAEGIWNIEPLAVKDQ NEUTE1DRAFT_39740 MISNHLIIPNLDELGIEPRTFRIQEPGKTDDEKTDLPRRHQWAC ELSRYGDADTAGTVDLTTLLETPSFSRRCGSNEMKCFSETQAAASSCKTLIDGLLATR NNFPNSPRYVCQLGQQLVACVVRPPWSWRGGEPQETPRKC NEUTE1DRAFT_129073 MTWHAQLALVLALVCPATAWCSGKSDACSSNPIPLRQFNALNYQ ANTCNLKWNRGCTSLDKHGALQQKPPPYSLCNSGHQPAGRVPVDAYTLSLQNNNFAPG SARWTLHGLWPGSVDGTGGKNQPYGCLNGEDFNETILTTFDGLLNYFWPTNAKFNNTM QCFILSEWMKHGTCAVIPGADGTAFRLPQEDYFRTAFVIANEFNESPTLRNQLLEKVK LDDVEPLISTRCVQCAYLATVGWTGTDVASVPRMSGDCIDQCFECGDFWDTCPPAQLE STVMLNSSNAPREKRDSSSNSNATASFPLLEFAMPAFMSSENSSPWEGTWRSFGAEEG GSGKFEFAQTFTDNVLTVTTDSPYPRTCTYERRGPKELVLRCGGDHLEDCLVQRLPDI GGLEAAFLACNHTGEPAPASFYAAMDTKGCGNFLMFRCKASAAGCSFSPDSISASEPK TETKTEPESGFESESKPSPVSHFQPGIAGAPPALLGSWRSLQVDSDYEEGLAQWNFTS DGQASLRWPNYPSRAVQRYSVSHSDEDPSLVLLASASGTITTCRFQFQYQPVYSYAVL DCGSAGDNEEDTQNTKWAMGRCNPCSAHCRYSCGAENDFCGAGSCDPAESVEAASVDA LPSLFDNTRATLKDDHDWCTPEDSGCWPTKTAIRKLEQELDPTVPRLGLRWHSYPDPQ PAPVPMGSIDNQSFYGLGNAPQGLKALYYYEDIAEMQRPCFNQADPPAVYNTASDMCK AAVHQNEYRNWNPFIVVFPLNERHVVAALNFAARHRLCIATAGTGHEYNSRNSCPTGG ILIRTILLKDKTFMPTWHEDSTLAPAGAFRFGAGSTFAEMHAFSKDYSRVISSGWCST VGMVGFHLGGGHGPFAPSMGLGVDNVLEIEVLQVGRNTYGQPVVHKKIASRNRNPQLF WAMRGGGGSVWGVVLSMTIRAHPVPDGGLSRVYMSQTGTFCPDDSSKFGYQWLRGMWT RFTAWQLSLNQKVSTQPGFFINTTLYNEKRNLCSVTWEFKFEYFYAGGQAERDYIRFR DGLKDVLQTDTVWEDNYKSAYDYLVSMPADKFTLVPVNPLPAQHPPSDVATGSQNSVL VSRQALKTKFTSTMMDVLDICVKSLKNPDKTSPDVSGYRCGFHYLYTSLTGNLGSTQP GDTAISPGFRSALMLWNARTLTTQQSNDTVYKLGPNSYFSESSYVMHNWTARYWGEKA YEQLLGVKKAHDPGNHFWCHHCVGDNPDDAFGEPLGAAAQADFGDQPDAAAQAEFVEQ DKL NEUTE1DRAFT_80193 MNTESLPDYLTIPGKTIPSFHCRVGKNHNDRQPQRANIYGYPVP SVQAPTSTSVMALDYFASDQTWGNILAHGDFDYIVIGSGFTALAFIQKALDLDPCAKI LCLERGGFWLPSHFQNLPIPFKMVLGGPSETFPWTLSRKTFETKELKFCHGSCPFFGG RSTFWSAWSPRPSLDLMRDFPESMKETASHEQFWKEAKELLNVTPAAQINDGVFGSLQ TAIDRILERSVCKIPTADYAESAPLAVGRRSPTSRLRFNKFSVPGPLLGILERQRQLA KTNQGAPLEIMVECAAKSMAKGDDDDFVRVIETSKGTLSWTGNKTRIILCAGAIPNAT MLLNSLESCRDTVGKRLTGHFVTHISARCPVKNIKGWKKEDTLQMAAAYVAGRDPKSG LQYHVSVTALNSPNPKDDAEDAARECPDYAAAATLDQLIGSEDYVVFVCSALGEFSEK NPKNHVTLNKGTDPTCNVTLQYTLTDDDYACWDVMDTATYDTIKEMAGGDDEEHESSI EWWDETSHGWSKTKPAVDTIRIPGVVHESSTCFMGSKEMGGSVDELYRPHGIENVHVT GAALFPTAGSWNPTMTMCGYAQDLASKLHELKLRQNCEERLV NEUTE1DRAFT_136820 MSYHELLNVIESIEDGLFDSLIESLNSLPNSNTEKWWETLAGKV ADCQTEWNKWYAAYLECERFLQNENQWKGNGQYSYVFLTQTVTELCTALEALARWTKK LTTVSKFLAEGRDVEIIDQDQTSTTVTKTIKDARVEAVKRIFTVACRLLDCQRFKDLM KGEEAKTQKQLEEVESRTTAAVVEEMHSAFYLDVLGADLGITNPEAMERYLRALLPQS FAYRP NEUTE1DRAFT_61516 MVSFFDAYRHYSKHGDLESIEAMLQIWRNETASGANADLAMSDL QGAMADVFCEAVCRGQEAAVRMMLDAGVDPTHLRQACDSGYSPLQIAAEYGHRDIAHL LWNVVGPQGRFHYPSPRQPSEAMPSCLVLAAYNGHAHLVADFLDLYDGWSSLERQTSL FQAAAVWHDDVVALLLAKFHYQSVDIQCALEAAVAIHGRDHANGIPVQTRNGEPLNRD HQIRVVRRLLSAGADVNRPFKNQKALPIHESFTQIELLKLFLERGADPNAKNGYGQTV LHRVAANFNPSPQGRQNRDYLEALQTLLQHGALPDAPDNEKVAPLHLLADTGTPNVLR TCLGYCVDSSSAVRQLNQFGESLLHYAAAAGNGETVEYLLDQGLDADQANANKWTPLL CVLTPAKSYNKGQTAARLAVARLLLERGASAQVMTEEGWTPLHALATVRDVDATEEER TGVGAFAEELIARGTPVDTEASVLRYCSPIFTSILSGAWGHRMAELVPQLTRWAEDRH LQAYEDWELTPLQWAGRTGAIDVFDVLMRYFASKEQAEPRPDEGATLEFSCSKCKNFN RWLENFRCSWLQSTQGQPPMTIFRYGSLDTDPTETLKIQ NEUTE1DRAFT_61517 MATPTLISSLPDLQAFLSLIPPSSTLYLDLEGRNLSRHGTLTLL TILVLPTRATSIVDVQTLGDSAFTTPSPDGKTLKAILEDPHTSKCLWDVRNDADALWA HHKIRLAGVTDIQLLENGSRPGGKTYLFGLDRCIERDLSLRWEEKQPWARTKQEVRAL MNMPNSDIFSRRPLDAKTLQYCVNDVVYLPALHKLYTKRINKSSGWLAKAMVESARRV TEACGPGYVPQSEDKKFGPWRSRVDPDYDFWF NEUTE1DRAFT_129076 MGCPSTFDVVPQTRDALSCQPHFCFYVGTPDLLESRSHEKASYV LTFKEQDNSSSSKVLVLLDGFDKTRTFSPDVNDTLGVEFHWRDLTSDLPFVSPPRIYF VFDLCESSRQSFLPSFISLLKLALGPQTVPSHLDYKLSEDFVAALNAIDADPTGKGDL AASFASLASELPPHLSPLHPGPDSAVLREFFLSMTDRTAWSMHGTLSSSATKQFFELV KMLASSGGPPPPPPETSNNNNSTRPKEKSTIPWYRNWMPLNLGSERPPPHDVEAVLIR TRWRAPRLWAWNLLGTGHEIQPLKTLLDAFKEVGYLDTQEGKVQTLVSLVEGQGTDPL RPSKSRPREVGLVVKAIRYTLALSTPTTPPPSLRDALTKLDRLKILLPAVQKKGNKEL LAWLLDDADNQGGGGGGGGNLREVLNTANYIRGPSPENRMKRVWLQNYVLHTAVCRGD VDMVKLLLERGAKMLRDDEGRTPLMFARMLSGSRIRYHEIEEKVEVLEGWLRERELDS EYWDEPEEYALDASEGEDGFEFEISKGLWFL NEUTE1DRAFT_121528 MFCGLPIPFRSRSGHNASTMEPREKNKLRKKQKKVQLASSDQFQ YQGKPPKGPARACNSSRVPTAHKSFHNAQHGETPNDTENKERSNIAKPHSDQSQIQVS PLRL NEUTE1DRAFT_145720 MEDPTTQQQPAAASPSLPAPTTLEPEPISRPSSTSTQASAPQNM SHMLPGIASIATTTTNNNNNNNNNNNNNNNNNNNNTPATVTSPQPRPMTMAAPPMIPP GTSPAASSHPGPGGNLPTCQNCATSTTPLWRRDEMGQVLCNACGLFLKLHGRPRPISL KTDVIKSRNRQQQQQQQQQQNLAATADMNGGVGMMDPNNPAAAARRASQKSINGHPVD DNSPVSRTGTPNVYNPHIPIDHSLEYQFQAQQIPGFGVPTASPGRAPSPMNGEHMPQT HEQLLAANASLKTRVSELEVIQELYRGRLHQLETEENIRQASEPGKLEAQLRAQIDAM GEAHQQLQKELEESHRRENMLKRRLDELEVELKDVKDALESQDNGRHKKIRLDENVKT EPYAEVVEPQQPEQQQPAPAEQPIPTPMAIDEAAPAPAPAPEAAPEQAPAPAPEPVQE QVEAQESEPAPVSEPTEAPAPAPAPEADSVVPEPTPAAPESAPTEEPAAPETEASEPP TTAPAEEAPKAES NEUTE1DRAFT_61524 MPSTHKKEKPWDTDDIDKWKVDPFTKDESSGPFLEESSFMTLFP KYRERYLRDSWPLITKALDKHGITAVLDLVEGSMTVKTTRKTYDPAAILNARDLIKLL ARSVPAPQAIKILEDGMACDIIKIRSMVRNKERFVKRRQRILGQNGTTLKALELLTQT YILVHGNTVSVMGPFKGLKEVRRVVEDTMNNVHPIYMIKELMIKRELAKDPALAHEDW SRYLPNFKKRTLSKRRVPHVVNDKTKKNYTPFPPAPEKSKVDLQIESGEYFLGKEAKQ RVAEQERAEKAKQKKEEKKREREKEYVPPEELTDKKAKKRKTSD NEUTE1DRAFT_99944 MPLQLTVKKIDGKPGQVYYPLQLNTIPKPTPGPGELLIRLRSCA LNHRDLFIRQHLYPGISFEQPFLADGYGVVESTGPSVSPAASQLIGKPVLITPSRGWI SSPDGPDDPNQPWTVIGSSQLTDAGTGQEYIVVPEVEAVPAPEHLSAAEGAALPLVGL TGWRALVTKAQAKPGQNILVTGIGGGVALQMLQFAVAMGCNVFVTSGNAEKIEKAKKM GAKGGVIYKEKDWDKQLMKQLPKDRPYLDAVVDGAGGDVVARSVKLLKSGGVISSYGM TVSPKMDWLMQAVLKNVELKGSTMGSRKEFEDMVAFVREKGIRPVVSKVVKGLDNLEG IDELFDEMREGKQFGKLVVLIDAKEDQDKISVEH NEUTE1DRAFT_99945 MPFKERSSPPDWHPLDDTEDWSVEALCGLRAVCRMLDIELHVEE DIPKDSVELLNDLMKHFGLPYRDVNSCWGCSCMCRFFQGQRMYDYCEHIIYVLRFILN CPKELPFRDAFTRLEYDSIFAHSYPAQALRNAKSPNEDWRKVLDRIPREAEVIIYAPK EDELLVCVNCFRSINGGNCAQPCLGCGNLIHQDCRKKDTPTLDFLQLRHPKPDAKKCA VCQEKQSWEKWTESQGPPLSGVYESSEEEEMKDESLKPKLVRIKYLKNGKCYKFEPKE ESST NEUTE1DRAFT_145723 MSSPTPQDLEPLIHPLFDSTTSTFTYLVACPSTLHAVVIDSVLD FDPATSRISTTTADSLLSLIRSHNYKTVKILETHVHADHLTASRYLQTQLASNDAEEE EKKNGGIKPEICIGKRIVQVQERFAERYGIPREEWDGVFDRVFEDDEEFRVGDLKVKV KHLPGHTPDHVGYMVGSNIFCGDSLFDPEIGSARCDFPGGNAHALYNSVQTLFSLPAQ YRIYTGHDYPSADSRSPQPYTTVADQKLLNKHLKEGTTKDQFVNWRQERDSGLAEPKL LHQALQVNVRAGRMPRDGMLKVPLRMPGGWKL NEUTE1DRAFT_38602 MNTLVTGAAFGAALTVSGVYQPSVIVSQLKFENWHMIQAFLTAA ASSAALVHLLQTLSPTTFPLPPRSYSSISLFSRYDGNLLGGILLGTGMMLSGACPGTV LAQIGTGVRSGFYALEGGIIGGIVFTGFAEAAAKASGNGEESKELTKTVYENLGVSRS SVLVAFEAICASVVVSTALWTSVGPEAKISPVVGGLCIAGAQLLSLLLRKNLVGTSTS FEEVGDWFWGIFKGKTLPQRYNNLLFVSGCVLGAKLLTVAYPALGQVTEVAVSPVAAG LGGFLMIIGSRMAGGCTSGHGISGISLLSTSSFLTIGAAFGAGALLGLMRG NEUTE1DRAFT_99948 MISDKDCQLCFTEAKASRGVDQCQVCGRVAHQKCKNIYRACREA CEGDTGCPLCCPNCPWNPSNSEVKAELVPMEVKKEPRMPRDQPATADDTISSATRQKV RKLKQNVRRLRCQLRRKPANRVMSSLRYERLAGKIGRKGAKYRRNKLIMAVKKTVKSE DAHTKHEDKAAVLKEEMESPVKIIKVEKTKKVKSEPVVISLL NEUTE1DRAFT_99949 MGIAAIFAVQQAICSACSLFRLANLIPVLSDLRRRPTFILTIDP EIEDLDFGVLRSQNVFYISTVNIAAKLYSCGDRDPDYFFGLPAQLTSYHERELELYFC IRDHCRIIQHGNVARRTSQSHLTLRPYYLGKIPRL NEUTE1DRAFT_40130 ASGRWLCKSPQRPTTRSRIVFASHIVDIDSTQEKLLELKAFETK LIPPFPDYIYDYISNLASSEDKNGDWKSLLSKLTAKYGVYDEIKFFDSSLENHFNEMI YNESENGSPEPEEDIAEADEVKVGI NEUTE1DRAFT_136832 MPVRPGAAYQPTLIEVAPGFTALLFRHNPLTVGELKGLPTLHHH SPREWAVYLLVLEKEDALPAIYVGSATNATRGVASRFADYDHLRNLSVQYQDWVMMVM KSHTAPPRSVKHIQPIYNVLFRRIEDVWRALHDFGMVVATNLLEVLRRPDEPDLIKFF IDAIIPHASEHKSYGHILRTLAQAENVPSYAMAIRYSDLTGSASISAKKRNMIHSEAT TLATWLIHLRTSQSSTNGGGTLQFTKDPDPLAKHTLNRRHRCNIRALAV NEUTE1DRAFT_61532 MQRVSALFPSWDRAKQTTSVTPRSPSALNKVFGWGGKAVVPVKT TQQPTSYDRETYWPTTLDKECDKAARILKSFCMDGFIVEEPQEQDPDTDTPPTTKSVT KKIPPRILQDAVGLAVFSCMRSGLWMSGSGGAGLITARRADGTWSPPSGIMLHTAGLG FVMGVDIYDCVLVINSVQALELFTRPKVVLGVDVDLTLGPLVDADSTDNDTRWKDLND TVLTYIKARGKHQPVPLDGSLVSERGNENVRFYSSDISVLDILAGNIGKEVPEMRPLF EVLKAAEGRTDYDADVMEMLAQQPAPSDAVIDTPRTSVRQSIVKNPFGIPDVDDPDPF GVIGLEMAGIEIREAGTRLRPTSTQFQFQPSPKSPAFARYSRQSGDTFQSRSNRESCM STRSQATVMTDACTQTDATSTPETSFSRANSMDGRESKLSDKLPTVVEPEFEPEEVDY TQIDMSMIQRFRPLPEEEEGDELQPEPVVHLGDKPDAETTGTKSIKDNTSEYPESEKD ESERDEDADDEEDESDEDFDDEAIICEVATAQPARSSIRSSQITPVIHAKAGIVTIAK RAPPPLPAKSPARASLGSRGTDYGSISEISSLKSPLRHSSYTMDSRMSDPLASTEASE AFATPPTVMEEVEPASPEPVKRSSQGHQKNSSSVNTAVDLSAKRRASLETFPEVPATP RTIDLTSSAEDSEREPKTPKTDAGFANTTTLEDEKVGVKEVNIVSAHGAESNPVVVV NEUTE1DRAFT_99951 MPAHDGSTVVPCKCKAKAKEQSPSSSSPQRRETVILQSIDDIDY FLHTPVTRISCTSLGFLFLSNVDTQRNLNSWGDMDAFRDVRLSSWQR NEUTE1DRAFT_80215 MDTLPAELLRLIFDNCDPPSVRALRLTCGRLADVGYNYLLPPSF RAVEWKDDVQRLHSIAHHDRLNRSISSITFNFSKVDEYSARHASFFQHWLQEPEERSI LLQDAWIKYYELEGKARDLPPFHTRSAMVEESFKRLPNLKDLEITYTKCPYDIEVFKD VFLVRNCRKRDPTFRAQTCKNMNAIISAVRHVSLNSLTIDQLPLEIFRLPDDRRHWFD CCASSFSSLSKLDLTIDPPANLMPQSRFKAINGLGHVLQLSPNLTHLSLSFHTYHAPM QKFGFSFRALLQDFTFTKLTSLKLEGVSCSEEDLRSFLLRHAKTLERLRLGGRGLAKP YELSIGGVHLHEGSFRSLFASLQGKLPKLQRLHLEGDLEAGDIRTGARARETFKFHAS VDENWEDMDGPDHFGSRRVVQEGKTMDSNALERYLIHGGPFPKLVVPDAASASASTAS SEGSPSPSPELGPVSGGPGMAATPATAIAV NEUTE1DRAFT_109274 MHSEAPDSVTIATKISIHSPKLTPSPYQLLAPNHTAIMPGARSD ANTPQPNREFISDEAHLLPLPPDAPDLHELNASLDALSAVFPDIQIDVFREMLSSFDG ESRLALVANALIQNKVTWVKGRWRAAEEMPRNVPATAEPVSVPSRHKFRSKEYRSAVE KLAWQEFKGLSRSTITAVLAENNYWYPDARPTLVSLSSKSWRFAISSLFLRRKSVSGT EAATHPLVIWKSSGKGSIVPCIKTTGNAELDKELYEALILPILKQARQEREDKDHSLA AELNTKEAEALESMFECSCCFTEATFEEIVSCNVDGHTICFRCVHHTLSEAVFGQGWQ STINTETGTLRCPAVEGSGCKGCISQEQMHRAMLEFKKGAGIMHKFEQRLAEHSLVVS GLPLVRCPFCSYAEVNEIYEPPNPLPHHSLRKNAFQLMFTVLCIGFIPFILPLVLIIG IITVLLSYKQDIVIPLSQEYHAARQRLQRRRRGSKFTCRNPECQRNSCLSCSKEWVDI HVCNESSLVALRTQVEQAMSMAVKRVCPRCNTSFVKTAGCNKLTCPCGYKMCYVCRKD IGGDGDGPDVGYRHFCDHFRPEGDGRKCDKCTKCNLWEAENTELVLKQAKEEAERKWF ETENRELTGAEKVFLETGMAIDPNAAARMDKMYAHGSWRVPTLAELFDFILEHILEA NEUTE1DRAFT_61540 MSGQTGKWREEQVLVICPGSSTTMAQLGCGELSPPAHRFPTRMF RDEETGQWRPYHTYKQKKAAAVPAPVKEEDKWEYVEDQDSDEGAVYPIQGGHIVVMDA FLAFLEHVHSSLTTTYHNTPIMLMASPQWTRPDCETLARYIFEKTKTPALCLINSAIA TQYGLKWPNMTVVDIGFEKVDVTCIYDSRIVAHRDVGPGWPENSAEEEREISGGEVFT RKLQQLLKDKINGFNRDMAEQLKKSNICEVLPYAPDKPKLMDLPTEDVPVAAAPTITT GAAAAAAASGTTTEGPKIVEPVADEPIYDAEGNLVDDEGVLDVANIVATGQTREFLAK KEKEKQEKAKKKGKDKEAEANRVMRLPNSKRVKNVFHFEEYVTEEVPIVQPAPPAPAP APAAQPVLATTEGAKDEQKPDAPATEDKKPEEKPSEPAPEPATEAPQPAEAPNAPEPA AAPAPPTPEGPVPTERQTKRIRRDIEVGLERFEFADRAEIDRIVTAIYNAVQSIDDMY MRPPCWESLVFVGNGARIRGLKENILQTLQARHLISPSSATIFTSELPSNIGTPTAGT PGPGGASTPIASGPGGAQSGSLLQAATSAAAANANLSVGPGGAQGFQGGAEGSQLGQH HFHSQTPTNIKLAQLPTYLSEWTKNGFEEAMFLGAQVAARMAFCIHNFDAQGLEMQRY MSLSRVDYNELGPKGIRLHSMLGW NEUTE1DRAFT_121537 MSCIIDADWLPDDFPWDEFTWLSKDDLKKQCAKRYLPVSGTKSV LRQRLLDYQRTHTTERHFLQDSPCLHVEYLLRKVFNTPELLLWQEAYLTSELEYIYKK SHAALSRLKPDRYRQGKQCVICFAVHKTHELNVPCSLCGLPTHPFCLKALRKKRTCDP AQASQCLLCLDKTALAVEKYFGRSQVSLAVAIPVPIPASPASIRAPAGSDRSITGAIQ SEAVSPDSIERRTTLQEPHSAAQQRCTSTPLPGVPALIWQEMHQQAREQQEQKQQQQQ EQSEQRQRQDEQRQRQDEQKYKQQKQQQQAQAKVRAIRPVITEVRVSELPGPARVEVS SRAAAKQTPIAKKLVFEDLWASPAASAAGSGAHSSIQSSPPPISTATQADESAVNPPG NSRGRSTDAPEVAGFANEQANNADVPGSALVGANTIITATLDNTNNNKDNNTTDRKAA KLARSLKRLEAKLEHLEREADYYYTEAERKDRKIERVEKKIWKIKEKAEKRALARGGD NEUTE1DRAFT_109277 MSYAVGMKRWASPFTGGPQVIGVLPGHTPRPVAWGNGRAGSRGS WQPGMRLEARDFDGPAPPRTKSAVGFPLSLHNFHSKSTNLNSRFAELSGTSPSFLNTG SHFFLDRAPRSTYLPNDAPWRKYNLGVLQEQCTARNLPFEGKTKRQLQEHLLRYHHTT RVVELRPEPWMFRPFEPSYSRLLNRAQVENLRLVEVRDVTGQVEDGRVRGKAFLIAQE GAPELFTVTFDNRPTCTCIEYLPQCVHIMYLLRYVLNVPEPLRWQRAFLDSEILDIFK GSHPVKALDSPERYTGFPGLCLICFKGQANHLSCLTCDTGLHIPCLFVLTSNRQTPGT HICTVCLDRHEWQNFHSRQERVERRVIEPGRAVAGVDLNPDVSSSSSDASEEDDESSS EEDSSDEDPDEEASRRSEQPNNALRQPINQRHSLSPPVLIKQERFSPPLGLSLLSSPP RRQQQQPASSPLRRKQPSRAAKDIGLVRQSLAAAAEAPARTPRRSQGRTPAPISAGSQ QVNVPAQIITPVPVPVIPEQALLQMQQRNFRQAVHNLEAGRPQPGASIASRALSSALS RPQDPATRTSATPPSAAPQAVEASVPPVVVPAPGPDVPAGSRASSPATASASVSGNIE KRKATKLARKLKSLARESAYVREHADRELKRLAKKSKRLEKKAKALAKRNKAGSDE NEUTE1DRAFT_99957 MGDMKEHPLLTRFPDDAKWALFGDSTLHGQCSVRNIPNDEASRS DMIRLLQKYQQKHVTEVRKIPWCRSPEFATGSEKREHLAMYSTYLIVRVKDKSCSDGT VFRKDFSLLDKEGSIYVVAFKEIPTCTCSSQSKNHIPAVTMARPLVTGATTTATIASA PPAPTTNLVHKSIERKDKDDHDMKSSNPSKEKDGRDTQVENNPTLNEGTSQFVQPPAT FYSLSSKFPTSSRSALSQQELLPSASPQQLSQASKDGNPQAKDSISIPAVHPQRSMSV PLTSNPSPMQMELKQHKAQERAEKSLRGVSQDFSSALVKSLSSVPSVYHPNSNASAPR APTPEQAGLTPVLPADGVDAHNSAATTAAVTAQTAPPVSPDDISGDLGCSSPVSNKDA DVLKRQAARLVRKCRHLERKSARIEKHLKRGMDKIDREYKLELMMLKEKRKIKYMKIE KKWEHDMKGLKHQREKFEEKLKDLD NEUTE1DRAFT_99958 MEKLRDRELEVSPKPRHPADYKKHWKEAESATFQIVRIEDMSVS DVMRFVLNSAGHYCWQDAFLSSELESIYRHSHVVHTLLTTGWQNPNDQCTICFKELRD RIFRTTHVTCGQCGRAAHKVCVGAVKRVRQPIQDDQCYICEDRAEWGVEKYSEQRKAR PAVPVNTTVTPAVSQPAKKVGQHSFQTFISHCSSSDVSSISSLSDLSYLSQLFGKKEA SYSPHYQQPKCQPTSHKTDGQQVSIESRSWPPPSVPSTQPIRPKTANVRMSAPVPQSH TPVPLPAIAFALFLPTDLSLQPNSPVSIRVKPIIEDEARYTPSISDKPADSVDRQLST INSKPADISANASSPAAPSITSQSPTSGARPAAGSFADNGTATASKSPSTIRTRIQNH QRKVGELKQIFERLAKESKQGESYRRKLKRSPDILRRVLLSDPLWD NEUTE1DRAFT_99959 MPHPLEEWLPNDAPWARLSTAVLEKQCTIRNLPTTGHSKDLVQR LSAYSQAEMNTSDNKRLHSWLTWPVNRASYEVAALAAGKARLQVVRVVDKPVILRFVL NCPEPYLWQRALLPSELQTIYQHSHAIRAFGPNNSEIQIGICMLCFRDYNQSLDKVYH CLQCGRGMHSQCSFNSWRVRKPMREDTCWICYDIEWDIEKFSWSNRVLPAAPSTAPAV PAQNESAVNATRELIQRTEPEDDDSKTLSSSSDEDSEDSEEKSAALSTSIQSNESIPS PDDPALIWKGAPRTCEQQHQEKKRQQTALLNLSATPSHVLFALNPSEGNMKPLEVATA RDTARIPAGDSASPRASSSAVQALASSAVTTTAQHNQADTTSISAHNPSIAGGISHAP QVSVNSTTATSTKSADATSAQQTTSIATDNPASPSAPLPTDHSASTPVLSSLGMHACG DHTKAMELVLECSRLAKKSVEIQKKSEREAKRRKKRRREMKKTKRKSKKKVKRLEKKI ESLEKEVLKALAGPEVV NEUTE1DRAFT_99960 MTMERTLPADWRRDDAPWEELLLSTLEKRCAARNLSVLGGRSGM IKRLDHYQKSFGTENRMMSWRNRWSDVLRYVFNCPEPLRWQRSFLSTELEDIYRHSYA VRTLLLTNWKSQDPLCALCFKAVGPTEGHSTCCVTCGRTMHTECVGVAQKARALTKEE QCWRCEDETEWGIDKYCEPGQVQYPLIVPGTKAAPQTLQGPGGNTAQQHNEREASDAA GSKGFGSSRGENSGQRANNPPSISADPVPSTAPAPASAATSDTALAPTSGTASIKNPI STTTNANNSDRDSAKLVQDHNHMTKKLAEMRKRAKREHQKLNKKHKKEIKKLRKKSKR ELERLMKKSKNMEKKAKSLGGGREGNDDA NEUTE1DRAFT_99961 MQSTNPEHTQGFSVSNPFTRFINYCPHDVPWEKVTNAEALRTHC LRRGLLDDRDVPALQQRLKEYQQAHKDENEDRGVPWRLTPDEAWILANATRTRCQLIQ VVDKSYVGSWGRWLEKRFTLHTRRLGLFTVIIRKSPTCFCLGNQNAFLLEELDYIFAA NPFFYFFPNQNPGRVPQVNVVPSPACVICFQEAGSIPLQECTKCDEKHWACPEWVCSP QSEPEKWIDKEHMDKSLHETKLAAMLNYEYKSRRHK NEUTE1DRAFT_40460 MEIRSKEDLIEYLPNDAPWTVYRDPVEALRAQCVKRGLDPTGHV VEVLNRLHRYSQLHNAGEQRIVPWRCGEHPLYVGALYHAQDNRYLIISVEDNSNDTSI EKRFTLWDPSSIETFHVIIGRVSRCSCRLSREEVSRKNYVCGHIVTTYRKRNLEALLP PPVPYGNDVCSYVQSDDESSDDHMTQSSSDDDEWEFIRGNGGGNDIFNGDSAKRSIEF FAERSQILPGSPSIESSKSSPAVSEEEPESPCPLPRRQLPSRAAKEATRARPDAYTGS SPRRKAQAPSREPMPKEWTKKLSTTLIPLPVIPGFARQQLFGSQPARRSQNAVSIPIV PASAQGRLRSSQANGYGIPLRTATATGSHTAPAPTSVAATAHSVSGLAAASSVPFSGT DVAHFSPFFPSPAPYSPPVGLGTNDPFLSFPMSPDGSKFSSMSLAAPDTNASASTTKR NKKKGNLVMSPENKKRTAIVSVRPMVHQVSNALDGILADCTTTTSTSVSAATTTTATT AGVSTTANSAKQRDRRMARLVRQMSRLEKDAEKVKQTKEYAEQELKRMERKRRNVVRK MNKLWDRDRDRSV NEUTE1DRAFT_109283 MNSPPGASLGLFFMLLGFLFLSLNVNGLGTSNRVELSDLAKQLG GGVGCMAFKKNDGCGREDGWKEGCCLSAMEKEIDNKQTDIQTEALQGVIPLQAPGKKN LEISGAPADELVVVIGELLWTTPISGTNGGTSTVPPPSKKAGIAKAQAQASYVAVQLV GFKLNQERGNFYAINTRSTEETWTIQALPKAISPYVAWYKEWTGYPVQRNGQKKKRDA Q NEUTE1DRAFT_109284 MMEGDDRRMQTLVQKTFDDLMQDCVQRRNHPDTGNFAIITAHYT VVKHCTSTCPLTKNKYMLDTPLGLCNARNAVQAAAVALISARNPKNHQQRLCLLRRAA TAFLTVMRSRLESDGLPLNPSALARTPGMKAPRKFVA NEUTE1DRAFT_109285 MMSLFFVLSCFLLAMVSAATGSDRTVPPPKSSPSVANILVDGDK PVTLKVTTVTYISAKNSTTVLPILTLSIVVVEEIAQESVAVLTVPASPASETTSVPTP SGSGRMLNCSVSKGKVDVFFIVGGRGQVQEELMSTVCEDSQWMESRAEYRTPTAASLH AWQSGQQPRHVAASRPVGDGVLGGATIAALDVDSRHVPNQTAR NEUTE1DRAFT_99963 MPSKTRKKEKQRAKQTQKQDGMKHLQQQESTPTSPTPFPPSSPA TQPVNHTQMDHRRVHTTLLQIKKLFTNSTDTTQALLSFLNSLVRFPDTLPCCHGGEHS SNYRHIWPHSPLEDLRAEGEEVQYWIRYLDLIKQWELRRQEAQSWMKYYDSILGRMME MQRGEAVVDRRVQEEERKRELEERRCQSTIDQLLVIDAEARKLSKKCIKGSEGTQPHN EAQNLVRKPDVRLSLDICRYLFKQLVPPDLDEEEHEMSAEPCRLTFNQIIMTNADARQ LIRTASTGSKALRVGWTEKGAVEDGVGEDEDEDHQATSYDWMKDLMSADKIEGEDGEI PVGLSEYWRYSKAYLHLYLVISRISSLRA NEUTE1DRAFT_116654 MAEQLRFDGQVVVVTGAGGGLGKAYCLFFGSRGASVVVNDLGAS FKGEGNSTKAADVVVNEIRAAGGKAVANYDSVENGDKIIETAIKEFGRIDILINNAGI LRDISFKNMKDEDWDLIFKVHVKGSYKTARAAWPYFRKQKFGRVINTASAAGLFGNFG QANYSAAKLGMVGFTETLAKEGLKYNIISNVIAPIAASRMTETVMPPDLLALMKPEWV VPLVAVLVHKNNTSETGSIFEVGGGHVAKLRWERSSGLLLKADESYTPGAIIKKWDQV TDFSNPQYPTGPNDFLALLEESLKLGPNDPGEKVDFKGRVALVTGGGAGIGRAYCLAF ARAGASVVVNDLVNPDDVVNEIKKMGGKAVGAKFSAEDGDAVVKAAIDAFGRVDIVVN NAGILRDKAFHNMDDSLWDPVMNVHARGTYKVTKAAWPYFLKQKYGRVLNTTSTSGIY GNFGQANYSAAKCAILGFSRAIALEGAKYNIYVNTIAPNAGTAMTKTILPEELVQAFK PDYVAPLVLALCSDKVPKKPTGGLYEVGSGWCGQTRWQRSGGHGFPVDVPLTPEEVVK HWNDIVTFDSRADHPEKASDSIEKIMANMENRVGEGKSGAAENEHLAAIKKFTGVEGK GTEYTFTERDVCLYNLGIGAKRTDIKYIFEGNEDFEVVPTFGVIPPFNTEMPFSFDDI VPNFSPMMLLHGEQYLEVRKYPIPTSGRLISKGKLLEVVDKGSAAIVKQGITTFNAET GEELFYNEMTVFLRGCGGFGGQKKPADRGASTAANKPPARSPDAVVEVQTTEEQAAIY RLSGDYNPLHVDPAFAKVGGFKVPILHGLCSFGIAGKAVYEKYGKFKNIKVRFAGTVN PGQTLVTEMWKEGNKVVFQTKVKETGKLAISGAAAELA NEUTE1DRAFT_61547 MMDTIDSISSQPTAATSTSTSTSSSSGVYPTPSPQSRIIDDKSP LCIPFILSKIAEYNALHANEANPRPFIIGLNGVQGVGKTTLVKALAETLQEREGLNTL VVSIDDFYLTHEDQLKLAEENSDNALVQYRGEPGTHDTPLLLSFLSSIISSHPTHLPL YDKSAHHGLGDRLPASTFPAINDPNLSTPQHRTIRVLLLEGWLTGFRSLPPATISTKY LDLKSYKTLSHHKLEHLLFINERLKEYEPAWDQFDAFIHIDAQNLEWVYEWRLEQEEQ LRREKGTGMSAEMVRKFVDGQGVFKGDEGKRGRQLRMVVGRDRGVVEHMVI NEUTE1DRAFT_145731 MFTKDGNFSDPGTPTTPGAPGTPRTPDTPNRRGTPTRTDRNGRD RTERNTARNGATRRPGTPSASMSGFFKMEGTSAADVGPAGPVPAARDAVRTEGTADTV RRASSARTAQRPREPSQLGAHISRPPSRMPSIPGPPRQPNQPVTPSLLNPTVYTPPTR EQRAAAAASRGDRNNSTSSAPGGSHQLTSSVSFPAQGHPSRPMHRSRPSAPENGQRTN AWEDSTVASIFGDHESRDGRSESAHERQINGAQHPQLVRGRHYSDTAFPRSNTQAQHP AVAQQPKSARPTLDHDENLPFVIGEGGILTVVEAPRSRNDPDAVALNKTIEAITKERE PTIKIEDEYEGDRAAFNSTPTKQLGAFQRARLPHRDPRKAGSVRGGQGGYTSDMQSPG RSSDAGGDLEKSRIEQRLRREREHERLREQEREKERIRKEAERRERERQRKHEIQHQR STVFDDLTPVESEAPPLPTPNFNNTQAAVVPSSSSGFDIDASSPGKVTPKASGSRHLR PSQIPSQQPLPPQLKDASIALARTTSRRAKEKEVQKPQQPPKPAVASPLPILEQAKKR RLSTLDYDDAQLYQMPYTTLLTQSFDEDPQEEALKQQAQATTKLQQDDRPPADATLED KLQHYKTKPPAQQAEFFTHMKIDEWDDAGDWFLDQFTSVAKRIKDARRAKRKMVEEFE VEIAERQGSVKSKIEKIGRTLENLKEEGKTMMQGKEMDLED NEUTE1DRAFT_121541 MAPSLEAEPDSVASVLANPQKPQLVAPEPEHCPGPESQQAGTAD SCAGCPNQAICATAPKGPDPDIPLITARLSGVKHKILILSGKGGVGKSTFTSLLAHAF ATNVEQTVGIMDTDICGPSIPKMLGVEGETIHVSSTGWSPAWAMDNLAVMSIQFMLPN RDDAIIWRGPKKNGLIKQFLKDVEWGDLDFLLVDTPPGTSDEHLSVNTYLKESGIDGA VMVTTPQEVSLLDVRKEIDFCRKAGIKVLGLVENMSGFVCPKCTYESEVFKATTGGGR KLAEEMGIAFLGSVPLDPRIGMACDYGESFFDSFPDSPACRALKGVVKGLAMEMGLDP EVVMPEEDDA NEUTE1DRAFT_121542 MGSIVTADERNVRTIHTAACLIIGDETNSNYMAKWCFNLGINLK RIEVIADDEDEIVEAVRRMSDRYDFVVTSGGIGPTHDDITYQSIAKAFGLPLKLNEEA FELMKKLSVPRKGEPPFNWDEPSSVLTAKLRMVELPTDVNRDPAKQFLFPCKELWVPV AVVNGNVHILPGVPRLFEKLLDGLKPSIQPRLVDPDGKGITRVTISTPLPESAIAAYL TELAARVDPKGVKVGSYPRWGDKHNTVTLVGKDKDFLNSIAPEVSHYVQGQIVTTESE DDASS NEUTE1DRAFT_61565 MANKFTREYKLVVVGGGGVGKSCLTIQLIQGHFLDEYDPTIEDS YRKQCTIDNEVALLDILDTAGQEEYSAMREQYMRTGEGFLLVFAINSRESFEEIRIYQ QQILRVKDRDSFPMIIVGNKYDLRGERVVSEQEGQALAAEFGTKYIETSAKTQHNVEN AFYDLVREIRKEDKKLGEKVGGTSFANNNGAVKQMDVGDEDVQAGCCAKCIMM NEUTE1DRAFT_136854 MTRINDILKSTDKPDGKSKIFEYFNGPKAFATHAVPVTPIDDEF RECDLATRARASSTSGGGQQASSQPVQSSVRPAAQKWRMLTEVEDNRGQEEERSAKKR RRRKWKCETISWEVHVPGSPPLGSYSNTGTPFGGN NEUTE1DRAFT_61568 MGFSVLAALALAPYFISVQAQSVWEEGQVSTTLCYWEQPRAAII RDTIYMDGGRTWWIPGMADGTPGALISDDNPYGRIFTLNLSTPFETKDNVTAKFKIKT KAPPGSVVNNNDPNYIDGALLANDAEWFAYGGLLRKTAAFNTEPAKDLVSGYRQYQYG TEKPGFAPGLFKSSLETDSTNVTRYIAFGGAASAPSENLAWYFSGVQTESKGPIYTAS ANRSTSPTRIVDSLITLDMKEQQNEKWKNSTLPPGISGRANPELVWVPVGAKGILVVL GGVVFPDFISVISGTSENETESKAKSPTFMTTIDVYDVANDRWYSQPTTGDNPGQLTR GCAVVAPAEDQSSFNIYYYGGYKGLKATDDFNDDVWVLSVPSFTWTKITSSTSNGRAG HKCFMPYPDQMFVMGGYTSAPEDGPPDCVIELVRVFNLTSGTWLSGYDPAKHWSYGVP EAIKKKIGGSATGGATARAPSNGWVAADLGKVFDTKYPTKIATWYPYVSEAPVNNTNP TSPGSGDPHEKRSGGVPSWLPPVLGVVLGLMLLTIIVVLVLLWRRRRLLRAGTSVPET EDTNGYRIMSWMRGQQQHATEKAPTVTTSDELSTIPHSPSPMQDLESTGSPMPPPSIA EAMDTQITPPPAPVELMDTSPPAELHDTSLSHIDVLNRHSSVGRINIAGNGSLNNPSY YTGGTQQMDHASNHTRSSMGVASSLPPHMQGVDGAPTNFRPDSELMGSVPSGAAPANR DMPSPTVSPTTTTATRGFALSDVSNVSERDKTHLRQISDATVSSMTSGQTSLNNGLQP SNVKSNHRFSNGPAPSSVPEEHVIDQQGVQQAPDHLPTPQSATFAMGGALSLGSPQPI SPPTAGLTEGSEDYMSARPQPPPTAGIGPGVAGGAGATSSPRRSMFTESKEDMNGTGP GGAGR NEUTE1DRAFT_61569 MLVTRVLGTLGALALTQFVSATERPFYPMTNTTGVSSAAPVTSL APSGHNTDTGFCTKYWHDCRKCVEPVVITTTVYETKPASTVTVTCTESYTETVTAKET VISTGVVTATVVETVIHTSTVEETEYLPTTVLDTKTLTDTAIVTSGYTETETDCETIT ATTIYTTSYPVTTTVSICNGEDHSSYCVVSTTTTWKTTTETSIVETTVQVAVPTTVPT TVPTTVSTTVYIPTTEVETKTVVYTTAISVPYTVIDSTTLWSTLETTYTSIHTIEKTT TDYVEVICTTTTTEISSYPVTVTGTETIWTTDYNTITDIYTTLVPITTTEVVTTTDVV LSTVVSATTETTTFTTVTSYPVTVTDSTTFYTTKTAVVTSVVVSSFTSVQTVTDHTTA TEVFTTTATVIPPPITTTVSGTLTTITLPPTIVTQTLDHTVTQTEHMVSTLTLPGTTT VTTFTSVAPATTTTLIRDGTTVTTTLPASTVTSTTTFSVPPQTITQTITKPPSTITIA TTVSKTITTCHPTDTVAPALQQAYDPKSDLTWGCKPGFVCDKPKPDSCNLWADAPSDD YRCEPQWWYFYLDPNVFGLSDDIFEEHLVIVEVGGVTSTITTGNWGVQPNLTWPPPRP TSATNSTSTTLPSVGYGYKRDRFSKRADTPHPAVCYDQCEDAYLEAQQVGKSPALCAA GSPFKEYYDFCQSCVNAKPETRTHLNFVFSASLGAFYHDYIWRSPYVLKLFCSTISK NEUTE1DRAFT_116658 MLDGGAVEVVMPVLLALIVPLTDAVIDGSMLETEGSDEADGEDD EANEEDDGTDTGLVGIAVVDDTPTADDLVVNDDDDSTELDDAVEDDDADEVNDSANED DAVETVEEASETVVLDAEDERVRTSEDEEVKTVVLDAEDEEVRTCEEDETTGAEEDET ATGADEETGG NEUTE1DRAFT_145739 MRFALAVALTATPLVLASSSGGSVKQRSDAAAAAWTCTPPYNYC GWYLIAQDPAGWGGVLDPNALYQCVNGGVNILSTCANGCEGPTAHCK NEUTE1DRAFT_145740 MSTALSILQIALAKELDSLSDPEDWSEFGPMDVEEDTSTPEEVS ALREFVQAYNTPSALNAEETARKLMSLNEDHVPCDGDFDKGRRIAWLLYDVGIQMVQY QVAILVVADAVMALPRLDATPEQEVRFGKKKLERWRKLEDFWYYCWNERWDRYNEFRY STASGAYICANAWVARHLVLHPPPPDIYYTSELSVAFSRMILALEKDRWNYPFVREDG DVTIRSPKDWTITMLNTHVHALVPFLVIAGDIMYRYTDREMIVRRFAEAHQENHKDNT RESWQRYSLFCSGRSLWKDEKKVDQHEWLTRERWAFWKKRLVWISEQTELLQRTRDEA IMLVQLMTEIEGRGA NEUTE1DRAFT_136860 MLRFPRATRQLGYLFDGTNSYHALVVVPTGTFSPEIVGQAVSLG HILAGSTSEVTGPTTIPTVALRFPPRAARLKIALAVAHSLLELFPSPWFPRDWDKNDI YFSVRPDGSVNTEMPFLIPRNDTSNAQNHKGRESAAESTLHTSRPHDHGDILLSLGIL ILEVWFGQSLESQPSWEANFGPNGKEKEFTKFNAAATWQRMVGDDGGLLLHNITHRCV YGNFDLVTLSLEDAKLIKAVYENVVMKLQCFYRDVFVSSWER NEUTE1DRAFT_80252 MSGLATKQQSLKLFEKLKAKPANKICFDCGQKNPTWTSVPFGIY LCLDCSANHRNLGVHISFVRSTNLDQWQWDQLRIMKVGGNESATKFFQQNGGSAALNS KDPKTKYQSAAATKYKEELKKRAARDAREYPEEVVITDGDDATCSNTPAGEPDDDFFS SWDKPAIKKPTPPISRTSTPPVIGRTASPLLGNGKDIQRTSSPLSKTDSDAPTPAPAA SRITTSAALRKTTPGSSTTGGPRKVGGGILGAKKPAAKLGVKKISADLIDFDEAEKKA KEEAERIEKLGYDPEAEEEKAAKKAETKTNNIITPAAAPVSSSSSRSAAQEKSAAEVE RLGMGVRKLGFGMVGKPGGAGAVGAGGAAAAKKNAGGFGSVGPIKASEADEEQYARNK FANQKAISSDEFFNKGNYDPSVKAETKARLQGFEGAQAISSNAYFGRPEEDAPAEDYG DLESAAKDFIRKFGITASDDLENLTQMVGEGAGRLQGAIRAYLGS NEUTE1DRAFT_80257 MLTGTSAGVRLLLSPAVVRQQATITTASRAASVILVSSRRQYAT TQESSKRRSVTPFNDDGHVPWTRLSTGEKAGRAVQQTFNFGLVILGVVLTGGIAYLLF TDVFSPESKTAYFNRAVDRIRADPRCVALLSPGDPKKIAAHGEETHNKWRRARPIAAT VEKDSRGVEHLKMHFHVEGPRGSGVVGLHLTKQPGHWEHEYQTFYVDVRGHQRIYLEN KEAEVAAAKKGGNKEFKFLGVKWN NEUTE1DRAFT_99978 MTLSIVAIPSPSESFFDKTSHRSLDLIRMIFKYNDPSCLAALAC LRTKNDLSAAHHKQAQTPPG NEUTE1DRAFT_40069 EAGNEAAGTVEAVKQEASRSPVESFHEPPFSWLQPHALFVIIMV GPEGMPFGIQKDFLCAKSSYYRRYFREKAANNESVEDVVELRDASVDVFGYTQHYLYT GHVYPDTPPDYEVLIGIWKLGHSLGIDGLCDATIDAMIEYRRITNSIPATALLVQVWD ETPEGSSIRKLLLSWAADYMRASEDRAEFAKALPQEVLSELVVAMSSLDATPAPAATP SSPVSQGVVNSAHQGWHDAVANCDGEQARPAKRARYSDAGHTNGAKATPNGRKGPGRP STTGPKTGQKRKYNVANGEVELTTSKKLAFCDDLLTRMLSGPGFWTRLVGPFRDPVNP ESDGVPDYFDKVAKPMDLQTMRAKMDRNEYADENEFLADMNQIFNNCYTYWAKKDPMW QACERLQKTFEDKYSQMSKWIAKMEGQEGSN NEUTE1DRAFT_40459 MDYHERHGHDVASFDDLPSTHRMPTVSAADALDDLNDGDNSPFI PTSLDALDAALKPSLDIETVTGGIQKGQVTEIWGPPGVGKTAFGIQLSANCLSEGKGV VWSGLVTVEYDFSEPLPNHLSTPSTKRKLGDTTLEIADSDDEDYGWQEEDDEAVPPPP SQWQGSEDILLVPEPESDQEDEEPEEEKEGSDKAEDAGDEDADAAFNTTADESQLASK VFGRDREIQDSQADW NEUTE1DRAFT_109302 MVGQALLGATRRFLSFDINKLGDDKGSSRNARLKLKSLSWRGGY PPKPGMSLLGILRPPVTCGPRALFQGFLPPKACNSAADPSGKCVSHDQPRRRFLPKPY DNSCYPGEANQGIRDHKSLCQNVAIVEEAPDMEIQIASKKKFLFEKLSSLPSTRVAPS LHFSLPASVFRCLLSCFFRRCRGASGKLQLWTENASPQIHRDHSGEGSRSWTVALAMY KTDTVPTESLPQYTGKMAVRHGEENATSSGYLQKFGEMIAGSPHDSEAEHPLPQNLEY GGDPETP NEUTE1DRAFT_116661 MQRVQSAVDFSNLLNPSESTAEKRDHSGSPRQQTAQPQQQQQPQ PEADMATVGLLRPNGPLPGAQPTEPANELPRPYKCPLCDKAFHRLEHQTRHIRTHTGE KPHACQFPGCSKKFSRSDELTRHSRIHSNPNSRRGNKGQQQQQHPLVHNHGLQPDMMP PPGPKAIRSAPPTAMSSPNVSPPHSYSPYNFAPSGLNPYSHSRSSAGSQSGPDISLLA RAAGQVERDGAAHHHFQPRFQFYGNTLHAATASRNQLPGLQAYHMSRSHSHEDHDDHY GQSYRHAKRSRPNSPNSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRLRPHPGLELPP FRNLSLGQQHTTPALAPLEPALDGQFSLPQTPPAAPRSSGMSLTDIISRPDGTQRKLP VPKVAVQDLLGPADGFNPSVRNSSSTSLSGAEMMDRL NEUTE1DRAFT_40061 NISNFVNSSEYCKNVNIVLKDKFGVIYVDLPNFYYIFFGWVAGL IIVLEVIFKKYIESISLLFRNS NEUTE1DRAFT_121555 MDDWIQSTEPARKIIGDDNNIELAAYLSAQNNLSRPNSVIEPGI ERPLHANPNNASTVDAAGDNDLPPSSTSPPAPIPIGISHSLTLRLYTSHFLSTWNSRL FEAGVVYFLASVFPDNLLPVSLYALSRNLAAILFAVPVGHWIDTAHRLTVVRASIVGQ RLAVAASCGLFWALLELRLGWRQSPLVDGLFGASVLLACVEKLAAGVNLIAVERDWVV VITQGNEEARRKMNARMRRIDLFCKLLGPLTVALVAAASVRAAVYATLGMNLASVMVE YLCIETVFRRVPGLGRPAPPGESVGQSLEPLSGIALREDGGAATDGSTHRQLGDWIRS ISWRKLLMTPSLRLYFGHPAVIPSLALSLLYLTVLSFSGQMLTYLLASNLNLWQVGII RGISTIFELSATWIAPRLMKRIGVLRTGLWSITWQMTWLAGGVSCFFYYYGKGYEATS LMTAVGLVVAVAFSRVGLWGFDLSVQNIVQGEVEDDRRGIFSSVEASFQNLFDMFAWA LTIIWPNPNSFQWPIVISVTAVYAAGGLFAHFLRRRRGHLLHPPACIKAKRRRSNSHL FGLGWARRSRRSASWPINHVLGRNDIDD NEUTE1DRAFT_80270 MMTEYPIPWEARAAKKREDTLAKIPAEWRLSPADLELATKQRDI TGPFIERFLEPEVIDVVKTDSVPLVNDIRAGKRSAVEVTKAFCKTAAIAHQINNCLLE IFFDIAIKRAEELDEYLRVNGKPVGPLHGLPISLKDQFHVKGVDTTMGYVGWIGGNMG ITDPTKTHQIESQITKELLACGAVLFCKTSVPQTLLIGDTYNNIIGRTLNPHNNNLSC GGSSGGEAALMALRGSTLGVGTDIGGSVRIPAAFCGIFSLKPTPERLSYRDAANTNPG QNTYRSTLGFISTSLDGCELALKSVLSTRPWLQDPAVVPIPYRQDVFDNVLSRADTSG RAKADHRPLKLGILWNDGIVEPHPPIRRGMAMVAEAVKKAGHKLVDWNPPSHATALKI HHSFLLADGARDVHDHLLLSGEPLIADLQAYFNLRDPIPLLEYQALTVQGLACEQAYS DYWNSMSGDDGQEVDAVIMPIAPHAAVIPGKYTHLAYTEVVNLLNYSAAVIPVTKADK GVDGVDETYTPVNEVDRANWESYDPEIYHGAPVGVQIVARKFEEEKVLGIAKLVHAAL KNAQSPA NEUTE1DRAFT_109305 MSSSSIATHKIFSRTTSIMANTFSNVEPRIVKIRRVQVTHAKCV NNKGSGPAYKLVTGTPVPAVLQTCYQARYKHNLYQQVFSELDTVRSGEERQYVWMNLD IDTVDAGFLTGLTLFHFQDVARSIRRLKVEIDWISCACGARAALHACFVNLQELEITV SDETFLDRQSTTREQLCSGYMPRSLKKLVVHTTADGLTSQWDESTVQRRSLREDMGPS MLGFAPPAFTSLLLPSHSLQARDPRATRRSTNTASQDSESTTESATHHDVLGLAWAVS GSTFLTSVGIIFCDRRDSSFFPSLSLPTLATFATVTDPRLADTRPLEDIIPSRAVDCH TTPLPRPVQRDETLPPVRIGSKLLLSDPFVWPFLLLVQSATAASKMAATSFHRFMYLP FELRALVWELTAEPRTVDVGLLIKETTRTYYQAHNERVQESNVSSFTPIPAIVQVCRE ARQLGVYRQLFSELEDIRDGGERRYVWLNLELDIVDIGEACLEDFIPVAASIKRLKFK GDTVSTDDAIFLEQCVNLKELHIVSSEDALCNLYWVFKRSPPHCGLENIFMVDSQLGL VPLITKFASKTERLVSRLEDLERRLENARRELAPSDEEEEPFDEEEEPFDEEEEPFDE EEEPLPLP NEUTE1DRAFT_99984 MSTTETFHLFPYLPWELRAMIWEFTVAPRMAFTEILSGTSDFQR KYVWINWEHDTIRAGMTELRHFRYESIQTSIQRLDIEILRLLPGEIKILQGFVKLKKL DIHGEIWNFRWAFRLFPFACGQKNIILHDMLDFLTLDSFDWDELWDDLWDEDIPVYD NEUTE1DRAFT_17925 FHYFPYLPWELRARVWELSAEPRVVHVRTVEYDGNPLSDASAGK KQHKAVISLTPIPAMLQVCRESRNLGVYRQCFSELQRTPEFVSKASSMRYIWSNLDLD IIDIGPRDLRDLKLVAQTTKRLKLER NEUTE1DRAFT_109308 MATTFHPFPFLPWELRARIWELTVEPRTVDVHAKRYYLETKENI ETAPSGQQAYARLVSTTPVPATLQTCRESRNLGLYQKGLSEVEVVPEGGERRYVWLNF DIDTIDYGRDDLYNYRWAAQPVKRLKYSSRKFHYCDVRSFNFFENLIEAHIDCFDEEG LGEWYHIFGPFVLTCDRRNIHLIQSENNRTTTAQELEEEIQRREEEDFAEFANLDPVP VSEMLAGLDVNSLMPTLLHVADIVRNMEGNN NEUTE1DRAFT_80275 MRFVKSVKPAAAAISLISAGIPKSLDISSPTSVQGVAKTIAQNT MSFYKGTDDQFVDLDAPYYWWQCGAMMGSMLDYSHYTGDHTYDKKIATAIVAQAGPDF DFMSPAHAGQEGNDDQAFWGFTVLAAAERNFPQPRSDIPGYLELGENIWKSLASRWDT STCNGGLRWQIFASNPNGLDYKNAVSNGGFFQLSARLARITGNQTYVEWANKVWDWTQ GTGIINEYYQVLDGASSAKNCSDVSAAHTPSFSYSQGIYTYGAAVMANISTGADQDKW TDRTKRLLESSQNYFTPFGDVKNSTDVMYEHACEHIDICNTDMRSFKGYFSRFVYASK IMVPSIKPTVDRLLHTSAKAAAQGCQGGSQGTMCGTKWYVDGFYGDAGLGEQMSALET IQGILIDQANPPLKGDDIKTVRTFSSSS NEUTE1DRAFT_99988 MRTRWRGRENTRCHVPHPRAPIPLLLAYRVGSGSPWHPWNILEA KVSRLHGLELSLPLSSYARILGWGSTTMRLLLWWCLVNKAHGGPAQHVHLLAGTIVCV LFRLPSFPFFIIVLLLAISLEANNSVDHFQYDPSSSVLLFFNSKP NEUTE1DRAFT_61597 MRLSSLQRMATGLAAAPAAHAPAQPSRGYSQNVVGRRPSLAVVA AKLVLLCAIGTGLVGGPIGVNGESLITATSAASAAVASAAPLDIATPMSTTTADNHKH GSSSGSGSVPASISGSDIITSVAKPAAEPTTVSIWVPGYMKEDWEQLRGSIVSRDESM TAYTIFCAEGQPSCFLSADAPFVFTEGPRSFKYTGVAEPTFTQEIHCSFNVTSAAVCA GTSTVGSHYVIGTVTGPATSSWTKTYSSSNITWVALTLATPGPHVGTTDIDGTVVASA TSGDPYGGLGSEGLFAPTGIPKSSAAKGWTVEMMGRLGVAVAGLVGGMLML NEUTE1DRAFT_80278 MPRLEDNQVYDEEDDLLNRNRRRVVRLWEDFVGFAFQGNVLEIA FGLILATMFTALVTSFVSDIVLPPISVLLPLNKNLEEKFAVLRSGANHPEEGYNTLKQ AQADGAVVMAYGFFMNRLLNFMGVGFSLYSIASIYQWMSKDPIIKKTVPCPYCCKFIN INSIRCVNCTSWLDGREDMLRPVHITPPSITTTGTAGGAGPSSASK NEUTE1DRAFT_99992 MSSIQRKRQKRTSVTDTRPPPGPGPDNLSRPLGRTRETSQLILH LHLFHATIESHSLAELLLMVGQSVTMAPTHLSLDFGITAKPAIVGQQVIRVAIEGNPK ELAGGRD NEUTE1DRAFT_129104 MASPQQIRTPITDLFKINHPIFLAGMNVAAGPKLAAAVTNAGGL GVLGGINYTPDMLRDQIDELKSHLKDKNAPFGVDLLLPQVGGNARKTNYDYTKGKLNE LIDIIIQSGAKLFVSAVGVPPKHVVDKLHAHGIVYMNMIGHPKHVKKCLDLGVDIICA QGGEGGGHTGDIATTILIPAVVEACKGAISPLTKKEVLVVAAGGIHSGPLLASALMMG ASAVWVGTRFVLCEEAGASEAHKEAVRTASFSDTIRTLIFTGRPLRVRKNPYIENWET ERAQEIKELTSKGKLPYEADLDKLMSGAADIPQAMKDAYKADNGSEEVDVDDLLDHFQ PFLMGQCAAVCNEKKNAKQIVDEFINDAVAVIRKGNSFITVPSKL NEUTE1DRAFT_80286 MTDAIDLPASSKPAAPTVRTTYIALGSNLGDKIGWIEKACKEMD ARGIKVKRTSCLWETEPMYVLDQDRFVNGACEVETTLEPLELLDALQDIENSLGRKKI IDKGPRNIDLDILLYENLKFDHERLKIPHIGIPEREFVLRPLAELIPDKPLDHTRPWT LTRDLLDALPPSSTPITTMTPLSSHGHPPIQALNPSRKTHVMAILNMTPDSFSDGGQH ASAGLESTIQSFLDAGATMIDVGGQSTAPRTPQVSAEEEIGRVVPAIKMIREKFSHRD VLISVDTYRASVAEAAVAAGADIVNDVSGGSMDPDMLPTVARLGSTICLMHMRGTPAN MSSLNEYPEAEGGLIGGIAKELVGRVAAAEAAGIRRWRIVLDPGLGFAKVGPQNVDVL RHLEELRSWPGLQGLPWLVGSSRKSFIGQVTGVPTPKERIWGTAATVAAAVQGGADVV RVHDVKEMAQVVAMADAIWRY NEUTE1DRAFT_109314 MFWGRFPLRSGWMDGWVGGWKMEDGRGGNLKRGEEEDEEEVREG SEADGEMVGIYLYLSGSMVEWMGMLAWYLSTLTLRSPSDSAFKQTTAKLSSEFELKRH GSKLREIVHEDQRHAYVYLPPAVSSRHACSLPDSLMLCTGRVQDA NEUTE1DRAFT_80287 MHVKSFTALAALALAAQAVAEPIRLVGAPYKAASKIHKTSVRQL FGVVRRQDNPGYQPEQTVCGTGNTCAEACGAGFEACASKDDSVHCYNAGAAQICCPNG SGNSCDAGYYCAADTQNETWCCPEGMTLEQCAAAYKVDGSLVSQTAEATSTSTSSSTS STSSVASSTSTSSTVVSTTSTSSVIVSTTSTSSVVIPLTTSSVALTTSSAPAITVPAV SSSSIVLVPTGLATGGAPSGANGTSVVVVSPQPTQSEVAIGAGSVAKPAGAFLLVAAG LAALL NEUTE1DRAFT_39997 MKISVFLTILASVTSALAGCNCKCQDPSGTGPQWDDLTEQVCDM QNDESSLFCIETYHGNQHHQCSAPNCCIDSGDFDKQCKILGAPGAYCWK NEUTE1DRAFT_39943 MLTNLKLTTLFLSFHFAVAALAGRCKPDPPPFSTFPRRIIYDPP AGKRASYPRHVELKDGTFLVTSSIIGGDFFAGSNSSFPVFESKDGGVHWKWISNITDQ VNGWGMSAQPALLELQKPLGGFRAGTILFSGNSWSENGTRIDLYASTDKARTWKFVSH VAEGGRPNTTNGATPVWEPFLLEYKDELIVYYSDQRDPKHGQKLAHQRSKDLRTWGPV VNDVRYDEYLARPGMTVVAKIETINKWILVHELPVGNSSSYGVNYPVYYHIADEPTKF DSAPGIPIVIDGKLAPNASPYVVWSPAGGPNGTIVVSDADRSEIYTNRFGGDPDKWEM HAIEQPAAYSRALHIFRRDPKKLMVLGGATFDEGGPDELSLSVLNLIEVLKTRVHPA NEUTE1DRAFT_99999 MKSSLVPYCLLSCSSVLVSAIDLPFQTYPDCVNGPLASLKVCDV TLSPPQRAAALVAAMTTEEKLQNLVSKSKGAPRIGLPAYNWWSEALHGVAYAPGTQFW SGDGPFNASTSFPMPLLMAATFDDELIEKVGEVIGTEGRAFGNAGFSGFDYWTPNVNP FKDPRWGRGSETPGEDILRIKRYAASMIRGLQGPARERRVVATCKHYAANDFEDWNGS TRHDFNAKVTLQDLAEYYLSPFQQCARDSKVGSIMCSYNAVNGVPACANTYLMQTILR EHWNWTAPGNYITSDCEAVLDISANHHYAETNAEGTALAFEAGIDSSCEYESSSDIPG AWTQGLLEQSTVDRALKRIYEGLVRVGYFDGNHSEYASLGWKDVNSPKSQEVALQAAV EGIVLLKNDKTLPLDLRTDPKSKLAMIGFWANDPKTLSGGYSGKPAFEHSPVYAAQAM GFSVTTAGGPVLQNSTSNDTWTQAALEAAKDANYILYFGGQDTSAAGETKDRTTINWP EAQLQLITTLSKLGKPLVVVQMGDQLDNTPLLAAKAVNAILWANWLGQDGGTAVMQIL TGLKNPAGRLPVTQYPANYTAAVPMTDMNLRPSDKLPGRTYRWYPTAVQPFGFGLHYT TFQTKIAVPLPRLAIQDLLSRCGGDNANAYPDTCALPPLKVEVTNSGNRSSDYVVLAF LAGDVGPKPYPIKTLVSYTRLRDLSPGHKTTAHLKWTLGDIARYDEQGNTVLYPGTYT VTVDEPAQASARFVVEGEAVVLDRWPAPSG NEUTE1DRAFT_80289 MPKKRVHFKQYSKPQSTAPASLSSTAAGAGSHNGESESRSVNQR LAELRRLSVNSNSNAQGALDVRPTVPPVIRDILQLPETPAPRPRPGRGRGGPRVDATG RRLPPGPAPPQSWLNRPAHGILSTVPRQSGSDGRRLDKYMLPELYLPAKGSLIDLTLR QFAHSWDFQREYCRYYLYQHLPTHLREALVAYLSIWKDPGNVTLADLKAILLPPQKQE GDDDVDEDAEQLEEEEEEEEDYLDPSIANKDFRHLDLSHSIGTSLSLRELTDLLFPPK PSTTKPITAATLKTAEPRDSWEDSADEEDEFQPEPPSSSIPRPLLPNLTHLSLSLPPN PLSSSTFTSPSTTPGNYPLPSWRHLLSFASHHPTLTHLSLANWPEPSLTPNAKYASFV TAQGRRVQYGGTGPYSHSLDNDWSEAILVLRRLSRAWYRLEWLDLRGCGGWWEALWAI SRGPMGDMMHLDQNQDFRSGGERGREEEVGTEETTTGGGEEGGEGGEGGEEGDRVDWR VDWGKVETVVLGLDEAERGKVLGGDEKNGVVMVEKGKKLERHVRGLRKGRGRWFAVC NEUTE1DRAFT_38409 MSRRLIVECLGSLSAINRLSIFGDLLIGYLDVIFPSLVAVGRHI GLTGPLRVLNTANQGRLPAKVIERDGFAIPGLAIRPESPTNEHQGFIDIMGYLRFLPH TQGVEKGRHTKTRKGFRAYMRCVHCTLTKRNGFESVFHFHPNSTPTSGQTHPDACKAS KHEAVCILVPDPPPLTQIGHGVKRIRSHVRDHPPGNGHHIPELAQVAADGYPQSTTAT TTEPGATCGAWSSLRTLWANLSLPEVAQTLPSSPNLGPHPFATECPAETNHRLHRRKR HAVTEVGSFGLAQVDFMGLVKTHESPAAASLACLETSPAFACPTSMSLHASHLGPLSV RTLGLTHSSDDDLLVSWEAVACCQGTASLTKNGLGCNTAPMCLRLWQTSCVDMLGFEW LSGMAQGLGVSSPIVVHGGLG NEUTE1DRAFT_100002 MESRPRNDSLLSLPSIAHDETTSPAQEIAPWSHPVAQFVSLGTC DGTNEFIANPCYPLRPPDQTPPARDVWGASVTRWPFRPPACSEFRDWLRHQVQSGRCS KTVSVQPGGCCTGDDWLIHQFSSPEGVKLDQDGLPSACLLPLARLRFDTSASAPVRLH QNPGPFSPSTMVGFYGGSSPPLTMADMHGAQIMKFHRAYRHTVSAVRHEQSLPQHMPG THELLGLSRTEKPLPGWFPEVPGHLQQTGTSRYIAVTAGTRPRFDGIKSFGITWVPNG LGKTDSHPMAA NEUTE1DRAFT_40961 MACITILVTDVSPGPLQWLCLKRCPSKAACEASRRDHGLEVLGN RKEAYLDPCLEEELHRRLYTSRVGTRGPAPSSKTDLYGLDLASLQELCSAVAEHVKPI GPKAEGVLHFWTGPSALRWHVVTAIRNFPFLWLAMSGPLWVEPWPDPVLTFPPLHDRR LTLSDAGSQPKNCAGELTAAIYLDEAVLGLRTGTSD NEUTE1DRAFT_121566 MPWLTVCEFSRRLQFLSWASALGQTLEDLYWTRPQSISRKEQVD AALGAFCTTAGFHPDRLWGNPTKRIPCCSCSDWSGRATDGQLQAHCLGLDVPLHGRRY LLVPPCVYL NEUTE1DRAFT_80293 MAHAPRSNALPHPGSQLSIRDSYATTTPTFRRQDHHIPRSPSFG GITSRRHPLSPTPSPATYNTHRMDGTYGSKTQPNIPPLTSIVECGVLSYGGPQATPIK VEISGIIDKGFFLAEEQWTCYRRNYFSCVCSFSLTPNLPTTEIQFVQTGSPQPYTVYG FAMSISAAVADSDNQTIELVQHTPKRDKGPVAKPEKVRLLPKPAQQTHHPLSGLYSNP DGSLSSSRAYDHQPYGQQQSPLPTEHTFERIQFKQATANNGKRRAAQQYYHLIVELWA DVGSQGGAENYIKVAYRKSAKMIVRGRSPGHYQNERRGSTSSGPGGSGAGSIGGGYSS VLGPGGDYHPGTMLPGGFTQFGDPRGGYGARHHHELTMEPMMSHDEAKSMTDHKGYQY FPATIYESEHADPRHQQVELFSHSRTEAESNTVPSMSSGYDPTKVKPEGENGLPSMFY PPVSSYYSQQRCGRFEGKNSSSGYYPTSIPPSSTMNMT NEUTE1DRAFT_100007 MVKKKRRKKKLQTNNNNRGRMICVPSPICPLLPVRCVLPLKSAT KISAMKAMKMSIIISIVCSHLHVCAHIRSSSHIFSFPQVVTDIVLLE NEUTE1DRAFT_121569 MPAPHFASPDRVMRAAHRMETLVEIMREHRLEFVAILHAAHLYV RWVKAYDRQDLDPEDDDEDWAVDWEHPNAEKDVGILLALALGEMGIARRKRDEPPGLD RILEDCLRRLYVLLYDIIYWDFGGVVGLLAPATPGALLKKPKDDEWVLRELPDAADTG DSTDKPPDQSNDKPSDEATNKSTKESTDKPAKDSSNKSTDESSDESSNESSKADPKEN NAPEVLDPKFYAAAKSLQHLCEQEFYDLSKEYYVHPTVKKLLEFHWNMRSRKGKKKAD PRFPYAAEQRFMTVDGVSEFIDPEFLPAPPPPEPLSKLEFLDLVNERTTKPQTTTTVG PSKTAESAKPAGVANAPGPVQRPRTPLPTGTPTTAGPSKTAESPKKPAESASLSKVFR FTGVSPGSKPSQNTGFLGPTRFLATPKSHTIAESSKTAESRKPANAWQTPEYLRSLDD LWRPARVATVPRTSQPAGTSQNTPAESPKSTGLLEPAEIIPSSNNQQPGESPKTAAES SEPTGVSRAIGIPSPPEVEKPAESPRSAQLLEPAEIFSPLDISPTPRAKSRSPSLTQT PTRLGRREEVGGTPESPESKMLIDEEEAEGQLAETPSSSSANASIQAKKRSPPSVERP TQVKRRREVSAPSESPAFEKRESEEEGEVSNDDDEKDD NEUTE1DRAFT_100009 MDPAIHTKLKTAFHKFRKWGLDNHNHLVSIADDLENSEVHKPKN YKGYQMLFWRFRGMWPNVCLVQEILKDQATATCTSRNRPPAHASTTKTSSSGIQHASP LQNPTAPPPPPPSPCATNVSKHNTTTTTTTTTTTTTAILFSRFKSPVMLPFAKWWAES QDKREGPLLPASSFEEAVEAICSSISLIVDDWEAEIVPLLVVSDVVQVDGQREASGGA GA NEUTE1DRAFT_61616 MQLTRLQVLAAGLVPAMVSARALSPRAVDCAFATTADAGATCES FAASWGQSVDELKKLNPGITCPNLDTSKTYCVIGTVTADPTPSSSSSSSSSSSTSTTL KTTTTTKVSTTSSSTSTSSSTTAAPAPSNSPAMPGLAANCDGFYKVASGDSCDTIASK NGITTTQFKTWNTEINAECSNLWLDYYVCVHVPGAAAPTTTTKVTSTTAAPAPTNSPQ MPGLAANCDGFYKVASGDSCDTIASKNSITTTQFKSWNTEIDAECSNLWLGYYVCVHV PGAVTQKPTTTTKATSTAPAATNSPQMPGIVSNCDGYYKVASGDQCSTIAAKYGITTD QFLSYNSYINAQCSNLWVDYYVCVHATPSPLMPGIAGNCKRYHQVKSGDSCSSIASSA GITFNQFRQYNTQVNADCSNLWLGYYVCTGV NEUTE1DRAFT_61620 MHFKPWTAWSLLASLVSLWAVVDAADSGILEVDLLFPRNETYAP TEWIPYVFSIQNAKLAKYLVPEISGLHEGRWRLNWEFWYISCNEDLSNLHSSSELVNR NRTVGSIEFSIQEGGPAVDVVAATANDKTCSEGNGAAINITGNTKEVAPFGIELTDDT CVVVGSPQPTPTPCQVKVDSAVAASMTASLRAKLCDPLTSTNRPADCPEDNAAQKLAV AGVACLAVAVGVFGFLA NEUTE1DRAFT_121572 MVALSWFTAGMGALGLLLSADGVLAAKQPAFRFPSSPAYRDVCP ERCIVSGPNSGNWSVYPNFKQIKKCTQTMFYDFNLYDQVDNPDSNSRIAACTSFGPDF SLLPPNNSAARIPSLDPVNVDFEVGWKEEGFGLAAAGLRSLIKQIRKYVENGHGATEE PLIVYAQSGQATVGLYIGQGLQNQGLSESALSILQDNLDTLNVSTPSLAMQLCGPGYD SAHTFGVMLTSNATFAPIQDAIKTWANATCLSFAGSKSFAGEAVFTTPLLPTNGTVGS NSTIGSNSTIQARGLGRRYHRALHARAECKSVQVESGDSCASLATKCGISGADFTKLH PDSDFCAKLKPKQHVCCTTGDLPDFRPVPNSDGSCFSYQVKANDNCANLGAEYGLTNE DIEGFNKNTWGWNGCELLFKDTIMCLSKGSPPFPAPIANAVCGPQKPGSKPPTDGSKI ADMNPCALNACCNVWGQCGITKDFCVDTNTGAPGTAKNGTYGCISNCGTDIVKGDGSG AIKVAYFEAYNLGRDCLFQDASQIDTSQHTHIHFAFGTLTPDYEVEVGDVLSSYQFQE FKRVRGAKRVVSFGGWDFSTMPATYSIFRNGVTSANRLKMATNIANFIKEHDLDGVDI DWEYPGAPDIPGIPAASLDDGPNYLAFLVILKNLLPGKSISIAAPSSYWYLKQYPLKQ IGAVVDYIVFMSYDLSGQWNVGNEYALDGCDAGNCLRSQVNLTETKQSLAMITKAGVP GRKVIVGVTSYGRSFEMAQPGCWGPSCTYTGTNTNSYAKPGVCTGTAGYIADAEIAEI MAGTGSKRSGRVTASFVDASSNSDILVYDNTQWVGYMSAATKKSRTALYTAWGMGGTT DWASDLQKYNDVPSPAKDWNSFKQAIRAGLDPKGDDGVRDGNWTKLTCDNDYTINWDD HTPEEIWKKLNADAAWDDVVRNWKDNNRGRPGFSFMDSVGLTLGMGAGGTAQCGTLGK HDNCDKTEDCPDSANGDRSGPAAQLIWNSLVWIHEAYHEYYDALYDVAGIISTSLDNM EDTFAPIPAPPDNKWTYLLIDLITLGTLSVAGPFFNTLLKNTAYFIEKEGSALDNLKD TTLTLIGQSTTIAKDVLPSKDSPWTPEAQNEYSNYMGQAIAGWANVTSLSLEKFFEAT DDTLDAMGELISDGKLIRGSTGNNVIDGSNQSNDLRANLAKSFYAYAIPNLWRSAKTY AFVLDSGISCSTSNPLGDYLEDDTMKDTGACVDGTWYYLVHPDGDSKKCQCQIVGDHG PCQTICRDNKFSAPPGIGSLSNFGGISKDDLITGSVRTYKQNGQKNGGSSPDPRDGGT IDNLLKVDVTTPGYVRLPVCSPERAFQSWDTSSKGSSDNYPCDIPPGKNDCGDSSFEN QTSDASPSVDDCLAIIKNIQGDGGTEWTTQVVGKNQREIAKAGSCAFGVEATKVNGNV NFKVGGQDVIDIINEAINRFGGGGKVGAKGDMSCNGNVHGQDVKWGIY NEUTE1DRAFT_109326 MNNFPCLVIRGICDYADSHKNKRWQRHAAAVAAAYAKELLHVVQ PVEVNGERAACDIIKEVKQKVVETAENVNYLKRGLQRREDLDVLDWLTPINLGSQHSL YRDKQQRGTDLTNISHSLAGETEAAYIYCDYRRQGEQTALKLLSSLTKQLCLSKESLS PGVGALHAKHTSKSTRPSLQEVTQALKDVIKNFTRVYVVVDAFDELLDDEDHRNAFVD GLRNLGQSSSNLKLFITSRPFLTDINQFFDDAAKVEISANQDDLGRYVDGRFSKMPVS SPLRANEVLQQEVKDTISQAARGMFLLAQLYMDSLRDKSTPKEVRDILQGMQHQDNAS KKLSVEERLDKAYGETMARIDKQELGFRDHAMQILLWITHAKRPLSTDELRHALAVEV GSNELDEDNLVASLDVSICAGLAIVDDKTQEVRLVHYTTQKYLEQRHFKLSWSINPHN TITEVCVTYLMYSIFKSGPCTLEDQYLERISKYSLCLYAAKYWVEHARLSSEASELLL GFLQCKEAMEAAAQADFVGLNKYSWLFETWGRYYEYPPRSAFLLAVKYGLHKAAEALP ERSEDLNALYEQKTPLYIALRKGDMTMARILLRKGAQVRVLGGSSVLEALCEDTYGNF EVDVSMVEDLLKKGAEIANSKGDCQLLVTAAERGHEQLVDFLLKRGAKLESLGDLPRT RGKVTPLFATAHKGHLGTAMILWKSGADPNRRLGIDKSSALHEAVKIGHEQLVEMFLG TENGVDLNISGDSSVDISRVESLDYDLKAETHDLAKECISISAQIAVDIELQDGIGHT PLALAAKLGNCRIAEMNGRDQTPLEVALDFNNQHMVDVLCKAGADVESTDSHGRIHLE HAISKGSVECLKDFLSWLIKQAPKIIDKYDEEDERWNYELMDLEAMDDVIMEDIPLQR RHHRFEGNLLGQLQAIEQLDDSQEEGGVQDGDGGIRASRNTI NEUTE1DRAFT_121573 MATGRIDNPRSHSDYTIGWVCALAHERAAAMAILDVEHGPLAQR SNDTNSYTLGSIAEHNIVITCLPMGEIGTTAATTVVERMLSTFPSIRFGLMVGVGGGM PPKVRLGDVVVSVPSSHYHGVVQWDMGKTIQGPRAGDESFERTGSLNRPLHLLLIAED DGDCRFCDPAKIIKRKPREEPIQIHYGLIVSGNQVIKNALSRDKLNAEFGGHVLCIEM EAAGCYDPIMYLDKTNQ NEUTE1DRAFT_80310 MADPTQNQQTDTADPVVAPVTKEDAETTAARRELKHTSISEQSA AAAQDSGSDNGTPTAGSRKATPEPAIGDDKDTEMKEQVSSPKKKRAHDELEESKDAID AQSGDQPSTEEAKPTATQSRTDRSEPEKKRARDEASASDDGVKETTSSVSVETPTTEI PSKPAESAAEIKPTSEEKPQTSSSAFAQSGFAKLASSTTSPFGALGASAAPSLFGSTN SGSASPFGTLSASTTAAAPTPPKLSFGSSAAAPSPFAGFNSASSGSVFGGGFSSGFGG SALGGAKLTSFGGKPGETLMSSKPAKPFGAPESDAEESEEEGGNEKEDTGANEDKEGE NDEARTAAEEEKKLKLRKVVVDDGEGEDATLLAVRAKIFVMEKGVGWKERGAGMLKVN VPKASVKRDHNGNWDATSFDASALVEDSGDGEAGSGGGRKSVRLVMRQDHTLRVILNT AIVPAMTFAVTKKLKAAYVLFTAFEGTEPRQVQVKLSEANATAFKDLMDLIQKELSDE NEUTE1DRAFT_61631 MHGSKHTAALFALAWGNVVLGLEDVAPVAVAPAVPNPQSLYIQF MHPTPRSYVYGQDLGFRLDVNPSVTNLPIGSHDSCASPNITINNQPLLSPPNEDGSFV LDNDIKVDLTWESRCFPGGGSSADVDDDDGDYSVSDDNPENNNKGVDAKAQRSQSVTL TLQAVDGQPVKDELSCTIWFRQEEPVWAFGVAGWCVDARIRDVRGKKTGGWEVVFDDE DDDDEGGDTETNTVGKMEGKGESREERLKRERKEFEREVECLEYRRSIAAAAAAAEEK DTAGVVGHGYAQKPVVMNRKYYEESRQHFETLCANLPPRENNTSTPGPSAHPPWELPH HRPAKPARLPFPDLLLDLTSRSAHQTTLNSRPSSLRASVYAHLINLSPIRLAAYIIFL SLLILACIFHSLHRQRSRRKRLAQGSGAGEPEVVIESLCPGFYGSDESCGECKTKYFA QLQAGDESGTVIGTGEFKGEGDNDEMAEKRGSLEEKNEGMSELCMEEQEKQEKQEKQE KQEKKRLSEREYQRYCEYHAVPEDGFALRYEPGSELQTIHEPVEHVVVDDDERVWDEK ETLVDSEDEREKERGDEVGESSGEDSGGEDSDDDLSIGGELASFMRAANLVEDMIRAG ARQEAAPRPQAAPPVNARRQSPGTPPPPSYGEVVGLRGVVDDEGLLPPRYEEYLRSRR NEUTE1DRAFT_145764 MASPGFPPRHLDATTGREVVYCYGCENEWYRDDYPHLDLECPRC HNDFVQIVEPDNDPRPGVQQQGHRSDSDPEEDDIEQFLERGPGSFFMRRSVYQSPDSP FNRSSGNGLEDLDSSPDRPRARPDDPGEAVLSRFADMFVELSGARPGQQGENAFGTQP RIQRTTFTRAGDGMASFTFTTTTTTGGPAGDGPEVPAFDTYVRSRHGNGFPGIRRHTI IVVTNRRANSNGDGSLFRGLFGNIPPPGAEDPGGGQGQGSGPRGPPLGFATGLQDFIA TLLNPQAAVHGDAVYTQEALDRIITTLMEANPQSNAAPPATQAAIEKLPKKILDEQMI GPEGKAECTICIDDMYKGEEVTVLPCKHWFHGECVTLWLKEHNTCPICRMPIEGNNPS GSNSNNNNNNNSQPSASRQEASTSSASAFASTSAPGRNPFESLNPFGSQAQSARERLR RSEQEREERLERIRNVAGVRRADSQSEGISRRTSHSPPSRENSRFDMRSRDRDPSPPR DRGTSTAWQPNTSSNSQSNISSRYSGGLNNLWGRNNSNNGEGNSRDRDGQQQQNQQQQ QQQQQQSSSSGNGALGWLRDHFGRGSSGSGSGADRDRERRR NEUTE1DRAFT_80313 MTQLPYAVDAETPLNPAELNVLRAQYEKEGEMVGVQTKFNYAWG LVKSNVRADQHLGVMLLSEIFRTSPERRRECLYYLALGNYKLGNYAQARKYNDALLEN EPANLQAANLRTLIDDKVTKEGLMGVAIISGVAVAAGVIGGVLLRNLGRKR NEUTE1DRAFT_145766 MLLEEDPSTLIAHTTQNFNITPDRHAVSRVAESLSTLQQARDLR LRESETNLKKLARTLNTLQSQHQEVTSSHSSAEHASLISRLDTQKFRVAKNVSDLEME TERLQTQLAELQARLQELELQGVDGDAGASTSTTTGENGNGSGNGGQVRSVEDEVLLR LKVYRSLGIEIEREEQDGEFTRAVVRNDRRGDVCVVNVDRHRFSRFFYANYFWQTL NEUTE1DRAFT_61639 MAFLNPVHGLVVPFLCLFTIPMAIFASITSALAFSLLMFRVAIV YVDIAMAFVPQFIKGRHYHSITDGELLTPRRLSSSSTGSVGNRAGDSEDKSPLAVVSP SPYYHNGYDSHQRSPLRRKSSYGFGTVRRSRRSSQASISPTIASIREDAEMVPPLPET GGLAPSVGIQRDFEGVGGWRLNDSNDDDDAWQNINSRLELPMERCSSFVGRYHHSLSH RSHSAGPSQSLEAGWMGGSTNGRKGTLRNGNGTAGSGHCAETQTNWHGTGARANKSAF GSISKMALPPGDRRNNHRNGNGVSPMGMGMWEKEQEYFDGFTSQKGKWRPMA NEUTE1DRAFT_100021 MLTSLMVELRLSFDTHADGLIRRSDGTIAGLPQSGYLMFRGTST AGGVHGPLRTAPCDDLVAHSAPAEVSKRA NEUTE1DRAFT_80322 MCSPTGESIPHEVPKRGDDGAPKESFPWHIGVYDAHCHPTDTMA SISSIKNMQARLLTIMATRGQDQDLVASVAEQHGITDLNELRESGSSETPKKVVPAFG WHPWFSHQLYDDSKDDEQEEEVTDVRAHKKTKHYSTVLSPPPDADFIASLPDPIPLSK FLQETRQRLLAHPTALVGEIGLDKAFRLPWGKVNESSSSRNGDLTPGGREGRMLSPYH VKMAHQVDVLKAQLCLAGELGRPVSLHGVQAHGVLFDALASLWKGHEKEVVSRKKQKM IAKGVSEDFSSSSDEEEEFDEFDDLEFGLGEPKQQQQQQHKVKKSKKPYKPKPFPPRA CLHSFSGPPQVLKQYLDPKIPAKCYFSFSMVVNLGTAGGEAKFADVIRACPDGQVLVE SDLHVAGEDMDGYLEDVARRICEIKGWGLEEGMQRIRRNYEEFLFG NEUTE1DRAFT_121582 MSGYSPVGGDSQQQQRRFGSGSSSSSRRGSMNPHPLSSAEPVTA AAFAPAPASVSAPSAQPRHLPGPVDTRSRPGPGVSLTHSHAGPGPIVLTPSSAASSTV YVASPSSADAYHHSHYHHHQGGHHHHSHHSHSHSHSHSRSLSNTSSVTAAAGPASGPG HGGASSSSTQNPYGPRLTRAGAAGYSSSNSSSTNVAGVKRESTDDLQGVNGDYSSNGH HHNGPLSGLGGTTSRWSGSGPSESVEGDSSATSPTSTTTGGGGPQRKKQKRNKPTLSC FECVERKTKVSIKRQTECKYAHVANLLDAANGRRMTKPPLKKTDQASSHTSSLFTVVP NAADRILSNGRIPLGSIATSTGLLSNVPFSAPGSSNVFGIGSEHPFANYWTCNGGLPE VIDVLPAKMQVDLVLDRYFECVDPVYPMIHRQTFFADYEHFWTMSLEEKHKCDSAFIA LVFVMLALGTQFVESKLSERERQQTAEFYASAANQALRVGSYMSMASITSIQAMVLMT YFLINDNHASDGWAFGGILMRQAYAMGLHRDPNIVVPTAPLFIKQQRRKVWQAVLLQD TFLTVLLSLPPSATHTDVKVEDLVSNLDPSTSSLSQISIDACDDPTDIAYLRGSWTLA NLVQETICSPRSLDVPICTTVRHKSKLVADFRAVYRSFPDIFRSWDVAMLTQMAERNK RIVRQTLFLSSNYFHNLMLVHASESADVPVNVRGTLEAAHDAITAFFVLFSLFETEAR VWWVFNHRAFLEALCIGNVLREAAKEEGGVEAMGKDPLFVRARGDIHQMLNIMRAMSE GEQGSETARTRVQVLSEFL NEUTE1DRAFT_109336 MKYSTGEQRRSCHTTQVINRSSSPIHLLISRSLALPKGVSDDFP TEFSQRLSKVGLRKKPNRAITYPGMYIADWVGSQMNGMSLGTEEDHFKADEALCPRK NEUTE1DRAFT_145770 MTLYSDPPPLRAFSADKPTLLVCWWMTMFCVVLILLRVCGRFVR TETLFSEDKTAALALVPLLLRMGCVHFILVNGTNNADFSSVRLSEEQMNRKAVASGLV LLSRVLYALTLWILKYAILQFFKRLNVSWERSYELTLSFIKVTLVTTFIAVIVSDVVE CRPFRMYSQVLPDPGGQCRQGYVQLLTMAICNIVTDLLLVFFPIPMIIRSQMSLTRKF QLVLLFSLSLGVVGVTVYRVPHIIRAQGSQQSRSLYASIELLFATAASNALVLGSFVR DRGVKKRKYKYSSIAAGSLERSPGSGPGGAAPNGGRRPTLRHWGSDEDLVRDVGYGVK PDLRERLIPYSDEIGSGGGYYTPAPMAQIHHHHHDNNDTIHSWDFPPSSSSQARSTAR SDDYLMSFSGHSSQPGSPRNTTPNGLPTLSSPRRVSFFDYGGLLSDEPTRGRRESSVS TTTVEPPRPHTVPVPAVPASASGLRRGSAALLQDLGGFLSPWTLSAVPKSARRRSWSK GRGRGGGGGRPKSTSDLESIPQMQGEEHEHEHDDDDELPTYSSEEERRRLRLLGHQEG QGQGQGHDLELVDAGGLLKEPPVEGPPKRSTTQ NEUTE1DRAFT_100025 MSTSTPQQPNRQPLPSTPPPWRAPFLSHLTSLPPSSRTFVLSTL HPLPPSLSSTSTPTPVSSTIPVLPRARTCVCRSLWAQLDANPHNPAALNPPVFESDMI AFTTDARMEKAAEIVDTASEERIEAGGEMTGGGGPVEVVFWIESAKDPETGEERKVMT QWRIRGSAWLLGPDVDSEGAKKVREVLRQRMRRLEGKTQEDEEQWSWSREVTAHFGNL NPVMRGTFRGPPPGQPVAFPPGKGEGLGQEVVDLQDEVARRNFRVVVIVPTEVDQVDL SDAKRARRWLYLWRGNSYHAKEAGGEVEGEWEKVEVWP NEUTE1DRAFT_145772 MEDDSSPTSASKEVSRLWRAWRTVHEMVQDRGYELSEDEVKISL ERFKAEYTNEDGTPNRAKLQFSARPSEAMIKKFTAPPTPSNPNPVPECGTIWVEFCTE KGSIGVSVMKKFVTHCSDNKFKAGIFITAVPLSAQARKVMSVTSQYTQVECFLEEDLL VNITHHELVPKHVLLSKEEKAALLKRYRLKETQLPRILSKDPIARYLGLKRGQVVKII RVSETAGRYASYRLCV NEUTE1DRAFT_61655 MAESQSPAAAPAATTKPHKPDQDAFNENLAKAEKEYQDALKKYN EIKAKVELAAPSKNKDQPSPTQKKRQELISQLNEIRQQQAGGKNARTSKLDQIKRLDE QLRSRIAEQKTARSKVNFKSTEELDREIERLEKEVNGGMMKLVDEKKALAEISNLRKQ RKSFAGFDDAQKQIDDLKAKIKEIKDSLEDPEAKALSEKYNKLQAELDAIKAEQDEAY KNLSSLRDERTKLQQLQSEKYQAIKKLKDEYYGAKKEFAKWEREQREKARERQQAERE RIAKERRMERAQKMLAEASDPAYLEEIRRANSLLKYFDPSHEVAEKAPLLADKGLGAQ ALRKVDDSGLKGMKLVRKEERDDDYLPAVKKGKKSKKPTGAAPVATGKTFSLPPSVIE DCSFVGVEPPMAATDIPAAVEKIKAKLEQWKADQPEQTRKNIEKAKKEIERLEAEEAG EASGSATPKKAVEEVTEGVKNATIEEKTEAVEASA NEUTE1DRAFT_37887 MYSAETTQHSQKSIVRMDPDCAICHAPATVACDCEAKGLEMAVK QAENRMMQSIYNDIRSWVRAHAQDYILDHFRQLTERRKTAHASHLDRITEHAWQYYQQ PPHPSELANAQAQLKRGIDEDWQASVQRYPEVLEYFYSLVELTLPDDGDASVKDPPLN ALNAPRRISTSSRMAPGAPATVASGRSMAYDRDIAPMPAMRRTPPPIEPVRGSDRRTP GPRERRQSYRAPHPGPPTYYPQQPY NEUTE1DRAFT_129127 MASRCTHSSRLFMPFLYPSLFRASAASVPRATSLALARNLRYSS TATLEPQHSENDDEARSRLNPAPDDYSNPYFADKAKLNVYAGPGGNGCISFLRELFIP EGPANGGDGGHGGNVYIQAVHGETSLHKLARRRHIRAGRGKHGQGSAQGGQRGEDVII TVPVGTVVTEISRDDPEGERQLIDRAKYKRKRKKDFRNAAALEAPKEEEGVEGTIVEE EPPVNPDLDRWLLYPGISSSEARRVGAELPRLPRRDRLFQQPPAPIYLDLNRPTPRPI LLAAGGLGGLGNPHFANKDRPRPMFATKGEPAMSLEIELELKLLADVGLVGLPNAGKS TLLRALTNSRARVGHWAFTTLQPNIGTVVLDNNKGRPSVKSYKRISDAPVDDPFALTG APDEVEQRTRFTVADIPGLIEGAHLDKGLGIAFLRHVERAGVLAFVIDLGAGNAVKAL KALWNEVGLYAQMREDEEADRERAARIDWSPEAGIDNVSMSGGWPASNGMADYPPSAA EPAGLSIAGKPWFVVATKGDLKDTQANFMELRDYCAAVTRGDEPHPSGVQGAWIENVE AIPVSAINGHGVERIVHWTVGLLDG NEUTE1DRAFT_80343 MGRGGNFRKGRGGGRGGKRNRDDNRGGHQPYTRYPEVVKQNERL EGYYNDLLQLPEEEREQFWAALKRELPNSFRFCGSKGHALAVKNLLQTRYIPEITRIT YDGAVVEPPQPVPWYPDGLAWWMTTPKNVIRKFPPFSAFQKFLVSETSVGNISRQEVV SMIPPLLMDLRPGMTVLDMCAAPGSKAAQLLEMIHRGEEARIRHVIKQFGGSVDEIKS ADDDAARLDADPSDDGRATGMLIANDADYKRSHMLIHQLKRLSSPNMIVTNHDATMYP SLRIPNPEDPSKPNYLKFDRILADVPCSGDGTLRKNVNLWKDWAPAAALGLHLTQVRI LVRALQMLKPGGRMVYSTCSMNPVENESVVAAAIERCGGPDKIEIVDCADQLPLLQRK PGMRKWKIMDKSARVWNSWQEVEDHAKSTEDGITPSRLVESMFPRPEGSICADLPLER CMRVYAHQQDTGGFFITVLQKKAEFKAKPEEVRPKEKKQAPKRPLEEAEGTEEAKKQK TENDEAAKTEDVVIEEAPVEPAEEAKAEEVAPVEETPATEEPAAKEEATETPAETPAE TPKEAPKEGEVQPERKQKQQGSYEEPFKYLPADHEVIKNIADFYKISPRFPADRYMIR NATGEPAKAIYYTSALVRDILVMNEGRGVKFIHGGVKMYVKQDAPSAEVCRWRIQSEG MPILHGYVGAERVVVLKKKETLKKLLIEMFPKIANGEWEKLDEIGERVRDLALGCCVL RVEPDGDDEEFNEHMALPLWKSFQSLNLMLPKEDRSAMLLRIYNDTTPLINMGIKRDA PKEGAEAKKEGEEEVKAEETETPAEAKTDVTVEAPAAAEEQVKDAEGDVSMKEETTA NEUTE1DRAFT_136909 MPTNKAPTSNEWDLPKLRASINFQDDWKDPVSGESIAHEFFDVK FYPYNPVGAPPVFAIASKKHVIICRINQNTDSSTNPVEVLKLIRDDDDDAANCSCCWS KDMETGQPWLCIAGADAKVKVYDVKQGKLVKTLVGHGGGINDLVTSPLTPSLIASCSD DTTVRLWSLLPIHSAQPCMFILGGDAHTWDLLSIAFHDTGRYLLSAGHDQTINLWTIP PCPSEPVTHPLVIHYPHFSTKEIHNSLVDCVSFFGDLILSRACWEETIVLWSISGFSS SSSSSSMSPSSPFPSPSSPPSTSSSFQGNDLPLSTAPTTFDPSKLTRSAFWQAPDLNP ETRPAYFTRLLQFKTVDCKGQFYMRFKVLHAQGGKHPVLAFCNARNKFMFWDLSRLGS WQRFLGELREAEEEEAEAEGRERRERVRVVEQPGWMPARKAPRRTVTGEAGGGNTAYL SSTAAAAATTAAAANYKTTGGVGSGGRTSGKQLGTGTSTSPDRETLLSAAGAAGGSGR PGSSSRERPGSRGSTTTTATATATATATATATATTTQTAASRPPGIGLGGYTQKQLDE WHDQCDITDSHKEIKPHKTVTVDGKGQHFVGRQVAWSPEGNWCVVVGNSNRAMIFQRW GK NEUTE1DRAFT_136910 MDSRSPTKRKRALSTTSTTYSATPSSSTIINPLSHPPSTLKQFL PAGNSPDAPLPSSIYPDFPHRPLPSSPTSSHPRSRSRSRRSSFASTTFNSDAGDTDAE TDGWTTITDHDTISVTSTGKPYAKRDPVRSTAKLHKAHQSRVGALVAIIQRCLAEGDI ALARRAFGLLVRADVNGRKVDLRFGGYWELGAEVLMRVGENQGNQLGGGSQGGLLGDG TQMEEEEREGVYGEGPSGEGEMEEEDVKERRERNRLLRAAQNRDRVRAYYETLIHLHP WSRLHPNKMGAVDFYPALFGFEMEACFAEHRYGVEQLDRHHFIDDDDDDLPKFGGDDD HMQIDQQSSLDPLDPLRSSPPSPSAYYPSHSSHSRQMDTVNVHPLYRTEMEEDERRSK RPEVRLRREKEKLRIKALEQMKDVARRMDAVLENTPYNKDVELVRLRAMVALYVGDLS VPVTVTQVEGEGGSGWKSHEERMNEEEGRRAQAAERDRARVLFIKMRELRGGELEEGD EWILELISGGGGGAEGDGEDEDVEGEEEEGGDRGRSRGTFALAMR NEUTE1DRAFT_61668 MRAALRLLATATGTVRPSARFLKPGSPTGLTGLGTHPSPRSALL YLYNHTLDKLKQIPEHSLYRQSAEALTKHRLAIVEQYVPDGYDAWQERARKLLEKHKS DLTARQFDGQHARLVEGPDGRAYFIRQMVTPQDWRDVEWDGAVLDPHFSWVQTGEDVV GAVKLEDSDKLLELDKIRESDPVAYRQGLRDLGIKMGGVVEDKSPVEWESEPPLSAEQ IAEMEARIGSGLIEEVVQVAEGELKLVDIMTQARPWEALEEEAPEGQWTYFERKE NEUTE1DRAFT_80350 MEAPALEQRQSLHGSSERQQRFTSLILPNGAAAAEKNPMAAADY CPSDNDNDIDELTSTRTASPTTTDFSSSSDDNSTTLETSVNYSHSSNTNTNTSCPPSP ITTTKTSSSLISKPSYPLPPPSTRLTTILPTDLKTPDHLIPRDPRLIRLTGSHPFNVE PPLTALFEHGFLTPQNLHYVRNHGPVPTSVDDSLLNWEFTVEGLVEHPLTISVRELMD ASKWDNVTYPVTLVCAGNRRKEQNVLRKSKGFSWGAGGLSTALWTGVGLSEILAKAKP LTKKGARYVCFEGADQLPNGTYGTSVKLAWAMDPHKGIMVAHKMNGEELHPDHGRPVR VVVPGQIGGRSVKWLKRIVVTKGPSENWYHVFDNRVLPTTVGPEESGEKTEEMERVWR DERYAIYDLNVNSVICEPGHGEVVSLRGKEEGETYRLRGYAYAGGGRRVTRLEVTLDQ GKSWRLAGIEYPEDRYREAQDGEELFGGRLDVSWRESCFCWCFWDLEIPLSELREAKD VCIRAMDESLALQPKEMYWSVLGMMNNPWFRVVIHHEGDTLRFEHPTQPMLTSDGWMD RVKKEGGNLANGFWGEKVPGAEENVVKQGPVKEISMVDDKVTRLITLEELRQHDGEEE PWFVVNGQVYDGTPFLEGHPGGAASITGAAGQDVTDEFLAIHSENAKAMMPTYHIGTL TPSALAALKSSSTSDPALSDPSRPIFLQSKTWNSAILTSKESVSPDTKIFHFTLSHPA QSIGLPVGQHLMMRLPDPAKPTESIIRAYTPISDGTSERGTLRVLVKIYYASPTEDIK GGQMTQALDALAVGKAVEFKGPVGKFVYQGRGVCSVNGRERKVKRFVMICGGSGVTPI YQVLRAVAVDEQDGTECLVLDGNRVEGDILMKRELDELVERVKPEGRCRVKYTLSRPG EEWTGLRGRLDKTMLEREVGERDLREETMVLLCGPEGMQNMVREVLKGLGWKDEDVLV F NEUTE1DRAFT_121592 MGSSATLAPTQSTSQTRPTSRTLVNDRRPAKVQVTTRDPTMARS GRNADPLSAGSDTLKSRSRNVESRRPRGDSRAAEQQQQQQQQQQQQQQQPPPPPPAPW EPAATLLPHTSAPLASRVSIPPVASSAPQTLQPRPLAELSLNAQEAAIIEDLLFVFMG FEGQYIRFAKGYNPYEERDRLSGPQWRILSGLDPSLLDLTQSMLRMASHYTALETFVD VQSREEFGAVNHALCASIRRYLQEYMVMVVQLETQFLNDKNFTLQQLNVHILPSSHLM AHMYGLALELLKRNALLDDDSDESSDSSDDDFDHIIEQLRDGGDLVPGNMTGKKICKG GVVLGLITKRLESLSGDPSARVLLTTLLRDASRPYMAMLNEWLHHGSINDPHSEFLIK EQKSIRRDRLEQDYTDEYWERRYTIRDHDVPPQLEAVKDKVLLAGKYLNVVRECGGVD VSRKMQDVPNSFDDNRFLENISSAYAHANGSLMQLLLTTHELPARLRSLKHYFFLDPS DYFNYFLELGTSELRKPVKVVNTGKLQSLLDLVLRQPGSIVCLDKFKEDVKVEMNEIT LLKSLQRVVNITGIEQGEALQLTSNQPLEGDKNATGFTSLQLDYTVPFPVSLVISRKT IWRYQALFRYLLSLRYLETQLSVTWYTHASGTAWTHRSSNRMLEIWKRRVWTLRARML VFVQQLLYFCTAEVIEPNWQKLMNTLREIENNCAGVTTQDGTSTPRASSAKKPHTVDG LMQEHVDFLDTCLKECMLTNSKLLRIHSKLMQTCSVFATYTNWLSRELEKSDPDLTGN TKPPIMTDDQWRQFLLIRGQRQQQQQQSKDGDTAMENDKNPETRMNELFDVIRKWESN FSRHLQILLDALNHYAATETVVLLSLCARLSTANQGTEYAGLRSEEDGGHGGGS NEUTE1DRAFT_121593 MFMARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATSE GVILGVEKRVTSTLLETSSVEKIVEIDRHIGTAMSGLQADARSMIEHARVECQSHAFN YNEKLRVESCTQAICDLALRFGESADGEESIMSRPFGVALLIAGYDEDGPSLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHKSLTLEDAETLVLKTLKQVMEEKLDAKNVQL ASVTKDKGFRIYTDEEMAAVVARLPAN NEUTE1DRAFT_121594 MDSTGAGSSPEKSSSPDPKPPASSSEADTGTGASASAGTGAAAS GSQEDPPKKAPVRKRTKTGCLTCRKRRIKCDEAKPICNNCTKSKRQCEGYNQRLTFKE PLSSYGHGPTHFGHPVYHQQNRNQSIGAPLTATQAKAAAQQGSLAAIAPKPPQVDFTG TVPMSFAAPQQQQHQTHRPFLAAQAGGPASQTSFYGQLPSPSQSVSSVGPPPASGCLP QHAYESAFQLMSPTHGYEPNRVIEAGSSNYSHAWTATSKQHGRIVEEDGLQPDVNGFV KEETYWQSDDEASMADSEDEDIPMDVHDAHLKSNDLGIHVARRLVAPADAYGVQMRTV AGFPEMNVLQTYIPSSMSSPLNDSQTAAVFWYFVNVTGQSMSLYERHPFDPTPMFHGQ PVPKTRQHIWTYTFPIMAFNHPALMQAILALASLQIANLAQVPATAAMKHYHLCLRRI ARNYQSPSRRTQPATLAATLLLGYYEVWNSDHDKWCRHMWGAHAIMKEIPFLKMTKEI FAHQRERQPTASLGPDLALYEVDTELISQLTGKKVTYDDPEVASKKRPYTEKDLETYQ QLRDLYWWYCKMDVYQSILGGTRLFMPYDQWAQCAPRGECGRMDAIYGTFDHLMLLLG RVANFASRDLARKRKARRAGGPPGSAGRGQGPPGHGSPGQGGPPPPGQGPPPGHGGPP RGGPPSSSSSSSSSAGRGQSPSLFAGLMPTKGTFIPPQGFSPPRDPTTPDTDSTDDMD YDTATLAAFREWDEIRSAFELFKSRLGPDFAPMGPDLATPEMTPFGPALMYRTYSIAG IWMNYYMGLIALQRAHPAMPPVAMIAAGMSAEKTAPWAYTVARIAAGLHEDTSHVSAF QEPPQRHWLISRLHDMARLTGWQSARQIADGCESGWMKAAEMGRGPPYRRLSSSSFSG SSNSNFLPIWMRPRRIDKKIREEQAGENRLVLARTEHAHFALGLLSVEQDLDRLELSE GE NEUTE1DRAFT_80362 MFAVKGLKVSTDKLKVETEHGSVLATQPPRTVAAGEEGASAPKN KNKKRKRAGRSNNTDVNLDNVADLWEKVIEGGDAKAKKAKEDAKKEAHKAKKQKTEEG TPTEATTTTTAAPQPATDKKNNKKNKNKNKQESKPAAEKKQDATTTTESKPSAPAPAP APAAPKLTPLQAAMREKLISARFRHLNETLYTRPSRDAFSLFSDSPEMFTEYHEGFRR QVDVWPENPVDGYISAIKTRGKLRNAPRTRPGDGTSDGTKYPLPRDRNGLCTIADLGC GDAKLAQALVPLKRKLGIEVKSYDLQDGGKPELITRADIANLPLKDGSVDVVVFCLAL MGTNWIDFVEEAYRVLRWKGELWVAEIKSRFVDPTRKKGGGPGNVVSHSVGNRRKAGA AAAGPGPALGKKDKAKMKEEEEAEEEQQLAVHVDGVELRQQETDVSAFVEALRKRGFL LQREYGQKAVDMDNKMFVKMHFVKAVPAIKGKCADLKKEALKTTQTDAKGRPIKTPKF IDADEEASFNENALLKPCVYKIR NEUTE1DRAFT_61686 MATTERQPPSSVEGSSPSRPTMFHRLSDNAIDETSDADSDFVKL QKTISKERREARESPQARLQRACPFTFHPNIRPLSKSDYESCIALENAAFPDPAHRAT PEKFDYRLSTCPELSLGVFCTVVPEKAKNWTIETLDTAKPVETDRPNGAKSVLVAHIV ATRCTGNTITDKDMDYPKDWRSRHGRSADV NEUTE1DRAFT_116687 MAALSPYKADFLKASIDGGVLKFGSFELKSKRISPYFFNAGDFY RADLLQAISTAYAKCIIEAHKSGQLDFDIVFGPAYKGIPLATAATDKLAQLDPETYGK ICYSFDRKEAKDHGEGGNIVGAPLKGKRILIVDDVITAGTAKREAIAKIEKEGGIVAG IVVALDRMEKLPAADGDDSKPGPSAMGELRKEYGIPIFAILTLDDIIEGMRGLASPED VKKTEEYRAKYKATD NEUTE1DRAFT_38258 MTWKDIAPVPTAQEFIDIILSRTQRRLPTQISRIRAFYTRKVKF TQETCSEKFGAIVSSFPILADQHPFHRDLMNILYDADHFKVALGQVSTAKHLIETISR DYVRLLKYSQSLYQCKQLKRAALGRMATLIKRLKDPLAYLDQVRQHLARLPDINPTTR TLLVAGFPNVGKSSFVRSVTRADTPVEPYAFTTKSLFVGHLDYKYLRYQVIDTPGILD HPLEEMNTIEMQSVTALAHLRAAVMFFIDISEQCGYSLKAQCNLFRSIKPLFENKMVY VVLNKMDIKTVEDLDPESQADLMDLTKSGNIQLLRASCATQDGVQEVKNTVCEALLVE RVNQKYKAGTSSNGTMGSRLTEVMSRIHVAQPADGVLRETFVPEAVKGLKKYDKADPE RKLLARDIEEQNGGAGVFNVDLRENWILANPEWKYDKIPEIVDGKNVYDFIDPDIEAK LAALEEEEERLEKEGFYKSDSDLGDESEEEILQKAEYIREKHKLIRNEAKMKKSLKNR AIIPRKMQKKSFAQLEDHIDQLGVDTEEINLRGRAQVREPTRGRSLARSRNATEDPDA MEVDTPKSAAERLRSQSRPAQRGQGATNRRDDGVTGGVGMDGETARSKAERVAKLGQR KMNRMARAGEADRHIGATMPKHLFSGKRTVGKTQRR NEUTE1DRAFT_116688 MADEIAKEYDVIVLGTGLTECILSGVLSVKGKKVLHIDRNDHYG GEAASVNLETLFKKYGNFAAGTEPWKEYGRPNDWNIDLVPKFLMSSGELTNILVSTDV TRYLEFKQVAGSYVQQGAGSKATIAKVPSDAAEALRSPLMGIFEKRRMKSFIEWVGEF DPKDPATHKGLDMATCTMKDVFEKFSLEAGTKDFVGHAMALYLNDNYLDTPGAAPETI ERIRLYGQSVARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTNVDELVYENGK AVGIKATMTGVEPEMKFETRAKMILGDPSYFPDKVKVVGHVLRAICILKHPLASTNDA DSCQLIIPQSQVGRKNDIYIACVSSAHNVCPKGYWIAIVSTIAETSANHHLELAPGIE RLGKIEEQFMGPPIPLYEPLEDGRNDNIFISKSYDATSHFETSTEDVKDIYRRCAGEE LVVEGLREGIQMSQE NEUTE1DRAFT_61697 MASPAALRPSMGAIMQTCRSAATAPKVAVAVPVRALSTSAALLK RHKYPTARVTRDNSKQRGESALRKSGTRWKLSVSDEPLPEPVPREELPPIQVDENHGL WDFFYDRETVAMAPLEHTKHGRAWTVSELRKKSWDDLHRLWWVCVKERNRIATANWER TKSELGFGLAEANERDRNVKQTMRGIKHVLTERFYAWEDAVKLAEQDPEIDLSNPENP YTPSTFLEAEETAEGAEASEAQSTTEIDPTTIPSSKSQAEAPRV NEUTE1DRAFT_136923 MVLQTYRNLSPRARLGVGIAFTLWGLIGLKLSDKAEEKLGYTPT EEDRRALERYKPRIIEVEKEVEKVVRAEK NEUTE1DRAFT_80382 MEGLPHYSTNVINTSAASYNPSPSAISPHQLQQQQQQQQQQSTA TAAPPQTLPPLQPSNTVMQQPPSYGSYPHTPRTATPNTPASTSNMASYPPPPPNGAGR GAGYPMMASNPYPQQSYATSSAMMPQSTTAASHPQPIAPAPSPANGRVPPVLRPMPAG GVMQPGMNSPYGQSPLIAAQASMLPEGDQPTHVVGSQGRRGILPSAPGKPAAPAAGSA SAKNQIPQKDADGKFPCPHCTKTYLHAKHLKRHLLRHTGDRPYMCVLCRDTFSRSDIL KRHFIKCSVRRGNPTGASHLSHPQAHVKKNAQAQQKAMGEGGDVNHMNGMGNMTADGM VHPFGLIPTSDSMNNMGNNQDQLSRSSSINRIDDANRDRRSMTGSVMGGTTRGGSFDQ TYNGQEVSNNMTANINPQLANYSMPQQQNGMQMFGGSGGTDWSQMFQNGPERNQTRA NEUTE1DRAFT_100044 MVRSLVHFYREMQNQFLVAVAVICCDKLTAQGVYEEGPRACFEK VSLLVTVLYRWITVQTPPQDHPRNDERSQPGCRNISFDEKSGRTARDRLTMTGPQLPV EDTDSFTTKPP NEUTE1DRAFT_136925 MTQANKSFCSILEVINDLLNAHQAHPLSSQHYVVYRVSTQPRVP IPPMQQVISLLEVAYYGIYSALLLATKNGEVQGQRPRWLSTEFTSFTAYNLKLSCFAP S NEUTE1DRAFT_129141 MTWRSIEPGVAAGVSVETCHLNVYFSGVMPGIGPVSSRQLDAAC LLDTRSAARHDRRDDKGRWSLCHSPAQQAGCLRTSTRFSLIDCFGQLKHQQQSLYLLT SNAASIAHNKHQAKWLRKGTLLRTSSQFPISGGHRGSSFQFLPFGDQDKPLNSLKRPL QDEGQTSFFRLPPVLRDAALSNEPQLSDDIFSDGIASAEQGKKGESPADLDDDEEDYW LTLEDVEPKTPEYKSWEAFNPTAHHGPSDVVFISEAGPVAFDALITDIPDDGTDPVPD ILDNALYYACLLGLAQGRSSVLFSWDSEKGSFVKTAPHLRISGVSVQSIQGMDQFCLD CGNAVKALLSFSESAYFESPTPTSVALAKVVDQLVLAVQTEVAARSKAVRSILQLQSV VKPAHAILTYFHALSKKLAEEKSEEGMLSCLFREAQAAEYQGGLLPDVICEVLRLASK PWIEFVEEWIGLKNEEGAPISKTGSGKSFVKVADKMWIDDHGFELEEPEFFLDEDRMP SFVPQDMARSIFDTGRNLRFLKEHHPDHFLSKRNVVALTQPPKLEWQFSWDAITMLET RVNEYKAAVTRLVQNAASGDQFPTRSSTTHEPNEYRLTYFGRSEEEVAAAVLASINQL AQPLHNSESDDELTTMLHNRLYSIADHNKEEGFNPHWSLVPLLSFGSLVDTQSKLVND ECMKLLLGPHKLRVHTNLMRQYFLLGNGMLCSRLSHALFDPDLESAERKAGVAFSGGV MGLRLGGRDTWPPASSELRLALMGVLSDCYEPPASEKGGDGQEEAAGMGKSMLSRTYS SDLPGNLSFAVRDLTPEEINKCMDPDGLEALDFLRLSYKAPSGLQNIMTPVILLKYDR INKHLLRILRMLYVVNHLSRDVQLLARRTRLSNAAIRFCAEANHFVSKMANHFFDLGI TTIWNQFEEWLDSIEAEFLGTGSGTQDDDSTSVGHSEDGTSRSSSSKNNKNNRKSCSP DLVRDKQSHCLDEIMSALFLRKRQTPVLQLLEEIFTVILRFARLMRSLNNNNNNNNKK PPPFNRKGKSKDEGYANNNDTPESLYAMFRKKIEVFLTVYKGLGEKAAASKNKGGYGY GYGQENGMGKVGESLAEMIPLALDMMGYYEGGGRTRYQG NEUTE1DRAFT_80387 MPPKKKGGRSSTAAATPSTATPRDDDAMDIDTPAAGTTPVPVAP VAQPPKPKFDPNDPWTDDQVASLFKGVIRWKPAAISEHLRNHGFDPFKNPHTRIPGIW AKLRTFYDLDAIDERENSLDPPEEWGKPRRYKDFSLPRDDYGDLMLQAALAPPGNPPS SPAEWDPNEPSDERKKRKRTEAGTKTRSSTVEDTDNETSAPSPVRKSARGARSAKRAA SKARKTKEESPDEDESEEEASDEEEESGDEEEASDEEEAEASTAKTARGTRARPAPKA KPVARGRSRPSRR NEUTE1DRAFT_129143 MSVPGTSNTRPLTLTEELEKLEQSITLTLQEIDSNFSRAHRIVT TNILPLVEQYGEHSKSVWESTKPLASQFWKQFFEASANVSLSGYEELANSNETTVLNS NEESTSAHDQTTPAGTPHPQSAGRSHDITIDDESSATFNAQGQNQGRQRTTNDSVLTD TGDDLSGSTPRPPATKSIPSRPQFANLDSPYEQLRRRELKAAAEANKTPGFGSGSGGD TMEDDDSELIFQQHTARLPDMSMTPHRAQNTPFGEQQQQRGGTANKDPILHRMPDRNY RVGATPHKGHQASGVSPIKWKVTEKKPPLLDPKGKGKAREEKPLWQDSFMSSPEMEVP QLRSAAFMSPIRSAYRGNTRAAAAAYAARSAPRTPGVSVQTPLAGRKTKDVFSANANA HTNAGKTPIPKGTVEAKKRYLEEIDWESDSEQDPFGGMSPPKTIQFALPPSKLLQTPA REASKKIVENLLLTAGEMPEGESEFSPSVVKMNPDLMDETF NEUTE1DRAFT_61710 MLSAPTRRSIARLSAGAAKSIGSTGTTSVAGSVTNATLRKAAAA TKGQQQVIPTKRAFSTAVRPNNTSTATRAARAAYYSQEAQGKGQKGENKIWAFEEIQT LIEDPNRNVIIIEEKKDTREPGELHQTGRIPTAINIPITTSPDSFFISEDEFEDRFGF PRPSKDSEVVFYCKAGVRSRGAAGLAREAGWEKVGEYPGSWLDWAARGGKVER NEUTE1DRAFT_116692 MASNATSFTSPLASAKLGTVAVPPQLEYVIDTISQASGWTIVFT LLAVLVAWDQIKYVLNKGTIAGPSWKIPFIGPFLESLDPKFEEYYAKWLSGPLSCVSV FHKFVVIASTRDMARKVFNSPSYVKPCVVDVAHKLLGADNWVFLDGPAHVEFRKGLNG LFTRRALEIYLPGQEEVYNRYFKSFLEITKNAGGKPVPWMPHFREVITAVSCRSFVGH YISDEAVKKIADDYYLITAALELVNFPIIIPYTKTWYGKKAADMVLAEFAKCAAKSKV RMAAGGEATCIMDAWIKQMIDSKKWREAVASGNTEGLEKPTHLLRDFTDYEISQTIFT FLFASQDATSSAATWLFQIMAQRPDVLDRVREENLKVRNGDIHAPINMEQLESMTYTR AVVRELLRYRPPVLMVPYLVKKAFPITEDYTIPKGSMVVPTTYMALRDPEVYPNPDYF DPERYYSGDAEAKGAKNYLVFGVGPHYCLGQHYAQLNLALFVGKASLLLDWKHHPTPL SEEIKVFATIFPKDDCPLTFEERKW NEUTE1DRAFT_80396 MSASTNFTFPSHPTSRSHALQIVEGTRALEQSPLAPKSSSQSSP KKNHHYAESTTSTSSFSSRTSLLKDKFHSTFGSSSPSSEISKSKSKSNSKSKSMSSGM GSGKRFGLITLWSGQIVKSIDSEYGQ NEUTE1DRAFT_116694 MSRSGYDAVVDVDDEGDLGHTDLQEDLEFHQSNFSESSPLGRNG TKSTQHTTTSTSSGGGLLPAPVTAARTSNNSSSGSSKRFLWTLSFYAQFFDVDTSSVL QRCWAALYPRANFLDVLEGNPDLYGPFWIATTVVLILFLGGTISDYLAQTGKEAFAYD FRLLSGAAGLIYGYTFVLPVALYLALRYFGSESANLLECWALYGYSNLIWIPVALISW SPITILNWVFVGVGFGVSVAFLLRNLYPVLSATDRQTSKVLLFVVVALHFGLALAIKV LFFAHGSPALKDGPKGAAPGGGDAKPADPATMMMMF NEUTE1DRAFT_27436 FVKTLTGKTITLEVESSDTIDNVKQKIQDKEGIPPDQQRLIFAG KQLEDGRTLSDYNIQKESTLHLVLRLRGG NEUTE1DRAFT_80404 MDEQRLRKFPNGQRCTECRARKYYSENGRRYCQRGHEVEGYIQF DVDEDDNYGKTGKVVRKEKEVRETERKHLSGNDAKELYLDCLQIILRKQILWLIREKG FSDELESICRDLWDLRIRKFIGLKSVSRVSSLQAKGKGIDHDGTGSQHGGGALSQSGS DSEMVMYSSQAETTDTSADESVKSQRRRSSRVKDWESELWDLPGPMEVLALIYMGCLL KQEPVRVGDIYRWAKNNQLPFLGALELVPNELRERLPGWAQRTLLTRWAKFDGSELHK SLLTLTLGFKKNYGMVSPPLPAPSLLVLYLKDLALPPEVHLHARQICLMLNLSWTFPT RQNIKDDASSFTGKTSRYTLLDIPDVLLVASLVLATKYMYPMDGVKRYPRDNNDPLTL KMDWDAWEAEFADPPEKPLRRLDFATMDAEKVWTLSKEQMVEYLDWYQETQLKSWSAA DETEIERLFPLENVLRLPEPGSKDMTDEQLIARMHRVQKSMTLVEPRPETNDGKNIKR LGALHQRFRSVEQLGGSGPARRFHEKAAEISGLSLKALVKAVYSLEELLYGWEKREKR KMRLGEV NEUTE1DRAFT_80407 MSAAHDDAQHEHTFDSVDAGASATYPMQCSALRKGGHVVIKNRP CKIVDMSTSKTGKHGHAKVHLVAIDIFTGKKLEDLCPSTHNMDVPNVKRTDYQFSYID EDFLVLIDSNGEEKRDVKMPEGELAKRIEKLEEEGKDFFVGVQTAMGEEAAIDVKEAS NKD NEUTE1DRAFT_129147 MWLDRRPGQHPGSAAPPPNNRSVSPLPQRTSSRGPYLAPQRPGL NTRGSSALSLVSNDSSSSLLASAKKVNGSSLRQSSTVDDAPDPEEVLARILGQFPSSN TTQQPEAKPASGITEDDLDFDVDFGGLTLTELAGPDVSSRQVDNYKPQSVQDYERDKA KFEDLHRSIRACDDILSSVESNLTNFRNDLAAVSADIESLQARSQALNVRLENRKAVE KGLGPLVDELSVSPLVITTIVEGHIDETWVKVLAEVDKRAMAHKKNAQQPQSKALADV GPLLEKLILKAIERIRDFLVAQIKAMRSPHINAQIIQQQNFLRFKDLFAFLQKHQPTL AGEICQAYMNTMRWYYVNQFTRYKQSLDKLKLHIIDKNDAMGHEDTSRRTTVLSGSKL GGVPHDAFSIGRRNELLKTKDQIAMSSYLADEDQTTHYLEVPFRNFNLALVDNATAEY TFLVSFFSPALSLAVVSRHFNYIFDPTFALGQALTKQLVSDTYDGLGLLLCVRLNQHF AFELQRRRIPVVDGYINGTAMVLWPRLQSVMDAHCESVKALTSALPNKAGSASQAKTA SAAPHVVTQRFGQFLHGVLALSTEAGDDEPIVSSLHRLRIEVEAFLAKYSQAQLGADA RKRDRFLYNNYSLILTIISDVSGKLATEQQDHFESLKASVQENI NEUTE1DRAFT_80413 MSQMDSSSSESGGSLSEKEEKVIIDPFFTEKESAILKACSQRDL TKLRELAESRGGFLTDELRQQAWPILLGLPPKHLDEDSSWESLPPHQDEDQVQLDVDR SFTYYPSHTTDSARSLQKSLLSSLILSVLRRHPYLHYFQGYHDISQVLLLVLPAHLRS PALARLSALRIRDFMLPNLQAAIAQLRLIPDILRVSDPPLWRHLSGTEPFFALSGTIT MYAHDITTLGEITRLFDVLLAREPVFSVYMFAAIVRSRREELFETPEDEPEMLHSILS KLPQPLDLEGLIGDTVALFEKYPPERLPSWRWGISGSSVLKTAREGDKNKGATGQTME DGKRFFERQVRELLWLERRDKARKWMWKNRRPVRTLGLAVLVGIIAILLRRAPGGPMA WVMGVVNRWWLT NEUTE1DRAFT_80414 MATFRTNVLRNGEWVTETVSIQTILKAKPAQGPKRVEQTETPVC GLLTRTMADSQMVKSVLPVRLRSPHHNDVAFIGHRSVQIREFQSNGQFRDILFRRFPH NIRNACVVGSFDIPDGVDDLNPAMDIETPVKMEDQDVHSSPPSGSTSQLPPQLLALAM ENADVVFLWIGPGVDGGRPEFYSSTQFLFRRPPQFLNGNFGAHMAVDPSSRYMALSSY HGLFAVGELESLANFTQPQDENTVEIVKSWRLRSVQGVIQSLTFLYPRPEDKDHIILL LIVVNNGKSRMVIYEWTLGDDLATVFAEEKRGHRLPVENQMPQLLIPLTVGSAFIAIS PEQVAVCTECLHGPPRFDTLELEAPPKSPNYYGTGKPLWTAWDRPYRLPRYLKGKDCL YLAREDGIVVYIEVDEDHALERSTFIDAFKCNISSAFAYLFDQYADVLVLGSDNGTGS VWKIPPRDHPLRIGTLPSGAPTLDFATTDSPSSGEKAPDSFGTSIAPSNDGQLRAPDR IFAASGHGLTGCITEYRHGLRADIGLELELEEGLKQAWLLNFSNTHGRYDLLWTTPTR SKVWQLPDDFSELTDPEPDQVLYDLSSPTLAVAQVNGVTVQVTTASITLFKNEAQSRT DEWTRLSFDKLLPDQPQVSVSDAYVTNDWITVSTHVGPQFRIYSFKFYGTAVVSQIQF DVPGDVTSLHHSQNLGIIVGLWKDGRPYLLIRTPTTETDTQDIEEIDITERLVTSAGF SGYRDGVPLKAIGSIASVNNAILLGTRGGELIHISKEWKGSTMAYYQQLGLTAVNVTA ARHCQTARPRVLVSCDQVLIEVQLDEHGPNGSVHDLAKHRVMPVNAGDLGAPLPLVEY GVAIDIPCVSEGFTPILMLAGSSAIIAELHQEPGPAHRYITIGKTPVKTFFSPNLRCL VVGVNEEGTKSTLMFMDPDTGKDIGRPIHKAGTPVQYIVGLGKPDDRIYGLAEWEYKK NNGTWRYLLVGTKQGQLIIVSTEKEPLNGDHSPSIKYWTRFKKNCDGPVYSVIGHEES VIYCVDQVLHWDVLDPVEKKLKPRKVFDLGSLALELHISETKLLALTWDDSLQMIDPA LDDVIENSATLEFHDPRNVVPMSSMQIGSNVFLVADKDRGVAGLWQWSRTPGTHNWAE FRVLFEGTLPVSIRKFRRGRTRPTWEQGAWNAPKYGRLISTPNDAEIMGIAMDGTMYH FTLLDQHALRLLRFIVNLYNLRGRRDEEKLLHAEPNLGYTEPLHVDGDLLKTLLDAEV LQELINTEELLARFKSLLDKLDGGIHTAEWMGEGTADQYFELGYNVLMYYLRQVY NEUTE1DRAFT_145803 MAPKKKGNKKANDDWEAELGESIAPVNNGAAADAGAAASPAAAD DEAASGGGGLMERMRKAKEKRKKKGLADDWEAELGEDAPGAEKPAAAEPVPDLSAKVA EEANLDDEFALPDKKGKGGKGKQQQQQQQKAAPAAAAAAKKDEDAADEDGPRVLTKAE KEKLKKEREKQRKKEQAAAKKKGTTPAAAAKAEPAKEEKKAETPSPASTPASTPAPEA AGGKKKKLPAHLLALQKQQEELRRRQEEEARLLAEEKARIEEEERAAAEEAKRKEEER ARKKEKEKQRIEQLKKEGKFMTKAQKEEKARNERKLQQMLAAGIKVNALEGEESKKKP VYDDKKKRAANKKKDEEKALAEAAERARLAAEALAKEQEEKARIEREKAEKEAAAKAE AAKAAAEDSVDEDWEAAVASDKEDVKDSWDADSDEEEETKKEAPKPAAANGKAQAKKE ESESESESEEESEEESSEDEEATARELEEARRKKEAAERREKAHQAALAARSADNLRS PICCILGHVDTGKTKLLDKIRQTNVQEGEAGGITQQIGATYFPVEAIKQKTQVVNRDG KFEFKVPGLLIIDTPGHESFSNLRSRGSSLCNIAILVVDIMHGLEPQTLESMRLLRER KTPFIVALNKIDRLYGWKKIENNGFQESLALQNKAVQNEFKNRLEQTKLAFAEQGFNS ELFYQNKSMAKFVSLVPTSAHTGEGIPDMLKLIVQLTQERMVGSLMYLSEVQATVLEV KAIEGFGMTIDVILTNGILREGDRIILCGTEGVIKTNIRALLTPAPLRELRLKSQYVH NKEVKAALGVKISAPGLEGAIAGSRLLVVGPDDDEDDLEDEVEADLASLFSRVEKSGR GVSVQASTLGSLEALLDFLKECKIPVANVGIGPVYKRDVMQCGIMLEKAPDYAVMLCF DVKVDKEAQEYADQQGVKIFTADIIYHLFDSFTKHMDELLEKKKEESKLLAVFPCVLS PVAVFNKSGPIVIGVDVVEGQLKINTPISAVKTNPVTGAREVIDLGRVTSIERDHKQI PVCKKGQPSVAIKIEMGGNQPTYGRHLEEKDMLYSKISRASINCLKEFYRKDVTNDEW QLIIKLKPMFDIA NEUTE1DRAFT_100057 MSVVGVDFGALNTVIAVARNRGVDVITNEVSNRATPSLVGFGPK SRYIGEPAKTQEISNLKNTVGCLKRLAGRTLDDPDVAIEQQFISATLVDVNGEVGAEV TYLGEKRKFSATELIAMFMSKIKQTTQAEVKVAVQELVLSVPAWFTDKQRRSILDAAE IAGLRPLRLINDTTAAALGWGITKLDLPGPEEKPRRVAFVDVGYSNYTCSIVEFKKGE LSVKATACDRHFGGRNFDKALLDHLHKEFLGKYKIDIFTNPKAVCRVLAAAEKLKKIL SANQQAPLNIESLMNDIDVRAMITRQEFEAMVEPLLAKVHVPLEQALADAKLTKDDID IIEVVGGGSRVPSVKERIQAFFGKQLSFTMNQDEAIARGCAFSCAILSPVFKVRDFQV QDIINYPIEFTWEKDADIPDEDTSLVVFNKGNVLPSTKILTFYRKQPFDLEARYTNPE ELPGKTSPFIGRFSIKGVHATEGPEDFMICKLKARINIHGILNVESAYYVEDQEVEEE VKDENGDSLDGGTHENLDEEKLTHEKVVMEGDKPKTRKVKKQVRKGELPVVSATPSLD PAAKNAAIEREQAMIMEDKLVADTEEKKNELETYIYDLRNKLDDQYADLASEEEKEKI RAKLMEVEDWLYDEGDDATKAVYVAKIEEIRALAGPVVQRYFDKVEAERQALQEKLEA EKAAKKAEEEARKAKEAAEKAAQEGAKDDEMTDADAPKPVVEEA NEUTE1DRAFT_121615 MIVTLPLPSQVVKVWPPAMVSFLQWFAFYSFAGLLTIPWLFCVY QIVTHQLGRTKRIKQVLDEVSAPKVVIVMPCYKEDPDVLVAALDSVVDCDYPPSCIHV FLSFDGDQEDELYLNTIEKLGVPLTLESSSYPKSIDVAYQDARVTVSRFPHGGKRHCQ KMTFKLIDRVYREYLKRNDNLFILFIDSDCILDKVCLQNFVYDMELSPGNRRDMLAMT GVITSTTQKHSLITLLQDMEYIHGQLFERTVESGCGAVTCLPGALTMLRFSAFRRMAK YYFADKAENCEDLFDYAKSHLGEDRWLTHLFMIGAKKRHQIQMCTSAFCKTEAVQTMR SLIKQRRRWFLGFITNEVCMLTDWRLWKRYPILILVRFMQNTIRTTALLFFIMVLALI TTTKKIDDLPVGFIAISLGLNWLMMIYFGAKLRRFKIWLYPLMFVLNPFFNWYYMIYG IFTAGQRTWGGPRADAAAADSTTTAQEAIEMAEKTGDDLNIVPESFIPAAQERKGMLE DKGFTTGISTGGGGGLGRSKSILQPPDKLVGKFAAPERSANGLYQHFDDSVASVSLFA HAGGSSMVLPTVAGSAVTGKRQQHQQYQQQQYQQHQLQHRDSFDSTFSAHTTGGNSMS VYTPRRVENIMGEEDRRKYELAQASSGHLVAGNPTRVLGPQQQAPPPGQVYEFSESDL RRAGYDYTDSAADDSVESVSGGWPAASPSLTPYQEYGYGQQGGSFSVPMPPAPNGTNH QQQQQQQQQHHRSPLGRASWMRTSTMDQVESEIEVSHDGGSDAPGHGRRGGQGSHQR NEUTE1DRAFT_109371 MPAGRTPNEYTSYYIVYSMAGRKGNRGRFYQAEPLGAGAGACEE VKYKKGYRLFGEENSWVCFSWAKWARLVASGRAKNRVIDDDDGVKWWSEVVKEVKKIT ETTFESSPLDRQSDGAIGTTMVGLSYLVDANLTMRRWEMGGGREVCRPRASPSTDGTW KTREGSFNLLIFRLSVPRWKME NEUTE1DRAFT_129153 MDRQRRRELRALNSRAWNGEKDLFPVSKSLDSTLKKNTAFIKRL RTTISAATQSTFLQEIRTVSLSKYLSEIISACYEGLCKLKSPGDIEAGVEIVSALHQR FGPADFTEHLGWLLGKGMATPDKSFLKTLTPEAREKEEKDRLVRQRVLLRIVTELWLV DVLRTLDDIARPDDATRPTTGARAEPKPRSSSGKGGGAEPFPLEVLKDLLNHDRDHAN LPLLVIFVKAFSWDILGIKAAGQDGRKTVEEDGATKGAEETEGDGSEDKEEGAGTDQD GPFAPPELQERFKNILKRYFEDVKGHLVRDQKAIYNQSRKNAEAYVKSGEVFEDRQSN FEKQVKAQERLVANAQVIADIIGAEMPELKDTDEGNSANGTIGLVKAGEYLRGQGEGP GIWEDEEERRFYENLVDLKGKVPGILLEEVKKKKADDEQVGRKIDPVEAAEAAKAAEA AAADDQSIAIANKTIGAQVDALLVRLPELISKDAIDQMAIDFCFLNSKASRNRLIKAL TDVPKGRTDLLPNWARLVATLGQYMPDIPKGLVDYLDNEFRSLQRRKEKEFLGQVRLS NIRYLAELTKFGIVPEHVVFHCLKVSLDDFSRMNIEIICNLLENCGRYLLRNPETSPR MASFLETLQRKKSVQHIGQAERMLIENAVYYVDPPQRPAIEVKERTPMELFIRKLIYG DLTKRNYSKILRHIRRLHWEEKEVVAILHKVFSKPGKVKYGNIHLLAILLSALYKYHG EFVVGVIDQVTESICLGLESNDFKFNQRRIAEVKYLGELYNYRMLEHPVIFDTMYKIM TFGHGGPPVPGRVNPFDLPDDFFRIRLISTILETCGMFFNKGAAGKKLDFFLSFFQYY IYTKNPLPMDVEFLVQDIFALTRPQWKLASNLEEASKVFQLAMVQDQKTSGVERVVEP EDNDSDVSDDEDGMVPDEDEDDESDDDGGGEAEDNEQQDNTSRYNSESEEEEIVVTRQ QEEVDPEEEAEFEREFAKMMAESLDSRKFERKQQFDLPLPIKLKPRESTAVGESSTAN EAGPSEAPPSGEKMAFSLLTKKGNRQQTRTVELPSDSHFAIAMKKKQQAEKEEQQRIK NLVLNYDLRENDDYEGNEKLNAHHHNRSDLRLNKERGGQRVRKLQLSDVDWT NEUTE1DRAFT_80428 MRHWPERMSPNFGMSRSSMGMPQIMHQPKIAPQGPPPISNPQPP DAMIHYTFNVHFASDLAGPDTEDILHSTTDAVLRWTHPEDAPDDVPVWQLPVHTQNVE NLRRRCEDLTNNPMPIEAHVNATTPKNGRNQIVTVTLSGTADCVYKTRETILNETPSL MRCTHVDVDGHLVADLTEGRLKPSVTAFLDEVSKFCGVDIFLLGPKLTPLEDGLNGGL SMRRDQRWRVAIYGDHISAEHAKSRVLIKIDQLLGRIVDAVQIDLSVQPLLCGRNRKN IKHIESATNTAIYFPPCFSHAYGYCPPGALRRNPDEVFITGETPEAISQAKTRIHQIL TTIRLFVKDVTIAMPKIDSILLGRMDKVRKIMETNSVFIMLPPLASRQGTIRFQGPEN LHVERAIRELMSLAGQFYHASWWINQPDPRLLPSAQEIRALLSDVCANSDADIAFDKI AFTLTGSDEAVKEALQVLSDIKFVTTVQHQIRVKIELANEHKEFVSGKKNGKINKIMS HCNVQIVFDNFGEYNFNIDVLAHNYTSMKEGLTLVEQEMPASISFHVPDQYHKRIIGI GGQHIQRIMKKHSVFVKFSNAMDRGGMGRDDDDSRVDNVICRTPARNAQNLELVKTEI LEMVDREDSEITTQTVNVDRLYHRQLLARLPEIEVLEKKYNCKISFPSTEQASDEVTV QGPQWQVPMCADEFLGMVPDKHEVVLEHSEPLVKFLESPEFLQNIVPKLKSQHEVQVS VHENTEDRAADAAPTVTLLWAFTRNNAGGLRDAMDFLHSQFATAGIEPVVIKGAIPRP RSDTFEEALQYFDSKILQHVAAPVATDAPATPTFGEDLVRERSNIFDRLRKPGSMSLS FLDRRKNSSHSATNSFFKGSANVSKTSLISIESSRSFNADRNPWNDSGVNLPDDDNNP WGTSRVFEPNGLIHKLAIPMGGDSTPRHGTRHSGDSGRPSTSHSTNSGYPGPLSGPFR NEUTE1DRAFT_38528 YCIYFNLFITYIYIRIKEGDEWKIVFYIFYKYYKYFVIPFGLIN IPTIF NEUTE1DRAFT_80430 MPARGGGPLGGTMGASTSSNSSLMATLKPEERHELEQYEKILRF RDEVVSGTHPRIKPTHVGNPTSGPGPVPPANASSTASAGQAPGGPKPAVNGNRAMTDN LQQANLKKPAVNLGGNVLPGLGTLSNSSSTEQSKPKVEINPILLEKSEDLIKAEIQLQ RQRIERSLREDFEQRKVSHKGALQPSEQPADFDIADVLAKALILVQANAAQTTTDDTV ANASASGDSFDDNTFYSSQHGTPDSHHELARVPNESEDEQMRDGSPYEPELDLEPLAV PAANHPKPPLPSAPAAPANQPFNVNVPDTSSAATSVSRAARQLPPSEAVSSRDSGPAS RSEESTTHTGKERGGGGGSRDLSRVNEQLLRQAVGPAGSPVVRAHNLSPFAPQPSRVS PLPTAALEQPIALSETGGRRATPPPAQGVAALRKQPSAVSSPESSPHGGERPGERKKN KKKKRKADRLAEAAAASPYIKPEPRSPSPMSAPQYTRPAKRQKQGQQQHHHQGPDYDD ARYGQPGSVEGAYQERLQPRVQREERVVGYEREIDPRSRLEGEPLLATPRYERVYYDD PRAPFSGGRLPGSPGMHPSPYIPREVRVAPRNVIYEVPPADDGYYRDVRAASRMSMRP AAYRERSSRSPVAYERVAQPMAPPPRMPATRIVVDAFGREYIEPPRPQSVVADRPEIV VYRERAYSTHPMAPPSGDYVPSRLRPVVETPPDYIARPGSVRPPMDPPRYESVAAYDL RAVDERPREYYGGRAASVRPVAEAVRYEAPPPPAPPLGYERRMGGEPSLREYATIRSA SVRPPPLDAASRYEVRGDYGARLGSVQPEMVGREYQGRQELRREVMHPPPPPPPVGGR AYSVFPPEQPPTQVMRGDGGAYGDERYYARPPPVQQQQQQQHQEDDEVVYLDRPPMRD VYRQ NEUTE1DRAFT_61753 MAAQETNTTNGTSQPADNRVVFFFDIDNCLYPKSAKVHDLMADL IDQYFARHLNLPWEDAVRLHKEYYQNYGLAIEGLVRHHQIDPLEYNAKVDDALPLDNI IKPSDALKQLLADIDKSKVKLWLFTNAYINHAKRVVKLLEIEEFFEGITYCDYSQTPL ICKPHEDMFRKAMREADVVDRWGDCYFVDDSYLNCKKAQELGWTTAHLVEEGVTPPKT PASKYQISTLQELRTVFPELFKKDEE NEUTE1DRAFT_61756 MSDRLSQLQEAVDQLMEQFIATYFYIDRHHDLKTFSPKDTIAPS KADQPPEVDTLPPDVFQAGQLELARDLITREQQIEYLISSLPGLDNSEQDQLQSIKEL EEELNVAEKQRQEAVKEKDEVLVKLDQTLRSIRRY NEUTE1DRAFT_116701 MRPMAARLSRLGLGTRRLYCSPSPHPLRCFSSDHVPSQKDTTTE SSAVPSPVTTSSSSSSITNASDDGGRTDANSPLSKSSNHHDLPSFLQYAKRTGLGQET TVFVGTHYEYTIASLLSAYGFSLNRVGGQHDFGIDLLGTWSVPTAERPLRVIVQCKAI QSTRPHLIRELEGAFVGAPVGWRGSEVFGVLVASKTATKGTRDALARSRWPLVFIGCS REGKVEQLLWNHRAEQEGLQGLGVAKRH NEUTE1DRAFT_94602 LNVCHQHQAESSLLSVLCAASTCSTSLDAGGGNPSLLINGRCFP LCINTNCSPPSGE NEUTE1DRAFT_100065 MEELLSSRYRPGCVTVQKSPPSSDDITSGVSKMSLKNAKTKKPE PVADSWEDEDVSSESEPEAKTEPKSERERSFGAEPESWEDDNNSDDEEGAPSPPPSRN NRKPEPSMTTSTSIPPFLDYTGPGGSASNTSSSSEYKRPEKTDAVARRMIAGALGLKA PKLTEEQKAYDRALREKERKRKEEERERKKKAEEEALRAKQAIWED NEUTE1DRAFT_61761 MLSRQRIPRILASRTSLAHSIRSFSSPTSSIRPVAAAGQHAVTR PRHERPTNLSSFSTYTALGKKNDKGFFDNSIEPLSEEERKANVEHAEAEAKEAESKQT KSKSSTSDAPAPAPGDGKAGAAGGSSAGSGSGADGGSGDGGKRGRKPGDKALAKPVVP EIYPQVMAIPIAKRPLFPGFYKAITIKDPNVAAAITEMIKRGQPYVGAFLFKDENADD DVIRNRDDVYDVGVFAQITSAFPMNNQNGEGASLTAILYPHRRIKLSELIPPDSPEAA SIDGAKEVAAPEPVPEPIPKVTDESEQKGDVVASFEESAVTPRPEASQKPYEPTSFLK KYPVSLVNVENLTEEPYDPKSQVIRAVTNEIVNVFKEVASMNSLFRDQISTFSMSQST GNVMAEPAKLADFAAAVSAGEPAELQEVLSSLNVEERMHKALLVLKKEHVNAQLQSKI TKDVEQKITKRQREYWLMEQMKGIRRELGIESDGKDKLVEKFKELADKLAMPEAVRKV FDDELNKLAHLEPAASEFNVTRNYLDWLTNIPWGQSSAENFDILNAVKVLDEDHYGLK DVKDRILEFIAVGKLRGTVEGKILCFVGPPGVGKTSIGKSIARALGRQYYRFSVGGLT DVAEIKGHRRTYVGALPGRVIQALKKCKTENPLILIDEIDKIGRGYQGDPSSALLELL DPEQNGSFLDHYLDVPVDLSKVLFVCTANLTDTIPRPLLDRMEVIRLSGYVADEKMAI AEKYLAPQAQEMAGLKGVDVQLTKDAIEELNKSYCRESGVRNLKKKIEQVYRKSALKI VQDLGEQALPESEALTEEGKAAQEETEKKKSEEAASSETSSPKAATEASEKETTEKPR VAMKIPEGVHVVINKDNLKDYVGPPIFTSDRLYDVTPPGVTMGLAWTSMGGAAMYVES ILQSALTSKSAPSLEITGNLKTVMKESSAIAYSYAKAVMAKDFPKNRFFDKAKIHVHV PEGAVQKDGPSAGITMTTSLLSLALDTPIDPQIAMTGELTLTGKVLRIGGLREKTVAA RRAGCKMVVFPEDNMSDWLELPENVKEGIEGRPVRWYSEVFDLIFPKLDREKANKSRI IEDDKSEKEESKKKNDDDE NEUTE1DRAFT_109379 MYVGDPGVRWCCLLLLLLLLLLILGAVVKGGDADSTVVDSDNDA DDAAAAAADDDGGDDYMPASPITSIDSDVPKLPALLDNQHHRTFPLEIDRGNHTFHQD QETTVPIGRMNLGASLRSGNIPGSWTWRTCKQK NEUTE1DRAFT_61763 MHLWRDQKDGGGGQGQGAHDAEPGLGLGSAGTATEPGSGTGGGG HGGEGNSTTSPGSQTQQHDDGGSNKQGTIRRNFRKVIPGLPRTQTFKRQQSEQRDHLQ PVQPTPAERRAASVDRRMHAINSASTSLVGLGVGTAAASLPRSSAPNFHHHHHHHHFG RSSHISQVDSPVPSLPTIPQEYTPVNSSFRGLTGHELEQELDKNYHDNSNHLLRAPGL ARLHGSDAAISDCDAHSITSSQYHNMIHDELEKIWILNLSMHFRDKSKREKFFVTYRQ NESLWRRVTISLDYRDAQEGSLEEELVDIKFQRDKSSRIYEAIRDSLGDIQFYPTVTN LKLQTTDKRLHVHVVEDVNEIINYPTVRMIQHMRCRRIRESEVEFDSHISGFVYKVRV NGETLIKKEIPGPDTVDEFLYEINALNRLRAAENVIEFYGIIVDDSGELVKGLLISFA EHGALIDIIYDYQLQGGLPWSTRERWARQIVGGLADIHEAGFVQGDFTLSNIVINNEW NAKIIDINRRGCPVGWEPPEATPLIENSQRISMYIGVKSDLYQLGMVLWALATQEDEP EAHGRPLRIGPEVTDVPDWYVEIVNICLDPNPRKRRQALDLLDLFPEPEPVEPNQYSY HNPESVSVDDGYSRQEYLVGTEPTNGVPKIIKTVESPSDQGWDYFSSNAAAGASGVGR GRPSTISPAPSEDQHWYPPRGRSPPSPLPSDGGYDDPARFRHHARPWGNSSTYQEPSS LTVPSSYGNLKGDVDEFGKQNEAESLRQQHDPRISSTSFISTAPSGNTDAASLPLKDS LTREALKWRPETTSSNAPTANNNMSHELTLRDQYGEPSSSSNDHSRTVEPQYNTTQSH HQSRSSRTHYRSSSDTEEGEEADGDRRDKPLSRADSGKYFTEPTKPGPDLAYAAITEA RDRDRLEQQFRMEAAEVMAGRYHGYHHQDGRDRDEYQPQPQQRSSGYHYQDRGLGDRN GNGNSQLDRDRDRELERYGGRELDVLKGIGGAYTYGDLSLRGKRAVDEEGDEEEEEEI DMDLLAGQREGGYQQQHQQEQQQHQQRHHTNYETTRT NEUTE1DRAFT_80447 MASTSATDIPAEVQAVNVPDGTTDFIPLRGKKYDLKKPHITELP ITWGNWYKHVNWLNTTFIIFVPLAGLISAYWVPAQTKTILFSIAYYYFAGLGITAGYH RLWAHTSYKATLPLKIVLAAGGAAAVEGSARWWSRDHRAHHRYTDTDKDPYSVRKGLL YSHIGWMVMKQNPKRIGRTDITDLNEDPVVVWQHKHYIKCVIIMALIVPTLVCGLGWG DYAGGFIYGGILRIFFIQQATFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGEGYH NFHHEFPSDFRNAIEWWQYDPTKWCIWVWKQLGLAYDLKKFPHNEIEKGRLQQQQKKL DQKRATLDWGIPLENLPVVSWDDFVQESKNGKAWIAVAGVIHDVGKFIQDHPGGKALI NSAIGKDATAIFNGGVYNHSNAAHNLLSTMRVGVIRGGCEVEIWKRAQAENKDIATVT DSSGKKIVRAGLQPTRVTPPVTTADAA NEUTE1DRAFT_145815 MPTIHEMESFINWDGIDPASMPGTRHPNDLDLALENAADDDFAS WALQHYEQNNPLGLGETTTAGDSIVAFEDSFDMPSSPCNHCQANGYQCKRIREGSYKG YCTGCVALNRVCSLGLVDQPAAPSRNPGSTTSVEEQDRPSTPAPPITLAATKVNNRFS RESIKILKNWLSIHQKHPYPNDEEKEMLQKQTGLSKTQITGWLANARRRRGKAMGAPR SISPGVRSLSTNMDIPQRRPQLELLNPLQRWQVSPPEHEPASVTAIARAVTASATTLS SGSPHSNNFNLTDDGSNRSLCAASSASSFNTSISSGLSFASAYSYGTHDSLGSYGSSM NRGRRRRRRKAAPVPSEKRNSLSAPLKTFQCTFCTETFRTKHDWQRHEKSLHLSLERW VCAPEGPRASNPENGQVSCVFCGEANPDEAHIETHNYSICQEKTQEERTFYRKDHLRQ HLKLVHDVKFVNWSMEQWKATTPEIRSRCGFCGIVMDTWSIRVDHLAEHFKAGQTMAE WKGDWGFDTPVLEMVENAIPPYLIHDDRNSPNPYTATQEPSETARNAYELIKSELMYY LTKERDIMGRLPTDEELQVEACRIIYAAELQSNQSETAIPSWLRDLLLSSEPLALQAR MGPIRSANESRQAVLRINGKSNIFEEDPMENELHEYVKARRLLGLTAMDSELQYEACN IIGRMEESSSHPSEDVANFLLRLIYASTSWLADFRQRAVLPRSEDVGDEARRSTDPSK IDSTIHNYSRLERELAEFLHLQRSMGIEPTDMDLQTKARIIIYECDDCWNQTAADNSD WLAAFKQRHVSPEASAVALATCEPLTISSVSRKGFTPSMDMATWMGSSCFNGPRNTSL GSIGTPTFNIAAGVDGHIGKPNNAVKIGPYFFNDANCYRRLARELGAYVAAITSPNSP DCHIPSDEELQRQARWILYQDDDPWNQTAADNAEWLRRFKRDVGLLTDASLPGLPECT QWSATQGGSGFEPPYLFPNLHAQATTVETDIPIQMKEAKRMFVAEKETANKFVRGFKT RWQRPAVVFCSRELEKGLVKFVTTCVRGGNDGSGGGGGAARGMFPSDEAIRAKAREIQ KLSTTSADDVVLLEKFKNMMRERLGLMPTSSSSSLGSTPDFSSSVGATGMGLMQQSSS SVGTSTVPSPVTAAGFTTAGTTTTTTTSPGMGMSMDLGLADMANMSNMGVTMGMTNLT DWSSSSTGMMTGLGTSMDASCTNMGMGMSMDMNLDMTNTSMSMMSMSTSMPMTHMGML AGGGGGGGGGGQDDHNMMMMMMFPGNEMDDLLQDSNFGFSSNDDDLAVMGGIGQL NEUTE1DRAFT_145816 MESHRYDDGLEAASQNFPEVVPPQTHAYNAQQHQQYPEAYHDSP PVPKQTPSPYSPSQPSPGYGGATTIAGSTIESQAHVGDAHTTPKNDGKRRMICGCSLL TFVLSCIIALLSAAVIGLAAGTGIEASRANDAVHKLAAYTSANPVQIATTSTFPGTST TATMTSTGSIGGATSPSGVEVDSGCGSRSDQVNGTTYTSLKSLDQRSYRIYCFRDYNR DTTNRINLVAIFTADFEACMDACASWTKYVVPKSNSKDPGWNCEAVSFIPAWVNRSIA EAGGYTGNCYLKTGPASIGKLEKNSNVHAALWQSG NEUTE1DRAFT_80452 MPTYLCHGFRWQRRSIRVYTVVQDLDDVSPEWLIRPTSSRCLLQ SFYNLFEFLPYCSPHEPETWDSNEDEDEDEDEGDESGQENGEDNDGQGAVVPDNRGER GNEQRTQWPKRKESLGVLRGHGSEGTTGKGMGTMGKEMGKGMGKEKREITAIPVGSNN RSSISRTRTISRSPSLRLPLQGARTPSRTRLQATAASAATAPTTPTAPTTATTTTSAP ASTPASRTTSALGVSSAGKGRKGKTTGNSSSSRSSSRRSASHSPNRKKDELSAQRWSA VKVLEEYDPNNLDEVSRPYAYVADHVVRVDGAADILAEVRRYEERMQRLRLKLKGAEE KAGERNPKANRNSGGSGGSGEYHDALEHLEESAKEKDETWIEQLRDELQRGEEIKWYV VVNGDEERNYSNPSDSDDEDEDEDEDEDEYEYDDSEELSSSEITSNYGTSYRYDTTED LLGASKHPSLAAYPPSEGRRSPLSYSGSGSRTSSRGGQSKSRSQSRSHSRTRTRHRNH SRPRHGKKIRRPKPTKEQRQHHAQYTLQQELFERNDLRASSTAPTPTPTSTTTMATST RGTTPTAGQAPPPPPTAMSMRNTGPIIKEGAARRVVSEGEIHPALRGQARKSEIPIIM VTPTRSTFPDETETETAGAVGERRMVEQPQPQQPQPQSQQQQHHQQQQPQQPQPQPQQ QPQQHHQINETEPGPRRASTASANPDMGIITSTTVPLTSSFSLDTSPSNAPTPTTIPT GLTLKPLKPPKKNSTLPTIIPTIPTISTASLVRNRGNRHSSSNTGTGSKRSSLTTLRS PSSWSCLSLHLGHSHSHSTSPKDGGDTTEMDEEVVLPPPRPSPSPPIPISLNLNPMGS SYSYEPVSTLPPRPAPAPPGSKPVSRGGGQVEVGSNNKVPTAPSSPVVVKISAGPAGP AEARRLDTKAQGQAAASPVVGQYASMMSRTMPTRKGNSGNSGNGNGNGNGKESRRSRS SGSLRRLFQRASGKEGWI NEUTE1DRAFT_80455 MRGTLIFSGSSCPALTGKVCENLGMTPADAELTQFSNGETSVRI LTSVREKDVFVVQSGSPKINDTIMELLIMISACKGGSASKITAVLPYFPYSRQSKKKS HRGAITARMLANLLGVAGVKHIITVDLHASQMQGFFKCPVDNLHAEPLIARWIRQNVP DWKEAVVVSKNAGGTKRVTSLADALKLNFGMVTTDKKRGNGNMTGSMLLNPIDAVLDP IGEQVNEAVEHEEPSVSNITQPIAGTTSLARRPNGSSMHSAKSLPSRLRSSTSALDGV DEEGEDGVEYNDQRAHEVTQARLVQGHIVPDDFESPAVSAATSVAGDDPMTMSHASSF FAPQHHAALGGSGDAAESSDEEEEILKDPKVEHMVTLVGDVKNRTVFIVDDMIDKPGS WIAAAETVVKRGLAKKVYCIATHAVFGADCLEQLQDCDCIDHILVTNSYPIPEEKARN ASKLTVLDLSYLLAEAIRRNHYGESISPLFQHIME NEUTE1DRAFT_145819 MGIPHLRKHLEPYAQRGAIQPSSLVIDGPALAYHILNLCRIKTI KNSPFEQPTYELLSRITLEWLDRVRSCGLTISRIFFDGYLPTSKTHERTKRLMKTSKE LQGFHRKHVNGLAQGRPGWNSNRKVGLFPEAQPSQNGVSPPPPAFFVPSIIDTLRQSE HYASQTTVVPGEADVFCAQYVREHGGTVLTSDSDLLVHNLGPNGSVVFFSDIECNPAG TEITGLQFKSADICERLSLQPEDGLSCLAFELVMDPHITLEQAVSKAKSKHSVVAYPG AHKEFTEQYLSPETASQIPVEASVTLDPRISEIVLRCRDEKPAMYLPFLLDSPTRTSA WESSKDIRQLAYAILQTVTGSPIPTVAEFRRLDQPSAGTPVKVPPISEVEMDAAVLED VLSSIIKAVKKPKAVWMTLSVYQDIVMTTSQGKVQPLSLKVLQQAVEEKLDTSSWESV HFLAQCQATYYSLRMLQQIMQHAASQGKGLSPALKELQDCLTRLPSLPNFPTIFNFPE MLRLIIDNEGLSCLTKICADQDDMVPQLEAIMQPKKQPKSNKRKNSQEPEARPRSNNP FALLDAEC NEUTE1DRAFT_80458 MSATIASLRESLCSETTPLPIRFRALFSLKHLAVQTKGTADSLS AIDAIAAAFASPSALLKHELAYCLGQTGSDAAIPHLTQVLEDLQEDPMCRHEAAEALG ALGKAESLGVLQKYLHREGEDVSVKETCEIAIDRIEWENSEERKQEKLRQSDFASVDP APPMPEDDEKQTVETLEKKLLDTSLPLFKRYRAMFALRDLASPPDLPTAVPAILALAK GLKDESALFRHEIAFVFGQLSHPASIPALTEALSNLDEVSMVRHEAAEALGSLGDEEG VEETLLKFLHDKEKVVRESVIVALDMAEFEQSGQAEYALIPEVASKAS NEUTE1DRAFT_145821 MAAIGSLIFCTDCGNLLPVSKGSEKNILHCECCGAENRDRPSRT VVTKSKPSDFPSLLRQKLDIVQTVVRHELNTERIDSNTECPKCGKRGIRYSEVQQRSA DEGSTILYNCDCGERWSVNN NEUTE1DRAFT_121631 MAYQHPHHHSMDPNAQDYHGSQGAPASSSTQQAGAFTRPFALYE ALPYTPFTSIVPFDPSILPTPSIGAASPAPSLIDLLSTQDFDGLNQELHNTGPSKRVQ HTLSQVQHLIERANITEFKFKIGPKAPSSGTSSAVAPIASLAFTLSPFSKMVYEQTSI PFRYPTPDTPGMTSTTNVQQPKVERTTPVPIKQESPVPPPKVEHRAERHTPTNTPKNR QAYSQNRARFEIVLSTRAELERAQAALQATSSQGIASSEPPVSTRPPISQPPPQFAPI QPAPLQPAPQQPTLSAPPELAAPVPPKRPSEEVAAPAAPTAVVSPTKSTSHPPSNSQR PAVAIAIELPHAPTFNKSEYMAVPDEPDEPVNLSERKRKREDLDEELYGESLGFQQRS NAALHELKVFLQQVFEAENYAVHHRTSNEWVLLTIDGESTLSPVCQTKAQTLLAKVIS LNCFHKVPLQDLLRLLRLCENALKQADSLEIKVEESWAPADVDSWIQQLPALEIALKA ARTALRMMCGGREDKQLYSEDTIDQSLNLFKRVMDGIVIPVAELRSSDNTAELFKLLS SHKKRIASLFNDSQKLFTQMSVLLAKIDTSDTVTNTLEFTASQLIFLETAHAERESVL DTQKFDGFRLVAMDMLSQIFHLNPAQRQGIFDEILTSLEKLPLGKRARTFKLVDGSSI QPVSALIMRLVQTSAGQVDETKTHGGKALRSDGDDEEDELAGAVTSGKQLSFVIRDED HGAIQHSTAIQELTALATPLMDTAKRNASYVVNFIVNRALTSTKSGDTPYRNLLDLFV EDFTTCLDNPDWPAAELLLRLLNFMMVKLVEGDKTSVTAKNMALELMGTMGAAISKLR SHVRKTANALDARDADELGLFLSDLAASALELRSRPETMVAWIGPYRATLEWLESRFT EDPHLASAISFIISDWGTKVCVGYDSCEDDDRERDQEFGKLAYRLRQMIQDRRWLSNE YSFKKVSPNQAKLSYSITLLRSQLCESFGAILNILLGSMASDQPTVRSKSLKSINQVL ETDPSILDGDSVVVQLILRCSNDSSTQVRDSALGLIGKCISMRPELETKMIGTVVDRF IDAGPGVRKRAMKLAKDIYLRNKSKAIRSAIANGLLHRVQDPEESVRDLARQVIEEIW FIPFQEGENSASSQISLSDHVALMVQTVKQGNVTNVLDKVLQTLLTPDSKSAAASLEV CRKLVASMFELVDNPESGDSSVPSGRDALTVLQIFAKADPSLFTFEQLRLLKPHIDSM NSSEDLVVSRAVVVIYKLVLPQVSSVHNEFLTDARNKLLPAVPKVTRVLLDDIVACLW IISERLNNSDNLARLVCSSLVAINQMRIQNPNKPLDGKPRLQFERYSLIVGMIGKHCN LDSHLELFKQKLGPKMTGSSISKLMVDIVVPFASHIQPLDVRKAALDSVGLVCQSSPR NYVAANVYTTFQQVFDDQNPALESMVLRSFREFLFTEEKRSEQAPETAAGDGKGKKKR ELTVIGGTNYDDVASATTHRFLKEIIRIATATQDEHAFLAVEVLASINRQGLVHPKET GVTFITLETSSNPRISELAFLEHKSLHGKHETVVEREYVKAIQSAFAYQRDIVKDPRG ATVNPFTPKLHLMMEVLKISKSKNRQKFLEKLCTQVDFDVSKLELTGEELPPQVQYAR FIIENMAFFEYMTVGELQSTVYTMEKLVTSTGSTIAQIIESEVFQVRMDAIDGPAPEP EPTPAPAPTFGEGEQPNEQPMTSVQPAQPTLPDVDIQRLRQLTAGSMILLALWEVRTY LRRLYSMGTNRREQKAKIQGKDLSRPPVKVQGVTGDKVWEEIGTIMTGLISRERMMQT CKAFVELMNVDKEFLVQDEDEDEDMMDPSTPPSGGEEDDYDEAGPAAGPGRGRKRKSS ATGPNGSPAPKRRGRPPRSNSKGPQGPQGPPKKRGRPRKNPLPEASQQHDEMEADWL NEUTE1DRAFT_145823 MVVILLQKVRVHSSTFHTNYGFSANENSKHRHKLLHKVASLLDA HSPRPHPQRQAVRPVYELVPRLEQPAIDFQTVPVDETYRDVILHHNREYQRYAVEHGV YFSPVDDDEIEYLQYIHGLFKLMFEDRLIFPPIPQPRKILDCGSGSASWAIDVAETYP ECEVIGLDIYPYPMPEDLPANLELQIDDLNSPSTFPSNHFDLVHSRLMSGGIHADRWV NYLTDIFRTLRPGGWCQMVEIYFNAQSDNGTLTANHALQVWSQSYMQSVQPYKDPRAP LRLQQMLTEVGFVEVETRVVTLPLCGWSNDPRDHNIGEASRPIVHRMLSSLCAYPFTQ VLDMSQTDVQLLVAQARSEADNPAFKDANQVVAGSRVTPLMFHQRTGSMVMRGSELGI EAR NEUTE1DRAFT_61792 MAARLPASFIGSFLARSTSRQTCRFTRNAVTTTQWSSQQLSGKP IRAYSSDAAPAPAPLYAKLKGDLKVAMRAKDAPRLVVLRAVLAAVLNASKTSQPIETD AQLVALLRKTARTSQEAADQFRAAGRGDLVEKEEAQIRVLEEYVAGSGVQAVDEAELK DMVQAVQSELAAEGVDAKMGEVMKKLLGPGGRLDGKDVEKTLVARIVKEVMGTKA NEUTE1DRAFT_80472 MSWNPEALLNPKAASSAASSTSDLTSQSGASPSFQQPSFAHQIQ SVPSSPTFQFTSPNDQTLNIDFSGLPYGATLHGHVRNTPTFPIFQNGFGSQVERLHNV TDRISVPQPKRRKTYNENDHLRTNTFGGTSTGLLADQFGQNGTPGASTPVAMMTRQTE TVDLTEGDSETAQPRQQLDLTKDEEVCYGMIEGTTINCHRVPAPKPGMVSLLGDGYWP QIKILLRKRVDDTETNRIPAYDCTRVVFGYLDAKTSYCLGNLLTSAMGIRTDCRIPPR RKSGPNEKIGEPISAAVPFELMLYGPRKLAIQIGNHLARHHLALLSPPRVEPGIKVFN PHAKENRPAPPVRANSLGGTNSYSLHHSATVRTVEEIRSEVMSVFDSLPKSESLEQME PDPRITTELLKHQKQALYFMTEREKDLIQDYGDKLTRSTWQRRKDPRGVDFYYNVVTM QNQRERPPPALGGILADMMGLGKTLSILSLITKTMDQAAAWSLEAPVQPPKPPEKKQP NAARYFEVPKPQAMGLTPVRLNGKATLLVCPLSTVTNWEEQIKQHIKPDTLSYHIYHG PNRVKDVKKLAQYDLVITTYGSISSELNARAKNKAGIYPLEEIAWFRIVLDEAHMIRE QNTLAFKSICRLQASRRWAVTGTPIQNKLEDLASLLAFLRVKPFDEKIKFLQYIIAPF KNADPEIVPKLRVLIDTITLRRLKDKINLPPRTDEIIRLDFTPEEQRVYDWFAKTAKE RVSVLTGQAIGQERIIGGKTMIHILRSILQLRLICAHGKDLLNEEDLKELQGMTADTA IDIDSDDDSGQPVPSESKAYEMLYLMQEGNSDNCARCNTKLGSNEVVDVESERQEDII GYMVKANCYHVYCNKCVDHIKNEACSTCSGMTRPGCIELHRARAMAEHESRTAKVENG DANQDLTAYSGPHTKTRALVAELLADKQKSEAAPHEPPYKSVVFSGWTSHLDLIELAL EDAGITFTRLDGKMTRTARTAAMDKFREDPSVQVILVSIMAGGLGLNLTTASSVYVME PQFNPAAEAQAVDRVHRLGQKRPVRTIRYIMANSFEEKMLRLQEKKKKLASLSMDGRD KGQVMDRTDAAKQRLMDLRSLFK NEUTE1DRAFT_116707 MRAWVLQAKRSSASRGWLAGWADIMTWHGATGKRGTNYTAVARA RFYIPNICRRVITDVEATFLLFSALLCFRWFFYSSYFLAQSRRDDKIIRVVWCGGWSY YELELSVE NEUTE1DRAFT_80475 MEFVTALRGTFDDGKPSLFELLSEQQLASLLPPTLRYLLTVLTH RYPRHLLRILNSFDELYALAGLLVERHYLKTRGGSFTEHFYGLKREKALAAEIPRAAS SAPNLVRDALKLSDVDIWKNLAVMVGIPYLKRKLDEAYEIDAPRAMLGGQYTRPPAKG APMKERFLYYYRWFLRKVYPSLNAAYYFAILAFNLGYLFDNTKYHNPFLWLIGTRVRR MNGADYQAIEALEKAAGAAATSRLSGGGSMFSPRNMSRRLMGGLSLVLPTSIFALKFL EWWYASDFAKQLSRKAAESLDLPPPTITRTSEDGVEEKADEDDEAEEEASSKEPTPET APIAASTLLPIFTVPPPKRSDMCPICEDEIQTPAACQTGVVYCFSCIHKWMSGTHVRQ EKFMTKEREGKWESGEGRCAVTGRKVLGGTEGLRRIMV NEUTE1DRAFT_80478 MTGGQEGRKGSASPPPPPPATPPKSGGGGQHQHQHHHLHHLHHL HQQYQQFQQPALLPPYPQSQHSQSRHPQQQQQQPPRSAAPPAPTPAPVPAPAPAQPPS STVDPSLLPYTGEQRILELHRREHEKASSGDISDHDYTTPLLPHLKLISADSSLPHPK SYFRYTVQPSHCNRNGTLHGGCIATLFDYCTSMPLALVSRPGFWYSLGVSRSLNTTYL RPVPVGTEVFIECEVVALGKRMASISGKMRRAVDGALVATCEHGKVNTDGSEGGSKL NEUTE1DRAFT_38488 MVLLTQLPSEIINNIFGYVAPDDLPSIRSICRRLTEHVKGNAVL HRDIYYRHLDEPPEDVDWEQSLNDLAKLRRLCGREYENFEVSCPNIHMPKMTFVYHAV TNLLKAIPPSSSLSAARSGEQHNTPQQPISSSYSPSRNAAILSNLFSKESVRNAFLQG SQLYERAHCFDYLPIPMRTIIDLERSRYVRIPVYIEYQQQSAKMHCHFGVGVQAPATL TLPNNPALSSSLNMNPYPPPTPGTNAHATPPSPPLPTRDGQLRSAFFGRTSLVPRLYP YAVSKVYDLREYTTRSKWGPFRADGSGKVDWEKVEAILLVLRTNIKLKELDRIPMVGN VWNTPFAGCWEGSLCRLPRAGDNGNNSSSTTTTDGDGQGQQGQGQGQAGGGGGPMGVG SGYAGWFLWGNNTNTQHEDWDSDVANDGAVDDMEGEEEEDGDNSDGDFAGEEEKEENR DMKLEMEDPYGVSGVWLRVVCFLDYTDFFSFNFPDDNMPCPESVPRPPLDVGEATKLL MMKIHVNRIVYPEPGTEIKGKIWPIVHWRGYARSFDGSPGEARLTWGLRGQVVGVVQM TPEGEVRWTTSSLYDGEERWRSEGIQIGGVKSARGVVGNWFDKDYNPHGPCGPTAFWK ISDLEAVRPRGGHGGGQ NEUTE1DRAFT_121638 MTSVLPSQSSDIKPPHSDCLPDGAMPQYQEPTPPHNIKQEDEQE RGRRRSRSDDKDVEGLDLEDMEDGDGNGNDAHSSNEEGPARKRRRSRKGLDKKFECPE QGCGKSYSRAEHLYRHQLNHNPKQVYKCGIGDCQRTFVRLDLCNRHKERHTAKGSALS RKDSLMSLASPTTDGRPPFMAQGSASPETNRLGNGKGRTLSMQFNSPKDAMGSPYTPM TASAPTNGYSNGIDYMQHDAHYQHITGQRSLQHSPTGPQRPSVQTNVGPYGVLSPVST QPGYHGHSVNTSQSAVPYVTPQNFPPFSLPPSDFATTSPAAVVSREAQQQAYVPLTTA ESFDHHPQDTGEIVRLDQMSMQQTMPVFGTDSILNKSPYVGMPEDFMAYLFNTHGEGS PTVVPVQGLNYGEFSTNQYPFYNDPSQMGYFPQMAPQQIMSVENLLDQNLPESVISDP KSAEIFELIKERFHENGHTPIERQRDNILEGDRNNENHMLSRKMMQAYIVSYWLHFSD QIPILHKPTFSPDKTPNLLLIAMMTIGAACLDKAYGQKVTKAGAELSNFLAWHLRWEM FQDPNCRPPAKLWVFQTLLLLELYEKMYSTRELHERAHIHHATTITLMRRGRALIGKS ALDSPPNPRDDKTNGSRHSSTSGMAHTPDEWWNHWITNEATRRAAFAAFVIDSIHATM FGHSTVMVAHEMRLPLPCDDKLWKAKSSAEVGKIEAELMSQGKPIGFLDGLKRTLNGQ TVQTNSFGRTILMAGLLSVSWHMNQRDLQVNSLGATTALGGRDKWRTTLTRAFDSWKK EFDRALEEKRGDMADPYFFGVRGNETDVVFESRVVLHHLAHMAMHIDIVDCQIFARAK RLLGRAIGAQDLSSATRRMKDQWAPSAKARDATFYALQFLQSVLLPTGSGSPQSNGYS SHMDEEYSARDDVLLNRPWVLYFAALVVWCYGYALEGACPGLPMPASHQDAVRQMREY LIKYGSIQSPEDLKSMRGINQNTALLVVLKDTFRVTRWELLHEGATLLNNCILLNAGA TVP NEUTE1DRAFT_116711 MAPPRKNQNHDDKPDTPHEGGGGGAGAGGGGTGKKNGHTGGTKM RRGASSTGSSLREVTNAAAVLAAGPTSHPHPTTTTTITTTTTSTTTTTQSETSNSGGL QWPAFEREVLHAYRRAYRLQTPTAYANPVHQWVLTQPGSVGLYSPTIARRREYRRQSK DQLTSTVRKHFNGLGVQENDIIVDFLHKIRTSQGVPKRKVVKPREYITLPVEE NEUTE1DRAFT_80490 MAGYGHSTAGGFGSGSGSGPPGPQYMLPQYDEGDDPDADATPAS QGVRLLTNLDNSSYISVSEITSQSSHRDNIRPYVMPLLSQPLHTNASTQTHTRTQSPP FHSNTSPKTKQGHDEAVVVNIPPALSPSLSRSVPNKGPENQEHQRRNKTDLETPPTPP NQKEHAATTEEDDSDMGKAVTFAGAPEILGSEDPQQQYAHLPHYPPPYSPFRPEEQDQ FLMQQQQRQQQQQAKAQPQQQGESQLREGGKLHKRKRLGQVKPHKLSAPPPTLTLPTI PEGTSPRRASLREARKKKQRSKQRLSNITEENDSTRSLTPLPSVHLGSPKRRNSEAAR SHIIPNHSSRLRQAYEPSIDARTYEPSLDTRTYEPSISDRRHMYEPSIDERSSYMDPP RIPPPDGGSYVSSYMGTESMVSAHGRPWSPVSTTDYRVPPQGRYEPSEIDGHARPGTP GSSYGNARRPLPSAPAPLHYNSPSRATSHYPRYHGAGGYADDVTVSMGPDDDRTDIFG PETDLSETRHLNDAYGFRSSQITLSEDPHGTHARSRYDDEDDVSTTYSSNTGTSASGV DKFEHYGPIPEEGKHERRGVRPPQMSRKEVQLINGELVLECKIPTILYSFLPRRDEVE FTHMRYTAVTCDPDDFVSRGYKLRQNIGRTARETELFICVTMYNEDEFGFTRTMHAVM KNISHFCSRNKSRTWGADGWQKIVVCVVSDGREIIHPRTLDALAAMGVYQHGIAKNFV NQKAVQAHVYEYTTQVSLDSDLKFKGAEKGIVPCQMIFCLKEKNQKKLNSHRWFFNAF GKALNPNVCILLDVGTRPGGTSLYHLWKAFDTDSNVAGACGEIKAMKGRFGGNLLNPL VASQNFEYKMSNILDKPLESVFGYITVLPGALSAYRYHALQNDETGHGPLSQYFKGET LHGQHADVFTANMYLAEDRILCWELVAKRGERWVLKYVKGCTGETDVPDTVPEFVSQR RRWLNGAFFAAVYSLVHFRQIWKTDHTFMRKALLHVEFLYHLLQLLFTYFSLANFYLA FYFIAGGLADPHVDPFNSDGHVARIIFNILRYVCVLLICTQFILSLGNRPQGAKRMYL ASMIIYAVIMVYTTFATIFIVVRQIKPSQKSDDKPDLELGNNVFTNLIVSVASTLGLY FVMSFLYLDPWHMFTSAIQYFVLLPSYICTLQIYAFCNTHDVTWGTKGDNVMRTDLGG AIGKGSTVELEMPSDQLDIDSGYDECLRNLRDRVMVPAVPVSEDQLQQDYYKSVRTYM VVSWMVANATLAMAVSEAYGDSEIGDNFYLRFILWAVAALALFRALGSTTFAAINLVS ALVEGRVRLRLNMKGFRWIKEKWGDADVKGKFEGLGDRARGLARR NEUTE1DRAFT_129178 MASTQQIKTPQEAVARIAYLASDVVVSVQPSLAAESDFSATLKS LASSRTQSLVSKAADAVAEIIPVRHNNDPLLSVFTPIRSGRLVSVTTTSEVLLPSIAH LYKLAQLPVVLHVALGPKSLPDYTAITSIRNSGWTFLQSNSLQEAQDLALTAHALAVQ SGKGVIHFFDPSSSASEQPIAAEDISLVQEILNLDNVRRFQSASISGSSIYANDGRVA VVSEQSEPVASQTILDARQVEAATATATDSLAPAQDGSDESAQTSQEQSEASEASATP SASVATTIDEQAPVVTSEHIYKYVTAIWAKLNDLAGRQYSAFEYSGPSNAENALFVFG SGSPLFAQAIAQAQSDDSFAKAGVLTARLYRPWLGAKLLEAIPKSVKRVAVLEQVSRK TTKWGPLLIDVLTSVKSGPGGVETIVGHQLGYISQETVVQALRGIFQNLTSEKPVQNL EVGEREAPKEASEYGLEKPKLETAYTKILDQLFGERAYIANSIEKDNAGVSNTISATP EYGFGALLARKERKQKFVSEVKEAASNGQFSSDAPKQALAKWVANAEDSKKVEEVASE AVSKLSEDGSDLSKSLLEYKQFFRKQSLWLVGSDAWSYDLGNSGVHHVLASGENVNLL IIDSTPYSERAAADANRRKKDIGLYAMNFGNAYVASTAVYSSYTQVLQAMDEADKFNG PSVVLAYLPYFGEHDSALTVLQETKKAVDIGYWPLYRWNPENEKKGEPSFSLDSERIK KELKEFLDRDNHLTQLMKKEPSFGAVLSEDFGTEIRAQQKRKAKDAYNQLLEGLFGAP LTILYASDNGNAISLSKRLGNRGRARGLKTTVMSMEDYPVEDLATEENIVFITSTAGQ GEFPQNGLSFWHAVKDNTSLDLANVRYSVFGLGDSHYWPRKEDKIYYNKPAKDLDRVL ANFGAKPLAPIGLGDDQDPDGFQTGYSEWEPKLWEALGVANVDGLPEEPAPRTNEDIK IESNYLRGTIVQGLNDTSTLAISADDSQLTKFHGTYMQDDRDIRDERKAQGLEPAYSF MIRCRLPGGVSTAKQWVQMDDIANELGNETMKLTTRQTFQFHGVVKSKLKPAMQAINR ALMTTIAACGDVNRNVMCSPLPAHSKYHRAVHACAKRISDHLLPNTTAYHEIWLTDDD GAKTQVGGNAVQDFEPLYGPTYLPRKFKVSIAIPPHNDVDVYAHDIGLIAIKNEDGSL AGFNLLAGGGMGATHNNKKTYPQTGRMLGFVTAADVHLACEKVMLVQRDNGDRKNRKH ARLKYTIDDMGVDVFKAKVEEYWGQKFEEARPFHFDSNVDTFGWTKDETGLNHFTMFI ENGRIEDTAEFQMKTGLREIAKVHKGEFRLTPNQHLILSNVADEDKPELEKLLAQYKL DNLHHSGLRLSSSACVAFPTCGLAMAESERYLPVLITKLEGVLEEVGLKQDSIVMRMT GCPNGCARPWLAEVAFVGKAYGAYNMYLGGGYHGQRLNKLYRSSIKEDEILTIMKGLL RRYAKEREEGERFGDWTIRAGIIKATTDGRNFHEGVAEEEGDEE NEUTE1DRAFT_145833 MSRGLRLRFPRPRTFLGFMSLQTGTELIALSLVFNRITGIYGIL ALFTGFPLSLLQISLYLLSVLVVGSLAYLIPHIRHQSPFQNLALAFLYGIDTLLNFAY VTFFATTWYLTQFHDPQGPASKGDIPESASPSNGEGNYEHDSAQKHQLEKAVGVHESA ASMCLVVGFTLIRIYFALVVCAYARAVVQRYVDMNSGWAGGPLGSGEVVDDDNGKEEG HVADPFVEGAPLGEGWQGKMGRMMVGFGRGYWLGGRKEDEEWAREIGGRLKGGRYSRV NEUTE1DRAFT_116712 MPTDPGSIQASNWRRFVRLFRREVVSQLARNWRSVNPGLLREPV NPSVWCVHQEV NEUTE1DRAFT_80497 MVVRIPAAGMTEYIFFGAAGVGTLAPLAMGIPGAEERVARATAK WAPRWERNINYFTNHVPVERGIQRITPPVERAVHRVEHELPLEKAAVATGHVAEKSMK PLEMIKHNWTME NEUTE1DRAFT_121645 MRVDPCFFCGRPAWPSKGITFMRNDGKSFRFCRSKCHKNFKMKR NPRKLKWTKAYRKNAGKEMVVDSTLQFAARRNVPVRYDRELFAKTVKAMERISEIRQR RERIFYKRRMAGKRAREVAAARKLVAENEHLLPRLRGSEKRRLAELAQETGQDVEELE REELAKKAASKKTKAFGGEAKRLRVRTDGGVEEISERVAGGGLVDEDDDDENDFEDVD DDDEMDTD NEUTE1DRAFT_61827 MAQAGGSYNNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNMYQ ATIGIDFLSKACSIPTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDIS NAKSFQNTRKWIDDVRAERGNDVIIVLVGNKTDLNDKREVTTAQGEEEARRNNLMFVE TSAKLGHNVKTLFKRIAQALPGMEGTGGEGAGGAGTGAAATRIDVSSKETVAQEGCAC NEUTE1DRAFT_80506 MPVLKPLSGNPEAPKQYNQPSRKGKKAWRKNVDVTEVEQGLDEL NAQIIKGGVIAEKTSEELFAIDVKPDTEITKKLPKQLKKPLKADEILAQRSAVPAVSL KKRPASETSGKVTDGVLPSKRLRATYVTQKELSRLKRVADGHHTTTVEVVDATFDAWA DPEEEQKKQQQAAETFNFLPKVEKPKAPKTLTQQPISLAATGKQLPAVPKPKGDKSYN PAFTDYSERYQEELRKAEEAERKRLEELEKERLKAEAAAKSRAEAEAAEKRAELSEWE DDSAWEGAESDAEGLANKKKRPERKTQAQRNKIKRRKAEEQRLKHEAAIKKREAEAAR VKQIAKELAEKERQLALQKAESNNVEEDDASIEEDDDVELRRRQLGKFKLPEKDLELV LPDELQDSLRLLKPEGNLLKDRYRNMLLRGKVEARRHIPFKKQAKTKVTEKWTFKDFN LA NEUTE1DRAFT_129184 MSAAQGGGDSKLFARGKVAELRLELNSGSKKDKNFTQKKIALKK IVANMTMSNNDMVGLFPDIVACMAIQSLEIKKMCFLYLVNYARMKPDIAVKAIPVLEH DMEDPNPLVRALALRTMSYIHVREFVEATVPLVKHLLRDIDPYVRKTAAFCVAKLYDH DRHMVEASDLIDRLNNLLRDDNPTVVASALASLMDIWERSDAIKLTIDYSNASKMVAI LADCSEWGQTYILEALMSYVPQESGEALLLAERIAPRLSHSNSSVVLTCIRVILYLMN YISDQKQISALCRKLSPPLVTLLAKGPEVQYLALRNALLILQRRPEVLRNDIRVFFCK YNDPIYVKVTKLELIFMLANEKNIDEVLTELREYATEIDVHFVRKAVRAIGKLAIKIE PAAKRCINLLLELVSTKVTYIVQEATVVIRNIFRKYPNQYESIIGTLCEHLDSLDEPE AKAAMVWVIGQYASRIENSDALLEDFLYSFAEEPVEVQLALLTATVKLFIQRPTKGQD LVPRVLKWATEETDNPDLRDRAYMYWRLLSTDMESAKRIVMGEKPAITAESERLDPVT LEEMCLNVGTLATVYLKPVQTVFRNARPRKLPDSPCLQRPDYLDYATAHQLPNPKSLS QFGQGHQPSDFDPRVPGSRPAPPSSANNTQSQQGGNLAQAVHDADAYFTQQANQQAAV NDADAYFAQQMRQMQIQQPGDSFGGDAGHYGYGQGYVVSQSSAYQPGMGGMNGMGGMN GAQGDLLL NEUTE1DRAFT_61834 MTDLKPVADPAPRWVTDLANPPPYKSKPASIPDPPGYSSQAVSG PSKKKDVSRAAPREQPSPEKMDQLKLKKAWEVALAPAKNLPMTLIMMYMSGNSLQIFS IMMVFMAFKNPIMGLLSTNQAFERFETDSNRGSILQVKAAYVLMQVLALAVGVWKINS MGLLPTTRSDWLAWEVRREPLEHAVPALSL NEUTE1DRAFT_116715 MLAGLCIGLRGLQILFGAVIVGLSAQFITAQKVGSAATTTQYSV FTGVYAILEGFLGIAALFLSSFPDIAVLGADAIGALVLLAGGIAWAVETRGFSCTDPT KAKKILDNNLLNQGKRKYKGDWYYGILYGDPSAETAWSRLQSSCKKGLADEVFQFLAF AVLVVLLVVGWIRWRKGRGGGGMGSRSYV NEUTE1DRAFT_129187 MFFKKSTAAAAAAFAGVVVAIIDGGACAQNQVNGLRERDDGDWT TVSLTTTVYGTTTQTVQLTAAEVTSTSCLTTTYETTFSPVETETGSPVEGTYTSIASP GGVTTETSTSVAYATETSTIDTSSSETSTSEAPTTTDVTIIVPASTVIANTTTDLVTS HFTVTVKSGTLTSTIWATASETISVTIPSSGFPLPSYNSTATTVARTTYTNTTTVVPA TSFLSSYTYLSSGTPASVGFTTTGYGSPYASATITAGASVMEAGSLLGLFGFLMALLA NEUTE1DRAFT_145843 MADTTESKRLGKRPETDDALQQQQQQATPATGGVIQMEHVNNQP ATASATTATTGSPSHAQNNKEGEPSIPTVTGAVPVTLQDVMDETDPVSPPESQPQAVN DPDSISQASQSASGPSSTEAPVCNITLLLPTGARHPYKIDEKYLSKRGVDIPETVAGT GQPDPFSISVYKVKELILREWREEWEGKPASPTSIRLIHFGKLLDDKESLKKYKFSPD TPNVVHMSVRPAEMMEEDGEGAKGGKTAGGREGSRREGGGGCCVIL NEUTE1DRAFT_61842 MSLRIVPADSHSSTFTHLRSIAAPSAPGLHDTLRSGLAPHPLDS VKSSSSSSSSAGTASVVPISSHPLESRLKQWEATRETLRMETLRRTFGMAEPIRRQME LKIVRDGEWKPLALGGGVGMMGGMGNVHEEILMGRDGDITWEDVYTGEESRAEVSVHE EMERKLRISA NEUTE1DRAFT_80524 MRFPPPGTTVRPPIIRITNGTFYRHQPSSHGHGHGHPNPPLFSN LTLDIPSHPSSPHNWAILGPSQSGKTSFLQLLRGQYLCFPPTARSFPYLSTEDVPARL RGNPAKAIQYVGFDATSTSGGLGAAASSYISARYESHREQTDFSVKDWLLGNTELNPT SMPGDYKVDEKLFERVVVDLRLDTLLDLPVSFLSNGQGRRARIARALFKDPEVLLLDE PFMGLDPATVAGLSPLLQSLAEKKSPRLVLAARPQDPLPEWITHLVYLRTDSQVGAMG ERGTVLDGLRAYVRGVWKGGLSEDETMPVHALIDIGRTLTKDGIKGEGLAEALTRSPT NQAPIIDSAPVPKTEKEEAEKEPLVEMSGVTVRYGSKTVLGNWPSGLHWTVRRGSRWG VFGPNGSGKTTIVSLLCSDHPQTYSLPIKLFGRSRLPEPGSGQRPLTFWDIQSRVGHS SPEIHQYMPRRLTVRSVLESAWADTFSSVPKLTPEATEKVDATLSWFAHELNPSFAKR SHHTPVELAQADDDEANLKWAKDYQFGELPFSSQRLLLFLRAIVKNPDIVVLDEAFSG MDDAVRDKCMLFLMHGENKTFASSTASTPTTKKAGLSVAPVEVVDSEQAKAGKVKVHG LTDEQALICISHIKEEVPDCVREWVCLPEASSGLEARFGRLDGPLRLSGRRWGEVWGV NGS NEUTE1DRAFT_121654 MLRTYRVARASGLPTAPRTLTLTSTTATRHLFTLPKSLQLRRPE KLSLISQRQLSGRPLPRTQSRLLNFGYRTAAWFGSSIAFVGLSFVAFFLYDASTYSSH ATNQGDITVPKLALNPRRGGPKNLPILEIFLDDDDSEEKKKHKEKPRLVILGGGWGSV ALLKELNPDDYHVTVVSPANYFLFTPMLPSATVGTLELKSLVEPIRNIIDRVKGHYIR AAAEDVDFSSRLVEVSQKDPRGNEVRFYVPYDKLVIAVGSTTNPHGVKGLENCHFLKD INDARQIRNKIIQNLELSCLPTTSDEERKRLLSFVVCGGGPTGVEFAAELFDLLNEDL TLHFPRLLRNEISVHLIQSRDHILNTYDEAVSKYAEDRFSRDQVDVLVNSRVAEVRPE SILFTQLGPDGKTTVTKELPMGFCLWSTGVSQAEFCKRISRQLGPAQTNRHALETDTH LRLNGTPLGDVYAIGDCSTIQNNVADHIITFLRNLAWKHGKDPESLELHFSDWRDVAQ QIKKRFPQATAHLKRLDKLFEEYDKDQNGTLDFGELRELLKQIDSKLTSLPATAQRAH QQGQYLAHKFNKLARAAPGLSANEIHEGDLDAAVYKAFEYRHLGSLAYIGNSAVFDWG DGWNFSGGLWAVYAWRSIYFTQSVSLRTRVLMAMDWGKRALFGRDLMSY NEUTE1DRAFT_80529 MRGDTPRDLVDSLTDGIKGLDVRVVENPDSTIAKRAHYAPPWAD VSIIGIAGSSGSGKSTLSQAIVKKLNLPWVVILSIDSFYKSLDAESSRKAFMNEYDFD SPEALDFDVLVDRLQDLKAGKRAEIPVYSFEKHARLDRTTAIYSPHVLILEGIFALYD PRVLELLDMKIFCEADADTCLSRRILRDVKDRGRDVDGIIKQWFNYVKPNFEKFVDPQ RKVADIIVPRGVENHVAMTMVTQFIQQKLLEKSKRHRAALTRLESQARHQPLSNKVVQ LDQTPQLRGMNTLIHDIDTSKEDFIFYFNRFTTLLIEKAMDNIPFASTTITTPLNREY AGLRPRGQVSAVVVLRGGAALEAGLKRVLPDCKTGRVLIQSNMRTGEPELHYLALPKD IDQHEAVLLLDAQMSSGGSALMAVQVLVDHGVKMDRIVLATYSAGRLGLHRLTTVFPE VTVVVGNVIQDVEERWVEKRYFRC NEUTE1DRAFT_80533 MLTRAKETGGGLLARKIIPDKVNYRLIREWLQLCRSRHVWCDSR EANEGGVVGTGQIPGFQIIDCTTGNIVSFASLTGSTSSGEQSQTPPKYVTLSYVWGQG PFEGPVKIKHPSGSQQQLSLPASLPLTISDTIHVVQRLGYRYLWIDRYCIPQDDLPAK QIQIENMGRIYSRSVLTIIAAAGEGPDYGLPGVSERRRAEQFTVQVGAAAEGKGISLA LYERPKAAIMNSKWHTRGWTYQEGLLSRRRLVFTDQMAYFQCYEMHGDEVLSLPIPDG LSGGHVSDVKDKDKYDEIRCLSLNDEESNFGFIFPRRITDWSNPDTVWDRIKDFCQRQ LSFDADTLDAVAGIFGMYTAEKSNMHKGDGISFFYGIPIAPFQPELNEPNKQRLWTCE LRTFWETYENVAPGSIDPNPKLPLKMEVDVTTSLTYKLVESLLWTNSWHHFRKSDSDP SQLLQQRSQFRRPVFPSWTWAGWKTCIVERDRLFSKMFDSRTKIHVEYEVEAGPSLAH APNATKWRQLDWEQDNKEILELARNAAYKIPARLVIRGTVLDMRLKWRDGEENNDAWW RKFGEWTVTWPQFMEGKGIGFPRGLLEDAEHGGRIGKGEEVQALALILAGRAYSGEPN GSLSALLLRPVTRMLSGRPETMYERVHKMDLHVKREEYKAEWTPLAGLLREMEVRLLT TRTGANQGIGYETAKNLVHSSADYHVILGSRDISKGKAAVQALLQAEVGTGVKATKGT AYSVPLDVADEASIAAAVEHVAADFGRLDVLVNNAGIISTTSPPTAQTLRRVLETNVV GALAVTEAFLDLLRMASEHRPPRLVFYSARLKPEGILVIGADPGLCATNFTGDAASLR NRGAAEPADGGNRVAAVVKGEKDADAGKVVGVYGVSPW NEUTE1DRAFT_80536 MDLHRRVQSQTSSTSTATPSKHAFETRVADVKSPKSDINALILD YLMMEGYPKAAEKFQKEANLKPRQEDPTINARQQIQHAIHVGDIQKAISDLNELDPGI LDSDPHLHFSLLRLQLIELIRNARGYDPSAAINFAQEKLAPRAASNEQFLKELEKTMA LLIFPADKLQPDLAALLHSDLRRNTAAQVNEVVLQRHTERREAAIRQLVRMRAWAEAS CRSKKRNLPDRIELGLNGDDNGHDPMVTT NEUTE1DRAFT_80538 MSALRITTASAARMLRTSNAVMPSVMGAAQRRALSDSAEPARVP SVESARVPEKLAKEDSPLATPKRNSPDYNVPIDKATSTWTPVPKHIQNGSEEGILPAA VVSGAPMELQARTVRIFLPSKPATQSSNSRVLWRMDWDVLEKGHRWENELMGWQSSGD FVQGTHLTFRTKEEAIQFAEKQGYEYFVQEPNQRHFTPKAYANNFLYSPKKLKIVRTK NEUTE1DRAFT_116720 MSFVTRRALSTLIPPKVASPKAIGAAPDAVVMKRVVSFYEKLPR GPAPEIKPQGFWGKYQAKHFGKNPSAKPIVHAIVGLLIVGYAQNYYFHLRHHKNHAH NEUTE1DRAFT_80543 MAAEVAKDRSDDATHVTSEAEPTIKIDAPDAQNAPLDQSQLTGE SATPAATEEIENKHISDIANEVVTSAEVSVSGGSDNEATKSKDDKPRSSSTVKKPIAF KAINVNQKFLTTKATTPAAPAKVAEKPAATTTLSSTPGSLTPRPRLIAKTGSGSVKSA TGANGKTGSAPDPNAVWNKNRPVPPPEPKKYTDEELKKYGIHMASRLQPEDTKGQANW ADIDDDDEDWAPETITWKDGTKIAIPHTEEQPPAPPTPAPAPAPEPEPVPKPVEAIKE SVAAEKPKSPAPGHASIIKPGVLGSGKGLILKGAPEKPTLVAKPPPPPAPVKSPWAPI PKVEKVSPMVVEPPPPNHHAPRYPPRDGPAQYAGFPPAGPKEIAADDFSRGPWRDGPG GGGNRELYNSHSGRYEPVQDRRGSIRPEPQYGRQPSVLQRPAHNDHHGPAEPSAAFQT HRTSDQHVPYGRRRGSSNVSGVSSGFLNRTKGFEQPLQPPEILNARRESMTAGSDGPG SPRNFSPSGMQHGPRHPHPHPHPPLHPHHQGWPPRVSPAVSHAVPAPHHHGPDMVGVP PVGPPPPVQEQVPLVTDQEIELQKRLMREKVEAARKRRMEEEEREKAAREERIRLKLQ ALGPAPKSNSAKKAAAKEQPASTTEGAAQTGAATDEQKAVEKTEVKTPPTTQPEKAEQ PPNGVPLQILPDSEPLESRQPLWSSSSAKQQQQQQQQQQQQQQQQGRYTATTWGSQTS TKNVWGPPTNNRTLGNGTFNPDLGTSPPPVSNKPGPGPIAPPSRNTTTMATKTNLFPD GAPARLPPIAPPNHSNAPSGTLSTGERQAKANQWVSAARLNDEAFENMLKDRFAEQDR RRAEKGLTAEDIQPVVKDVWRPTKLDENGMRIEAGPRQTVRVGKENPWAASQPSQQTQ PPAANTSMLGNAGAAPRTSSRFFPSRQQTIAAAEYQRPHSPSPPPPDTETTGHPAFDG DVKHPHVCIPTPRPQVRLPPPSQAREEPKVQHPEAPKPPGPSFSWANTVAYKGEERSP APNPGSPWQARIDNLLGARKPSQTQQKPAAGVDSTSRAAYEHPETSVTVSLTAAMIAE ASPTTKDMDEDCFEEQEMGSLPTVRIPTELPEMAWSPTSAPPPKPLHRKFFPLTTSAE PIRIDTSSNGIGSVIRIAFPGTDRHPPFVTAVTIPFSRTRSNPRRGGGTSRGGRHPAA PHNQRGMPGGRGSSRDASSPNDQGPTGPPTGPNGSHQQSRGRGGGYRGRDSAWSRSAP TAIQTS NEUTE1DRAFT_145853 MPPSTPPALSDPDVPTPLSQPGENMKKQINLLRGWPSPHLLPPS HLLSASTSLLTSPPPDEPPSSKFSFNVPALQYGADPGYLPLRHSLAAWLTNNYTAHET YPSHGFPTLTTAEQICITGGASQNLANILQGFTDPAYTRAVWAVSPCYFLAVPIFEDA GFAVGNGKLKGVREDEEGVDLEELERGILEVEGGREWPGKPVYKTPGPHRKCYKHIIY LVATSANPSGITTSLARRHALVQLARKYDALIISDDVYDFLQWPVLPSSSSSPSSPSN PLSLPTPLPRLSDIDISLGPSLHDLSLSAHYTRSSPSDIPNEQEEKDMQPLFPTRTIS SLHFGHAVSNGSFSKLLSPGLRTGWTHSTPAFAYGLSQTGSTRSGGAPSQFCAALIHE LIQSGALDKHLSEVVRPGLQKRHAVMMEAIKRELVGPLGVRVIEDNRTGAEKEKNREG LFGGYFLWLELPEEMGFTAKEVAERALEEERLVVSPGENAEVSGEGVHVAKEEKGGIR FPRHLRVCFSWEEEEDLVEGVQRLGRVLRRMMEEAKEGGKVKKAGGEGLKAFK NEUTE1DRAFT_80548 MSPPGGDATVGSDEKRQKGKATPDTIKMGCIAMVMKEGQLRRAE ILSIKDTKSGRQFYCNFDNFNKRLDEWVPAARIDFEQDVEWPNPDKDKQKDAKTKKNN STVSKKQPSKKNNQKKASKREQSVASDGQTPHPWTEFVDSQPGKNNRQRGKTEDGTDV NASLEVGGDKGVKRKADEIDMDEDEIPAAKKQRQPSFSREQEIEKLRTSGSMTQNPTE ISRIRNISKVEFGRYVLFPWYFSPYPQIFDQEDCIYICEFCLSYYGELKSFVRHRQKC TLHHPPGNEIYRDDYVSFFEIDGRRQRTWCRNLCLLSKMFLDHKTLYYDVDPFLFYVM TTRDDRGCHIIGYFSKEKESTDGYNVACILTLPQYQRKGYGRLLIQFSYELSKIEGKL GSPEKPLSDLGLLSYRQYWSENIIDILLGYNERKEACTIENIAVALAMTTQDVEHTLQ ALKMQVYHKGEHKIVVPEKLIKQREKSKAKQKRLIDPERIQWKPPVFTALNRTWGW NEUTE1DRAFT_80552 MAPELSSNWKKLQEKLKAPQPTKSAPISQEAAFKQAISKKSISS ETLKRKAEESQQQQISNPSKKPKRQKSQTQSQPSQKPTEEKMGGNVQSKPTTSSSPNS TLPSLHLWADEQGISSESLAEAYNLGLRSTSSSSSHIPLLSTLPPAIPNAGLTLPGQS SSSSSSSSTSSTPSNKNGLPLPTDLPSSLTLSNGLTLDTSTTTDLALILQATKSNTLG KYLSIDCEMVGTGPSGVTSVLARCSIVDFHGHQIYDSYVRPTAFVTDWRTHVSGISKR HMASARSFESVQATVAALLKGRILVGHDVKHDLEVLGFEHPHRDIRDTAKYSGFRKYG HGPKPSLRVLAKEVLGIEIHQGQHSSVEDARVAMLLFRKEKHGFDMENSNRYEEGQAK KGGNGGGGGGKKKKGKK NEUTE1DRAFT_40251 MDHFARGLEFREIHPLQHLANIHEGLTEIIYLGTAHLRYKLGSL TWGPAVQFAKDMAQTTFASLKVGTFLLVDQVSDEVFVHGESFGTGPDELGNLVLCLRR PSGSEDERKATDLPGAELVVKDDAFWLRLLLFTDMGFAESYMLGEFECDDLTSFFQLF ILNREQLNNGTTLFSGLFSHIAGLARLANTMDNARLNIVRHYDVSNGMFAAFLSPDMM YSCPIWNHTTNSRTKQEESLESAQMRKINYFIEAAKIKRTDHVLEFGTGWGTMAIEAV RQTGCRVTTITLSQEQKTFAERRIWAAGFSDKIAVHLLDYRMLPDPEVPYDKIISCEM IEAVGEKFLATFFSRVDKLLKKDGGIAVFHFINHYIFPGGYLPSVTQLINHITAESNG TLIVEKIKNIGPHYVKALRLWREAFMDKFDSRIAPALMEDHPGMTKTDVEVFKRKWEY YFSYSEAGFLTKTLGDVIITVGREGALELMEGIPL NEUTE1DRAFT_109424 MQSLLRKASAKSSDMFRFTAIRVQIAGFSTSRTRPISSSPRKPG SIAHIRNPWPLSEQQKKIIAKNPLGGTLDSVREKLRDSNEADKTHRKNIADLIQALCG SSAGCEILISDEKVVVDDNTNDNAIWAAVFCLLDPRATISQTPVDTNSSWGIQLPPLT NKDKDLWEEKIYNCLVSPPAGHVISRFRTVKQLLESMRDAIKAHRYLYFTRNILHRNI SPDNIIITDPSITNGLKGILNIAAKV NEUTE1DRAFT_121665 MANDEPPSPEAQPTTPVRERRTNPSAASLFRSTLTPPGSRSGSP APMGSPARSLTGSVFGSGGFKQSLLDTAAAENPGDPLNLILKSFVPHIAIHDSQDVEH LVKEKGFKGGLFELLRPFGERVQGRVTIRDSNGASRTWDDFSVRFTRFGEAVEDPDTL PDPRSLRGPPPNGQPQYNMPRKKTSLVQQVEQVVEKHLGFAEEASMGAATPTTPTRQG LDTDTTSPYYALYLRRLLSGIPMASHETFSHPVACVIAISSRHPAPIEALRQLYSETT PAEQRIPPWVDGDFLRYYVLVHDEERSDITKSMQLFDQMKRHFGLHCHLLRIRSSQAA ETDDDSIPLPRSDWMSASEELADIERSEQLQDSFDDDPHLFNRYIFESDATAIRTFIR EMVIQSIIPTMERNVSLWNDQVASRRRGISGRFMSLSKRFTVFGSSSSSSRSSTSSSN NGFETLGYYRPDTPEAIMRRLADFAFMLRDWKLAMSTYDLLRTDFQNDKAWKYHASAN EMAALSLLIMPQTMFSKNRVEIINPMVEQALYSYHTRCSSLYGAVRATLLSLELLRLR GGIGIDESVRWGTRLLEMRLFGPVGDALLRERLAVCYASKRGTGSQLWGSRRRKSAMW SVLAAQAWVSMGKYLQAQKCLADARRMYSLLPSEWGVQGFNGASECLAGLNEAAREGL RVTREEGEGEEGLGLGLQFDESSNLTIRPKADDQTAAASTDHNNTQTEVDVDVDVEQS GFNGQSSQLPSRPPQIPTPTASSKSPTPETTRGGDEQPEGFEDATPTSGPSSGHSPTR PRKASMAVRTSRVLSSADLEPAAPLPPLIPARLASTSGRDENKSKDGKDGGFG NEUTE1DRAFT_80559 MTSIAAAAGKVQFIPKDGGSYFYESFSCQQAVTLGYGGIQFTLQ GPAGGSVAFELQTSASCNASDTGNYTSSYNVISGLTGSQQTIDLPLLGFDNNPNYDAI VGLVWSEFSQTNAIWSVGNIKLTCGAVAVPTTTAASTTTLSSTTRSIVPTSTTRSVIT TVTRSSASPTATACSNLLIDDWESQSRLTFLGYNAMNQASNDDGTMSSIVVSNHRVLL TPKNQESYFYSQFGCLNTNDKYGGISFRIRAARGTTFTVQMSYFATCGSSTGRKTISM TSTQLKWTFDGTERLYWFPFSVFTGLDTTKLDMIYFSSFNAAVILGPMSFYCGSTASE CILPSLPPPANTGASPTVPAPSSNAKPFVIDTFANKDTNSLGQWHGGDDGMTVTFGKN SITIRTNDSDLGWNTQLASTCFDMTPYSTAYLHVAYSGSNKFSIALQQHNAHCDDSIK PYPETWDSLEAARYSFITTGGKSDIYIPISHFHINLTRTIGFNLRGFFSPSESTTITL LEIIPSIPSGISIPHKLPSGTLVFACTRPNSFAFAIDDGDPHLAPRVMSIVEAAQIPV TFFTVGLPLLDPSTNLSKIYRDMASKGHQIALHSYTHPKMEGLPTVQDIDWEYQNDIG AVRQTFPSSKISPYFRPPFGTEGARMRQRLVENLGNEKAYIVGWSVDVEDWLWAESET PEKQLEAFKRDLKAGGNLVVMHYLYESTVGYLEEFIQLAKASGKRLMRVDQCMEDPEA PPLT NEUTE1DRAFT_80560 MAMRKAPRLPVGSAAWVAEERASALKVAEEEVEEFSNRNIAEIF KTPARPRGKTPRTIRKGPNTEVRVQPLASIFSATPQGTPNPFVLAPPTNNSSWTPKIR PDTPEADVEADDEADDVPKNPTTPSTRRVSPRKSVTAKSPSPVKSVAAPSRQPSPVKP NNTTPMRPPASPAKPVAATPSKVRSPIKHLNGSPLPILSKSPTPVKATDSGYYGSQQL DIMDVDEVEKVADADVDVDVDMGEPAHEPTMELTQQSAQEQLQQQPPQEPTQEQTEEL TQPSNELNQEPTQEEPEQQEIESQDLGVQPAPTEPEQHGSPVLQETAELLRTTTPSDE PVEPTVQYPRLLSPVLDVARSSSPVHMLIPSPTRSIHAPSPQKAASPIKAPSPQKLAS PAKRLSPEKRISPVKVASPQKAPSPEKIPSPEKTLELEKEESPVDSAPVLSPKKRSPE TEPVTEQQPKTPAPFVHAQSENDHADDQADDKVDDGANSSMEASSPISPVKRLSSLNF ASLPAREPMTSKKSLGTRMSRTSHVDVTRTSFYHRQTGGKSLGHTTRQNFDDDDDEMD IDGDHTQEPRTAKSYTQRLQDQINMLGKGQSMGPRPSKSIAHLLPTQQPASTSQAPTT QPVPEPTRSSPKKPPVVTPRAFPTPGAFPLDDDDDWIGPPSKGADMSTPMVWNARKDT RLSQPMEGMNLFAREASPTLGESFMENRHESPSKAPIIQEPPAASSSTTHVKSASVPT LPSLHEPPVPKTASPKKEASVSTSNLPEMPAHEPASPFKSPSKAFRENTLERVKNKFS SLMKSSKGLLPTSAHSNAEAKLTGLFTTPSTARLEEHPSLSEASFKTADNVVYPDLSH HAQAEAPVAATPIRPTRKTRASTERERKEAEEREKEKKREEKEAKQYAKTYVKQNEKL EKMREKEAEKARLFQQEQERQAEMERRAAEQREQEQQHKLTKARPQSKQLFETPGPSK SAPKSPKKATRTSLRRGAKQGEAEKEEADVDMGDVTISTKAPQSIPRPPSAQASRGTG IKRPIRPTKEALTRAKPAPTRIRVNTTSSQQGAFHTSTNSVLSTTSQESLGQSHGRQQ LVSKASTSSLTKKPSLQSLKGSYSSTVGRPKALELAAKQKEQEEREIQRRRDQKAENE RKRAEMKEEEQRKQAAAAKKAAIEQAKRTRAPPPPPRSQPNGPPDHLAESRQPSRPNS RLGPTMHDSRPVNAVLGKAASKRPLTQVQEAHQDSKRIRVSEDFDADIEMAEGNTKQQ RSIRGAPVRPSAVRPSGVRPSAAVRQPSVGFKKDLSTTTTTGKSIFASNYTNVPHAPP PPSRTVDLFKKTTVNQIKTGHALDTAQFQKGNHIPFASNPNGAGPSAAAQVGSSRHPL QTPARPGATAARALALTSATQPTRTSPRLAAIAAGDSIELPEIQTDDEDGVDTPRPST SNPNLRSSLNGGNWTDSPYLKAQLLAQEQLDPMVIFGPPAPLNMEEVFSKDKSRHHRF RARTSSANWEGQDRLTEEEVCRDREARERMRKEGGWSWGLGRTLG NEUTE1DRAFT_80563 MDVFGDDAFNVPDSTDWLGTPLACLMPVEQAFRCHVCKDFYDSP MLTSCNHTFCSLCIRRCLSVDSKCPLCRATDQESKLRGNWALREAVEAFKNSRKVLLE FARTPPTIQAILPDQAGPSSPSKRKATELEGPKEEDPETKRPRRSTRSTRARAAELTA AILQEEQDTTPSADPDYVDQPPDDGLVACPICLTRMKEQQVDRHLDTSCPGSPQAASK RRPIPAQTSQPSTFPSFNSRLTSQTSIANQNQKPPERLPALAYSMLRDTALRKKLSEL GLSTHGSRQLLEKRHKEWITLWNANCDSSRPKKRSELLRDLDEWERTVGNPGTAAGGG GGQQGLGLVARAQATGAQIKDKEFDGKAWATRYGGSFGDLIKQARKGIKRQTLDGNGE KADTKGEGGDGKEDITPAELPTLQAREGESSAAPTPMDIVPPSSPPRLGKVDDAGAEH DGQAHGKDAIAEDTAMGEQVIPGTPDKERWETSQQPPIPGDD NEUTE1DRAFT_80564 MISKVQNQPPFPDGTSAATFPPPEAAEQPYSIFDKRQKALIVVI ISVAATCIAPSLWGPISDVKGRRITYCCTFVVFLGACIGLGEAKSYAAVLVLRCLQST GSASTIAIGSGVIGDITTRDERGGFMGIFQAGLLVPVAVGPIIGGALADCLGWRAIFW FLAIYSGVFVVSLILLMPETLRSVVGNGSRIPSNPIARYPLVVYQRTSRIKWDVATEP AARKRIDLVGPFRILVSKQAAPVIVFLAVYYAVWQMSITAMSTLLEDRYGLGETEIGL SFIANGVGSMVGTLVMGKVLDNDYRRIKARYESRSNSAPNANIDGDDSDGNDFPLEKA RLRLVPIFALLQCASITLFGWTIQYPQKVHMAVPIVSTFITGWTAIATQSVIMTYLVD VFHDRSAAASASLNLARCLFAAAGTSSVMPMVNALGVGLAFTVCVVAQLVALLGLAVQ WRFGARWRREVERRR NEUTE1DRAFT_121670 MPTMLERQSEMRSQAAHALDFSHVDLVCPINANDIQNRWLKPYI VAVRGQTAKTLSPSITAFTYRILKSYAAIAVRGGHDSIPPFLHPVHVLHTLPNTPALS TCLSLIRSCEKPLPGSEGVLAEVLQREMNKLYEQYGTQTCDDDDDDDSAALLAAFQAY LVYTLVLYYRLHVGRDAADYPFLRLQQAMMHLQEIACACSARGLVCSTEQDGAQGARP RWETWIVAETKRRTLYTMYLLDNMLTAKEGLPTFLGTELRGLPAPASKALWQASARDE WEKAYNLHLAEWYPEGGGLCIDELWAVPADMDEAGLVERRRRVDQWLEGVDEFGTMMY AVTSCTHGG NEUTE1DRAFT_80570 MIKMGACMSKNDEETEQKKRSQKIDRDLEEDSKKLRKECKILLL GSGESGKSTIVKQMKIIHLKGYSDEELTNYRPTVYKNLLECAKAVVNAMHQFDIQPAD PSLRPYVEFLQDYNMEGCPPGQSIDPKVGTAIQALWNDPAKEQLMERQTEFYLMDSAE YFFTEVTRIVAEDYRPNEMDVLRARTKTTGIYETRFKMGQLSIHMFDVGGQRSERKKW IHCFENVTSIIFCVALSEYDQVLLEESSQNRMMESLLLFDSVVNSRWFMRTSIILFLN KVDIFKQKLGRSPLGNYFPDYSGGNDVNKAAKYLLWRFNQVNRAHLNLYPHLTQATDT SNIRLVFAAVKETILNNALKDSGIL NEUTE1DRAFT_80573 MPPATMMTGAYGQQPSGSDGIDSTAVHNFCTSQKANGGSSSPSN HLPGIGAQRGAHLLGEDLYKKLANYLTDHLKHLVSEAEAHKDEALLAFYIREWQRYTN AAKYIHHLFKYLNRHWVKREMDEGKKNIYDVYTLHLVQWRDVLFQAVSKKVMDAVLKL VERQRLGETIEYTQIKQVVDSFVSLGMDEGDNTKTTLEVYRYHFERPFLEATKVFYQN ESKQFVAENSVVEYMKKAEARLAEEEERVRMYLHPDIALHLKKTCNQALIAEHSTLLR DEFQALLDNNREDDMRRMYSLLSRIPDGLEPLRTRFEAHVRKAGLAAVAKVAADADKL EPKVYVDALLEIHTQYQGLVERAFNKEPDFTRSLDNACKEFVNRNEVCKSGSNKSPEL LAKYTDVLLRKSSTGVEEAELENTLTQIMTVFKYIQDKDVFQKFYSRMLARRLVHSNS NSDDAETSMISKLKEACGFEYTNKLQRMFQDMQISKDLNTGFKEHVASLNMDGKPLDS TYSILGTGFWPLVPPNTSFVAPAEISADCDRFTRFYKNKHEGRKLTWLWQLCKGDIKA NYMKGAKMPYIFSVSAYQMAILLLFNEKDQYTFEELASITQLNADVLEGALGILVKAK VLTAEGGEGGKIGPGATFSLNYDFKNKKYRINLNVGMKSETKQEEAETNKTIEEDRKL LLQSAIVRIMKARKKMKHQQLVSETINQIKARFMPKIGDIKKCIEILLDKEYLERLED DELGYLA NEUTE1DRAFT_80577 MTAANPSPPRSNQSTPSSDNTDGDTLLPPLRPKTRTLPPWIDSY EERYGQPSTGQGGILNRPPPRTVQPQHNGTPTEPRRVSKDGFVYEPDGLERRNDSKMK LRRILRRGDGMERGRKWDHLRTDEPVILHRNAHYTSNSPWSEFIHSSQWGHMPNEQSE VVDYQTLEKLQPNLNLPVHLPEPEDVYKSRTGRRSALYKRLWQHVLRHPLVPLLFRSL VLITSICALALAIRIYQLEGRRRSNQQELQNQILARLRALHSASNSEGYVDQELSLIR QISPGSSERTQAIVAIAVDTIAIPYIGYMLWDEYTGRPLGLRPVTQKSGLVLMDVFFI VFKAASTALAYEVLVYHNSQDRFTDHFSQALAAFQLIGLFFWLTNFTVNVFRLVVKLG GGDDLETQRGR NEUTE1DRAFT_116721 MDKVVVVSSSVDVMMGRGSSGCAVEVTPDRPQRYYCRWGGSGYC TPQVIGVAGHLSGSSSRASETGIGDKMIRLSITQLSEGNGL NEUTE1DRAFT_80580 MRIYLLPISTRRTLLYCQKLQPPSSASTSTTKQKQSWGDYIQTK AALTWASWEKKESGWQKAVASYGNQLLRKLPYEEWALKSMPPLTKSRQRQLEALLQQQ AQAEGDFEMESGEKGGSKRGKADVDKVEVVYPGGLIKAEQVPRILHKLATEREGHHKK WFWWCLAGMPVTIPIGILPLVPNLPFFYLVYRAWSHHRALAGGKHISFLLQHASRLLS YHPSPILDEIYSTQRFPLPFTSEPTTGPEAETIKHPDPAKVVQAVNGGNTTTEKTDGV NMGHVLEQREVEDGEVLLLSQENAKKMVQLLEVPELEVELERAIWQVETAVEKKNREI GAEAKADDTKEVKEKEKVKTQ NEUTE1DRAFT_40414 MRQQSFPPDAGRGGRLNSDRPTSIFTFSDPVRSRPRPDPTSSSK EPPPNNEIRTLFNGHIKLRGRNDNLPQKWWPASTAIPLLVATIGPLSHVLSIASLVTT WKVTLPNSGILPDGKDDNGIGIPDPKWEINANIVSLICGFAGNFFLLLNFTGRVRAFL VPFQTLGLLFLGLVISSISRFAANISADKIIKRHQEHSRRSTVGMSVTNEKELREKLG LPPKRKVSNARRQSEGRRAFSARRSSLAQYGRLVVAGRLVTFRRHSRAGIGGASEGQL VERAADAKGGENERQSRTKEEEARQKMLKFDFGNDKAKGTEEITRNGSGEKSSSQDKR KERRQKLLLLKEDRDRFETMRQIQDETKRWKQYGDIAPKSNIGKPFFIVWSLIAVPIV TVLFQEMSSTVVRAVNRGAFKVADWTIMPTSKRGVLDKYIQKHPWLQKFVGKRQDAEE PSCEAGAGTEQPGNLVRPAEGPDEQEEDLPSLLSQTIKSIAHDLRFSPQKRYSYEEWQ LFTQLIQFTQREDDSRMTWDWLSEDSPLLADITEAEWVLDRLCESLDRYTRSSSHQEP QPQLEHGREGEVIDAELDPLRIEIEQGPLNNIKEESERGRRNRNVSPS NEUTE1DRAFT_80581 MNGTSPVARSKRKEPPQYSSDGRLAKHHRTNGDIDMSSADANTP TEDFDGHYEEEPRHVLPLAPGADTAEWQATIENVVRNVVSIRFCQTCSFDTDPALTSE ATGFVVDAERGYILTNRHVVGSGPFWGYCIFDNHEEVDAYPVYRDPVHDFGILKFDPK AIKYMPVAALPLRPDLARVGIEIRVVGNDAGEKLSILSGVISRLDRNAPEYGDGYSDF NTCYYQASAAASGGSSGSPVVNKDGFAVALQAGGRADGASTDYFLPLDRPLRALKCLQ EGKPITRGDIQCQFVLKPFDECRRLGLTPEWEAQVRKAFPKETNMLVAEIILPEGPSH KKLEEGDVLIKVNGKLLTQFIPLEETLDSSVGQTVKLMLLRGGEEVEVEIEVGDLHQI TPDRFVSVSGGSFHNLSYQQARLYGVACKGVYVCEAGGSFRFDNNENGWIIQSIDQKE TPDLDTFIEVMKGIPDKARVVITYKHLRDMHTLHTTVIYVDRHWAKKMKLAVRNDETG LWDFSNLSDALPAVAPVPRKASFIQLENTSHPAVADLVKSFVHVSVTMPVKLDGFPKN RKWGMGLVIDAEKGLVIISRAIVPYDLCDITITIADSIVVEGKVVFLHPLQNYAVIQY DPKLVDAPVRSAKLSSEMISQGASTYFIGYNRIGRIVHTATTVTEMFAVTIPANSGAP RYRAVNVDAITVDTNLSGQCGSGVLVAQDGTVQALWLTYLGERNPSSHRDEEYHLGLA TPTLLPVISQLQQGITPKLRLLSCEFRAIQMSQARIMGVSEEWIQKVSLVNTAHHQLF LVTKRTYERNEPAGDHLKEGDILLTLNNQLITKISELDVMYSHDYLDAVIVRNTKELH IKLPTVAADDAETDHAISFCGAILHRPHLAVRQQISKLFSEVYVSARTRGSPAYQYGL APTNFVTHVNGKRTPDLKSFLDAVVGIPDNTYFRLKCMTFDSVPWVVTMKKNEHYFPT TELIKDPSEPLTGWRRITYEGGKKIEGEGHEGVGVAVLGEDQGEAGEGDVDGCC NEUTE1DRAFT_38343 MVVKLDYDRDYYADLELSSTADVVEVKKQFKKLALKYHPDRNPG KEEEAKDRFIHIQAAHEVLTDVSMKAKYDAYRKRGSASASRYATASGHRGNPYSNVSA EMADRYGAPPTRRTPHMPSRPDPGPSAHARYSSWATPSSSSSSRPVPPKTASAADNLR AWDAMRSSAKSGQTSSSTPKSSGYASARTERTERTESARREPPPVPPRTAGQARRADA AFGTTRRAGYSPDSPVGDEPPVSRHNYTSSAHYTATTNMFEDTAANIRKSRPQSSPTP VDPLSEKFSETYLDSRQRTPYASHIGEKFNPFEGANVNRAKSMKDPSRPTQESEEEAP PPRSSRQRSASVGESNSFGKAANGGTTSSGTSKAEPRASARYYAQEAEPRSAPNTEAN PTPRASTSSMRSNSNAQAPTSESAQQDGPKVYAPSPFFKPDEYFQQTSSFGQPSKIRP PHVFSRAGAGSYRGRAHEETANNRASHGRNTPSGDQSTSGDKSSFEKDMHDQLQLLLG RRKMHSRRQHIKPIDDPLSPRKTNAHNRVQKQRATNSVYPHSFAVPDDDGYTPKPQAS ADFTRSGVHNINASFVDQEKVGAGFQFNAGGPTTIPGADAFLRAKQRARSVPRGRQSP LKKTYTSSNESVSGATRPPSETNDAGKKASAFDAGQWQAEFGPHTFVPPPPAKKSSTS PTRNVRPIRKPRPVKMTAGTAGLVDEEETSSSEGKSRSNSAAGVDESGINGGAPSPMA MDIDEPPPPPTPAHAVPPAAPPPTPAHGIPTIINLNGGSARNVNLEPSKPEWRAGNLN NNGVKTSNGGTSSRRSSSAANATHRPIPVPNAGSEDSDLRPIFGNFDVDKLVSPSGTG LSSFSADLKTNLPFESRASTTLPPFEREKIKPKNINFPTPPKAPSPPAALATPSQVTP SQWALYAEQFKLYIHQFNTFNGRVVDHFAARKIKNEQKGPAWVTQLGDKEMLEYLAWM EEDKTVMQKWAQAREAHELNVMEFARWKERTKTGLGTSGRMTSEEWAKDFWSQGVKKG SWKEWRMKIENEGEIFWVRHGEVMKRVTSRAVMTAMVYEALLRLGLFSQIGETVLNLI MGAVVVLISGSAAWFLSKFAVDDEDENGTELLGEHDHNHGQQRQYEYQNDESCQGMSD IEEEEEDADDEDQTEPEPPSSSALSESSSSSEEEYEGSDYVFEYESDYSDHRPRRQRS RSRSQGQSHQAVDIEVEYLSSFSLDEAEGYSYGGSEDGPGGPRYGQEGGEGYISSWSG RGESD NEUTE1DRAFT_121677 MLSTGVDIEGRPPTIVKTAADAEKVAGSRTDIAHDEVASLSSGT LGDNTHRKLKSRHIQLIGIGGTIGTAFYVQIGKGLLNGGPASLFLAFTIWCTFILAIT MCMAEMVTYLPISSPFIRFAGRYVDEAFGFAAGWNFFIFEAAMVPFELTACNLIIHYW SDAVPVSGIIAITLVIYGLVNLLAVEWYGETEFWAALGKFLLIVGLLIFTFIVMVGGN PLGDRFGFRYWKDPSAFTELYYTGSLGRWLGFLQCLIQASFTIAGPDYVAMAAGEAEN PRVVMPRAFNAVFYRLTAFFVLGSLAVGILVPYNDAEMALAFSSGAPGAAASPYVVAM NRLRIRVLPDIVNAMVLTAAFSAGNSYVYCASRSLYGLALEGKAPKALLKCTRSGVPI YCVMIVLCFGLLAFLQVSNSAAVVLSWFISLMTASQLINYSVTCTSYLRWYAALKAQG VSRDKLPYKGLFQPYAGWYGLCGCFVMTFVGGYTVFLPGQWSVPTFFFSYTMIGVCPV LFVVWKIVKKTKFHKAEEIDLHKNLDEVEEYQANYVPTPSRNRFEWVLDWLFG NEUTE1DRAFT_145871 MNNSDTSPGASTPHTSPNSTIAIPTGSSSSKTNTISIGPNRRHT QQDSSTSPYDSDMDLAVHGLHIVDSSHLYPGYKPRQRNAAPHYFHHGSADKMSSTTTT PSPPRSQYRPPLSSMNNSSHSNSSSPSEKVPRKWGVRSYPSLKQRVREERDVRDVRRS TKEGRTKDARLQIGSGRGYNHDEDDRDIEMVDMDVSSGSGSHNWKDAREFERGYDGDT EMGDVPPPPPPPPSSSHEVKGAKEAKGASCSGSNGSKGGCHGRRRVSEMPVKYVQTEG GNTDKSTTSTGSKKRARCSDQMDEEEEKVEHKRMRSSCESRQ NEUTE1DRAFT_61912 MNSSVLPKAALPARHFSPAQICRRQRFRACAGRKASAAGFTVVA RPRTSRSITPTTSTTRPVSKPRTFHTTNRLLATPRDPYGVLGVDRSASQSDIKKAYYG LAKKYHPDTNKDPNAKDKFAEIQSAYEILSDPEKRKQFDQFGAAGFEAGGHTGPGGEP FGGGHPFSGFGGRGGFGANINLDDLFSAFTGGGRGPFGGGGGRGPFQPEIFEGDDIEV QVQVSFMEAAKGTKKTITILPLKQCGTCSGSGLKKGTQRSTCKSCGGSGQKVVTVMGG FQMGTVCNACNGSGTVTPKGSECSTCSGDGVVRERKTLVVDIPGGIEDGMKLRISGEG DAPPLGRQSNPNAQGTQGDLYVHVRVAPDPKFQRHGSDILYTATIPLTTAILGGEVTI PTLEGDAKVRVATGTNTGDKMTMAGKGMPKLKGRRGAKGDLRVEFRVNMPKYLSPNQR TIIEMLADEMGDKTAKRVMDIHRTSGTSSTDNTAEDHKNEGFLKSLWHNLTNPAHQQK DGSEEAKKEDGEKKDDTKKE NEUTE1DRAFT_129215 MNNQQSLVDYLVQHEPSFRKARLPALYSDFTTLRTLNPDGYQAN ISAWRSALAHLTRSGLAPAPKGSTPNLLVLNSDESLLRALESKKYGRPLALGTVIREA LAAKDLIPLHDFLTSPTSIYYQPWLPSPWAVAGGVAGWALKQLGVADWLRGNGLPKGQ YVVIANVQEAGKQFAATTASALTDSRFERTWSKAHFLHTYNEQVKLEQGSEGKLLSET DLDVLLVFLSRDKDLILYDGSTFKIKTPSDEQQSITPEDTTVASIKELISSLTHQTHA LAGRISELDKTARQAVQAKNRIAALAALKQKKLAEQSLEGRYASLTQLEAVASQIQQA SDSVAMMKIMETSSRVLESLNEQVGGVDRVENLTSKLREQMAEVDEVNTILAEAGTTA AGGVVDEEEIDEELEEMLAEQEKEKQAKEEKEEEERERDREREREQEREAEELRRRLE AIGGVPVVEPGTAGSRREREMERLMKGMSIDDE NEUTE1DRAFT_121681 MATPMAHSSDAGFMMSDGIASRGGATPRARNGHPSSSSARPRGP PSESLGAASEDEGEEGFADDQVPVRSRPQNIADIPRVQDRIGVMVQRAFEAFIDGYVE TPTSSGQPTSSAVTTDKYYVAQIHGMRTYSLSTFYVDYKHVASWQDGTLAEAITNSYY RFLPFLTAALHSRIAAHEPQYFNEHRQPTASSAHSTTAASTRGANASQSDFGIKNPNQ QTDKLFAIAFYNLPLVSRVRSMRARNVGQLLAISGTVTRTSEVRPELALATFVCQACY AVVPNVEQTFRYTEPTQCPNLTCQNRTSWQLDIRQSTFVDWQKVRVQENSSEIPTGSM PRTMDVILRGELVDRAKAGEKCIFTGALIVVPDVSQLGLPGVRNVSVRDDRGADAGGS GVSGLKALGVRDLTYRLAFLACMVTPDVSAIGASGDALLMDIVGTLNSSAAAETAETI KEAQEALLSSYTSAEMEDLRAMVHSDHIYARLVQSLAPTVYGHEVVKKGILLQLLSGV SKTTAEGMALRGDINICIVGDPSTSKSQFLKYVVNFAPRAVYTSGKASSAAGLTAAVV KDEETGEFTIEAGALMLADNGICCIDEFDKMDIADQVAIHEAMEQQTISIAKAGIQAT LNARTSILAAANPVGGRYNRKTTLRANINMSAPIMSRFDLFFVILDECNEQVDRHLAE HIVGLHQNRDQAIEPEFSTEQLQRYIRFARTFRPEFTDEAKEVLVQRYKDLRADDAQG GIGKNSYRITVRQLESMIRLSEAIAKANCVEEITPDFVNEAFHLLRQSIISVEHDDVE VDDEEDVPEPPADSPEALQAAAAAASRVPEADMDGDGDVDMGGEAARQPSAAPSQAHR EKQTISYDKYISIVNLIVSKVAEDETQGSGEGIEGEQLVEWYLEQKEEEMATEEDYHE ERRLVGKVLKRMVKDNILMAIRGQGIVGEEGTAGEGTSARAEGNIVYVLHPNCAVEEF NEUTE1DRAFT_80598 MNSNTDFTQLWHRHLANLRHDFSAGAVDNVRVQASPSETARNVD RRGDSEATPRGADHHDIQATSLSVGLEWDGDMEMVIGALQELEVQSPITATEALSPDS PWFRDPRLRRDADGDRDIEIETVASSPQNSSWSRTVAPPKGQRARLSLQLQPPKSPVS LYTSAPAEGLDTNARARTDWYRDHATLLSHSQQLYETYSAYPASPAFTTPRPEPETEP PEPKTRFSSTFSPSQRSRYAQLAEEVNVLILSWATTTTTITAKQQGPIKLSSSRQGIN TSTDSVRNCFKRLGYRVQCRLIPEDYPTAAVETILAKFLADSDMSQERKKLLVIYYAG GAGMVGGRMMFANAIGSSHFFWEDIREPIMSSEGDVLLILDCHHSMRSNGSTKAEDQL MVDPGLASSPSVKQLLGTYVPVPLPRTETPNNSSNGGTSRTTQMTQILCRILDSAHAR GDGKISVQRLCSCMKSELRQDGTELDQMVFVTQLGGRHLMDIELPVLSAGIDIGVRGG NDVGGGVSRGMGVLRS NEUTE1DRAFT_80599 MAPSIQELYHDFVGNDVPNAKHRRSSSSFDPNDISINARPCDLG RVSGLIDDIASYNWGDIDFSQDRNARISLLSKARSLIAALETPRETLLRHIGAETSNF YTIVIGIETNLFHEMAKDNGSPKTATRLAEAISFDPDLLRRILRHLASMNHIIQTGED EYRPNNFSKALTTSPIAGSYQFYRNICLPSMINLHKWLKTKSYQSPTTTIDNPFTFGH QTSQTFFEVIGSTAKNTEHFNDHMVAYCQGQPAWCSNSVYPAADRLISGFDASPQPDG SDPVMLVDIGGNVGHDLQTFVSHYPSHPGRLVLQDLPSVIAVAPPSLTSPLPNGKYVQ LQEHDFFTPQPVVGARAYYLHHIMHDWPDDKCVSILSQIKKAMKPGYSRLLINEQVIP EKKASWEATYLDLYMMVLFGARERTEKEWLRLLEDGCGLKVLGMYGPGGGVEGIIECE VALEE NEUTE1DRAFT_40103 MLSLHSHIATWLLSMLANTLFGRVVTAETIVIKADGLVFGPEII TANKGDILEFHFLPSNNSVVKGSFDHPCEPATADTEERFFSGFLPAKSNEENPSIFRV TIGSTAPFPFYCSTPAHCSKGMIGIVNGSPDQLAQYKDQATREAAAQPNGDFPFDKMN PPDPSKPFGGELLKNPDYAAWYGGDSSSSTGTGTATGPQGSDGYGQGAPPPPPPPPPP PTASTPAASTPSAATATYTTTGSGDTTTGAVGGVTGTVVTSTGTLTYTVTSESEMPTA SSAPPAANGAVVVGASRGIFGLVAGLVALVL NEUTE1DRAFT_39703 MKSSYLLASLALGTTTLARLIPRAEIDSGQWKCRWTGHCLGDEC ETNRDCDGDLVCRNYECANPGGSVKPTTTRKTTSTIKTTARPTHTVPPGCVWPGHCLG DPCKTENDCDADWICLAGKCASPRPTIPVPTTTRPIPPPITTTRTTSKPTTTTRPLIT VPVPTTTSEPPTNPGNPSCGDNPLACIGSPCSIDADCKFDLIICQNGVCGL NEUTE1DRAFT_80603 MYLVPRTSDEHVQAEAARFVREAWGLQGAAYIVVILRYFSRIRQ LGWRKLALDDFLMFAALITYTAETTVAHVLVTTFHGLANNGITDIDRALLSPQSEEYQ LRILGSKAHVAGLLLYTTLLWLLKACWSVYYARLTVGVHNMRMWVVGAYVIMPATYMA CLCVAIFKCVPFEKQWQIYPNPGNNCTPAVSELQTVFVMVMNTVTDFYLLAIPLPMVW KSNLTWRKKFFLLLMFSGGFIEMAFGILRCVCILTLGDTDAAQSGYWSVRESFVSFVL TNMPMVYPLVKRFMEKSIISLSGGTSGIRKDGVSGHNGYALDSTPSSQKGGPRNRVVI SKTSKHPLSDDTLWGSEENIVSSDDGKMVRGSWSSTASSRDIAATDVIAQGSGGGRGR TMSVERGADLIEPQNSGGSGRNWKQKGIIVTHEVTVTEDRRDASAGRRC NEUTE1DRAFT_61928 MSAATTNGRANGINGINGTNGINGTNGINGTNGINGTNGTNGTN GVKPKESKVDVLIVGAGPAGLMAAAWMAHCGISARIVEKRNTKIFTGQADGLNSRTIE IFDSLGFSDRVWKESNHMQEICMWNPNAEGVIHRSDRIPDTVVGISRYQQCVLNQSRI ERWFIDNIKKYSSKNNNAISVERGILPESLVIDESKVEDDDAYPVTVALRTLTEEETT PVQAATASKSAASDGLFRSNLVQDDDEADLLRKSQSRPGTKEIVHAKYVIGCDGARSW TRRALGLELEGEATDYIWGVMDIIPITDFPDIRHRCAVHSAENGSLMVIPRENRIVRL YIQLKEVVPDASGRADRSKITPDLIFRAAQKILAPYKLDYEYCDWWTAYQIGQRVGNS YDVHNRVFLAGDAVHTHSPKAGQGMNVSMQDTFNLGWKVALVLKGICKREILATYQSE RRRIAQDLIEFDHKFSRLFSGRPAKDLMDAEGIDMEEFKRAFMRGNLFASGMQVDYGP SNLVVKSGNWRELGDRSEKSAKLLAKAKISDDKFAKKQALATGIPVGKRLASFKVVSQ ADARPWHLQERLKSDGRFRIVLFAGKILSSQQKARVDRFCAKLDAPESFLRRITPADK AIDSVIDVLTIHASPRKDTELLRDFPDILHPFDQHLGWDYTKVYADDVSYHEGFGNAY KNYGVDKEKGCVVVLRPDHYVAWVGDIDDFEDMESYFEGCMRLPAVSAAQDVGIVVET VSSVTNKAVGQVRVSVSPVSE NEUTE1DRAFT_100138 MLVPPRCSLRGDWASIFQQDPASRMAQARARRRFYAVGFAYFPG IQFAYTAESFMPYIFVSWCRGLANNGMTDEQRATLSRDSDEYKMRVNGAKLNVSGLLL YTTLLWLLKGCWTVYYTRLTAGICFMRRWMIGAYIIMPLTYIACVCVALLQCVPLHRQ WQIYPDPGNHCQPAISVLQTVFVMVMNTATDFYLLGIPVPMLWESNLPWSQKLVLFIM FSGGFLEMTFGIMRDSKLTYLKKGNRDPAQTGYWSVRESFVSFVLTNMPMVYPLIRRS VFRAVYSLGGWIRPGSGTNTTTDKNDNSIRSNGVMLTRYRSPYPIDSPIFPPTHQSSS HRWGPNPDPIFPIPGSETFWVSTTDEEGTTGTGTGPPGSGEDISRNDTIPTAANRSGG TVSFRSTCESPNRSRNGCERPNLTIQTAASPYLGVVTSVESSGMIAEQPHHGWCSGES SSYSVSNGTPGSVMAIVVTREVSIVREEGVVSPRQITPGLPPTPGLPPTPGLPPPAEL MEWRGSTQAIDHC NEUTE1DRAFT_100139 MCFTTTSTMCAKRTFSSISDEEATCQAAPKRICLPTHKATQVGP LNLNSPPKFAPMRPLPSIWPWNLDTDLDADAPLPPPLSIASRIPAFHTHGSSHCGNHA DEHSFPSGKETNKNKRVGTLCSFCFGPYKQGDLHQLPCGHFTCLSCLEERVRQIPTFM VANAGLINQTIAKMREIMNYLGTMTQTDGLASTVRQYWVDEFGVLRQELAILTNMSCS YCQVHIRPSRFMTCLSPEMSKILWLTEEWLADAQPENRRACGWPDCRAYVPSWCHYLF IGKKEVSKAVDAEGRRWWCPSCKGNCFETEEGLNPGR NEUTE1DRAFT_145879 MSSDETPQTVPIPGPPGLPLVGNSFDIDTEFPLGSMLNFADQYG EIFRLNFPGRNTVFVTSQALVHELCDEKRFQKTVNSALHEIRHGIHDGLFTARNDEPN WGIAHRILMPAFGPMAIQNMFPEMHEIASQLALKWARHGPNQSIKVTDDFTRLTLDTI ALCSMDYRFNSYYHDDMHPFIDAMASFLVESGNRSRRPALPAFMYSKVDRKFYDDIRV LRETAEGVLKSRKEHPSERKDLLTAMLDGVDPKTGGKLSDDSIIDNLITFLIAGHETT SGLLSFAFVQLLKNPETYRKAQKEVDDVCGKGPIKLEHMNKLHYIAAVLRETLRLCPT IPVIGVESKEDTVIGGKYEVSKGQPFALLFAKSHVDPAVYGDTANDFVPERMLDENFE RLNKEFPDCWKPFGNGMRACIGRPFAWQEALLVMAVCLQNFNFMPEDPNYTLQYKQTL TTKPKGFYMRAMLRDGMSALDLERRLKGELVAPRPTAQGPVSGQPKKSGEGKPISIYY GSNTGTCETFAQRLASDAEAHGFTATIIDSLDAANQNLPKDRPVVFITASYEGQPPDN AALFVGWLESLTGNELEGVQYAVFGCGHRDWAQTFHRIPKLVDNTVSERGGDRICSLG LADAGKGEMFTEFEQWEDEVFWPAMEEKYEVSKKEDDNEALLQSGLTVNFSKPRSSTL RQDVQEAVVVDAKTISAPGAPPKRHIEVQLSSDSGAYRSGDYLAVLPINPKETVNRVM RRFQLAWDTNITIEASRQTTILPTGVPVPVHDVLGAYVELSQPATKKNILALAEAADN AETKATLRQLAGPEYTEKITSRRVSILDLLEQFPSIPLPFSSFLSLLPPMRVRQYSIS SSPLWNPSHVTLTYSLLESPSLSNPEKKHVGVATSYLASLEAGDKLNVSIRPSHKAFH LPVDADKTPLIMIAAGSGLAPFRGFVQERAAQIAAGRSLAPAMLFYGCRHPEQDDLYR DEFDKWESIGAVSVRRAFSRYPESQETKGCKYVGDRLWEDREEVTGLWDRGAKVYVCG SREVGESVKKVVVRIALERQKMIVEAREKGELDALPEGILEGLKLKGLTVEDVEVSEE RALKWFEGIRNERYATDVFD NEUTE1DRAFT_121688 MTSPDHIHRLSTWDNVAPRTYTGRVICFSFDDHARKNQPESAQT LFDCLRSNLDVLGKERPDFAGKLQLGVNLTPSHKDGNVYLLTSSSFRINLRPKYPKEL ESIYGQVTAQNGAESVKAKGIKFDKKYIDYNTLKRSGFPVKPFINEDLTTDLILGAGK PPVPVVEVDVVFLNGGFFFNLLIHHSYFDGKAYHKFLQCFAACTRGDKLPNYSTNPMI KLPYEQGQSLAEKEFEDILRFCPEFQTWPNHDLKGPTQPITSDILNSERPKSLKNDSK IFLFNFSKLKTLSIELAQLLPSTSPPSAYATLCALLWAHTLVAREVFLNKSSDPSERA IHTHFASHPPFFSTPVDWSSTRLLQKYPSLSSITAQAYFGNTITWAITTLPDTSLLYD IASGNKTSLARVASAISLSISRVDPSFLYTREALLDKVPDMRLLGLPWDSRMPAEWGM NSWADFGADIGWSLPGVARTPGVEKGWTLADAQRRVQKEVGGSGGLILPAKRARPEIW ECQSQRRYRALMPWNVEGKSIRMGMKGAMSEGVRGDMPSYRTMTSNESIITTSPLSLW NNIAPRVYTSRALCFPFSAPARNLLDPLSYTSTLLWFLRIHLLRIAKARPAFAGKLQL GINLLSNEKGKLKRDGVYNDWHVYLRTCSNWEIPLGVEWPDDEEVRGMYAGLEVEGVC KREVKGWPREYLSYDELKRAGFPVKPFINPLLTDLSTLEEDGEAVPVVQVRVLFLKGG VILNVLGHHTMFDGGAFTQLLNILAEHTRSLSFEWEKVPDSHDLRLTLPRIEKPYEEL MGKCPEYKEWEDHAPNGPTHPVCPSLREGDSLIGSSKIFVFTFAKIAQLQKELATHGV EASIYECLSGLLWALTYFSRVTASSTDPSSFEHFLHDHFAEEQPVFSTPTDWIARVAG LTSADPKVVAFKEQIAKDTKEYLGNKITWISTRLPSASLLIDAAKDGDLAALAKIVAA ISKSSTDMAENMEEYLTTRTSLFQALSISSDGQDTPDIRRIGLAFDPRQPSEWQMNSW KNFGADTEWRFPPLPCFSSPSVMTSMVPTNAFSSSASASYSHRDYFNVTKPDAVRRVQ ARYGISGGLWLPARKEQKEVLVQIGLPRGAMGVFERLVLDGKWVDRFQLAHADPRIIS GDTTALWRSLASITPDDNGRETDKDIFLTSHSLAAMLSTVLFISLSALATATTSPKRG LIFTPNSDWPKDDLVWITGPNNLTWYHNYQSLPSSESNYAALSQNQIEFVPTMWGGNE NDTDFLGNVTALMGVDLSKDEDRTKSAGGKRNITHVMTFNKPDQPFDVGGSEMEPRVA AKAWIKNIIPLRRLGVKVGLPLVNELHTSQNKRDEGNKSWLDMFFSNCSGLLDKVEDA EEKACGFDFVPVYSFGDFEMLKDRVGMFENAFPGLDIWITEFGIPSETLENTQNFYNQ SIPYLDGKESVKRYAWFGAFRSIVSNVGPNQAFLDPYGELTDIGSWYLGGNATGREAL PSEDYKDDKCTKEKPCGGEENGEGTLRPSRLIVLWVVVSFLLV NEUTE1DRAFT_80619 MSRTTIKLKNPRTPLKATKRRVSVSSTSSSSLDLSDDEGYSAVE DLSESEDDEHNVMAAEEEHLLTRASRKRPLEHTPRPQVIAQEEEHDADEEDEEEDEED EQNEDGDDEDDDDDEPEDDDNNAADENESWGGLSEHEESTIIEQVPEPSVETEVSVKR HVRFAGIPDDDSDSDSTTTETESNADLFPDIFVDRNELDPAFRIEIERGNESSSAESF WDYDGNPQEFFGDSEDEAQVPQNMLATPLLPNLTVPIPTITPEPSDSALSKSHEAELD GYETDGETTEEEDPEPIIRKKQVARVESAPVSSESEAERPYRSQRGKPRVGRFHLDGS DSNKPIAVFNPNSRKIMIFKRDHQAADLATEAINDVPSANLDYFSPLLTNPGYLMMGA MVSSNTFGDFMNTQPWGPAEAFFPMGDDFYPRDDSDDSELGLEEVDEEEAKLDIEDFL RFDNSDSEVDEELEYDPESAATSPTKKDSDKQDMHPLLNHLTNNADAVGAFRRNQINQ QLILSDRATQESLSFSGPYYHGTLRGIKTGSLETVTTPITPARRRKNNSMVGPDHLFL PPSFPLASPYFKVTPSPWRFHKDPPLNQIIAQKRKAPTTGPGGSLIDDPTTMHVHKRH RSNLSTVSELSVDAMPIM NEUTE1DRAFT_80620 MDTQPTSHSLFEVYLRLRPANTVSTSGDRFLDVDVPEPGESAPK HITLNPPADRRRAIEKFAFTQVFEEDATQLDVFHCTGVAGLVEGVLAPYGDGTDALLA TLGVTGSGKTHTMLGTRSQRGLTQMSLDLIYRSLGHRVLDPSTYPNLEQSISASDPSE ANILPATAYLESVYGTDPTISFLRGSGNGRSTPPQNESSTPRRFRPSTLPQNPDISHL TIPFDASAEYAIVISMYEVYNDRIFDLLSSPVKSAATKEYRRRPLLFKPTELSPDRKV VAGLRKVVCGSLDQALMVLEAGLQERRVAGTESNTQSSRSHGFFVVEVKKRFSLGGQH TGWYGGAGWAGNSLTIVDLAGSERARDAKTVGQTLAEAGKINESLMYLGQCLQMQVAG GKEKPNLGLIRRCKLTELLFTNSFPTGNNQQQHRNPQKGIMIVTADPHGDFNATSQIL RYSALAKEVTVPRQPPSFPPPNSFSNSLPPIGSPNHQYLSFQQTLESAALEISRLQED LTYLRHALDSERSLREQAEAHLLSMEDKMVELEQAIREDCAEEFEKRLELEVNRWKTI VDGERERGEEFWRGKWGVFERMVEADGDVDMDMDVDSPKKPENTTLVETRTVEDREAR RQLEILADENERLQRENESLKQALAACIDPAKSPSRRSPSRSRRKKAQLNYAEDDDGF FQELKRDQGDMAMDGFGEGNGVSGSHGSPTKKKRIRRLGAKKWGQGLDDDDPF NEUTE1DRAFT_109457 MTSSHNQPDQPPMTSISDDYVMLANGTYSVQMTPSSGSEDGGDD EREPLMSKESLPTTKASVSEAHADTKKREHGDDNEKESLEPKESLPTTTASVSGSHAG TQSNIAPSNKVMCATHSFRAGNSLQLSFSRGDRLHVIGREGDSWCEAFNPALPDKRGL VPISYFKDIDFWETTLGNCLLIVLPLSIFLFILIGPVILVIYGPSILDWLAFVEPTKS SISSSSGARKVQIPLCGPTKPYESYHLLPVFNRTPYGILDCNHNAPHAELLQSFFEKS ITDTLTHFYNRGEVPDEIDCHPWKRPISRDADESLRSRLNKFTPEEWAIQWLFLKEDR SVADISILPILGINTPGPMPCNNDETSLRRRSHQYIAQMEVVRQEIARKAQLEIKELE DKKKNEGDTEPSLWTDMGQMVEQIVRNL NEUTE1DRAFT_21184 LMGLDNTALFEQRATAAEQRVTELEQSNAALVQARGQQVAEMAQ LAQKCADAETRALAAEKKLRELKQSNAALVQEIQADDHQLNPRVAELERQLAENQAAF TSLSQHLAQVEQRAQVREQELSAQASA NEUTE1DRAFT_109459 MSSFDPPVGIAGETPVNVSQNFDCQNVTMADSAKQGESRTPQDR HSAPALKRKRGLGHDAVYENQEQGRIERLPFEGGLLSQQAHSQVCFKNNGPNHKDRLE LMGRGNSAVNWIAPDSQIKKPGHQLMAKIALDDDSFEAFKKSIQREARGAGGVLANIW VQIHEPPTNEEETHNAGNEQSNAADLANEVSSAPVVTQEMPVQGGSRSLSSGPSGPFQ FGLPSSFIDTRSPVPQQMAAVSAAVAIDPFAE NEUTE1DRAFT_37955 MPVDVSQLEQQLEGKTKELSVLQARISELENELKTVEQLKAQLT DQTQKLSTSERRVAELERLQDAQTASVKTVEGLTNQFGQKTKEIPASKGRFSDVEKGL NGALATSQANIKLAQDAWAASQKLGDKVKDLIGQVSGLKSALVIVDEGSQAVTEEEQN PDLSAYHRFLG NEUTE1DRAFT_109461 MEPALDEHPAWHNNSKLIENLIIGYINERPFYRKRFTKNKKSRR SRAILETISTHAGRGGRDRNYFATAAEQRVIELEQSNAALVQASGQQVDARVVELERQ LAEKAQVEQKCTDAEARASAAEQKLLEQSASVQGQESDQQVNARVAELEKLLAEKAEE LLQSQESVKTIQASHQEMDDQVTELKRQLAEKAQFEQKCTDLAEDLQAHFTAQQHGDI DMELDNDSHGMDGDDMDLGPFEDEADDTLFLSTVEGLCKKAPEQSSMSQMQEMPGYNT VARLLHGVWLE NEUTE1DRAFT_137032 MASPQDMLTRFLGRSVPGTHIAHLQGPHGCGKSTQWLCRIWDQI AREPTARLVYIQPTATQAKLLTAYLSTQSIKDGLDSGRVMLFSIKEMVKLSREPQQPL HTRLGPRTTVCIDLEVTPSARGEYLVAFFHDMAEAAGESLTVITMGAANHRYPRCARP VIIQVDPPHELLPMAPLRSGVHASSVIWDTLYEGGKAQDRNVLLFTDLHTAMDLRNSS SWENYETRSKGLPKCHFVTLSRRQLDQNPTLVASLATLKGPTLIQVEHDVEVRFPIRN VAMAVYQTTKEMTVLDNRSGLLVKNPAVLISKQELLAKQGLATYSCGPAQVAHYIAPD GPICMDSILDQPRPHAHSSELLAFCLLALSIPTYDPLAYNVCPLLWYEALRVVKLMGL VNQTTSTLTPVGLLTLEFAHQMSMSNIYPAAFIAHMHYIPASPATKRVACMMAAILHA GQDSIWHPPEESFSAHQFVRAMVAQSSPSFTLDRLWSGSLWVAVALLEEVIHTSKVFT TPRNSEHDRWPLKSGCSFNDAAMIYRLSTWLAQSIKSPGPLDRVDPDDQPEVLPIQRT LLSAYAQNVVFIPSVDLSRSYVRRDIVSFSSCQPLGMNVLDLTSLRPYAVNHGSGFLG LFTKVDLDDEANYAIQGTMPLKCEVFDVFQGRIPGFQAGAELKLEDLNKLIAGLMTVW GREVMVD NEUTE1DRAFT_100147 MILPSYCRKLAQIRHVATMNYVARLQQIWSLVPQQPEDNDTWDT VQVALGTLTRDIDNEIRQNDEWERLLVEQEEALGNQDRELAEKEQSLQEREKTLGERE QTLLVKEQAVQTREHDLDRREQAVKDKEHQLELNAKLDLIIGKMENSATREQVQSVSD SVQNMASQQQVTATQEQVKAVSKALDTVLNDGATKEQVTAVSKALDTFSKDNATKEQV TAVSNSLNTMSTQVQAIPAVSEKVDAISDQMKQVGFVSESLQNVVQFMAGVSHMLNTV SDQLSQIWNDSSTQELVQGGTSKLWKKLLEISSAMESQSRTRSETLDSISSQISQVNN DKATKEQVESALAKLDTLSENGATQELVQSVSTTVGLVLARFNKVASKDNLKTLHRAL ALQLAAVANDHDIRLSDIESDLQNVWSWVENLCDGDTNSLAVVLKEVRTDKDWENTSY GALASLETQIQKVEWLEQQLKDLNSTNLDMKKQIQDQRARLDKLNEEVSRSQELLATR RSCSRRSGPPKNNRLWVQRVDDLLDKLDRFMIADIQEGPLETIFNQFCLIMSDPDDRA LGNLDLFNVGAPVDRWYCFKRVIHSWPTTDAISYDGQCRISPSCQTTKQNLTPLPRHN NHNNNHDHKMNDSVKMNAPSMPLDAQKRRSHIMTEIKKAFRLEESFIHRMMADIFAAK AHENLWRQKFASVCPNVRNWLIDFYVVKWFFPEEISSLYKINYPLPAPSAETSPEYAA FREAKIQERDGTFVVPDTAMWHQLRPQVSEGHPGVTITPLANPYVMPALGQTWLNFVG WACEVLLMSHNPQLQPSYPVWLEKHVVDGCPPHNEERLVETANYARMVATFKTALPQA ERLLAGAALDDITLEQAVTECEKLCSSLHVGLPSWNMHATSKLLVWAALHNTHAVDPY VAEHYPRQGLGVTVELLRALLPKFCQWKGRQYVEHWIKENPLSLPRTRLEESVERVLK DMNI NEUTE1DRAFT_137035 MSPNTSGKRDAADTPSRSKGRKRSASFGSQDEVSFPKAASLQGA GRSKRRRLSDEDRSASTWGTPPSYQHPLYVTPMSYVPSGPTCTSHNVPSTSPLIDLSN AARMYHQGIREASNALDIVTAAPKESVQHDDPFSSPIPLEVDCRSPQKASSNYAPSSM VLDKRHASSDAALVKSSDGERPPNPGQGESSDGEQPPNPGQGENVQPPSPIVPHIEAD VQPQSNAANKRPVFPGHSAPLLSLSQMFQPSSDEERE NEUTE1DRAFT_137036 MSAAAAGDDGNGGFNAGSYHTGNFCGGSGGDDNDRGGRGRNNNN NNNNNNNNNNNNNNNNNNNNNNNNNNNKSRRRRNQRRNNSNNNNNDNARGGYGGRGGR SGHGGYGGHKDGHAGQHHPSKGPQGVGKSQPSKARKALAGTGLPDSMVSALMPKRDGK QQALTRAAAPPAMTHLTGTHQLESFLANNANPSHFSVPLNDGSLFAVGHPSQVLQQVA AVGAAIANNPFAEQYINTGIIAPRKRQVKAGDIFSTWMMLRLLPMLLLPRLAMLPKLA MLPRLVLLPKLALLFRLAVLLPFSHKWTLG NEUTE1DRAFT_121692 MSVNRTCDHDALPDLQGIPGLPMDRSVFVIPNWNESFVGMTKCC APNEVHISGDYGFEGCVLWCLIPDSFLKARDGQKLEEGAVLDEMSYCIRAKTGISRYI SGGQVKNNSASSRTTLLGVGVWALLAVGLLV NEUTE1DRAFT_145886 MSSNDAPVYTLAEGRPVQDPSAATVLMGSKPRGGALSLLADTQL IETLAHFPRERIPERVVHAKAAGAWGEFECTEDVSDFTSVDFLNKVGKKTKVLARIST VAGEKGSADTVRDIRGFAVKFFTEEGNWDFVGNDLPVFFIRDPVKFPSLNRSHKRHPQ TNVPDSTMFWDFHSNNQEGVHCLMQLFGGRGIPASLRNVNAFGNHTYKFGKPEDGTFK YVKIHLKPDAGIKNLESDEALRLAGEEPDYHIKDMYNAIERGDYPTWTMYFQIMDPKD AETYRYNIFDITQTWSHRDYPLRPIGKLRLNKNPENHFQDIEQAAFSPSTMVPGIGPS ADPMLQARMFSYPDAARYRVGPNYQQLPCNRALHVYSPYQRDGPMRVDGNYGGDPDYV RSSFRPMRFGPPDVAHDEWAGKVALFTSEVTDLDFEQPRDLWKVFKETGEDKRFAKNV AAHVGKALPEVQKKTIEMFSKVDQEVGEAIQRELDELEKKGGAGIEHDKAPVKGKGMR CKDSKDDE NEUTE1DRAFT_116729 MLRQHPNLLDHGCCLYIGLDVDIAMIVSMVVTGGSPIATGYHDI TTCWNPTCTRTTSDEGEHTRDIRGLRSKAIARTSHVCGC NEUTE1DRAFT_116730 MAVRESTPPAPASPGSSAAGSPPGSATKKDFVKEQSTADDDAAS FAEHNAGPELKRRLKSRHLQMIAIGGTIGTGLFIGSGSALAKSGPAGVLIGYCFIASI VYSIMMSLGEMATYVPVTGGFTTYAARFVDSSLGFSMSWIYWFSWAITFALELVATGL IIQYWDDSLSIGIFIAIFWVVIFVINLFPVSWYGEAEFWMSSVKVITVLGFLIFGICI NAGAGQQGYIGFKYWHEPGAFAPYIVDPSRPVAKWVGFWSVMIQAGFSFQGTELVGIA AGETEDPRRNVPRAIRMTFYRIFLFFIMTVFFIGILIPYDNKDLNNGGYTAAASPFVI AAQLAGVKVLPDIINAVLLTVVLSAANSNVYSGSRVLVSLANDGMAPKWFKMTTKSGV PYIAVIFTSAFGFLGFLNLSPNGSQAFDWLLNISGVAGFIAWSGILIAHLRFMKGLEA HGIPRSKLPYRATWAPYYVYYALFFCILITLTQGFTAFMPWSVEEFFVAYVSLILFAV MYLGHKLYTLIVHGAAACKPVSAKDMDVTTGSLDLDAEIPDEVEEPTSIWKKIGTGIM G NEUTE1DRAFT_121696 MLFSTPKSRSRRQWLLPLVAITTSLATHVAAAEIAPHELPSYHY GAPIRVECMNRSSETGEHIETPAHEIQWIPFPMCEETKAPLEFNYGIETEQNCTIPMI DDPFFHLLEFYIHSDAPLTCRLPARPPPQVEIVGEKPHVTEYVPLVFALAGTLQLSHM HISTHMNVLLHSTPKHHLHKKDSGVLDSATAYSTSPLTHMEGSSTRRLVIGDPLPLQF SVRWFPTPELPRVEGKVEWQGMGGHIYASTVFYALLSFGAGVCVSSVWFFGSVLPQRL KGRSLGGATPLGYGGGVKDVRSTNKMAVYNESRLNYVWLAWFALSIPIILFIDALHFY PSSWYSSPSAPLHIFHLARQDYIAQYNDPIVQWSPSTSVPGSHDSWIGLFLYLEFGFT LPVVLYGFFLLAVKRKGTTGRDELLFLVYALETALTTLVCIYDVGYWDPAVYSEEAKR TMVLQFFGPWFLVPSIMAVDMARRILGRIKVADEAMEERQRRKGQ NEUTE1DRAFT_39852 MGKFHTTLPPSLLPWILSQPVFFVTTAPLSPQGHINVSPKGTFP NINSFGYIPTHESEPTKPAQFWYLDLSGSGSETIAHLYEPENGRITVMFCEFGKAAPR IVRLFGRGKVLENGTKEFQEWTKKEGVEVVPGARSVVVVEVELVGDSCGFAVPVMEFR ERREVLNDHWRKKVERFEKGLSKESVERYWAYKNAWSLDGLPGMEVARKMGEKEGIVP IEKMVGPMARNLPYSRRNWCSSLSIWAVMLQVLLTAIVVLAGERLMGFR NEUTE1DRAFT_116732 MPTYVVTGSNRGIGLEIVRQLTQSPENTVLALVRNLSKDHSSLK SLASEKTHILECDTSSLSSIRDFAKTARSTLGDTKIDYVINNAGVNLSEQNSSLQLAP DDLLDTIKTNVLGPAKLLEFLLQQGLLSENVRVQNMSSTLGSVQMSLSGLGRATGYSI SKAALNMLNAHLATDLRKAGLKGAVVIVMCPGWVKTDMGGDGAMLSPEESVAGQLKVL QGLKDEDNGKFFNYRGENVPW NEUTE1DRAFT_38729 MQFLTTISLLAAAAVSSVSAAPTSNQYTEVMGKVTFGLERQCPI DHIKYPAIEFPANTESNHCRTFYAGAVFQSIDVEFFDPKCQLTVYSTYDCSDSGIVSG SGGCWNPEGGIKAYKATCPWKL NEUTE1DRAFT_129232 MAGRMRYQVRRLALPSSSANHHSGCEARTYTCTATTTYTDADYG YGWYQFGRMPPQKVQPQFITLRTASAKSSVVSSVSTATSTASNARDSKTRPKETAAGT ASTTTTPIQDTSRSGLFWESAGLDIEPRWARQPDIDAIAQVVRRHLGITSPSQCVVTF HSSGTYNKLYHVNSPAHGCYLMRVILPVDPHNKTRGEVASLQLVRCKTDIPVPVVVAY DDTSDNEIGFEWILMELLEGQPAHLRWRKMNMRQKERLVGRMAEFQAQLSRCGNMTDS GFRGIGTLGATSRGESDYVDLMMPEPGPMVSPVFFTGERWHYPVSRGPFQSSHDWLRS YLNVIIKEHSATLAQPPPRIPDDTDREKRESAEGVTRVARKLARLLHKLFPQLVHPPE RTVLWHGDSLSLDNILVDENGRITGVVDWECVSTMPRWVSTQFPEFLRGGVEREEEPH RSRYADVFSDEEASEEGQDGGMEDVPDNEGKTELYWIHLMEYEQTQLRRVYSARMGQL MGHGWDAEVEEAALKVDFLGAVAKCAQGLYLKRIEQWVDAVEGKEFVRLGDVLRGGVA GRKDSNASTTSGPTDSRTTPGITTIKTTATLSPKRGTENQRPRFSITKIY NEUTE1DRAFT_121702 MSNNSAFNFSPPGPQRPIISPPPTTTASTLPNTATLASIGPRIP TVGSRARDLHYSIRDFLSTHPLLELGGQEHFSVERRRHQDVFGLHALPKDKIHPIDDV EFTAIRGPHGTIPVRVLYPTSGKDNRNKGKAGALIYFHGGGYTVGSVDEFENGLRLVA EESGCQVYVVEYRLAPEFKYPVQLDEYSAVIDWVQGEGGKTRGVHPEKVVGGGDSAGG NMTAAIYISPQNRYVSPGMQSVEDLRGQPPAAVFTSGYDPLRDVGCEYASKLSQAGVP TRWRHYDNLTHGWLQMTAWSEVAEQAVKDVSHEVKRLVFAAIDTHTLKGPQGQLPVDR LNVRDFTLANSQRGQSGDRPTTRAARTTRVTTPFKINTFSKPRNRLVD NEUTE1DRAFT_116734 MDHPHHPPPGHGHPPPQHGHYERTTAEFFNHASARRIDTQCVIA TTLKREYPNLELTIAPIYSVNLLGFAAAGHATITPLTEDLCSSANKYPTSLSTTSYVP PARRIDNSPGFLVKDIIFAKYLLKWQSKEFILYIVDGRDGASAYPHLKNNYILAPSTH LSESLLLAAGKWSDSLLNEIWVYDHGRWEKSRELWESIQGASWENVILDGDMKEALIQ DHLNFFESKETYKKLKVPWKRGVIYWGPPGNGKTISIKAMMKTLLDREDSVPSLYVRS LVSYAGPEYALSQIFQKARQFAPCYLIFEDLDSLVTDNVRSYFLNEVDGLKSNDGIFM IGSTNHLERLDPGISKRPSRFDRKYLFPNPDLKQRVAYCKFWQNKLKGNETIEFPDVL TQKIAEITDDFSFAYIQEAFVASLLTIARDSKEKEEILARSGIEDDWERLTLEDSDGS DDGGDDGDDEDLDKYVLWVEIKKQVAILREGIEHED NEUTE1DRAFT_80650 MSSPNTLTVPDEGTRPSSGIVDTNPSRNTAETPVSLSHEGESPS PSQAVTNATPETTYTTTSSSSVTSGVVSDIESSTSLTGTEIMAMRRQRTVNRIMQHFC QYLDWISKGNAVRASTEPFHQTSSQSLPRASLPNSTRCDNIPAVNTELPGPESLENAE SLCLQQLTLFDDITSKNDPFMSITSGSRDSGVDVSYSAGSSGEPFKEGRSGECLPDPW TALELPVPATSRDSEDLRLFKNLPGGFLTNHISVPWYPSVLELDDGPEPSTKVNGILH DSTPTPTMHLAVNTMRSEIGRPVNDTNQSNGIRKHAAEDDGDHDGRRKRQKVDDGRKF AYPFFKRNARKYSKWTSCPGPGWEEIHRVKYAIPTGNYATHLYRRHELIQCPRCWSTH KNNKSLVAHLQTDPSCEKQPNLSIVDGVTPEQKEKLLSRKKTHGDMTDEEKWRHMYMI LFPDDDKDSIPSPCKPSLLV NEUTE1DRAFT_109478 MRHYGGIYIDPNYGCARNLALLLYYPAWVIDRGHGITGARPNHP DWVMLTGSIVTRGFRFLFPHATHGGSTEFGRVSWEKYHARLPAKERKGNRVCRIKTGH RDEVFFMPNGIFRDDWDHSYGYITSTKAILGFLLLFAMLTRRVSCSWQLASKQDPSTR IPETAAVGGRSGMVRDGLTFRHAFPQLDTH NEUTE1DRAFT_61970 MQFFTTIQALALLATGALAMPNNIEAADLAARTLEARVNCNQIL PACNGGHVVGQTNCRCKGQKETCDLWTCPGGSPNVMVCGQAGTGCVWV NEUTE1DRAFT_100161 MPVYPIFTRLFLPLCLLILLIFFPSVLLSVLFVIRCIGYFCCYN FRNGQGLSLIVSPTTAANLLGMGALDDGPAPWASHVRKQRETPYQITDVKGKGKGVVA TRPIKQGEILMVDVPALLISEEFLRETEREGKGHLRRRMVKRGLEQLPESTRRKVLEL QKGSGSYEIDAILGINLKGLGGDRSETDSALALLEEQELQEQWEGHAAEGMMGLFAEV AVGFED NEUTE1DRAFT_80653 MFSTLSTLSWLALVAPALALPSHGVPGKNQTGIKPITRIQLGPR PYWLIDQMEDSPLKTKLQSCSEKEMRPSRWSIGHRGGGTLQFPEETEASIIAGTRMGA GIEECDVTFTSDRQLICRHSQCDLHTTTNILTIPSLAAKCTVPFTPYNATSKQSATAK CCTSDFTLAELTETKELCSKMDSSDPQATTPEEYQGNVPGYRTTLYSGPGTCHKLLTV KEYIALVDALGLDFTPELKTPEVKMPFGSINFTQEAFAQQLIDEFKAAKIDPKRVWLQ SFLYDDVLYWLRAEPEFAKQAILLDESGDAPSTISAATASLAKYAQAGVKIVAPPLQY LVSTVTDQDGKVKVVASEYAKQAKKLGLKVITWSLERSGWLADSTKGGYYYSTIANAT AARGEGVVYELLDVLASKEVGAIAVFSDWSATVSYYANCFGLFP NEUTE1DRAFT_80655 MAAFPSLSASFVLLQLALTCSAQHLNLTTRPLHLTGHTPGDGCV HLPIIHSTNTDHFARRGIQLALNNRSDVAYYAQLEIGTPPQTVYTQLDTGSFELWVNP DCTTVSPSDLSFCDHIGFYNASLSSTSKSLGTSKTLRYGIGAANISYVTDTISLSGSS TSLKDIQFGVATSSKDAFSGILGIGYGQGLATKYPNFIDQLYAQKITKVKAYTLALGS KTAQQGSIVFGGVDTSKFAGPLARLPIISAGDSPDGVPRFWVQMNGIRLTPPSGQSMG VYEGSKIPAFLDSGSTMTILPPALANKIAEDFGSPEKDANGFYSVGCGYVEMNGTMDF EFVGSGQKVTVRVPYKEMIREVGQGESKMCFLGIMGSESFTLLGDTFLRSVYATSCGN TPAALRDVTDLSRVVGNCQIQAGQKEAVVDVVSETSIAPPTGSTGGTDGVTGTGGNGN GNGGTRTAWGFVTTTLAVPIATGLVGGGGSMSATALDSSGRSMAGDVVLSVAMAVGAA VLGSLL NEUTE1DRAFT_100165 MAPLPRPLPQGGFTFSISPSATHLNHPISHFLSTPLTFEGSAST STTIRPQALAGGALVFSRSAPDAVDRILLLQRAPYDSMPLRWEIPGGACDPEDESFLH GVAREMWEEAGLLPKRMVCQVIGKDKKPQVFLTRSGKVVMKISVEVEIDEAFGAEEET DGNMKWKGLPKVMMDPNEHVRFVWATEEECRAGVMMVEDEEGEIEELKLNFTHKEQRE TILEGFEIRKRRALEGGDAEDA NEUTE1DRAFT_137054 MASPVFLYLSDDPQAYLLFLKGYIRPCADICTKCKVRYLDNFIK TASAPDLGWNRLSPDERPRPAHTLLDAQLANLGITAANRGMGRPDRADDRYDQYKLAN SYPMVRMSTSLHHLSLIRPPHQPANTALCTCSIFSVLNGKHPDAHTGYEWINVILRAT QQRVEPALLQAWAQPLNEEELLTSSAPYRPLCPGYDCQADTSWQEDQVHKRNNVVDIP RFADVVWDWSVDQIRLLGLGNGAEDDPAGDTPSYGISLVSTSPGDPSNPDSDPGSAAV DPSHPPDSLRPGFLLLTGTPSTSASINSQFRDYHLDTFPPCRFAHSLRQDLLRAFLAH TFRLNAATCNTLSDLFVKAAFALSYIPPSSSSSSHTPHLLPVKSLFDTAHRDYFHHKT PLLDIPFDQNQN NEUTE1DRAFT_100166 MGLEAVKTCQLLLISAHGFYGDDISAASGAENHDQDCIIDLVAR PGQASWEVEGLARHTPDLKLDCFVDTTDRTAFFSLRGQCTLKTSTPAHVSLFLFIYPE DIQSLEVSYTSSPLPHPEEQEAVPDIDRFIRLRFIITQPPSLVAPKHQPLQPKHRSEA LFDALQSLATVKDISFYLDLSSLPIEIQAQLACLPSIFSSANIHSRPRTHVNRASLVR LYGGAGGQTVNPSTTAREPGHHEVQEAQPGSPTLTTSGVLQDAAPTSTQTRKRSASAS GFLPPYHNRHDERDIASSSNLRLFESAQENASPSQELTQFSPTPDRNGKRPRLATPSD LDLPSLPPTPTAADFLEASTDPSKFYTIRQQLLTRITALETRNEQLLTRNVTLEARVE HSSKLEDWFRLEVRQRVGGEVTRQLDKLHHKTGRKWEEEIRQEVRDQITQDVKEELYE DASKHVLQRIAAVLVEAVDGSGWLVPGSTACENLLSRSVVPGENALYTAVADVQKTHA GEMSEEEMARVIDYLEENPLSAVKYNACRETMRRYFVGQWKATRKRTWGVARW NEUTE1DRAFT_109485 MGTSDGMRLRFLSIAIRIGKAATAGRIRTYMSDSECLLLQSISR RITLSQVPVGEGFKFPIISPTLFKGGYNKSDRIICLKKLYKSVDLCKVQYTDHSNYMN NIPARKSVIIFIVNKIGAAQSSRGCAQLSTGKSFTKPPRGGSGFIEGDRRGILGGVRL GIRDWS NEUTE1DRAFT_100168 MTKRILLLCFIHGFKGDDDTFRDFPKHLKDTVTSNLVDHHVASV VYPKYETKGELAQTTEAFLEWLKERVMELRKEHLQAPWPSTDRNVGVILVAHSMGGFV ASDTLFRILDERRRNADSENPPGPIFPMIQGILTFDTPYNGLARSMFVYGAFSNYQKV SNVFNVMTALTAAAPATLASLASKRTAVAATKAVSRSISVPQRSHPALKAWQLIAVRT GTVGVIAAGGVAAFMHRKQILEGMRTVKNLKKEDVVQGYQQSVDALGQGLAYINRGNV GQSFAWLSDHFTFVGALLKQNELNRRLERLAAVKGVGIKDIYASLGENGYWSGGYFVP ERTFCAIPGEGQPCNGLFSRHVIPEAKDEIEAHVNLFKPEKNRGYERMTNEAAQLVIN WFKDETDIWDDPKFAEPVEPETAETEEIAKAIDEADQEAKTAEEKVKEAEKKMGEEVN EGDSDDDGVPDESPIDIAAAASLVPLPDDLEDAEDDNGTADTDKKKAISEQKQAYMRH LFGVAQQTGTNIRSYLPSKLPEMPEWEMPKVSMPSVSMPSMPGIPNIPSIGKYSLWGS KKSETSQSSETEVQLDTSTDKTDAADAKSVVETPDSATHKILSPKAETADAPGSA NEUTE1DRAFT_61981 MKSFIVASLLAAASSVLAAPAPMPTPPGIPTAANAKTQLASLTV KPYVDDGKYERDLFPTWITISGTCNTREYVLKRDGTNVVTDSACSATSGTWASPYDGS VWTAASDVDIDHMVPLKNAWVSGANAWTTSKRQQFANDINSPQLWAVTDNVNQSKSDK SPDAWKPPLTSFYCTYAKAWVQVKYTWALTITSAEKSALTSMLNTC NEUTE1DRAFT_80666 MRVLAFIPVLAAVASAGCTRRSTQKPAPTGTAQGEGGAWSQCGG PAEGSSSGSAIVKAVPLTTSTFSSSAAPVTTTLKSSSAPTSIRSTTTSSSSSAPVATT VKTSESTVPTSVSSTTLESSTGTPSATTVPSNPNPTVPTSVSSTTLESSTGTPSATTV PSNPNPTVLESGWYWIRAVAAPNFHKYLQGGASSAASSNQTALLEDHTTAGQFNIIDG QLVFNTKPAQLYLNVENPTDKTQRKLKTWFSTTKNAYGTFKFQGDTLTWSVADIKRQN EAAWLVCTGQEVFINTGAYLYQTPAGCSDQTIHSYGGSTADV NEUTE1DRAFT_116739 MQHYFMKQRFDDDRHPANPNLQIYLFFPFYIPRFSQLRVFKTSY VQPGTECRGCGPDEATPEAQFRTNGPSSRAIATLPGFTL NEUTE1DRAFT_129244 MVLSMARSRGVLALMAITSLVTVWLCRLPQLNINITRGPVLQRH LDLSLPDAPFVDWPLERVCSETSWTPGLVFVCDNNSGGIGNIRNYMLTCLRYAIDAGA TGLVMPRIRVRSEKDLSDTTSENYQPFTYFFDEPHFRQSLQASCPQITIYDTASDVPN APAPFKTEETTPKNLGRRGGCDRRDHNKHTGLFASAFANHLRSTAAEFNLAHPPSPEH PRIFRLTWGVQFEWPVFRDGPEFASTFGGLLRFRPDILALGNKVVAHMRQHARQFDAD SHSGRFVAYHLRTENDALDFWPDYANQSKAYLARSQQMGYDMKAGYLATGNETEAHKF FRDATVLHGMRVTTKDLLLGDYKEDLAALEKLTWDQQAVVDFIVLLRSDLFLGVSPSS FSMNVALKRHLQAEGLYTRPWRIGGEGDGRSWLMGKYEQFWEDWMFMYEAMWP NEUTE1DRAFT_121715 MSKRAAEDESLAAPLKGGDRPEAMDVDKDHDMGEFEDDFEDEFE SEDEIIEAGVDGRPDAEREAEEGAMDVDGGPETFMVGRQQLEAGQTLTPDTTTYKMLH SLSTPWPCLSFDIIRDGLGENRNVYPATMYTVAGTQADGAQANDNSLLVMKFSGLSKM QGEDGEDSDDEDSDDEDTDPILEHKSIPLNTTTNRIRSHQSPAQDPSRPPTTLTATMT ESSQVLIHDITPHLASFDTPGMIVTPQQNKPVCTIRAHKTEGYGVDWSPLHPAGKLLT GDNDGLIYVTTRTDGGGFVTDTRPFRGHTGSVEEIQWSPSEANVFASASSDGTVRVWD VRSKSRSPALTMKISNYDVNVMSWCRQTSHLLATGADDGEWAVWDLRQWSSNSSAKPA PLANFNFHHEQITSIEWHPTDDSIVAVAAGDNTVTLWDLAVELDDEESRDTAGVSDVP PQLLFVHYQNMVKELHWHPQIPGALVATGEQFSVFRTISV NEUTE1DRAFT_129246 MRNVSERAREWQTFRFLSTVRDSRAVPDPAHTSPNKSRRASSDT VLTALAQLGVVQLSARRGVLSLFDHDVQHIAAEATPTLPLRPYARDEITPLLLRGRDI SRSEVICDNVVREQRRHPEDLIVFVIPDLLADPRFHHIPSLLEQEIYPRFYAGVPICS PSGVVIGLFSVFDTSPRQKLDEFDIDFMKDLSRTISRQLESYRKQAVLLQTERILRGV SNASIDPPTHITVSEDAIDGTLSSSEYEAEHLRKLSLPDHRSLDSRLKAGDTHSSDKP MPPDTPTQGTLGADVRLSEIQKSFAKASNIIRQSMDAAGVIFLDANTSPFHCWQNGDA NTTSDVHHGAASISSKKVNGVANSEIDMEVKPHKRPCDILGFSVTPTGTPDGITIDPT GPLRHPGPHRSWRVLSESFLRKLLRRYPRGTIWEFDAAGNFISASEAVPMPSPPSSTS PSCPYSDSEIDTAAKRQRRGRARRKNGTLMRKPRKSECDTIHAAFPEARAVSFFPLWG TQMQRWFAAGLSWTRESLRTLAPQDELGLFNAFGKNVMAEVARIDATLANQAKSDMLG SISHEMLSPLHGILGAAELLLDTDLSTSQMELICLVEASVRTLLDTVDHLLDHSKINS LVENTKLSNARRGSFQSDDLEHSEGPDLSGPPETSRMRLRSGSLAPKPWPWEQPTLTR FYDVDLAVMLEEVVESLYAGYSFQRWCNQRGADPGDDHVAIHLDIDPTVNWSYYAQPG ALRRILMNLFGNALKFTHKGFINLSLTSQPIPSEPRPSFASTDLDDEAEDPGIETDNK HSSSHPKFKTGWNDQNRSNVVLTVSDTGKGITQRFLREKAFSPFQKEDSLTPGPGLGL SIVKQVVCALNGRVVIDSRPGEGTTVRVIIPLQHSSPEATSENRDMVEHRDALGGLRI CLLGFDGLGDGDPDGNQWGEPVGAKERKVLRGRIMERMCRDWLGSQVVTNPAEAQMTI CSDRFVLDMCTRDGADDKTGGRGFASKTLAGPLVVVCGNEVVAQNLSLARGESAAGGK GEASVMEFIGQPVGPRKLAKTLRKCLQQHPVPLKERGGERTSSESSIDLDVLQRKMSD PEVFQIANKKSRFLPYLADDFGDNLFSTNQGTAAAATRPLVQRIKSTGGRPLVQRTSM GKDGKGEGGEMEYAPVSDMEEGEKVEEAAIPEPTLLQNVKQEETSLPLSSQQKNIKMP LTRPPLPPRYHTGKEKTNSMDLGFTTVSISEVSSPFDSIISPPILTNVPASPEDEQVS PMSHAINTIPPSGTIDASTSIIGNAGPTGGAIVGAAAAPATSNTMIGTAAVPDVSLPP KPPELLEPYEAQRQQSILMTSPVLTGGQPPELHLDTRRLSKASNFSINTTSSPFQPSP PSQSLSNSPSQPRKISSNFSPNQEEKACLLVDDNPINLHILASAMRKTHRPYATARNG LEAVEIYKENPGRYKWVLMDISMPVMDGLEATRKIREFEREYPVQRSRSLGRSLSTKR GSVATEVTNAARARAGSLDFADMRGVEVQADVEAGAVSGAGNRKRVIQKKAGRASLNG IGLASAPLMDQDRGAPTDGDAQVVQDLKAENAQQVNGEEKGDEGVTGLEANSTEGELI SGLEPATVVALTGVTSGTIQKDALASGVDLFLTKPVRMKDLGAILGDG NEUTE1DRAFT_121717 MSKLGINDKIKLNSGYEIPQLGFGVDSASAYRNEAGCGAAVLRS TIPRSEIFFTSKVGPKHISYNAARRIISESLVKTGLEYIDLMLLHAPYGGSVHRKGAW LALVEAVEEGKIRSIGVSNYGVHHLDELEQHIKELEKERGGPGKGGVLSVGQWEVHPW CPRKDIVEWCRKRNVVVEAYAPIVRGERFGEPGLKSLAEKYGKTEAQVLVRWSLQKGY VPLPKSVKAERIKENAKVYDFELSEEEVKALETEEYSPVCWDPTTSELDNYSGQEV NEUTE1DRAFT_41213 MWLPLTLLTATAAPILANPLTSPLNKRAAIDDCLKSAGVPADAQ GSSDWRADVNPFNQRLPYTPVAIAVPTTIEHIQGAVSCAAKLGVKVTPKSGGHSYASF GLGGENGHLVVELDRMSKVTLDKTTNIADVQAGARLGHVATELYKQGQRAFSHGTCPG YVFEGNRVGVGGHSLHGGFGFSSHTYGLAADWIAAATVVLANSTVVTASPTENPDLFW ALRGAGSNFGIVASFKFNTFAAPSQVTAFQINLPWNSASSIASGWGKLQDWLAAGNMP KEMNMRVFGSPSQTQLQGLYHGSSSALRTAVQPLLSTLGASLSNAQQYDWMGAFTYYT YGGTVDVTHPYNTVETFYSKSLVTTALPSAALNSVANYWINTAKRVSRDWFIIIDMHG GPKSAITSSTTNSANYTSSYAYRAPEYLFLYELYDRVIFGSYPSNGFSFLDGWVKSFT DNMKQEQWGMYINYADPTMKRAEAVGNYYRSSLSRLQKVKAQYDPNEVFYYPQSVEPA K NEUTE1DRAFT_43122 GGHLYGPVYVSSLRGLRGSLPSPDEASRQSQTATSKRPVSTVRT SSSLQGDYEAFLLRQFVPPSSRDAYNALRTLNLELARLPETVSNPTIARMRVSFWRET VNSVFAGNPPREPISILLHQAIQDLQDRAGPSSASSLKFWLLRLINTREQHMENRPFV SLNSLEDYAENTYATLMYMTLASMPLRSVHMDHLASHIGKACGIVATLRGIPVLAAPS QPIQGPAGSVGNTRHPALLLPLDVMAEVGVREEDVFRHGPNAEGLQDSVFKVATRAND HLITAREMLKNLKAGEEAGHDYEHEGEQEHVYSSGGVDATQADIKRGFGVLLEAVPAA DYLERLEKADFDPFKVKASWKLPWRLWRALKTQHI NEUTE1DRAFT_80682 MDFTNEPPSKKRRFLGDQGDSDHVVAGPSSSPQFSAPPSSPPRK KLLQDPNNEPPQLAFDKDTFEAFVGEKVASDILHVISKNCGNNIERAVNMYLDGTWKK LHRAPPVKVNSHSPLVVGGQSPKKASTPQARSRPHAQAQPQPQSNPPIKALPSMPEAR YVGAFGVEGWATRSGTGLLRHGDSVKIERQKIQPPTVARKGQTKPGTPQSIPRVSGAA AKRVDVIVRFNDASGRELGRLAKDTANWVSTLIDQNICRFEGICVYAPERLRTNETVF LQLKCYMLRSAFLGRTLQLADNRATGFHEKDETTEEKDLRLRQVALVRLFQEINIVPS RGNAAAAKDARKDLLEAADSAEKKAMDKAKAGDHSTNGSASPPEEAEEGQELEQDQLD ALYKKAQSFDFNTPEAEPADTFAMTLRPYQKQSLYWMLAKEKNQRTEDRETSMHPLWE EYMWPTKDHDDKDLPVVPDQPCFYVNPYSGDLSLDFPKQEQHCLGGILADEMGLGKTI QMLSLIHSHRSEVAIKAREAGPTSVNNLPRLPTVSGQKTTVDAPCTTLVVAPMSLLAQ WQSEAENASKEGTFKTMMYYGAEKNVDLVTMCCEANAANAPDVIITSYGVVLSEFTQL TTKNGDRLSSRGLFSLNFFRVILDEAHNIKNRQAKTSRACYEIAAEHRWVLTGTPIVN RLEDLFSLVRFLRVEPWNNFSFWRTFITVPFESKNFVRALDVVQTVLEPLVMRRTKDM KTPDGQFLVPLPPKHIEIVDIELSEPERAVYDYVFNRAKRTFFDNMQAGTVMKAFTSI FAQILRLRQSCCHPVLVRNQEILADEEEANMAADVAAGLADDMDLQTLIERFTATTDD ASETNNNFGAHVLRQIRDEAVNECPICAEEPMIDQAVTGCWHSACKKCLLDYIKHQTD RNEVPRCFQCREHINIRDIFEVIRHDDDLETSSTPGASPEPRISLQRVGANDSSAKIV ALISHLRTLRQEHPKMKSLVISQFTSFLSLISSALTRHKISFLRLDGSMSQKARAAVL TEFQSTNKFCVLLLSLKAGGVGLNLTSAKRVYMMDPWWSFAVEAQAIDRVHRMGQEDE VRVYRFIVKQSVEMRMLRVQERKKFIATSLGMMSDEEKKMQRIEDIKELLSSD NEUTE1DRAFT_129250 MVRTRAQSLLAPISQTSSHQHLPQNRRRKRKQSTENAPEPGPGP APKQPRRRKHPTENAPEPEPDPSPASDQERKHVDPIAFWAERGHWPEEQDWPEDTSEM ESPRGRPLARKKPSPPLSRKRSNSTITGITNSTPGPREEKSVPYQGRLFEVLLETKRS YLREDPLGLASANKDLLRSLLETPQPIPSDTLFRDDIFKITCLDLHDKNEARVIQDIA RLIVPSAATLSKFGAKHLDILTETVNEGWKHSIPLANPRPQPDYAVGFKRQAFTEDQL NKLSPFIGNIFDGDQSLFLSTHYMYFPFLTCEVKCGSGVFEVADRQNAHSMTLAVRAI VELFRYIKREDEVNRQILAFSVSHNEKWVSIYGHYPVINGQENEYYRYPIQEFNFTAL DGKDKWTSYRIIRNIYDIWMPAHYKKICSAIDQLPAELDLAVPPLSGATGLSQDAGSL MSEADPASKSVEQDSRSSNAGQQGITPDTSLTRPEAAKRRKRQV NEUTE1DRAFT_28609 MCHVTRPTKRTTRICQDLGPTTGMLTPGHNKAALYFWALRGICY RTSGPHRSHGPCSVRTCIFDEKEFGMCFGKGL NEUTE1DRAFT_121721 MPHRVVDFLRSSANTLEFQVSTFTKSGKTSRRRESPTGAARVGH GITERVAFASSEEEDSSNTTPPRSMSPLLRSSDSSDSAHTTSTASSTNTSKEVVSAKD HHHHHHHHHHHKEKDHHHHRISFPGMHFGRSNKDVHANTPASLDWKLESPPIVLYNDP ESSTGALVSGQIFLNIKDDHDLLDVESINATLQIHVTQKRPFTHHCHDCTHQYTELKK WQFLDHPLVLAKGQHSFPFSVLLDGHLPASMDGPLTSIAYEFKAEALTKANGTLQPVI KLEKVLDVKRSLATSEVPHHSIRVFPPTNIKANVHYPHHIHPTGTNTLQIRLDGIARL NPKVGTMEYWKLKKLTWRLEEISKSLAPACEKHAPKLPVPPTPAEGSDGQPQQPKGLP RTETRIIGEKTIFSGWKSSYSSATDSHIELELDYFISKSHASPAVCDTRWTAPGTSTS HEVSHQLMVEMVVSQEWAPVGKPNLVTHTGVGRILRMHFSSILTERGGIGISWDNEAP PIYQDVPESPPAYSELMMAGESMDGVMESDTLMLPSGLGSAAGSGRSSAVSSRRGSAE MQRR NEUTE1DRAFT_116744 MASKQAPHERRKGEAALSDFAEYVERQQALRYPNKAAQSQTATT SAAAGPNSTLSSKIAPEVEHHAELDDILDSLNLSEPGPRIRLRDLLLSAPDDDASLQK LADIVGERLDEGHGESVFDIGFENHGESLKLTKEDWEVAYKRLSQAAAQIDADCQLLL TKNLGGDLDGTPTRDGDVSGKVMIRRRPSAAEEVIETRIAVVGNVDAGKSSMLGVLVK GDLDDGRGRARVNLFRHKHEIETGRTSSVGMEIMGFDSHGQTILSDTPGRKLSWEEIG KRSAKVITFTDLAGHERYLRTTVFGLLSSSPNYCLLMVAANNGLIGMSKEHLGIALAL NVPIMVVITKIDICPPNILEQTITQITRILKSPGARKIPIFIKNHEECINTATQFVSQ RICPIFQVSNVTGENLSLVRSFLNILPHHGRYDADAPFEFHVNDTFSVPHVGTVVSGI VKSGVIHAGDEVYLGPDGLGKFTTTAIRSIERKRIGVPAASAGQSASFALKRVKRKDV RKGMVVLSKTESGPPKVYREFIAEVLILSHATTIKTKYQAMLHVGPVSQTCAIIDVDR PFIRTGDRATVAFRFVQRPEYLVPGDRLLFREGRTKGLGIVKSVGYDPERPLGGVNVG EGGDKVAAGVEDVKHEGGGNEVKVGA NEUTE1DRAFT_121723 MADAVEDAGSVSEPMDLVRLLLDEVVCVKLRGDRELKGRLHAYD SHCNLVLGEVEETIYVVDDEDTEEDDLKAVYVERPVDEHDFRHRYGIKPFSGGPLGQD RLPVGRWNLSHVADEAQFWEGVDREEEGHSEGKGKGTATTEGSGQSAAEGGGFLQVKR GGAYKGNR NEUTE1DRAFT_129254 MLSYFRENKLEILQLPPTAFSELLRSVDPVEAIRKDQDPLGDIW VDPAMADWSPLGALVNNYGVRKRNTEIYPLVHEAAFRRFRSGSPLLLSDYKVLLRYAG SISDPLLARKIWFHMNVSEKMWERQTDLYAEFLKARFLTEPLYTQHNRHDFRVKPVNL FPEKRFLPYFTPDNLSQLRQMNFDETNKRLDRFGQNPGAEHKVDYLMRMLRRTSPVDL VFGTARNRLHLLSEEFVCAALIAYARTGVLEDVQSVLYFNYKIDVSGSIETGDLKITG GRRDFLPGSPMAPTTRLLQTIATCYGSNGMIAMACKMLDHVSAVYRLPIPSSVWFELL EWAYIHTSPRAAQEWKIVSIPGHRLHRNAVQLIWDTMTSPPYNATPGFPQYKIYVEWL IKNNKIERAIQIMLDLEPLYRKQVEELQSLYPLHALDQAAFSHSPAHDTLLWEQTLLR KDYIVHTFAKWFSLLFKRIKSPVHLPGPNKPATKVKIFPSHDISTRLIPQLIHAFPGL LPDTIHYEIPTGVVRFKRGVGAPQGFRAEKRWTEVRKAAVVSMDLRPETARGFNRLRE QSLDLLNGEKLQRAAGETMETLRPRAVKREGLEQLVEEILGMDEMARREREEEQRQIE QGVMSEIPEERMFF NEUTE1DRAFT_80698 MNWLTALSLAAVVIPSLYIYTVSIVATRFPVLRNKRICLLIAHP DDEAMFFAPTVLALTRPETGNHVKILCLSSGDAEGLGPTRKRELAKSGTYLGLRSPSD VFVIDSPFFPDSMTTSWDPERISHLLASAFAPELKETTNIASASASRSSKNKGSAGKA EVKPTIDTIITFDKHGISGHPNHISLYHGARLFVSQLLAATAGKNKEKGQGQVDLYTL PTLSLRRKYSGILDALPTLLEWAWSAGITKKDKHERPGGLVFMNNLIPGEGWASVDKA WAAMTKAHVSQMRWFRYGWIGLSRYMYVNSLFREVVQPATADN NEUTE1DRAFT_145917 MGRKRAESPSNLKFQQPDRSGPKEKTLLELAEERGLFEQARKKQ EENDKAKNPPKIKKMPIKKPEKTKSKKDDDDDDEPLSPGAERFLDTTLYTVSLAMLHF TLDVFVQNQYSADRIVWPKVWTRAIQALLVFGFLVYTLHAHSSNPTILPGLPARYQNH LRQVIFFVMSVCSGCYLIHITNTYGYLAVMKQAPPVGCLWVWSVIELQLPWAVLSLAV AGTYLKVKGYDIK NEUTE1DRAFT_100187 MSDIVGPYMQAQEDERCNANPLTSISALTGALGIILDDHLKWAC EIGLAARTTCSGKLKWQSNTVVDLLAFSRGDCSRCIVYKSRPPSRELYPNNNNNNNNN NGPDHHYPNQDTSSSSSSSYLLHRAVPTGQVITHCTTPGIIALTFDDGPYIYTSQLLD TLASYSPPIRATFFVNGANWVSGIDDESTPYPSILRRMLSEGHQIASHTWSHLDLTTA TTAQRVEQVTKLEDVLQRVVGVKPRYIRPPYATCENGCLEDLEGMGLHVVNFDVDTKD YENDSQAGIQVSVDKFNNELGSNPQTDSAIVLSHDVHRWTVERLVGEMVATLRARGFG TGTVGECLGDPQSGWYA NEUTE1DRAFT_80703 MKLQDISLTLPHKPSASLRISALFPGTSMSTSNFADSLPDIAAA LPPSSTLLVFLNGLILPRSSWFEAISRFLRIRDKQRRRAYENGIHVPEIMKIPNILTY DRFGQGASDPDPSDPDPAVDDTPYGHTPEDVVDDLHQMLLLVSRQFLARDLKELDVVI VANSIGCPLVRLYNGRYFPDVRVVGYLFLDSMMANSDFVSVFPDPDAPGFDPAKDLRE GVDEEALRWTRKQFREKFHPSVGNPERLDRRGLRGLLPFSDKPRLRLPVDEQDQGTTR EGARKRIAIPRVIVIGHDWDVFAEHNEKGPMSIPKAVINGYMNPAWQRYNQGLTRLAA VDSESDGDENVVPVKIAKGCGHFIQKDDPEMVAREVDLLLRRLGL NEUTE1DRAFT_43528 VPQLYVYPIKALRPIPLQYAQLTPQGIKYDRTFMLYHVKTKAEA NDGSSSTTSTELKKMQLSSYPQCALFEQTILSRDNGQKEVLVKWHAPSLPTEGTGSES GEELGKELEQAERERLKRLETETITVELDPPPKIDELEKMEVNLHGSPTTCYQVGEDY DRWFSERFGFEVVFVYLGDGKRQILGTSLLPPATSRQQQQPPGGGGGWLSTLTSLTSW FPTVSISIQAEREEDRKPKPWITFTDCAPLLITSESSLSNVSARLAPDMDSTSSESTP LQVPMYKMRPNLVLDGEGEEAWAEDYWGELVVTSSSNCSPTNGNANGKTKITKTKLYL TGNCVRCTSLNVDYDTGKPAKGEMGTVLKKLMKDRRVDSGIKWSPVFGRYAFVGDSVG DGGDEQEQEEEREEREPEVWISVGDEVQVTRRNEERTVMDWPF NEUTE1DRAFT_121728 MPVKFAISSGALSLALLLFGHGNPCLAETTPDTSYIYFDNTQYL AASSNVVPMSWSTLEAAFASPNRSDIASFTGLDWTKPYPGSPLPGFTAHLRIADEVRF PPAVTDENVSTNVAALNFGIPSSIRKENGLPRSMHSSWYVCQHYYISTLPDPTSDIAH DCSFLPSQCQKDLIASLTQNWGAFKSNPDSNTMCSGWALDTITESCQSTLGRVKADIL GFEASDLGDEDAARSFAVDEVGRLSWMVGTNFNDPGNQTSYAAAYNRTYIVATVFGYN SGYTGSVKPVVELACLRGQWGTTQATSSSSSSSTPPDSSSVISTTSSASAISGSSTAV SSATRASSSSLITDFQQNYYFHCIALLHYALHSHGLDSACICTHVQSAAAAVAAPTQL LCETSEDKRYARARNARLIMSNEVPDMPTDDVKPYCFWHPDTAKEETYRLLATRYPDM AYSVGRACAVAGYDGLYHELDILPEISIAEEARESSAANAGSKAIYEHIMKQPICYAV LNDYTRFVHHQNPRSPAFMNGDTAVRSTLDVTVTPRDVPDWCDTRHFFDIAEDYHVAE VTTHKYFFTSQGTLPPEHVELLYSPLPSHLPTIIKDPLIVMAAYEGNLDRYVRLRRPC MVEQEHGAVLRGIYHNTTFAKWWSLQGDKCDFDIRAATLARFIMVNDLSHITETDPHP EDDSDEIPGMIWWPLIPAERTLKALAKRRPDMHLQVAMACIAGNYKKLWDELAPEPCY QLYNQASLKRDPSVRNHFVDYLERRASELGSDVKRFRAVCENLAHDWCLNATRLDKEP TSCWLPSEISIPGPSGFPDTDNVYGSPDQTNLAGEDSNWELYICSSEEVRAKIPASRS EIRLYDSDDE NEUTE1DRAFT_100190 MALRWKVPIVTAEWLWTCINSGFKCPIEDFLFPELNQKIRSEEP PASKGSQVPRQDKAKEKQTKQSKLESVDKDLLPKPAAAATASKSRSRVDASDFTSAIR EKMRSSASEFKNTKATPALAPALDESHDSYETAPSQRQASTANTTPKKKTAASAGAPL TDISNLSQPASSSNQKQKEQPLRKPLHKIRSEIADSDAESAADDDDLPLPGEDDLPVV VEGESGKRQQSKSPSKLKALEEEPVIEDPGETGEELRRRLLKEAEEKKRAEKVKVTDR LVDLLLDAGGDGEASMETAAATSFASALSYTHGARGTSVTAVETIEARAMTAVTRAPS EAASVRSDDGSVTGKSRRRKREILGRAMSNVSAASEDGLAADSPPPRGAELVGRQARS LRTSTSGRHAQQLQHKETTPPAAAPATTTTATASTKRRTRSKKDDKEGPPPTQVDYQD IDSRKARQKLLSKLGDDKATDPAAVSKRAAEEQTTVSIGDLDAFVRENEDHGMGSPTG LGRTMRNAAHGEKGYGGRKRTTRRSKGA NEUTE1DRAFT_145923 MTVPSGDYSTPTVESVHAYARQLLRDVKRVNHDAADDAPLKWME EDLKGLHQALKYLHVESQDQDSVLKDTSRDNIYAKRLASAVEDSDEALREVDDILRQY GDGRDGVNDRLQLRLNLLDLESPTRKIAKLLDAVQVHNPENAQEVLNHTDGKQLDMIK DKLERVATRMFQNRASPTTEMREDTWQTFKEELEKEGFSPEILRKNKEVLRAYIRELE ANQSPHAGSPPSVRGLLDHHASSNGQQDSSRFPDYDPKVPRALQPFHHHRTPGLNSQY SYDVQTPDASDSDSITGSQAGALISTRDLIDLDNHNPDILAARMGSMQLAPYPTGNDH HFASPGTSPSKGHYLTPGGPPPLTIPGNKSQSSNGMFSASSSAAQYGTSPRYVPPLAM PPYPDAPPSQGPPTTAASFMSPAPPVGNGIPSPKPTIPRQYTRLAPDGKGKEIPIDAK WTRIKRKLVSPEVLERAGVRYEARPDFVAVLGELTKKDILEFARQTTEVRNARRYAAA AAQGRHSTWPTPDTTSDGRRRRSAETRRHSDSSSSESSDTDDVSDDASAARRRRHRRR RASDASINASTNQDAQRAGQPVIVSPPASVNGDDNSKISSSITSPSSTTTAPKPILKN KNTNHVRFGRDGPKEISPGEYAERKRREEERENRRRTNRDPSRDDRDRDRDRSRHRDD KDRDRDRDRDRSRSRVRPRDLVGADLGRDRDRDHHRDPSRSRRDRDRERDRERDRDLR DPRDTRDRERERERDRDRDRERGDRDRDRDSRYRDGGGGERDRDRDRDRDRDRERERD RGDRHRSSRHHDRDRDRDRDRERERRERSEKSSKSALIGGAAVTLLSILTEASQHL NEUTE1DRAFT_129263 MNSDECLFGSNQSPLQAVCNSNERHWTALCDHSTGLIYFVMNDG SWGRQPRMRECDTTWVPITGACGRQGTELDAGAPACKPEHASPPATPFNSEPVSQPMH SLNPALQGLRTKHDFCLIIRRLPFSPNVYYYYHSMTPVAVLAMVENGNSPDAARKPLH PFFAPNRQPAPPALRETPSVPHQPDTTEDSLMSIDEPVEPKVEHDTLEKAAGRRSKRR KVSPDLEDDQDHKKPKTRKRTKPNVGPGIATHFIRLEKTKEDAGQEEEEEDIKTTQEE TELPQQATNEIEAPVQLEDAIPAPAHDVTGIIRNLVDVNIRSSPPPTVIPPAANVEPP KPTKLLRLNPKTGTIGSPPKPKQPETTEETAPTIKRRVQPSRVKKPESKVVTIKYSSE SDAEARTRVGEKIEAILKAPKQPQRTSQRTKKPPASKPANSKSTHPFFSGKDKGSAAA PPAAEPKNDKPTISEPSQKVFMSTPCSPKKPRASAFTGRMPQFGFSKNVPLKFPGAKP PVWPWKDMVHVRGDHDVPMDQNIQLPLPSRKSKGQSIQVDQQESIVNLISTSMGIESV RQALRDYNTDDFMPAPPELRLPTKHFESGRKLQQRILPELMTFRATQASGQLKAPPQL ARLYDSLSFSLSAFDQCQCETSNWTQKYGPIHATEVLQPGNEAFLLRDWLRALKVQSV ETGSNDSDKPKKAKAVGPGRKKRRKKLDDFIVSSDDELELDEDSDDGANWAPSGFRGI TRKTVVKSGDLSKKDASKIANTLVISGPHGCGKTAAVYAVAKELDFEVFEINSSSRRS GKDVLEKIGDMTRNHLVQQHQSSSDKAGDDQEDATAEEVKSGKQATMNAFFRPKTTAA KLKQPTKPPPTSEPKEVKKDSGKVQRQSLILLEEVDILYEEDKQFWTTIVTLIAQAKR PFIMTCNDETLLPLHTLRLHGIFRLSPPPTDLAVDRLLLVAANEGHALTRQAVASLYE SRGHDLRAATTDLQYWCQIGVGDRKGGFDWYYPRWPRGCDLDENGDVVRVVSQSTYLR GMNWLGRDPVIGKATDGRLVEEELLEQTWDSWGLDMGSWQDSVGLEPWAEGMPSSGAG RLEALEAFEELADALSAANLCSSRSFGTLNEEPLDATLPEPPAKARDDNVLGLTPLDS PPLTLHDSLNTGLPATIKSLSRSVLRSRTSSLVQHPTSTDTLQPLNESSALNIITKSL TNLSLSSPEHPAINRIDLAFAFDPIATSDSSASTTSYLDPSVFDRTMGLITLDVAPYV RSIVAYESNLQRRRLKMSSLLSVSEGAGTSNADGGGVGPNVALGTGFNNPGGGTGTKR MRTTRAALSALEGGERSVKRKERWFRGELNPFLVEKTGGRGWTDLTLQYLADFQHSGN AVAGGEGEMKTAGSVSGASEVSVPWEAQQVISDVAPVQSDVVQTAKPKRGRPRKNMAR VVEVDEAAQPDELAEPIAGVKGGEQGVDELAGEATDVATVVVAADDGDVSMTQ NEUTE1DRAFT_62032 MATTLQIHKPYVLKALSHPLDRPDGPGKHTIGEVYGQVSGPASK KRKRSELAVAIDGDSVHLYDIPSAQAITSYLVPPQAYFTCAPYSLRWRSATSKTASRY TYASTQDSLSAKKEIKLFKDVVAEAGNTTSTTATYVHRCAKPVVHLSATSVRTSRDTL TNQDTPAHDVIAVAADGTLISLAGETLTEKWQSSPSILTQELPSNTGCHVDFVQPALA ADVIDGMFGGKNELFGVFQEKIHRQGFNPDILVLVTSPEKSESTRQRYLHILALPTER ASQQVNQQNVIPIFAAPLPTKTGAAKFQLDVRSGTLQELAEGVLYTYAFNGGIPRLEN KLEVPEMTSFLRLSKTSVLAATADSLTIYNPVYRSLQATAPLEVGEGASCELVTYLAS REIAVGLRGTSLIAVQIEAPKARTSKRRAEGLLADSIRRGLPRAQPTQKRGHIELPPS AILAEALPGTMTEEYLAQLAADTAKADEYLNANNLKGFEELLAGQFKIQLKAKKEKKE NKDKKVNSTTEDKTETLDLPEWSWPSKRSDYPQVDRRWVFYAISRVFSWNSSSENPKA THLSCRLAESSVLNYLVDAGHLTISNIKSAFKEKIRELGDQVDNVIGEELPAVLVEVD PAMELLVVYLSSTQTSPSELVTSIKLILSSLDLLNSASAPKLQELVLRPSPNATTTSS EADKQPEDTEMTDDDAAITKQLDQAEQELQLTEYLAATTSSRYPLRARGLSAAFSKLS ACPSLPTVQTLRRLLTTEEIVCLMNVLRNEMIKDGWTTSYVADELPEEEQEAPPDGSI KLIADLLSRCIDAVGLGGWMGFDAVLASWGSQQDAAEFLNYFQNEVSVALEGLNETVR LQGMIGEATNYAKRANTLLSAAAKPKASSEGEGTAVVQLSAGPLPLGLKTEEKIGLER VRGGGEIVQRSMRQIGMFVSKKRRGYEVHRISEEGLLSKGSGRPSLVVQEAL NEUTE1DRAFT_145926 MKFAGHHGVLPVLIGVAAASRCKPHPPSSSTTLITTPTISSVSV SSIPASSVLVSSAPASSVLSSSSILSSSIPSSSLVPTCGGAQCLAAITTVPSIGDAFC SSWLSWTPATTVVTEVETVTSTHTNLETVTAVVTLTTATVTETAGTTTLFQKRQLPSA DPAESIFSECDSASASVSSACSCHLAGSTTSTVTVTETTTSTEIPKYTGTLTTTETTN VIQTVSAEATRVVPAQPILNSGLESYLTTGNISPWTDTVSTTGGTLQIINGVNPCVSA GDCAGGQVVIRVYPPTSGGYTAIRQTFLARPNTSYNFSFMYRCLNYNNGAYMSVWYAG QLVSNGNVQCNTPGAPFARPRVGPFTTDETGVGEIEVRFHNPGGLPYLYMYADAFEAT VAV NEUTE1DRAFT_41559 RAKKTYNTWDSLVVTDPTTSQALTGLSMGERTGSRVFQWVWLYV IWRVVSCVHIGCLEGVETDNKEMMLCWSGECRPISMGRISVPLLVACLQQVGPIDG NEUTE1DRAFT_116751 MTVTTRSHKAAAATEPEVVSTGVDAVSAAAPPSSSSSSQRSAEP IEYPDIKTIRDAIPDHCFRPRVWISMAYFIRDFAMAFGLGYLAWQYIPLIASTPLRYG AWALYGYLQGLVCTGIWILAHECGHGAFSRHTWFNNVMGWIGHSFLLVPYFSWKFSHH RHHRFTGHMEKDMAFVPATEADRNQRKLANLYMDKETAEMFEDVPIVQLVKLIAHQLA GWQMYLLFNVSAGKGSKQWETGKGGMGWLRVSHFEPSSAVFRNSEAIYIALSDLGLMI MGYILYQAAQVVGWQMVGLLYFQQYFWVHHWLVAITYLHHTHEEVHHFDADSWTFVKG ALATVDRDFGFIGKHLFHNIIDHHVVHHLFPRIPFYYAEEATNSIRPMLGPLYHRDDR SFMGQLWYNFTHCKWVVPDPQVPGALIWAHTVQSTQ NEUTE1DRAFT_80722 MPLSSDPAGKLEVDTNMSNNSTTSASPSPTSGKPPVAGDDPAAT ITVNTQVPAAPANNFPPPKTDKPRPHVCGTCQRSFARLEHLKRHERSHTKEKPFECPD CARCFARRDLLLRHQQKLHQTTTPSSRPRNRRESTSAPMAGGNNRVRKNSVAGPGPNA AAQAAVTAAMRPRANTISHVDTTTMQLIAAANAQAARAMPPQHSRHPSLAGFPHHNFD HHAYTGMANAMVQRGVPHGLPKLETHTMNGMDFSTGLRTAPIMPFNPEFDFGDPSMLF SPASTINPNALHYSDSPNLMGVDPLSPFPHGLPDMTGGQHLDDNFDWLQGFDQQMSFN NDLHDHVIDRSSPSAISTASQSGISDVMVDGSNHRAPAVETSSIWQGSIMGPPQIPNS FSLGDMGNTVFPDLLTGIPLSPQPAPSSKNMGDAYFSTPPSSMNSLSPNIFSGLNGQN MNNALNNFGAGPETPSSMNGSTHGTLPVSTITDSTRHAILGALTASQASQFGARRYSY ATTSSSPLPTQSPTTTTSTELPNTLPNTHDLQRYVSAYLRYFHPHLPFLHIPTLSFDV PTLPVNGQDNGIGGSGCLLLSMAAIGALYEMEQQISMDLFGMAKKMIQLYLDERRKAN VRKADFRRPSMSEHTLQQQENPVETPVWLVQAMLLNVIYGHNCGDKRSGEIASTHAAA LVSLAQGAELLNPIRIEPSVDIDTMDMDVSWNAAEVEWLRWKSMEERKRTLYTVFILS SLVVSAYNHTPALTNSEIFLDLPCDEEFFAADSSQIFHAQGGVAAANHNRMMFHEALG ALLQRQKVASRLADGNDFSTTNLPKPSTFGCLILINALHNYIWETRQRHHNKVWTNEE TEKMHRHIEPALRAWKAVWESNSHHSPERPNPFGKGPLAADAIPLLDLAYVRLYVNFS RVKEKFWQRDWDGMAEELARGSEIVQHAEQTPIAINLAPEDANTARPEAFSNLNLGQS PLAITNNRSDPRRERHLRKAGFIAADSLLNADKFNVTFADATARELPVQSAICTFDCA QVLAEWVATLQDRVGRYLGILGRDDVDLKEVPAVVLLEDDDIKLLGKIQEIIQRAELK MNADQTSHGLNGDGLNNVNNGQRDDHMGYAAKILRITAYLIDKGAVWPVTRLISSCLE THADHMRARAEKSVAVME NEUTE1DRAFT_137086 MPAVLQVRLFNEVGDADGLFCCLKNNLLQMPGTWSGSKPSDSLR RLIIIPHWVQLS NEUTE1DRAFT_100197 MPMPCAAMPCWCRWLPLGSGSDCMYQTWSIGNGCEKTGQSERGL SNAAQGSIEADGQELLQQKESEAEASDTLFLTMGRKSRRSSVVSVIHVLCY NEUTE1DRAFT_116752 MYLYICPPITMKGPSHRDCMWPILSLPYFASAYLTDLPYLPTPT LGPTGRGTQHYTAYNTSPRTTYIYNTR NEUTE1DRAFT_42134 MASDCINAFLIAILPKLLSAGVWLVVFAWNFFWARPSWPLLRWP REHVPFRVQELGEVLARYQQQQQQQQQQQQQQQQSRPQTPRAWQPQSIRDVAPVNASP AAAAPAAAAPAPINTPISMDRLTVDFGNLTFSCRGSITGQAPVDNNTQRDDELAPANA APTNAAAAPTNATAARERPEPSWRP NEUTE1DRAFT_109516 MSWYGFQCSPLHGPLPSPHLVFGPSGCHAPTSQVPETRTKKSHG RLALPGPTSFNRGLGMKRGRPLRCRWKLQTTWQEEQPREAGSGATDPIPTSHHTFMTN IAFIFSSTMSECVTVNTLVAALAHKVFLANIWLLVFSVNILSSRPPGVHRIPLRTSTI DTESFRATSTEEGTIGIIKGKNTIGAFRLASPLEVMSQRTTDKTKQQLLPLPQTARFS SPVGAPRKL NEUTE1DRAFT_109517 MPTMASPSLEATSFAPRPTDNLSFASTHKYETVEAEWYMELRHF FVDLPTQLTELEEFLNGTSSAFSTNDNEENKADTRALANWTSYQLPDAFAGRHPVYAY PRARYPNLRYARRIVFSQRLDIQDNHDQSDDNSRWLTGRWLVVAYLFAPTRKAVKKMD VKSLLLSACTPAGHGTFRNTNTMSCLNAYNPIGDVHMRSMQPGAGEWWLLPREDIFFQ LQRCDDEMLATAFISQDPNDYDGPYVHRRNDHDSSTPDYRRHMERRLEDIERRLHDME WARPLPFACVHGHGVGGGILSGLNGRCKLVDRMWPALMTGQDMKTAWDACSTTSSISS TCWTPSSTSSTSSEEDNTTSDNDDDGDEDGDGKGSFGFIFRIVIGLVAITGALRELAI SSGDELSSSSPRCLFER NEUTE1DRAFT_137088 MSDALLTRSRERAVTPNTPTTSVRVDPDAIGVDVEDATTSTPFG APAATDTEAGAWGTSDLLLPTNNLSLPSLRPVNIIP NEUTE1DRAFT_121737 MLSSFFGTGRSVLQPKTPSRQANDDNRAILFKGPDRNVQRLTSH GGINAHKTSQQPKCPLVVVQGYNSVPSNQYYPPLNQELCFGPCGAGLHFQNEDVDIPT DEGKLQQSPPTLMNRFKYSMESFHYPVHVCREPTMFYVDIERNVEWHIQLRHYFFDLD QQLQELEHFLSSSSSANIGNMDDNTNLTDTAALANWTTYETATTKAGLGLCFPVTRST NLEQFPNLRYCQRSVFSQQSIKGQLTGRWLIVAYAFASTYEAARKLDVKALLSSGCSS TVSAAHGTGSCSGTSSRTHYNTDTMLALFARSWDPHSCIRSGNGPAPGQFYQLERRKK MASEYYIYRYGGGGGITITTTTTTTTTSSTASTPTVVETEDKAADTTITSSTMTTNSG NAEEETDMFPTVYYDPYQRKRRSHARFWADAAPIGLPIPQELEDRCHLIDRLWPALMT GQYTPPKAMTDPDDLEWDWTDDWPITSTE NEUTE1DRAFT_145931 MTRSQRSSTLDSARPLLSHHDTEDDLLWQQRSRYHGTDAAVARA PHVNDGNNVGDDNDVDDGLEDYISHFDDDPDNPQNWPASFKWSVVALLAMTAFSVTFN CISLVPLAPSIVRSLTPPPPPPSNGTDSSLPPPANLKSASALLVTIWELGEAAGPLLI APLSELFGRYPTLNITNLLLILFTILTATATSVPTLILSRCLTGMAVASNVLNPAIVG DMFPSDQRGSAMSLIFIAPLIGGAVGPAISSAVAESVGWRKVVWGVVGLSTICEILFL CLFKETYKVAIVRRRVMREKHSTRLANAHLDMSTGNLRGKKSKREEWRKLRDSVLRPF LVVFGSGLLMALSLLGSVTFSYFYVVSVTLPEILEDKYGLSGAVKGLCFMGFTVGSFL AVLICNAFLDRIYIALRDRDAALTQPCSPDDCLPKEKPEYRLPLTILGAFSMPFAITF YGWVAELSLPLPFLLIACGLIGANTMMTLIPLMAYVVDAFGLFSASAMTGVIVSRCLM GTFLPLAAAPLVDSFGYGWGFMVFGVMSGALAPISVVVFRYGERWRQFCKYSRVE NEUTE1DRAFT_145932 MAEQQDASDPRRRQTRDYPDSPISVEEGFATATTLASRQQQQQQ QQHEYEHEPPPTPRLPDDDGDDGLTTDTDSDTDDDMRVRTNVTNVAPNPVPQKPNKKA GWLAAMAAKLGLDMPTVITMFKYVVPEFSSRGSLPPTIGIAMCQSHTIAIYFGTVGYL VPIVSVLAIAILPRGKFLMNLVLNLLAICFGSAVSMLALYSAVKAREHTTPKDAPVSA LPTYNSSQSAVCAVWLFFNIWLGNVVRAKMPAFNISIIIYSILVNIAATFGPIMTTMA AAQHFVQQLLTGMLLAMAIASAVNLFIFPVSSRLVVFKEFAGAIGLLRKTASLQKAYL ASLESEDMFAPATRTDTWARKEAKKAEKGNRKPRITKEAKAAKALGETAAALRELSGK LHADMGFAKRDIAWGRLDASDLSHIFTLLRNVYIPVLGMTTIIDIFKRVSETQGWYTE EDTPPEVVAEKEIEKRVWNEVMKQMHEPFEILSEAIDKGLQHAALQLDLTPRPKKSKT ADIEEKAGQPLQPGDLGYAQVIHEKVAAFYAKKGELLKIWVKEKGLIYDEEGFTAHDT IPLERQKRDQAQLNILLYMENLMHASGNAVYEFAAYADSKVEDGTMSRKRLIMPGFRR LEKWFLAVLRNEDSTAEGSPDLSESSNTIVSFGDGFNNNKKDPEHLPPATAWERFGNR LRKISKFFGSEESAFGFRVACATMSIGIVAYLKETQAFFREQRLVWAMIMVAIGMTMT SGQSLFGFICRTSGTVAGMVLSLIVWYIVDGHPPGVIVFLMLSIFLCYYFFLKYPRFV PAVMITVVTQVMIIGYELQVGMIGRAAAERTGQPVYEIYLLGPYRLACVAGGCLVAFI WTIFPSPLTDRTWLRRDLSATLYLVANYSSIINSTLQTKLTEQAGDVNIKGTPAHQLF KFRSKIFGKLMMLIPSMSQHSEWQKWEPTIGGRFPREVYDEIILRTNRMVAYLTLMSY TITNPIHAPTKMKPYASQNQIASPRASSVAVYPKWDNQVAELVMTLEPTHHTILSTLT LLSNSLLSGQSLPPFMPLPRPYDITRRLIRLGTTSPLRSHPASPYASGAESDSDSDAD DADDDVYNEPEPFKLMDSRSGEDDYEHVKREISYFSSHSHDARHQSLSQAANPNATTP SPAQSPGASPSGSAHLAFSFPPGIQTHSLTHSRNPSFSRPRAFSPSHSRAHSRAPTSR AHSRAHSRARSVQQTNQNLLEGLLQDEPGYAEFAVLQVCTGLVCDDLEGLVKAVISLV GVVDFSFRVDRSEEEVHLGRRASLARKMREVKRMGTIGRGKGKGKGKEKAKAQ NEUTE1DRAFT_137092 MMSGCPVFNYLSDDPQAYLLLLKDYVRPSPDICTLCKARCLESF IKTASAPGLAWNRLSPDGRVRPAHTRLDAQLSALGITASNAFGLDLSEKEVDLIFQPA TRRLTIDRDWDDFVHAVVAMTAYRGRARPDRYDAFKSQHGTDHYALGKKMELMCWSQF TSYDLVRPKGGTIEFWLFNLGQLLSGGAGVFLRSSSASPCTSTADETETKTEKKETKK DCCTCPIFTPLSGRHPDTFTGFEWINFVLSVAHNRISPDLLSAWSASNHPLFTEDLST LYSHHPLSPGYDCTKDKSWYQPSYGYSRMRRFIVPEDIYNTDPRDVELSFLLGFDVLS DFHPLLDSPPPVVEKSKFSSADLFEVENLRESFIAMFQNHFAGGRQAQ NEUTE1DRAFT_129273 MAFFTLPPKQSKALPIVSPETGQDTIPLPTTHFKHGAPNPQTKK SAKTQATLAQDLWFPPPPVETPPAFDADEQYARGFDVYAPTFVPAALKQINEYAGTVI DTFPKTHIDYLQYISGILPTSLLPALPRPQFADNLSSEAPHLSPQCYEKYFRSLIEVE LAYQRKENASYSLYGHTGILKFNDPNEPTVTITVPGLRENTPNVQVDDEVQLRQLRFD YGGHFESSFFPWTGYIYNARVSAVVRATETLVLRVAGITYHTAEVVTPTMIPPWPTEF VVKFNIQFFVPMVRYTPMMDVLPHITQSLDLAEQVLKNDFDALDSFIDEVTHNGSAGP KANELATTNVYWNQSMLFPTEADCNFQTHVVNWLEHYDSTRFDESLNYEQRIAVDSVL CQNYGTMPYLISGPPGTGKTKTMIEIALQLVRNVPNCAHILVCAPSEPAADTLADRLS KSMSRNELLRLNRPTRDSREVLSNLLPYCYMQNDIFALPPLAQLMSYRIIVTSCRDAS MLMYARLTNSDLYTVTSTLHQQIHPTLPPTSKSRLHWGALLIDEAAQAMEPEALIPLH VVSPPLKGPEPLFTPLVIMAGDEQQLNPRTSCPSTPLQESLFARLFKRPVYANHPLAR RLAKDAQPPQQQYQLHPDLLPILRPPFTNLIRNYRSHPAILAMPSKLFYFDTLVAEAD RHERNRLKSWPGWRGRRWPILYHDNPSPDDLEAPSPESPSSTATTGGWFNVGEAHLAC QYALSLFRSGLVKQSEICIMSPFKAQVRLIRSLIRSEQYGMMWEVNVGPTEAFQGLEH GVVILCVTRSRKRFVERDRGLGWGILPGGVRQESQAGGGIRNQLNVALTRAKFGLIIL GSREVMKGDEEQDDEEEEDDTDSKDAEKDKGPPTWGTKGEKGTEWAQIIAFCERNGCI ADSNQVSKSSTSAQNNSRNNNGEGQLTKWETNLLSTGRDREHSMSSDASGSGASVTGS GSASGTMEWSDVDEF NEUTE1DRAFT_80735 MPKPWYRTRPTTWHFEIDHFINPFIPASFLPRFPTPISHFLGYR SPASQRAKKPALGNLAIILFAFVGVFVTITIIGAVGEHYEGFRGRGVPVVVGSFGAAA VLDFYAIESPLSQPRNAIGGQLLSSIVGISIAKLFALSPHFESIRWVGASLACACATA VMALTGTVHPPAGATALMAVLDDQVQELGWFLIAPVMLGCAIMMCCALVVNNLRRRFP VYWWSPEPTGEFWTGKGEGKEEGVAGLEKGASVGKESEETRTGSSSESRLGRGTRSDE TLRDVDIEAQSCGAVSESGDDDDGERGLRVVISRRGVEIPEGLSLRPEEVTFLETICK RL NEUTE1DRAFT_80736 MRFTNPKHSLSTLDPSGESPVSLLPTTPEDMWHAHNLIAPSDLL RAHAIRKVVTTGSTGSTTSDRVHTDLTIRVQSVFFDPAASSLHVSGTVCQENQYVSLG QHHTLDLELNRPFQLWKRSGWDSVSLKMLEEAVAEDTGEAMCAVVMQEGLANICLITE FQTVVKQRVEANIPKKRAGGSASQGGMTSFYEKTLATLLRTVDFSQPRPLLLASPGFV AQDFRGYMQSEGQKRTDKKLQRMAKDAVVVHSSTGYVHSLNEVLKSPEVQATMRDKRF TGETALMDQLYDRLRKDDGRAWYGAKPVERAVKEGAVGRGGGVLLINNKFFRSLDIKT RKRFVALVDKVKEDGGEARVLSSDHESGQRLDALGGIAAILTYPIYDLDEDEVEEGGE GEESGAAAEEGAMII NEUTE1DRAFT_121743 MSTTTAKKKSTKRASWTPGDDTRAISTTTNKYLSPEYATAPAHH HHHPVVVYPNNHHLKNHLHGHHGGHDGRTRHVSHAAVPVSGHYYNENKSGSGSGSGNG NGEHGGTHSLIRPATTTPVPIPGAIRRSLSISARGSSYNTQATATPGSFATVTEQQSN KDQYAEDNTSKQLGFGSHNDNAGSTGNGAAVSTTRPSMAQSLGPNSAIDPLSQQIFMR RHTGDQLSSSKPPKHLRTQSRPESPGLMSTGEGSGIAGRQNADLSSSSKPNTPGGGDV PKDKSKSKNFFLRSLTLRGRSSSKYNDFDSDSEFGGDPRMNGFNARVFSQAVGYTPHH KEPPRYIRTKAANKKERDFGRVFLAQELVGTRPPGYNNAHNSQQEADKQEGGGGNKGD APGQGGASVTVSVASASGRKVAKTGGAIWATEFSRDGKYFAAAGKDNIVRVWAVISTP EERRAHEEEEAAAANGGNGTTGERLSAPVFRDRPFREFVGHSGEVLDLSWSKNNFLLS SSMDKTVRLWHMSRQECLCTFKHKDFVTRLAFHPTDDRFFLAGSLDTILRLWSIPDKQ IAFSAQCPDLITAVAFSPDGKTAIAGLLNGLCLFFETEGLKPLAQVHVRSSRGKNAKG SKITGIQTISVPPMDPLKELSGTGDKAQGEVKVLITSNDSRVRIYNLRDKSLEIKLKG HDNSVSQIAASFSDDGKYVICGSEDKKAFIWGLNGGQQRAAYIEKEKSPYEFFEAHGE MVTTAVFAPTKTRMLLGRSEDPIYDLCNPPPIVLRSAGEEAATASTHSAAASVTELSS EDHHQPAPPIVPTSAPIQRPEPSPAYIARSTHYDGNIIVTTDDTGIIKVFRQDCAYIK RKHDSWETGSTFSRKLASHGGSLYNGRLGRSISVYSRTSAGTGGSAAHSRRGSMSGNN APYMVASPVSHASALNQAVNTGSDRILRWRMGIENGADGTGPSGSRPGQVSTAPGNRS SAIVNGSKRWSGVQTPPRSDRSQSPVRGGPATSSVPVGAGNRASMPAAVSVPSTPNRS VNANINGTSTPNGAATNGYPHGHSHLATHTDARSNLLESPANLTPLHRVNTMGTGTEL GSPTRSVPMDITPERNRQAREREEREEREGRPRSPPAPGFSFRPAKSGNGTSEERRGS QPLEEQGDGAGSGGSGLGSGSGSGSGGISFWNPLKGWKVGIPGFGKTSAASGSGSGGQ RPGTSGPDKEGGANGKAHSRSQSLSASNHTAHIQVPSPLADGETSDRVSPGITPWDVP LSSSVQTQSSAGGHGRRKSLGVFGTITGGNKGDKEKEKAEREVTRRSLPVGPLLAITD DDDNDDNNNAHNDRVNGQGHTRSQSSSTITGLQRPPLIVPTIINTRPSGDEEDYRPAI DEVLQQQEWDRERERERDREQERERSKDRSQRIVSYPRLSWSTRLGMGGGRGRANSDI AEREEEE NEUTE1DRAFT_80740 MLSCTRSLIWGGLLALAGLTAASPVANGPLPIAIRHTPSSPSSF EHRDYNSSSLSTVVPLPPTIQQDLATLLSPSALVLLPSSPSFTQYTHRWSLNTHTNSP SYGVIVIPASERDVSLTIQYANTHSIPFLATTGTHGTWQGLSQLQGGMAIWTRNLTKM EFAADGHSATLGGGLRGNDVIPALWARGKQTTTGSCRCVSLLGPALGGGHGWLQGQYG LGADQILSARVVLANGTAVKTSNTENSDLFWALRGAGHNFGVVTEVEYKLYDAVRPEW SYQSFTFTQDRLEEVYRVHNAVMRNQSQYVVYWSLWRLVPEVDPVNPILVSTVYYNGP ASEAASYFAPFAALSPLQTTPVQTTDYPGLAAAAQFRVTDWACQPKAGATVYGMDVDS YDVKGLRKSYNAFRDMIRAEPALSLSMAYLEGYSLQAVQAVPYESTAFALRDRKLLYG FLVTYEETERNKTLDAQAQKWGEKIRDAAYGDKVKQKSVYVNYAKGSESLQAMYGYEG WRQERLKMLKRKYDPKGKFSFYAPIKA NEUTE1DRAFT_41349 YRKGLNWLARRRGTYAHYTGPNRCFGARLCPEARTAESPQGSLR TNYQVSDAFKHTVRNNDRDRPQKRPLLDTDHIHKQLVEQAHLPYTQETR NEUTE1DRAFT_109527 MARLTSLLTAVAAAVVGTAQAILPTDWTPICKEIESKISNTSDV IYPLQAVSFTLAIAHWFWSSDEQPSCVFAPGSAEDVSVALQIIGATKTPFAVQSGGHS SNPGFSSTKGVHISLKRLNQVKLSADKSVAEIGFGNIWTDVYKVLDGTGRNVVGGRVP GPGVGGLTLGGGYSWKTNQYGMTCDTVKSYELVLPNGTITRVSKTENPDLYFALKGGL NRFGIVTSAEFYTHEQPEKVYGGLGIYPTTAVDQILNATSQFSYLNKDPRTQIITTLD GGPLGTTAEVLFFHDGPDKPASFELFDNITPLVKTVQSQSFVSFVQSIPAQVPELTNI RGAFITVATSELSQTFLEAVRQETDDMGKIMSLHGGITVSYDLEPFTKYGEHATESAF PHTESPLPLFIYFAWSLPENDDFWYARMKQTVEALKKVAIDDGIYSDAFTYYPNYSLF DATAEQLYGNENAARLARIRDQVDPDRIMELAGGFTI NEUTE1DRAFT_100209 MPVGSRVKEVGTAFQFLPPSSPNSVQIATAPQAKKTPVSSLPSS PSVSRPVPAFPTSVLLPPAMSDKHAAAQAATAKKQKSPTLEPPSLKQHRVEAVATPAV EDHGETVENDDDDDEYWSGEEDFVFDIMSEEEIQEKADRLREEIRVALCNGLVWKPSC VKGNSAVNVEVKADHYQVANWAFGGSRDPSDETWGAMDYPTEAFNEDFGPIRNLTGST PLALTGTHVRINLYHESWNSMEYPWQQTIAVIVSASYGTHEARDRLQDTDVCPSDLEG MFSSDADDYASVSGEDDGEGDALHEEEIENTGELDPSVH NEUTE1DRAFT_145938 MSIYHDSELIEQVVTSTPLLDTQDFYVVKDEKQNPMVFSIGTKG VLYLIKQDEWGRNQLINLSEKLSIPTEHQTTALGLHQDTDLTLNLVFAHGEPKGQSQL VVLRRLKPDAFQKDIDKLLEHAFHGQDNTIQGQIYGLLIGRGSDNDHPLAVARFRKVD SPENDICRLDIDYKASSWSVKTDLQMPCNPREIISLCPGTLPGGGGTFGVFVLFRISE VLRLSFTGLSGQGGYYSHINYHLPVPPGASDLATVRTSTGRTDLLVSAENGIHRIPAR DSVKGSTKLPDTSLVASADCLRGLKQIQAAQSGSFVSVFTRNNEGVIAYTQFMIKASS ENLAVDAFEKHSDANQVLDGGVRFAALVNETTQSQQMFVLGDENHPKMRVLYQSGDSS LWKTGDFCVPAIKTHREVYTYTTHIKIYPGAGVRPPADGLEVDLSASSTCLATVNGRT MELSRLPVKVKADVMGTLTVIQEIDDLNVPSLRVSGVPGCETTYIDPSRKVLDFFSKI KSGDDLQNAHLPNGEKLLSGGSKNVNIEGAAAAIKSLSSKGASMKLEAQFLGTEKTQP VSLEQPSEQPIVKEMSYISGEKPTTGSWAVFDWLTRSLESVRDIFVDGWHLVVKVGKK VWKFLVETYEHVMKGLRKALEWLGEGLKKIWNGLKFLFAWDDIKETHNIFRDYFEGFI DTAAKAIILFGDKAVSYLDELEESLDKNCNTTQLPSKVDGPVDPDGNGQQGKEPSKMD KAFHSPGGNYANHHAQHNPEVRQAMESAEKEMEDDDLTKIMAALKDIVKEFAEGAGDI GSALVSLLDPSRKATASEIFSKLGSKLLTRLIAIARDLITLFTRVGAKLILMVKSAAT ATIEIPVISWLWSKISDRPLSFLDAITMLLAIPGTIAFKLYHGMSPKQHPSYKEIQAA KTELDAELVGHELMAAPQLFAVMPTASDTSYNDVASMGAAEKLKKDQGGFVKNMMKHS LLQKIAKWLKAHSYIVGIIWFGYNCYSMYSEAREWVMPMKSAYPDFGALKEWDKGGAT MFLVGIALSFPIPDPDDPAPSWGLKLTGWVVSIFAGIKHCSLRIFKGMVTMFFSALQC VIYVGCVTWDHVAGYSKADPFLKIGNTCLTKGHDFLSGLATVQVGKNPYVMGGALICG ATSTVAGSIIYSQLAETTIGDFVFQS NEUTE1DRAFT_80743 MHRCLSFGDPPCDVANIRSATSIVERDTYSVLTLTILLCSRLGL DLRTGYFTVNSSSLQLVDSIHADINLKRLNTMATTEVDSRLKDFDLQGFHQIIAISQD KINDNLRVRFDPELQPELVSFKHELPQMGVSEATLDPPNIEVCTESDTETKRSRFFLN FKTGSYKYKATVEAGGVETVAIDGWKVPFFVAYDEKTLAKPPDSSHPNLKSKWAKLEP GKYSLSRIIMFLGSAPLSSLDWDHAYCPGLSQENDPYGMKKVFFRMFLEAYLSSLQKN PDALTLGYTIKEAAPDPTDKAPTFSPTAVKIQNYKYQPCSDTQQTINGKVIPPNSRDA FVFMEMTGGADIPHDELQRKGNLIIGDMPGALVFSKELFFNKYLVENALSSVNVSFFD MANDLMKWVDGGRWESDWLLTNGSRAEALPAAKWTIDGSKAKLSWKGEKSYNGWGVYR STDTSLETELFTEPRANKITITSKMKLGSSTVISSGHTGGGGYNRGTDGKVNLTIEFT ILADEDGTLHVEPKETIEPVSVDDSRDANILKLIFGKQSHEVSDFIKGKLQDVVRTKH IGDAIAADLNEKKQLVFPGSGTFSYKDPKFSDAGDLLITLDLVGKEIEKDKTLVS NEUTE1DRAFT_121747 MTTKKTITVFGATGLQGGSVAAIFLNDPKLNADWTVRAVTRDVT KESAKQLADQGAQVVAADLNDKSSLRKAVDGAYAVFAVTNYWEKMNKDVEVQQGKNLV DVAAEAGVQHFIWSSVLNIYELSKGKLPNLHHFDSKAEVEDYARLTTSLPSTFFLPGF YMSNFPGPALGLTHHDDDTGKWTFALPTPASSSFFPLFDTADTGKFVKAAVLHREETL GKRLLGATEYLTGDQVVEGFKKVFPKAGATASYYQVPEDVYLDNLKGAGMPDYVAQEL LENFLLLGTFGYYGGESLDWTHSLVEDKLTTWEEFIKKTPEWKDLK NEUTE1DRAFT_129281 MLWPSTPKIRPLLAFLSFLILLLSPSTLAVTHSPPVSYTNTLIP QRADPHISKHTDGYYYFTATVPAYDSIIIRRSSTIQGLSSAPETTIWRRKSSGIGSNQ VWAPELHYIDGKWYIYVALGVANEWRIRAFVLEGVGANPQTATWTEKGLINTDWDTFS LDAHHFQVNGTRYLVWAQQEPTRTDENSSLLLAKLLNPWTIQRPATVISRPLLSWERV GYKVNEGPATLQKNGKIFLTYSASATDSNYCMGLLTASVDADHLDPASWSKSPAPVFQ SNGLTQQYGPGHNSFTVSEDGLSDVLIFHDRGYPNITGDPLNDPNRRTRVQKVYWRVD GTPDLGIPVPDGVTPVRLRSSFASSPEVYVRYYTGTLPSGAATLADTLFRVVSPGLAG GNTVSLESASRPGLYLRRSGTQVRFDAGSSSSSASFKSEASWVRKEGLADAKNGVSFE AAGATGQYLRLTGSEGVLVVGAMGGDSIQKGQATFHLE NEUTE1DRAFT_145942 MAGFTGTSTRRTLLLFCYLIRVSLAQFDPIKDFCRRFGHQSAVV DDKLYVDGGLVNWKPYSETSANVSNQFLLYSDLKHETGGMPNVYANLSKNATIPSVSF GILWEDSINKWLYSYGGESQSTPRNFILYGYDIINNYWTSFGPPSSSGIITPLSHGAG VSISERGEAFYYGGWFSENSVPGWSGTRRASDRLIKYDMDRREFSNLTGPDNVRRAEG TMIYLPISDGGMLAYFGGVRDNGNGTVSPQPLDTIFMYDLANTRWYTQKTSGRAPEDR RLFCGGAAVAQDQSSYNIYIYGGASFGSNPIGYDDIYVLSIPSFQWIRSLYPSNSNVT GEFPKAMSSCNIINNAQMLVIGGRALNDTTICDADAVMGQHNMVLGKDNPEKAIWARF QPNLTTYTLPTDILTVVGGNPTGGATITAPPRTGFDAPDMSTLMTRKPRIAERTATRD VTPTSDPSPGTSKGLSTGAIAGIAVGASIGGIVALASLCFFCIRRRQKHYKQPRVGGA PGTVTGSNPTSPMVHQHPPGSSAGWADGPGGAAISSPTFSDAAPTYNTSQHATELPPS SHGHGYRGVALAPPPPPVPVELPGAEAGDNNNAEGKSKYNPGLPLEMPVTPAKSTAST GYRGRGHARSPSDLGPSYPTGEGDDAISSRGGVSPSLPSPGNGTGDSGRFGGNGGLPP YSASGAYGSAPAGGRFEEDFGHEGGYRR NEUTE1DRAFT_129283 MSTSDRYTKPRAATMAQRKHETIAPSAGIPPPQRKRTGLSLVHE VVLVFVLCLAQFLSLAAMNQTVAPMLILAKYFDIHDYGNLSWFSAAYSMTVGTFMLPS GRLGDIHGHKLLVMIGWAWFSLWSLVCGSCGPTNLIWFSTCRAFQGMGPALIIPNALA IIGRTFSIGLKRNIAFACFGAAGPSGATAGAILSSLVAEKLSWHWCFWFLSMTCAVLV MMSWFIIPLPSSEFPSARSSAELTTFMVAGRDLDHNTKAETEGSQQQQEPTFDTLGAI TGVTGLVLVNLALNQAPLVGWHIPYIRALLVLGLLSLLAFVCVERRFATHPIVPLRGG SGLQPHAAFVLAIVFTGWSSHGIWVYYLYIFLEHLRGHSALFTSFETSPVAATGVFFA LLTVYLLRRGVSVSLIMFIAMFFFFLGTLLLALTPLHQTYWANTFVAVLLMPGAMNLS FPAATILLSEQLPREKQGMAASLVATVVNYSISCGLGLAGSIHKHALVKAGDRAGVER SGRKPGPALSETSEVRRRRSSGEKMKGCGEGGDKEQKRGQQKELTTASQDLEVGVVHT TRATGSTKSGSKKKKLSRKGSAATTVRTKNEGSGAVVEGEKGRSTMRVHEEVKSVLKT VDLGFQPPSGEAVRKKSERGHGNSTRSTGRNQNG NEUTE1DRAFT_80756 MLFTQILSVSTAHISVAGVIVCLILFTLQRFLTVRWKGRERKAS ISISKDKKNKVKPDLPYLSQFPPSRRHVLATLPGFEKADVQAITPEMLKSQALPTVTI PDFDKDGFYTPTGFSTQDIKKLGRFPDYAALSGVRDPNPVPETWDITKAKFRPYRPFR WGYHQHMALMKYDPDWWVELTSSYHTTMATRRALLAQHGSKIFFQNPCASHACRELME MVLSFLCNRYPQHFSLSSDHTLFHNHLLSTTTHLLQTDPLQVLFDNVPEDYAIMMRNE TDGLYYLRAAIVCSSVGWYASQHRDAPLKSIHTHVPDYESKMAFSMDRWFSKLSTESP VSRCSWGLEDWEAFHTSPDSVSGEWTRSAFKGREDEVTTKDIKLRCDAQTLRRIPVSG AVVFNFKAIFWELEELREEKYVPRLLAKVLREGKRELMEYKCEEHVRQVAMRACEQWA EEQVEKGWVSREWEVGTLEESPFFPGWEGKWRGEQGF NEUTE1DRAFT_145945 MGEETTSRGKDTESAELTPPSEFLAPCPKFRVLVLGNPESTKQE LFSRVFGVDLEKKLVSDAFSATHDINLPLDLQGQNKRVEIFSSPNFFFPSPPPESGED DPSYILAYATLRIVTDFIKAHTSSDTTAPLHAIWYCVSSADPDRPISAVEQYFFDHLS GIVPTHIPVLLLFTKYDEFVSQVQMEWIKSAQEKGMSKVAVAHILRDLVHKRFEDTIG KKWGQLLKSVDSGLVLEQARRDSGFGYSFGFGYGGSDRKDVLHLDDREVEEGGKKREV VRVLVGGGGESEQDWGGEPAWGGELAGVEEGGYGELVKRTLEGLKESKEVRRAFAAAQ RCSAGVSSEFAAELASTYFDVDTGHARKLHGVDVRDIMPNFYAKAVQLFNLRDVSSVL EDPNLLVRILEATFGMHQRILVDDSLSHSSTEPSIFLGLSPHERAVLLAQGMAAVILF LHKLADVQWPHRDNSRGILPPATITAKDVQRVIDEIERGTEKRELLEEIESSPIFTSC RMRKEVADLIYGAVEKADKGAASHFTREARGKGTVMVDDSDLQEISLSFVNDKGPEDM VLPNGLRILPLN NEUTE1DRAFT_116758 MRASELLAGAAALLASGVSAEAYLGFNSGNTLPSREAKFKKDWV QEFTTAQNLKNSPGVFNAVRLYTNIQAYSQTSEPIEAFEAAIETNTKILLGVWASGTN TIEPEIKALQNGIAKYGKKLTDLIIGASIGSEDLYRVSVTGIQNKSGVGAGPAELVKF ISDWKKAFQGTAIANVPIGHVDTWDAWTNGTNKPVIDAVDWVGVDEYPYYENGKGNNI ENSGYLFDRAYDAIEGAVGGKPIWVTETGWPYVGQTWDQAEATIKNQQYYWQEVGCRK LFGKVPTFWYNLRDSNPDNEMKFAITDNLSTTPHFDLTCPKTFKTTPKASSSSASATS AATGTGVSSPSSMESGSSGSGSSGSGSSGSASSGTASSSEPATVTAPAESAVATGSAT SVKGVSAAAVAGMTLLVGVFAML NEUTE1DRAFT_129287 MSAQQPTERPQPPKTEGYRHGTAAPSSNLKPTITMSSMSPHYTL PTSKAGTPLNSAPGTPTANLSSLSLSTATGYPLPVPPRGPIRMLSEHAVSDTPLTSDP YGTPSAAGGPPQDYLSYRRPTNAQSQLPTTELRSNLAATAATAAMGASGAGAWGSSRG ILGGSGPANPREARETQYVSASLSAAGSRNNSSTALSGMVSGHTTGRGHPLARENLQS EAKTEGEQMAPYGEGEVARAVEGQARKRNTKRRESMAQGKKPNLSLAQLGEGQGQGEG EKEGEGEGESDHHGRRERMGSASQREASGERHGRVRGEVSLEPSEADLERKKMQQSWK REEIKQARKAGKDVDGTSGLSGRQPRPEV NEUTE1DRAFT_137110 MQFDPDAPYWKTKPPGIKEDRPINREVHKPGYCFPCCQKYGTHD KLGCRLGPHRAADGVREKDLNSWKSYEDFQEAMGAPRREDMPSWEAHCIALRRQASMA VESDSDAEESDSTSESDTEELYSTPATEQSDRSTPAAPSTEELAALKTTQSTEVANTN GEDDYEEEGPSWVDECDQAGQDFPDITELPRKKRRALLRAQEIALREAFATREAYGSH CRPHLNRQTLTVEVRTMVSYTSGPGSNSLKRKREESPGTERRQRKRLIEDRHD NEUTE1DRAFT_137111 MPEKRPASHTRSPVAKRKRTAKATSTAHNTADPKATASKTASSR TTAVLDNGAAGSPLPSGPPRTDRSTPGSVARSVKANQPAPSSQTNDHPTMTDKRKREE TPEESSKRPKASDKMPEPKSSEDNDAEEPKKQQELEICPMCGEEYDSEDEDDDICEFH PGKLVIDRKVPCWKSDWCVDPYNDEYVEEFFESYELYPDMLIWDCCGCSEGAPGCETQ SGHGKY NEUTE1DRAFT_43584 MANEQSMPLPLVDERNRKMLDAIHNAPCMSLQIVLFSLYAEGDD NLKTRIEDLVHDYTLTLHNLDAGIATPASELRTEPATEVPLATEEPVDNTIYCPVCDD YFDPDDEDDLCFHHPGKRIAIPGFDSVAYEKEVSPEAYQIKVEEGLDTEENRLVPLWA STWDCCGGVGASRGCVQGVHVEYVEGMEDWDDSDSSSSDESDSD NEUTE1DRAFT_80763 MVDETTKEVLECFPSVTNYERFQKTMDAIHSRSKVVLRRVLFDL FVSDISDPETLQSRIHELVAERYEYMYAESNENPLPTKRKSEESPKKKKRRGKKRRKT ATYISEGPDECTRCEEEFGPEDESKGCFRHPGIMQLNRASYSWWTEEHIETSEYTSKE LNAPHHREENPDGFTWSCCGLPGGRRGCKRCPHKAYEGDTDYSGDSEDSDEVDDDSGS SDEGNESDSGQDDDDDEDD NEUTE1DRAFT_145950 MNDFASKVKVLRDGQKPEFPPNANTLEYAQSLDAQDQLSHFRDE FIIPTRASLKKKALDGIIPGIQTNGTTTDADTPCIYFVGNSLGAQPKAVRRYLEAQLE TWASIGVNGHFSSLSNSPLTPWQDMAADCAAKSAAIVGAADPSEVVIMNTLTVNLHFM LASFYRPTDKRHKIILEWRPFPSDWYAFQSQIEWHGLDPEKSMVEMQPDENLYLSPEK ILATIDEHAEDAALLLLPGIQYYTGQLFDIPRITQYAQERGIVVGWDLAHCAGNVELQ LHDWNVDFAVWCTYKYLNGGPGSMAGAFVHERHGKVDMSSGKPVFKPRLSGWYGADKS VRFNMDKEFQPTPGAQGFQVSNPSAIDLTSLAAALSVFNKTSMKELRSKALVLTAYTE HLLDEIVRRQPEGEEPAFKIITPRDPLQRGTQLSLLLRDGLMDTVAAALEAHGVVCDK RKPNVIRVAPVPMYCRFEDVWKFMEIFEAAIRG NEUTE1DRAFT_42344 MSPFEHLPTSATGTASKQQASSTESNESDSSFPDHIQSLLTKYD VTTNGFLPEGPPLEVLPDEYYAPWEDLIRRLPETLDAGTFRAEVDRLPVLQTERLMKE EEWRRAYVVLCFFAHGYIWGGSRPSEVSSSFMAA NEUTE1DRAFT_43213 MEAQGAYIIPIMLRALQAIGLRSETWKESTTKALRELVHCVQAV GELLERMYEGCESMVFYHRIRPYLAGSKGMNSTSMGLGRGVFYDLGAASKGENGEVVQ GQKRKGKWMAYRGGSNGQSSLIQFWDLVLGVEHVSAGHSSPHGHVSSSASGTTTTNMK EKEKPFHEEVREYMPLKHRQFLSLVGKMGLGKGGIRKAVMEMVEAAEHEGRDLHEKER ELQGAFTDATRALAGFRNKHLQIVARYIVIPSRQEVPEEMKRPGVSLGDGEVGGNEGQ VVNLATASSGLSQGNDDKKKKGRELTGTGGTALLPFLKQTRDETLKAGEVGK NEUTE1DRAFT_41557 QEMKQQQEKRQHPTKGFVDIVEYHKPGLGGREVTIHPTSRFIPQ NSLSKRKKRDYKYEDHAVVLRRTWMEHHGVSLLVRIELEIHSKPLCSAFRRIAARRYA STDLTSHPIKLPGPFIELFFYRTEIRALAETTSDPDLRRAARALDEFVHRPDGVVESI IQDHERYSKEGHVLNDILWTIYPPNSLAVLDNGVFKECWLIRDVVTETNEMGMTFWVV VGLRLDYDGSSPGLARQSFRTPVIGIRPQKISNLSLIPIQNYADWQKLRGTLLTRSKQ LTEALGVDFSSFRCQSYSGPSWDRKSAHPSGKHHDQENLMLSATQVDERFIMDFKAIS SYRNITLEDLKSTSISTESSRFKKQISNPMPRGFARDWGIEPKDIKPSRKDDDSSSDS DSSLDSSDLEFLDTALKQEHAVDLAAFPAQARSSFEVETAGDEQPKREKANFGTINGV VEAAAKKFKVEKSDVELLFPALVPVFGLKSKSWRWALADKLQDVKWNMTAFRSLQLDQ LTKNLIQGLVKGHKDKKVVFDDIIEGKGQGLIFLLHGKPGLGKTLTAGGELGTEVSAV EKKLQEIFERVKRWGAVTLLDEADVLLCKRSSAEMQRNAVVAVFLRMLEYFQGVLFLT TNRKQDFDDAFKSRIHVTISYPKLSSEAKSQIWESLISTNKSVETDASWTKAVYTALG KLNLNGRTIKNILRTAVAYANSEGKPLGARHVLAMLRTELQGNGDEGSDDEDNKLKDG VHDSLSELHTILDIPF NEUTE1DRAFT_80771 MSASTSTLPLHAVSSQPGKTHGGRWLRSMKHFRGNGAKPPSSVS SAPTAQSGVQQQKTKTSEPTRQPPTSIAVTEAEVKEELRIHKRFQLPSIAPGIEVTDL PNFDDVTPYDVTEDTQEQLWDLEAKRLVEAITQDSPPRFEGSHDLSIDSKKAHALLAV LADRVMQCLIICSYDGENLLAQHHEARCSRSELRSCKISLGYLIGEDKEDDLSSTTDD TSKVDTVEDIKASDEDFFAFVDYCSNILARILCSAFDGRYVHVNILAHLARICTKVKI LSADLKKFAEISLDRAAPEMDIPIPSQHGLRRPHDGQIEDGGPSVVKKVVVNGGGASG GVSRLLQSLESLSHDQMAPLETESKKKALRIKYCEKHDIYRTCLTKMLQKVVVHLRGT NRLTQSFPTFYEICAMVYETGFERFRTLVFQDEANVFYGTSDAVIVNYCRSGYHLLNR TLAQMSEDGSISESQITPPPASGPTASDGTTSIGTTVSWDYTPDAEDGAHTYASNPPD TIHKFFQIGSMSNIITIVVPLLLANPNHAALASDFFNTISFNNFLSGRPETTTEQNLQ QQSTFQQSVYGKYTACFSLTTKEYRDEQSKRSPDGPRSLSNALMRRKNLHRGDELGEF SRVDSLAFNRGKPGKGPSSAVHTSNEDTFQRQIEDHQKELDRYGTSMKSWVFEEKGIM VKCKTYVALTMVLCTVLVGGGIAVGVTVGSSITAVDPFNITTYCWVLAAFVLLIAKSI RVHEWPWNDFLHGRVLCKSVSELSSVTGIPDRLILAKLLQDESLSRLITRGPFNAVFR RRENEGFSIDRPISMWTMLLSGLIMIEVESVGGKGLVCLDLRRGTTIEAISHTADFQD CSDEKKLYIHCRSIPGRKELTNEGHIHPNKVALNSGPFQWMRSLGFYSNKDAMFV NEUTE1DRAFT_80773 MRVLKAGVKRDDYNWRQIRPGLWQRDADEAEVFYSTLAKLYAGS GRMHFAITGHIALTISVPEDEDPEVVSRRLDESLRIAWLRQRYETPTIASSVHYDALD GKWKKSYRTIPDDASTKAWLERTFQVVSTGQTGEDWANSDPPAPTTPTLFVICPCAVI TSQDPSTICIRRDLVLRSPHDIIDGIGTLHFLNSLVTKTTQAFTEGEFFRELPVFDGS EASNLSPPYRVAANIPSTPTPEHQTRLSTTKAPASQPLNPDIPFFEEIGIPYTKGSLL PGKHQRIAHYLSRERTSALITFLKNYHPGITVTHAFHAAIALTLRNAHLKLPSSSSTS SSSVKTSSSIYTNYLLRNERAACQPPYNTPLHCVSVYHSLPSTKLQVDMSSTPSTTSQ EKRAEFETVLNHIKDYYTNVRDDPMHSALAPAIWANWTPVLPEAVLKGEEKIEVPKPK EVPSVSVSSMGVVDRILKRVHDLGEERGMKLEVHRPWVTGEELTNGLGVFLGTFDGEL CLSCAFNEAWHDRKGVDEFLWEVERVVGGWVEGGTGVGGS NEUTE1DRAFT_145954 MALTELLGSLPLVSSGMSKFQVAAIYLAIGFVTWYIISSYTAWY RLKAFPGPPTTGFSNLWAARAIWTGKAHKIFPATQEKYGPITRIGPNALMVCDAATVV HINGVRGGYARPKDFYDCIRMDPWDHTVLSESDSAMHNERKTKVYAGYHGKGEMDMEK DVDMVVAEAVELVREKYMNSASATSGHESKPPLDYTRIARHIAVDSVTQTGFGKAWGD VREEKDHFGWLGFADMIVGYLHSLAYVPAISKIVVSKPLMVLLGPKPTDKTGMGAFLG LIEREVARRYKDGSAKGTPLKADTGRSSMLDEWVKNGISQRTAVLEVSAQLPAGTDTT ASALQGTMLYLLSTPRAYVRLKSEISTALNSGLISSSSIITDQEARKLPYLQAVLHEA IRLMPPLMNGFPRQVPPEGDTICGKFVPGGTDIFINYVGMLRDKSVFGEDADIFRPER YLEGHGSDDEERRNRMLKTTDLAFSHGRWRCLGQRLAWVQLQKVVVEFMRNFDLQIVD PMHPCHLRGYCTPEMDDLLVRVTEAKLD NEUTE1DRAFT_43016 LLSKYYITLPTEHFWLPYQPNGTHLCFITPCLGPNLGSFCAFYG HVTDLVKHVCFQLVQALHFLHQKGLCHGDFRPANILFCLTEEVEKMGDDELVAALGGV QIAKLSRIPPANTTKRDMKEKEWPKGLPRYFIGQANMAKLIEGGWCSSKVAIIDFGVS YPVDKPPVKGTGIPLNYAPPEESITRKNGEGKEEVTIKLGPHSDIWALGVTFAELALG YLPFDKSPDDLLAVVDDMEMVVGPIPEPFRTALRKWYREKSRPWHSALVAVDMVTDPD LGEKVLSPLTFSVKRWKARKTAIKNHCGHEDPLSREMAIGLHQSQTEEMAANIERQWA KDKTRLPAYGDTDADTLVELALGGGQAPKKTVGDVDSFRDLVFSIFKWMPEDRVSTAE IMAHPWFADRRAPSRSIEPERLVSKLSQGTFGIINFMAGFPFKASNFFLRVFSRVFGI FSNPLWFLWGGRSCTNRSNDLEAQ NEUTE1DRAFT_109550 MPPTGPWTLKNGKVPGQDSWRRARELKPNSHVRFTPGDTLEGNG IDLRSILQKKDVGFADSHEARSIFSPQDYGFEQDPNIIWIQNPTRTFKDEQGAQFSQL QSIIRDRLRNLNYDYAVVRADPHCTQN NEUTE1DRAFT_137123 MVKDTMENDKKTQVIASDPIAPPPAPSPPRNGNTSFVAMPDDCP PECPQEDHVKVDDEAHEDVDDDTKSDSSELEYFDLDSDHLTTPIESLDDYGPGGFHPV HLGDTLGPLSDPSRFRVLHKLGRGGYGTVWLCRDTKDGKPKALKILSADASEKAAKEC PDLKALQLLTRADSYDTNLSPQAHAIAEDLLRYTNG NEUTE1DRAFT_145955 MRRSERPRRIDDTHRYKSYHGGAGDHDFPPGPATAPLGSPRETR SHVGRLERDRGDLDRDREQRPKPRLVGSSSTISSHYPSSSSSSRRRQDSNFEHVPRHA RTRSLSVPRSFSPPPSDDETSAQDSDEERRRLKARHTLKTKTREHYPSGSHHRPSLQY YNDRASLSGSRLAGWPNASSRPPSRSPDPVRPKRMDRELRRDLFDRASFHQLGDDRDS LFSTPSEPNLRARLNMGVDPGLGSPRKPSALSRSGTGTFEYEGRQGGLGLRKPGTSSR APSVAPSYCHAAPENPQYAQVRVLILTWAFHDLKVSDSDAHPYMFGPGSEFVSLEEET RRLRDTFESYGYTVDDWLIPMRDPLKAVLTKLAQFSKWANDETLLIVYYHGHGSLDER RELVFSSHEHPTDAQWAQTAAAELYAAILNQDACTHLGKPTTPYHELMKKYERYRPIS KVKWSDIRSAILGINSDLLLILDCCAAGGANLDMEHSDATDTYHNTDPSSGKIYTKHL FAACGFESSTSDDMTSAMCDVLDEWPAGPDDAGLQDLVTTGHLGGAGGGYTGKWLTTR KLHQIVESKLQRNAGMTAVGLRSASQPIFKQLLPVDLPERYITLPNLQGRQRSRNQSR GRNRHSHSQVSPSAAEGDSIPGSTMNGGTEYGIDAEIPAHAHAHAHAHAHPGSATQVG LGTARSRSRFSARDLSLGRISSRAERDRESGLRERDGSVRDSGRERDRERERDRDSRE DEGWDVVSMREGLRERDGSVRESGRERDRDRERERERERGRGRDW NEUTE1DRAFT_80781 MPRQNTFTVVPNPLGMQGLISRDNAPASKPQAMTSKQAKKLYKQ ATKQPRLSKAEQRRLELEEQERIRKELDKQKSANKARIAREKKKAKELAEIQARKKKG LPLVDVHPSQDTISRFVRVGVANTATKRDSSGNVKGPAVDQQSRTVSPERDDSNKENI PDGGGVIDESLRKRPRLDQDSQSNRQDAVTIPCKESISGVEDTTITNKENVPWVEDRT ESTSQRTSQPRRSGKEGSQSHDKTKTRTESNTQRLETPEEVVMVLPKPTRLQPDRNLE DMGLSRPQSVEALQPRRLENAPLKLDPVKKVVKPLPTGMRPRPILGVTDGNRSTPAKP SKETVPPQGYQKLEHVNKEKEQQLPKESSQPKPKASTPNTSVVRSAVEASKAFPSPQP HVSPIPPPPRPSMSARQPSNAARLKPPGAQHQVTAPANPPGPSSTTANPNKFPSPHRP MGPPALVPQRPSPGPPSFKRPMVRPSSGGIQKPKFLPRNYPQASASRSSLSSIIGKPA VSTNNTPPTSTQLFVMSHLDDLLPSPSQELRELEEDTGSTATSRPPVFKSQSDKQGQA PAVVPKSSLAPGPKRFTRQTSHVQIAPSRPRIQEVKPVEDDIFPFLSTQDLLFSSQDI RELESDTPTKVDNRKDNFQHPLKPSPKTAFSSPSIVTVKETHPYQTATTTPAPKPVPG LASSKQMTSVPALSRQSSIGSVTSNPQATSTAYKAQRPSIPASIMLDPKTASALASQK QAEAISPPSNPSQRPRASANSSPKLSPAIGDDQQAEVPNPSLPTAAAATPPTPKPAPR PRSPSPQRFFGSSGVGIQILLAMAESEKTFREDEERRQEKARREQLMMERRKKAAEER AKKAAEEKRKRAAEERAAVMERLRRQGEEIAQLKIPSARRTESPAVAPEQRPAATQAK QLPGQSIKQLIPSISKVKRSISGWVPPQRTSVVKPVIEANPPQQPSLSKSNVQAQHLA EQKSLVPSKTTPMATPNPSMQAQDQISQILEVSPAPSQGTDYGDADLDALGELGGMGL TQFLGPEKDLSWLDDDDDF NEUTE1DRAFT_100232 MRLINTTTLSLTSFLLPVSLLPPYAILSHTWSPSPDDEVTFQEF ISLPPHELEKKKEKGYAKIKQTCHRAKKSGIEWAWVDTCCIDKSSSAELTEAINSMWG WYRGATVCFAVLEDLEPVFRDKHHAEPKPKGARLGVGGGGYGQDGNGNGNGQNGNGQG KNASGEKGWEGKDGGRWLEGKDGGSNVGSSCSNVSAATTGSGTGTGSATSSHSQAGRM DHLSHDEKVARFKSCRWFTRGWTLQELIAPSRMGFYNSKWEFVGEKSSLKHVLAEITQ INESVLENSALLPTIPVAQRMSWASSRVTTRPEDMAYCLLGIFDVQIPLLYGEGEKAF IRLQEEIVKETNDFSLFAWKTDKKSGTQHQKHWGILAPSPKEFATCRDIVNWGNTLYN AECLITSKGLRFTPASGDGLRSGSSRFKETEGTYILDLGCYHRSEKGMAIGVFLQQHG SDLYCRVMPESLPMWPTGPGQQPQLRKSRVFYITKTVSPVASTMLGTSHRWAFNLSQV FRAMAEINFRPKHSQFQPEESWDGERKLFLSQGSRNFQCCAVFVTTRKDGLGALRILV WCRLYLVHGGEEEAQASVKVEFDPPTEGKTDSDGFVRCKGRVIRDIYEGQRVFKVEVE ATTSKSTCKY NEUTE1DRAFT_100233 MAGTDHHQNTRLEIPTTRVWTPEHGYPRRGVRRVVKVIAAEKST PDCPDLKILDHFRDVDRSTLDTFGICLPIEHFWLTGPNGRHLALVFPWHDCTLETIPD YYSFHTALIKDMAFELAESLRFMHSQGLCHGDFRPCNILLRLCDGADSLPEEALFKAF YNGDKPNTGRVISLEDIKPVTRASAPSVPEQLVGNVEIGFHSGLCAASFAITDYGVSY SILDPPKEGSTGIPLDYASPEDRFFQRELLGPPSDIWTLGCCINYLAADFTHFAQPLD GDDCDTHAAKLESLMGPMPDPFRAAYKEEHKKEPKLRCFNPQVAKSDETNEGELKPIT EKPPTNPFEEREREMAAEMAKTAQRGERVMSPGEAVFRRNLQRTIYLSQTQEEADHMK KQYELWHLQQDHNSKNTTHTLPLPYSEKRRNGDDEDDDDEYMELRLDNEEADQLFDLL MSIFKWHPNDRATIEQVLSHPWFEGRNRNAAKVPSPVTTKKSAVDGAIESVKSYFHVN ATSRWAQGLS NEUTE1DRAFT_43217 MNSIVPKRKIRISWIVDPNQTKDRRYVNNDVPIAITEEICREEA DRLKLTCVVIRQLAHETRNVYKNGRTVTVYDAESGQWRNAQQKADSYFTVYMGVSKSQ VLLQGHIYVIWDPVEFGNLKKMTDPLNQRKYISQGPRGRMIIAPATRQLPFHHHHHHH TSPGKIMYPLHI NEUTE1DRAFT_109557 MTTSIFRPPYPEFHDQVHDFPLKSVSLEALVQKKAAGRRKRYTG VQVWGTPKKMTSERSKEPVTVTWIVDPTQDERYEPDGPQIATTEEICREEADRLGLNK GISSNPNPKLFCHQKDASVTVLLPERTRPYVDGRTVTVWDASTERYQNMQREADSHFT IYMGRSRSELPLQGHIYVVWDSLKFGGLDKMSNPITQRKHVSAEDGERPVAHEYWSLT KDPLPPKSC NEUTE1DRAFT_137129 MSYLGTQRFPYELEFPIDDSDIEDPRRYQEGGFHPIVLGDRLGP CSNGRFRVVNKLGAGGYGTVWLCQDTHHKPITRYS NEUTE1DRAFT_41912 LDNNLVKIFIQLLILNVTSLILLVRKLEGSVRIYINYRGINNIC VKNRYPLLLIKKTLDAIYKVK NEUTE1DRAFT_109559 MSTTASTLPPAQKKPINNMESQNDANNSPFSKPASKPSTASSIA VSDTPQGYEFELWPASAIEDPNQYRRGGHHPILLGDHLGPSTNPSRFRVFHKLGYGSF GTIWLYQDINEIRNNKRWKWRVVKVMSAKASNLKGGNANLKVMEMFEGINRDLLKIKG VSIPMETGPGDDGASQSEEQLLAVLSEPERAAVVIWDDAQEPQPVTGNPSVPEYLVGC GDIAYGSGLVSIKIAVVDYGVAYPSSDHPELIGVKADIWSLATAIFEIRFGFPPFGTN NHAPSSIPNMEESMGPMPPPFRTTVREWYKLPAETDKELQGKSNSELSYVTANPEELE KNRKFHLEERGAKDPIIFRILYPRSTNISPAQAEDIANQAKSNPAQLPLWTPIPRNPD RLAYYTRKDYLKLVPPKEEVEQPKDRATIEQIMEHPWFEGRKERKVTVIRPTITTSES GIVGSISTKLGQVKANINSWLNILFWDKEKKKTIGSNILSRYVWQPTSKTFGKISRVP TAIFWLLIIIPAAWVIKRRIDRGRNVFSPSSVRGLRH NEUTE1DRAFT_100236 MNRLWKQAHKSNEKPVEPKRTDDLEVGSRSSPSDTSWIVWKPED RYPTRGITLERLFTRLQRKRGKLDEEVEFQREAPISPDYPEVKIFWAENWAGRSSEVT MDRVRGTEKILREEAHRLGFKVVIVRSGVHNETNQYAEDGTVLTYCDQRTGRIRNLVA EDDPHMTVYLGYDLDNIVVQGHVYIVWDAKASFNMRIVRDASDRKIVQPWRQPVASEY WYL NEUTE1DRAFT_137135 MGYDEENVIIQDHIYVAFDSKAPRGLRIMNNPSVERKHVDPGKG HVASEFWTVASGKQIEWTLKENSSKIQAKKRPKMPLSGQNTSIEDIGKTTSTTDNSKD GTPKHANIFETLLARIHESLQQLHDQDEQTNRSQSGAKDAPTTTSSTSPSALSVPPEN PRLSDSSVVPTTANFTKPCRKNRKRITKRRYPSPVPVRGTKAERLREYLKYFPKRPSP CSFNHSSSSQSPSSLESRSVTSEVLPEAEDDLDDH NEUTE1DRAFT_137136 MQPDFPNTPRPKTGGTPLITEFFKPIHHSEPTRKAKRGLEDEID SRPAKKLRSARHSGIPSVDIDRPKTRKPASARLLDDSNAGLRDSLVYRCNFFEEKTLD DEVEPRSNDIEPEAITADAEDTTRRRRSKAPSPRPKKAPTHTRLFNDTDAGLADRLVG RHFVKDEEDVIDRKVASPKLELTESPLAVRLRNLHNTRKPKKRPSSARLLDDVDGGLM DGFAGRFETARYEERIEEDKEVNGDGNPGRDASTNQTPTNSTLIGAALVGDTKDNIKP TNKYTTPSRPAPTTPKHYGHKGSSPNEKPSASDSPSTLLLPSPVMDLPAPSSPLSFSS SPVAWPSPPSSQ NEUTE1DRAFT_100237 MAGRSNPPRTPSPKSGRTGKITDIFKSVHQSEPKQPTKRELEVE DQDAQPTKKLKRSDDGHAGSMKTARYQVAINTPEPKTMCRKPASARLLDDTDGGLHES LVGRRDFLKETSTEDDETVEVQHRKSFRPIHNPAGVNNPRHKRPASSRLLDDTDGGLM DSLVGRSKTPRHGEEVPRSGEMKRNNGSMHEAMDDNVLDDDTEAETINLNDSENEMSS DQDGEDDYSEVDDSDKENVDPRNRNRTPSLPPPPAAHAHHGSQNETGDATPISQSSTS PSSLLLPSPVMDLPAPSPSSLPSVPPSTMPSPPSTP NEUTE1DRAFT_121764 MASRPTTPEAITPRPQAPTTPIRTGNRALSPPSKSPIATPPPHS PIPYLPWRDQITWDHLLHSAPIVDNFDDESDIETLAPQTPPDKILLSKERQAILNQRL QAGHGTHRIHYIVYDDYVADVHGNKIPDSHMILVTGDPRQNGGIPSFDGVDVEEGMVV EKRDGFWGVYEVDWDDDEEEEEMPVEQQIYQVFLEGAGGDHEEAWDVYQRFQAHKERQ ARKGRIYQVMLEELEGNEALAREVHARWCEEEEQRENSEDEGEEGRDDEQQDVFARAT MWARAFRKGYEMRNASLQKSPTKSVSSSDVQLRDSSILQKSPTKSVSSDVPEDSNQSS ESKQEPDVYDCLGEWAVLSNGEGPRRKTASNSMCEGTDSLIDTSIEQDQDVYDCLDEW ARSLEQDTKHASFFNEASRLVDNKDSGKLIDIATKTADVYECLGFTDIDDNGKVSNET IATENLIVASVCKAWKCHRNPDEP NEUTE1DRAFT_116761 MRSTTTLLQALFASSALAIQITSPKKNDVIDPSSGVEVTWSTVS TDPKSAHLVLVNMASGHTPYSKDLGAVDLTKGSVIISEKDVPNDSDFQFNFQSVDPLN QGILAQSEQFEVKNSDDDKKETTKSAAATQTTAAATLVTASDSAAATATSGAASSDDE SSSSAAVSVSGASTLATVTGSATVTASGTASATHAPTAAAGKVESGSLLALAVGLVAV LA NEUTE1DRAFT_43366 FSYSLIDCSGSKKFMIQTGPHLRRRTFLPPWTSTYLPTLVPPEV ATLVSVYYMSGWQ NEUTE1DRAFT_109566 MIEIGEVPPSDHDSRLISLLPHSLRCKIKPRLLAVRHQTGHFDD SGPSSKARLAACHITGQLELESGTRYETSCAADEACSNIFLHSHKNLDAVLIRQGMPW IMMVSERRIPQWSTVAS NEUTE1DRAFT_42339 MSRQNVASDGELQSSIAANTDNRSIISCRQPYLQPSGGVRHNLL SSDRVLKPLTPQGSSALANGGICVDGW NEUTE1DRAFT_145958 MESRVAYHGNDKTDSSRDIISSQTGSTSNPLALSTHQQQGDILA ISTSSSPPGAGKYPRRGRREKPWPPSKQRKLLRLYVCTQSERLPLVRILERLKDGTFD PRQRNSHKHLKNLLPDRRIDDWRPRDLASMLVRVRFLRSVRAERRMRRKNRDHRARSS NTNQHHAAADIEWRSPTGSLGSRMGVGMVDRGAMDTDIIMNLDGNPLRNNQHIHHHRG RHDSAQSSPQALLSESATASPETSHASHASCQLHQSPINSPETSHTSHGAPSHRERSP VRSEKSMSSSLKSASESLRSAFKRRSWASVLSSISSGISSLARSSSSASSKGVGHSEN GPTVALSKLSRDDFLSLLEENKPLASATKAKVAKALQNPLFKKKYSSVNPKTEELNNA LLAMCCSAHIETSSPPCVHERLSKAIEAQDSEGHAFRKFTVTDEEANMVDKYGNILLH VAARWGARVSILLLLLRHTDDIQAVNVRGETFLHVYEPPSHPKLRPASFINLVRHLRS RGFDFCQRDVEKRTFLQHLVPKKTFPIEILHCLFREVGHGTARFLVANKCSADERLWH CIRKNLSYQSPKLHRIFGDEQEFVRRYLPEFMGDSSCNNSTRITMTSDSDSWRGSSSR GSTASSSQSIKRTPVMRLLCKIASGRDSDKHGGEANLDQRLEALLGIGPKTTKQDIEA WLNERDTEGNSALHYAAEFGIVAAVKFITSHGAQVNVMNNCGNTPLQLIKYAIQRTDV RTDVYMEARYLRCAVLLLERGAFDQSKLVSERSVIYPYDVFDGSERCITNLVKQGVAY ECRGLHLLSSSIAPHGPAMWMDENGHMQFAQHSYDEEGNNGEGSGHVHGHGHGGYGMP IGAGGMPMHLEFQTSFLG NEUTE1DRAFT_145959 MRTTNNLLGHFKKGYGRRNGTPIDRERAIEQNQYIGRALNHVVY VTCVLDGVESLWQYLEAMAIEIPPSAKTEQVIQSTNNILEAIRFLRQEVKSMRAALRE NEARVRDQALLLSSHLSQTDSETNIEIAHASRDFAAAAQRDSSAMKSIAILTMSSLSG MFFAALFAMPYFGSWDQPRHFVLYWACTIPTTILTFAIWAALTQRSVVVRWMKMLCSN WPWGFWQGRRRKGCVFEGNEEKGEKVV NEUTE1DRAFT_137142 MASSSEPFPARSEDDLGVADDFSTFVTGTKRMLEATSIDSPVKK RAKTISSHEHDLILNHVAAFLKDTRRTGKLHVWYNAHRKLYDHLQARRAEMLAHSSID NKVDTLGQVTKHNDLDISENSDTHRNYFEVLLGRLETALEKHCPKTHDKNQPNAAKDP NEVNNRLPCLQPSKFTHSQRSCSASRQALAQHPFLAQDKTIENTSAVNGSKAEPPRRG KARSYFPARRSRGTSKGGFISCTPIPLTSTSKNTTPEPKPEPAPLPLPSHEFIDRGQS NFRPKAIPGTKAERLAQYMKYWPRLEKPDENAENHDGHAAYLTSTYWRSV NEUTE1DRAFT_137143 MSGNIFSHYGTDSDNSPNLGTNDGSTEQPYNDPTAGIITSNGIA GHYPRTPSPRHQPPISPAPYISPISPFISQFITSVPSFTFTPQAAHISPTSHLGSSTS PSRGLIPSAFPYTSYEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEFTGDGW TLEREEEEEEWEGFSDDKEKEEQEGDDEMKPKRKRKKMKNRRSIVFWRREAWKKRRRR VVIWEEEEWEGFLDEEREGDTELEDVGSEDARGGSSLTLLSPSPPAPPFHIRRFLRRN LRPRRHTDQTVAEGCTSD NEUTE1DRAFT_109570 MAFDTSSVPLARMEQPTHMTASSSSDERRTDVRASESWETADVD MNLADREQVERTRRGFDAEEDIRPVKRLKSGGVEVYEESRERIMVTYPRLVDPVDDVD GPDTQLEDRHFSGLWDIVGENALKGATATQDENSDDGSSNSDGFSEDEECTNSSQSSS QRCRTLSPQRTPETPTILPSIEISPTGTEAGNPESQQALSNRQSMFRKQ NEUTE1DRAFT_109571 MADQDFNSPSPNTGANQPKRHLPDREDSRSPKRLKTSHDAPEAL TEDKSVDDGKFESNKASKDDESNMVGHENSTSSPEHAAGIHDHGILPTIEDDFQHVPT IPERDIISPKETLESGDDGDTDSSPSEVPFFSTLPASFPSPSATQPRDVASATASLVP SPSRSSGDSSPSLPGTPFSSYTSISEGDSDEDDSEDEDWPEVVFGDDLIDHDNNDQDS DDYDSDEHHSEDEDEPEDEGFRPFLSRYTRWPDFDGPGDEYTHVIIEFKEDAEWDIGS DPLHEGPRYPGMDAFRLTGYTFDHGSEPEDQEPEDTFYLDDDDDDDNEYDQEWAMESD SVTEAEWWGGGMWIVHHDPSSFVPVS NEUTE1DRAFT_27223 MAHANFESPSTKSASSVKRGMSPEDQQDRNPPKRLQSTGTNDSD SEGSSSGDYISDDKKSPTPINDYNSPEPQQPSTSAKTVTSIEVSHHPSHSESNVPPLE RIGDTIVHTIEGDPNEISSEYLEPTTDGHDTSSTHATQRSRELTVEDDKNNSTLPHTA SSPPP NEUTE1DRAFT_41694 MSTELPKTSPSSPKLVEGESQSTRTIDEIIDTPEALRRLAKRVK DILKGMNGEPNAFEHPVDHPSTDNCRSNDNSDVPEDESLFDISDFGCHDHVEEAKETK EQKDEGIPINDTPSIMKVGAKLAVALEGGETADGTTELPANLPRKSEQLP NEUTE1DRAFT_109574 MPKRSRTMASAAEQALDEANEVRRKRLRMALVVEQAVEKATGLL DEVIRQRFRSKLLAYYEQESAKTRDKEQGQHRVSLGSATLTRKEISETLKGLVVMLNT QKKQDQSETSPEPDRVSGDVDDVDMVEETTADGDGDMDQDEDEDDDDDDDDDNNDDGY SDRDKNEKEKANTPEDEYISSSPWSVGDSSTPKNPFLEDSPQVDLMGPFHNELNNRNR ANRAVDPFLRDPVSQSLRSEADYENYHKRPRITFNSYGWRL NEUTE1DRAFT_41467 MSSTQKDLPGKAGKPTTITTTTTLTVPGTDTTIQVTGTKVTIPA SFGRHHRQPKSGNNTTTTKTDDVPSAAKPNTTTSGTCPHYKWQPSPTPSQTQTRYVPD CAKPRKGLVPTPAPKWCPVCCSGPMPPWAEAPKPTSKAKRKEGEKKKEVDEDEEAYDG RREDDWTDVWFDMD NEUTE1DRAFT_137150 MAAVVVELQGHIYMISTHMDCYVFQYPVTPPPRDYSNPQKPISS RDFQRSCQVQIPAAKPDIPTVFPNAKRKCEAGSSCERPEKARKGNNYSPIFLAGIFDN VDVEASNATYGGRYEREVDRNLRQWAQKAAALNSLDDPFVDTPPSLYNEARESVTESD RKTYLHARLKQIEKELESLQDSAKRMTRAQSRELARLSGEYARLCAKLHYRSLDRSPS TSSRLLPSSDRDADVDMDRHGTAHDTRSGSAYASSLLVEEGPHDNRTSPNTSCNTSIN NSFHTYHHNNTDSSYDTDSTDSSYDTDSTDSSNSSSDDLVIPPAIRLRTLQDFDHYHH YERIEFERTKFGLYQDAPKVHRQVKEEKLFLLLANKKRRLKKYWDPLSQRLGEMCSQR YIRLASRSPSDSAPGFYENRWK NEUTE1DRAFT_116763 MDLLRQLGGLLPGGRPPQLPLGQGEKDKPRRTLAQRLQYLRRPL RLRGNSSISVPLGVVILFPCIVVILILVLFVRHPSSPGRILMPAGAPPGIRKISEKYD KVFVTGCLEPDTSKPRANGAFVVLARNKELDGVIQSIKSIERHFNRWYHYPYVFLNDG DFDDTFKDTVKNYTSAPVEFGKVGPDMWGFPDWVDPKVAKEGIAKQGDAAVMYGGMES YHSMCRFYSGFFYKHELLQKYEWYWRLEPEIKYFCDITYDPFLKMIENNKTYGFTIAV KELRETVPNIFRYASAYKRLNNITSQGLWEMFVEPQPEKKPEAPEPGLPEEILRSDPG ANQLPDIDPEAMEGEKYNMCHFWSNFEIARLDFFRSKAYEDFFQMMDHSGGFWMERWG DAPIHSLAAGALLGPRDIHYFRDFGYRHTTIQHCPANAPARQLPREPYLEKTTLDEKK RIEEDKYWDEYDTPKENGVGCRCRCDTDIVDVEGKDGSCLAEWVDVAGGWASP NEUTE1DRAFT_80790 MTAPVSFAPTTDTMDRRGYGITKTKKTTSTGGGRAWSEEEEAYL IKTRMQKMPYKHIAAHLKKTELACRLHFHQLSHGSNRRKRTSSLSSHGSNQSPPMRGA DASPVRELDAAPSRSVSPSGSTFSYSSTSPNTVQLPSIMSTTSSTNTSPRLPAILPKP AAMSLGLPAMSRSASRSTSPASSHAYTPSLHPHSVTSGYRSTPTSSHGSLRLDCSALP RLPTSAATPVAFSSSQPVDMSRLSAVYNAHRASFWASVAADYGNGVSPTVLEQAWRRG SNSGQTPVTPVGSPDDQVYTLPQIKPDKTRISAILGIDANPRSPREREMVRRLEEERC SLGMVGA NEUTE1DRAFT_80792 MASNQDNKAVAPDAAVPAGQSTTTTTNDNNSERNLPKEGEYIQW RTLPAGNPDQLNRWSHFLTREHEFPGAQAMLYGAGVPNKDMMKKAPHVGIATVWWEGN PCNTHLLDLGQKVKKAVEREKMLAWQFNTIGVSDGITMGGEGMRYSLQSREIIADSIE TVTCAQHHDANISIPGCDKNMPGVIMAAARHNRPFVMIYGGTMRGGHSELLDRPINIV TCYEASGAYTYGRLKPACPNSTATPSDVMDDIEQHACPGAGACGGMYTANTMATAIEA MGLTAPGSSSFPASSPEKFRECEKAAEYIKICMEQDIRPRDLLTKAAFENALVLTMIL GGSTNGVLHYLAMANSADVDLTLDDINRVSAKTPFLADMAPSGRYYMEDLYKVGGTPA VLKMLIAAGYIDGTIPTITGKSLAENVSDWPSLDPDQKIIRPLNNPIKSQGHIRVLYG NFSPGGAVAKITGKEGLSFTGKARCFNKEFELDAALKNSQITVEQGNQVLIVRYEGPK GGPGMPEQLKASAAIMGAGLTNVALVTDGRYSGASHGFIVGHVVPEAATGGPIALVKD GDLITIDAVRNRIDVIRTVEGVEGEEEIAKVLEERKKGWKAPVMKPTRGALAKYARLV GDASHGAVTDLGGDAY NEUTE1DRAFT_41328 RRREERNKVEENVDNELKVFAMSVLGSTSSDRYFLSTSGDGAYK SLLLWV NEUTE1DRAFT_116765 MLARQGVQSQRLSCPRLLMSICLLLLLACLLACTAARTVQQFVG VAGTRISESLLVLVLCPKSCKCPVDSANTTDEEEDKQGPGRHATSTWAWRWGT NEUTE1DRAFT_116766 MKKQQQQQRVPNDVHAQAWRQPTPSMPALPQHHLPPHQQQQQQL QPQQQSQQQQSAFANQPAWYPSPNHYAQQPELTRRDQPPMDFRAYAQSQPQPPQQQHA QHQQHHQQHQSPHQQHAQHPQHHQAAPVQPSMPHAPQPQVTPQQPAQQQQQQQQPTPV PAPAQPRGRKRNAPGAQPSPHPQHAPQIAHAPPHAPQHQAQHAPPHHASQPGQPQHAS VPQPPQQQQAQQQQPQVQAHQAGQTAPPAQQTPVPPPAAQPVPVPQAQAPAPPQAQPA APVPAPASDATAPPAKKSRTNTPWTPAEEHRLKQMRDAGNSWAEIAKTFPTRTEGSVK KHWYKDMHYAEFAEDESAALMNAIKEYENNKWKAIGAKVGKPAKACEQYAKEHFPELF APQKAR NEUTE1DRAFT_116767 MERIAGSISSHKSKHVNARGDSKESIQSGQARPGPSTTRCGANM SLKIRES NEUTE1DRAFT_116768 MRSTTVLTLGAATALLGAGNVLADDIPTCSLDKKCPESAPCCSQ YGQCGVGAYCLGGCDPRMSFSLDSCVPAPVCQDKTYKMDKASMSKIVDISEYLGDPSK ADWVSQGEPLSYNDNTLLTMPKNSVGTVLASTTYMWYGSVKARLKTSRGRGVVTAFIL MSDVKDEIDYEFVGSELTTAQTNYYFQGIPTYANSGNISVTDTYSNWHEYEIRWTPDQ IQWLVDGQVGRTKLKSETWNATANQWDFPQTPSRVQLSIWPGGAASNAQGTIDWAGGA IQWDSEDIKNYGYYFATFGEITVQCYDAKSPPGTNKGTSYYYNSYRATNDTVVDSDKP TVLASFQGTGTDMDAGKPSGTKGGSASATKAGGSKGTSAPNSIPGAGAAPNQVPGGGS GSVSGGSGSSSSGSDGGSSAGSDSGSGASSGSNACGMNGFSQSCDDGSESGNGGSSTK NNGVKTRDAHGASALAAVVGIVGLMVL NEUTE1DRAFT_129305 MSNLFQRPSKLDKHRDTIYRLYITENKTLSQVIDIMKRDHNLLA SDKKYKRYFKIWGFEKNYKTSEMISMLKMQQKRLREGKKTIFCNENGQKIPKSKFTRF KNRHTIREFTDEELQEVEIPRGITYKTPEPDDTKRRLKNRSSTNRTGERTGMGVEEPQ VITQSSRSDRRDNIRREDYQLPARPGSSLSMSYPNWRQCRDTGFIPTNASPAPSAYSL NTTIGAPTAPMAAYTSRQHGQIETNFSPYSTAISDPGEYQSSASLRQGSVSSMPPLDL NDNQAGLFTSTVPSPALLAYMLNTTLGCRISPVAAYTSTEQRSAYALDSLTQFSGAHT AGFVDLSASARLGSLSYNNYQGSHDGPSRGILSSRAPSASYLNNNTCDLDASSVYPFN NTITAPVPLSSPWNGQGPFSTNDPISPGLQLIGSGPSSTYAQVEAFPVGPRHDNHTGD YGEYDDIPTNTVNSTYSPVLQSYLGETEEYPSCNSNNYYTGRISGRQCCG NEUTE1DRAFT_137157 MSGSGGGTGGKFNPKSLLYDRSATLPPFLARLHAQHAPGNYDGP DPILAARRRPTKRPRSGSEEAEDAPLVLDEQGNVVDGVSVGVDGVVTERFRVEGEGEG DNNTGEGTKEGEGKQGGKEGDKNENGDGNKDGKEDKSVGIGASKKKRKVGKVIAGDGD DDSEQEQEQEQDGDDRKSSAGKIKDKNSGNPEKAGEKPKPNKTTTKKKTTAKKIKLSF GDGDEEG NEUTE1DRAFT_116769 MLLSRTAVAVARRATAAPALRRSIATTVVRCNAETKPVPHIKRL SEIKTKDDLFGPGAAPGTVPTDLEQATGLERLEILGKMEGVDVFDMKPLDASRRGTME NPISVRSAGDEQYAGCTGFPADSHNVIWLTMTRERPVERCPECGNVYKMDYVGPQDDH AHDHGHDHHGFEEPKTFADYVKPEYW NEUTE1DRAFT_41564 MLHAGRVAVDATQESGVKVCSLFVFRGHALSAVWGFTSPVLAMV SRSLKNLCPTHLPSAKTPSCPPEVVRRSFIMSPYTTHCTTKSSRYDITLSWVCTFFG NEUTE1DRAFT_121776 MGIQIVESSLEPPRSSFAQRQQQQQQQYGPWHYRDAQEEQPGTS SAVSTEVLPVQASEPAPAPATATTSSWPSFTILIPSPSTSPIITFPLAPINPPHLSHL EAHVLARPKSLKRSRPCTDVDGHNTAPLPCKKRRLRLNFVTSRLSHPFSLPATHILNR ESILKGDKRLRSIAAAANRRMAMAGWGFGGVGGQNGGGGGMAMAMGGNGSAASWLRKM AVMNRFRMRMMGEGLVRAQQAQAVQAQADEVGQGRGGGVPMKRTAQGHHGYGPGTSQQ PPPPRGSQAGGQQVPVPVITGFPPPVIHTTISNLGPSTSAPSTPQRLSPSPPLHPLHS PDLRPTDDQDDQDFDDEDVAFPTSAHESRYEGEDEEEGHEVYADFGVLFGGGSEEDLD EEGNSMSGEMNGEQQECLGLDNWDGISWGAA NEUTE1DRAFT_137160 MRFSWTCPLSASLAKSIYSLSTSSAGAARAAAVQGGGESRAPNN NNVRHKEVTARARSRIFPNNNGGPLAWCVNWK NEUTE1DRAFT_121777 MSSSHTHSTHLNPEATPFLPAITSLPTLPDAALISTLDLLFEPS PDLHALALPTLRTISFSSYDDLISTLRDELLAIADAVALAAADPSVPQIEKENIQRPL HAILGSHPRLGEPKKEKLSAQSQKEQAHLNSGGKQGEEEVVKEELRRLNKEYEDKFPG LRYVVWVNGRGRVEVMGDMKRRIARGDLRAEEREGIEAMCDIAADRAAKLLKSAQEAV EHGSA NEUTE1DRAFT_121778 MKLKRSLLETTTATPSTMGSEIKPPASGILTSPDRLRKIDQLRE KNIDVILPLPTLVAVGDQSSGKSSLLESVTGIPFPRGQELCTRYATQITHRRDPEVQI IITIIPAPQSTEAEKASLRSYRKELSSTTELRDQFAKILDEVNVYMKIKTVKNPDGEK TFSRDILKIEKCGPTEDYLTVIDVPGIFRLTSKGQTTESDRNLVRDMVTGFIKDKRTI ILAVLPANVDVMTQEILALAEQYDPDGERTLGVLTKPDLVIERSAKEAVCNLVAGRKK ALNLGYYLVKNRGGDDNDDSKDDSGIRRKERDLFQQSPWCNLPQERVGVAALRERLQD LLGEITDKAYPELRSEARKKLAEAREQQDALGPPRQTEREQQQYLAQIAAKFQRIVRA ALEANYSTHSAFDEHGLRLITQVVSIIDTFNNRMRQKGHTYSFVKVETEPSGDAAADK ADDSEEEEDASEHGVDKNTTTNAKEVRQAFPELESIIHMVEEPSAEFTVLQDNSNWIR NMYNESRGIELGTFSPIILASAFRDQTTKWSLMTEHHVSDIILSIHKFILSILKLVCS DGKLFEAIKSIIMDDLLARYASGMDYAKFLVQVEREKKPYTVNHYFSSNLQKARGDRI SEALKGKRIHDNRCHAPAAYVVKFDHVKSAITNKSNQEHAQEDIHDILKSYYKVSRKR FVDNVWLYAVDHHLLSGPNSPLTLFSEQWVLGLDEDSLNAIAGESRSTRDKRKELTKK IADLEEALRILK NEUTE1DRAFT_62127 MANQNGQTDQQLRPPTPDYITMGGDDDGMETPRLQEGIKAKPKR IIVCCDGTWMDSLGKRGEEPPSNVTRISRVLRRTCYDGTHQVIAYVPGVGTSNKLDSI TGGAFGMGLDQDIREVYNFICTNYVDGDEIFLIGFSRGAFTARSTADMIASLGILMPD GLDRFYSIFHDYMYMGTPSRNKTEFVVPNLPEYKGQKGQAKIEWEAERMKTYKEQLIE LGYTRDKFSDKKTDITIKALGVWDTVGALGIPPAPVIGVRGSSNQWAFTHTQISDKVE HAFQALALDEPRYAFRPALWERLPGSKTNLKQVWFPGTHANVGGGWYDQQIADITLAW MCDQLSTLGVEFNLKRMHSIFLDSLRYSAAHPFPYSPPSFTQALLTKGKSTISKLLTI GKPSSLSPRPSSISIPSPISPRQSTSTTSSSISSYSHTKSHSHSKSHSLSTPTNTKGT PALPWALPPIFHPPPSSCSTGPFFHDSKECTPLHIHSHPPLASTPSALLSLGARPWGL GTLRNPANTSLATLAGRTVRRPGLFLRVDEDSNTDTPFPLLSTGETIHSSVRVRLTCG GLGPDDKDVWGCDALLKGQDGKPLWKLERGWGVGKEEQAKIEGFVPREVGVWGIEAKG GRKRSGSRVYPTEGLYQVKKEEMAWRWVYIGDEKSVQTGTGSGKIVFGKGVRFPDRKV LAEEPVVGYWERYLLGMLTAPGERDVWRWAAERGMERENLKGGGGGEEGVLAEVSTNE RLNGRIKSISNGTGNASAKGHTKAAHSVG NEUTE1DRAFT_129311 MVASNLCRVVATACARRPASWVTFKSAVIVRHPLHQIRTHPHHR QFFRPTTASSAPQPAATDSEQADRLARQAPSCLPPQTPEDDMEIMSLSRRLTYTGLKN LKVRAKKWRFLRKATRDALRCLTDTNKSQKDRQKAIQALARSYVMISCFHVQIKDQTI DYRSFAVCRSAVVDVTESAVRAREALEEAQVKLDKAQRELDLAQAEAADAQDVELKAE HVRNLEAALLARKKEFREADEAVLVSSGIFLNTPSPEQRNQAEIKGKDYEWMLGALDE IQTYREMVFMKAKQLFAASIAADKRKRSSKAETEMEATPVEQSGNKEIEQPIEKEDEA GQDVDMESIREQPAPIEEYKEKPERVQNLESSKPYHRPTIRLNEATAKTKPIQLKDSL ADHYPMIAFPFKVTTTVMLTLHKLFEECCYQFALVHIPHHLVEQNWDCPEAVELSTWH KIFSELLQTDADHVFAFDTGSTFETAVPARRRVIYVNNHLFTLKDIRNQAVHPRPLNP MALPKILRRAAELARLFRDRRLQQDIERLSSEINRILTAVKTRRAESLKKGRTYELYR QIVADKAAIQRVRNLLADRQVSASSQEHWAACIMFEKDIESLLEALSVAIQADEEARL EAELPPLVEVAEKMAAEVEKRNAEMQLRLEAVRESRADMVLGKMQFLLSKHAWRMKTD RFPFMNLSERKRELGGVGSPLPSADEQGGPQEKLEPYERQRHGEQPDEKTGFGPPGLT KSSYAYRSIIRNALTQDLRREERELEREQANADVDAHANATSMSAEEERFRPESTPSL PEEGEWYDEAMSESESGDWGPDGYHMTTSGFFEMLEKKKKLPLEDFKQRAMKEMAAAA VKATKAEQEGEKVEQVDAEESPRTTAADDETMFNNLMDEYDALRKEGLMNLMKKDMKR RIAFEKKCARQRMTIDSELKPLKDERVRIVKEMKVLWEKNGRLEMEKEKAKGAEHQLV GKNEEVDENKNELEPIAAAAAAAADNVVDNEAALSIGIKALAELAIKKKENAAKIEIL TVRRQRIAKILKKLRRLNPARSVTFVKIGHTEEAATDDPSSHVEITDVEVDTNMELTE AEAEGWRSSIEEAVDADIKGASSFNPKEAAMKSFLTMEEALEEAMPEVTTAPEVSDEV TPAGEAAAGEVATPETSVEPCDTETSSSTAALADSETVAIQEDSTEETAMSSDLVNGT ADQNDVLESTPVQDAPEEEATTQEMHSDEKTPSLFVPTPRPPRGRALTNLALYVSRRT QPVVDTREDMDEDLKEGLKEEVKDELKYELKDELKDELNEDAKEGFKEDVVEGVKDEL KGEPKDEPKDELKDKL NEUTE1DRAFT_80814 MMASSSSSSSQPITLTYLTVDVFTSTRYLGNPLAVVLIPASLRR HLTQDVKQLIAREFNFSETVFLHTLDDEARDGLTSTSREIDIFTIEEELPFAGHPTVG TAYLVLNHFGWKHVDTLVTKAGPITIRPTSEGRVKATIPHAVRVHRQTLGGVLNGQKE GEVKDMINAALSNDPEIREAELNGPVVSIVRGMTFLLVKLPSLEHLAKVSTAKRLDFS KVEELLDKGEWEGGFVSRYYYVATEERKDEESGRKEYKFRTRMVELGFEDPATGSAAC TLASYLTVSGREMGGARFELTQGVEMGRQSEIEVETTAVEGENGEVKVKDLYLGGTAV VVTKGSIYV NEUTE1DRAFT_145973 MLCALSGEVPEEPVVSRKTGAVFEKRLIEKYIQENHKEPGTDEE LDVEDLLPVKTNRIVRPRPPQFTSLPSMLKAFQDEWDALVLESYNTRQQLARTREELA TALYQNDAAVRVIARLTKERDEAREALSRLTVTASAGGAATGDAMAVDSEGLPEALAA HVDDVQAEKQKGRKKRPVPEGWATTEDISALQQTAYTDLPVTQATSLALNGGYAAVGG LDGKVDLYSVEAKAHERSLEVGEPVTATVWIGNKVVSATSKGSVKAVEGGVETGSVTE HAGAVTGLAVHPGEQILASVGVDKGIIFYDLQSLQRVARVYTDAELTSCAFHPDGHLF AAGTQSGQIEIFDTKTLQRMANFTLGAPVKTLVFSENGFWFAATGVGQSSVTIFDLRK EGDAARAKELQTGDVSGLAWDYTGQYLATAGSQGVTVQQYVKASKSWTEPLRTSTPAV AVQWGDKARQLVAVSKEGVVSVLEPKSE NEUTE1DRAFT_145974 MDAADGWFDDEGGFLYQVERLVAEGEGQFEDHYEDHLDNGNDSS DIDLDLSLDESEDDHEVPGLDWDHNLDDNNNNIDLDLDDEEGEEEEEENHYNVGGGSL SPRHRQSPHSPQSPSSSESSLVDADPGFDIDLDLPYDFDHDFGLDIPGFHDYSDNSDN SLDLDSSGFLSSDTEQDSEHDNDNTSSEESDDSDSSLDRRLREQFDIFEASARIEARL DELEQRRQLFVQGLAEARRRFASIAGEENRHEQHRHHPYRVPALLDRRRAQQQRQGGG GVLGSGEGAAGAPRGGQNLAANILDELVEMEVEWAGQGGNAGRRAGRRTPAAAAANNN LNPAQPQQQDQQAQVVIDLTSDLEDSDAEPAALPRAGGNRAINNRRHHHHHNPAASAA RRARRAMSANNANNGAPAQAGNGFAEVIDLTLDDDEPAPAGPAASGHRNHRSPHREPG NVERSRRPVNRRPAANELIVDLGEVDSDDDDAVARAAHRSVARNATAAARPPAAAGRA ARAVPGAGMFGNFYHLFRLGAFANVRPDLEVQLIGLDANNNPLGRNLPHLDYGNNGRN GVAAAAAAAAAAAAAEQQRNANAPPPPREGFTRATGEDLTVVCPSCEEELAYDPDEED QKAGPTPKKARTKKDREEHFFWAVKECGHVYCKKCYENRKCTKNTGFKHKDQGSSASG AAGSSSGAVAAKNLKVLCAVDDCTTDVSYKTAWVGLFL NEUTE1DRAFT_137168 MEFQEALTGLMTTCTGLVPILALEVPSNLSVLVEDIPRVLRELE ETLRDLQEIPRERREMVEVHHLLVVLAYGMFELSRLDAALYALGYFGNQEPSTEDKKE RNMNVLWDVKMTLAEVWEYVKNLRTILVSESQSERVWSKNKLDRELQAVLRRPNHVSS RLRTIDLWMEDGQRYGNGGWNRAFTDVMLDSTLDPPIVPSRVAAIRSQFDPESGYQPV RNNLNQPLSRSSDPRWSSQARDTARVLLTDISGVEDISIPIYREDLSNEANYRFSSAP RRSRPNYLDIADAPGIREIAQSSPWRPPR NEUTE1DRAFT_116772 MARGMIISATSRRKELTHLSIPARLGWYLHPLLPLSDPVRVMVK VATRASLAGFVVDEPSAGRLGPFSV NEUTE1DRAFT_100266 MELDHVTLLRLGTFETRHDGTKYGEEYDSIQRRWHARAHTHAHT TFFLDKWKLPFSNKRKRQARSIQPSIHLHGFFSSIYTAYTDAMRPMFISSTGCSAPLA CCSCYTRKGHQCNPTPSVEFAETGRNTGEGDKKRLKDPVDKKERGNGMAPLENPVARI RLVLLDR NEUTE1DRAFT_41259 RESTVNPSVALAFHGQLATRPRNPAWSSNLCNGTWGIIGEIYGR EIANFFAR NEUTE1DRAFT_100267 MHLIEVLELDAGSGIPLETVGSAYTPQGSEQGDESSLDDSDYDD LFSDEDEDMRDLESDTDPEDDESVLDGVPPEVTIPQAQLDSILGQMAVIVPCKGEDPN TIEGVLSGIPRGCLIVLVSNSEGRAYRKEVMMLRKFCRHARPALAIHQSDAAAAAAFN MYGLPDLVTHVAGGSHKRIRNGKGEGMMLGIALVAALCPQRRYVAFVDADITVPGAVN EYCRAFAAGFALNPNPGTETMVRLRWASKPKVRNGEIDYTCEGRSSQVVNRWLNKLLS AMADADPTGSLAAARDSEIIVTGNAGEHAMTMDLALSLRLANGYAIEPFHFVELLDQL APQNAAAAAAAAAGAHAGYNAGRVPNLQPVTIMQIRTRNPHFHRVTDDSHVRSMWASG LGSIYHHLPASLNNSTTQTPSPPSSAAFSSSSSSKSFARGEKLRGDILDFLADNHEEN DNSKGELVHEPPRPRIYPPVRQLDLDGFREMVRSEHKTLYCLNLPHFKLPRPNTIAPV YHHQYGVTPPL NEUTE1DRAFT_109594 MIPTYPAARTHGILNKPNPLIIHPHDPRKSSSRSTLPKPRGPQD TYALNINKRASPPLPLPHFGTAKESSMLKASSHLHTLDVIINSKIERKGAKTDSSNVP ETGIPGSVHVYWVPGYLSKQGLGPDRTDDGDRMGRGLVLVHSCTQPDLGRKEALLEGS REGIKKKKKKKCIYIQLSTPTSNSNFSGLKAQSTPTHWDPTLSSLPTARFKHMTITAR YYTYLYLYLITTFFVTSQARVCTTSTLRYLDILARNILLPFPPCYSHSPNPTHIYSHL LPLTSTYQVLPPLYEENGIHKYKSRATPNQAGMNNARTHARTHARSKPPQSGTNQSVG FRDVPRRNNPTNRLSSVHAIASCVCVLEGASWRMDLGCSIQGISDHGEKPLGSRNNQP TNSFASTDEICAAIQIGLQCLDEVAMQIHRLGLYERQRT NEUTE1DRAFT_100268 MLGPSQPRAADKWNEKVGSFRALMMSSILVGFIFFPDAVPCCCL RSPALNGIRHRPTPLPNSRLQERFRVKCASLLVVDSPMGILLLKMVWAFSTLLLRPFD LHWRGIHTGPETATRKWEPVEGPDPTAARRCSCKSSPPDMGIGDTAQHHSQPDPRVWF DGVFLEAFEGHLGVMMENIPGSWTVTRASDYNKSTATMCNWEQTKFEACKHTETKRMA YSCAIYTRHTYGECKFDPKKSKVFTVISYGYCKECCEMFNKYVNL NEUTE1DRAFT_137171 MVCHTLAALGILAAIESQTYGEVDPFLTSSSPVYRENYKQRDIN TSFLTTPLKIDYTDTFVDQQDCKTYSQLIVTDIKNPYIIGTQITYDNTGSAGLKAKII DVVISRSSTEPQFNATKTLAYVGAEDWSVIVTAKQDSRDVLKTVANSYLDLWSSNGSF ELPWGTPCARLYESVYYTEECQPVSLNGDRYR NEUTE1DRAFT_116773 MVSFSPKRPSRMASRGLRPACKSRRNGRVAITGLRRSAACYQFP PLINVHDSQGCLSNSTDNQEKLLPV NEUTE1DRAFT_145977 MATTTDHPKITLYWLNESRAQRMVWLLEELGVPYDVKIFHRGKD MLAPAELEKVHPLGKSPVVTVQPTEPGAKEIVLAESPFIAQYLCEHFGKDTTLVPNKY KDGQEGKLGGETEEWMRWQHLLYYSEGSLMPPLLVALILSLMSGPKVPFFIRPITATV AGRVNNFFVVPNIEKHCAFIQQLLETSPNGGKYVCGDKLTAADILISFPLLMVPRAEV LAGEAAKGKLKEKFPKVFDYVARLKEEEGYKRAEKKIAELEAEGKQ NEUTE1DRAFT_121790 MLFTKVFLPLTALLATASATPPPLLSFPSSLPPSFDPYNDIDTT PIPTDIDLSTSFNTTTLLETRNPADYTGATADRNQGTYNGPYTYTTHYCGAPRTWATL SAIHDGESYLYGLKGQPRLGANKCSRNNHDITLRSWGSVAEAAAFLTGKCFKYMGGQT RIGGQVFFKERWNVFITVNKC NEUTE1DRAFT_121791 MSSDQEKVDVLICGSGSAGLCAAVWLARFGINYKVLERRDGPLR IGQADGVQTRTVEIFDSFGIGEEMVKEAYHVLELAFWAQNIEGGSKDNIKRTRYAPDK ETEISHQPHVILNQARLNELMISQLGPQPPIQYSTEVKGVQVDESVNITDLSSYPVRV DAVTADGIAKTYRAKYVLGCDGAHSVIRKSLGFKMVGDSTDAVWGVMDIYPRTNFPDI RKKTAINSSVGNLLIIPREGDAMVRFYIELPAGTKASNVTLEDLQNHARLIFRPYEMD FADTFWWSAYAIGQRRADYFHKNHRVFLTGDACHTHSPKAGQGMNVSLQDGHNIGWKL GMILKGLGKPKPLLESYVIEREKTATELIEFDRGFTKLFNSKYREEHGVSQDQFAEMF VQAGRYTAGQAIQYDASGVVEVGERDKEVVRNVTVGMRFPTAQVVRFCDAKAMQLVKG LPADGQWYVVVFAGDFPEEENKARLEKVSQALDNIVKRFTPAGAYSDSVIDRVLVISG DRKKVEQEQIPEFFTPVTGKWQQKCLFKVYADDESYNTGHGHAYEAYGIDPAKGALVI VRPDHYVAKVSALDDNLEQSTQQFFEGFLLPLA NEUTE1DRAFT_80826 MPQGKPHSINEYVSVKKPPHLDRLTATTHQESTIESVTIDVDDA PNGFVPGFLHMPPNFTAPDPFQVHHRTAAILLSGAGGGVTGPSSIYLSLACKLATLSS GIPTLRLDWRYPARSKHCVADVYASMKYLQDLYGLDRFVLVGWSFGGAPVFAVAGADQ RVIGCATVASQTLDAEEGIRKLAPRPVLLLHGTGDRTMSPACAERLYKMYGEKGDRQM EMFDGDNHSLMGNAGTAEEMLCEFIAKCAGVEVDEGLRRDVVETELVDEEEREDLMGR GGDLRGLERRE NEUTE1DRAFT_129320 MQVTERGATRHWMCVCLCWGRRVLIEFKPAATLDVFMRVAVIGG GPSGLVTLKYLLTAHQFLGVEPIEAHLFESDDAVGGTFYARTYEDAELVSSTQLTTFS DFRASKTDGDFMSAKRYVQYLNDYCTHFNLWPNIHLNTRVDSVTRMLKGKHVVTYTAK ITGETSEWECDAIAVCSGLHVTPHIPDIPGIEHVPVKMHSSQFKEKKQFGVDKTVMIL GSGETGSDLSWMAVISQTKRVVMCHRSGFHFAPKRNLSPILFPILGCKSSGELTVPLD NARASLFDTAYVHPLLRNHTALWTFYNIYVRTFLWLNTGTPEGLDQVVGEPDPQKNHV SRIFFNKSSNAAPYISYPYKHLQPSPTPPTLLSRIRSALIGSTLKDTGGRYIDLAPWP QSISSQGIVTFQNNHRPEWERMRQQTIKPDLVIFCTGYNQEYPFFTAHNASLCSKSGK AARRYAVEHTERDVRGIWNRDDPSVGFIGFLRPSLGAIPPLAEMQAQLWIVQLVAPHW IPRPLDPKDEDHYRLRHPAESRVKYGVDHESYVYQLGLDMDSAMGVMEVLRRGLWERG VGALWERGGGDVTWKKTKEKRRIQNGWKLPLVWGLGANYNVKFRMKGPWRWEGAEEVM ETELWRMIKRRRWFWEHFCLSLLPMMIFGPVSLLVWVYASVVGVLVGVDDLRKRQQEK KKGLGVKGERGMGLGSKKEMVNGVEGRWMREKMPLEAEL NEUTE1DRAFT_42723 MAPPKITVFDYYATLGVSPYADKKAIQKAYHQLAREMHPDRNGN SAEATANFQKLVNAYEVLTDASRRTEYDFTAEQEYIRMQEQSIALQKTLRDRSQGTAA ADAELVSNHQNMTRLAVKMCVLTVELKTIPDGHWEKREQQHQAEVAELRKSIAFYEES LKIRFQHMQKLSTELAQERKKAHDAETRYASLVEAFRNQLTTYINFDRLRKLLGILDD ELQPIRQLAFWCLGATDESTKVKLPTPSAPLGK NEUTE1DRAFT_100276 MIPEPKGLRCYATLGVSPHADSNALRQVPDFTRYTDVGVPGAVD FWRSYATVLEQQVEPLQQHVTSQQEVLDLVETEMDRLRAENQTQSHEIAKLGRENDCQ KELVREKTVEVNALKEQICMMDVEDSKRRERSNKVREGKDQLRVLNKLRKEIKALKRS GTGSRQRSAYHRLKAENRRRELEGRELKNGTRTLEELKRESEKKTDEALEKATATSNT RNGSCLTRSNTKRSEAGKRLRSPEAAEESTTSETYEEQRMTMLRRSMVNVRREDRYGR YDRL NEUTE1DRAFT_121793 MDKCWFTLNNAHFPPPSLDSMQDFKWDHSHEYSLSLRGKVPIPL AAAGVPFVDLGVGLGGAFSKSVANYWEFDRLERYIMQPTRSYVQKCIEREEVKRWIAK NKSMMMMGRWEVYMITGIIVARGGGRKTKEKKTGKEFSVEVTVEVPLIVEAGPGVKRN MTRQTTWGTSQTDDFVWAVRLAKITKSGLHSDWKMETVFGKTSFRGQKAIF NEUTE1DRAFT_100278 MPAQSLIPAAKKRLMEKRKTDFLVHVEIIRYMLSSLQDYFGSKN WTPKLCLRWCRQHLKTVTEFNLLFCDGYRWQWRCLEVFITSDLVSRILELEPSNIDTY DAASSFRLPSQEDEERPSRQFILQEFRNILAADDADGEGASMYPTSLIRTKTLAYYLS LSPGDPGYELGNAKSRLDISYALTEALSLFYKTSMMPRPWTEDTIEFMVCKEHENGHE SVSIVTRMPMISVPDPTEDDISILTSLLRAQSLEGPKVPDIPAPKFPEIKALGIPAFS NTSLENCSPYAKSWKDASTMRYLRGARA NEUTE1DRAFT_80830 MPPRLPLAQAARCCQASLTARPSVPASSPTSSLISLFAALSVQT RSASILASLSDNRGAYHKRIRKGRGPSSGYGKTAGRGTKGQKAHGHVKPWFQGGQTPL IVSHGRKGFVNQFAADMSELNLEKLQEWIEAGRIDPTKPITPKEIIKSGIIGSSIKDG IKLLGRGKESFKIPVTITVSRASASAIEAIEAAGGKIATRFYTKESLKRLVEGKSLHT DKPLPVGKEHVEEILAQARSLKKKYYRLPDPTSRWDIEYYRDPAHRGYLSHQLAPGES PSLYFKVPTGGEKVKVVRADKVKASKTGDVASEKLF NEUTE1DRAFT_145984 MSLFGSSPPDDGSAALNPAKIANSSRSTLFDNEAPTTRSGSALF ADDDHDSPWDMPTPRKQRSRADLIRNLLPSGDVPESYIETFDAVVRTENRSTNGRITA GGVARTLAAAKLGADDQARIMGIIAPASASATGAGGGGDGAHGGEANSSAAAAAAAGL GDLGRNEFNVLLALIGLVQEGEVASLDGVDERRRNLPQPKLQGLVNENVQPVLPNLSE LAAKPPQRPVTPPKAPTSSPPKQQQQQQHQPPTLRKVSMEYPEDPWNAPDLHKGHNHG PLEHSTGHNGAADVPRSVGPDLNGNDAVSYSTSPEVTTTSSALPGRTTSTFTTSQPPS GPSSIHNVAESIQESNGAWNYFPGSSSGGGFGEPADNAITGPFSDSGGPGQSVSGSVG GSNPNRSIGHVRSGSNVEENILVTLMPEKEGVFMFQHHNYEVSSIRRGSKVVRRYSDF VWLLDCLHKRYPFRVLPLLPPKRVAFNGNHLSNDGAFIEKRRRGLARFLNALVRHPVL GQEQLVIMFLTVPTELSVWRKQATISVQDEFTGRTLPPGLEDSLPPTLEELFARTRAG VRRSAELYISTCTIMDRLIKRSEGVAADHARMAVSLISLTETSADTYATDHNDVPLLN DGLQAMGRHLRTAQTLMEDEAKAWEEGVLEDLKRQRDALVSLRDMFDRRDRLDKDNIP FLQRRIETNEAKLQALNAKPEGMVKPGEKERVVEAIIKDKESIVTQHNRSVFVKECIR DELRFFQNTQYNVSRLTQDWAQERVKYSEMLADNWRRLLDDLEGMPLGD NEUTE1DRAFT_121796 MRPRRMRNGSLLPSSSLLATTDFTPCAFAMLHGAPHTVYMNDRE RKRGPDDALNQLFDRLVGDEDRAAAGAGKPVEAIGAEAAQAYISSMGANIENVEAFVV LEIVRADSIGQITRQGFVEGWSSIYLDHRIPADQAHHRNYVRMCIQNLPQNPAYFKKV YQFAFGLGKEPAQKALEKDVALVFWDLFLGTESSDTGLGPRPWKSKNVDWLGAWKRFL AEKWTRSVNKDMWNQTLAFAEKTMVDETLGFWNEDQAWPGVIDDFVLWCREQGIAKAP APGAAGGEEMDVEDY NEUTE1DRAFT_62159 MLYETIGIVRPGNIAEVKELVLTAGKLILNQGGVIRDIKNWGTF LLPRPISTNQQRHNRGHYFVMRYDASIATHEEVRRTMKADPRVIRTANVKLGDGKLET LSRFGAIPWRSLEEA NEUTE1DRAFT_80843 MASSNPNINGTGASSSTRIVTNGADGPPQEEETQMNLDATTWDQ IMAKYWASTAANREREDAELCEAFAREESELADRQLKLGQERVKLLEQLKAIDQQWTE IEAQRKTKEKDQKRRCETIREERERDDKLKRSWFEENRRKAASGEKGQDPAGLAMLAK KAQGVASMAGGELCNGSGSTSGLSSQRETPLREEQQQRQRHALPSRPREGGRTPSEEH DRAQELQQQLRNEQEQQKERTENGLDGKAVDMDKLPKVYNGAGTCVGHVRPINLRNHL TMHVQQQPIKRHVQIRQGRNFTAETLETVYEPSDKKGAKWLSCWIQATGHVQETPCAS CTTRQGVFPQCIILDAEGFPRCGNCEWNRQGCWGASKHADHDMAEANPKAGNGEMAQE APVVTSGFKAVNGTSASQKTTKVVSNGDEQPARSKLPSGRKSLPSTRKSSVQPDSIAP TPLEGSPAPNDSDHAEDLPPINQANLALRDDGTVFTDPPCMRGVPLARIGPDHPYWDP EWVALEEPIQAQLAKWSEKYEKLKNEGGAASTKYLAGRQQNRGKLVLDFLAKGEFHPY QLMAKHLINKAYIGYDNLYRMVQVLEELKRFKQLDVSPSQWLRHRLHEIHEELGDKFN LGKAVENLYSDPKLRLLRSESGFGNIGRPRGYKPTMADKGEDGPAPKKATKGTKRKAA AVPATDDPVNQSEEQLRVVDHHQAADTRAPSSHSSPSTSEEMGHPTHAISKPPAAKHH QPSPSVTLKIQAPPEDLYYEGYTSDDSYSGDKITEVDWRLLQVRHREMTTNTGITQYW HWLGAGEDLTGRGEENCFEHQVLKDVKGRRVTWGVYKEPIDFHLRLDEIEEVVYAPEG SGCLKILVSVKKDAHHHHRHHGDEDEESQEAQESRGDVLAWFKRERTKRRFLSFLVKK GKRVVRSTAYVLKSSGEQEIPMVACP NEUTE1DRAFT_62163 MGKFGDFSSICRMAPIPLCASVGPITSIASGVGIEPDCYARNIE VANTIIFQGAASVMHIVALVMTVVMLLHVRGKFTAVGRKEITTFFYLYMILTFLSLCI DAGVIPPHSGSYPYFVAVQAGLASALVTCLVINGFVGFQLYEDGTPLSLWMLRLCSFV AFVISFLVGLATFKSWAGLGPTNTVGIFVVLYFLNALQLLLYVVMQIILVTRTLQDRW PLGDIAFGLFFFIAGQVILYAFSSPICEGISHYLDGLFFATTCNLLAVMMVYKYWDSI TKEDLEFSVGTRMNNWEVKELLPQGPEEDRRATVYADPIYEGHYASGPGTGSGASASG YEGGHHRRESHGYTPSPNRQSLRY NEUTE1DRAFT_42514 MYSTGPQQRIRQRRQPYSSSSRGSIYYTPTTGRNGRNPPASPTT PSFPSPLIGPRQRRPHSIHIVAYPPGFIPHELRPDPLAEKVRKRKEHKDRKARKKAEK KHNKRRKIEEKARRRRSEQLPPVRLLPPAGEAVHKVIDKVLSVFSIKARKPPKSATLA SQATDTAPRTRRSRASSLIGHLRRSKYEPTVRPEPTVDQSAMTAVAPTPASPMVLLPN NRNSVMSACTCKSASSSITEVQKPVASGSGVTCSIVLAEPNVFLTGFDHNDRAREESH GASALLRGKLQLNVSKNVKLKSVTLKLVGKARTEWPEGIPPNKTETYEEQVLRTQSLV FFQAIHGGQWETEYGNQCTYSLKGSGCSHNPRSSLSSNFSNGSFSHLTGKSRHSTSLT AKELKRLSLNSVNSRSFGKGDSPFANQIYAKGYKVFQPGTYEYTFELPIDHHQLETTK LQYGSVKWELEAMVERAGAFKPNLHGTKEVSIVRLPDSMSLEMSEPISISRQWEDQLH YDIMISGKSFPLGAKIPIAFKLTPLAKVQVHKLKVFVTENIEYWTNDRHVTRKDAGRK ILLLEKSAGKPLDKQYESSDFRILSGGELSPEQREEARRSAAARRMVQAARTNAPLPP LPNQTENLLGDLDLGLETFWGSTELEMNVQIPTCAMMSRDKNLRLHPDCSWKNVNVFH WIKIVMRISRLDPEDPLGKRRRHFEISIDSPFTVLNCRATQANTALPQYGSDGSMPFE RQQTSCGCPDADVVDRSASSPLGQLQLVEQDVLSPSGSRLGLNRLSSSVLPSIPQAAH VHDSRESGGLPVNRARGHTLPSPLERQRPMHLIRCPSYNPPAFDADEPPPPLQADLMT PPPQYDAIIGTPSVDGLADYFARLADYEDTGRPEMGDRSGSQESVATVRGIPRPTSGD EGFFDSNEVITGSDLPANKDYEDDSSGSADEEEGPVRPHRRGRVNVANPRTPGGRLIP SRSLEIDRPVMRLDMGSLNSRRR NEUTE1DRAFT_94679 MTSTADVAVEYVAGGLYLSDHEHARYLRASMAAGRNQPSVLSGS GELFGWYNNLQSRPAQRSLCVVAVAGVFCKMCNISTTRPRV NEUTE1DRAFT_145990 MGLRNVQREQTDYFAFHRMGRASAWSRQKRREAIHNLEFPRRRP ARWPGFGPPPPHHLHPGRHTFREPEPYRKSQEDEKKEGPSTGVQRRARRLERLLAQEG MRFEKVLGWGGFGMACLFSMSSAGPGPGPGGGQGGGEKVVVKIELEGRDTIEKERRNY ELMRDAHHVLHMYNLAAEEAIEESDLAAGGLFLEFMERGTLEKWIVKMAKERKTFSDR ALWTIFDCLVRGLVALAYPGTKWRAEESANDFNESPRDTMVHFDIDPTNLLVGGFGTF GQRNHAIAPIHKIGDLGLGEIFNFRARRETERVWYARQSGKAYLYTPEQFTEEWDWHI AAPASDGAPTAGNYRESMNLWQMGWTMYALITLCWPNEKKEPFVYASRVFDRPVKDAT YGGDLLYSGRYDDTDRDLRRVVAQCLYHSPLSRPTLRELEVHIRDKLRTRLNNSEEEA RAWAKRFYGEPKRRRPAPPPAPARERWNMGAHPPNRFGGAARARFGKVFSNESILDLE RIRRRRADEDHRPVIRRQPQPRRFWRD NEUTE1DRAFT_41623 MRGFSVSDDRLSNVSSSTGSTISTASTASTASTATPTNRTSSWP LQLTNRRGPPQNGQPKSILRRGGRPPPTPSRARRNSDSSLASSNIPEVGSGSETGGSD VGSSVLSGAPMPSQIHLAPGMPGRPPLPHHATTGSLGLPRRVLRAKIPIINLPEERRA TVNLRPSRVPTPPQGPHDGPYGPPPSNVRTIPAPRYVLDNRPHDYHDQDTETESVSES SMHSNSTSTLATTVDSRLSLSDCASDVTPTSIFRSSSKSNKSAHSTVRFTRSTTGSDS DDTSVASSNHPPRSSQLRVPQPSPRPSSRTDMVVARTNSEPLPSVKIRVHHRSSSQEE LRQQQLMETNLKRKIANLEIEVRELRNGQSAERQTMDELQHHLNMQGRRMAELEQQLN ATRAEKAEMQAELQGKLDNETRTAAEYKTTCAATSAMLSEVQKERDDLKETSKTLKAT VTRLEEDIKSLQGDRSEHEVRLMQQISTMRITNNALEEQRDLRDKEIEKLNTNLDELR ITKEVLLGEVATLTDAKHLGDQMRQKLIDDAAEDKQKLALAAEKAEMELKQRIAELEK EKAELEEAKAAVEAARADLESKLTTAEARSTALTTRIDELEMSSAGQSNKVGTLEGEI AVLRAEGVNLRMLIEGLESDKAGLKKQIEDLEAERLNGRVLLTTLNTDLAGAQTANVS IATQKLNAEQELARMRESLEAAKGNMMTLSGLNAALQAEADKVPGLQSAKAELEYQVA NLSVSKVELESKVTELEAKVAEFETRVATLQSDADKVPALAGERDAVREVVSELQDKV SRLEAKLNKAQAEADQLPSIMANREELVKRVRELQDKVIRLQDELNSDPDVTTAELRA ELDAKHHEIERRMEEAAYLRDQNNRLAAQLGSARGQLEGLQTSLVVAHHHRKSSRDSR SRASSRDRYEEKSSSSRSRRKKDKDMVVVRNSSDRSAVSVLLHYAAGI NEUTE1DRAFT_100288 MSWTGSSKPLWRCESSLGNLRPGTRCVRLKNTYLDWAQDGAVRA LRLPGQPFGIPDSSLATLSHMALAGDGRGPDHGAWSSKRRRTGGRRG NEUTE1DRAFT_116779 MRRLILAQRNGRVPLLIKCMQIQPRILIISWLPHPLSLPAHFVV TICPVILCLMCIFDPLSSPNMRERSRCSVV NEUTE1DRAFT_80854 MFNNSESLCSYSDTQSLYLPNPQQWSTAASSTSSNSGSAQRGYA QQHQQYQQRQHQPRQPSPPRQQHQQQYVSQKQQQQYQQQHQSRRPSSQRPSRPPSPSP IRREQEISKRDAAMALHSLQVPACISPKGGSLSDLVADLTALFWFETSKVLEQAATYD ALRPGAAPVRRIEGPAIACPNFKKWVHNLLSTTQVTQNVILLSLLYIHRLKVLNPKMH GLPGSEYRLLTVALMLANKFLDDNTYTNKTWSEVSQLSVNEIHVMEVEFLGNMRYSLL VTEKQWEEWLVKLARFREYLERARQVSSADLLAPSPNALPVSALPSPTTGQHFPSPLQ PTLAGQSPSASFYAKQSSGQGWPTHAAGQTPIWNPASTPLTRKRSMPEFDLLEPPAKR RTPLKPYASSETLYPTVTVPEALRNSREFACAPLPSTSEMALKQARLSVSNLTLNNAQ SSTANTLPGEVYSRVSYAPESMISLPPILLPAPGMAATYVNSTSAAAPIPSILPTSGS GVSPSTVVAASTTAHPTSQYALSANRLQSQHSLTSSGAYLGSSPIGEPLIHTPMSASS PSNIYLQQRTSPYRPVRHAETLLHPPPSAFLQQYHLPNPIPPTQLHYQPLGRRNEVRT GIVPEFAMPNSGLTMAGAGRYQEPIPAFRQSFSLPLRDIPPATSAYSQQPRR NEUTE1DRAFT_116780 MYLARTRCQNPLPSSSPFSSQHQSPVDRWTTQSLVSGWRRYGNL IKKSNPSSAGGLQTPPYDNDMGTTYQVPKLTPSYEQHRSYTHPTLPALSSCSAVSQRP PRDLAHMF NEUTE1DRAFT_42286 LARFLINRRLIYYLVVERVKIYLYGTNYYTLQLGGGNEFKFYIN IFFTNNINNRKSL NEUTE1DRAFT_100290 MTVAVGDHRSQVVRSGARMAPNSRQDEMKPAIEFDRLHAKIIFL PVTVSELGRREAPAAPRMTSVTHGPCTLLCTLSGYACWWHDGDSHTQIDG NEUTE1DRAFT_100291 MVETVQVLCCRLDGELSRLKWIQCSSLPVPIEATSHHHCTASDI QVRFSFFLIFNNQSGLQASITKPVISQFAIGQKGPQATRNP NEUTE1DRAFT_129332 MLGKWYLAPIEKGIKYAIDLGTGSGLLAIDRWIFRRVCKPGGWI EHVDITATVGCDDGTAVTGSALEQYGRLSGEAGKRLGLTQSVSGNNFSSGKRLGKGCV CALEGTGIQDPKQKDVGLYAYAEVSSDIQGIILFTFG NEUTE1DRAFT_100292 MTSPADPHTRTWSHINGSSQDVLDRYAVTELCKGWPVYRDASEW MNYRSLFTDDACVWTTWSSDLPVDKFIEVSKEGKKNGVFIMHRECGTLAELGDSRTRA IGKMKATITHRFNEGDVAYDVDCDCRFIFFCEKQAATQEWKAKYVKLFYEKDKVVPVD GQTVPKWDKSELSTYPEGYRHLAMAQAKLGYKIDVNLPTPHDKGLWKRMYGEMENWLE GREVDLHWA NEUTE1DRAFT_129333 MIRLKSGSAMWNLEHVNGPGEGWIRELIHYLGSTYLYLPTPPTT DTAHGDDRVQRLLVYLVMQSTSPSILPPPNTNIIAMSDGVKHINSAQEFANLLNTTQY VVADFYADWCGPCKAIAPMYAQFAKTFSIPNFLAFAKINVDSVQQVAQHYRVSAMPTF LFFKNGKQVAVNGSVMIQGADVNSLRAAAEKMGRLAKEKAAAAGSS NEUTE1DRAFT_116781 MSTSRNGERHGKQKSRDTTPESYLGSSPDRGDSHVIQQYQPQRL QQQQQSVQGTTRGSRSGALAVRLDMDLDIDLQLKAKIKGDVTLSILEGDQE NEUTE1DRAFT_100295 MTEWCCLFSIDWEYLKRSENSQEQMRSQTSLHIHLVRNRLVSDK EASCQEWWDEWGW NEUTE1DRAFT_62176 MPGTRSHRSRGKPSLLKRSSTRGSSNNETREIIQQLARAALVYS LKRLTRQDLADKGSTSQQKLRSRSAHEDSQPHERDRGTTTKARDSSGASHRSDRDRDG SRDGNDLHDVMGQLAVGILGFGIRHFLHQRKKKEKEEKNKIKPPLYATTAATQGPPRR PSGEYPYTSYADYLAATSNHTGASTRPRSLPTDDPEPTAISLATTLDSLKKELRATSE ALADLVNKPPSQNKKYNVHEALAKRAEGIRVSLDNLEMAVNNVRNLHPEIDEDRGVHG GVGEESGEQAKEVGWV NEUTE1DRAFT_42256 MPPKKPHHQKASKTKACYNCHRKRLRCDKSLPACLKCSINGEEC LGYGIVLRWAALETPIDDNVDPLPRAPDDNPDPSSQIIKRPVNLIKIPLTDPLLNGLS TKARWYMHHFATIVCRDLVSIDQKERNPFRAIIPLVRKFDYLQSVVLATAAMHLSTIH KYQGRSLPSESALVDALMLKSRALHLLRAAINDNTLTDKAMILSAIVFLVNLDLIDSG RGGWKAHVEAARRLISSLYLTKAHLDGAIAPLVNAIAADCLTYRIYGSTISGNTSSWS DNTIDDGVVLPYILQNAEAYSYHCAPPAILQIILSASQLCSGSSTTPETDGGAGRIVT AAALLHKARNFDVQTWVYNIKGLPPDDDLEARVSVASAHRAAACLFVLLSVPEAGLLD IPLLEPKDLVQEILGHLSCIPDNHVHLKGTVWPTFVVGAETDDLNERAWCLERLVAVW TKNPWTYPWGYVHTAMEMLQEIWRLKDLAAQQGDDGINWLQRLKATGNSCLIV NEUTE1DRAFT_80863 MGQQTLEQQQQQQPHHQQPQQHPESSECESPSQAQQDVQVDATT DFDLDRVGRQRPAVFSSTLEEVMFCGSILISMLMSEYFVSGFNIILPGLAGALDIPKN SQTWPSSVFSLVTGSFLLPFGRLADMYGAYFIFTGGLVWMCIWCLIGGFSQNYQMLIV TRALAGLGPAAFLPTGLMLLGKTYRPGPRKNLVFSLYSAFAPLGFFIGIILGGVTGEY LSWRWWFFIGTIILFVTSLMAYLTIPNDRHQAVANHGGAKMDYFGLFTIVPAIILITF AITTGGSAPNGWRTPYIPVTFVIGMLFLCAAIYLEGWVAEQPLLPKDLFAAKYMKRFT LALFFAYGVFGIFLFYASLHIEAFMGASTLQTATWFAPMAGGGIVLATIGGFTLHKLS GRVLLMISGGGCLASVLLFALIPDHANYWAFVFPAMLGATIGVDITYLVSNVFITTNV PAHMQGTAGALINSLVFIGISFFLGLADLAVAESEGTFDGENHRVAFWFAVACAACSL LLFCLIDPGKAESELTLEERMEAGLEPKKETSASGSESSNPEPEYE NEUTE1DRAFT_42707 MKANLLFVALAIRYAALAATNWDIYGYGIVDTFRWSRPFPDDGT DPGGFLVNCRAEGTFHAMMYKLSDLLTEPPEGLSPWHDAIEDFLGRRSYMGSWDGVDH KGHDREIVVMEYAGVPGPARDWIENQQRDTSKTDDGKWMFAVFRKPENQTDKVTGTVK PALTREAADAHKASPISAKNKIVVFPAGAIYEILPLWVSKGSKCEPWVTSHTKPRRDL GNRDITFKIEAMAVTETEEGKHTRLMWEKMHRTIRRNERRMQRGERQKVRKELNEGRF KDEL NEUTE1DRAFT_42285 IILGFIGFYKYFVILYSKVTAPFTELLKGNKRRAFELIEKTEKV FTKLK NEUTE1DRAFT_42601 LHINLNKYEFYIKRVSFLSYIISLEGISINLKRIIIIVNSKTPT SVYNI NEUTE1DRAFT_109623 MSYNLTPSTKLFMILLINPIGFTVIAQINCAVMEASLPCPVWAP RLQCSGHPVARALILYTVYLVTPRIRVHCPGVSVIRGNRRWRESYLTQYYFDTNPTPN SSTLALNI NEUTE1DRAFT_42177 ELLLVRCKQVLPINKQLDFDGALRIYLINAIVNKYNLTYLKALN RLVFVIKTANNLRSTKAKNMLRLTNCTIS NEUTE1DRAFT_109624 MPSDFQDEYSPKYIIFIYNTIIRRNKNYISFIIQNSFVRVGTRK VYRFGYIIIRTYLVLYRYGTVPLYCNQTVTGREQVLRKRILCAHENYVDPLTGLAQAT LVPRVFPSLYKSLPRYESLFMYESLSLTSGSPTSQTVDFVDLTSRARCGFSTRTTLYN NEUTE1DRAFT_43464 GSTLNIAILDILKRDFQTRYIYILYNKVKTLQGFIFNTPFNLNA LYIISNYIFIIIATNEVRRVLKKLPILNIYVNTNIPATQHYHFRSNTNLQILFR NEUTE1DRAFT_33444 LPLIQEILNRLLLVKIFTKLKLKDIYYKIRIRERNEEKTAFYIK YKYYKFLIILIGLVNIPAIFQIYIYNTSVGLINIIYIIYINNI NEUTE1DRAFT_41142 MTKLEGILRILKRRNFAGKNGIYNVKYHQLNRPIFLVEVDTCKF LGGVGRLTQKKGYGVYRKPKRSKSYWQRSYERY NEUTE1DRAFT_41312 INPVRIIFNSSGSTITLTYIISGPSNSSVATPICTVSSFNSSST APSSPIAIDTPGRPIINDNWV NEUTE1DRAFT_42028 INNILYNILNIYTTIYLNNILIFLNNKADYERYISNILKRLTKT NLQINTDKYEFYTKKIKYLGFIIILGGIKMDLEKI NEUTE1DRAFT_41805 MTKLEGMLRAFERRNFAGKNGIFTVRFHQLDRPIFLERCTPHTQ TATSKSPEHYNYREDYSKYPNHYNHRED NEUTE1DRAFT_137206 MKVYEPAFSKVDLEIEAEGAEGAEGAEGEIALNRDYDYDLEAEG ETGLNRDQ NEUTE1DRAFT_42041 LEHSDLSTMIKSDDGSVLPESAWGQWGKLFDAAGKKIGKIMAMA DNGLMEKAMRDAGFINVEVKNFKMPTSPWPKDPKQKEIGLYYYAAISSDVEGVMQYMF ANVLGWTQEQIAVFAAYARRELKDMSIHGYVRWKVAYGQKPE NEUTE1DRAFT_80870 MGSRSPSHVDNLGVQNYAGHGRSHSHDFVAENNEAIPNVGKYHN ARDFAALGPRPAPSMTLEGAVGDGEGGRLSDDRWSRPHVYYPFDNTMGTNPVRMDIRS TVSTADLPNVCRRSTVRSGIFKTVDDFQDFDVGGPGWHPGAEPGLDPRKADGGHASMP TLSVPCDITVVDFSHDRLATYRHNNETLASFISLPQPEWVKCRWISVNGLSWDVIQLL GRHKNLHRLAIEDLLNPRNRTKAECFFECSAQDVEAPIIRRLQTKDTIIRQSCDASMV GQAIIDAIIDLAMPVTTCYTEVIGDLELDVLTKPNIKHTKSLYILVTEMNKMLSFINP VITLINALRDHKTNMSQEAATRNLQNPSHGVIITPTTYIYLGDVLDHCLLITDTLTQL KGSAEGMIDLIFNTISAKQNESMKQLTIVTIIFLPLTFLTGYFGQNFEHFPGPNHSID YFWKIAVPVVLATGLLLLAQAIHDYCRSWVQQLRIGQLKRARKRRRGKKQTALPVLSS ASLGSLSHPAHDLVFLRKFSWMYYINGLSQPSSDGATFLANNPMTGQHIYMCASVSVD DYSLAITTAYEAYRSRSKTGPTAKRRSSGDCRHTRVVLTLLV NEUTE1DRAFT_62192 MMKLTLLSAALLAFGDSAVNALSSCGQSQYDPAQYVCWSDQFLC PITAGEPLSFCNGACYSKFMYKCENNVLSLLPAHTGTPFTLSVSNPTLPIDGKPVTAS GLHLSLAGNTSTYCPVEVVGAACPPGNITAFFAGNGGLSMDTMVPGGQQAYLGPDWNM HYTQAHSAYIPAGSLTQGFGGYEGGGFVNLNGNGWGWVACPPQASGGGGTAWNLVGRN ETNAASLTACTPVNLKINPLPSGTVGAWQYA NEUTE1DRAFT_129341 MTCWSGLHLLASPTDSLHFKPTHHVKPDVNRRSMSIGCHCQAIL FHQNLNTMVATSDIPADTSTSLLTTLADEISSSATLLSSHIESRRLPWPSFSADGPRQ PICEDNEEVSRARTALIEATRSLHALAVGPVETTKHFCFNEIYLLGAMQVLCHFEIPQ NVPLQGTITFHHLASKTGLSEALLPRFLRMAIANFYFAEPEPGLVAHSAWSKPLATDE KMRACIWFRHAEMLPAVSKLVDMVKRYPDSPEPQDTAFSLAFGDAFFGYKERHPENMV QFGQFLDAFSGGSSADSAESIARAYPWETLPNRALVVDVGGGIGHISAAIAQAHPHLR FQVQDFEDLRGAAEELMESKGVKDRVEFVSHNFFDPQPEPARGAAVYFMRNILHNWSD LYCKRILKPIAESMGPESRIVNCDIVLPEPNSVPKAQDAVIRALDLTMLSLFNAKERS TDQWKELFASADGRLEITDMVGKPRMRMDSLVEVRLLC NEUTE1DRAFT_42475 MAPAPILDSIVDTIEPRKETLPLPEPARQRLLKAAIDLSKGYPY RPSVPLYLQDVYKIRDEERLYQDAGARADKSKKNLFSAATKVTDLTTHIGTEIEGLQL KDLTPQQRDELALLIAERSVVFFRNQDLTPQQQKELGEWFGEVEVHPQTPQVPGVPGV TVIWPDLFTLERPADFRRPGGASNWHSDLVHERQPAGITHLHNDTVPPTGGDTLWASG YSAYEKLSPEFRKIIDGKYAVYRSAHPYIDRQDPNAGPKYIERTHPLVRVHPATGWKA LWVNRSMTVRIVGLDKAESDVILNYLYDVYEKNVDIQVRFKWTPGTSALWDNRSVIS NEUTE1DRAFT_80879 MSKSTDSGVVTKGDLRGPSSSLKSSRHEYTSDTQTTELVQEQPK RSWRSYVWDTLDKSPQERRFLFKLDAVILTLASLGYFIKYLDQVNITNAFVSGMKEDL KLFGNELNYMQTCWTVGYVIGEIPSNILLTRIRPSIWIPACEVVWAILTILLAKCKTT TQVYVLRFFIGLAESVFYPGMQYIIGSWYRKDELAKRSCVFHASGAIGTMFSGYLMAA VHKKLAGVGGFKGWQWLFIIDTVISLPIAISGFFLMPDLPEITKAWYFSADEITLAKE RMRLEGRAPRAPYTKAKFKKIFSSWHIYLLTALYIFFNNGNGASGQPAFQLWLKSKGH PITEVNTYPTITAAITVITTLIYAWTSDTVFRGARWPPIVFSGLVNIVIYSSLAAWNI PDGWKWACFFLAGFGGGISGLTFAWAHEICGDDNEERALVTGTMNEMAYVFQAWLPLL IWQQVEAPVYHKGYMTSIFIAVAMILTAVLVRFLHHRERARKLLAEEPNA NEUTE1DRAFT_129343 MFAATAGFPHAYVPHLPLKTDRPTHLERQIPQAMPLIVDTDFQS GSTSNAESRFGEHKSVAVIGSGISGVCAAAHLLKYGLSVTVFERSNGAGGVWKFDERP PEDPPYIYRPPSIGDQQHIPPGAVFDGWDAKFSNLEVRFAPPSPCYVGLKTNVPTPLM GTTLGNWPEGSPASVSHSAALQYIRSLAKRSGLDAVTEFHTRVEDVRKTSDGSKWRIT TLALEIEDGIPSVQFTEKVRDFDLVVVASGHYNMPRIPQIEGLKGWKDSFPDRVIHSK RYRNPEKYRNQNVLVIGAGVSATDVCKELGEVSHKTYQSTRNGRFDLPASVLPPNAVR VASVEKFVPPEAKIEGEEPTLGNNQPIPGFVVLTDGTILQDIHQIVLATGYIVSYPFL PQLHSDTAVDADPDDELVVTSDGIMTHNLHKDIFYINDPTLAFIGVPYHVATFSLFDF QAQALARVFAGRAKLPTQEDMRREYEKRVEEKGRGRFFHSLVTPGHEIAYVRDLAEWV NGYVKETGGEPMPVHSEEFLKEYDVLKSRLRGLYPEIAKNDGELQE NEUTE1DRAFT_41523 MAGDKDEPEVRVHDPMPSGYVFVPKGDVYITKNCRQETYSAGQT VYVVVDKRRKPIGLRCPASIFKAVQDLNQATAAKRAEAVQKRDAAIEGDFEEALKRLF PNAPKESIAKIVSHALKKRSRRVGRSGTVQLDDKVKLAVRAHIRHQHTEYEQLLRQGT NREKARLQVFSKLNEVARLWGGRPAKSTGLKRKAGNDGSDTRTAQERSELHKRQQKQE TLETAATNAKIARHKKTKQTRKLTVVERRLLAEASRKSKVSGLRVQTRRMAREGIEPL GGTEEEPIVIDDSDGQEAVFTRGEDTDFDDGDESDWSNWSDISFGNATGKKSRQNDHH WSKPCRT NEUTE1DRAFT_116788 MANAANPEKRITFEEYLGCTEACFEWADSYDTKDFERLRKCIAP TLRIDYRSFLNKLWKAMPAEEFIKMVSDKKVIGNPLLRTQHFMSGISKWEKVSDTEII GYHQLRVPHQVYTDSSCTKVAVTGHAHSHNTHYYKKINGVWKFAGLNPDIRWTEGDFD MVFADGREELGEAN NEUTE1DRAFT_100308 MREFMNYITNAFYGATGWNEDNKYNELNATSRGAILTVSVDLLH WYLETNTKTHELELIDFPLPRGLRLTLSSLATPHFATSYQLGSVGVVDGSISYLHSSI PLTHIAAQSDKIPLPALLRCYRRLHDLRSPGQQHYILDADPLSGLPPSPQSARALLGA ASDAAVAGGALDGGSTDQDLGIYTHSLLYGRLYLPKSLLEGMIIKRFTQALQLQVRAV SEQSLRNGGTILGLVQYDKGKYGLEGLYSTDGGLLGFRGLYNFGGDASSSTCDPWTPT PGENNNNNNNNNNNNGNAQAGEKERIYGRFSVGGELYYGTLNKSGGMSLGARFATLPA HRGTPLTATLTINPLMGNINATKNDEYKGVLKARLDNNLRMGLLWEGRAKSLIFSIGT GIDLHKLGEPFRSLGLEVQYSS NEUTE1DRAFT_100309 MKPSEFMTKALGLRTIHEAILEGDLKRVKRILHQDKSAVNTQTI RDQVTPLHLAVLNGSLATVKLLLLQKASFSIKDKKGYTARQYARSATIRAKKLKQYER LGWQPAKRRNRKARFISTIFREPEALRAILSTNDHPLSGSTILTDGKKLTILQKVVTT SLTFPVQNSTCGFIASYMSAVPQMMALWSDMHTISWVSPSTCNHTIAQERVSCPRSML GGIWHHTWPIPPLGARNSAPAAEAETDIVERDNDYGELCRQQKFDEGGPMDDFGSLPR SSRRPPPNQLAGRSLLLDGSKDC NEUTE1DRAFT_116789 MPGGVTVRDVEPHKFVNAYAAFLKRQGKLPVPGWVDTVKTGPAK EMPPQDIDWFYVRAASVARHVYLRKTVGVGRLRRVHGTAKNRGSRPSHHVEASGSVDR KVLQALEKIGVLEHDEEKGGRRITQQGQRDLDRIAQTVIEADEEDDE NEUTE1DRAFT_80893 MLASLGSMLALAAIARASPLLPRAVDSLNEEATAEAQQRDDSAT RASSNVQIKTSDGRCLFVDQLSGDFRANLTPIQVADCGSTDGQGWDVITSGKHISGDG GMLVVSTLTQACFSYDPRRAAGNQVILFSCGGRADGGGEVTDSQIFAFDGSSGPLSFQ PQNAKDTCFTVSGDTINVAPCNPGESSQSFAFGDGAGSGNNNGGGNADTGGDTNTGGN TDAQESTTSQQPTTFATQVTTTADATATASVTSSPNGGSGSGPGSSSGNGGIPTFNPT EAVPVSRAGGTLQSTAVAESHQRDDTATRTFSDVSIRAPDGRCLFVDPTAGDFRENLI PIQLVECTGSPNEKWDVLTSGKHNQQDKNGTPAALIVSTLVCLHIYDVVKSDVGLTEL GLCRLRAVSTLTAAERLVIQSCSSPAVDVPLVGETSTGQQFPFNGATSFALAPVNEKG SICLIAGDERVDSGACPDDGSQLFSIF NEUTE1DRAFT_80895 MPPLRCANAPAPASLFVQLSMRRTAPSPILADILLPLRARAHHS VARRIATVPTTTPVSKTPWRTRQSLSSSQFNMRLFTTTAPSKATHTLFNPQNDEDGNE MRLSEIMTKDSNPYLALRIQVESGGCHGFQYLMKLVTLPEQLPELPLAEEAAEESEIR EDDTIFSYYPDDSPAPSSPDLTLPKIILDGPSLELLKGSKVDFTMELIGSQFKIVDNP LATSSCGCGTSFDIKI NEUTE1DRAFT_116791 MSTSVPTKNDVLVPETLLKKRKSQEKARAERQAEIEKKKAANKE KRAVIFKRAETYVKEYRDVEREKIRLQRAAKQDGSFHIPAEAKLIFLIRIKGINKIPP KPRKILQLLRLLQINNGVFVRVTKATAEMIKIVEPWVAYGYPNLKSVKELIYKRGYGK VNKQRVALTDNSIIEENLGKYGIICMEDLIHEIYTVGPNFKQASNFLWPFKLSNPTGG FRTRKFKHFIEGGDLGNREEHINALIRQMN NEUTE1DRAFT_116792 MPPKKAARPAQENISLGPQIREGELVFGVARIFASFNDTFVHVT DLSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGITALHIKIRATGGN GTKTPGPGAQSALRALARSGMKIGRIEDVTPTPSDSTRRKGGRRGRRL NEUTE1DRAFT_121824 MSRFFRGGDDSSTDSSSEEEEVYTSEEEEEKVQAEDESSSEEES DEEESDEESSSDEEEGTKKKGASRFLQSDDESEEEEEEQSDDEATTKVKSAKDKRFDE LESTISQIQNGQKINDWSLIANEFDKLNRQVVKLQDGSKAPKSYIKAIADLEDFMNET LAKQKVTPKKMNATNARGLNAVKQRIRKNNKEYQTQIDAYRKDADAFMESDDEVAAPK VVSKVRFEAPVVSAEQQEEDDKGFSTVDSRGKVVQYTPESILKHLRAIIESRGKKNTD RLEQIKVMETLNKVVPITPYQKIRVLQTLISARFDLGAGGAAQMPLDQWKAAERDLAS LLEILEKEKDHVVVEGAEEWDDDDKLPTIPEGEKYLKVPGSVVSLIERLDDELTRSLQ AIDPHTSEYIDRLTDEGSLYNTIFRGLLYYEHLRKDVSLEVPQESLNRIIQRRLDHVY YKPAQVVKILEENAWKQVSAEADSEITPRSQSGDAGKLINILSNYLFENSEGIIRARA MLCQIYFLALHDEYYKSRDLMLTSHLQETIANFDIATQILYNRTLVQVGLCAFRKGLV YDAQNTLQEICGSGRQKELLAQGVMIQRYSQVTPEQERLEKQRQLPFHMHINLELLEC VYLTCSMLLEIPLLAQTGSSPDVKKRIISKTYRRMLEYHERQIFTGPPENTRDHVMQA SKALAAGEWKKATDFIHSIKIWDLMPNTEGIKTMLAKQIQEEGLRTYLFTYAPFYDTL AIATLSSMFELDSRKVSAVVSKMISHEELAAALDQVTETVIFRKGVELSRLQSLALTL SDKASSLIETNERTLEQKTQGSANAFSRKDNRGGGQRGGGQRGGRGGARTGGNPQRQA GGTQFTGGALGNAVRG NEUTE1DRAFT_62227 MQRNRFGEKRKGGFVETEKADMPPEHLRKIVKDIGDVSQKKYTS DKRSYLGALKFMPHAVLKLLENMPMPWEAAREVKVLYHVNGCLTLVNEIPRVIEPVFF AQWASMWTVMRKEKSDRRLFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQMELDEDE EAAIYEWFYDHKPLLDTPHVNGPSYKKWNLTLPQMASLYRLSRPLVSEVVDKNYYYLF ELKSFLTAKALNAALPGGPRFEPLYKDIDPNDEDFGEFNAMDRIIFRNPIRTECRVAY PHLYNALPRSVQLSVHSYPQVVYTRTEDYNLPAFYFDTSINPISSRAVAPKNLTVSHE DELFGPGNIEEPEEDAFELPAGVESFMAEESLYTDETASAIELWWAPFPFDRRSGRTV RAQDVPLVKHWYLEHCPPKQPVKVRVSYQKLLKNYVLNELHKKHPKSLQKQNLLRTLK QTKFFQQTTIDWVEAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKER KKSRFGNAFHLMREILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGMYR YKYKLMHQIRSCKDLKHLIYYRFNAGPVGKGPGCGFWAPAWRVWLFFMRGIIPLLERW LGNLLSRQFEGRHSKGVAKTVTKQRVESHFDLELRASVMADLLDMMPEGIKQSKVNTV LQHLSEAWRCWKSNIPWKVPGLPAAIENIILRYVKSKADWWISVAHYNRERIRRGATV DKTVAKKNVGRLTRLWLKAEQERQHNHMKDGPYVSSEEAVAIYTTTVHWLESRKFSPI PFPSVSYKHDTKILILALERLREAYSTKGRLNQSQREELALIEQAYDSPGTTLERIKR FLLTQRAFKEVGIDMNDNYSTINPVYDIEPIEKISDAYLDQYLWYQADQRHLFPAWIK PSDSEVPPLLVYKWAQGINNLDRVWETANGECNVMIETQLSKVYEKIELTLLNSLLRL IMDHNLADYITAKNNVTLTYKDMNHVNSYGMIRGLQFSAFVFQYYGLILDLLLLGPQR ASEIAGPPHAPNDFLQFKDRETETRHPIRLYTRYIDKIWVFLRFTADESRDLIQRFLT EQPDPNFENVIGYKSKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSVTTIEWEDT FASVYSRDNPNLLFSMCGFEVRILPKIRNQNDEFPVKDSVWSLVDNTTKERTAHAFLQ VTEEDIQKFNNRIRQILMSSGSTTFTKIANKWNTALIALFTYYREAAVSTVNLLDTIV KCETKIQTRVKIGLNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPTSDKRWSKQTD LGVTHYRAGMSHDEETLIPNIFRYIIPWEAEFIDSQRVWTEYSQKRLEANQQNRRLTL EDLEDSWDRGLPRINTLFQKDRSTLSFDKGFRARSEFKIYQLMKSNPFWWTSQRHDGK LWNLNAYRTDVIQALGGVETILEHTLFKATGFPSWEGLFWEKASGFEESMKFKKLTNA QRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISLIQIFRA HLWQKIHESVVMDLCQVFDQELEALSIESVQKETIHPRKSYKMNSSCADIQLFASHKW NVTRPSLLFDTKDVIESTTTNKFWIDVQLRYGDYDSHDIERYVRAKYLDYTTDSMSLY PSPTGLMIGIDLAYNLYSAYGQYFPGLKLLIQQAMGKIMKANPALYVLRERIRKGLQL YASESNQEFLNSQNYSELFSNQTQLFIDDTNVYRVTIHKTFEGNLTTKPINGAIFIFN PRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLIVTRKGLL DPLEVNLLDFPNISIRASELQLPFQAAMKVEKLGDMILRATEPQMVLFNLYDEWLKSI SSYTAFSRLILILRALHVNQDKTKLILRPDKTVITQDHHIWPSLSDEDWIKVETQLRD LILNDYGKKNNVNVSSLTSSEVRDIILGMEISAPSLQRQQAAEIEKQQQEQQQLTAVT TKTQNVHGEEIIVTTTSQFEQQTFASKTEWRTRAIATSNLRTRANNMYVSPVDSDLDD VTYVMPKNILKRFIAIADLRVQVAGYLYGASPADNDQVKEIKCIVMVPQIGGLRNVQL PHQLPQHEYLKDMEPLGIIHTQSGNELPYMSAMDVTEHARLLDAHPNWDKQNTLTVAV SFTPGSVSLSAWALTPQGFKWGVENKDIASDQPQGFTTSMGEKRQLLLSEKFRGFFLV PDGGKWNYSFMGSAFGGLEKKPVHVKLDTPLPFYSDQHRPIHFSSFNELEDIWVDRQD NFA NEUTE1DRAFT_80911 MGGHPGARHMGHSGPPHFGGPGPSPHTVVAPLYPPLTHNHGHGG GLKRQRPEDLDLTMPGIPNLEQNDLDSMQHTSLGAAYAQAAAAPPQHHHHRLPDTGPP NKLLRREGEGSGGGGAPSVVGQAGMPPPAPRPRGPKLKFTPEDDQLLIDLKENKSLTW KQIADFFPGRSSGTLQVRYCTKLKAKTTQWTDETDQKLKTALQDYENEKWRIIANKVG TGFTPVACRERAAELMGEDL NEUTE1DRAFT_146015 MVRFVTNTSTTTRLLAQSVPKNGVTLPPWLHNITQSISAAPKLY AWTPENLPNSRNNGQFQSATPKDLQRRIFILGIGNLGRLYANSLGKLGEEVPVTLVLH RKSLLEHWVSEPGIELTRHGVTEKMSSFDVEWWTEEAPTHGPVREVCDGHKIANLLVA TKAPDALPQVDRLRRYLDGNSTVGFVQNGMNKLWPPYGAIYSEHRFPPRQHPNWLVCV TTHGVFSLGTFKSVHAAPADIAMGLVLPNPNTAHAADYLMEQIGRAPELEARKVPRNA LWVLQLEKLIINSLINPLTAVIRCMNGHLFDQPAPELKKLMDILVDEASQILQALAQH PSSKDILSGADSAPDADLSKEALVERFSAVRLNAMLQRVGEKVKDNRSSMCQDVMAGK QTEVREFNGWLVQMAEYLGLEAPNHKKLCELVEGGVIGETSLLEAYFGKK NEUTE1DRAFT_121828 MDPDTTQLSKTTEALPESTPSVEHTEAMTLDNKNSTADTPSAPN ADELDEEAALKNLTENVRDQDDLERDITLQASRALIEAEDKRDQKRIEKAEATRARLE NQKKTQQQKLRPGITNPTARLRIQQEIARIDAEIELCDKDIADFRARIEQRNQQGETG TLAPATGKILPNETQREYLIRTGKITPFAKFGGPRPAEVEGELANAIVDAEDEAVAEE LEEKENDGPRSHQNLRLPGFADENEVATVAVADEFSLRSRKRRRVQPPPESDDEFVPG ESGSEAASPEADASDDYDDYEMAGSTLKKRKKAVREADGDDKVDLIGIDDGNEKVYQA RLKGWVTRRSNARRARQQRLGQPIDKDDDGTEEWFKPAPDQPDHQFENGLKLPGDIYP ALFDYQKTSVQWLAELYAQQVGGIVGDEMGLGKTAPATVLRQWVNEFHRWWPPLRVSI LHSSGSGMLNVRNEGALDDREDDYGKRKPKKSSQAAKKIVDRVVKHGHVLVTTYAGLQ TYGDILIPVDWGYAVLDEGHKIRNPNTAITIYCKELRTPNRVILSGTPMQNNLTELWS LFDFIYPMRLGTLVAFRNQFEIPIKLGGYANATNLQIMTAQKCAETLKETISPYLLQR LKVDVAADLPKKSEQVLFCKLSKPQREAYELFLKSDDMTAILNRTRQSLYGIDILRKI CNHPDLLDPRLKDDPSYKWGSTSKSGKMAVVKSLLPMWKRLGHKTLLFCQGTQMLDII EAFVRRQDGINYLRMDGKTPVKDRQTLVDQFNNDPDLHLFLLTTKVGGLGTNLTGANR VIIFDPDWNPSTDVQARERAWRLGQKKEVTIYRLMTAGTIEEKIYHRQIFKQFLSNKV LKDPKQQTSFNLNDLHDLFSLSSYEDGKTETAELFKGSEVKRLPSGPTEIVLPGNDTP VLRAPGASKPVEVKDESTSEDETSNLRHLEGVAGLETFNDDGPAPAPNEEDRLMEGIF ARSIHSALEHDEIMNGKKPTVKADRRILQAEADRVAAQAALALRRAGEEARNVPIGTV TWTGEYGEAGRPAPRHERGGSSSVGVRGAAGGAGPSRASRPATPSDNRNLKAEDFERM IPAFIKRHGGRVPSKSLVDHFNHYCTGARQADMFKVALEKVAKLEKKGSSMRGIWTVR PEYQ NEUTE1DRAFT_62235 MSGSYGGGGYGGRGGGGGGGYSNGYDRNGGGYSNNHSSHGGSNG YGGGGGGYGGGGGGYGGGGGGDRMSALGAGLQKQNWDMSALPKFEKSFYQEHPSVANR SPAEVDKFRADHSIAIFGNNVPKPVETFDEAGFPRYVMDEVKAQGFPAPTAIQSQGWP MALSGRDVVGIAETGSGKTLTYCLPAIVHINAQPLLAPGDGPIVLILAPTRELAVQIQ QEISKFGKSSRIRNTCVYGGVPKGPQIRDLSRGVEVCIATPGRLIDMLESGKTNLRRV TYLVLDEADRMLDMGFEPQIRKIIGQIRPDRQTLMWSATWPKEVRNLAADFLTDFIQV NIGSMDLAANHRITQIVEVVSESEKRDRMIKHLEKIMEGRENQNKILIFTGTKRVADD ITRFLRQDGWPALSIHGDKQQNERDWVLDQFKTGKSPIMVATDVASRGIDVRNITHVL NYDYPNNSEDYIHRIGRTGRAGAKGTAITFFTTDNSKQARELVGVLQEAKQQIDPRLA EMARYSGGGGGRFGGYRGRGGGGWRGGRGGGGGGGSVGGANALPLNNRRW NEUTE1DRAFT_121830 MEAIKTLFDKLQETVTPNSAAIRIPDNGRISLLAMNDENHSNEI QDETPQIQLTPQQLYSHLRLDPSNPSVRVLDIDPPHPRKNPDAPLRGTLRVVHLSDLP AFTALSYTWGSYSSPIVDTIRCNGYCDIPITKNGRDALLALRKRHYAGGLVRSRTTLP LTIWIDAICINQADDTEKAGQIGLMAEVYTWARTVWVWLGKGNERTARAVRGLERAAK LRIALVGVPWIEKGMYAARGPRSVAYYKMQLAKSMVWVFLRTYWHPVLSFLEACALGR PQKGGLLASGDIDFLLDSEWMERVWTFQEIVLASNPIIVCGEETIGWAQLQQGLDCLD RIRPSFPSTGSSWGSRIGLTKSIEVRYQLFKGWAISKDFQTGRDLISHVDESMTISAV SQRWSNLFHVWRTVSRPTSWNGRAFRSVPKLNGTEQNGNSLQSGSYANCFSVKKYEGQ FNIGRIWNQVRPVILLPYVGLLTVFVAIAITAIRSFLLVDASAKRLYFFTSMFATFLI GTNLIPFLGYLSFTMGYPTVGTHAQSDVDAANGLVAIIRVLRDRKAQKAHDKSFATHG VLKRLGISLPQPDYTKPLGKVYHELYIELVKREPSCIALLSDVKGSALQGAPSWVPGW GSEKLHWVKDDAIYSRIEDPSRPDSFDLVKWNSETEIVVKGFFIGELSYTSQPIGREE RVNSVEPVWRGSLTAIDEWRRELVELYARQTPRCEYSSFSISLTLCPSSQSSPADWCE EDFRRWHKILTSPGYDDASRHELVELALSEREFQARRFTDYICRGFAGTTSLFVSNDG FVGKGPSGIEKGDEIYLIDGVGQPMIMRKQGVSGKYRVIGPAFACHLKDLHTFEDGDR EVLDSKRWGPVTLV NEUTE1DRAFT_137232 MAGPDLPRQLPVRPRTPRHIVDAMEAPDMGKPLPALPIATLAFP PLAIPLSNFAIYSQRFSKRRFQIRCSHSVMTRFYSTRDKCTLCRRKGDFGWVYRCIVD RDALIMGRKANGIPVAFDGIGCKFAEKMTLGKHGPEARHEDYSFLREITPEQMVSYTP SQIQKILSQRENVKNTIAEERHQFDHPRYKHTKKRFPDDDQPWMPSRETECSFTVCHN CCPSATEKNRINIDSVVEDAIQPTVAVGYGFSKLKSRPYAKAEIVRSIGYRPVPMPIE RSENHLANAWLSIQSNEALDIADQYLGMAAHDGSSDAGNMITPVLPCRLSPPGTHPHS QCMVGSSTDTFDFAYANGSFANDFLHVRPPWTPPPTPRNTPEDGINESETLPTFDTRY LVCNGRSPASLKVSPAMRNMAISSVTDLPVSERQRGTESTLARPCPEFSDEYQIPMQF EEEYNPSPIQTLKSKVYVEACTTPLPRPNMDEIMFFSNRALPRKPSPRRHRSQCEFGR GPRPLTPISVAGQDEKVMKSLSSEPITFLKGIAITEEAAEFGTPDVSVAVDMQPITSA YPKQGGKALKF NEUTE1DRAFT_41828 MSVKEHHSTVGAIAEAVAEAAHGDLPGTKGHHPISAVIGTAITG GRQNAGTKGYLTAYLKQLETNPLRTKMLTAGTLAGSQELLASWLAKDRNKNGNYFTAR VPKMATYGALVSAPLGHFLIWILQKMFQNRKSLRAKILQILVSNLIVAPIQNSVYLVA MAIIAGAKTWKQVQATVRVGFWKVMKVSWLSSPLCLAFAQKFLPEAAWMPFFNLVSFF IGTYINYITKKKRLAALRRKHFGDGAHGDHRHDRERERDRERERHSSPPHGHGPSHGG RPINPTLGSHHGGGPVPPPQDYPSLGQNPRY NEUTE1DRAFT_121832 MPSTAPSTRFPNPTPTLPPNVNLTSPLLTFHLHPHFQLHSIPLP RLTYINKVGLAAFDRSRLTSAQYATHGSALRSSQASALETQLSVFRSLLQQFAATHAK DIKSNPTFRAQFARMCAAIGVDPLLASSGHGDSKGKGDSMWAQLLGRTVNDFYFELAV RVVEVCGETRGENGGLIEVGMVRERVVKGRVEGMGADTINRDDILRAVGTLKPLGSAY SVIKVGSKQYIRSVPKELNTDQSAVLEAAQVLGYVSVSMLMDNLRWTRARAQTALEDL VGEGMLWVDKQGIEWEYWSPGFMLEGDLPLEGFD NEUTE1DRAFT_80927 MAITTSESLLGFRDIPAGTHFLWVQQPGATSRNGYWYVTQEHNS RVRVKQWDQYNEVLSSLPNQAEERHQAERLESIYPKLKPYDMRGGSKRRSARASQPMP FSIAGRNEPSFITDPAKMWQQLTHAISEPFLTRITGIKSVDAWLVDSADCAAGESYRA QYKSVQSSEFNFLFAQDFKDLELLDSDPTRRDVVEDTTDRVLALLRSTDSNFDPCEII AELQFTFLTGTHLGNHACMEQWWDLVLKIIVRAYRLVLFYPVLTIELIRTLHAQIIYT EENVESTSEEEQEKGRSEHTIGPCPDRPIYQFNLQNRRRLRTALAKYARKLEEVLNGL GDAVTMEQSTARAIFGELESWLFTRGWDLGSKNAKVEETKQRDEIGEDDDDDDDMPVV VDLDEHGREVGLVSFND NEUTE1DRAFT_62248 MIQPGTRQPNAWKEFAGEWGTLKTVPLDRGTSKNRRNGVGLSVL GLIFKHINREVSAIMVSTSCDFVDYNFRKYDQRHCAVTRADPATFRMTSLLSWVPWRM QAWPCSHGQPREPSVPLRRERSAAPAVLLPVEGEYPVAVRRTSRYVVLL NEUTE1DRAFT_129360 MSHHTNSTTNNTDRTPPEYSHSEQAPGPVPYTTQQEGRPPVYPA APAPGQPYPAPSGTPASEYGYSGHRGSTSFPDTMMGYRSSQSHPSNGVPSSNSMSGPG HAYPHPAYSSYAPPPPDVTSPYQHSGPSAGLYAQPRPDWATYQQNGPMQGHAVFPPTH SPAPAQTRQNQVYSFVPIPGSTQHKRPRRRFEEIERMYKCGWNGCEKAYGTLNHLNAH VTMQSHGTKRTPEEFKEIRKEWKARKKEEEAQRKAAEERARAASTSQSTQSTDNNSYA ARGAVQLPPIGSNTPVSQYPLPEYNGPAYQNYPPGSGSPYHPNGYSTAQHANGQA NEUTE1DRAFT_80933 MSASNDSGRTLPRVLVIAGSDSSGGAGLEADQKVIAAHGCYAMT ATTALTAQNTKGVYGIHEVPVDFLRKQIDAVVGDVGVDVVKTGMLASAGTIEAVAQAL QDHKLKTLVIDPVMIATTGAELLPNSASRALCEKLLPIATILTPNVPEANKLLLETGH DQRPVQSVADLEDIAIKVQKLGSKWVLVKGGHTPFRRDGTEAKTEDEMEIVVNVLVGP ASGETKRDTEGEEKLQMVRIEMPYQRSRNTHGTGCSLASAIASNLAKGMDMVPAVKAG IRYVDAAIRTAPGLGQGNGPLNHFHSVKALPFSSGHFLDYLLERPDVAPVWDRYIHHP FVMAMGDGTLPRESFKGYLMQDYVYLIHYARANALASYKAKNIEDVAGSAAIVANCFR EMNLHVQYCAGFGISKEQMERTEEHQACTAYTRYVLDIGQSEDWFALQMALAPCLLGY GAIAKHLHASPNSKANETDNLYWTWITNYVADDYVTAVKAGRELLERHAVLQSSGRIE ELVRVFIHATKMEIGFWEMFPYKAEEGGSQ NEUTE1DRAFT_116796 MSLKQEIETWVSALAQYDNSEFDAALQEFEKIADTSKILFNMGV IHATLGQHEQAVECYQRAIRLDQYLAVAYFQQGVSNFLLGDFEEALANFNDTLLYLRG NQVIDYAQLGLLFKLYSCEVLFNRGLCYIYLQQIEAGMQDLSYAAKEKVVEDHNVIDE AIKENAEGYTVFSIPVGVVYRPNEAKVRNLKTKDYLGKARLVATSDANNAFTGFAGAE IKNAGKLEVKDDRPVESLSFAATNLVKPGLQSKRQQSEPPTNRSMFPPTPPPENEKSQ MSRGASVRNGPKPMPARLNLDKVRPSDRYEKTSPEEPSRRPSRAASATPSRGFSQREQ PPPPRMRRSQQEEEDSYPSELYDMYGGPGPNRNSRGQRSNRSAPRYIQEEDDESDYDV SFDEAEFEMVSGRRPSLSTVRSSGGRGSSRRPELRSIRVKVHAGDVRYIMVGTAIEFP DFEEKIRSKFGIRRRIKIKIKDDDSPDGDMITVGDQDDLDMLIQTVKQNARKQRLETG KMEVWVQEI NEUTE1DRAFT_80939 MPPYTARQIISIPSLALAGFGGAYLWQQRQQHRNYFQSQSSPAA PKSSIPKIAGNMASITTPFKTLFAVPMHCESCVNDVSGALYKLPGINKVEANLKDQLL TIGGTAAPSAIVNAIQSTGRDAILRGSGDSQGAAVSILETYHKPTLDKITPAPGASDR MVRGLARMVQVNNSTTLVDLTLRGIAPGTYRATIREFGDLARGVESAGPVWSGTATLT ADTKAQISGDPNAPRGVLGTVEINKDGHGSVFLSHPFQIWEVIGHAFAVAPVSVDESA GSTLENDENTVVGVIARSAGVWDNDKTVCSCTGKTLWEERKDEVEKGML NEUTE1DRAFT_62260 MSQTVGATRLAYSRVWHHISAVTPHPTLSTIKAPPEAITPPSLG RLASRIATILMGKHKPIWDPSTDCGDYVVVTNCAGLYTTGHKKWRKTYYRHNTRPGSL QAITMDALMEKHGGAEVLRKAVSGMLPKNRLRDKRLARLKAFEGDAHPYKENLVRFGG KVVGAPGWEEAVKAIREADMERL NEUTE1DRAFT_62263 MARLSAARYGKDNVRVYKVHKDEKTGQQSVTEMTVCCLLEGEID TSYTQADNSVIVATDSIKNTIYIKAKEHPVNPPELYASILGQHFLDKYAHINAAHIDV TVHRWTRMVIDGQPHPHSFLRDGQETRNVEATVTRDGITIKSGIVGLQVLKSTGSAFH GFVRDEFTTLKETWDRILSTDVDAGWTWKKFANLAAVQDGVERFDAAWEAARNITLKT FAEDESASVQNTMYKMCEQILDVVPETEKTNYSLPNKHYFEVDLSWHKGLQNTGKDAE VYAPQSGPNGLIKCEVSRS NEUTE1DRAFT_129366 MLNASANPQNARSYSSQQPGTSQTSRVSFDRDGVQPPGPAQPQN GGRNLAASAVSFAPRGTALNPSPMPGSFSSDLRSQLNLTRTGSRPEMYALEKLDENAD ETHDQPLSQLREELNREMKIKEGSENMLEALNTKKPKQAKEQRARIEAELNSSTQKIK ELRRKITAAQTTKATPTTPVRSRTTAFLQDSNGMRSPPSASRSGAGSDFDEPTESPTY ALTELLQALEVDGMTPDYYVSRANSLVDLFKRHPTLKYDLVWSIFGLRMQVMLMSESR EVVAAGYRMIRYAISDIASLRRIRALNTDYLVTWSLIKDRKSDVEREQALKFVRAFLD VKDGVKEISRAVVRTIAAIAEEPDERLRPICIETLAEILIRDPALLISAGGLAPLRDA LADGSYKASESLTSAFLYLLDAPQRRKYLCAGNELEILFTAFTDDLSTNERILKQNSR AVASALKTWSGLMTLSMYNFRAIKSMIHSMVVNTGPIRETILDLLYSLFRIKSPAWAT SFLAGRRLTTYGRVTNLRSTSTKGSHGDFDDDGGEQDFAEHYTALLLAIFVKSGVVQG LLRLTQELDNPPVKRKATLLIGEVLKLASRLLPPSVSSSLQMLPELFSAAANLKDEKH FIASGVVYQISSVSKTLYRSSPTTFTPSVVPSSNSLDLGILDDHPKSNSAITFDDATF RQLLVDSHVLSSSNYAKWNWDIILRVIEGPLTSGKRLEEAIKASKFIKRIMSFYRPFK YRFSEVKSTRNTQKYVRVGCSLMHTLLQSPEGCKYLSDNKLLRQIAECLAQCDPTSGL TAQYPMFARDRLTDTLCGGYFPMLGILTGDQKGIQMLDRWRIFNMMYRIVDLKQRPDI IKLMLANFDYSLHGHPRVLLSKALTAGTKDIRIDATNALRKYATRPRISTQGQEPSDS KWAIQLLVTQLYDPDIEVCATAVKILEKACNTKNNLEYIVECRPALDHLGEIGAPLLL RFLSTSIGYHYLDGLDYISNEMDDWFLGRNDSYVSVIEASLARSFLEHQDDHTNRISV FDDEQEIEADSHVPPHFYRELTRTQEGCKLLREKGHFDEFAATIREYGMQSDDPEMIV KVKGCLWAVGNVGSMELGAPFLESCDVVEQIVHIAQNHEVMSLRGTAFFVLGLISRSV HGLEILLENGWDANTNVLGNSLGFCIPSDLSKLFSLKPWQYIPVTAIQLPDSQKTETT KLPAIPSRPRSQSLINAIKEEEEEKQKAAAAATQMSSKPDNSTATQSAGNPPPAEDLA PRNELDPDPTNQRILELIIDLANMVLYRRSRAELLQIKATKKAAGFNQPQLFRKVMSL LECHHYRLQDRSMIVGLFDKSVMRVVIFEEGQTTSGESEMEMDMEEDEDGDEDEDYGD ATMSAAGTNSVREEVEEDEVQVRDGRRQESSDDIRMGMSEDEEEEDDEEDKSSSPALK ERDLHRRGGQHGHLHHGGLEEARRRYAMNPPPRGVVGVQQVGGISGGGGAVVGSFGSS IGGSGSVSGSVDEQQRTERQRSISDPADLERHSMIRGPFR NEUTE1DRAFT_116799 MTVMIELPLLEGLSTHHVFYDFPSLHVSSPLLFCRLLALCQYQS DRVHILHWHSTAITYYTIGVIKGVGDCGLLGWCGCREVKMEYRFFLASVLEYLTVYLF LYFFFCFFSPQLPRPNLSGLGILCNNVHAGREWSSQSFYYYAFMFSYSHQKCRLMA NEUTE1DRAFT_80950 MEAHAQPQRSRKRPSRTTRVPDAGNGASAAAAAQGPGVVPVSQG WGQHPVQSQHQHTVQHGVPQHSQHAHQTHQVQQPHPGAHPHQAHHQQVQVQHQHQHQH PQAVRAHPDTVSAQPPTFASQQLDTRSHQVPADPDGLMNGYNAPQHQQYPDPPSSSLA PAPQPDNSGLTSLSNLTGDNGASHQQHHQQRSQHQQLQLQHHAQQQQQQQQQQQQQVQ QQQQAQQAQQQQQQQRTSVAPSSTTDRVNFNTYPNHSAPNDSSPSPVTVATSSAGYNN LSGWTQPVTNSTAQSTMPPPSPAPALAPPPEGIYRTFEDLLASVQKVAKDQGYGVVKL RASNYREGKPTRYDLVCDRGGVKYSSTAKKRNPSTRKVDCPWRAKAVCEVNLGNQWRF AVQEARHNHEPRIPAAVPGQENTPIAQSIRSITNKIDRLQHDQTTSFQRLEANVSTIL ARIDNMEKRLDAIESGRPSMLGGNGVPSMGTPSMPTANMGGGNLGNGPMTNGGMSGGH IVDTRLNSMEARMNAMEQRGNPMDGLPMMDDDTGRLAMNQMMVST NEUTE1DRAFT_137245 MANNHTQTPKDASFQEEERLEDALDYLNELHVQASRRHTGYRPL HGSYSNSPSLGQQLQRLRSAIPRMFHPMAVKSSTPQNTFAAFSDAVQDTGKEIRQFKD TMLSSESEKVFNMANESRRANPMGIKPWRARDDPEWTTRKRRKLDNGLKQS NEUTE1DRAFT_94702 MASSRVTYRRRNPYNTTSNKTRIVKTPGGQLRVLHIKKRGTAPK CGDCGIKLPGVPALRPREYAQLSKPKKTVQRAYGGSRCGNCVRDRVVRAFLIEEQKIV KKVLKEQSQAEKSKK NEUTE1DRAFT_62277 MDMLGQTPIPSTAIDECFHDGFALNSGVQVTGGSGVLLVSGEAF EWRPWVVVAGTGTGTGTGAEGGMGKAAIPPKRKLVNEKGQWDVSSEEQWGFLGRLWPR PDLLILGVGPSLRPLSPATRALINSMGIRVEVLDTRNAASQYNLLATERGVDQVAAAL VPLGWRE NEUTE1DRAFT_62280 MSESHSVSGASPAYTFVETPAQPPSNTEKPKEECGVRTTTFPAI KNAPLPADAAGTESFSNLALFSILFGVPYYFSWKVGGGLKTTLFFALFTTVPLLAGFW VAHSMFSPRKNEKAKFPGRPVEHYLTFKNPEDKLKYSGKNKIPMETFHEMYFNEEVDF NGDALDIMEYRHDWASFRFTYGLIKFFVTGMIPEVLMHTRSQDEEQVRDHYDRGDDFY SWFLGPRMIYTSGIISDPNKEETLEELQDNKLAIVCEKADLQEGERLLDIGCGWGTLA RFASVNYGVKATGITLGRNQTAWGNKALRNAGIPEEQSKILCMDYRDIPVPEGKYNKI TCLEMAEHVGVRHFKGFMKQVYNMLDDDGIAVFQLAGLRKSWQYEDLIWGLFMNKHIF PGADASTPLGWYIDQFEKAGFEVRAVDTIGVHYSATLWRWYRNWMANREKVVAKYGKR WFRIWEFFLAYSTIIARQGSATCYQMTLVKNLNSVHRAEAIHTQQGLLGALKNTRANL EAWAQANAVEFPTVPAN NEUTE1DRAFT_129372 MADNLDRVWWKDGVVYQIYPASFKDSNGDGLGDIPGIISKLDYI QNLGVDIIWVSPMFESPQIDMGYDVSNYEEVYPPYGTVKDMEKLIEACHKRGMRLVLD LVVNHTSDQHAWFKESRSSKDNPKRDWYIWKPPRYAEDGTRLPPTNWRSYFSGSAWEY DEHTNEYYLHLFAKEMPDLNWESEECRKAIYDSAMRFWLDKGVDGFRVDCVNMYSKST EFLDAPIVDSRFYEQPAWCYYANGPRMHEFLREMNEKVLNRYDAMTVGELPHTPDPKR VLDYVGRKDKQLSMVFQFDIVDIGQGGTHKYHFEEWKLPVLKKIVEKWQRFIEGTDGW TTSFCENHDQGRSISRFASDAPEYRVLSGKMLSLMMCSLTGTLFIYQGQEIGMINVPK DWPIDYYQDIESVNFYKLMAAKTNNDPEEMAYVMRSLQTLSRDNARIPMQWDDSPYAG FTERKEGAWAHVHDLYPEINVAKQLDDPNSTLSFWKDMIRFRKQHSEVLVHGTFEACD IENEKTFVFVKRFGGKGVVVALNFSNEEQEVVLPEHEKELKLMVGNYGNEEGGKGKRT RLRPWEGRLYMAGGDA NEUTE1DRAFT_80968 MSNTDNLAPRGPHASGDEERATPTHRPSTAARENATPHHTQFFG AGEYDQHLAHLAEKKEQEMTFKDAVIGDFRLIMYSLGFSGTIIMEGYGLALLTYLFSV EPFNAKYGVFDTNTRKNELEYKWKAILPLLAQLGSILGVGLTAPLVNFIGYKRTVLLM LALCAAFAFVPFFAPNVLILAGGFLLQGIPWGVFQVVSPAYSSEVASLQMRPILTTWN NLCWIIGQLLASAVAFAFHGLPNAWAYRVPFGLNWAFIAFLFCAIAAAPESPYWYLRK HRLGDARKATKKLVRKGSEERTEEKLALMQHAICHEMKHVADNQTRWQKISAMFRGVD RRRTEIASATWIIQALCGSSLIGWAPKLFESAGMESGKALAMNIGLPCAGIVGTVASW WLMQKMGRRQIIFWGLISMAVVLTVCASASMAYNPASGFAAGAVLVLYTAIYDLTIGP ICYSIVSEIASVRLRTQTISFARGLYLAANLFNLFLTPKMLGMDSESWRWGARTGFLY AGLCGIAALYTFYRVPETKDISVRGLSILFHEKVKARKFSAKKAAELEKSQGAAASKT SSSDNTVSVLDEVVEPKIASPARVASPKNNN NEUTE1DRAFT_121849 MTDQDSKPRVTIALGTSSSSSSFKTKKPSRPTHTRRHHAGASSN HYSSESEDDDDETGGQRTGRVQAITEISTYGDDNELKSRDRSDRRDRSRDRDRNRDGD RNRDRRRDRSQDRDRHNRSSRPSRGDASRSRRRSTSRSRDRDHKPRDPKDLQEPETAP PKWGLTINPKSTTTTTTTTTTTTTSSSSKPTSSSHYQRQSRSPSPEEKPPQTLEEQAL SSLLSLDNKGSSTTSKRKRSHSPSSHDRDRSPDHSDYRAVPIDDFGATLLKQFGWDGK MRGKVKEVTHKHANLAGLGAKDAKGAEELDAWNQKISGRGGGDSRGRDSRPVRLEDYR REENNKKQRMEYRHGESSYKQEREREREQRGDR NEUTE1DRAFT_80970 MTEVGPADRRKLLHSKRAEANSIVTSRKRKLRELYAVATDEDGF PNYDLNDLDTRPASPGEAKFLFDCEILQGRRLAERLLPVFQRPRFDTLQHIATADESS LGHGPHVVQQVQPSPLIHPNHTIPKHVQHNGLPSPAPPTSSIRHEPERSVPYNQVPNG AAGPQEALKAFAPRQEIQKLAPVQGSAVKTADGPSGESKPPAKETPVLLAPAVPHGNG RWNGIINNAPQGRLLPTPQTVAPPTTAPTSTRKTANLVEGRTGPKDDTVSRGDAEEKA RPKPTITSVNQLLSNGDSIRYPDTLSSPSSTVQSAPTPLGNEASASTSPDNEASQSFD KPVSRPEQELRRVTTDNKGVGQFTGPSVAVPELRPQPQQPGVYANGAPEVAISSAPSV RPAPSGAEAQLLQESAATRTSQVIGKAGVAGPNGAHPTGGLPTQPPSAVNGEVRNMMN GDIPGKHVASQASVSTGVTVTKAALPEVAKQGPLFQGPESHNNRADVGPIPMDVDRIP AAQASKSIPSVHAVQEKAPSQESARQPQPSSTAPSTTPPSAQPAVSLEKTVPEIQINA PPETETETQARTSRSSHPDTESAVADEEGDMPPGLLTHRLKSLSTRLRERRRKSVPTV VFGKQYRKPRFSDDTALTVNKPKPPGHIPSEDYFVTLFIESFARTSSWMKPLEKLLHS AHKTVSTSDQTLSILDHQACKILRRVYHLQQHDKWSLRQPVRCLEPARPASHQDLLIK EMKWMRTDFREERKWKRAVARNLAYACAEWYYSSPADRKLLQVDAKIPPVRAVDNADT SMADAPETGESLVPELDHSDSPVGNDEEVPELPITTIAPATIFALQDDEVVFELQPSR TADLLLENLPMYGSPLKVPKFDWIIPDYDPDAKWKRPAVPLSKYVEGEMVLDVKPRPQ KRSRFQFQGEDEEEEEEYVFGAQPDKGAKLPPASTDVALFAPEMKLTRDRLHAGHQFR PPSEHPMPVQSFFESRIASQWTLAEDDQLRALVREYSYNWSLISSMISSRSSFPSAVE RRTPWECFERWVNLEGLPSDFAKTPYFKAYQARIDAAGRTILQHNQNAAQGQQVGPNG AVAPIPRKRPTNTMRVERRRNQKHLALFDAMRKLAKKREAAAQKQQAQATMTAMRKTN EQQRQQPQQQLHLQAKTPQEYSLMRAARDQQIAEKMAHLAARQHEIIQKRLLTQRQAQ MAATPGVAQVPQTAAQLAATNSLNNAAARLNISGQMAVTAQKLAPGRVPMQAPAGIPT VPAQLAASGLVPPLPVAAIPQAQLQAMQAQHRLPMVNPTPDINLVMQARRIQDQQRAV AVQLQQQQHQQHQHQQHPQQTQQQHQVQQQVVPQQQQHQPQQQQQQQQQQQPQQQQQQ LQQQQQQPQHQQVQTPQPAQQQSQQPQVTQQPPPVPQVQINGVQGSPTPMRPVVNSLN NGVYMSSVSAQAMMASFNAANSVAGMVTSPGAGLSMPMLPAGSPRGPQIPAQQLPYTH IHTRLKEFETHFRNKNPGATQDQIRQMATEHLGRLIVQTQQHAMNAAAGGVGHSLGTV ATTTSPHQYAQLLRAQQQAQAQQAQQQQQAPQPAQSQLTPAQVAQAQAAAAQKQKQAA AAAAQAQVLAQAQMQTQAQAHQPQQPQAQPHVQAQAMAAAQLQAQVQLQAQAAAQKQA AQAHPQAQSQGQGQPPQQTQRAHQVQQVQGQQAHQLPQGPQSQQIQQQVQQSPQARQQ SQQPQMVRQPVQQAQQPQQIQQPQQSQKTPQMQPQQQVQTPHQQAQKAQQSQQAQLAQ QQQHQQQQQQHGQAQSQGQIQGQGQALGQGQAQGQVQGQIQGQVQGQAPGQVQPQHTQ HSRHTPNAQHAQHTQQAQHARNAQQAHHTQQVQQAQHVQQPQGLQGQRHGQVHGNGQQ LHQQHQHQQQQPQQAQQTQQQRQQVHPAPQLPQAQQAQQAQLPQHAHQAQAQQPQTQQ AQAPQAQAQQPQPPQQAQAQQVQQPQQAKLTQQAQGQQARAQQAQVQQAQVQQAQAQQ AQAQQAQAQQAQQAQQAQAQAQAQSHGQMQPQTQPQQQPQPQPQSQSQPQAHQPQQAS QQVQHGLVGQEGQQNQQGQQGQQSHQVLLAQQPQVQQAQQPVATPQPASQTSQNSQPA QQAGMAQQQQGQGSGRAAPAPTK NEUTE1DRAFT_80971 MANKRKRDNAPESEPVGKTEQQSTKKVKAPAPTKAARASSNSST SATPFKVGNTSPITIQVVAGSYDRVLHGITATVTPTTTTTTTATPSKNNKKKAAAAES KTSYKVSFADTFLFNAHASAIRCLALSPPSAPTPGQKGGQKILLATGATDERINIYNI SAHPPSAKAADDQKLLNSITPRPVLENPKNRELGTLLHHSSNITRLVFPNRSKLLSAS EDSTCPIPKAQGRPSGDTAALGAVPAGVNDFAVHPSNKIMISVSKGERSMRLWNLETG KKSRVLNFPKDVLMEIGEGKHSTGEARRIVWGEDEFAVTFDRDVLVFGMDCRPRCRVM REDVVGTKMTKVHEIKYVSLGKEEGQEEEKHVLAVATEDGRILFFDTAESELIEPPAP KEEEQQHKTKLFEAKTPNAKLIAQLGGKTAGVSGRIKDFTILPVEDEESGKRSWFVAS AGSDGRIRIWRLESGDLRVRKEEEGVKAGRQVGELLGTYETENRITCLGAFVMIPRPE GSVESEYEFDSEESEDEESDDE NEUTE1DRAFT_80974 MPSSVIESHGVPGVAAFSAFLENLLARAEAVKPTASIEPPLDKA DFQNLQTQLNEALGVEPSQASEVNDAKRTQRHAIIETAVRDTFSNLIATTSIDSPDFA RVWNLFDILSILSDDEQCDPALLLWLVEELLDSQTIAGCRKVFDFLESRRERITAKHF GQKKLVILRTCNELLRRLSRALDPAFSGRVFIYLFQSFPLGDRSSVNLRGEYHVENVT TWDQEPTKTEDASADRMDVDTETQGESAQKTGEQRSTPKPGATDAKKQQDKALDLEAL YPIFWSLQESFNQPKKLFEPAQFASFKSGLEATMATFQSIKSDESKRALKRKRGEGED DELANGFNPKYLTSRDLFKLEIGDLALRRNVLVQALIIMEFLLSLSPKGKEKIASIKA PNKSVSHSDQQLSEEDTHWVLDMKKKIADYLKLGPEGPYFYRMIETVLSRDKNWVHWK VEGCPPIEMPAVSPQAFLDAKIAAGKLATAKKMRDAPMGSMNWDFLQDEDPDEAWKKL ENPERYQQPDLKTLERKLEDAKFDIEMARDSKEKQRAIEAKNSLTWVALRVASRSKLA MFDDIDSDDNIDVIFQDKPKEPEPQEAEDSTAAADAVFPDDRKPIVVVDVSRTSKLSV AKRLASQHPGVFTRVPAHITRKPQEGEKEGQDYYFVDTQTFNMMRDGDQLIEFTEGDY SQGTSRKHIQAVSEAGKVAIMEMSHDSAQQVKDWDFSARFICIEPPSPEILEAQLREN GSGLEGNEDQIQAILKDAAELAKQQKSPDFYESVIDGGDDWTALEVAVYGKAITTTNG NGSTEEDNENREPENGDVSMSAVEGASKKEPATTTTAGGIDEDVQMTDAASAPET NEUTE1DRAFT_109669 MAEYKIAFPRYLQLIADRDPGSDPYRHSRNWNIDFQISKHTSNA VGRISRPLHATTTLSHIYPKMPPAKRVKSSANADKGPAAGSGRPTTQDLEGDSEFANL ARQHWLKATKRADDVKVKVKNDVLKSEIWDALEKDGFPLKSLLVLEGLQTLERHVSPS PKRFNAKRRERLDAWGLFNDRPADFSDLFRRALSMTLDDSLSWSIRTQVLQFIIYAFE SLDCTIVRKECAPLVSISIWHNLSTEEKRNELLDSNTQLRKTWRASAKRYDAADDAGK ARLRFERAWLYSSVLEFFRVLYTQNAKQDQVMYCERFLEFLIDVLSQLPTRRYANTLL QDLHILPALRLSPMYNDEGNGLLRDLAKLLSHFVYFTVDDQTGVQYSKTEAYDQHCAR LAKLQRTSLKHFKDKLTVLALSNYGSIDKRSDLFGLLDVLTDEELTELSRLLGMRISY PESSTLVVDRRFLVEVLLSTFERHKTFQEEAAELSVLPTEETLFETGLRRTDHYDGSR PLALPKVNLQYLSVGDFLWRSLTLYRCEAFYAIRQDIEAALARLKPEARRTGETVFTG FSRMALPISKPTILDVVPPLVGTDVPSTVKAEVTIDLRRLTEHVRRDWEALRPDDVLF LVEVNASKAKNVENGGAPLSEAERLGLVTVRTAEVIQIMDDRGRAVRDAQAYFENHNR SYARKIQVRLDAHAYKRDSEGKRNVYDGINLIVRRSGRENNFKPVLESIRDLTLSEVP LAPWMHDVFLGYGDPAGATYKNLPNRIKKLDYRDTFLDWQHLIESLPGKNVQPSEDVS GTINPPFVLETVEKPAEEGASKPSKKRRRDAEPALISEVETVKVSSYKPPNNGPYPID APKLNSVRFTPKQVEAIISGSQPGLTVVVGPPGTGKTDVATQIINNIYHNFPEQKTLL IAHSNQALNQLFAKIVALDIDERHLLRLGHGEEDLETEGSFSKHGRVESFLENRDRYL LEVNRLAASIGAPGAHGNSAETAGYFNKVYVQPAWAKFSELAKAEDATAEDIVKAFPF HYYFADAPQPLFPPDADVDTVREIANGCYRHISKIFTELADVMPFEILRRDRDKENYL LTNEARIIAMTATHAAMRRGKIAALGFHYDNVVMEEAAQITEIENFIPFAMQKPKDGK VPLQRIVLCGDHYQNSPVIQSLAFRHYANLEQSLFSRLVRLGVPTINLDLQGRARPSI SSLYKWRYPSLNDLPHTMTATEFLTANAGFRYDYQFIDVPDYKGQGESEPTPHFIQNL GEAEYAVAIFMYMRLLGYPAEKISILATYAGQRALIKDVLGHRCARNPIFGLPRIVTT VDKYQGEQNDYIILSLTRTSRVGYLRDIRRLTVALSRARLGLYILGRRSVFESCYELR EAFELLLQRPDKLTLVTGELWPSQRVLADEVGKEGLEGETVMEGMEHLGQYVFEMTKT RIEQLKEEGKQLPAPDESEAQVEAMAAEGEEGAEVVELAQPDEEEEEAVRVEGFEAEE E NEUTE1DRAFT_18695 MEQPTNKTRRQNKGLSRPGQDTGMRKSPCPSPHSSEPFPSICPC RHLGVGRWALCIGFCNRKLVRSIQDEDVPAS NEUTE1DRAFT_146040 MTSSASQQNQNPAQPPSAPAATSYASAAGAKKPTSTPLIATGSQ PPVVVGSSAQNGKPQAAPVNGRPNITPAVPIARGSSGLNGASADHSRKSSVTISAAPP SHNANGGPVGGAKAIQFGFDESPAITHSTPHAAGSVPIPIPGSNARVPSPAASPSPIP QVPQQSGGQRAPSNISAPMTFGSFPGDNDRHMKQHSVSHSNGPVHTRRDSQASAHGET VGHMASHRGGYQGQGRGRGGYNNSYNNPNMGFPPTRSYNGPQHNAGRGGMNNFQGRGG MQQYPNSPQPHRSSPAPAHAVPTHGTPTMASASLAPTQPPFYPGMNMYQQQVKPPSFC NDYSSFKPVNKKNKGTRRDSDSIHPRHPNPSQQKSSSSDDSTQKPRRQSKRWASKADG YQEVDGGPPLPEYSNAPPARYDFVPLRSVPMFSPPSLGIDLSPESGQFENVLTKQKQV PGYPAPMDYRGPVFNPYSQPMPYMGQPVPHFQPAYVPPTFVPQGQPVAQPMSRNASQV SDRPASSTGQVQAPVVAQSTPTQRPAQAAPAIVSSNFARPKKSAGITIKDPNGNPLDL SAIKGPVSPAPSIQQQSKTPPVVASTPTPPPAKPTAPSHGRTESTTGKTAEQLRDEFK NAVQKAKTEAPADNKTNEEEAAKAAAEKAAAEKEAADKAAAEKEAADKEAAEKAAAEK AAAEKEAADKAAAEKEAAEKKAAEEAKAKEEAEAKAKADADASAAAAAAAKKPEAKEE SEIDYNNLDFAKLTDKELNNIDHSKLSDEQMDAYFNELEERDARREKEQAEISKKKAA EAEEAKKKAEAERLANAAENDRKLREQEREMERLEEERERKRKERESGQGESVADLLT KEADDKKETDAASKTLGKNKPAALNLAPLNTKSVEAPQPSAALQSLRSARLLPGVQYD IYPAGIASPNPALNQAVSKKGKMFKYDANFLLQFQTVFTEQPSPEFHQQVKSLIGDSD GGRSASARTPGAGSQRGRGGASGGGFPAMGQFSGKPALPPGTTSAERFAMSQQAGGMG SLGRPGAMGTMAFGRQGTFPGNLSRTPSSTATPDSPRQGSRRKPREGYSKNEAQAAKN MPLTAGMEVKPITVSATGWKPMSIGTKKAEAPAPGHLDPETVQRKVKAALNKMTPEKF DKISDQILEIAHQSKNEQDGRTLRQVIQLTFEKATDEAHWASMYAKFCKRMLETMSPE IRDENIMDKQGNVVSGGALFRKYLLNRCQEEFERGWKVDLPKPKEGEEGDKKASEAVL MSDEYYIAAAAKRRGLGLVQFIGELFKLGMLTERIMHECVRKLLEFTGVPDEAEIESL TKLLRTVGGNLDSTEKGRPLMEVYFGRIQQIMDLPDLPSRLKFMLMDIVDLRRARWVS KEANKGPKTLEEIRAEAEAAAAAKAVENARTGQRGLGGRAASGRGDARGFPYNQSMTN NQVELGDLKRLKGNTSRSGSQGMSFGPPTSMFNSRSNSGRRQVGGPGGAFGRGGDDSG GSSRTASMRGNDSVSHANAFSLLADAGDHPGSPPSASASPALSKVTPDTTNNDEKKDE NEUTE1DRAFT_80982 MDQTSNGGTQEGPKIIRPIPRRPFSLASPPTISRKDESPSPAPG RDSNTSPQPRITAADLRFLLDPRSPFNSSASPAGSGAVTSGLDSSNLSRATSFRNLTS STLFGIFSDPGELGMAATTPSVSRSASNLRHGLYFGKQLQEETEDEDNVLGIAGDARD DENDEDEDENYQETITLRPKRQRRVSSITSTIPPFRGEPSSSDINSSNDNPVMGLLWS LLRTTLLFALGAGYGVLVTRLPNGDSVTGGYGWRYLTFWGAAGVVLGRLLPWFDQVWE ETFGKRTRMTKKEKERRRAAAAAEAAAALLQQAPPPSSRTFPRKTPVTTTLPVETDSN SGGVTSPPEADWPLVVRSIGAFVGIVFAIRRLPWASTMQVSITLALANPFLWYLIDRS KPGFLLSAAVGVTGSAILMGLGNPDMMPAPVAGAGYHDHHFLTTSGQPAMDVLNGTTS GLPRSAAARMSGMGGASSENAAVIETGIWMLSVLFCSCVCFGNIGRRLALNKSAASRG RWGGVR NEUTE1DRAFT_146042 MSRRVAKRDKYAELRELRQSGKKKTYDVGEIDELYEEVDENQYK KIVRDRLNEDDFVVDDNGEGYADDGREEWDRLPQYHSDSEEELGLPGSKPRKSKKQKE QEDAKRDANDRNITEYFTKGAVKAPPKQKVIKTEEDEKFLDDLIDQVTAQIPVPATRV SKKRDRSVERRKVRVLSPVREPRPPMAKRAKTVDDRASSPAGDDDLLPDDDYLPAMDD EPAPVSDYLMSDAIMPSSPAAKVATRRTFGRPEPKKNEDDEDEDEDMMEVAHTGAVTA ASVNISASRQIKKILKTEPVPASSSPARAPAPEVDAASWNEINQKLNVVSMSQSEGRS VGKIDYKDAIEEDGSLNMFWTDYTEVNGSLCLFGKVLNKKTKTYVSCFVKVDNILRKL FFLPRKYRVRGGEETTEEVEMMDVYNEVDEIMTKMNVGMHKIKACTRKYAFELPDVPK ESQYLKLFYPYTKPAMEPTHKGETFSHVFGTNTALFEQFVLWKNIMGPCWLKIEDADF TSLRNASHCKLEVLVEHPNMVSVPDNAESIEPPPLTLLSLAMRTAFNPKDNKQEILAV SGRVYFDVSLSDTTPPDKLASRSFTAVRPYGSAFPIGFETLAKERNRGVLKLFKQEHE ILNFLLAQIDVVDPDVILGHQLEGVDYSILLNRLHEKKTHQWSRLGRLRRSQWPSSIV KMGGNVFAERQIMSGRLLCDLANDAGKSVMTKCQTWSLTEMCSLYLGGESRRRDIDNE VALKTWANADKHGLMDYISHAETDTYFIAALALRTQILPLTKVLTNLAGNSWARTLTG TRAERNEYILLHEFHRNKYVVPDKQTFKGRQRIEEENAEEEGGEGGKKKDKYKGGLVF EPEKGLYDKFVLVMDFNSLYPSIIQEYNICFTTVDRTSLSEDDDAVPEVPKEQAQGIL PKLIATLVSRRRQVKSLMKDKNATPEELATWDIKQLALKLTANSMYGCLGYTKSRFYA RPLAVLTTYKGREILRSTKELAESNSLQVIYGDTDSVMINANKDNVAEALRVGHEFKK AVNERYRLLEIDIDNVFRRILLQAKKKYAAINMVEVNGKWVEKMEVKGLDMKRREYCG LSKEISNRILTEILSGDDTEVSVQRIHEYLRDISAKMRDGAVPVQKYIISTQLGKAPK DYPNADSMPQVQVALRELAKGKTIRKGDVISYIITGDSKTTSEAVAKRAYTPQDVLKA ESGLSPDVEWYIGKQIFPPVERLCANIVGTSTSQLAENLGLDVRRYSSNTNNNSSGPQ DLEIHPLESQIPDSLRFQSCARLSLRCRKCKTSHSFEGLASPSSINNVTPSGIQCPSC QYVLPTLSVVAQVEAAVRAQTARYYEGWLVCDDSSCGNRTRQISVYGTRCLGSKGLAR GECGGRMRYEYTERDIYNQLVYFASLWDVDKAKSKAKENNDEDADAAKLSAEERERVA IMAEHNRVRFGTVKGVVEKYLDKCGRRWVAMDTLFAKLGFVPQ NEUTE1DRAFT_80986 MFVCRACLRKGLAPGALARINVPAVGSRLALPRLGALTPSQSTT AIRSYHLPALKPKTATDPSVTLDLEAPEGEEDGDGSEGKRKKRDLSDEQRKKLERSAA LQLKHFKDPFHIEKQVKLTLDKGKYEEALVLTRKASKNAKVQVSWNHLIDYHMKNKRL SAAIKIYNEMKKRAQVPDARTYTIIFRGCAMSEHPKQAVAEAVKIYHSLLRNERVKPT TIHLNAVLETCARAGDLDSMFTTVQTANESTRKPDNLTYTIIFNALRAQFNPRLPLDR DPMQRDQEDINREKQEAINRGLQIWEDVQRQWKKANLMIDEELVCSFGRLLTLGTKED NERVFELLSSTMQLPRFHAGEKAAAQVTAKAVDTTTTPVVSPEAASPEATASEAPETT PTKTTPSDSTTPTVQPTETKPNLYAKPGNNTLSLILSVLSNTRRTSLAQKYWDLLTSP PYSIKPDKDNYFRYLRVLQIGRGSAATAAVLQSMPAEFITPMILKTAFTTCIRDELNP HAFSPHACTIFDVMTKKTRYPDAIAMRLFLQSAQGNFRHIKKMAETDPLGSRQAMGKQ IMLALDKMWQPYRIMANQYSYPIGSRVQAQSPEDAWKAVRAHMSECVVTARRMISAID KVMLNSMCADDEILKVLKRRRVILQNMVERYVAKDGDMMGRWREKMVERYGEAGLKKR EAEKKGVSNAGEEYEFGQPKPNEFERNLNKKKEEAVAANEKRKAEQAQRPREEEEENE EDEDEEEWEDITESRYPRDKTRSLRNELRRDEKSSSKYGYQQTSNNSSSWSRESQGGK WDRDSQQDRWGSSGRKPSW NEUTE1DRAFT_121858 MVRTTAISLPYSAQRPESIVFESFKPSLSFPPLAPTTPPTTATE FTAKPDPATAPSPSRELAFALPAAMEQQWQPYSDSAASGSSRRYNNGSGQMSMSPRDY ASNGQVQAQQPPPAGYKYDPYQAGLNPSAQPQSSISPMTSSQSRDANGDVAMQDAHDP YSSQNPTIKYPLRPHHSLSGGRPASLSTSQEPSAAAQRYSPMEALSPASQYPKGSQFS PAQRQSPTRPNEYAPPQSQQQQQPQQQQQQQNPYYTSRQASQQLPPINPYAPAQHDHS HPNSAISSTMDGSYMDPKSPPRRMNSQSQPMPMPDRTPVPEFRKIRGPQDLRPKINKQ PAHRRANPEGGFISPLQALTVHLPATYRICNPGFKYESSRNPRRVLTKPSKGVKNDGY DNEDSDYILYVNDILGSEEAGHKNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVIK NRTAYFNQSMMEVSVLDLLNTKLDKNDDHHLLRLKDTFIHRQHLCLVFELLSVNLYEL IKQNQFRGLSTTLVRVFAQQLLNGLSLLNKARLIHCDLKPENILLKNLESPIIKIIDF GSACDERQTVYTYIQSRFYRSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSE YNQVSRIVEMLGNPPNWMIEMGKQAGEFFEKRQDEFGRKTYHLKSMEQYSREHGTKEQ PSKKYFQANTLPEIIKTYPMPRKNMKQSEIDREMNNRIAFIDFVRGLLTINPLERWSP QQAKLHPFITQSKFTGPFVPPMNLKSSSLNRSPAPGTQQQIQAEAFSKQKAQQAQANA IAANQAQNPYGSMATGQQYPQQTHTQPPPLYSNNNIYAPGGSSSHASAPPPYGSQQGA YPQQGMPQQQQPQVQQVQMPPANYAGVSQSNLYAQQQAAAAARQRQRSSTMEQQQSGI PVSIQRVASHLDPTQPIRLQPSPAYYPPPPDGLMGMDSQPSQRMPRRGSRAQASGRGQ GNNRDFIRNLEERTLEEGFMGGNGGGQGQSQWH NEUTE1DRAFT_43462 MDPKGLTMNAFGANGWSSIDPSLNVKLQQQQQQQQQQHHAIPHH QYSIRRKKRGSFLTNLV NEUTE1DRAFT_129383 MADVGPPPTTGQLPPPPQPNAGAPGYENGQNNNANSAHMPPPPL HIPQNTNPIPTAITSPMGGNGDTSGIMSPTSAGNPFGRRAAPEPNKRALYVGGLDPRV TEDVLRQIFETTGHVQNVKIIPDKNVGKPGSEQPRDEKQQKGYNYGFVEYDDPGAAER AMQTLNGRRVHQSEIRVNWAYQSNNQNKEDTSGHFHIFVGDLSNEVNDEVLLQAFSAF GSVSEARVMWDMKTGRSRGYGFVAFRDRPDAEKALSSMDGEWLGSRAIRCNWANQKGQ PSIAQQQAMQQMGLTPTTPYGHHHFPTHGVHSYDMIVAQTPAWQTTCYVGNLTPYTTQ NDLVPLFQNFGYVVESRFQADRGFAFIKMDTHENAAMAICQLNGYQVNGRPLKCSWGK DKTPNPQQFDPNQPYSPQSAQTPGYPGTPSTYFNNYGNSYPGQQGNYNGPQAQSPAGY GSQMGYNGPPSAGGYGRGQPGPNAQWNQPSPAQNFGNGFGGYQG NEUTE1DRAFT_121860 MTAPAPTRPNLPNLNLDELDRLYNNVLVETGKIFKVLAKEGGSS VAELPVANTSRIQYNVEEFNAALDEVESEILQAKAAILRDLNKAREKRNPPPVPVPAP KPASLPAPTAPMAPKAPMAPMAPMTVGIPAGPSPPQPQPGKPPLNKSVAPIPDMGLDL TASPVAKHSPSPKLVKTNPKNSPRPGAARPVSAPPKKDSKVPPGPASRLAHAANAASQ APRPASAAPQAPHQVHNTKSAVLQGGNLGSGPTVPPNHINISNPPPQQAMPGPQPPQA TQGPQGAFHAPPSAAAKAPAPGAEALFTNMTFSLAPAPGEASKQNHQPAANMTNATNP PAPNVSHQAPAPPNANINNATRNGAGGEDANMSNAEIFDLGSGSMDNMEDINYDLGGE GGDNSNFNDLYFSSGGDDTTQNTEFDGSNFYNF NEUTE1DRAFT_62314 MTEMYTQPPASSATASLQDRRVSVATPPSSIPMPPPPTGARLRS GLAGETYSPVNQNGSFEFDRVIKSGYVQKRTSKTKAWRTIYLVLRPNTLSIYKSDKEE KLRHKIYLSDLTAVTFLKDPKQKRPNVFGLFSPAKNFHFQAPTLQDAQEWVDLIRKDA RIEEEEEELFLASPPPRRQSDLNRDFAAAADRERLASSSPEPLEPPVRILVSPGGRRS SAIESSGMSGTELASHSDFSDSEFQRIPGPTIESLTVRSPSVSQARVRAAQAQGAPMT PGAMNISQSSGINVEQDPDRIIWQGWLRFLRSKRGVKQWKKSWAVLRPRNLILYKDES EYSVLFVVAFSSIVNVVDIDPLSKSKAHCMQIITDEKSYRFCAQNEEELVQCLGAFKS LLAKRRELESKAAASTANATTDQQPRLSA NEUTE1DRAFT_80998 MVCPNMHYQIAWSTLGEFPTFEPASLSLWDYIRALEESKDLEST VPAAANPLRYDIGNWLGHFHNWGSEEYVVEKLQGIARDPDVVDQHCNSISKKLKEAIH QLPKGTHGAQKNLDKVLSLIRANYAIPGKKRAFQILNGNFDLVSLHIMYFPLDKRDHP KHVPSIYVADWRDFREGHPAFDLGSLMADLVVLYEQNTTLAGDVAQGFTQGYFAKVKN SSCLNNKFMFHVLVHIGVCMIIRCRGLLEESDDTGKDKNTGKSKTTAKSKNTAKDKNN GNQGGNGNGNGTDNDHGNPKHPTNDKRVRGLFKYGLMIIRHAILEDRKWFLDRPLLCH LFDVSYSKDHPGPDKWELARRSSAEKSGDQVVDICFQKFISQKCIKQIGLLNKDVRSS SSQSLSAYHLSQKNSGPPQASSRRYLLGLSLGASSAALLELLNENVEFQLSKGRNAPF ELQVVHVSGAGAGAGAGAGAGGGLLEDDGKGQGKGRTTTTTEREKVEEVVVKRLGSRY PRFEFRVVYLEEVVGLETVDWEGLGLGDFVSSANEGGRDDENDLTTTTTTTTTTTTKA EKLQQLFDNLPSTTSRTDLLRLFTRHLLIAEARKSHCHALLLGSSTTALAELTLSETA KGRGFSLPWQINDGVLGVPSFSPSSPSSPSSADAGKTKTEETGMLVYHPLRDALRKEL VTFTKLAGEPTPIADLLPETDSSTTTAAVVSHKDLSIDEVMVRYFAEVEENYPSIVAN VARTTGKLMRLFGGAGVADEDGDGEGGKAAGEDESEDNDEERLCGLCSMPLDVLGDER WKGELGEDSYRDALVVDEGARKMKQRICYGCERSIRG NEUTE1DRAFT_62320 MGKDRKVADDFQKLIQADREKKKNEALAARIFNRTSTPVSTPKQ TTGGSLASRTGVKKQRGGGPQSAPSKNNNERVGSWTVDLGPGSRSANNTPKSGGLAAR ITNPNAGPAGNPRQKRRAAQMAEAIIRNEFQEQSDQRRQQGQQRRQQHQQQHQAPANA PKGPAAASVPTGPSSKGFTIRGLAGPFAVMAQNFAPGTTAADIENAMTPIGGLILSCR IMKHHPIVIAEIVFESKEGADNVIATFDKQTADGRVLSVYHKPSGPTYPLTSQLPPSR APTGPRSQRSNDYYPEDDLIDGTLGFEDAMEEDDLMANGNGRQPPRGPAAMNGGGTGG LYSDQLVRNRKGRGFNGNGGRY NEUTE1DRAFT_62324 MTTPTQSRGHAGHSHGLGHHHHHHDNVYLTSRNKADAGVRITRL GLYSNLGMAIAKGLGGYAFNSQSMVADAWHSLTDLASDILTLATVSWSLRPPTENFPL GFGKVESLGSLGVSSMLLFGGLFMCMNSCTSLYAHFFLDPAAAAQLLEHAHGHGHGHH HHHGVSAEGPSLHAAWLAAGTVAVKEWLYHATMKVARERKSSVLASNAVHHRVDSLTG IVTLAAILGANFLHNASWLDPVGGLLISLLVIKAGYSNTVSALYELADRSVDDEVKHS VRKQCLRALQGVSEGHEVEVVEITGVKSGQNYLLDIELAVPNMWTVEDVREVEDAVRT QVGAKVRGVRKVRIRFVPKEDGAAKIKKFDEFIPGDVSPKTSPEPEEEEVVVGEGEEH GHDHDHEHHKHK NEUTE1DRAFT_41464 MTGKGKSRWADSAEDAALEAQLKKEKEEKKRLKAEKARKAEAEK KKREAEAAAAAQRQQQQQQTGDDDDANGPPAKRRRLSPDRDVAAAPTASSNDSSKLLR FEGGRFGKCRSVENYDKLNDIEEGAYGWVARAREIETGKVVALKRLKIDPKDRSGLPV TGLREIQILKDCSHRNIVKLKEVVVGDDTSKIENIFIVLEFLEHDLKSILEDMPEPFL ASEVKTLLLQLCSGIAYLHSHYILHRDLKTSNLLLNNRGQLKIADFGMARYVPDPPPP KLTQLVVTLWYRAPELLLGAARYGPEIDMWSVGCIFGELLTREPLLQGKNEVDELTKI FELCGLPTDESWPGFRRLPNARSLRLPSSSSSSSSSKPPSTGALIRAKFPLLTAAGVS LLASLLSLNPSRRPTASEMLEHEYFRQDPKPKQEAMFPTFPSKAGQEKRRRRETPNAP QRGQKVQDLGQVDFSSLGGIFGSGREREERGGGFSLRMV NEUTE1DRAFT_62326 MRTSLSVGQLAVALLSLSPIASASGLWPRFLPEIDSLVVRADPE PASSEAPAPTATAKDTAKPTGSASDNQDATTTAPPTTTKKGGPISTNYNTGLLSESDS GTGTGTGTKTKTGTKATTTKHKTFDPQDPPGSITMITPSAFAGSQLYKIGDYITWGWN YTNVQATPTAVDVLVSCSDASATWTLTQNMTYATPGSFTWDTQAYQTQNVENPLLVAK YTLIIYDSDSSLTATSEAGYLAPFAGFTFTLYTPQPYTPLSSGWVCATCSGGVSDIDK RAFGAVVAMSVVTVFSFTWFVTGFGGLI NEUTE1DRAFT_129390 MESHITIDRAYYEVLVRRAQFNSEAFNRNHGQGVTLPQAEYDNL NLIARQYENLKRNLMRGGVVEETIDLLSQDDATIQDGLVPETSQHQREATHKSATDVG TAPTQTSPPGLDKPRTFRPRPQHDERHNSYSGYSGHNEGYHYGGRGAWAEVDEVEPDD EDSFCDEVYSPDGPSVPEGVSEVKPQMKKQQFNRQCTRTVQLYNLSESTTHADITNAV RGGMLLDVFLRAHDRYATVSFLHAADARKFFEHVRKNDLYIKNKRVDIKWCDRQFVLP GHIAGKIAQGATRNLVIRRCGPKVSEEGIREDLDHIHNLAVIKIELTGGNCYISLNAV HMAVYAKTCMMSRLKYKTFRIDWDVDECAQPYDPLPAPKQPKEVNLPKPAAPANRFQL LNLDDDGEDEIAAAFQSKKRVGIAV NEUTE1DRAFT_81012 MSTTAGAFIAGGIAACGAVTATHPFETVKIRMQLQGELQSSTSS PHFYRGPIHGVSVIVRNEGLGGIYRGIGCAYIYQILLNGCRLGFYEPMRHALATTLFN DAKTQNLGINMFAGAASGIMGAMAGSPFFLAKTRLQSYSPFLPVGTQHQYKNAWDGLT KIYKGEGVKGLYRGVGAAMIRTGFGSSVQLPTYFFAKRRLVKHLGMEEGPALHLASST ASGFVVCVVMHPPDTIMSRLYNQNGNLYKGVFDCLAKTIRAEGFFAIYKGVIPHLTRI LPHTILTLSLAEQTTKLVKKLEDKILGPETRVL NEUTE1DRAFT_121868 MSSTPMDIDEPGAGTPSLNVTRLLGPNGPSTSKISEVIKNFRPT KFFQRDDVKEGRPQPYVLSIDFDDPGELCMTSESDETIQIYNVREGRHDKSLLSKKYG VKHAKFTHSSSGIIYASTKQNDAIRYLATHDNTFIRYFEGHEASVTNIALHPGSDNFL SCSLDTTVRLWDLNSRNWVAKFHLTNPTLAAWDPAGLVFAIALPASASILLYDYRNYV KPFKIIDVLKEKGPADADAVFRGWTKLEFSNDGKHILLGTRTGGHFLFDAIDGNLKAY LRKPQGGTRRPAPGEADGGSGALESSGDCCFTPDGRYVVSGAAKDLLVWDTMQTPNSK VLEPNFLPQEGKKEPVLVQYNPRFNMIATADKELMFWLPVSDL NEUTE1DRAFT_129393 MPYYEKSEDWLHQSALLLQARPQTTRVTTSYSLRPAIRPSKAEK LAAKEARRAKEKETSSKKTTEGEPPKDAAAAAAKPPRGHLVLKTFDPHSGVCLKYKTS KAAEVGRLIQMLGQLGRRMAALPVDEAKESADVVMADAAAAAAEEGAAAAAAAAVSGT ATPVAGASTPAPAAGGGGQGKKKKKGKR NEUTE1DRAFT_116815 MSVQTSPSKQVTSGIQNLNMDSPAKKLDFGATDKENKPFDEDLA KLEAEIDAEHNANKKAAEAKKMAPTLKPEEANEPLLTENPQRFVLFPIKYHEIWQMYK KAEASFWTAEEIDLSKDLHDWNNRLNDDEKFFISHILAFFAASDGIVNENLVERFSGE VQIPEARCFYGFQIMMENIHSETYSLLIDTYIKEPSQRTYLFNAIDTIPCIRKKADWA LRWITDKSSTFAQRLVAFAAVEGIFFSGAFASIFWLKKRGLMPGLTFSNELISRDEGL HTDFACLLFSHLNNRPSKQLIQEIIVDAVRIEQEFLTEALPCALLGMNADLMKQYIEF VADRLLVALGNEKIYRSTNPFDFMENISLGGKTNFFEKRVGDYQKAGVMNSTKKVDAD AEVVKNENGGDFTFDEDF NEUTE1DRAFT_146057 MNVLISILGKRALKSLDTDKIKPNSDNPYEERVPVYKNGQIVKY RTQERPIPVELSSNDQAILKTVRKRAYKWDMGFKCCCVPVRFGWSFFIGLIPILGDFA DALMALWLVKKASKIDGGLPATLYAKMISNIMFDFAIGLIPIVGDIVDALYRANTRNA WLLHVYLMAKADAVRNGKVSDPDTGETIHLLGNGTGNGVLQPSTRPAQSTSAPKTTHG RGWGWNRGGGRTAEPDLESGIVEARPERELRDSHGGRGDGRGGSTRDSRSQGRR NEUTE1DRAFT_146058 MTESQLKLEDWLDDLCVRFIINLPQEDLRSVERICFQVEEAQWF YEDFIRPLDDRLPLMSLRTFCLRIFAHCPLLSTFTVGEHTQAFERFLQYKTRIPVRGA IMLNEAMDHAVLVKGWKKNANWSFPRGKINKDEDDLDCAIREVYEETGFDIREAGLVP KPEDVKFIEITIRNQQLRLYVFRNVPMDTVFQPKTRKEISKVEWYRLSDLPAFRKKGN QQQDAAAAAANANKFYMVAPFLGPLKKWVSQQKKKDALRAGQNPHLAAAAQLEEPLTE DDMGTQTETMGEVPATSSKDTLEGANRELRRLLSVQSSTVGSQPPVGMHAAASNTDKG GALMALLKKREAEAPQQAQATRHHVPHTPLDLTYQNPPEPDTPHHHHIAQRLPVPNFQ PPPNFPVPPQANQNPNAGYHNQPQPPLPGYGYGQDQYMNVPPSQRKEPVLLHPQPLPP QVQQSMLTRGILGVATPNLPDTTGQRHQQPLQASLKAFLTHSRLDPTQGQMAPNPHPP QLTNQAMSLLNVFKGDSVHSGHDRGLGVNTQENVHPQMADQPRQQPPSSWQHGQQPNP AGQYYGQHAPQVTQPPSVNSVPHPGPGGFPAGAAPRPIQPADSHRNALLDIFKKTGPA SPSGSDMAPKLHTAGRPDLATRPDSASQYNVGPSTQGPGCTAGASTEAAPTNAEHTLP YRPQILARPKAQEAQELTPAQLRSYAVPASTVLQDLKNQVYPNLPSAKDRLLRQQAEA RANKSPVANLAATAGQPYNQDRSPQAAHVAPSFQQYQNQASQVPNVLQQYQQRHGGDS PNPEQVNKLLSLFGKHQPSPPVSFSQPSPAGSMGSRHVEEKLKMKEPVMLAQARSRVP SFASTSGGELAVPQGHDQGSRPTSSGGSQTPISPTDRNFLLSYLKSIPGPR NEUTE1DRAFT_146059 MSEGPLYLGFDLSTQQLKAIVIQSDLSVVSSAKVDFDGDFGAKY GIKKGVQVNKVDGEVFAPVAMWLEALDLVLQRLQEAKTPLNRIRGISGSCQQHGSVYW SREAEKLLAELQADKQRGDLVDQLKGSFSHPYAPNWQDHSTQAECDKFDEALGTAERL AHATGSAAHHRFTGPQIMRLRRKLPDMYASTSRISLVSSFLASLFIGSVAPMDISDVC GMNLWDIPSNTWSETLLALAAGGSTEGAADLKAKLGEVRLDGGGSMGKISPYFVGKYG FSSDCEIAPFTGDNPATILALPLRPLDAIVSLGTSTTFLMITPVYKPDPSYHFFNHPT TPGQYMFMLCYKNGGLAREKVRDSLPAPSNSSADPWETFNQHALSTPPLDVSSPATDK AKLGLYFYLPEIVPNISAGTWRYECSATDGSDLQPVSQPWPVEKDARIIVESQALSMR LRSQNLVSTPPSTPSGTSSSSSSSALPAQPRRIYLVGGGSLNPAIARIMGDVLGGVDG VYKLDVGGNACALGGAYKAVWAFERRDETETFDELIGKRWKEEGAIRKVDEGYKRGVF EGYGNVLGAFGEMEGKVLEVARNK NEUTE1DRAFT_81029 MPGHRRTRDNGGGGMILGPVSYLDCIVFCIFLAPQLIWNVGLLP TIKCILEALPFVLFKIPIQFIYERWLSSSDKSPFVRKASPFEDFVIRCVRYAFANIPP KIGRVFFSKRVALPFLKFRMLRHGYLRSPMHWREHVTANFRGIWVIKDPLATPDFVLY YAHGGGFSLGSAYFYLEFLLSWASVLSSSGFKNPAIFALDYTLVPDASYPTQIQEAIE GYRYVLKVARDPAIVCVSGDSAGATLILSLLLHLSCKDASAKRYGVGLPMPGLAVLIS PWTTLVSSRHTNTTSDYLNAEQLSTYGKQFAGNKIKWSDPLVSPGCCHDAAWWRRASP SNGIFITYGEEEVFSPEIHDLIARLNEAGLRVGSEVEPGGIHAWPVASLFLSTAREKR LKGVNGLTMEIRKRLSTSDAAKPR NEUTE1DRAFT_129398 MSGIGASSYAPRSPDLSSLYSSAGPTHQHLQTHAEVLQVPGPKP LSQQTLFSPQHQHHHLQAQSQPHLQPLPTTTTATQPFGLSTNTYQDHRASQYWQNPSQ YLPPRSQPDNSFSTNHYSQPQQSERPPRIPFTNNHATGVWNAQQQASQRDLHQLQNQH QYKYNQQQPQQPLQQQAYPQLFSHTQPQEHQQQQRLTRSQAQRPLQPLPSHTSNPNPP PAPEQTNIQAASSVAAQTTNTMPPKRAVAQAAQQPQPSPIQPSLVKTKFPTARIKRIM QADEEVGKVAQQTPIAVGKALELFMVQLVTKSADIARERNSKRVSAQMLKQVVESDDQ WDFLREITSKIENDDKEKPAASASGRGRTKAESESEEEAPPEKKGRGGAGRGGGRGRK KSTA NEUTE1DRAFT_121876 MSTQGCPPPASLPPLPDEASVPFSSLFDNFDLATVDSTVQFANR GIFIASDGRRYLFQSIPESDTPTASPTKSTAPSSIQPVTSPRSPHELHGHNNASPMGR QMSNASSRSQASSFRTAHSERYNVSPAALDLVVGPPPAITQDVTNMMSHLNINSHFNG AAPYFGESDGGVGLAEVLTERDTDPWIPESPVDNRPVSPRTASNQHCLESHHSHNQST YMRTSTLTAGMSTPAQSIQTAGHQVDGINYGGFVTGANFASSQGPRRQAPPTGPVLES LMEFSYPQYPASPASPIRHSSMPYSPTSPVLAPDYEKEFVDSDGAYVYSSSPHSVVHV PSSPHHDRGRGGSGSGVFVGAAQNNLVNIGTSTNQIDTRTAIVVVPERHDSSFSSDIK SAPTLASSAFEDGELKIFRNTTTKDLRFHCRVGTYSETFWIKARNAQLIPVYAYDPRF LNVICLHDKETEKGNPGYLRSSPIPGGTGNGHPNGIYQFPRLENLCNFQAQLTGEKVL LDISNVKLIRLSKANNGANDTYSSVRLQIWHEDPDSTSRRRGMQSDKASFVTAGTALS GPLRDKQVASSSKLIVYLGRLGEYVQTFITDDIEVKAEGQTKVKLRPRKAGVFSREGS RWKGIKAHIEQRGDSEMAGFNIDGQPPNPDEEYSYDLYKMFEIEFENPPSQDSFIRRW GEVIANRRQQKRELEKIQEKLRAEGGLYGKTAMQIRM NEUTE1DRAFT_42166 MNEPTIFEQPIHSKDKDPEVGEDAIYMPEYGANGRGPSRVGEEG EEEDDFRDYVFPEGTWSTAFLIFNRVVGAGIFSTPSSIIHNTNSVGATLLFWVLGGVM TFCGLAVYLEFGTAIPRSGGEKVYLERVYRKPKYLATCIFAVQFILFAISTVGSVSFS SYLLRCVLGSPDTTTTGGTAQEDATKYEGTWLNRGIAVAAITAVCLIHAFLPRLGIWL SNGLGVFKLVLLILVVCTGFAALAGNMEGESPRNFSSFDGPGDATGNGDDQTDGMGNN VAGNAAGYALALLQVLYSYGGWENANYVLSEVRDAPKTLRLAAPIAIGTVTVLYVLAN IAYFAAMTKDELSKSGVVPTAIFFTRVWGPSAFTERVMPLFLALSALGNVFAQSFAMP RVKQELAKEGTLPFSRFWASDWPEQKSPTGAILLHWIFTVALILGSSTKDVYQFLSNI FTYSGNWIKVFLGVGLLYLNFTPSENWTTPENKRFHSYPVLTVFWVVGLLYTCAAPFI PNKLLTKVHFSVVPALGTGMLVIGVMYWVVWAKVLPAFGFSIQHEVVVLPDGSERVKY KRVRPRGGRSGARGRRNGGRGGGRGGGGGGTTVVWR NEUTE1DRAFT_81035 MSWDLLKRFLESDVFNQNPFLSVSYLSRYADHIGIHYVLCSKLR QFPYEDIEFFLPQLCHLIISIDNESMALEEFLLDLCEESVTAALLTFWLFQTYLHDLS SNPQANAFKICQRVYNKVQHIVFGVSDSARNEKITENALPVTVLGSFVLASIAAPFLP HWAGPLAVAQARKPRPQVIEPVLDATPVNAAPPARAHTVTAGTTKSRRAKEARLTSSS DHQLQSNNNSPVAHRPKPKIKEPQPDSRPTSSGSSIKTPVESKRPTPFDSNVLEARLS SSSLPLPTSNANSPGLVRRPTTPALRPTTGVNRRHSHHVKTLLNQADMSRTQKMRLLK QNYFHCQTAFLTALEDISNRLVIVPKAARLSALRAELALIAQELPAEVDIPVICPPTL VNGSPSQSRHHRIVRLNPAEATVLNSAEKVPFLLMVEILREDLTFDPDSQDNQRLLTQ LLAEQGTRRRIFDLSESSRIPATPRSQEPVIDSVFEPSSGDLGHSPLIKPLNDEPFAI QHNPVPGGLRHAATTGTSSTQFVDLTSTPRSSGTSGSSTPPFRRMTLQSPRNNAADQP DFSALAIHMRTASQMLAQLDATSGKRPRHEVAAIRAKIIASMQSLEEESFEVHDSQGP TFDMIMAKASAENVINGNVATDEEIEAPLEKVESNVNPNAGLARMENDIKTGGLQRKG DRDDPSAAVFGEAWEMKKERIRKSSPYGWMKNWDLVSVIVKTGADLRQEAFACQLIRV CHKIWVEHNVPVWVKLMRILVTGESCGLIETIANGVSLHSIKRSLTLATVESGQNPRR RIATLKDHFVKAFGLPESQAYRSAVDAFKRSLAAYSIISYVLQLKDRHNGNVLIDNEG HIIHIDFGFMLSNSPGSVGFEAAPFKFTYEYLDVLGGVDSQDFADFKQLCKQAFQALR RSADNIIDLVAMMGRESKMPCFGAGISQVTTSLRARFQLQLSKDEAEQFVETDLIAKS LGSYYTRLYDTFQYRTQGIY NEUTE1DRAFT_121878 MPPADSQALNNNNNNSLSSVDLDFAPAGGDTHGHPPHSDPAAFQ THPLDKLDTSNDALGSINAAHDLVDGHYADFQFHYDDDLDGSPMTGLGSATFDDHAPD VFSTTPHAPSMDSITVQTSTYNNNNNNNGANTGNGGQRQQSMDMSESNTPDSNQNAGN SLEETVDEFGLATASSVDGSAPKGKGDKTDNTPAWSELKTKAGKERKRLPLACIACRR KKIRCSGEKPACKHCLRSRIPCVYKVTARKAAPRTDYMAMLDKRLKRMEERIIKIVPK SEQEGASTVTRAVVKPAIPGTLNNMKQANKKRGADEAFGSDLENWARGPSKTKIDAPN RTATIHIQETEESKLLLEGGDALPSKEIQEHLAEVYFENIYGQAYHLLHKPSFMRKLK AGALPPVLILSVCAIAARFSHHPKLNSSPNFLRGEEWAAAARDIVTKRYEWPNITILT CLLILGLHEFGTCHGGRSWSLGGQAIRMAFALQLHKDLEHDPVRQAGNTQLSFIDREI RRRTMWACFLMDRFNSSGTDRPTFIREETLRVPLPIKEKNFQYDMPGPTETLSGEVLG PLLEDQDASMARDNLGVAAWMIKAISLWGRIINYLNQGGRELDPHPMWSPESGYAKLI KQTEDILTDLPDSLLYTPDNLHLHETDNMANQFLFLHIAIQQNILFMNRFAVSSPRGQ PDQEIPKAFVTKAGAKAFAAANRVSELLKDAESHFVTAPFTGYCAFLSSTVHIFGIFS GNPTLEATSKRNLATNVKFLSKMKKYWGMFHWMSENLREQYRTCADAARQGTPANENP TSIFQYGDWFDRYPHGVSQSDFLDPAIYKKKEKGDDAVLEQKPELHTVEEFFTTLSPQ AGGGDGGSVNGSTASRQQQQAQQQQQLKRKAMTRKISGLPQQNHPNGPDPIQAEFSPH QQQHPPPTAEQIHAARLQHQRNFSGAGPSPIQTSGPPGSFNSLSVQAQQQAHFSPVSP VGVSHAHLSHHHPGSFFPPDPFSLPAHLGAAHAHGMSHLDRQLVFGAYGGPTSAGLHH EPGLVGGGGGGSGGGAGGWGGGHDSENGRDGPDGLHAAFSNEPSSAWFMPFNMEPPEI GGDTLGGLGGALGGGGLDSFAGMFGVGAGGGGGGGGGGGMGGMHHGH NEUTE1DRAFT_81044 MPRPKSKAAKARVTGANTDPIVPRKGLFDDDASSEDEQDGGATL GTTADLKINEEYARRFEHNKKREELHRLEEKFKSKKEGGLGEDEDEEDSSEDETEDDE GFLVTEDLDAEINATLEAIKKRDPRIYDKEAVFYTPIDAEGQPVKEKKEKPMTLRDYH RERYMAGDIGADEDDAPKPKTYAEEQANLKQNILSEINAAVGEDEEWSDDDAFIKPVK KEEAPTENGVHPSRAKAIDLNELDVKNADKNPEEFLSKFMQSKAWAPADGSRWEAFES DEGEDNFPEMAEEFEHAYNMRFEDPNKSNELLKTYSRNLANAKSVRKEELTGRKKQRQ LEKERKEAEKKQREEERARLRRLKIDEAAEKLSKIKKAAGLTGKELSDEEWMKFLEDA WDNDRWEEEMRKQFGEEYYAEGEGDVSGSDEEDNEDGKSKKKKKKKLPKKPEWDDDID IKDIIPDFDDAPDVVLSDLDLPKPDGDDQKAEDEDADLGSDLSADEDEGRPSKKRKTT ADIKKEKQAAKRAAKAELAKLEALVDTKMELDTPRALSAPKDKKYKENLFPFRYRETS PVSFGMTARDILLAPSDAALNEFAGLKKLATFRDAEKKRKERKKLGKKARLRQWRRET FGREFEATGPTFGFEALDEDGTPLGKKDESAVKHKKRGENKDGKKSGEKKKEEKKEEK KETAAGEQGADNIIEGERKKKRKRSKNKKDGEKVEA NEUTE1DRAFT_146066 MADTNAPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENKEPT IGAAFLTQKCNLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDLTKPTSLIK AKHWVAELQRQASPGIVIALVGNKLDLTSDSAGSAEVSGGGDDNAEDSGDARKISTDE AKAYAEEEGLLFFETSAKTGHNVTEVFTAIANAIPETSLKSARGPGASHAGVSRTEEQ RVNLNGPRDLEAKEGCAC NEUTE1DRAFT_121881 MAAAISEEALAEVIRTLSPEDIPAKLKCAICSRLAANAFRLPCC EQTICEDCRSTLPTSCPVCEHSPLSAEDAKPHKSLRTTIRVFLKTYLKKQQDARAKES APPTPIESTPVSTTAPQLPLPSDSAPEEQAPAPEQQQQQQQQQPEEPAPAADEQGAGG EHAGAEGQAGEEAPKVEGADSADHEADQDATENPTENAAEEEAADGTPAEAEGDAAEN PQETKDGEENEENAEGDDVQPKPAGGFGFGMNGFPMNFGGGFDQMQMMMAMQNGFPMM GMPGMNMDPMSAMQQMYMNGGFSGMGMSGMNMGMGGFSGAGGNESNNNWNGQQSSWNV GQDNFNHPNASGMGNGDYGSFNSGSFQQGNFNSGNYGNGQFNDYRRGGYGFRGGRGRG RGGYGYGGGYGGRGGYNNGYGNMGGMNGPMGMGGNYGGDQNYPNQQYGAGMGGSMHNG GFPGGSVSGASQDGNNENKGEGAASADPNVDEFGRSLREDTGKKETEDGDAAAEQDAQ GADSEAKPPATAETDGDAENATAAPNGDATNPDAGAEGDEGYAHNGYMNGDPEGMYPG DGPGFMGPGGFGGSMGFTNGWNGPGGFGMSMGGDGYGAMGGMMPLGPPQPPPVNPDVP ANAPKGPKAMLRGLPNTSIKHLQARGWVDNENKAQTPNSFGHGGPGSSHHGSRFASPS ATADRGRSRSRDRDDDYHGGRDDRDRDYYHRERSDRDRERERRDRRERSYSPGADERK EHRDRRRERRQRSASPGGADAAHGAEGTNNDGDDQQHGHSSSSRRHRRKHTSTTVADE EGGNQERSRSASPPASGVAGEESSRRSHRSSRKERDSEKRRDRSDRDKERDRERESRS DRKERKEGHSSSSRRDRDRSDRDNRDSGGRSHRDDRDRDRGDRDRDRDRDRSDRKDRK EGSGHGSSSRRDRDRGDRGDRDRDRDRSHRDERDRDRDRDRERDRDRSDRHRSSKKSS SSNNHDADAADAHLDRRSSLASNNAATGPGPAANGSLDPHAAERAARDRERLLKETRR MASLASSFSLAGSKRSRESRGGGGDKDEERESKSRRKESGRTARGRGEVVAISMEDEE ERMRRLEAEREAARYDD NEUTE1DRAFT_146068 MRSNNDAFGRPRGSDNDIPSLRLPPAPQMTFCFGDESSVGPLTG NQPSSFAGPHRHLRDNKKKTHAVVEGSEAHHGRARGLSHNRHNPHHSSTPEPEKETGA SGSTSTKTQPKHQHKSHTPNQILDTLDHVIEDAVYPRPSSATPSNDLSQAPFSPFLFS TGPASAVSDTSSRRNSICCLSDDFDMASIPPSVHPDEINTELENHYLDSSMMDSGSDP QLIMPSIKMPSRRPFTDQGKSMGRLKVLIAGDSGVGKTSLIKAIVQSCEHIVHVDPIT PSPMSPQPSLKNSVTLKGKQTKGGSRSSSRRQSSNGTSQITEVYASTKPYPQWWSEID DLNVLKRRKSMEDTVLDRNICFVDTPGYGSGSSSMDTITPVVQYIESQMQRMNVNALN DGDMLNMLGGEGGVQIDVVFYLVSNRLRPVDIAYLNHLSPLTNIIFLLSQADLMSPEQ ISASKEQIQAQLREANIRPFSFSITPSRTASSSTLSPSPPDPAEQGVYAISSAAGTDY DMMDASLLMSTDYVEPLVPSELSRLVERVFSPDGASWLRHSAARKYVQWRKKLVSERS AVPSPTSPSSVFSLSPSSHNPRSGSAASSFLNRYNTSGPIGATSSYALARITDHTQRE ERLAQVRLANWATELQKSLAQERAQYEALARNERAVWLTEKIGECVIDGTLVPIPSTS SRDRSGSSRSLSTRRRRGDTRQGTTDWQQQQQEMGLFGFGFHSHGHGHSYGHRQHYQQ QRYQKQRQRETDPLGLMEIADELMYKSLIALEVLGSLGVLGGLAIWVGRNMQLQPLEW FVGEWNRIWR NEUTE1DRAFT_100378 MNNDELIKYASDAASRGDDLFALLTSESIPIDATSTEADLRRAF RRKALTAHPDKAGDAYDPLLYERLERARDVLIHAEARAAYDNGMRAILQKKATLDAMS ARRRKLVEELHQREEAAKRQKVDEEKGKQAVAAEVEAMARKGRAKLEERRRLMREAEE RERAAEAAEAAEKERQKKKEEDVKMGGTGAGSEQPPTADGVNVNGGGDDYDSKIAELE RKLEEKRQRKAEKERKKADKKAGSGKDKDKDKDNQDKPPSTSNTTREEESKADENKAA QPPPPQPAPAPTKEPAAPATGEPAKPTAAGGGGFAATMARLKAAQAKRDEEWRKREEA EAAVAQAQSS NEUTE1DRAFT_121883 MEKAAVNEDGLVIPLIDFSKFLEGDETLKLETAKAILHGFQTAG FIYLKNIPIQPDFREHVFNTSAKFFKLPKEKKLEVGWTTPEANRGYSAPGREKVTQLT DPAEIEKIRSAAPDIKESYEIGREDEPGHPNPWPAEQDDLVGFKSTMNNFFDQCKALH VEVMRAIAVGMGIDANYFDSFVDVGDNILRLLHYPAVKSEVFKINPGQVRAGEHTDYG SITLLFQDSRGGLQVKSPNGQFIDATPIENTVVVNAGDLLARWSNDTIKSTVHRVVEP PKQEDVHPPRYSIAYFCNPNHKSYIEAIPGTYAAESEKKYEGINSGKYLVQRLAATY NEUTE1DRAFT_62374 MGFGDGKVVDIHTHMYPPEYVKILESRTSIPLVRSFPGSPDPRL ILLDTEVPILEEAEAAKARGETPANIPGRPLTKHYSSLNQKMHFMETHEIDISVVSLA NPWLDFLSASEAGPIAESINADFSRMCEEKCGRLFFFAALPLTAPRDVILASIAHVSN LPYCRGVILGTSGLGKGLDDPDLLPVFHALADARLMIFLHPHYGLPNEVWGPRAKENY GHVLPLALGFPMETTIAVTRMYLAGVFDQVPKLNMLLAHSGGTLPFLVGRIESCILHD GHLHSAGTKPKKTIWEVLSSQIYLDAVVYSDVGLKAAVQASGPEGHERLMFGTDHPFF PPLGSDEEGEWESVTWNGAAVRKAFGAEDGEDSEEGKKVRGVMGANAVRVLNLRRDGC R NEUTE1DRAFT_129408 MPSATAAKAKKANANANLKSKINKAPAVTEIDEQFDHSEDESDF GSELDVEDDESAGSDEEDEDEDEDEHDLEEGVSDEEEGVSDENEDEENQSAESGSDSD SDSLDSDAPRKRRRTSPTIDDIVAQLEDEEEDDTPVAPVKPVFNNVPSRIKKKQPEAP KTEKTEEATPALPVPEPASTVSVPIDANTTFDALNVRPWLVQSLANMAIKRPTGIQKG CIPEILKGRDCIGGSRTGSGKTVAFAVPILQQWAANPSAIFGVILTPTRELALQIMEQ VIALSQPHVLKAVLITGGADMRKQAIDLAKRPHLVIATPGRLADHIRTSGEDTICGLR RVKFIVLDEADRLLANSGHGSMLPDVEECFSVLPPPSERQTLLFTATMTPEVKALSER PPIPGRAPVFVCEVDTQRLAIPATLRQMHLQVPVTHREHYLHMFLLTPQNVDKSVIIF CNRTSTADFLHHLLRLLDHRVTSLHSKLPQSQRIDNLGRFRASAARILVATDVAARGL DIPEVKIVINYDIPRDPDDYIHRVGRTARAGRKGDAVTFVGQRDVDLVLAIEQRVGRQ MEAWTEEGVNLETRVIRDALKVVGEKKREALLEIEEQKEVGGKRKRGKEKLRAM NEUTE1DRAFT_62379 MAPAPAPLLRPAIPGARPGGRGPPRLGLAIPPSLSVKPVGNPGA PPARAAPPQLKLATPMGSTTIPHEQPAGRPGAQGYSASGASDSSAAHSRSGSFGPESN PTSADTRYSNVSFIGAQRPHGTPDPVSAVGSLYSNASEGGVGMERENSLHGLEAFDKL TLEKARTLDVEELDDDGWRIAMMEKRVEELGPLGEGAGGAVTKARLKGGKTVFALKII TANPDKDVAKQIVRELGFNKQCASEHICRYFGAVVDTQSATISIAMEYCEGGSLDSVY KEVKKLGGRTGERVLGKIAEGVLHGLTYLHSKKIIHRDIKPSNILLCRNGEVKLCDFG VSGDYGTNGAANTFIGTSYYMAPERITGQSYTITSDVWSLGVTLLEVAQHRFPFPADG TDSQPRAGLIDLLTYIVRQPVPKLKDEPDANIFWTDKFKYFIDCCLEKDPNRRASPWR MLDHPWMLEIRSRRVNVARFLATVWGWEDGKEEA NEUTE1DRAFT_146073 MARCKQQAQNGHQHSLSPLSAPCRPTQAPERAQASSAAPTTTTT TGTSSTLAASAVATYSAIIAAAQLNERGCEALLESRNDTETRVFAAVIGRDGQEHFYF YVHFSHGTANSPKGNCGGALSDHNYKEYDNEHDNEHNDNNIGYVLLDQCISRPRVRRP FVVS NEUTE1DRAFT_41386 MDTTQDAIRYHCNSHGGVTDGWRPEERLWIGDHGDVWKEKAVYP PFLSKVRAVKKINKEQTNFSQSSQRELHALTTFSGDTVAERYIDEETFLTEPEAAIVT RQVATALQYMHINDFAHRDLKPSNILISSKGPSWHVKLADYGISRRATGAPVSTEYIR TSGYIAPELVDTSENYTAAVDMWALGAIVFCMLTGAPPFHKLDDMLEYCAKMRQFPTR SLRTSTGVACIDLILGAMEPVPAERLSISEVLEHDWLAVKTPIASETH NEUTE1DRAFT_100384 MEQILDASLRDWKLDLLQGEFRHNPENGDDVHIHLKTNELWRPV KRLGSGAFGEVWQQQCIREESVTELRAVKRIRKPHTGFLESSQREIQALATFSSHKKH QDRSNIDDQYERRFVQFLGWYEDANYLYLAMEFLECGSLQRFFEVRKAPFEEEEAATI IQQVAEALQFIHSKDFIHRNLKPSVFLHLAKSPEMMDSKNYTSAVDVWALGAVAFYLR TGHTPFATWEALRQYRAGQKDFPSRVLGVSTGFCIDFVLGAMRPLPETRLSIQQVLSH EWLHMKETYRNLTAPSLLNKPLPTLSMVNRSTATSSAPTPNTQTNSTRTSITRNQNGR VTGHEAEVVNQEQPRMKEETEEREQQRLAAESTNKKAPEGQNKRQRELSFFWKKKEEE ELKRQEELRRRQEIVKREEEEAEELERQAELERERKEKEELEQARVKQALLQQQQQQQ QQQHQQQERDKRAREESEDATEPEPKPDAERIKRVKKELKTICMLCGEKFQHFGQLSR HLNTERHRMPKEYWGAGIGHREFSDIDALEALRGPLE NEUTE1DRAFT_42121 MRYHDLPRGTQCWKPVEKLGEGTCGEVWKEQCLSGTSSSSSIFR AVKILPKRKGSFAKSSRREIKALTTFSDDRVVDVQSTKRSIDYQDAFRNPEAASITAQ IARALLHMHTRSLVHRDLKPLNILVSQPGPTWHVKVADFGITRDLSDTVAGTHQIGTF GYMAPELWHTSERYTSAVDVWALGAIAFCMRTGSPPFPNPVTLANYPEDPTVFPTLKL RSSTQPCRGFITGAMAAIPQERLTIVEAVGHYWLSRDMNVSKR NEUTE1DRAFT_41319 MDPVSIAGLILTCCQHSRGRTGLWGFGSKAQKMTCAWKMGALDQ IISDLDTWDRRFDPLWFMVMKIANPLVDAALARSQSANDDRSNARALSVAKNPLTVAA GMRRVLYPSANRLKPRFLAEVQMEMSEIPMSEAKKGFMELERRWYIIDTVQVGSVAEA RDVLDDVSMLAVKLAQADPFAFGLLNCKGVIPVRSQLIGSSASSPSSSPPRSRLDYTS FQLVFCLPENLSVLQSLRQLLLNSDEHVSLSRKMRIARELAKVIHYVHTFAFVHKNVR PESILCFENNAASRSNAFLVGFDAFRAAGGSTMMSGDISWERNVYRHPSRQGFDPAEK YRMQHDIYSLGVCLLEIGLWESFVEYSTGEENSGRPRTKFGRTYYHFEKWLDERESAA GEDSTAITFNALALSLKDYLVEQASTRLAPRMGDRYAHVVLQCLTCLDEENEEFGGSG LETEDVGEDTLALCFAEKILSDLDQLSMV NEUTE1DRAFT_14529 CRSVQRKQQRRPFSGTVSTQTDLRAGLTKLTSRRLISVSGPDAS KFLQGVITNNINAPHNANGFYTGFLTAQGRVVHDVIIYPDDLGPEPGKQSFLIEVDAD EAATLHKHIKRYKLRSKFNLKLLDPEERALYHSWNDLHQAGPWSKLIDEVQKDGNARA VPDPRVPAFGSRVVVNQTSSSSPLTDGDLTPESSYHLRRFLLGIPEGQSEIISGTALP LESNMDVMNGIDFRKGCYVGQELTIRTKHRGVVRKRILPCILYSEGAAPEIPADGPGQ LEALEKLLKLEVEQGVKAEMIPQGASIDKVDKKSRSAPGKWLRGIGNVGLALCRLEVM TDTVLPGETPGTYSPEQEFVVSLGGEEGSEVEAKKVKVKAFVPFWLR NEUTE1DRAFT_137299 MVAGVAASYGSVGRSETGSCAVQHPVKLVTVSRPFGSVVGVHPT WNAKAR NEUTE1DRAFT_81066 MSSTTTTHTATTTSPPPSRPQPVGTLSTSASTYPTTSTISTLHT SFIKPKKMSITQTYYLAHKARAKLSSEAARPDHNLRLLVGHANLLDSLMVELADAERE QESWFNQSVRGASNSRSEERHIQWADTVVREPEHDWQAEDAEYSDSDSDSDSDLSEDD EEDCDEDEDVEMADAVPLRRIPSHSSGSLHRYSMQAPLHQFYNYDQDMEEDEEDDDED YTHLALQRSPSHSASPPELDLDSDSSEDEHMPPSPPTTILSTFSSTSPEKQAGEQPGD DEEDQPEQDKDAFYTHNQGYYLPSRGPTLVSPIGMY NEUTE1DRAFT_137301 MSLRSFDPWDLQQEAVALDNPTSGKRLEFIDPTLTCLGCELSFP YREYLFYHLAKNPNHYRDLMPGEHPINEDLSEPFELKAFYGRQQERFKRSCMFCDDDF DSRDALFEHLEEYGHAMDRETRRRAPGYKRPEICKKRDRSEHPHRKAKKTIAKLEKER EEYRLVLQEQRRQRLATELRRKKEEEEEEEEQLQKLKREEELRREQEKIMKHQEQQKR QRELDNAEMEAKQRQEAQQRQANKRIKTNASGFVCRVCAGSFETRNQLFSHIKRAKHW VPMG NEUTE1DRAFT_41361 MESFLEAPLKDWRIGSAFDEHHPNAKLRHHAVPGGAFEQWRPIH LLGEGTFGEVYKEKCEHGFFPGKLRAVKRISKEQAGFLKSSQRELQALATFSKKNDPR QYIDDNAPFPETEGSLIAKQVAIGLRYMHQTGFLHRDLKPLNILIDTPGPGWKVKLAD FGIARNIAGPTLYTHYIGTYGYIAPELFESSDAYTAAVDIWALGAIVFCMCTGSPPFE QPQYLLQYRAGIRCFPSQVLGLSTGFCIDFIMGTMHANSRQRMDMDEIMDHEWLSMER TVMNMKQPVSYSPPPKDS NEUTE1DRAFT_62388 MAQRQGTHVPAVRAASEKNLGITQRSASINFSWTGDIRKRYTDF LVYEIRKDGTTVHLREYEEQQPSVPKPAPAKPTPDTVAPSTEPGIEIEPVSDEDRKRL VDLIGESATEKLIKLDEAVQAKQTISAGERTVVFDPITDRAQRASLHQEIRRIFDNRI ETLANNTGVITATPSKWSKNNRVGGHGPRANNSNNSRSRDQSKSFTQLGGEYLHFTMY KENKDTMDAVNTIARLLKVKATNFGFAGTKDRRAGTVQRISVHRQRASNLIWLNTRLP NIKVGDFSHSKEPLQLGQHGGNEFVITLKNCKPLGGAGCSVDQRVRKLREAVEFGLAY LQRHGYINYYGLQRFGTYAIGTHLLGMKVLKEDYEGVLDDILHVEDHFLHEAFDLEEQ EPAHTNDFHNSRDDYNRAKAITIWKTTRNAEKALAILPKRFSSEAAIIRHLGKNSKDF MGALLSITRGMRMMYIHAYQSYVWNHMASKRWAMYGPTVVEGDLVLVSNDQSPADADS EDNENFYAQARALTQEDIASGKYTIFDVVLPTPGYDVIYPRNEIGKAYVDFMKKTENG GLDPYDMRRRQKEFSLSGNYRHLLGRFIGEPQYAIRVYNDDTEQMYPTDLDFCNAANA KKKAATAKARTSPPLLANWAHFTNNTAQYDQAITNERRRKASQSPESDRVVVARETWI QTGLDGSDKRVKIARHTEEYETKVPVEQINEKVTDNATNGIISSSATQTPTLAKGLSD LYYESTAQQTVVKSEDGTIKQEQDVMLTEESTASKADTMEVDAVTHEATPQINQSALA ATENSMVIHNGEKDGETDTKETANLIVPVLHSPSENPLASVDNSEVIRSSPDASKLAV ILKFQLRSSNYATVVLRELMGTLEEEDAADDVSFDGN NEUTE1DRAFT_62393 MAFAEQQQWITVQQKTFTKWLNTKIEVRGLEVKDLVKDLSDAVM LIHLLECLSGDSLGRYAAKPKLRVQRFENANLALNFIKSRGIQMTNIGAEDIVDGNRK IILGLIWTLILRFTINDINEEGMTAKEGLLLWCQRKTACYDEVDVRDFSGSWNDGLAF CALLDIHRPDLIDYDALDKSDHRGNMQLAFDIAHAEIGIPKLLDVEDVCDVAKPDERS LMTYIAYWFHAFSQMEKVENAGRRVEKFFNNMQGAWEMQSAYERRMAALLKAIREQVV SWKGSTFDGTYADAKAQAFQFASYKKGKKREWVAEKSDLATLLGNIKTKLATYRLRPY DPPAHLRMEVLDDEWSNLSKAEMSRGQLINETIRDIKDALRKSFADKANDFALALNTM QLAISGLEGDVEDQLHHVRKLSENLPPLDAYLKTIEAVDLKCQEANIEENDFTTYSYD ELCYELSLVKSSVSKKLAFLENQMVARNMTNLTPIQLEEFESVFRHFDRDDTNSLSEL EFSAALASLGLVFSEDEMHEYFLSTSNGRDRVTFEQFIRFMVDVTEDQNTAEQVFQSF REVADGKPYVTEMDLRHSLVPDEVIEKLIEIIPKHTGPDMQSDRGMEQYDYIAFMEKL ISEQEGRAASASSRRSSRASGVAGGGAAAPGQMPNHSHSASVGGSISHSRHNSHASIG GKSVLGGDSRSNGLMMSPKSPGTPGTNKPNGYH NEUTE1DRAFT_146078 MRSRQLLILVGTVFIFFCIYSLFTSSSTLSQGQQRLKDSYQNGA HHLPHHNIDFKSKPAAIRPPKPAPKGSHPIWHLTIDAERDLEATKTRQSRTLKEAVKE YRRRYGLPPPPNFDKWWAFAKEHDVQLVDEFDMIHELITPFWGLSPRTIRMRAKEALG FDGNALIGLQIRDGEIVYVRGGQEWQVEATKGMMKKFKQWLPDMDLAFNIHDEPRVVV PAEDMARLVGRAKNVNMPAANKGSAYRNDFTRDPDGGLSPTGSFSEEKFTRFNVFAHQ PTWTHSRMSCPADSPARLIDEEGADKPQRLDDVSQFGLGELGFVYNWTAMSDVCLSPS LSTTFGFFDRPNAYNVVHDLFPIFSQSKISSYADILYPSPWYWYEKVPYEEGKDPLWD DKANQLYWRGSTTGGFSRNGGWRRQHRQRFVQKINERDTAKVLVNKGKNEQNPDWVVN EVSRSDYRDIINVFFSHIGQCDPGDCDAQREFFEVKSYAEQVDAFKYKHVLDIDGNAF SGRFYAFLKSRSLVYKWAIFREWHYEWLKPWAHFIPLSTQGEDWLETVRFFSEESATV SQGTKEAERVAIQGREWANKVLRHEDMEAWFFRLLLEYGRVIDDNRENIGFLP NEUTE1DRAFT_116823 MVRTSVLHDALNSINNAEKAGKRQVLIRPSSKVIIKFLQVMQKH GYIGEFEEVDDHRSGKIVVQLNGRMNKCGVISPRYNVRLAELEKWVVKLLPARQFGYV ILTTSAGIMDHEEARRKHVSGKIIGFFY NEUTE1DRAFT_116824 MSDVEENTVPASEEVEVSADAAPKGQMSVLDALKGVLKISLMHD GLARGLREASKALDRREAHMCVLNESCEEEAYKKLVIALCSEHKIPLIKVPDGKQLGE WAGLCVLDREGNARKVVNCSCVVVKNWGEMSSEREILLNYFQTEQ NEUTE1DRAFT_62403 MGKDKKASGSGSGSKGGKDAGKDAGKDAGKASKGAQSINVRHIL CEKHGKKEEALAKIRDGADFGAVAREYSEDKARTGGSLGWKQKGTLDPEFEKIAFALE TSSTSSPKIGEVKTQFGYHIIMVEGKK NEUTE1DRAFT_43075 MGSDKREKWVKRREASEAKVLAEREASAAACESEPPIPTPDPSS DDGSTTTTANTVTSKVDELMQTYHNSKMGEQKDNALAYIMYAAGDEMYAHSSTNDWRL QHVIEAVTAALKDVNTVTTTEENANHHVQRRIQSESEPSALPPHAHIHSKDVEEASDS PVLRRLNEYPKWNSESCSWQAWYQGLDRAYHDDCAAGLDPANHAHALAAWNVILDKVP QHVMVALLSEGILNPERPDPSLLLTALDKLFGLGM NEUTE1DRAFT_129418 MDTALMTQASSAPGFDCCSPSAGSNLVVSNCLEFSCTGLLLWVE TSGIIMSFSSNNPPPRLSPLRTSRSFTRLDPPSTPPDITRPKRASTIQSPVKDTSEMP DTFERRVSLDLEPPRSSIDLEGLPIELVALTDSFIDGLVAKVHPAPPNIDSLSQRFQD FYATAASHIQTHIDSLATRQKRDDFAASTSGTRQSAASILRQKAAQLGSSSKEKLKAG LSRRDSEMLTPEEYAERRKARKALEQQRILLEEAVERRLCEGIYGRIYRHHTTQDEAQ DDKLRSKTAALAVVGIGPSDLGVDIGDIDKSDPGAVAKRTEEVRDWLEGARKELILMN QSRYPLGKLNHLKAAHKAIIDTLSHFHPSSSADELMPMLIFTLITLPPENLNVISDVN FIQRFRWEPKLVGESSYCLTCLEAAISFLETVDLSTLRADEAPTGPAKTPTGSSIPRA ETFPPAYSAGVSSTPSPSSESNNSLKPPLSRHAMRLRDRRLSDLVTQPAQAFNAASDA VFTTADQSLKTIGISLGDSYKFLVGKMREHQEAIVPKTLEDARKLIGTPPPPLLEDDG NSMAGSASVRSAASSVHTPDEGLHPLKRSNTPSRSADDRVLSIIGGRKASLATRDTSA DSAGTTRSVSGSGKRPTVEERAAAAVAAGMSGGGGSGSSTKESKEGNSATTGTATTPI PTSASAPPASSNPALIDSVRNLSSSFNPMARFSQGISGLRSFGRTTPSTPSSAAPPPP VAKDKEMPPVPGKGTPVADGGDLATAFPDLAPALPPKEIPKIEPPIKRFMEVQNPADL RIGEVLDLLRDYRRLAGALKDVGAFKE NEUTE1DRAFT_121896 MLPSGKRKADAFSCTSAARVTAKLALSFLALTTTPLVNAFFYEE PNAQIVLPIDASPIKPLLPEPPAPAEHKFTLRHIYHHGTYEHPTLHRKKDVPAQNADV WLAADDEYGQERIGTLKARSSPVRIQRLADRRPSVVDPMVAYARQQGYASVLSPEAWT MDEVAGPDITDKDTIISLALMAADAYVQTPDGADWEDVGAPFNRSLDFGWEGDGLRGH VFADETNSTIVIGLKGTSVAVFDGDGTTTNDKVNDNLFFSCCCAQQGPWTWHQVCDCA TGTYSCNNTCVVQALRQENRYYQAGRELYANVTELYPDANVWIVGHSLGGAMSSLLGL TYGDPVVTFEAVPEALPAKRLGLPIPPGSDPDAPQTREYTGAFHIGHTADPVYVGTCN GATATCAIGGYAMESACHTGRECVYDTVGDLGWRVGIGTHKIRVVIQDVLRKYEKGMV GMFGRNHYHNWDGNHDDKRSDHNLYHYVPHSWMVRLQGQDHNLDYINNNSYCDDNNKQ YHMPNSWKVLGML NEUTE1DRAFT_121897 MICHDAICHGPSPVWDLPCHAHQIAPKPCVRHICTDLLYQPLTL LDCLHTFCGACLKEWFASQAYRAENSPLPPPPPSEQHKVFTCPACREPVRDTKHDARV VTLLEMFLAMNPERTRPEEERKEMDERYIKGSKVLPRIKRWDDRTEEERRLDEEERRV LHEVQEMSLREAWAALEASNGNGSMLGTGSATGSMVGMVGGSGSAVGGSSERRRRASL APHSSHQGRTSRDVSRNRASGNGTGSMVGRDRERMRDSSAAPSSSSRIRAHSATLHPE NYYAEERRRLRSESRQREAEERSRQLEHQSSLRSLISADDLGDIDIEREIEEFARQIQ EEGLLDGLDLDNIDLDNNDELSNKIMEAYRRRHRERIRAIAERNARRNTTASTTQSYR PEPLTISRTRPPSDHATQPASVRSRVSRSREPSASSNEERHRYPPSAGSAHLEVQGAS RRRRTTSGGSRSSTVPVPSQSEQPPPRVSAHHRSQTETTQPIRPSAGVVTETRSSSSP TISSNPLLTTTTANSSDMRALSFNARVAGIGGIQPHTQTDGSSDSDNPGRRRTRTTTD RRSSAQSELASASSVPNFVSTSGPVSTHLGSTPPAQPPPNMPRYPEPVINCNGCGMEH IEYKLHFNCSICHNGDWNICLDCWRKRKGCLHWFGFGYAVWHRWNKIKASHPNLPPPH RLIAVRYMPPPKPSDTSPRARSLANPLDRLQSGTFCCRCSAFANECYWRCEFCNEGEW GFCNNCVNQEKACSHPLQALTYIPPSLSGSPSGTPPTDAAESTSPLANPTTATTTPTH SRSSSISRPSFLSLPPPFSRSNLSGPATGAYRPLTFSPPCELCSVPIPPHEPRFHCPS CPSKHTLQPRAGEYNLCQGCYDSLVPGSVAEENGPRGWRRCPPAGHRMTIVSFITDDR TGVERRKVLNEIVGGHRLKIESFLLGGVRGMQIWSWQHDADSSSSSLPPLPSPTSSHA SHTSHTSHTSHTSRRASSSSKADQGDTNDDTNNDNDNEDEDKQPKKWLLLQRLVSLDV SHPAAILPGQEEGEGEPLSPSSPLFSPTSPTSSSAGRLTTTDLFPPDGGTGTRVVARF SWYRSSPEAKNELIFPKGAEITEVEGLNEEWGVGWYMGEVGLFPRGYCM NEUTE1DRAFT_81093 MPPKRKAVGPPQAGVAKAGRTSALSTPGPATPRSLESSFQSDGD DDLNDDDVDEVIKRKEEVIAREADEFVNTWAVDSSRFQSRQDGGGSHRDGATQFFGSG KRDFSYLNLKPDHDQKPLWIDPEKGTIILEKFSPDADRVTDFLVTIAEPKSRPHFLHE YQLTAHSLYAGVSIGLQSKDIIDTLDRFLKTPLPESIRLFIESCTKSYGKVKLVLNNN KYFVESSDAELLQKLLRDEVIGKWRVQGSGDITTSYAPTMGGLVIPGTKDAAGVNQAD LNKSGNKKTAEDGAAGAAATNEADLYAAINEEDDEDDKDAVHAFEIPETAVEIVQRRC LDLGFPILEEYDFRNDSNNADLEIDLRPNTQIRPYQEQSLSKMFGNGRAKSGIIVLPC GAGKTLVGITAACTIKKGVIVLCTSSMSVVQWRQEFLKWSNINPDDIAVFTAESKNKF SGNTGIIVTTYSMVTNNRERSHDSKKMMDFLKSREWGLMLLDEVHVVPADVFRRVISS IKSHSKLGLTATLLREDDKISHLNFLIGPKLYEANWMELSEKGHIAKVQCAEVWCPMP TEFYDEYLRANSRMKRTLYAMNPRKFQACQYLINYHEARGDKIIVFSDELYSLKQYAL KLNKVFIYGGTGQAERMQVLENFQHNPQVNTLFLSKIGDTSLDLPEATCLIQISSHFG SRRQEAQRLGRILRAKRRNDVGFNAFFYSLVSKDTQEMYYSSKRQAFLVDQGYAFKVI TQLANIENTPDLAFATAQERRELLQRTLVDNEKGAEDDVETDDLFGKVGRGRGGGGAK GRAAAVRRMAGTLGELSGGQDMAYIEQNKAANKGLKKKGAAKAEQSAFFKKLQREKER SRALARQG NEUTE1DRAFT_62415 MCKHVLNAQVSIRSPCCRKWFDCPECHAESESHPLKKTLEMTFA CKKCKKCFRKDATEFEDSDEYCPHCDNHYIIEAVTPKAALRVEGEDARMDARMLKDER VKQTKKTMNQISIFDPDVDADKLG NEUTE1DRAFT_116829 MASGYDRALSVFSPDGHVFQVEYAGEAVKRGTCAVGVKGKDVVV LGCEKRSAMKLQDTRITPSKIGLVDKHVCLAFAGLNADARILVDKARLEAQSHRLNLE DPVTIEYITKYVAGVQQRYTQSGGVRPFGISTLIVGFDNGSDVPRLYQTEPSGIYSAW KANAIGRSSKTVREFLERNYKDDMDREATIRLTIKSLLEVVQTGAKNIEIAIMAPGKE LEMLPVEDIENYVKNIEQEKQEEADKKKKGRTPGTGTAAILTRSQDEPGDH NEUTE1DRAFT_146088 MACRHRSSTTTLARLAKPARLTPRLSTQPQLQSQVASAVRCFSS SRPHQLKETRCQQGKFTSETYPELKRDPRYGQVTKEHVDYFKGLLGTESAVIDGVTNE NATDDIEPFNSDWMRKYRGHCRLVLKPSSTEEVSKILKYCNDNKLAVVPQGGNTGLVG GSVPVFDEIVLNMGRMNNIIEFDEVSGILTVEAGAILEVVDQFLAGKGYIFPLDLGAK GSCHIGGNLSTNAGGLRLLRYGSLHGTTLGIEAVLPDGTVVDDLCKLRKNNTGYDIKQ LFIGSEGTIGVITKAVIQCPQRPKAQNVALFGLESYEAAQQAFREAKGHLSEILSAFE LMDAGSQALVRQVTKKNSPLEGEYPFYCLIETSGSNSDHDGEKLQTFLEDVMEKGIVV DGTLAQDETQVKALWSWREGITEALGHLGGVYKYDVSIPLPEMYQLVEDTKARVQEAG LLGDTDEHPVRAVVGYGHMGDSNLHLNVSTRRFDERVEKVLEPFVYEWISKRQGSISA EHGLGLAKKKYIGYSRTPTMVGLMKQLKDLYDPNAILNPYKYI NEUTE1DRAFT_62424 MVGVPGKYKGCNSCRVRRVKVRPISITAVSTFLKCIDSGRECLG YKRETVFIVGTIEDGGRCSSHPPRVIKSKKAKASTSSRSGGEEERETPRKKHQKHQKL ELFPDQPLQSAWDDLILLSTSSGTKYNVQFTARNTRLQNVVRGSGGNREATGEFTVSS MADYEVSNIQLDFSGQDFELKSQCLISLPESQDRDPYMPSIGSCIMLYEHNNSTLYTN LYGSQSLIKDSGTQNDPIRRAGPEKFQTFPNHHFFVRVYRPNAILTALLNRTPTFLES AEWTSTPWEKHPKSILDQLFDIITLLPRNLWRADRVAEEVPTLARRQRAQDLLINCLN IERQLNACLMQLYQTIERVYWSIFEPLNLTDLPTGNHLHSSIQLQMQAQMQQIQQLPV DLIPDPARYGSKAVREWAERTCRSLEFALNNNINNTGSGVGGGGGGVDPELLAYPLCV IRAFYESLGATPSWENLGVGMDVDMMGVDGIAMGMGMGMEMNIGMNHQNMMTGGMGSM SYSSGMENLNIFSTRPTTTTTTTTAAIMMQQQQQQPQAPPPDGRLEVMWCDQFRERLK ARGAEISSSIVGEGKKWREIAAFGY NEUTE1DRAFT_121903 MASRSAMMDDGYPSSSATPAAFDSQHEWEEHRRATIEDDRDELD LLGDDDDHNNNHDNDNDMILDEDPLRDDLNQPMSFKRRQKKQSVFSQPSRLFSAFTGG QSPRNSHDVPGRPSPTSNTRLDGTQSGPKDGGPMDWYVEGPGRRVGYEDLTAIDWIFE YTKERSRLRMLSSGSNSLVGWIRLLLDNSQVWIVLLLTGLAAGLLAAAIDVATDWLAD LKFGFCSTVDGGAFHLSKSSCCLGYEDHAQCQGWYPWAKAFGINSGGGKWFLEYFFYV FLALSFAVSAAILVKEYAIYAKHSGIPEIKTVLGGFVIRRFLGIQTLVTKSLGLVLAV ASGMWLGKEGPLVHVACCCANVFIKLFPSINNNEARKREILSAAAAAGISVAFGSPIG GVLFSLEQLSYYFPDKTMWQSFVCAMTAAVTLQALDPFRSGKLVLYQVKYSSGWHGFE LVPFVLLGILGGVYGGLFIKANMRVAEWKKSTRWLPGPVTQVAIVAGLTALINYPNHY MRAQTSELVSNLFTECAKIVDDQFGLCKTGAASFGTITLLIFAAVLGFFFAAVTFGLQ IPAGIILPSMAIGALTGRALGILMELFQRAAPNFPLFLHQCEPDVPCITPGTYAIIGA AAFLTGVTRMTVSIVVITFELTGALTYVLPIMISVMIAKWVGDAFSRRGIYESWIHFN SYPYLDPNNSGEDLSPLIPDVPASQIMTRLDSDLIVLTATGHTIASLQKILETTPYRG YPVISNPRDAVLLGYISRAELSYVLYSPGGRASNLPPETECFFSHQPLADPLATLDLR PWMDQTPLTLPGRSPLHLAVSYFQKLGVRYVLFAERGVLQGLLTRKDVWHVMNGAEER VRDAVGAGGAGGIGSGRMGSGLGREEGRGESAGLLGVERRDYFQGQGGTREGRSGSFA GSSQGSIL NEUTE1DRAFT_62431 MSQHPRGREERMIHQDYIARIRYSNALPPPPNPPKLLNIPSTGL SSGQYTNPGFASRLAREQPLNIEVDAELGMPLDLVGMPGVFDGNEDAISAQNPPPPIH PHDRLLLRPLNTLGKPKIGTESVSFLRRTEYIASVQVKRDNVFLNNRSSNSSNKDNAL KRPQKRKASPEPDKGTPAWIRRRIEKSFDIAAANLADKTKVRHPTKRNVKCIDAFPLL PDTTAFPDSGAYVTVKFTTNPVNSSDKYDPRMLAGILKPIERSEAEEQAFQLAQELHN EDPQNHPAPSSMMNYNLFLPSDAKESNLFRARFDVDNPDHDSEGLYPHKDGKGPHFVF PRLRPYETSAETEYDHDTKYNGEVILAFRDDVGEGDSTTDQRGMYYYPIMQRSTLRNQ RTRNIAQKIADDSERFPDELHVTIDEPHEGLKADIKQFELNPIGYSGVSEEYQEEHTE QQGQAEHHDEEEEEDRRRERDASERADSPRRHTDDEDDY NEUTE1DRAFT_81115 METAEEPMTPFGTFTAQSNKLQRQYQALLDQSTPYVTYRWVGTG VALFLFFVRVFVAQGWYIVAYALGIYLLNLFLAFLTPKFDPSSDALDTEMEDGSVGTL PTKQDEEFRPFIRRLPEFKFWHSATRAVAISFLCSWFEIFNIPVFWPVLVMYWLMLFI LTMRKQIQHMIKYRYVPFTIGKARYNKNSN NEUTE1DRAFT_121907 MRSVAFNNTLRGLSRAGSRFQPATLPRVAAARAYSTPAESQYEF IQVSEPRPGVGQVTLNRPKALNALSTPLITELNSALLSFQQSPTIRAIVLTGSERAFA AGADIKEMAPLTFSKAFLDSFIESWSNLTFTVKKPIIGAVSGHALGGGCELALMTDII YCTKTANFGQPEIKLGTIPGAGGSQRLTRAVGKSKAMELILTGKSFSGEEAERWGVAA RAFDSYEELMEQALKTAETIAGYSKVAVQAAKEVVNKSQEVGLRDGVEYERRMFHALF GSQDQKIGMKAFAEKGKAKWVDE NEUTE1DRAFT_62439 MERLRSTAQEKWAWICHHIGFRPRKLMTEGHFQYQTVHYTLIIG LTIVGSILIYASHRGQIAYVDALFFAAGACTQGGLNTIDVNLINTFSQAVIFLLAMLT NPISIGYYTVSLRRYWFEKKLQTIVQDAKQRRGTISKSKSQMRTDFLSQAERGVAGRE ITVMHGSGSPRMTNDGILLGSFQKPTYDSRAPPDQRDPDQPEDTRRQPEIRFAGTVKK SDGLGLDAIKLPRSDEEHIAILERQRNRDDEVLRIPGPRDMERGAKPRRVDDSAEEDD REPAQPTPMVTLNGRAQAITIEEPDRNKLYETLTEDFVDDAKTFTHSFVPQLNHLKFR KNTRAPTRNRGDEEADPKLQRSNSRMSRRPSLSAMRSAFTKDKMEPTPYLSWEPTLGR NSQFHDLTEEQREELGGIEYRVLKLLQKIILCYFFGFLLLGLVGLLPWILHEDRWGQV VDQAGQSRVWWAFFTANSAFMDLGLTLTPDSMNSFNTAVWPLLLMIFLIIIGNTGFPV MLRFIIWVMSIVVPRDSGLYEEVRFLLDHPRRCFILLFPSGATWWLLFILIGLNCADV VFFVVLDLGSGPVDALPGGIKVLNGLFEAASTRTAGFSCINLAVLHPAVKVSYMIMMY ISVLPIAMSIRRTNVYEEKSLGIYNNPEGEEESASPSSDLSYIGSHLRRQLSFDLWFI ALFFFMLAISEGTRIMDGDTDMFALMFEVISAYGTVGMSLGFSTGNASLSAIFSTTGK VFIIMTLIRGRHRGLPYGLDRAIVLPKELNSSSSEDDGVERQRSHTSAMSTGRDAWST TSRTSRRRHWRQRSNDIGNQIFGALLHPGPPRAAMPLDGHRPHHVPHAQTWHPVHFGS VGKARPLNRDSHHGSVGSQGVTGLGGGGGVNGVGSGGGDGINPNGWHSMRRRATNPEQ HREETIHEGFPFPSDSDRRPESDQNTLTDPPRLGVGVDHNLKLMKRKSEPSFPSTPTD FSE NEUTE1DRAFT_129431 MNVTAPLSRRPLGCLKAGLCQSLVRGYATAVAASTTQSTGALPD HNFFRIADKHTKKTRTAFAVFTPPKSPTTLPPSSVVTKSKAFKIANSKLPPLMTKPPS DPMPLLTQQQIARMDPTGARTALFSKARHAARVGDVLMVTHRRGGEPFAGVCLAIRRS GIDTAILLRNHLGKVGVEMWYKIYNKNVAGIEIIKRRAKRARRAKLMYMRQPKHDMGS VEQYVFAWKKMRKVLSSKGLTGGVGGGGGKQKGQESKKKN NEUTE1DRAFT_62445 MAGRAPQHALRVGCRAVPEALSKPAQQSRCLSSTVPRQGMSSQP ISTYPVVSFNKTSSPELKEALETLREKVILPTYLPPELRQKIFNKKYEKELAHDPVTI QIDGQPQRFSYINMLTDMPNTPKNIRAALLSMKNGGDFANLSGLLEGMHRANRKLPYW LSAQIVRKACKAGHLQLILNMVRDVKRTGFTLERHETVNELLFWIQRFAWKSDYSEPE TRKALREVQEILDALEGDERHMSKDRKRQQALTRFPYHRDPQFLAARLNLTAELAARR AVTGQTSEQQLNSANDVKNLVKYAEQLVRLWPADKALLDMYTDEAYVARVDLRYLIKP QVHLRYASFTLQALKNAAKIVGQLGHGPLAAQLINRATAVEAESQLAYAKVDDGMAGQ KIYEMVVGGKK NEUTE1DRAFT_116836 MFRHGVRTFATTSLRRMAAVAPQEPSQYLLNVSKAQGIAKGLTG AIGNTPLIRLNRLSEETGCEILGKAEFMNPGGSVKDRAALYVVKDAEERGLLRPGGTV VEGTAGNTGIGLAHVCRSKGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFENPE NYNHQARRHAERLDNAVWTNQFDNIANRRAHIETTGPEIWAQTGGKVDAFTCATGTGG TFAGTTRYLKEISGGRVKAFLADPPGSVLHSYFSSGGKLIERSGSSITEGIGQGRITD NLKQDVDLVDGSMTISDEKTIEMVYRCLDEEGLYLGASSTLNVVAAKEVAEKLGKGST VVTMLCDGAYRYADRLFSRKWLEQKNLLGAIPEHLQKYIVLP NEUTE1DRAFT_121912 MTTIDLNRDPNHKHPDLPVPNEITEENWSDSSDDSDVESPSIGE AAQQPQQVEWLATSRAKRSTAGNRMKSMLANEEPAAEDSDLELLFAEDDDDAGFTDEE KDDASDVQMDSSSDDEDDKDGAAADDLEGEKELERQAREKRNAQRKRKAQEAIPMKFR KKVRIEQPPSATGESATGSPAPSTTGTPAASSVRQGPTPRPPRPKKKSERTSWLPTPA DMPTRASERRTTKMSKEQLHQKMIDDEIRRKKLMEKMEKNAKRLEALKKPPMTQAERL AEAALVEKRNEKSLNRWEEAEKVREEERLRKIAALNNRKLDGPVVTFWSGIQTLEEGQ QKHVGKMVSMEEKPVRKKRQSVSATLAAQEAAEKEKAKAEEASKQTPLTEKGDDKSAE AATKPDDKVEAQAEKAVPSIALPEQPQKPISTPDVVMKDAPEPPQPPQPSILAPIRGP MAPPPIPSPPPLETKPAPSSGVLAAPVLAPPGGVAPPMLDVQMPGLAFPFSNGMSNVL APPNTTSPLSMPPSSGSLSTLTPAPAPAPTPALLSAPVTSVPTTTLSFAPTHIPAPAT APAPAVDRPPRDLPSPATTVLTTTIQTKQAPTPPSSQSATVSSEKLDKEEKSAVPRKD DKAEQGDRGEESRKENKSDIANTGPNRPQEGSTVPTDQPAAEGGEEGKTVNKVTRSCI ILQNFDEAAIKDKQVQTQIIFGRRMEKLATRYRDPKTGLPYYNAYAYREIQRVHRGDY KFSALLGAYVGSGTYAAKGVPERFLNPNGKRSTPKEDPAVKLAKLKEAQEAEKRKEKN KEALEMEKTKEIEAGDKNLDNVQATELPPLRQPKLPQLPRRASGTEVTSAIKARSKSK ETTRPSEPKRKTSTSSLPATMTGPVVQAKLEPIEGVALPLKATSAPALTPTPKPVAAA ATTTANPSLLPLSTPTPPLQTQAQFKLVDDDVKTQTQQLPVKSTSSSNSQPTPQASQP QPQPQGQQSLTVPAPAPNQNTAAAPPSSAAVEAPTQPPPADDDPVKSVNSTQPSQPPP PPPAQEAAQTPVAETRFLPAPVPDMS NEUTE1DRAFT_81132 MVTGTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLICFSVASPASFENVSQKWAPE VNHHCPGVPFLIVGTQKDLRSDKELRDKLAQRKQSMIEFKQGEKLAQDLDAVKYVECS ALTQEGLKNVFDEAIVAALEPPQKKTSKRDKKCLIL NEUTE1DRAFT_43181 DILYNNIDNNRLIQLFYNSLKERIKDELYKEDRPETINIYINIT IHIDDRLF NEUTE1DRAFT_41711 FAIYYLNNILIYSKTLAKYKEYVKKVLNILYEYKLSINIEKNKF YIKEIIFFRYLILENKVRIKLNKVEAIRN NEUTE1DRAFT_109739 MSPKGLMAAPQCVVHLLPPSVWPFCATKAAQVFHVVSGSDLMLK GELGPKVVVIMVVFQGTGKWFRSRSRCQRDVVPTSREVDVWGGVATAMSICVAVDDAV MDGVVVNGGNCSVRGELPTVVPSPATGQIYVKGTEFGDGRQCNRPAGVRQIPCKFHPC WPMCVQKRFSSKVPVLLFVRVETAKQIVNSLNVNFNSAAICTVCCMDRRTVDKPKTLA RHCQPRWWQPVLLQFVTAEVHYTSITKIRLQLTFDLSVLRRRPNLRSQNLSYTFYANT RVLEQIKRKLI NEUTE1DRAFT_41103 MTTITASSPSSTLQDATASPFSPTEQDPYNTQIDALLTRYLLLL DEYTTLRSSLSSLQAGMYQNLARANFTAERGVRYGKEYFDERMVASRRVLVRVGAGKG KGKGDKKEEEEKEEHGGWWWLVGKHKEAKEEVIQRADTTATTTTTPPPPVASADQDSG TLSSPNDDTDTPEADKEDDTKKKIRKNDPLRWFGLLTPLPLRLAQTQAIQAVEQIIPR LVTVNAEMAQVEIEVRRARKRREKAAVKAKAAAVAAAAKDAK NEUTE1DRAFT_121914 MAMTWSFSGITTFAHLKHVKCLTTPSEKFDIAIVGAPFDTATSY RPGINPYASWATIIDCGDIPITPFDNAVALEQMSEAFVELGSRSAPLGETGKGKGKGK GRPKLITLGGDHSLALPALRALKKVYGREVRVVHFDAHLDTWHPAKYPSAWPSTQSHF NHGSMFWLAGQEGLLSNSSSASNIHAGLRTRLSGTDPSDFVDDSSQNWLRISADDIDD LGGPAGVIAAIMDQFDLNDPDPDPVYLSIDIDVLDPAFAPGTGTPEPGGWTTRELIRI LRGLVDVLNVVGADVVEVSPAYQGRGEETALAAAQVVYEVLTGMVKRGLKDGSSEEKV KGGVDKAHDEL NEUTE1DRAFT_81139 MATPQELADRTKSDAKVYVDTVAGKDDESADGSEAKPYQSLYHA LIQNLDKPAPTYLTKVAPKEGSTDGPTWEEAAKSAMKKAVGRVDQYKKKLQKEKEALK KEEEERQQRLKNLEDAKKIVLKEDPSLPEAVRIRLNNKSIELGDESKKGTRVKVFGRI HRLRVQKHATFLTLKDGYGFLQCVLPAGDLTKNYDALLFAQETALVLYGELKKLPAGA TAPDGRELQVDYYKVLGHAPSDLDAITNKVSRDQDAWDAAMLDNRHLVLRGENASALM KLREFVELAFVDTYRELEFRKVSPPAMVQTQVEGGSTLFKYDYYGEEAYLTQSSQLYL ETVLASMGQVYCIEKSFRAEKSLTRRHLSEYTHVEAELDFIEFNDLLDHLEEIISKVV DRVLNNETAAGYLKVLNPDFKKPEKFLRMKYEDAIEWLNKQDPPILNEEGNPHVFGDD IAEAAERRMTDIINRPILLTHFPVEIKAFYMKKDPNDLRVTESVDVLMPGVGEIVGGS MRMDDYDELIEAYKKNGIGHEPYFWYTDQRKYGTSPHGGYGLGLERFLAWMANQHSVR TTCLYPRFMGRCKP NEUTE1DRAFT_109743 MHFAAMQDLPRSAPSRGSMSTYLDNDVVVLYCVITQVSKTPART ESLRALPPSYQLSTVTPTAVVAPRFAPMDDYMPVKHEAERFQGEKQAPRYLYLSRYLN LEGSKQASKQAVIDRFSVKIIWGRGLVFSVFALFH NEUTE1DRAFT_28613 LAVPQFTGGPRPTAVQSPDPWVRVLCISIGPCSKKGKHFPLPLA RRAALRWRVESGVPIWRDWTAMSIETKRLA NEUTE1DRAFT_121916 MQDYSGHQGGHNGHNGQGRFGHASKPSNSDTGFSHGAFTSNISG FAERHPRRGNIPSINTQPMQQQNSHHNVNGQDLTSPGTAFDMQFTPLLPSTLLVGSPF QPGTPAGFASPQFQSIPAFQQQQQQQGYQSQNGAASPVQQHISPQMYQPIVSPSAYGA PQFYAPQSPTGSYNNMAQMQMPVQPQSPVNMGPGMVTGTSRTVYLGNIPPDTSAEEIL GHVRSGQIESVRLLPDKNCAFISFLDASSATHFHSDAILKKLCIKGQDIKIGWGKPSQ VPTSVALAVQQSGASRNVYLGNLPEEVTEEELREDLGKFGAIDTVKIVKEKSIAFVHF LSIANAIKAVAQLPQEPKWAAPRRVYYGKDRCAYVSKTQQQNAAQYLGISPGYAHMLS GADRDLISSALAQQSVAAAAVATTAGGLNNLGNRTIYLGNIHPETTIEEICNVVRGGL LHHIRYIPDKHICFVTFIDPTAAASFFALSNLQGLMIHNRRLKIGWGKHSGALPPAIA LAVSGGASRNVYIGNLDETWTEERLRQDFSEYGEIELVNTLREKSCAFVNFTNIANAI KAIEAVRSKEEYRKFKVNFGKDRCGNPPRQMQQQNNSRDNQVPSPQVNGASQNGNSPT SNGAPGLPALFSQHNPLTMYLNHVSQQAQHHQQAHQQSLAPYQAALFGTASSSPNEQS GMSLEVPQGPSMSGHQQSASISNVNGFMSNGSGAPTMNGLLAPGRSSHNRAVSLPVLA PGFENGGTSPNSLHGSNEGNGERRGHQYQASYGGMGAGFGLAIQGNLNQWVEEEVTN NEUTE1DRAFT_62466 MTSLTPRPPYTDDELNKLYPPSLQLQQVQILMRHGERTPVTARF QNAGLKPFWPYCASVRQLRSIMLDYNGENKPKDLAMPTTTGKPSFHTVEWKRRLETFG HKDEPVVAKGPGHEIDDICDFGALTDLGRQSTSRLGMRLRKLYVDRLGFLPETISSTD DFYLRSTNVPRALESMHQAFQALYPPSTREADPETGKFPVPTVLTRGPGDETLYPNDG NCRRFAALSRAFAQRAADRWNTSDEMEYLNKVYGKWMPANSPRVAVDSRPRLSGIMDT VNASLAHGPETRLPEGFYDHKARKLLERIASDEWFAGFNESREYRMLGIGGLLGDIVE RMVSRAEHTHSSHPVNTESATSDPSGPGIKFGLSGCHDTTLAGMLSSLGAYDSSSWPP FTSHIAIELFRKADSTSSPADQISAVTPPAKRGWWESMFSGSAGRNKNPPGSGIGRKS SEYLTSSEKSKLDGYYVRMRYNDEPVTIPGCKTPGNHLEGDESFCTLSAFKSIVDKFT PQDWKQQCRMNLGQPATPPSGEPEWAGHP NEUTE1DRAFT_81147 MRDLGHVCLRCRAQLLVATQAARRPVTSRQPPSQSPSCRSTYSY TTAAPNNAAQAHQEVGSHAQSQQQRQTATQHRRDGAWKAPTTKSRSNRSHASAHPSDS DGEGSQLVAMFNDIVHDVPRPSDITISDIDLLALGGRMDAKIKDNNSSCADAFKFFKK EIYPLIKAISAIPTRKIPKPVLRSIHDLLLPRILIEKTGNVHAPELPLTAHITEIMLE LDAFDPIYWSPLIIEMIESVYRINPSPDRYTKINEYESAMARRDLVLFDLAATWKHFT KHRLPRTIVKDLRPASVSKAHVKGHTKEKFSEGFRGLERVMHNTLGSHGSSENALHIS TWAAFGSYTLLTDPVRCSRLAAKEAGPFLEVMGKILAVVTTLKPTDFDPVLRRYPMLH AYIKTQTHLGSFLTNTPNRSARQVHPILQIKGTVEQAILKKNLGLLYSAWLQLWGEEE APDPSKLPSYRENARIFDKFIYGFMALRRPQMSIDVWNKMEKAGISPTIETWTSMLQG AVMSHNARAIKTIWDRLIASETQLDSIVWTARISGLINNGAVAEGLKALDEMADKWKN QVKYPKQAVKPTIEPVNAAAVALIRLERDDLAADVLRWAAKQGIRPNIYTFNTLLQRL VRTNKVDETVALLESMKQAKIEPDGATFTILLEKALKNLHRQTPEEITSTVSDVMRMM ESSGVMENMMVYAKAIYLLLQEGDRATTAVNAVLAHIWSQGLELTSHIYTMLAEHYFG RDPPDTKAVTALIDNRRLHLNENIDHIFWERVIKGYSRANDAVKAEEIFWKVFNAGMT ELTMDAMFELLAAMMRHQGMLESAAKLVAALNPIKVAVTPDQVLASRDVEEPAEREAR HARMKNERFWKHRFWHLAYKGGLMSDDLLEAFEKAHEDPREWGVEGDE NEUTE1DRAFT_129441 MPELAGYKDKGKEIFKKGWHPEKEGTTLKGQVRERYADHQSTPI SSLRDPASFPPPPKRRIGDLTPPPPPSRPTSTNPAESQQYGQQQQYGHHQQQHEGVEE DGPPPPPRTYRVDTTGLSTAHLPPPPVRRDGADGRPPPPPRPSTISAGGLPSTGGPPS LPPRLPPRSSTASPASPQAGDGYLNQGAVNRLGAAGVNVPGFGIGSEKSAAATTSNQT PLLPPPSYTPINRPARSTTTGSTSGTAGSGSFTDQAKSQLSNLQNRFSKLTTGASSST TPGATSQPYSQPYHSLPPGSSTTTTSTPTSTSTTPTPPSNRPILPPPPPASRVSPAST GHQEGTTTAPEGGGTTWAQKQHALKTASAFHKDPRSVSYSDAKSAGGTINNFRQRHGD QIAAGMKTAQSLGGKAAGAVGNLRDRFGGGGGGGGSTGGSYGGSAGTSQPPPPPPPPV GTRTRAESAVSYGHGHGYSDSVGSATPGSPSAAAATAAAAKKKPPPPPPPKKKPALTA PPSVSAPPGQGEQDAPPPPPVPLATRPAF NEUTE1DRAFT_121920 MATTTDTELLTEHFGYPPVSLLDDIINSINILAERALNSVEQGL LNAPPASLGFRPPTHASTTKPGKKPKKGEEGLPNAEEAHRHEIENGTHQLETLLCASI DRNFDKFEIYVMRNILCVRPEDRDWIRLGHYEGLDFSSPQKEGQKEGGDERPTLETVT RLRRRLQASQKLNVMLHAEKARNAALLSEVRRLIGSPKQVKSERSQPPLAQTQNGNDN NNTDTEMTDAPPPPSSSTSTTIPTDSTSPSKPPFGFLTQTSLLSTSDASTPLTTTTAF TLSQLDALRSLSTSLRSLLPALSQPVAAAPEPEPASTESSEPDDDKKKKPWRLQRLEY IETATRKHLEQVRGLELGVNGELRDGGLGGEEQSTTGDLMVVVENGGDGDGEGARRTT KRRRVEREEVEALEGVLGSLGGGGHDGSSNEAGGEGGEGGQGQEQEQEQGQGQEQEQK EQRQEEGQTQAQSEGSQREGGEGETETKTPRQRGRSAERAKDQGNGEEGQMDES NEUTE1DRAFT_116840 MLIYSDIITGDEIISDSYDLKEVDGIAYEVDCAMIEEGAVQVDI GANASAEEADDALEDTSVKVNNVVHSFRLQSTQFDKKGYLVYLKGYMKSVKNALKEQG KSDEEIKDFETKASAFAKNVILAKFKDWEFYTGESMNPDGMVVLLNYREDGTTPYVVV WKHGLKETKV NEUTE1DRAFT_41476 MFFHIIDVYDLFPQYRLHTPEEISQRNLASRYEVARDVLLEQAI QIGTSAVLNLLDDQQLTGHENYEVAVWATRIRLAQRGLPTILGLVGLNAGALSKNIAG SYPLLAGALAGGFYPSLFELDDMAGTAVPAFASWEILLAKAIYWIIIPCIKFWFAAFV LDSWQYLWHRAMHMNKWMYTKWHARHHRLYVPYAYGALYNHPVEGFVLDTLGAAIAYK CSFMTSREGMFFFVGSMMKTVDDHCGYALPWDPLQNITSNNAAYHDIHHQSWGIKTNF SQPFFTTWDRILGTMWKGDTSIKYERTRAAAANKKKGAKGEETKAE NEUTE1DRAFT_62476 MDPTTTLFTFMLRDRGQWKGCYTFKDIICDGDSGRTPKRNGGLK MGQTYYFYYELDGAAETHDPTLPSTNNCPYLPGQTVNTLYVPVEHTLRNRSASTTSLR EENYMTMNPADRYSTPQPTVASLMDAASRAATAPLPELKRSSRSISPGSSWFSPRRLF GRKQSSSSLPEIRLPTPTDDTRSIRSSGSSRSRDMSPESLRRFLSNDELPEEEYQTVE RPAITIPDDIVEENEDDDMFATSAVSEYMQFTGLSPPPSQRSLTPSPPVKSGEALTPT MALTRCPSTMPCLDLSVPKLSLVDTSSPQSSADSPPAFYHSDDDEEDETLDEDLLPLP MLDYSTARKASAGSVGSTLSTYSLPQSSPQEAKGSKSLVVPRIETSIPVSHTSFLNSP TPNSGLEDLMTELGWMADAIRG NEUTE1DRAFT_81158 MAVIDVSQQRASLLSDATTLDLLPPELLLIIQDHQSTKFLDAIA SAALVSTATDRIFTHFESVFADICARWILSSAKLDTRVLAAFARILPFAPSLSVFLVS HLRGGSFGGVNGCNHNNKNNDNDASSELKPLDSLDLASIRPDDLPIVLLALWRLNNFD KRTYAGLSKPSQIQTLFAHENPIVRYLAVRIFCQLHNAADVKIEALLEKHIPKDTSLV AELDGREADYAFLSLHEDSRREDIQSFRSKSQQTSAVDDAIVESNITNIPEQNLTPLV VKYGQTVLPRPLGPVTAPTTLALTPTTVENLENLTGCLQRPGPILLHGLSGSGKTSLV HEIARELGKQKQLVTLHLNEQTDAKMLLGLYTTDSKPGSFSWRPGVLTTAVKEGRWVL IEDLDRAPTEVMSTLLPLIERGELLIPGRGETIQATEGFRIFATVRTLLGMNDKENLP SLIGLRLWQLTHVKALPQQDLKDVINSRYPLLHKYVPGVLAVFDALLAATSGSTRLSL GRMVFERPLGTRDLLKWCGRLDEILRASGCKTGEEPITDTTRDRMFLEAADVFVGGVK EIQARNILIGVIAKEMHLSPERVEHYLTSYVPDLEDNESRLTIGRVSFAKGRKTSRGA KNKRPFATTTHAKRLLEQIAVAVRHKEPLLLVGETGIGKTTVVQQLAESLGHQLVAVN LSQQSEAGDLLGGFKPVSAQTLAMPIKEEFEDLFERTGVSAEKNKAYLDGINKKFAKG RWREVSKEWRKAPKMFEQILAKFESMQAAKTVEAEVAEENQEQGPAKRRKTESSKLQR LRDLKARWDLFSQSLDQFDRQVAAGPGGFAFAFVEGKIVKAARNGDWVLLDEINLASP DTLESIAGLFQTNPSLLLSETGEIERIQAHPNFRVFGAMNPATDVGKRDLPMGLRSRF TEIYVQSPDRDKKDLLTIIKTYLKGNNSSIDRLSDDIADLYLEIKKRAEAKLLVDQAN EVPHFSLRTLTRVLTYTNDVAPFYGLERAVYEGFCMGFTTLLSEESERTVMPLIQQHL LKKASILTNPPKRPIDGKNYVNFKNTNKDHQYWLLQGNETPIERPDYVITPYVERNLL NLVRATSTRRYPILIQGPTSAGKTSMIEYLANYTGNKFVRINNHEHTDLQEYLGTYVS DSNGKLKFQEGILVQAMREGSWIVLDELNLAPTDVLEALNRLLDDNRELLIPETQEIV RPAENFCLFATQNPPGLYGGRKVLSRAFRNRFLELHYDDIPESELETILQKRSRNTAP SDCRRIVQVYKQLTRLRQESRVFEQKNSFATLRDLFRWALRAAETRQDIADHGFMLLA ERVRKPEERDEVKKVIEEVFKVKIDPDQLYDRDTAPEFRGTGGNKNNSQGVIWTRAMR RLGAILDGLLRSLEQAAAILGQETGDIDQMQAWYQSLTADDLAKLPEELKIKIQSDAA RSKALFEWSDGSLVHAMREGTYFLLDEISLADDSVLERLNSVLEPARTLLLAEKGITD SFVVGAQGFQFFATMNPGGDFGKRELSPALRNRFTEIWVPAFTDEEDVHDIVVSKLDA KYKKNLKGVKTPISRIIVNFSSWFGKTFRSSSTTALSVRDILAWVQFMNICNFSSVEL ALLHGAAMVFIDTIGANPSALIAVDPRTMDMQRQECLEKLSELCGSDLAHHYFQEPQL KMDEQALVIGDFSIERLSSADPNSGREFGVPTTKMNAMRVIRALQGTKPILLEGNPGV GKTTLVTALARACGRPLTRINLSDQTDLMDLFGTDVPVEGAEAGNFCWKNAPFLEAMQ NGSWVLLDEMNLASQTVLEGLNACLDHRGEVYISELDQVFKRHPDFKLFAAQNPHSQG GGRKGLPSSFVNRFIVVYSDVFTKQDLLYITAKKFDKIGGETQTKLIEFMSRLDDAVV TARLFGAQGSPWEFNLRDTLRWGDLLTSDNALLSGRKPDDFLDVIIRQRFRTERDRVE VDRLFTEIFQRAPETHSLYHDINSSVSQVGLATLQRNQLSQPTPFPSIDPIHRLKEIE SILIAVEQDLPCILVGPSGSGKSALLNHVAAMAGRSLVIFPLNADVDTMDLIGGFEQA DPHREVQANIAKMKQALQDQILQALPEPVPVAAVDLMGALMALSGEHQYPDILRMIEN LFPEAGELAPLLSETAELLRKPLTLENPRFEWLDGIIVRAVETGAWLVLDNANLCSAS VLDRLNSLLERPNGSLSINEHSGPGGEPRILIPHPDFRIFLTVDPRYGELSRAMRNRS VEIYLEGAPWEAKKAGHQTIAPVDGSLQRFHTATRILNGAENVDVLAPLAFDVLSLGD TRLLEAFKQASTNGLIKSPAASQRLAQLLSYISAEDAGALRQAVASLYGSAPNKMLMP LHPLLNSPMIPILEQDREGLAAWIAGCYELYLDIQIAQSAMEAQLSKVNVSKPSQLNR LQRSWIADKVASLSRDSTVNAARFLASMLKLFKAYMSETINESRAWKERRAILRRLML FWTRTFEALIVPNAKFEEARFQAHLTQGSNLLRKALSILQEADDRKMLATILEYLERD FVVGFKLSTGLSMEALWNQLRPEPVADQECLKQVIEMERLADRFDSLRWKADASIPTL RSVQEAMARAYTVVRSGRGDASALVKELESEISTLEARIGQHPWTHEPFFASTFEALR QALVLHQVTEDGEVVLPALSDIDVLSNLPTVALMRLRASGSSPLQLVDCLLAQDSEVR PWTGTLSRSLLLKYDATSSASMHELHSLETELPIMGKILAKSSDALATDSLKKTERLL LKLMEEVMAAHGDVCKGVVVPLFQGLLNEMGNVQVAELASLLEQGTNFAVDTTQWPQH LVVIFERHFYKALRALAAAEKGLQPRSAYTSVAWVQFSLASIKLFVPDKIFDPHHRAE IEQEEHREIYESLQQQIAALEAFELAFTGQHAGLRCKLLMEEVAGLGEPPAVQSIYRP GDSELRGLQGEFNNVLNALVQNDVAASHLRSISTDAEDASEELSLVERNLVALVGRFI GRFNAYQDLTMPLVSFLRCLQLGLSLGQGAVPAKSSDETDFKSMVKATPFLSGTVWDA ETKSLPLRSLEFLSFVQAIVAVEGIDSLPENLRQAVHESFYAFHEEWSKKLEADRKAE EQKNSLFRFKGSLEDEEAFDQEEFDQLFPDYVDDEEEQDADAPKKKKQIPRGNRDLSI LLAEAHEKIFLSPQEPQQSIRILLTQVARRIARAERNAAAGEPEMDALLLPATILAFE DQIKAFSANVDSNNYNFYTDSILVEVRKALTLVTALKKRFQELQTIEEIGHHQTLADV VIACDKVLDMSIDDPLAAIISPMEKLYAHVYEWHEGGWATKAHKATVLYEKLRDTICD WRRLELSSWSRLLDAEAKKCHDDAKSWWFIAYGAVILQPCSWLQEGKDLYTYAVELLG ALESYFNTASLGQFTSRLNLLRQLRNQLELLMVDEPQLSVIRDAVQNFITYHARYERK VKEAIAAGRTPLDRKMKDVLLLSKWRDKNIEALRASARKSHQKLFKLVRKFRGVLSQP VSGITEQGLPEEEHKSAMAIVDKVAVQADQEAIALVSQLIPSLERQQQWTRITNLPGV LKMMGKFGSLPASAVEIPSNLDEYITSLVTEMAELRKETPQHLTDENKEAVAHLKTRK TKLYTDTLKTLREMGFSRNLGTTILDRQKSAHAVLVHSGLVPHLSESQLNAIEYFYHK MLDLAPKFRQATFEHNDDLNREIVHRSIGFLEGILYVMFKQRMYLSRTAEAERALSQA ITFTKEVSGRSGEVAIQSQKSVKNHGRVLRWLVQILKFAVYMVDVHGKLGETSNDQVR SKLQQWLDTFATLATKQESLPRLPTGFSSSALEQLHSDAEKELDGLRESLSSLLLERP DMAFVIRQVQLWTSAQTVAIANELQETTIDEFAKSALDLSSRILVALQKFPEAVKNLP QSQEDAGWFLAHSEGLEKAINTLAMPRITSEVDGILRLLKTSDLSQPAIRDAETALLR AILPMLEQYAATCQQNLAQYADLHRSTCRLGYTLSNSFIVIAKQGFCSPQEESKEKSG ESGDVQSGTGLGDGEGAEDISKDIKDDEDLSELAQDQNNKNQNDIEDNPDAVDMGEDD LEGDMGSVKAEEEDEENKKDKDGSDDEEDDEMDEEAGGVDDLDPTAVDEKMWDGKDEE DAEKDQKGENNSGKQDEDEQAAAEPGKEKKEQQQESEEAVGEEEEKKEKKEGEEENED EEEGEPGQEQEGDAQPQEELNNQDQNVQEQDTLALPDDMQIDISDGEDEEEDNLDDLE DGEKPQDGEMEEEQAPNGVEEEQQLEEQKDAEKPEEAEAEETDDLNPMDEDNMDVDMD KEEEQDEDAPQDLDKEEEDKEKEQPGLNDDQAQADDDNAAPSDVKTGGGLAQDDQNDM QDDTADNKAAQREQGAVNNQSSDQDNAPGSKGEQSRTEQQEGPNEDQETEASSQPFKK LGDALDRWYRNQRDIRNAPESKEEQQKPDVDMAKVEFQHLQDEDAEPDTQALGTANDE EVRPMDDAMAIDTEMEDANNHVLPEDEPAAEGNKQEDVDMEDAEAPDSIDAPKEDRKD GRSGVATHQGAFEDSDDEDSDARKASAKEGDLDEEINEESSTQLSAIHLEDQPPRPLR DFNEALSMWTTFQTKTQSLSMSLSSQLRLILTPSQSTKLSGSFRTGKRLNIKKIIPYI ASSYKRDKIWMRRAIPTKRSYQILLCVDDSQSMGDSLNNSGSAGRLALESLVMTSRAL TMLEVGQVGVLGFGTDVFVAHRLTDPFASHDAGARVLQQFTFKQEGTDMVNLLRRTID HFRDARLTSASQSGGEDLWQLALILSDGLVQSKDHARLRPLLREAMEQRVMVVFIVMD DARDAQGNAKKGSQSVLDLKEARFGSDGMPVIHRYLDSFPFQYYLIVHHLDDLPGALA GLLRSWFSEVSAS NEUTE1DRAFT_81160 MSLSVRFAAQCAARQLRASTRASSSLLVQKRFESTAAPAANPKI AAIVDQISTLTLLETSELVSSLKSRLNIPDLPVGGFAAAAPAAAPAAAPAEEEEAAPA AAEKTLFTLKLEKFDAAAKPKVIKEVKNLLGLSLVESKKFVESAPKVMKESVPKEDAE KIVAAMKELGATVTMD NEUTE1DRAFT_121926 MADPFEVRMRFTNQLRQLSASVTSSQKAAQYALRHKDLAEDLHS CILEQLERSNLNMRANIMYFIEHFLDMASKDGQEDYIRMMQRDIIRVVDAVAPDDGSG AANVKVVRKVLQALHAKSFLDATILTDIFEVLRERGESYVSSQGDLVLSPSANNNNNL STAAGYDTNMPPSQTAIHSSYPPPFPPSSTAAGTLPTSTNAAAAGGPSSSNQHSNLSK RGGGVNTAAPRLDKKQVEQRIEEDRERHKRLRENIWAVPSGEEMDRLLEETSELGDDD MRAIWEEQEEWERSLQAGIGGSGGGGGGAGCGHTTTSNGGGGGKNGGAAAGANGVSGK AEGGRNGNKEEEEVKKVKNGGERDASTNGDNMKEKPMSIGGEIAEMARRKQLQLLEKE QQERQDKDVEMTSG NEUTE1DRAFT_129449 MAAPQVKSSSELTMAVLGCGTMGISILSGILSSLSSIAQDPSPP PTNPPALPRHFIATVRSQSSVAKVESALSPLVKPSVSTLRVLQSTSNVSAAAEADIIL LGCKPYMVSGLLSASGMKDALTVKHAEGHARSQKIIISICAGVTVPDLERVLREDVGL SVDDLPIVVRAMPNTASKIRESMTVINTVDPPLPDSITELLTWIFERIGEVVYLPPNL MDACTSLCASGTAFFALMMEAAADGGVAMGLPRAEATRMAAQTMRGAAGLVLEGEHPA ILREKVSTPGGCTIGGLLVLEEGGVRAAVARAVREATVVASLLGGGGAKNVNGTRH NEUTE1DRAFT_121928 MSGDDDWWTSSNEALLVSLVTPSDTGVKTLDTFHPEYTNNIFGE KEQIFGYKGLRINLQYNASDMLPNLKVSYKKKYQPTADEEALDVNEVLSEFLPEIAFE KQSDFETRLKSIPDNWTPPGTLITSFSNKDGEYQVYSGKITDPAVKQLLNRIQILVPF FVDGGTPIDMEDPDVDRWTIYFLYNKRPLPNQPDQFSYHFAGYSTLYRYYAFQPPAES ESKTPTDTPTFSVDGNFDLDTLPCRTRISQFIIIPPFQQKGLGSRLYSIIYQQYLKHE PTIELTVEDPNEAFDDMRDLADLAFLSKQPEFQALKIDTSVEIPEEGKAPNNIVDQAA WEACRKKFKIVPRQFARVLEMYLMSQLPESVRPGLGAPEDDDYEEQSGRSKSKGKEKA LPKPTPEDEHTYRLWMMLVKRRLYVHNRDALGQLELKERREELAKVFAGVEFDYARLL IKAEEQSKLAQADGETASDQVPATPSAANGKRKLDEVEQTEETAAASSKKAKVENGNA NEUTE1DRAFT_62491 MGNLLILLALCVVMALASFFAGALPLSLSLSQSQLRLISSIGVG ILVGTSLIVIIPEGIEAVATTNTVQSAHSHNAAAARRSLMGVRGRSPVQTVNWHISGN HLEARDGNGDEIPHIKTHKIVTAEQILKNLHDKRDEGPKDDTKSSFQMSTNPTAEKDD DGHDHHENSLPTFQIGLSLTLGFALMFLIDRLPQHAFSSSSSSTPQTRHISLDNLETS SISESVLEGRDGSSETDGFLSSFLSPSPKQARTLATTVGLVIHAAADGIAMGASATTE DMRLGLIIFVAIMVHKAPAAFGLTSVLLRQGLSKRAARGHLIVFSAAAPVGALVTYCI VELFLGGSGGQVATEGSRGEQWWTGMLLLFSAGTFLYVAMHAMQEDSNSHDHHSSSNG YADGSSSQQRRQPKPLRDTMFTVLGMLLPLLTQFGHHH NEUTE1DRAFT_100432 MCLTDERKFPFFSLPAEVRCMVYRAILTMTSTITRSTKEHLKND EQPTQASQQLEQITNFNNLACSCKQIRSELFYEHVAYVLPLTQVHLGSAYRTPTPSAL ALTHNKILQQLQFSTFLTQHIYHQLTSTSASGPVLSGSGPGVAEEAEEEAEEWNDSDN DSDEGYYEFAHFFCSFCWEDLMTLPPTLEKEATEWYQRRRVEEEQYERTGIWEKMEEE YKGGDESKGSCDCARDRDVVQRGNHPGGLRWLLKLQQLTSLELVFTDARYNAMQRFTR SGFALPVPAEEDSDDEEDGGNDEFMDWFCLDCWELLMGLPHTLEKVVFKVWRGADENG EAAVPVVRETWEVMKWFRRMKVLEERYQRRLHLNVKDGVEDAVVVVEEEVDGTANVPH NEUTE1DRAFT_109759 MCFPNSKSTFLCLPAEIRFMIYREIWTLEADVDSDTDSDSDSNA LHQAHQQLEMITAFQNLAITCRQIREELITEYFTRVLPKTQLHLGSVYPPRHSLYRGR GPACPPPEFSPLAHTNHKSFEVLQSSSLFIEHTQHVSIHWGGCLCTEGSMYQCPIRPR GLEWLAELEDLRTVEVVFTDEPSSCKNCLAKLLMLPRTLEKIVFRVFISDVVAEAYEA RLTEWFWGQRPEFLAYMAAMEEDEEVIPERKVEESGLYKIEHHIGAVVVEWPLLDTV NEUTE1DRAFT_116843 MRQEVLWEYFDRTQVYVRWHDIQFGFGRFINTPCPVFDVVACIQ SSRLLRHYTHHVSLQWEPCLCSRDSEGRRTNNCQHWDWLLKLEQLKTLELVLFEGWIR IMACIRSDPPRSNPGGNAQALFCSVCLSKLMKLRNLEKVVFKKQKLERSRWFARIKKQ VSDMILKAPSQKEAQPTYLIEQHLGAVDTEWPRSFKI NEUTE1DRAFT_129452 MTLQPTKPLILAIPLEVRHEIYRYTWTVPVDFKKHLMKPYAYCD LYYWSKAYLELQVKQLHTLLSICGQMRDEVLSEYFYRTQVHFRHLFTSGRIHNSRANH YIRSSLLFASYTQHVSLTWILRRHPPPEFLREFSETIEWMLQLKQLKTVELLLVDSQL HTFHDFLDQLTAVLNDFCAFHIVFQLGTKLRNLEKIVLKLYFNRCRVELDADFLKRAP RLRYFNDVLSRSVREDLRQPEQQPTYVFDQHFGAVNLDWPLPNRI NEUTE1DRAFT_129453 MSSEAKPPILAAPLEIRFEIYRHVWMIPDSDLPRLLEACRQQSG RVHLYPEIYYFRHQVELLNALVSICRQIRDEVLSEYFHQTQVGVSNWRDHNPMLKEVL LLPLDMQHIQSSLLFVSYTQHVSLFWTAGESDLMTRALDWLLQLKQLKTLELIINWPS RHVESALNEILDSSLFERLTTLRNLEKIVVKLYGDSLEETPEIRRIEDILSKSVREDL RQVYCTPMKRVPRFFSHSLKDT NEUTE1DRAFT_116844 MRLTDQLKFIARLSGLSQRSERDDLHVYAPTNARIAIGDYTIEK DIIEMNIGM NEUTE1DRAFT_109762 MLSQSAQPYQSLMGSQFRRAYVLEMLREKVGAFEVLHGGKHAHI ASRRRRRRRHGRQRVSLLHVVADGLAFTLSNEVVLLRHDGRSPQVLVDHQPDFNREAD EGRMLRLSFYWKSEREKGERSLVGERGPRDPTTRKDSKAFITCSAVPTGVSSSSVWLA ETCGISAV NEUTE1DRAFT_81173 MKLGTFITHMLAAAATLGVYAVPTQRLRPDWPDCPEFNKGSFDI KYPKLYPTSAAWDGNYVIKYDVYKNAFVWKVNLKALTSKIGGCYGLETDFEGNTYVAC TDGNTIIRILFDGQFPKTWWPEHVDPIDQAIRGLAAIPDSSRLLTHNGESLIGALDMT MEFGQRLPDWLANTNMMIADVQGITLPPKYKSHVCLLTSPTQGVQVVEAMGWLWQTED YLNHRHAVQHTFTVIPPPPAIAAENGYPSDTVQVGSNSIFTLFEYKDGHKTGDESVWY FEDITQAIEQNLALLHGPNGFTGWNDPMIWPDADEIRLKSDLPEGWHLNRGT NEUTE1DRAFT_146118 MSNPVDDASSGALLGAHWTQQGLPADDTDDADSTLGDEIESSTA SLSSSILNYRNINGRTYHSHAAMDEEYWAPNDPKHLEALDIFYHAIDMMLEGKLHQAP LSQNIENAVDIGTGSGLWAIDFADQYTNCTVIGTDISPVQPSWVPPNLLFEIDDATKE WTFRDDYFDYIHMQLLNGAFDSLTHIYSQAFRCCKPGGWLEHVDTSVMVTSDDGSVKE NSPLGQYGKLFEAAGNRVNRMSRTADFTTMEDAMKEAGFQNITVKKFKIPISPWPSDK RMKDIGLYAYATMTTDVEGIIQFMFGTILGWSQQEIAVYADLLRRQLRRKDVHGYYTL KVVYGQKPQ NEUTE1DRAFT_129456 MVRIPIKMRLGGCVNGICEECGRIDFRTIFQCEGDQLLTKTDSE PYYPIKDNSRCILGRVSLKNIDTCPLCAFFDDCYPDRTPNQKLGVYSGSLSDICGYDD IPRNLDSIALYLVEGGSANTSFRSPLIVAPKCINQPKLAVTSEHADDDDDEHCKHLGG REIRPDVIDYDVIREWLDMCSSTHSGCVDGHLSLNFKDLPEFRLIDCSTGTMIPAFQA REREYVTLSYVWGPAPVVSSPTKPFGLPDELPHVVADAIKVTMNLGYRYLWVDRYCIP QDNAKAKQRQIQNMGKIYSRSALTIIAAAGEDSEYGLPGVSCRKRITHHLALDNGRLR LSTIHLAKFDITPSKWNSRGWTYQEGILSRRRLVFTDHNVYFQCERAYTSDDIRLPLT GRFHTSNALDPNHEIMPFLFSKDQETSSHAWYTIGKFAERNLTYDSDALDAVAGVLHR FPPSTVRFLCGLPITVHPECPSPPPDTAGVQVPKGCLAMSDLLVCRRTSTEKASNMTS NHNNLYSQSDIGRAVLVDALLWQCKWSATATSYWPQPHTSTSNSPRRSGFPSWTWAGW KSTNERMIKFSGSNSGLRCPRSWERPGRDGTKKDIEFLPCTISVSLSGVSGDKGGIRS TNKKPKPSKRLLKWPEASKQILKLSDQGKLTNSRLIIKGSVFDVKVAINKRNGADLPP AGKWSDDGWKITWPSALAGSPVEVPPGLLEESETHDLLAVLFRRGIADLVPEGFLDTL PARNRAKVAALLKKASEASSLPRGRIEVGCFFLLLRPVEEEGVVGGTVVYERVSSCDA VMLLNHKEAVGEHQMEEADRLLGVRTMEVVIR NEUTE1DRAFT_129457 MGNEIFGHSFTNSSDPNWVPEPGTRGTFSILSVCLVTLGLCVWT AIHLNVPEHNEPGWKQLMRKTGWLMLSLLSPEIDVPTKAVPAGQVAYTAYQQYGQASL LVRTMNKQKKRHDSDSDSSYGLDLLFGRNSFCESDSLCHDDSPSRKKGITDIMTSWLP CLSRRLRNDEEDPPSTTVHTVEKWTMVHGFLAAMGGIAIEIRDNDPEINVADRIANFL PIQDSQRQRTRLTLTPKGLQFLKEHGYEKLIPDLSENRIKDKSKASAFAKTLVCIQAS WFCIQCLTRFAQGLAISLLELNTLGHAICTLFIYALWWNKPLDIVEPETVLIHPSTKR LDKKTAELDHLHFDDEMFTFPLYRSTEGSGRHFDLSGLPSKRIHYVYGQILLGVVPVE TPILYLHVKQSSALLEKARLRPQKHDVIRTKSENERDRDWSAIELRRILQHSAEEFIV SRSVNVRDKESAIELQTQLHTRNSAEERPSSAFRSEDYSPNSASTAEMLCIVIRYSDI IRWQLTLKHAPHRHMIPPKDTFTDRVRNLPRFQDYDHNIPLFIGFGVVGLIYGGLHCV AWNAPFTTSVERILWRISSITIAATGVLVACVFSWTKLPPFWHEQSHTIWDIYDFLGD TSRKGSLLGIILSWCTGAKLATMLERLVKRQPLYKNNRLVKVLCDTALWALLLPYVLL LPLTILLLYLLKVLRDIFTILFMVLYVLARIYLVVISFINLAHLPDSAYQLPQWSRR NEUTE1DRAFT_41251 MKIKDKSPAKTGRGRGRPAKGKEVAKEVAKEESKADVAKEKSSA EEDEDVMEVVEEEVSSASASEIEAEEEEEDEDEKTEAVAKEARKVIQTTLATKVKDPY PDWKAGEPVPYAALCTTFSLIEQTRKRLLIMEYCSLFLRQVLRLTPDDLLPTVLLMIN KLAPDYAGIELGIGESLIMKAIGETTGRSIAVVKNDQKEIGDLGLVAVKSRSKQPTMF KPKPLTIRGVHKGLMDIATTMTGTGAQQKKVDGIKKLLSAADANSTGKVDITKEKGGP SEAKFIVRFLEGKLRLGLAEKSVIVSLAQAMIAHEFAQKGKIPSESDFSKAESILKTV YSELPSYDVIIPAMLQHGIMNLREHCKLRPGVPLKPMLANPTKAITEVLDRFEGQTFT CEYKYDGERAQIHYVAKDAPKSEGDLSQVASKDTGKGVAAIFSRNSEDLSQKYPDVLA KLPTWVKEGTKSFVLDCESVAWDTVDHKLLPFQQLMTRKKKDVKLEDVKVKVCVFAFD LLYLNGQAVVEKSLRERRELLREAFKPVEGEFAFATSMDGQELDEIQTFLDESVKAGC EGLMVKMLDGAESGYEPSKRSRNWLKIKKDYLAGIGDSLDLVVLGAYHGKGKRTSVFG AFLLACYNPSTDTYETVCNIGTGFSDEVLQELHSSLSPIIIDRPKPFYAHSSGGQHQP DVWFEPKYVWEVKTADLTLSPRYKAGMKEGVDPSGEKGISLRFPRFIKVRDDKKPDEA TTSRMVAEMYRKQESVGKNKGPAVDDNFEY NEUTE1DRAFT_81182 MFSRAARLSTRVAAPVRARVAAPRVVIPSIAARRSVTTNAASAQ LEKPLPESETEPFQVTLSDESFETYELDPPPYTLNVTKKELKQMYYDMVVVRQMEMAA DRLYKEKKIRGFCHLSVGQEAVAVGIEHAIERADDVITSYRCHGFAYMRGGTVRSIIG ELLGRRGGIAYGKGGSMHMFTKGFYGGNGIVGAQVAVGAGLAFAHKYTGRKNASIILY GDGASNQGQVFESFNMAKLWNLPALFGCENNKYGMGTSAARSSALTDYYKRGQYIPGL KVNGMDVLAVKAAVAYGKQWTNNDNGPLVLEYVTYRYGGHSMSDPGTTYRTREEIQRM RSTNDPIAGLKQHILEWGVASEEELKNLDKEARAYVNEEVAAAEAMPPPEANQQILFE DIYVKGTEPDFIRGRTPDELFYFKH NEUTE1DRAFT_81186 MSPYGKHQSISERRGSVLGEELSLNTHSAKLAQQHRPQPPLTPL GMNPSADFNQQLSAGSPPPPPTPAASPGPSQTQPDWSNAEEDESIVLSIMRENFLKAT TPEKKRILEDIVNMCTSQQLSFILQLVSPRLKKDPMMSLPDELCLRVLSFIDDPKDLA RASQVSRRWRDLVSDDMTWKNLCLKHDYQRRLSEVQTSMQYSNFLRPDPTSSFYQPYS APAASVTFDDASSLRRPRSYKSHFKQRYLVDAAWRTGGRNITKNITQEGGVVTSLHLT KKYIIVALDNTKIHVFDTEGNELRTLRGHMMGVWAMVPWDDVLVSGGCDRDVRVWDLT TGVCMHTLRGHTSTVRCLKMSDANTAISGSRDTTLRVWDIKNGVCRNVLVGHQASVRC LEIHGDIVVSGSYDTTAKVWSISEGRCLHTLQGHYSQIYAIAFDGYRVATGSLDTSVR IWNAATGECQAVLQGHTSLVGQLQMRGGTLVTGGSDGSVRVWSLERFCPIHRLAAHDN SVTSLQFDDTRIVSGGSDGRVKVWDLKTGQLVRELISQGEAVWRVAFEAEKCVAMALR NSRTVMEVWSFSPPEDVLLDRHERQPFMLTPRPTIEGPVPERPSSAMDDSLIGNGMQD VDMPDAGPATAPLRPNASFFQASRGA NEUTE1DRAFT_129460 MSKRKKDFLTDFDPNKSDPEDENFDPIAEKQRTPRSARKQANTR RPKNRGAAGGARRRNSRYKGSDIEDDEDISDSEQDISFGEEEDEEEEEDSDAPVNATG RRMRQAAARHQSYRESSEDEDGIQSSDQDKDVVQEPDEEHRVPSIESPEQSPSKKKSR IVVLSVKKGAKPSSQRPRRAAAAKEPATMVPPTRRSTRARTEETDEPLLELSNSGRHT RVTRASTRSKSPEAMTHATRATRGSKGVKKQQPPPIPEASHEEDDDEFKVETDIPNVD DVDELAGQANMVDAPADHPDEHQEGGDEEMVEEGAAQQVSEEQPAQTESQPAAEDADG DDEDDRPITRRTRGARAVTSTTAASTTTTVTAVEPEGEGLRSRLRRGSRLKKRSLAEP SSDFEPGEESGDNEGYASEASVKKSGRKGSSADESAATPVRGRSTRARAAKRPRRNQD SGDEEVELDREELAEELEELRESSRSRPRRAATRRRRSPSIQYEQPAGKRRRTTQRVD YTIPAIDLAALEAEEDEEPVATPARGRKRNGGNAGWDRPLNTTYGPFGGAGIGALLGG PWGTGATGGVDSDSSDDEMVQRTGPGQNPIGMTPTSAAPAVGLFNPAAQTHNVDGLGG IGGATPNVGKVKNLKAFADADPLGVDTSVDFSKVGGLQGHIDQLKEMVQLPLLYPELF TRFHVTPPRGVLFHGPPGTGKTLLARALANSVGSGGRKISFYMRKGADALSKWVGEAE KQLRLLFEEARRTQPSIIFFDEIDGLAPVRSSKQEQIHASIVSTLLALMDGMDGRGQV IVIGATNRPDNIDPALRRPGRFDREFYFPLPDIEGRRSILEIHTKDWGLSNEFKDQLA EFTKGYGGADLRALCTEAALNAIQRTYPQIYTSKEKLVVNPQKINIQASDFMHSIKKM VPSSERSASSSAMTIPKMVKPLLEKQFEALVAQLDKILPRSKKTTALEEAMFEPYKDF DGGFEREQMSQDFQRSRVYRPRLLLCGGAGMGHGYLSKAVLHYLEGVHVQDFGLPVLL NDSRPPEQVIVSLFTEVRRHKPSVIFIPNVDAWWTTLGYATLTTFTTMLRQISPTDPV LVFGTAESMPDLLPPEMLTELFGFSKKNRAAIWRPDREQRVAFFLPIIQNLWKAPEEF PDPSSRKKRVLEILPVAPPPPPRVPTKEEIKAQRQIDFHHLNLLKARLQPIMDQIQRR YRKFRQPVIPLNTISYLFQESDPNFVRPDVGEQEQRPFVISKDDKGVDGILETKTGKF YYNLDSTTIEERLANGYYARPMDFYEDIKRLFLDVKTIGDKDYLPKASEMVTNVEIDV YDINLSFKAQGINFEDIYQRQLERTRKAEERHRKKAVFQPMVDKIQSDLAEAQDDSES QGPVGIGFPMNKPAHTTAARFQVITSPKLTGNPNSSGSHPLTNGTSHPSNQGETGQTV EEESTLLFSQGVEISSPLRYRQAQRQLQQNRVIAEINRETIGTFSQTSALTSVPPGVS QAAIQNDASSTRTSDPSSGRGEWNTQQTNGVQSISRGTSQLLESQETTVNPPAAAFPV ETNSQSQSQSNSSSSGPWPHSQMAGIAQGILLPAVPEEAEVHDTNEASAGGLRSSSSK DQPQQGSSNDDSQNSKPSNDDSQNSKPSNDTPDSQRSLLEARRLRDLAIADCMERVLQ GPVRPGSTGGKKTDSSPYSNNSPALGRNNALLPFGRRDTSVSSQQQPILNDGKVCEFW STLVDRTAGCNIEQMEQIHRELMDAIWQYRHEWNRMRVLSTLADVFDDTVTDIELVQG ILIDAQGREQRKYERKELEKREAEAAKSSSSTSAQSRATTQTQAAVAAVPVDAAASST GVVAGSVAAPGPAAVAAARGVPTPAPTVVSASAPSAVSANVAVVAGNGSESQGTSGSG TGSTNNSQKSHSSQKSKSNNSGKSSSQKTPTSNSTSQKRNKAAAEDERLPYIWLK NEUTE1DRAFT_81192 MGSSSDKKRKSAEDTTPQIKKKKVDSEKSSKSPKFINVGAVKRP QHAPPVVAATSGLALSSSIQFQAYATPADKTSKRKKNGAPAQELLLHSANHRTMDYTA REDRTGDSSDPYLKHYIGIFNPKTAEVEIVEARKTVLRGTVRSQKAVDEAMEEKTQKQ QMMAMRNELGEAFGTKKAKKAIRDVTENAITSQKVGQSELVMMDAIKTATKDMSTKED LQAAVDNARPVPRGNFAATEIADVYVPSQIIGSEVLNAIPVMDWQESVKNLEDIQVPS RFVAKRVSNVASSDDAVHRLRVLRYLLFVIIFWNATSQGRERGTRSIGKRDKLREVMA PAPEIVIENIRRKFSDGGVMRKTHVDLLMTHCCAFAAIIDGFRVNTIDLREDLKLEQK QLNQYFIEIGARVKQQKVADKMENFAVLQLPLQFPKIRLPARKR NEUTE1DRAFT_121940 MKLTGNQPIPYPPPNSSVLCVPQFDVQHVPRGACSVGTLSSPDH RKVSERPMWRHSALRGGVDTEPKLSDGLEDDVKS NEUTE1DRAFT_116850 MQSNELKLPQRELGPPRAKVAPRTDRIQTKSGRPGQFGPASPDL PHRAACPGAL NEUTE1DRAFT_100447 MSQPPVASVSFVDTSTTPPIRGGFYIWPNQTVRIGRDTDRDNND VVFADSTVSRNHFELYSITVDEEGRHTSLVFVRDRQSSNGTLLNKKCVGKKPDITPGR LLQNGDVISIYPYITFKFVQLEERTSFLRLTARQREELKLLESRYLVEDRTLGNGAHA VVYLATDVLTGQQVACKVHNLDRRPRSHQILRRIKQEAMLLSYLDHPNILSIKAAFES EHSVYIFTELATGGDLFSLLSREGALPELEIRWIIRQTLNAVDYIHNKGLAHRDIKPE NILCAIAPNVSYRLVLSDFGDSAVTNRGRLKSEVGTTFYRAPECYNSERGHSLSVDIW SIGMLCLQLFSGFQELPNLRHLDFTSQGRIDRYLNIILNDHGCDVAVQDGDEDYGNDD QPIDSQTSRLRPDENISSHAKDFVRGCLTYNSKHRLTARQALTHPWICEPEEDDSLFR RLERDNAASWEPRKIRLAPFIEKLDATTDAHNGDREQELGTVAEPMEEEEEEEDEDAS AKISPHFQRNPTPFLSCHTLSSAHQQREEKDTDHPLSANGWSSPPLTIQTRRTSQRPQ HQPDIHVAFVKLGT NEUTE1DRAFT_121942 MSTTAASTLAYDPTGDRYDPDEVLLAQNSPLMKPYHPQLGPPTS SLDEFSYPKVSPPSSPSNKRSDRRFKSKPSQGDAVLLHMLDGGRRPEIAIQAGLEALP SEHSDSDRDDSLEPDTASSMDGNEIIQSPHFNGEDHDDIEHLHLSLRRRNMSAEPSRE INTGGGFDSLQSLAAGALGVVQNLSAPSVKQEEADAGPTPPITEHDTATVQSTIAARR AEADMDTDRSTQPAMLTPYSPRGITFSPREPGSIPSIASPTNPLTPNSLPEGLPPIHP TSPVFEGASQQTLPSIRDSLGVADLNQLSRPIIERSPLQPYPGSPPGFPTSLLSYTNH ASPPQSASDPYRREPVSPYFFSQGNGLQRPHDYASGSEPSASDHSRSHMNASATSPGS IADRMSIDGLTSHTGTYVCKFQGCNAAPFQTQYLLNSHANVHSSARPHYCPVPGCSRG EGGRGFKRKNEMIRHGLVHDSPGYVCPFCPDREHKYPRPDNLQRHVRVHHTDKDKDDP LLREVLAQRPDGPSRGRRRRGGPS NEUTE1DRAFT_62513 MAGVQMPAAVAQHPQMVMAANMSGQQVQEVYARYQQLKKQGVPQ NDPEFMKLHNIILAVSRRQQQQQQQQQMQRQQQQQHQQQHQMAGGAVNGGQPGRAPGP QQTDGVSGAQVPKTPAAKPVGMPTGQPQGGVPYTKAQIQLLKDQIKAFQALSRNQGVP AALQKQLYEFRARRNSAQVAQAASNASAAQTNSSTPTQDTPKTGSSNGQEEADSTPKA RELKTVKLPLEAGLVKNSINYLEHGRRKNRLIIPGIFPTGVDFEQLRADREKIVFNRM SARYAELKSLPGNLAHWDTSKDEVVADDTAKRKAIIEMKKLALTMYRRMKKQSVREAR VTEKLEKQQRDARENRERKKHVEFLQAVQNHKVEIQNVASIQRNKLQKMGRLMYAHHF NIEKEEQKRVERTAKQRLQALKANDEEAYLKLLDQAKDTRITHLLRQTDGFLHQLASS VRAQQREAAERYGDDLQNIPEEESDVDEDEESSRKIDYYAVAHRIKEEVTEQASILVG GTLKEYQLKGLQWMLSLYNNNLNGILADEMGLGKTIQTISLVTYLIEKKQQNGPYLVI VPLSTLTNWNLEFDKWAPSVAKIVYKGPPNTRKLQQEKIRRGEFQVLLTTYEYIIKDR PLLSKIKWFHMIIDEGHRMKNANSKLSATIQQFYSTRFRLILTGTPLQNNLAELWSML NFVLPNIFKSAKTFDEWFNTPFANTGGQDKMELTEEEQILVIRRLHKVLRPFLLRRLK KDVEKDLPDKTEKVIKCKFSALQQRLYKQMVTHQKILVSDGKGGKTGARGLSNMIMQL RKLCNHPFVFDEVENQMNPTNTSNDLLWRTAGKFELLDRVLPKYKATGHRVLMFFQMT AIMDIMEDFLRFRGIQYLRLDGTTKAEDRSELLRLFNAPDSPYFMFLLSTRAGGLGLN LQTADTVIIYDSDWNPHQDLQAQDRAHRIGQKNEVRILRLISSASVEEKILERARFKL DMDGKVIQAGRFDNKSSETDRDAMLRTLLETADMAEVGEQEEMDDEELNMILARNEDE LVTFQQLDDERARDPLYGTAPGCKGIPRLMAEKELPDIYLQEGNPIEEEEAVSLGRGA RERTKVKYDDGLTEEQWLMAVDDDDDSPEAAAARKAARKERREQNRLKKIAILNNASG SVDASPSVSRASTEEVEVAPPTPKKRGRKPGSKNLEKRPREDGDDEPPVTKKRRGPGG RPKAVSNGDSRMSAEMRTKLQQSMRRIFDGLMNLEVEDDEPAEQLDGDKDEDEGPPTR LIIGPFVKLPPKREWPDYYLMITNPICMKDIEKKMKKEDYHSLSDMRKDLELLVRNCR TFNEETSMICIDANRIEAHFKDQFEKELNDHPELRTLEDPSSSTFSVGASSTKDGSVA PSTITNFTETPQPSSAPPVLAPAPTQPTRIKLVSSSTSYNNAASSSSAMTSAVNSMSV SQVMNGTSAADSEAGDED NEUTE1DRAFT_18744 TMRGGQSSVRVVEVCATSKGGFTERRGRWLSTCAVVQPSLLDEM AEARWS NEUTE1DRAFT_129465 MSDSDSDDYLVEQPQLAPDDPMRAYVPLSFGKTSREANIAAQIE RARRQVETPAAPKKGDRKKDDDSDNDSDDDDDDDDSDDESEDESDRFPVSHELVLKTH ERAVTSVSLDPAGGRLLTGSLDGTIKLHDFSAMTPTTLRAFRSVDPWESNKSSATTDS HPIQRAEFCPTSGSHFLCVTAHPQAKIMSRDGDILTEFVKGDMYLRDMHNTKGHVGEI TTGTWHPSDPNLCVTAGSDSTLRIWDVNNKRSQKEVIVFKSKAAGSAGRTRMTSVVWG ASTQGSNPVLVAAALDGSLVMYSGNGPFTRPAAEIREAHKPDTWTGGIDISADGRMVV TRGGDGLIKLWDTRKFKEPLVKVEHPSTSDRFPMTNIRYSPDSRSIITGSASGHLHIL NPGNLRPEHVTPITPGIPIIVVEWHPKLNQIITGSANAETRILFNPEKSFRGAVEVMS RAPKKRHIDDDPNLTMDQSLGLSADSIVTPGASVPKPKGKRGSGTGSGTRGPQVQQIT PFMRSQPDEKHISENIPLSKMLHEDPREALLKYAEVAKKDPMFTKAWKETQPVTQYAD VSEGEEEGEGPDRKKVKR NEUTE1DRAFT_62521 MSSSSALSLEEKEHFLTHGWVKIPAAFTQQRAQEIIANVWTRLG MDPNDKSTWNKERVNMPSHMEFDAADFAPRAWAAISELCGGEDKIANWSKKWKDSLIV NLGTPQKEGKPVPPQKLDNWHVDGDFFVHYLDSPEQGLLVIPLFTDIMPEGGGTMICP EAIPILAKHLYEHPEGVSPRMAPRGDAEFKQEQHLNWFNNTAKGCTQFVEATGKVGDV YLLHPLMLHSASSNAKRNVRIITNPPVALKQPFNFDREDGNYSLVEQVTLRALGKDRL KGWKITRPREQIVPERVRIQERMKQEELARLEALKKAAGPGASQGVQAAA NEUTE1DRAFT_146129 MPPTLELLAEDYASEEDSDFAPETAEAAEESSISDDDDEEAGDD AEKAKPEKRKRAAIDEAEDAGYDNSGDEAIIKKGEKRQKKTKTKNAADDEETGEGGLI KTRSQRAVEKEKRSTAAASGPVTIDVDALWAQMISEPVIPRTSTAKPDESADAAKRDI AKLSSQQPETAKAKDPDSDLIKIKRTYNFAGKVHTEEKLVARDSAEAKLYLASLGENA PADGETAAEDESSSAKRMPRKAFRSVFEPITDANSAHRSDLNLSMASRLQAREATSNK AKKLNTVEKSKMDWAVAVDKMGLKDELELAGKSKDSFAARQDFLARSEMRREEEVRRA RMAQAGKT NEUTE1DRAFT_116854 MVIGCISFLSLSYLLLVLSFSSHSSPGNQKPPVLPPTLSSSNLL QKEGLTRRFVEDSHRRLETFHVRLHANGF NEUTE1DRAFT_116855 MSGVGESNGIQAHGFWGEDAGNKQVATDWGEGREGKGEERAGVT KTTTDEEMSDARFVPLFSPLWL NEUTE1DRAFT_62526 MGCGMSTEEKEGKARNEEIENQLKRDRMQQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIFSNTVQSMRVILEAMESLELPLADQRVEY HVQTIFMQPAQIEGDVLPPEVGNAIEALWRDAGVQSCFKRSREYQLNDSARYYFDNIA RIAAPDYMPNDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFIKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGDDYAAACDYILNRFVSLNQHETKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI NEUTE1DRAFT_81209 MGGGRIDVYMDIGKRLTHTLHTIVSLYSYLAFLDLQQNGELLRA HNVEVEFHPVLLGAIVVGSGNKPPWTLPAKAVYFAHDVRQSIARFPGLVIQTPKDLMA VSKSIVPNRALHFIKSHHSPSTFLTILHYFMYLFWSPPNLDLTLPENVAKALLECPED FDGSIAAQSSEGDQKKEKLFTKEQVEEIMKGTETPQIKDALKNTTQEALNKGAFGNPW IWVTDEKGRQEPFFGSDRFHFVYKFLSLPYQDVTLLPPVAAATTVTIPPVTNQFTPTP TTTSTTSAFSTIFTTTNRIPSTTTLSSTSNTPPKINATSQSSHSTAASRHEIILLALG IFILVSQLALIIWVGVRRRRFSLSSSSGSACAYHHWHRENCPRELNGLQLDGRGSGLG CGSGSGSGSGSGRGGGGGFGHWHEKGGKCLWKEAFGESPSSPMSSKTMGLRAKEGMEE EEDGLESSSGSEFAYDGDEGEGGREGIRSRGNSVVIAGAHELVDRVRKRSNSLGTFLM GIGVGEGQHVEMVPEEQQKTATRKRSNTFTMGLGRRISGGGEIGLRERKGSAATATAT TIAREGNTEMGVGRTSGLSADLGRLGGKFDGTEERDGGGGGSKKRRGSWVQVLRRFSG LGYQHGVQDPEKGGCW NEUTE1DRAFT_116856 MSDSTSSPVAAAPSTAMTRPVSEALLNEKWDRCLSNLLIKSTLG LGFGVVFSVLIFKRRAWPAFVGVGFGAGRAYEECNTSLKQAAREIRAQA NEUTE1DRAFT_129470 MGVKSAESQTGEVALIKAIRALDGNSADTIGQRLETVWNTLSKH RGGSFHAAEEMLLRWLLKNMSGSAPINDQVRRFPLSWNIMGAVFAWIPLFSLAKSLAD RRFIAVLQQTLKDISTPQSKKGDSDVEMKDATSNETAQNSRKRKRTTAAKFDLSSQQQ VAGCLQTAEAVFEALRILLSRCDIKSTDGLPSNRMGAEHIKSLFSSSASDVMQILVPI LSICNLAAKSDELESSKEQSTWMSTFYTLWDLHLQGPEDASAVAVSLSGLGAHLLSRL TATPRHPSLTIDATVQEQWARDLRRFLTRNMILPARAAFLNNRAQDVIQVATQMSSDF ASTNYPVLFDLVTRAPRVLSEKATKKDYEEWIQAVFDGILAALKGADASKSQPAVEAV LEMAADQQLPLSAESLRSVCKAYGLQGDKLNWKLHLSIAKLNPDVFLVTQEGQVLLDQ ALAHTFCTKEKEAEKFDKATEFLIHLVNGHARARDLQGFIKTWLTYLDKNELSGFPWT SGQLANAVAKTVEQSLTVGQLVDILSWVDGQKTKQKGVKLVILAAIANGLSLEAYIDA ANMRTLEIASKEKFDKKEKSVISTARWTVAEKAISRATVADAKSVWGSIHSNLKTTLK RSETYSPEAFAAFKCCAAAWVKLADDDEAASLTSSFVDKLDKKDDTKGTSKGDESSVS KSSYTTWILEGCPHLVSLLTKRQSAFPPTLISALTPSEKDESRTLDSAQVLAQQILEN QNIVGNEKVIGGLVDKTISLIETPKGLESLIVARGAIQLLLEVPMEALTRQQRESTMK RLISQAKKVVDKPKHVDAQYWSLVLSLMTKLMSKPTFYEDMKFDDLETTGRCIRKIYK KSEEKGSTETILQEREDYRLLEALATSTIRQMCIAYPEEREKAYIANALTVLKSGFEE AYVAPRLVLLRAFISVVKENEKIKDEADSLNLLATVKPIITSKKWRGKKLLPLLLALG ATDVLEPKDVKKKFSSAVDTLLKASNWLLEHGYQAGWEVRTFIAKHFREELGAPLQIV TGAQATADDSEEEGNDAEKLGSVTAAFEKLSVLEYVDVVVSSVDEDTKLGYLKELLIG ESETSDGSSRLLIVERLIQHISGTRPPVRDDSSQGHFDLAQAHTLLCSRLSHATDSPA QFIQIAKIVHLLLDKKANCMTQWNIDSTLSAVSAIASDVSSTTMLTTTPKTYESLCHL VEIVIKRHRKRLDGHFHILIPALQSLLRRLISSASSTSTAPSLLSDSTKERHAKLLSR LLTLITEPTVASVSSRSNTSATTKDTEHHNYQHQPLDSEKDKAKRYAGQHMYLVLMAY VKLQLEFVVPLVVREQLETGMFSIVDITPAEGELRIMNDGMDPSGRVIFREMYKRWER FGKWSGV NEUTE1DRAFT_81220 MPALDGILEAANAPQQDGQITFPPVTRDHILHCSYDYWFPKYRT SCIRSRVIPLSREFISYIREDGIILADDEPGNENDSDDDDDWEPTVPSSEIPAPPRNP GDADNDSDSDDEDSTPAKLPPNKRFPDLHNAINAAIKALGGAAAPKLNWSSPKDATWI SRHPNTVKCTSANDVYILLKSSSFISHDLDHAFDDCVPSTTTTPQSPSSTAAAAQAQQ QQPQGFTPVLVLRSFFSPLPSLEFRCFVKDRNLIAITQRDLNYYAFLRSLRPAIIARC RELFNTKLKYTFPDSSFVFDVYIPEAAYRSDSESDDDETSEARSRLARARLIDINPWA PRTDTILFGWEELLEAEVKMPVLGTAESTPEKKEETVRLRFSATGAAAAAAAGGDDNF TEPEEEDDNEQNHFDDDETTTDDEHEEEYEVELRLVEQDDPAAYNFSSPQYSAHKMPK DVVDASMAGEGGMREFAREWQRLQEQRGGGSSS NEUTE1DRAFT_121954 MSDNVGLSTPRGSGTSGYVQRNLAHFRPRDNYQSYPPKDFDSLK HQPRQPDKGLLEHDRKREVEVKVFELRDKLEEEGIEEDEIETRCDELRQKLLAEMERN QNSRGAPTGPRKNLKMHQVHELADAKIKESERLRQALKISRDYQEGSHWKKQEERLKG ALEREANGDSSSMPPPPAPSGPSGGNDRGGDRDRGRGRGFGRRDRDEGRLNSRERRAP PRDWDRPPTPRGRGGRGGRGGRDREVDSYRGAAGRDRSRSRSPIRERSRTRSPVRDTG RSRSPVSERSLSRSRSRSGSYSRSRSPPRRRAADNQDRSLSRSRSRSYSRSPDRDRYR EKYRDRDDRD NEUTE1DRAFT_81221 MEPRTGPQPLSHRRPGQRVIKSNSVSAALHDYLHNNPGGFDMRF YARRYGVSVGSLTMRLWREKRKKKKEDDATEALDSDEETMEVSRIEAPAALARPTTTT RPERHSLTFPIPKPGKKASREAVEAAFDEYLHSSPPVSGLDIAKKYGLHPSTMNKRIA STKISKDVARSAGDSGKKTRSGRANNLRSNPPSSRRQQEAGVKPGIPGKSIPPPPPPL RPPPTAAVPTPAPTSASGPKQARSLSTLRARVDRINSAMEYIIQNPGHCYKHVAKRFG VGRRTLFGRAAKLRAAGINVPSSTIPGRMPAKRDTYITTGSSSSSSSSRHGDVQPSGG SAEEAIAAVIKVRSSTSQRPRQDQGQGESQKQDPTEKKKKKKKEKESASPPRPQPQSH QERDRGRGLGLRSSSRIKAAAQTSVNAGVSSSSNSNSTSNRNSSTSNSSTSNGSRSKE KSNNNNNNPSDYMSRAERCDAAWEEYLCSNPRPSLFYFARKYGIHKSTLSARKGALKK QGVDVDLLLPNSAKANTNGNAFANGAGSGRMSKVVDLMSDSDLDSDLEESESESESGS EAEDGGDHEIIDAESNVTSPPSSKLVLARRQSMQLSDIQERYLVNWYLREESLGRGAP SRGKLTSMALSLLFDGQEVDLDLQDEEWLGILIQQFLERNPDIKLMVGRAEGGDGIWG EEVMVGLVLAQKMRKPKDGVRMLWGPTAAEAAAAAAAMGYISDENDDEGDWEDEEESD SEQSESDESVSDSEDSEDSEEDDSDSDSDPDSDSDTSTSTSNDNPYNQAFVESLFVKL ESTPSPPLLSPAATKGGHKHNNLPTPQSSYDIQQHYLSALYNSQSATQTAQIVKTLCI KTGKALDLKNSALEALREKADRLEKEAGELKKAILEITAAAAAAAAGKHDGLLLDPSP DPGSSPSPLGLSFSEERHEQEKQQLQQEGQEGKRSSSSGSINMSSVSDVDVEREILER ATTTTTTDKGLRASPSSIIPPPELEEANSMQESLLGGSNEAGGQGNGESESNCESGYD NEHKGSDTKRPSFLTDDTSTIVSHDNKSRKRRRMVDIEIVLEAKKMFGFAAHDESIGP WTGAYTIGPGAPGKKRRRITERNS NEUTE1DRAFT_116859 MMVLILDVTYRMPLDDRAASSGRALLRQQGLAATLKLNRTPQSW SHPKQIQPVEPIQKKNPRKSLRILLSSLLREARRTIEPRVGWIGMNVKGQLDEEHKGG LAAEVSVGARRSKRMIPRDEGWDEHMA NEUTE1DRAFT_129474 MLMTQTPVSLLQGQNTTSSYNTQSQKASYDRRDPAAADSSHATT LVDPNDSSFFFATDPVSSMNGVVGTAPAGTMYVRALYDYEADDRTSLSFHEGDIIQVI TQLESGWWDGVINGVRGWFPSNYCEVIASPDDAPGETEGKANPEQVVEEEAEDHDVYH EGFDEDDASDRDDDPDSLPIEGTDGDRSRADFWIPQATPLGHLFYYNTMTGESSNELP LESPASVNETGPRDRMNVTIPDRTRPPPEMMARGLTQDEEDEDDITSASEVEGETFMT ASRGSLPKNRRLYDGVSPSTSMDSINGQPSGNRGRTETYFSSNHHLVPMTSTTAFTSV TFNLPTAATIPRSFFDDGSMQPLTWSRLVANMKKAIDRYREAIKNNRRSEYVARAEDI SDHLRLLLAAGSGTTDNHSGQPSIISTNKALYPHFRDMMSKFSKLVISSHIAAADWPN AESVQKCLQEADGVLMGVYSYVEVARQQRGEDIPRLFPGFVIGSTSGGSWQTNGLGPH DPITSNFLDDEEGVVEPTAILDSKLLERLDELKRMLVSGIRELDKSLVVTDKVVTPFR HEVISNNICAAGGKVVDMFKPWIATIESIDLSCLGSNGFQQPQLLDFATNKQSLYDNI SDLVLGCQAVAGPLADEWSEVRGHALEERLDYVRQCARALETNSSHIGFSLQLLSEQV QMVMQQQAEARAREPMQRAPLSRGDSLPYERHLRTDSAFLRPVLMSSASFSEGDPTAP TFQRKGDPSKMKKFFGEDPTPIQPVDDTPEFLRLDYEAELSWDQKVQPPVVKGGSLLA LVEQLTRHDKLDSNFNNTFLLTYKSFTSARVLFELLVKRFGIQPPEGLTQTQYEQWRD SKQKLIRFRVVNILKNWFDNFWMEDQSEETKQLILDVYNFVNETVKSTETPGSKGLMA VLEQRRSGKEVNVRRMIQTVNQNTPAPIMPKNMKKLKFLDIDVTEFARQLTIIESRLY GKIKSTECLNKTWQKKVAEGEPEPAPNVKALILHSNQMTNWVAEMILAQTDVRKRVVV IKHFVAVADKCRALNNFSTLTSIISALGTAPIARLKRTWDQIPQRVLATLETMRKLMA STKNFGEYREALHLSNPPCIPFFGVYLTDLTFIEDGIPSVLKKTNQINFAKRAKTADV IGDIQQYQNVAYSLQPVPELQEYILSNMQAAGDVHEMYDKSLQIEPREREDEKIVRVL AESGFL NEUTE1DRAFT_137375 MGIPIRMDIDDPSPRCTVPQEFRVCHQNNEHGEGGIVEAGGDQG KSDVCGFALTFQAADPTKWRLGGLAAWPTKSQQIRGTSRYRSHLVYRNLAGHTVQHET DLSTWKTKMGS NEUTE1DRAFT_42553 MALPGAKDVRGESQQCWDTCFRGLPTLPTCPPFPERASRNQLAR YRSALPSPSPSNLMDPRHPRRR NEUTE1DRAFT_121959 MPGASVAVAPTTAPATTTPAPTSASTPRKAPGATQPERKYKCQF CARAFSRSEHRSRHERSHTKERPFKCLKCRSTFVRRDLLLRHDRTVHAKDGGIPLHSD GKRRGGPKAAPRPATGPAKTPMDIDTSALEQLEASSDGIFDVETAAMLVADLQHKATS QARANGAPYDGNGTMSFSQTSTPTIEPSVPFTNGALGLPQWDSFISHNSKAHSVISGP GSFDTSHPNHMAPMGGQHINGLPLSSLPASVNGTPTPQSPFLPHRTHTPLDATQAHAG QKPPPPQINSDEERNMILDNIRAHDAEHALPEGFRVPSLPSINRYLATYFGLFHHHLP FLHPASFEPTRVSPPLLLALLSIGALYAFDQENAYMLHIGSKILVNQFLQHKENFSSR KCPLWTMQSSLLNMIFASWSGDPKGLEWACSIKSLLANMVAGNRYELKLRQEAREGRP PTRAEWVEDEGCRRTYYAVYIFFGLLTLTFNHTPAINFNEFEDLQLPATEFLWNVKVA DEVAWQKHLEASPAPTFMVAHDNLFQGETLTYSAFGTRVMINALFLEVWYHKRSPEAL QDVVTEYKLRLALETWEKSLEFCEHETVAAPLHTPQTGHPLIFNAKAMYRNARARLEV DLKTVQEALRYHDSYEVAAAMSNARDRVRRSGEMIKVIQVCYDCIETAVDTGVRWVAR TSPTNWSIEHPLGGMDLMIILSLWLYRLEHDEEQATEEEQVMYKRVRELFARGLQEKI DGHLGAVVARLWASMLGEVVVWGITRVMADSFRLHEQALIGYVDDIEASPSVTTPSMT SQGADEDSVY NEUTE1DRAFT_116862 MRQTKAHSFPTKTIKETGKDQEQNPTSPFAPTVHLFCLETASLV LISSTEEGNKKRNKENLILEC NEUTE1DRAFT_43193 MPDISTNTDWLRQEFNVTKAKARGEYGEMFYIKDDVEYVDNIGN RASDGLQLIVRQDLIDGMVPVAEVDTARLDLSYGTFRASMKLTTIPGTCAAFFWYFND TQEIDMEFLSKDFDHSKKAYPVNLVLQSRAAAAHGYDASQTDNFMQANLPFDPTADFH EYRIDYSPSEILFYADRVLLAKMNGSNVPSPNSHGHLILSHWSNGNPKWSGGPPKEEA PLVIRYIKAYFNSTDPKRAQDWDGRCKNAKAETSVCKIPVVTRENQTAKDWFFSDYSD MSKNQTVYEITSGARTLEAMSRWIMIVVGLLMIGLVGGF NEUTE1DRAFT_121961 MFPQRTQPPGYPYFNTGQLTAPIGAPITPDTMPQPLTVSFQNST LSSAKTNQTTPETLTISLENNTPSSTVYAYITGQTLDGGLFVLSASGNAAYTYASVNK TLTPIPPSDIAIPLNAPGSSSPRTVTIPRLAGARIWYSVDSQLEFFLNPVDGVPQDGK GAGLALVEPSVTNDKDVNYEKEWGFVEFTFNEFQLFANVSMVDLVGKCPASLGVIRTT GKAEDNQEVEGMGYQTGLNKVVDELKQAGGDWEKLVVRRKSDGQVTRVMSPNSAVVMA EARKESLFSGYYDSYVKQVWEKYAKEDLMVDTQNKWGVVKGRVDPGTGLLTFRSNNSE TITFDQPSAADIFSCSTGPFAFPPLPVNATEAELDIQAFRGNVGARLAAALNRSTLLI NTNQPAGEEISTFYKSNNTTNHYSRVVHQNSPKGKGYAFPYDDVSRTEKENVAGTVAA GDPKVFIVAVGGGKVDFAAAGRMASAGSAANATGSAVNATVPVVRTRWRRVRAGVDAA GWRL NEUTE1DRAFT_121962 METINKVASSAATTASNVAVEASNLAVEASNLAAQASKAAVDAV YGTDQSHEEPISGKTGDVSKGEPYDAGNMEPNQSTGVAVGEKMVTDRTVTQDAAGPVK KDGTEPKPANLVKVPEAEKDHSPPTVNSRIDNHYNIPEHSTVNPKTNAFQGPDSITPK IDSHYNVAVPKTTDIKALDSNPSFPTTTTHTAAAPEPLNDTFSSHRNIPAEQSTTFQD QSSSKHHVPKVNPAATQDSSLHPGDSTQAQNDIRSPNDPSTHPTHVNARENVDDRDGG LDADENPNKGLGSGPKSLGEVAQQHGGVAGKVEDGGVKDPQNGAPKEEQAKPESHPIH HATGFQADGGDFDAAAPGAGIDADRILESKGIHRDDANGSLVGNTEALEHDQDGHKDK TSLKQKIKDKLHKH NEUTE1DRAFT_137380 MSEQERPSTGGREPYERHQRSKSSLVRFENGVPVNKCDADGKPM APSAPWEEFMAEIPEASKVDWNAEASFPLPVELRSPHKRNRSSVSEVKDVPRKKQRQE SLKSLAGSIEKIYRPESPIDRSRWEPSDFLVETNHLFDRTAAVFSKFGDSILEYHPAY GYEYCVNEKKYISTLAVLHDGLYGRPKERDILRQMELNDEVYRKEGGVQEKAEAFYNV FLKAFNQFVEDILKFNAEMTDASIPQDVKIGIPFCDTFEEKLDKMENELIALVEAVEK FKGEVPALPKPEEAKKEDHNSPSTEEWGEPGPDVGQHGVTSG NEUTE1DRAFT_62553 MPPPTKPTSKNPPPQSQTEYQAIAAKFAAAKRAKAAQDAAKART VGVAKAAEGEAIEKDRVSLADKQRAALEARRKSNFEARWLWTVGFWVWLLLIHVAGIA YFTSGFLLTRLMLDEKSVCDAPPTLNTSTNGVVDILPNWKGKGTVDGGCWHPKTFERA VVVVIDALRYDFTVPIKDDAPFHNAFPFMHDTALASPNNAVLRPFIADPPTTTLQRLK GLTTGTLPTFVDVGSSFAGTAIEEDNLLMQLRDAGKRTVHLGDDTWESLFPGYFQANL SRAYDSFNVWDLHTVDNGVIEHIFPLMKRKGDWDVVVAHLLGVDHAGHRYGPDHPEMA KKLQQMNTFIKDLASNIDDDTLLIVMGDHGMDSKGDHGGESEDEVEAALWMYSPKPVF GRTKPEHVTPPATAKTRPTNQIDLVPTLALLMGIPIPYNNLGHPIEEAFVGPRGTAWD RLAAAERMAAAGIKRYQTSYFSARGIEQATTPGSPADLWDKAEALVPKGKVKKGHSWE PVFLAYAEYQRETLDYSKSLWARFDVKNMVIGISIMASSVIALLVYINKRTEDDDVLV IEDSELDHAEKSLELQGITADEGQTLEKRLFRAAMLGALPGVFGGLLQSYLSGYGDWY RGSAIGALTSAATVLVALYDAEGPSFNVLPTTLWGWMAVVFTISQSIGFASNSYTIWE DSIQLFMMTTFGLVTAFSAFRMESLPDRFMTIYHSVLFVLLGRLASFSKLCREEQMPY CTSTYYASATSSTSAPWQLAIPFIAAIILPSIIKAYLAPSKSYEGLAPTWIGYVFRAG LFFSAIYWVLDAADNGNWLSSLSSLSSYLPSSASDTLSSLPPLPDKALKTLSVYTAQM ILGLALVAGSTAFVWAPPCISIVTTALRSPKTAADPLAAIPGAQTAQVTVLGYGNAHG ARYLLLPLNFFVALFLLTKPMGAGALVLTLWQSLSLLEILDLLNLPIGSNPIGPVMFA LLGQNAFFKTGHQAVLSSIQWDSAFIPLYSIKYPWSPLLVILNTFAGQILATILVPML VLWKSGPKRRGILGSVSRALGIFVAFYATQALATMMWAGHLRRHLMLYRVFSPRFMTA AVTLLVVDVVAVVVGLAGVRVNMMSVAEVFGWAD NEUTE1DRAFT_62555 MESLRSFARRTVDDESSKDHIVNLMIALLALVFCALLSVTILLL LKRIKQKTPGHSEGLPQYRDDQKHSSNHRRLTISTGPGAPRSSVIVFKDGQPMLANPA SPPHSPDNVPEIHITFPDEQDDQGRRRSGRVLVVRLGESTIGLEPRQDEQLPAYEKES NSQFVSVDIEKIGGLKEKEYR NEUTE1DRAFT_121965 MSPNTSPNMGRGRAPRGFPPYRPENNLHNQPQRHSFDVDFKIWS MPNASAEVGDRPPSTSSGSNDWSYSYSNQTAHTRNGTNGSSPRTSVPSGLAYRLDGIN SSMRRPSHDNGFGGMEHASERLSVGGFNIASSGPSEPAERQGLGLTPYAAQHSHRSSV VADSSNLSVNWQNNRASVGDLDAAQTNVPWLARKPAEVTDTDRPMFSSNLWSNSSHTV AASARPFTPASPTAWENGSGNGFQTGNGFQTGNGFQSGNGFQTGYNASGFGNYTGAQQ SRSNFSQAPSRRDYSNPTNIDRRASTQSFNQQPQPTTNLPFDTVTLQQQLQMYNLAHN LGMAGAYPNPSLPTTGLAMGAMSSGSMQSGKLREYLNTRNAPQKWDLKQIYGSIADFA ADRAGSRFIQDKLQSASSEEKAEVWRELMEELMPLMTDVYGNYVVQKFFEHGTQEQKT SMASIIKKNMLRLSENKYGCRVVQKALDNIFRRYQVELVNELKDHVDKLNKSQEGNHV IQMIIKLLPRDEIGFIYDSFRGPGKVMELALNQYACRVIQRALEHGNEEDRLYLVSEL HKGAHTLITDAYGNYVAQHIIEAGKPEDRARMIAAVMSQTITLSTHKHASNVVEKCIN YGTPEDVRRIRDMFFSPQDGVGGYSSDHQSPDSFLRFLMLDHFANYVIQKLVKHSTFS NEEQQFFIDTLEPKINELLKNHKGLDERQRNALKRFQGIINELRKDIDKKEELVKNSS SAPPSLDPASPSLHISSALPTPDGGSEPNSPLDLGMTSSANTTSPIGSADGNGNNGKQ SLLEVNVNGRLDATNAFAQLHIHENA NEUTE1DRAFT_121966 MVQSSVLGFPRMGVLRDLKKANEAYWADKISQEALLAEGKRLRL AHWKIQKDAGVDIIPSNDFAHYDHVLDHIQLFNAVPERYTSQKLSPLDEYFAMGRGHQ KGGVDVPALEMVKWFDSNYHYVKPTLQDNQTFSLAKDPKPVREFLEAKEAGFQTRPVL VGPVSFLALGKADRGSSVDPITLLDKLVPVYVELLKQLKAAGAESVQIDEPVLVFDLR PEVKAAFKPAYEAIAAAGDAVPKVIVATYFGDIVHNFDVLPAFSGAAGLHVDLVRNPE QLEPVLKQLGPNQILSAGVVDGRNIWKNDFAKSLEILQTAVKALGPERVIVATSSSLI HTPHTLASEKKLPSDVYEWFSFAVEKVKEVATLAKAVTEPEAVKAELEANAAAIKART DSKRTNDPAVKERQAQVTPEQHNRKAPFNTRYAEQKKHLSLPLFPTTTIGSFPQTSEI RVQRNKFTKGEISAEEYERFIEKEIELAVKIQDELDLDVYVHGEPERNDMVQYFGERL NGYVFTTHAWVQSYGSRCVRPPIIVGDISRPAPMTVKESKYAASISKKPMKGMLTGPV TCLRWSFPRVDVHQSVQCQQLALALRDEVVDLEKNGIYVIQVDEPALREGLPLRKGQE REAYLKWAVDSFKLATAGVENSTQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDA KLLKVFIDEEYPRHIGPGVYDIHSPRVPTLEEFKQRIEEMLAYLKPEQLWINPDCGLK TRKWDEVKGALSHMVEAAKYFREKYANKA NEUTE1DRAFT_109796 MQMHLRRSGVVVVACCVRVRVVSVKCPASGGQAISAVNRCHSPT NLNTKDWTTTPRSLVGATAVGSGNYTNNMENEQMELEYHMVQWMLSIASLLLHLFHAH GRQRSNSEASKLPYERMKLQLLKEAWASLTSSTSTPPGRITPSCQDPNPSAESNVGGL ACAAEWSSPDYRLLGHKIFQTSIEVPARSLPPPLRSTGKNSMVVLAFCTESGSSPHLI AMDSDLKLQRMTPFIPSSSQPGGDKANHIIASMDSVCVSS NEUTE1DRAFT_62564 MYSNSNAFLGGANSLRPGQQPQQQQQQYGAPSPFGQQGPMQPQP TGFAPQPTGFAPQPTGFGPQPTGYGQQQQQPLQQQFTGYPGLQAPQQQPQLQQQFTGF QPQATGFQPQAPQQQPFQTGMPPVPAIPQQFQQQQSFQQQQQPSIQQPQLTGFPSVQI QAPAQQPAPTAQGGIAPPPPVKPQATGFSEMAASFQTAGGKGRRAEQKTNTVKIPNIR LSFITAHDQARFETLFKSAVGDGQTTMSGEKARDLLLRSKLDGDSLSQIWTLADTTRS GQLHFPEFALAMYLCNLKITGKALPSVLPDHIKNEVSSMVDIINFSITDDAGSSSAPA SNAPSFATQQNAAAVPTIQQPQPQPQPSNSAILQAQMTGFPAQQTGFMGQNQGLQPQQ TGFPGMNPQPTGYAGPMPPMPPMPTGFGSSLSPNAGPGGMVAPLNSQPTGMPGQWGLV NTPATGLPLIDALQARMMPQLGREQRNYTTAGLQGNAVIPWAITKDEKTRYDALFRAW DGLNKGFIAGDAAIEIFGQSGLDKPDLERIWTLADNGNKGRLDLDEFAVAMHLIYRKL NGYPIPNQLPPELVPPSARNLSASIGMVKNMLHQESELRKNTGASLLPQKTGVSYLKG HSFKNTGANRKDATVFKNNDEEVGYRSSARRRMGTSPRPESPAASNSGDDLTIEQLRK KIKEKQVLLDAMDFNDEKNMEEDDILDRRDRREADELYRRIRRIQEDIDNHPDAQLMS ADSDAERRALKRQLQQLTDRIPELASQVRKTEKAIADARLELFRLKDAKAHPNSAAAI VGTGPGGMVTESDRIKARAKAMMQQRTAALMGKKIDIGDDDADAPKRLEDENIKIKTE KENNERMVRDVEDSVREFAKGIEDSLKEGAADSTSEHEKRRWEDALGVEDEVRDFIFD LQRESRAARIRSQDRQGGRKATQEPVKAEAPVSARVETPSPSISRTSTPASTAGGGSY SSYKTPEERAAFIKQQAEQRMAERLAALGLKAPGKPGETAAQRAERERAERAEKRRQA EEEDARREAEGQAKLAEEEGGPAPVASPKADSRPPPPPPSRKAGKADDRRDEEAAAKK AEEERLEREREEQERETRELEESAKAQEDELAKERAEADARLKALEEQVRLGKLKKEE EKRKKKAAMAEAKEKEAQLAARRAEIEAARKREEELRKQLEAIDDEDSSSSDDEGPEQ TTPQASTPTVGGSQVGTHEQEPNSPPPPAPASVASPPQIVTTSPNAERESRNPYFKMM SQSSEASTSSVAAPVASPPPQADVSTNPFHRMTQAAAAPAAAVSSAVSGAVSGISDAI SGVMPSRRRPNDDDDDDWGSEKGSDDEDSDDEGRPGGNSAAALASILFGTMAPPRPLS ATGDKPTASTPPVVSSPSSPPPPPAPVEPSAGSPPPPPPPPPGPPPAPSGGAPPPPPP PPPMPESGAPAAPPPPPPPGPPPAPGAVPPPPPPPPGGAPAPSLPAGRPAGLLGEIQA GRALKKTQTKDKSQAAVAGRVLD NEUTE1DRAFT_100477 MDAQVRAKASQLKLSNHGAGAHSSWAVIQELDKSKRGSSSAGIF RACRRRLFGSVPVDIPGVGRLSVR NEUTE1DRAFT_116868 MIQTSTFINYLCTFWLHCSPSIFTATNLKEKEIPLLRFSWRRSP CACSSRNDDLYDNRFT NEUTE1DRAFT_81248 MAKQARYGAVQPLQIYQDDLYDQTTPVISQAPMPLAAKPPRRPL QPANSNSVMLKPPSTMAPLQKSPLKPANARISDSSSAPTKPPQGQKKLNHVQMPPPGA LAPSTDSLHKQQPMSRFKTVASKPPAQDLTNLQFVDQSPQMTMFPAPTQFNLPLENFY QKPSGKRVLTDSPTSDKESRPAKKQNTGEPIPVPEPGSFPPIIDDGTKPHHSYATLIG MAILRSPQRRLTLSQIYKWIAETFSFYQLSDSGWQNSIRHNLSLNKHFIKQERPKDDP GKGNYWAIEPGAEQFFMKEKPSRKAPPSAENLPVMSTRLEPSQPLVPLPDEPVLPPQP PTTQAQLAPRPPSSQATLMPLLPELSSDATIPASEIGSVEDVTYQGFEHGVSNDSNLY SPLPAIVQSSPPVPKHLEARHSTTPPQPRTKGRRKKWTTSMDDSGYISSLDSSILRPS QHSNLLNSADHPRLSTGRAEEEIARLRTSSYDSPSKGRSYSYAPPSSSPLRQASATKS GQMLPPLTPAMKLKAPPMPPPSVSPTTNLRLHRESVQSMVDATYQRVAALLPEDDSLL QLTPNPTLTLDDMLYGFERGTDNVTSEIDIFQDSPFGYYNFGVSPAINQYNGSPVKQS ARRQRPERSQSTGALTDMTSFSRGNGNDVASFLKVPSQPVDLNTGTPSKVFEGLPSSP SKVFLESPCKINSASNDNLENLEPWMTMNDLCGSEFLEDSDFSGIDMLAGFEKIGSTP ANTSRPTNNAPTIAGLPPRQSYSRCYSNIF NEUTE1DRAFT_121970 MEKVAPEFTLEAFADPASVRDVVRGILHTIFFLRYFVSHEPKTR DVCGLELAYIPDAEIETLIDQRVATLVRQLEVDRNQSSSSQHPYGGGGPGYSGGGGGR GQITVQFFEKKRRKTWYGMGRGDDEVCWENWTVKVTVAEPRTESVRNAMESTLQSTVF KCITIANTHKDHIPPITTNDSNPFTYQINVNPHHGLHLTSQQHLQSASKEAAARSDFG SSGNGGNSGTGGSGRGAGRGGAGGLAGAAGGAAAAVVGSWATRMGIY NEUTE1DRAFT_121971 MFASTRQWFKRNRTPLAIGAGVVGAGYVATQYVLTKLNNARERM SSDRIAKENLRRRFEQNQEDCTFTVLALLPTATTNILEALNTERITYEIQQMKSPANR KKSISSLAPTISEVGTMDDDGRSMISGFSVQSDGGAYPSFLAPGPLPSVAEGESAGGD VPQQEAPKKSRKTKRQLWDDLKISSITRSFTLIYTLGLLTMLTRIQLNLLGRRSYLSS VVSLATGSTREGAIALENNDDDGDLDGEGQAYGSDFEVNRKYLTFSWWLLNRGWVDVM QRVESAVRQVFGPLSPRDTITFDAFSKLTREVRTIIEGSPAGQAGGAAGATTQWLPFL LPPQNMEDFVLRESGILLEDNSQQLSSPPSATPGEGGGESTISLRRLLDETADLIESP AFSSVLTQLLDEGFAVLLDRKLTVGAFEAHAPPAAVPPAVTLTPEAGAVASGADDVVT STTLVATSSDLHNARQSIDIERPVTRAVLLPKILSILTRQAHAIGNGMPNEYLQAMEG VRDLEGFAAVVYSSNWQAEIASEEYAGVPAPAVPPPAAAAAAPSRGSRRERTAAAAAA AVRVEESGVLVESQPLGAVEESLVVVDPSPDPTAGFDSAWERAMSASQAGSRGPSFED RR NEUTE1DRAFT_137389 MVIYSFYIFDRHTECIYSKTWLPPPPAPGAQQQHDISTSTTGAA VASSDPHHHNPNTLRSTRSAVSAANKASDDAKLIFGTVFSLRNMVRKLGGEDDAFISY RTAQYKLHYYETASNLRFVMLTDTGTLSMRNVMHQIYINLWCEYVVKNPLAPVEHKGG AGVRNELFELGLNQFITGLM NEUTE1DRAFT_81254 MAVHLEPEHQQTTSLPLLSPGISVQFHGDPSDHGRPRPATPPHT HSAPPQMQQYPSPLRHHKRTPSFHREVKETLNARSEYLDDDVDGCSRQRINQYLIKDE IGHGSYGAVRLATDQFGKEYAIKQFSKAQLRRRAQSNILRHAPRGPRNQSISRFSEQR LLEAKDALFLIREEIAIMKKLNHPNLVQLYEVLDDPEDDSLYMVLEMCKKGVVMKMGI HGSVEPLPEEQCRFWFRDLILGIEYLHSQGVIHRDIKPDNLLLTEDDVLKIVDFGVSE IFQKTDEMKTAKPAGSPAFLPPELCAKHGDVSGRAADIWSMGVTLYCLRYGKLPFAHD NQLEMWEAIKNEEPQFPPDEKPEFLDLMHKILEKDPAKRITMHELREHPWVTKNGQDP LLSEEENCSEPIEVPNALEVNHAVTRRMSHLFCVMRAISKFKSLLNRNGATPKLQTQT LEVHPGKNEPPTADIDFSNTNFKSPQNEESTAEFASRILEERRRFFNPTEQQQQNQHQ QNQQPQPPPHLGIGVGGDDTSFAINPSDLPPADHVSESPTMVDFNIYDNAFEAEIERI KRTASMSSSGGRRRNSKANERRRTGTGTGTTFYHTRLNKKKHDTAILGSDGQEEVGEQ GQRLWSGTGKGDDDNVDGAGDTPRSLWQPRGEAGKEGTSGFADVVARAMEGAKEVVVG GGELEGEGKDGDGGGQ NEUTE1DRAFT_42182 MLIIILLLLRVINCTSCTLGTTSSSPVNAQFRRGSEDQETSKGE QRMSTAPSKSGNDCGPRRHHHPPL NEUTE1DRAFT_81258 MASSTSDAKSASSQRTSPSQTSSIKSFDLSSRSPHLAAQNGHPV PTSSAEQLPKPSEKASVKDRLTRMFSTKDPGRVAAAADAATSRNTTPGNRSTAPSPPP SASSKPVPQRKMSITDAKAKTNGNAPPSRFVLIPEAQGGHEHHLKSSRRQEKLTDMIK GFLGRKIEQPAPENDLSLVSSWVDTLKQEKDGLATAEKKGVSTAPTTLVEKYGKCQEI IGRGAFGIVRISHKKLENGLGEKLFAVKEFRRRPEETEKKYSKRLTAEFCISSSLRHP NVIHTLDLIKDAKGDFCEVMEFCAGGDLYTLVLAAGKLEVQEADCFFKQMMRGVEYMH EMGVAHRDLKPENLLLTTHGALKITDFGNGECFRMAWENDAHMVSGLCGSAPYIAPEE YIDKEFDARAVDVWACGVIYMAMRTGRHLWRVAKKDDDEFYARYLEGRRDEEGYQPIE SLHRARCRNVIYSILDPNPSRRITASQVLKSEWGREIKLCKAGEEGL NEUTE1DRAFT_129488 MLVLGGWGLVDFALLGQRWTSYEHYHLPDCLFEAKQSTVDGGGD DRLKLWDCDSLHDFTILNQYYLVLPEPHFCQNFHQLLNWTTVSPDATMRLFTPATKTT TIRAITTKRFLTHHVLLATRFSTTTTRITTHHGSHIRPYSSEKGTPPSPLSSQSQPQS TFNPEIYLQTATTTLSLIPPKLIPDTLHPTQSHLLSLALSSHLPSICLSQGFNAQPPS PNKSIFPWPDQENNQLPPGYHLIYYPLQTPPHLLFPDGTDADHCPGGPFTRRMWAGGR IDFGKGESEEQQQQQQQQQQFKVDGRKTVCVETLGTPVLQVGNSGKEQDQKVYVDVWR RYISFPPEATSKEVERVVADVINSSSTTPTTHNKERKEEGVISELRRLVFLRERPDDD DERSEPFPSPIPNPNPTNHPQQKLEPVPAPAPAPAPARIIRVPHQPDFSFKMTPDATL LFQFSALTFNAHAIHLDPLYAREVEGYKERLVHGPLTLVIMLRGVEGFLKRAAAAAAA AGVGTGTGTGVDTTTTAAAAANSSVGTSGVSEVIKERLFVPPGEETADGKRKKRWEIA SIEYKNLRPLFVGEEMKVCVRLLPNREKKSSDGGGGGDKAGLGLSLGARSERVDVWIE APDGGMAVKGTVGIQWR NEUTE1DRAFT_129489 MPVSIFPVDSRRRRKSLLLPLKTDSDGSGSNRSAASPVATIPSS PASSSSVTVEGDHKLFSLSNLSHRTKRSHSSRDSSVFEYSVTGPAPGNNNNNNKNNNN NNNNNNNNNNNNHHSNSTSSNSSANHNPRPLSFSHRRKLSKSRPVSAPFEVMNRRSSG FSDDYSRMSVADTLSTCTTSPAAIEWKSQDVEGFSALETDTKLLTTKTPYLVVTADYL VKVKNRADVFSLFPQLQSDGQRPASLGPPPEPILVIPTTSIVSVFVAESTKPSFGLEV WWKAQSGVSFLHTTFHFDLPTDRDEQLYNVVQTIRGPHIEDEDFARRSVEVSELIRTI QETEEPKFINHNLQIFPVVPRGITRKESSFKQEDDPKKPQESPAFYLVIGPHLCYFIE LQRGKNGDLVCKHKTFGLVTLELFKADWTIHQERFNITFRDPFQPPVTLELASRYYRH IIRDFCAVDRFLKPAWPQIWQSREIFHVTGLKETHLVTAGEDFGSVKRTLDAYLAAYR CPAVDWEINWKTKFAPEFRLLPAKDGNSYSPLQLLAVLRALRYNDYFNSLSFRDVDLG VLWSVSDQHARRGNVAWLSRTLVALGTEEIEILNISPVLHQEFHALAFCSENIRQIDF TNASKSYPNKVSTSGQDTPSLQFLTPVLELLKAGITRCNRLILAGNLLLQPDLAELAV ALKAAPIQALDVSYCGLDDMSLRDLIITPLLDGPRMVQLLDLSGNPGRVACQTVPDLL YSLSDLRELNLAGSLRGEMAGPLLPIEALENLPGLELLDVSNYALNLPTLREIEEFLT LRGSKIDNQVPVRFRKLVLNRCAITGTKAARLFNAIGVNHGLYLCLNGNPVEEGIDAL CDAIRQSQTPAGLSMEMIEFREESHYLSLIKALTDTRYITSLSLLGTAPSTPSQGTCS REAIRVFERFFAENRSIRYLDISGFSGKLDDGQLAKGFGRSLIGLTRNKTLTYLRIRN QNLHEDAGILGKVISENRQLMLFDCQDNKFNCTSFQFLAQSMRTNTQIIEFPVERRER DAIWKNTLQGLNRGPYGQILTSTTGTGTVPTKGKKGKKHESHKSLSLSKATQHAAANG SSNLKDPLKEQETMLRTVLSRLFDELDGYLRRNRHALEEASGQALDFDGLASDGQEEV WPGSPVVVDVESPLDNTGRNAGDMSPTEQGAGGQGGLAPDTAAMLKARRATVRSSMIA SGKYNIGAYPPPPSLPPPPIPSFSLFPDISETTLDPVTEVDTPVFEFQRELGLTAEPA INGSGDGNPIFTNEISRNSGIYANGTGYGSSVNLSHHSASSSRSEDISPMTMPATPSP PNTAPPPIPRVPVSSRNSSFTPPSPPTMAGNSGYLSHHSASSSRSEDISPMTMPATPS PPATAPPAIPRVPVSSRNSSFMPPAPPTMAPPPPPRPVSSSTLASASAPAVLEATAAN KREGIIGVSEDDSALVKLLRDFKATGFA NEUTE1DRAFT_121976 MAMLASKSPFTPSLGSQSSTMMASTPQTAYTPSRRAPAVSHATN QNYASPTESEFSDLDGPESVKNWNEDRVCDYLRSVKCGDYERIFRKNNINGEALLEID KEVLKEMGIEKVGDRVRLFLSIKKLRTQTYANQRKRDRESFAVLDNQYSATSLRVPIT NRSAATSAPRRYDRQYELTPNADMSKPSSRPTSPLPSSDYNRQARRGYGQQQGYGNQP TQAAPSRFPMSPPESHPGRLVQAHTRNNSSMDGSLMAALPQGQDVIRVISTGGVTKVV KIADCNTCEEVMRVTLRKFGLREDHERNYCFWVLAGVDPDPNQCRRLGDTELWRVIKD HTRPERNRLILRRVPSGEPGKAELERAAAIAMEEAQQTHRPPIEPSDKRSQLKLQKVL GVGWEDLQQQPPLSPMSYQDRERNVSNAARDLERPAPLETPRAMPRRTQALRQFGGLR PPSELIASDLTSYFPDHSREAIDRTARLSMRRSARLSRVNHRLSVASTLSFASSIQDA PPIPTIADSWLTASNQIAKVRPRDVLPRAPHGYRDSVASSVLDTLQEEGSPTEPNRRS FVPFSDSGSDTAAVSVIDPDGNIVRHSYYDSGTTNSADSAVIQEALAEDGEDAADKEL QTFLAGDAWDDSMWMKGSLIGQGSFGSVYLALHAITGELLAVKQVETPAPGADSKNDA RKKSMIEALKREITLLRDLQHPNIVQYLGCSSSAEYLNIFLEYVPGGSVQTMLNQYGA LPESLVRSFVRQILQGLSYLHNRDIIHRDIKGANILVDNKGTIKISDFGISKKLEATN ILNGANNNKHRPSLQGSVFWMAPEVVKQTSYTRKADIWSLGCLVVEMMTGTHPFPDCT QLQAIFKIGGSKASPTIPDNASEEAKQFLAQTFEIDHNKRPSADELMLSPFLTPVPGT NEUTE1DRAFT_116872 MLSFPFELHSFFFSYTIPTYYNMAAGRCKDGGDRNWEYFNDVMD ESEPINMMMGGRTRRKLIETGRAGYGGTRKGERYAVVEGEEEESDSD NEUTE1DRAFT_81265 MAFDPSNGFVASSDVPGLADELLPIFDVEQVQLQFSIAADFVSA QTANNVLILALSNGRILRIDLNKPEDIDDIDLPKKPTEVGVIRRMFLDPTASHLIICT SQGENYYLHSQSRHPRPLARLRGVSIESIAWNPSLPTASTREILIGASDGNVYEGYIE HSTEFYRKEEKYLKVLHKLPDGPVTGLWVDTLPGAGTDTRRIMISTQSRLFHLVGKVG KNDGGGSIYAKLFEAEQPVVHELPRSTAATAAASDLVISPDHPQDTSRPHDGDVNERV FAWLSSHGVYHGQLLLSPFTSELGNKVFNEAQLLPRAQLMTPERIGGRRMTASNDYIN AIALTHWHIISLIGDRVVAANRLTGDIVYDQVILNQGQKAIGLCVDIQKNTYWLFTSQ EIFEIVPRDEDRDIWKIMLKLKKFDAALKHAHTPAQKDAVAIASGDYLLSKGQYNEAA GVYGKSSKPFEEVALAFIDHNQPDALRKYLLGKLSTFKKSYIMQRQMIASWLIEIFMA KLNSLDDTIITRAELSETLNPTQTREQLDVVRAEYQEFVNRHKSDLDRKTVYAVIGSH GREEELLYYADAINDYHFVLSYWVQRERWSEALRVLQRQTDPEVFYSYSSVLMTHVAA ELVDILMRQANLEPRNLIPALLEYDRNYKGPLSQNQAIRYLLYVVNQLQSTDSAVHNT LVSIYAAHPSTSKDESALLSYLESQGDEPRFDPDFALRLCIQHHRVLSCAHIYTSMGQ YLQAVQLALAHDEIDLAIIVAERAHSNPPLRKKLWLAVAKKVISQSNGIKTAIDFLRR CDLLKIEDLIPFFPDFVVIDDFKEEICAALEEYSRNIDSLRREMDESAATATNIKVDI AALDQRYAIVEPGEKCYVCGLPLLSRQFFVFPCQHAFHSDCLGKRVLEQAGPGKAKRI KECQVQISRGLVKGRKREEMIGELDGLVGEACILCSEYAIKRIDEPFVKENEDKEEWA L NEUTE1DRAFT_42242 RPGPVGYGGLKVWDSEVEGRDDGAKPRHPGLLSPDEQRTDLTVP DGRMVTHRAVRHGAKGLVGY NEUTE1DRAFT_116873 MRFSAAALVMAGAVLAEDAAQSTVYQTDYVTITSCAPTVTNCPA RSTVVTSSVYPVTTSTIYSTSVRTITQCPPSVPNCPAQSTQVVTETVPVATTVRPVTE TSVAAIGASSSAAFSNGTTMAPVPTQPAGTGLPPTTLSTAAPVAPAPEQCGHSVKTIS TQITTVIPTVIYETVDVPCATASVVVPPANPPASPSGGFAPPAGNGTVPTTGSPSPSA PVTAGAGAMTGSALLAAAAGLLTVFLA NEUTE1DRAFT_129493 MASSSADPKAYNLAAGAYNCPDCTKLFARPCDLNKHSKSHTRPF KCQHSDCKYADLGWPTLKELERHNNDKHSPNPIIYACEYEGCDYKSKRESNCKQHMEK AHGWLYTKSKSNGKQPADSSPVAPVYRLKPGRHPASASSTPLLKQSPHILPVPNYHQD FTLYQDDTDHSVRFDDDDENTSHHHDAPQVIPWTSPATRLRRNGTMIERFDQTYHGSQ PLRVSDNPVDPSLANIEPQLRTSAAPPSTSEACGNGGTNTSETSRRTRSSRGPSRKAA DRQRIRTRDAKESRKRLKPDPTEILGDDAEFTDSNMPCIFRHAHPHLYNRETRNKSDP CHTTHRDISTLARHLLRPAHGLGVDPRMISSFKIQDNNYPHPRVGLCRRCWQAFFDPL EFRNHLDLKCEKVSKGKREKWRIMYDLFTPLVRQHNEQSSLLQDLDMAGQENTAHRTR GSINMSKKAGNDFRLVSGTVAPTKYRTSVPSSSSLENEIQKLREENRQLRESLLAQGP RGESVASTVHSSAVHNAFEMASGSGLVSAPRMPHSKDSNSDQESLVGYMDSQSTDVDR DGLMSETPETFVRQKPGHSLPSHTTVHHVPTSPSPLQVESFQDISNFSQPSANVSLFS ARKQPTSLADSAYGTCTDPRRGSLGEPGQAGCADILRGPHKSWFDNSTQQRHDGGSLT LFGDYQFGHNNNSSANNESIHNNTPSSSTPKVGPNMTVITTQTTQQQPQQQDFSGWSN PNPFATASTSQPSHNFFEDASFDFFMAAQTDCGEETGGYNPTSSSQNFIFPSM NEUTE1DRAFT_121981 MSSYDKYQTPLATRYASQEMMSIFSARTRASTWRQLWVWLAEAE KELGLNIPDEAIQQMREHVTVSDKAFDVAREYENKFRHDVMAHVHAYGEDAPAAAGHI HLGATSCYVTDNADLIFIQKALDLILPKLAKVIQNLKEFALKYKDTPTLGFTHYQPAQ LITIGKRACQWIQELVMDLEDIETVRERLQFRGAQGTTGSQATFLELFEGNADKIVEL NKILCKKAGFPSTYPISTQTYTRKVDLRVANAVCAIGATAERIASDIRHLANLKEMEE PFEKSQIGSSAMAYKRNPMRSERIAALSRKLANLPVNFSNTFKAQWFERTLDDSAIRR MDIPEMFLLADSILLALDNVTNGLVVYPSVVRAHIDQELPFMATESILMKLAQHGVSR QDAHEEIRVLSHQASAVVKQEGGKNDLLERIKKSEFFKPVWGEIDGLVDPALFIGNCP RIVEDYCNGQVAEKLSRYQETLSKAETAQLSI NEUTE1DRAFT_100493 MQPRNEEDWDPGPVPRRVPAGSRREIGKHGDTKSDVTTAATTSE LRRRYKELSLRNDTRRHGAEWKKDVALGYRSVVNI NEUTE1DRAFT_81274 MKLSSALLATSLGLTFAHDTSGGQHVPKILGGRNFLSELKSLRS GPMHAATPLSERASTLKQKTGPRKRDADDQQCGPGLGSCAAGKCCSFEGWCGVGEDYC TAPDCQINYGPGCDGNQKPSGPDTSGVARPKVGKVLYGGGGIYDCVIDGGIALTFDDG PYLYTNDLLDKLKSYGAKATFFITGNNIGKGMINDPSTPYPAIIRRMYAEGHQIASHT WSHENASQLTNTQMTNQMVWNEIAFNSILGFFPTYMRPPYSICGKNCQNVLSTLGYHV IYFDLDTEGYLHDDARQIQTSKNIWDKAIKNSNPAYDSFLQIEHDIHVQTVYNLTDYI LTSLFSKGYKAVTVGECLGDPEENWYRTGPVVPSLSSTSSINGECGPEFGQTCLGSRF GECCGSAGRCGSGLLYCWAQVGCQVRYGNCLMGSVEGTGEKAEER NEUTE1DRAFT_146158 MDSVLRQSKAVCPFMKKATASSLRAMTTAARPAASPCGGAISKL QVLAHRCPVMGKAMAVQSARTGGRASAAPASFVHKAKLHTGRPREAQAVEGVFTGQKA GLPPHPPVKPTTATAANPSPAACTVSGNFGTKFNYEKFYENELEKKHKDKSYRYFNNI NRLAKDFPRAHMATKEEKVAVWCANDYLGMGRNKHVLEAMHTTLDEYGAGAGGTRNIS GHNKHAVELENSLAKLHATDAALVFSSCYVANDATLATLGSKLPDCVILSDSLNHASM IQGIRHSGAKKIIFKHNDVEDLEKKLAALPLHVPKIIAFESVYSMCGSIGPIEKFCDL AEKYGAITFNDEVHAVGMYGPHGAGVAEHLDWEAHQRGETKGTIADRIDIYSGTLGKA YGCVGGYIAGSAKLVDTIRSLAPGFIFTTTLPPAVMAGARAAIEYQMSYDGDRRLQQL HTRAVKEALADRDIPVIPNPSHIIPILVGNAELAKKASDKLLNDHQIYVQSINYPTVP VGQERLRITPTPGHTKQFRDHLVAALDSIWTELGIKRTSDWAAEGGFIGVGEAEAEPV APLWTDEQLGIADAVAELRAQASDEKKGVINQLLESVALEQEREALNAAEEVADAAKA AAASA NEUTE1DRAFT_62600 MAELNENEPFHWDVERVVKELCTPERTWDAPAPHKLPDPERLAF KLRELDIDGETLLMYPDEYGWPTFWEMLGIKKLAHHLSIGKAIKQFRETSTLYYRQKR DIDLPKGLLSTTPAIKPDPLDPSEPTPPLVDNSIPEPTAAAIDGPVSEPSPAGVGNAA TDASPAIVNNTAVEANSSPESPEKRGLGNGLRQDTEEASRDPERPLKKRRIAPTIVST VAPAQARSFIPTEGDITFLSDANFDRFLDVEDSKFSYLGSSALRSEDIASLPYNFESD DDGDDKEASEEFTWVRSGAVPPARALQVARVMRKFFRKRSPFVNEDEEVVLDFGEDDD GMSVDSETYREYEQEEQERKAHQSMHGSPRLSKVQAEEAIEDAIQRLEVSWETIKKPK FERRAWKIWQDARRNRTRLSLITFAKNQLRSLEPPKPSTLPRLKPEPKKERETLASDE ELLTSDSEEEDQFIEDDLSSVDHMIIDPKETIPSTRPSTPVRPLNPSTETNHIPEEEE NTIAQPSTVDDETGAVNKVEPTTPQRIIHTIPAEPIEILSSPSDVDQLANLPPLTDTW KEHIQPLIDRAATGISRIDVDPDSISLILALLFLTYSDFSEGRLKIRSTTLRCVTCQK LNREYLRFGEFFEFLQNCIRYFRSEPKSPTPMEMTSAESPEATQDIPMDITSESEHMD TDLEELSSQFPLSSAKKGRRPKHDEKAKKLRVDSKALLKQMDERRKLLRAKLAETGSV PSDKSRLIINETKESDDLPLIYVHEEIGGRIKDHQIDGVRFMWDQIVVDSNSRQGCLL AHTMGLGKTMQVITLLVAIAEASQSDDPRVVAQIPKDLRVGRPLILCPSGLVENWIDE ICKWAPKDILGNITKIDAATVPPSERVLLIKGWARSRGVLVMGYELFRSLVSGKEDNV AELLHSSPSIVICDEAHRFKNKTSKLYAVVQDFHTMSRIATTGSPLTRNAIVAPKVNR KDIQVLVDELPQKREFILTIQMTKVQRDAYREYLETAQRNKGNDLYRTACVWGLIASL KLLLAHPKIFKSKMEERLNPDSDENDEPLDLSRDTLRNVLAKVSIRGIDDIVHSTKVT VLLQILKECKQIGDKVLVFSQSIPTLNFLQDLFKQKKINYKRLDGKTPVSQRQAAVKE FNAVDSLDVYLISTRAGGVGLNIPGANRVVLFDFGFTPAEEQQAVGRAYRIGQEKKVF VYHLKVGGTYETAIHNLAIFKRQLSERVVDKKKPIPSSTRMREYFITPPENLEQKDLS AVKGLDPEVLDKVLASAECSSIIREIDSTETFEREEVYEFTAEQHRELNKQIELEELR INNPEEYKRQMSTGSGFALPSLPPNLGTPISTGGEDKHNPATPGSAPSIPLSAANNIS ASTQAGAPIPRVASTAIASQTVASSPSPANGQVAALNPILGTNTHYKVAEAPRKPATL KAPEIIPKPRKPEDTTPKLLEALGEVHRKLRDDGYDMSVHPQDLVMQINSECDRQDMA AGTLPRMDKLRSLCKAVTESTRFGEALLAGYLTPNEVVAMGMAQMKAKIAEFQTMTDD CFKQELW NEUTE1DRAFT_81283 MSSITQGSYPPVLQDAEKERLVQVVKDWTVAHGLTVRPPPAIAG NDTEGILATSAPVTLFPSPFPKSCFEEAKAIQTTYNELYALISQDEEFLTEMVKEVAG GDEFIANLWNVHLRVKKEGYVQNLALGLFRSDYMVHQDDASLQIKQVEFNTIASSFGG LSTQTSLLHKHLAQHEYPLLSSSSSASNLDLPSNDSAAGLAAGLRAAFDAYGSSTLGH PTCVLFLTQSGERNVFDQRHLEYALSSSGPNKVPVFRLPFSDILTHTTLASTPTRQLL YTLPSNPSLVFEVAVVYLRAGYGPGDYPSQEAWEARYQIERSNAVCCPTVLTQLAGMK KVQQVLATPEESNTNSVPSALAKFMPPTSEKTQRLLKTFTNIYPLDTSSSGLHARSLA TSPTQCQKYVMKPQREGGGNNFYRSAIPEQLAKIPEEHWNSFILMEIIEPPALANSIL RNGKVEVGGVICELGVYGTCLWDQSSGEIKFNEEAGYLLRTKGDKSEEGGVAAGYGCM DSVTLV NEUTE1DRAFT_62605 MDLIQAASGYVTKMVTVGENAGTAAAPSAKMKMLLLDKDTVPCI SAAVTVSTLLNHEVYLTDRLDNAKREKMRHMRCLCFVRPHPDTIGLLIDELRDPKYGE YHLYFSNIVKKSALERLAEADDHEVVKVVQEYFMDYVVINTDLFSLNMSLPMNRIWSG NPDTWNTDSLQRCTEGVISVLLSLKKKPLIRYQKSSPLAKKLASEVRYCMTQEDQLFD FRKVDTPPILLILDRREDPITPLLTQWTYQAMVHHLLGIHNGRVDLSDVPEIRAELKE IVLSQDQDPFFQKNMYLNFGDLGGNIKEYVSQYQSKTQNNANIESISDMKRFIEEYPE FRKLSGNVSKHVTLVSELSRRVGAQSLLEVSEVEQSLACNDNHAADLKNIPRLIQSPT VTPDNKVILVALYALRYSKSPSSQLPMLVDLLSAAGGVPTRRTDRVAKLLAYHSSLHA TTGGSGGGVGGIADIFESTGIFGGAGNRFKVLKGVENVYTQHSPLLETTLQSLVKGKL REQQYPFVDGGGSTRDKPQDIIVFIIGGATYEEAKMVAEINASVPGVRVVLGGTTVHN AQSFLEEVEDAVGGWPEQVAQGRR NEUTE1DRAFT_81288 MADVCPSRSEPTLSFTQGLILGQLSVVLLLAAFIKFFIFGDPPS PEVVASIRATDRRSRTLAHKKSILSLRETNALQLVQNPALNKKHVLRPGPPTLTIGSI LSKTYYKVDSHQPESLDWFNVLIAQTIAQFRSDAQHDDAILSSLSKALNGTARPDFLD EIKVTELSLGEDFPIFSNCRIIPVDEDGLSFGTGKAFDANMATREGARLQARMDVDLS DMITLAVETKLLLNYPKRLSAVLPVALAVSVVRFSGTLSISFIPSNPSNNEPAKMIFT FLDDYRLDFSIRSLLGSRSRLQDVPKIAQLVESRLHRWFDERCVEPRFQEIALPNMWP RKKNTRGGDETISDVERSMSKAKGVDIAKDVREEARKEIEAEAHGGADRVPDSLRYRH RPRADEEFPGAGSMPGSMPGSMP NEUTE1DRAFT_100500 MALTVANPVLQTVKLIFSFFLSYPLAGLLKRIPDNRPHLKNFFC LSIGVFYLVGLFDLWVGLRTVLISSIGVYSIAKFLRGSPYMPWIGFVFLMGHMSINHI MRQRLNDPSTIDITGAQMVLVMKLSAFCWNVADGVLPEDHLSDFQKDRRLKELPSLLD YFGYVFFFPSLMIGPAFDFAEYRRWLDTTMFDIPKSVDPAKKPPTRRKRKIPRSGTPA MIKLVTGFSWLLLFIWLSTWFSPESLLGDSFVSHNFLVRLLFLHMVGITARAKYYGVW MMTEGACILSGLGFNGVDPATGKVSWDRLQNIRPMGMETAQNTKAYLDNWNINTSKWL RNYVYLRVTPRGKKPGFGATLATFTTSAFWHGFYPGYYLSFVLASFIQAAAKNVRRNI RPFFLDPKTQAPLPSKKWYDLASWLTTQLTFNFAVCPFLVLGFHESITAWSRVYFYAI IHTAVALAFFSSPGKKWLRKTLEKRNAKAGVVAPAYSSSSSSSGRGRGRSSISDEKTS STTAQCAMETMALLGENLNRPSSRAESTDSQSRSPMLGISGDPQGELDEALEEFKKEM ESRVGGGAFSATGLRDSLRGEARKRAV NEUTE1DRAFT_81294 MSAPPSRHGPSTPMHHQHQRSHSQTTTNTTSTAATGIRVVPYTP PRLADDDDRRDSQTSSVYHSNSSADGRRSGNSSDDRLGRPTIALVEEASDEEREERQH TQGPDSKEVAVEGYAVSETATSSLGSALSSATTSTLSLLAKGKDEGVSGLRLSGHSTV SVVRDSTSPTPLPRRLSDVSRSIARFNAAAATQSASNIVTQDVRRGRRDSASPAPTIR PRSFSRQRKIIALNPDKTFRIVTTDEDPADVVKAPVIPSSSTATSSFRPSVDVSSDDR TSTSLSIDTPFTVGTPFSGNTLTAREPAPSDLAASFVQSPDSSTTQLIQGSVPLASTS SGNRMVGGLRRVTHTADLTHEYSPAIDPPLAPLQEVASNESRSDNNLTPTTSRVIAPK PSFTSGISGVSGLTIASDKTNYKVYGIHTSVPQSSDSLPISPQNEATNWEVLGSPGAS SRAPSSTHGNWEVLGTSSPVSPGASSLAQSNWRVVGDSPVAASPSVRSIWEAQSEASR LPSGTSRVPSGPANWQVIGASSPTAPPSASPPGSSESNENFVVHSRSTPSSVATGGRY SQESLRVPPLRPAKKRSQEKFGYYKQRSRENLRARSTSFQSVSSIGAPPVPPLPAILT PPVLFRFPTSSREQTSWSVPSPSGSSAGSSSGQETVIIHRPPPMTQSPPDRWSSQLST VMSEDEYGSEPGSSRPVSHSVMGSQHRYRNSTGGWGGSTHSRQMQSISSSLAAPPESA GSDSVARPQAAHSRGGSLPIRMVRDQDEHGDGIADLHSHPSRSGLSSFLQHSSSTSSG SRNLHSSHSHRSRPSRANSFTNSIPAWARVYYGSGEHRWAGLLSSSASDAGDSRPSSA IGHSGSPNSDNFPMELFSPRKRAREGQRNSDGSMEIQPAPTDHNVFRTLREKTSSIWS PHLRQDRRHSRFDVWDPPTTSWSADSGVTGKRNIQIVLFALGFIMPFAWMIAAFLPLP PNPKSEMLEKGTHSPEDPFDRSKSRLGFRTSINPISPMEEARYLSARWWRRLNTGMSF VGVIIIGAVIALAVIGSQQGWTD NEUTE1DRAFT_121990 MVAFSEMFHRLNPWSKDSNPISTTTASLLLVFYALSYFLPFYLS PLTRPSPTLSRDNPSVIRARIRSVCISCFCCCLSTFLILLYVADLSVPDGLHYLGLWP LGLTESARALGLTALLFAGPLFLYLVVDDGWKDWVKGYPVKELWGDMLTWRNIVAGPL TEELLFRTSSVPLFLLSSLPVSRIIFYSPVIFGLAHVHHFYEFRLQNPRVPVFAALLR SVFQFSFTTLFGAYATFVFIRTGSLLAAFAVHAFCNGMGLPKVWGRVERLDGRGSRLV WTVGYYTLLVGGAVGFWKELWALTEGGNGQTPLVGFCFWQYR NEUTE1DRAFT_129504 MANKRNEDIELGPAEGRGSTDKDPFLARRSSSQPNRPQQAGPFG GYFDKIDHSPGASIIAYCLSSISMTVVNKYVVSGSEWNLNFFYLAVQSLVCTAAILIC KQLGMFQNLAAFDSTKAKKWFPISLLLVGMIYTSTKALQFLSVPVYTIFKNLTIIVVA YGEVLWFGGSVTPMALLSFGLMVLSSVIAAWADIQAAVEGVGHTAEATDAISTLNAGY AWMGMNVFCTAAYLLGMRKVIKKMNFKDYDTMFYNNLLTIPVLIVFSLLFEDWSNDNL IKNFPVETRNSLFIGMIYSGLAAIFISYCSAWCIRVTSSTTYSMVGALNKLPLAISGL IFFDAPVTFGSVTAIFVGFVSGLVYTWSKTRQKVSQILPTTQPTMSASAASNRDAANA NEUTE1DRAFT_146166 MSNQRPGDHMSLGFPPQSVSLQTRTGSPTSTSPNDPTSAGAIRS PFGLSSSKMAGASRSGNGSPSHDMASSGRLFSKRAREIQAQEGISGLPVNPWGGPPTS GNSTPLRENIPESPTDGFPDFTQLPTPDSLPQTRRARAGTVPSRFPAGAGVNSMLNIP ALAAKTSRPSPSHTPFKSPSPGIEQAEGSASTLLSRLRAGSMPQRSPFAQVPGTSSPF GVSIFSSWTPTGRERGNTLASIASVPSNNPSSPTQSHFSREGAGENDVHMRTLDYLGL AETPQPPRAQLATPYMPTYVDFTKAANRFRSYSVNNKDKYADEEDDYDEDPLTIMENQ YAQLQDQLAATNAAIQQHNLAVQAFQAARPRARTAGVLDTPASRILRNYVPTPSRLDA SITAADIQLPEERQYEDLPQAVAALTLGRSNSRNNNGLLSAEEPNMEGPTSALWLGSI PTSTTTSTLTEMFKSYGPILSARVLTHKNCGFVNFERVDSAINAKANMNGKEIFPGAG PIRINFAKPPSASNTPGHDGAFPSPSPDPFAKGQENGQNGSTGASGNASPTPLAGNTT PTVPPLSEMTADILNIVQQFGATEEDKYKTSANLQNAIQFDNFIDEIPPIKEPAHTRV HDAPKLRDIRKRIDNQTLSQQEIENIAIEMLPEIAELASDYLGNTVVQKLFEHCSDSV RDQMLAEIAPHMAEIGVHKNGTWAAQKIIDVCKTPQQLNLIVENLRPYTIPLFLDQYG NYVLQGCLKFGPPYNDFIFETMLSKMWEVAQGRYGARAMRACLESHHATKDQQRMLAA AIALHSVQLATNANGALLLTWFLDTCTFPQRRTVLSPQLVPYLVHLCTHKVAYLTVLK VINQKAEADARDTILKALFFTQNDQVLEAILSDHACGATLIFKVLTTPFFDETIRTQV VENVKNVLIRIKAQPGQGYKRLMDEVGLSTRSGGSNSNNGNNAREHTNNNSSNSNNSE RQRPTSRQTPQHQQQNQQQQQQQQPPQPAQQAQQQQPGQFTPGPGQYYNPLNVGAPNY NDMAFGIPRSEGLEIPQQFPAFVPQGQMYGGAAAPMAPAAALQQMQYQQSMMARGAPP MNNYYPAGMPAGFGGFQTPSPSIDQYRGTQAAMSNGSPIQPPPGAPQMPNMPPGGPQG PYGAAPGFGMNMGMPTPGYGYNAGSAPIGGAGMPPQQQNVGYMQEQGNNNNSRRGRII KFRCVAPKKVSTHLGSSALTAR NEUTE1DRAFT_62623 MANVINNLFGGAKPASVIPDKSGDSDFADFAEGADPSPIPISPI TTTLAGVQPEKTAVPYTKWYNVHERHSLSEFKAEGFILAAIIVVLILHLFGARLNRSK AKKWIRAHASTLGSEFALVGFSGVPRALSDKSGDELTQALADANTQKGDAILKEKSLF EFATYATGRVNVAFVDVKLTLVKRFNPFVTLAENVIGFFWDSYAQPSDSVEATLYPFD GKEVLTVPAMPGAAELRQNDKKSTFDGFVWAIVHKESMKQVRDERYDVSLTYTKDNNK LPQWLTVMTESAEITDALLTPELIKAAESAGDLLEYLIVSDQPLDKPKTVEETNPRKR IFLKYRLPSDNNYEPLLPIFQYFLRMTDQLVQVAHFRPEVLRKVKSVRDEMIKGIQKA SEQEKAEELAVEREKQRKAKRDAELAAMDAKAQKKYLEKEREREAKRQTKKMTTRA NEUTE1DRAFT_41138 MSFRDYANIETPASCYVDFCLIPVGTGNVSVAKEVAEVQRVLAA SGLKSTMHSAGTTVEGSWDEVMKVIGQVHAVVHQGGVKRVQTSMRVGTRIDKKQTAED KVKRVQAILSEDQTGESSA NEUTE1DRAFT_129508 MASFGHAVPAAAPRPGPYGAAYGAPVAVSAPAAPAGTFAPGTKI QCGSHRVVIQKYLSEGGFAHVYLVKLPAAVNGTDLAVLKRVAVPDKEALRGMRTEVET MKRLKGHKAIVTYIDSHASEMRGTGGYEVFLLMEYCNGGGLIDFMNTRLQHRLTEPEI LNIFSDVAEGVACMHYLKPPLLHRDLKVENVLINMVGSVRKFKLCDFGSAAPPRPAPT TVTECRLVDEDIQKHTTMQYRSPEMVDVYRKQPIDEKSDIWALGVLLYKLCYYTTPFE EGGTLAILNASYKFHTYPVFSDRLKKLIAWMLQENQQARPNIYQIYTGTHVDTRKREH SLSQARSPPVVGAVFAAPVVQQQVIPEVERMRRGRLPAPSQPVSQPTTPSPGPGKVTN GDPFAALDTKLPLKNADELSNKFPTLDQFSLLHDKGTKFDFDSGVPQPPQQQKDISQR VAERLADEVFKVKPSPSSTPGPMSQRVSLDLNKGNPYAAAMESQRISPSVNPASTLPR QGEPSRASTMISNIPELKAISSPNAQSPFQTPPATRPKMVSTGTMTESPPPVYRFPPA EHHRAASVPRQQETGPTSYTRAVTTVLLSPGAAGSPNAPLQGQMHTAQAHHPRSSRPS LEGGRPSFDAFDLPTRSKEPESSSSRSRPASIYLESDLTYLREKEAAAKPLHSPSLSA SRFSLDKGPPSPKLEEERNIRSSVEFLRSMEDSDTKKKDKGTKHHSKRSSLSSLSAGT KNLFAGKFGDAFKRFEGGTNNSGPPRSPSPLKDVDAEVDRFNKLTPIAGSEVNDDRSD DGRRFEDDHIDEMTPEMRREEEARMLAAEEARVAAAQAEYRARVAQRTGTGSATGPTP LPKSIGGVPRAVSIQNKVQSLLDESSRSAPVSRTAHGYGHYTDADAGGPRGSTDSLGD SRPAVAKKPIAITGQPVSGSLPRPPTSSGMSSMEQTMTGRASITVTGGKPAAPPKPTR LTANLTAGGGAGSPPRQSYSTSTISLHRQLGPGTPSSSLIDSDRSDSIGARAGGDYSR TSREALVAVEGLPGQDSPMLLQMSASEKDDYIKDFQKRFPSLTSIEMVETDVGARSGT RGDGE NEUTE1DRAFT_146170 MHHQRRRSGHASGNTSMTDVRKAPSTRPTLTRRTTTPQTSQKLG RNMRDRERQMEEERWFEEERESFPQFCMSCEKQFIPDHDQSLYCSKDCRLSDQEGFGA SSSSSRSWIGSNAPTYYPFYSASSEPRDIIPRASPSRPNSTYVSPPTTPGTGQSSAIN ALKSSLYGSRPASPPSPPPVGSYHANVWPFSNRSSAASPNNSYSNQQSGFFSSTYEGH YYGGAGDAYNDRPLPSRRPGIYSRPKSIELVTPLVGR NEUTE1DRAFT_100509 MGHGRWSLSLWFMPDACFAVVTVGSTPPKQGNAGLAAERGAGGG SRTQIGDTSARAPM NEUTE1DRAFT_137415 MMMQHSQTQDPNSLEFKILRSTGNWEKQVGLPRNTKVEYGIIGS FQSGV NEUTE1DRAFT_121997 MNRTQGSKPRLKPTNPKAKLPSNPKSTTTQPPSSSNLLILQQSQ DPQSLPTTKTTPSPPSNPSDPTTPSSLLPILTPALEILSRFHHRNKNQHRLSKWWAEA DMLRRHLRKLIEAANEWCDKEPEREGKRRKEEARRKKKEKYERLGRVEKKQDGVGQKG GEGEVKGEDREEEEEDKEAREVRLRAEYLRGKLGPRAYFAFSQLSADRQFAHLGLMLL GVLAQVDKALSAFASVPIDENDDAAADEAAEDRGPNLNATVMGQLADDVPNQRHNDIG VAVSREELLAMMLESTTSGPTEGSLARRDARDHPLPTTETDSGAAPPPVTGEIRQGKN KSEKKRPRDDEDENNDEHKDDFSSGPTPPVSEKKKKKKRKTGDDGTDIDDIFASFDKP NQRKKKDKAEKSVVATKTTTVTTSIATAATTTKMKSTTDSSVPTTTTTTAEIDTRHGK DDLDDIFASLEKPKKKKTKVKKTDDLDNIFASFDKPKTKKKKKKAGAGADEFDDIFGG L NEUTE1DRAFT_62633 MSMYPHRPIAGAPIQNMGRLNELLDGIRMEFESQARQYETCQHD LATQLQELQVIREKVFQMEQHQMNVKQKYEDEIALLRRQLEARGGAPGNMNPPPQHPG QQQPPAIGLGSNVFSAIMAGQGGQALVPPPPPPQQQEQPAHMPAPPGLQGPPPPPPPP SQQPPFQQQYQGPQGPGNFPPQPPQSTASPGPAGKRGIGRPPAGGPATPQINTPIPYN GGPAQSPQVPTHPTPDHTRMAQHHQPPPPPPSQTNALSELDPDRLPNHIKKMKDDWWV IFNAAVPRVLDVELVHTLQHESVVCCVRFSMDGKYVATGCNRSAQIYDVETGEKLCIL QDENIDLTGDLYIRSVCFSPDGKYLATGAEDKLIRVWDIQSRTIRNTFHGHEQDIYSL DFSRDGRTIASGSGDRTVRLWDIETGQNTSVLSIEDGVTTVAISPDKQFVAAGSLDKS VRVWDMRGYLAERLEGPDGHKDSVYSVAFSPDGRNLVSGSLDKTIKMWELSAPRGIPS SAPPKGGRCIKTFEGHRDFVLSVALTPDSQWVLSGSKDRGVQFWDPRTGHTQLMLQGH KNSVISVAPSPVTGPNGVGYFATGSGDMRARIWSYSRI NEUTE1DRAFT_129512 MSSNINKNLYYTVPLDDDLESQDYLQEKKAPWFSVPVRSAAVAA PSHSSPLSALWRLPQRWWPNDDSRHYNSGNTRVNGSREHGRGFWDRWIWLVHAVLLTI SVTLFALSMCTLQTAAGAGAGAAAAQCQCQGTGMTEGAKGAAGASSFVPRSFYEGEET TTTTPAAVLPVPVVDIPESQHVDMKLSMDDKTWVEEDEE NEUTE1DRAFT_116882 MDVVLEVTDQFMFDYMYAWLLPARPALYDFPDKTNGTAQSFSSW VYEPATKFFSLEPSQAAYQSIWTRDNIYRQALSLFLILWLFGLVTYYVFASLSYIFVF DKKTMEHPKFLKNQVWLEIKQTNAALPVMAFFTFPFLVAEVRGYSLLYDTTAEGPGRW YDFFQFPLFIMFTDFGIYWIHRGLHHPLVYKHLHKPHHKWIMPTPYASHAFHPIDGFA QSIPYHIFPFIFPLQKMAYVGLFVFINFWTIMIHDGEYYANNPVINGAACHSVHHFAF NYNYGQFTTLWDRLGGSYREPDGDMFAKEKKMSTTTWKKQVNEMEKIVKEVEGEDDRL YEPTETKKSK NEUTE1DRAFT_62642 MINLLYALIFFTLLFSTTAYFTRYTWLPPFLDAFDAIEPYLRRA PGGEYLYSRLPFTSNSFEEDMEAGLSSADFNLAGNVGGSDGRAGLDDEAKREILKIMK RRRLQFDDARKLYMERRFKANGIGADGLPRDPKFVSFS NEUTE1DRAFT_116884 MSLSNISADLIWEITRSHNSYLVKRKSGGGVQFSRDPLNLTNKH SRKYAGFVNDKAVGVVPGEKGGVVVITKKAGSINKPAARYTVAFGANKTARKTYKAIA RQVAGYRSDLLKAAVARASAIRRSQRAVKPEPAQKLRGNAAKKAAASA NEUTE1DRAFT_116885 MVKAVVAGAAGGIGQPLSLLLKLSPLVDELALYDVVNTPGVAAD LSHISSPAKTTGYLPPNDGAKTAFKDADIIVIPAGIPRKPGMTRDDLFNINAGIVKGL IEVAAEVAPNAFILVISNPVNSTVPISAEVLKAKGVFNAQRLFGVTTLDIVRAETFVA EIAGKSNPQELVVPVIGGHSGETIVPLFSKVSPSVTIPDDKYDALVNRVQFGGDEVVK AKEGLGSATLSMAYAGYRFAEKLLKAAKGAKGLVEPTYVYLPGIPGGKEIAEKTGVDF FSVPVELGPNGAEKAIDILGDITEKEKTLLEAAVKGLKGNIQKGVDFAHNPPQKL NEUTE1DRAFT_122005 MSFDTILPPYNASDPTARFLASSCLDFLLIELVPMAYRITNQLD SSSAEPSASSVGDDAQRGGGGGGGGGSVTGSVSAKKMDEDEERDAVFARLEGLGYRVG QGLVERFSRDRPRFNDTLDVIKFLCKDLWTLVFRKQVDNLKTNHRGVYVLTDNSFRPL SRMSAETSSQAIARAQPFLWFPCGVVRGALAAMGITATVTAESSELPAAVFQIKTVKT QN NEUTE1DRAFT_100519 MDRAGPPPKDKVEALKAIAKNAGVNKTQLQSLCAVNGLPKTGNK PDLVKRLVDGDFVASTGLLQQPKPQPPQIPQTAIFPPVQNHGNSSSSYAMASYGVANG SYSAPKGVSRPLDWYNQQRHDFQFKPSVFYEIQYRIGEVKDCEPMQQHRQTVSIKLRV SDHPQLSNVGNDPSLRIMMYCAAGKNGVQDIAFPYQSELKVNGGEVKANLRGLKNKPG STRPVDITDSLRLRPNTYQNNIDFTYALTREELVSRITKKIRAESVVTEIANKANDPD VVATSQVLSLKCPLSYMRLSKPCRGLNCGHIQCFDATSYLQLQEQGPQWLCPICSKSV PFDQLAIDDPLGSKPRLLDARSFYYRRVNYTDRHTDEWKHRGHAFIGKVIEQ NEUTE1DRAFT_122007 MAKSKRASVIKNNNQKLKKNVFGPVEAARLERISAKLLELAAQP KPIKEVEMETVNEDEVKEATKDDSAMEVDSAKPVKVGSKKIEKKRRVKKSKIVFPKYG EKFSKKRK NEUTE1DRAFT_122008 MPRLKAITTGSVPSFLDVVLNIDEGDESSSLASQDTWLAQMKAK GTGKLVMYGDDTWLKLFPGTFDRADGTTSFFVAVNSFACMAGVGIVLTPHMVPKQREM DGIVSQIYKAIETQDHLKSTLFVLCGDHGMNDAGNHGASSPGETSPALLFISPKLKSL QKNQDSPLPDAEDFQFYSTVEQSDLAPTLAALLGFPIPKNNLGVLIPDFLSIWPKKAD QAYLLHQNARQIQTVISAASGTKTFDGALPAESCASPTSDYEQLACEWQGPSNTLMSA RVGDDMDSQWALPVVTVMPNLPTQHSDLHLIQLTIFQWLRKAQELMSGMASNYDMSRL ILGQVTALLAVIFSLSATIKTVSPTRSLTPLLVISIAYSVMMFASSYVEEEQHFWYLA TSTWFGYLTLRGFKRTNTTFPAHLLLTAFPVLASLRLLRAWNQTGQKHAGTPDIVKLF IEPHPHFLWLLVGLTYFWTHRQLVYSFHGRIPVPINYPVMTGLVLAAFTFKAAFTLED APELVVEFVKSSLLDFTRGASLIARARAVFVGLGLVAMAAMGFILWEMGTGGRKRSPR KVPAEAITTLQHLYTILALTQSRTANIPLFLIFNLLYWFLSSEIDAPDGQRLSTVELG ISSLLLQYASFFAMGGSNAISSVDLSNAYNGVSNFNVILVGVLTYVGNWAGPVWWAFG TVVLLGKIWRRDQRQKRLGSGNNGKRGSEEKEERAEAKQMLEGGQISPFKIYVAVTTL FTAVSVAAVMAACTILRTHLFVWTVFSPKYLYCVAWSLGQHLVVNVGLSGLLFWLGSL NEUTE1DRAFT_146182 MAPGRSTRATRGARQTSVVPEAPLDTPDNALRTQVATVFRDAQK TTASHRKLAVTLRKIQEACCYEPTSTKKPAEADEFEEDDFNKEFVRCVLRVMPIKKSE GVGEKTVRFIGLFLRHAVEKDNEILGEADPDASTMPETPSTRLTGHLMETVLPLMIAK DKFVRYRSTQLISHIINSLDAIDDDLFQKLRSSLLRRIRDKEAMVRAQAVLGLGRLAG NQIEGEPNSDDSDDDGSTGLLEKLLEVLQNDPSADVRRSLLVNLPILPTTLPYLLERA RDQDALTRRAVYSRLLPALGDFRHLSLSMREKLLRWGLRDRDENVRKAACRLFRERWI EDCAGTAPPVEGGQPSEVSPPSMEALLELLERIDVVNSGGENGVALEAMKGFWEGRAD YRNAVNFDDNFWETLSSESVFVARTFNDFCRNEGNGKYESLVDEKLPEVTKLAFYLER YVKVLIDAIKRINEQEVEDEEEEEDTVEQEFIVEQLLHIALTLDYSDEVGRRKMFALL RQTLSIPELPDEVTKLTVQVLRDLCAPDAAGEKEFCSIVLEAVADVHDTIVDDVPDEG DIDDNESFHSARSEVSDDEDSQSKKKKNKGPELSEEEAAQKAIKEIMINMKCLHIVQC MLTNVAGNLQRNDHLVSMLNNLVVPAVRSHEAPVRERGLVCLGLCSLLDRSLAEENLT LFMHFFAKGHTALQITALHILTDILNVHGAQLLSNNTNLLKVYVKALRAGGRSPEVQA AATVAVSKLLLGRVVSDVDVSAELLKTLVIAYFEPASAENQSVRQALNYFLPVFCYSR PENQDLMRRVALDALHTLYNVREGLDDEEADVDDQMVSMSTIAACLVDWTDPRKCYNP DEGFSAGLDPEKKTVSADVHLDFAKDILERLQGNGAKEEKRIMAALLAKLYIPSGSSS SNPSSPIKIRDVYALVTNAVENNLLSDATSRNALYKVHVSLGKIVNALDAAAEQDAAN NAAGRHASIASGATTHRRSASRAGSVAPSRAGSVAPPTSEASGGGGAVSRAGSVAPSA SGATAAPVNSRKRKEREVSVAVSIKEEDENEDEGEDVEMGGTQVGDDDNAGDRETTPK QTATEAQEPSTEQRRLLRGVSLRSRRQSSQSVVSVQPQQQQEEEQEEQHQPTGRRGSR RASAMKAKARLSAGRSSRAGTEDIAEEILDDSDGDTVMERTKIEEEDEEEQEEKKGED EEQDDEVGGEEDGEEEEEKEEDGDGDDGENEGKDDDELVDELLSDEDDDSE NEUTE1DRAFT_122010 MGKDGNKKRQREVDEEIPVDPDAIKDSDVAAQNPPSKKARVESN RSLFIRQLPPSATAESLTEFFSQHFPVKHATVVLDPKTKTSRGYGFVTFTDPEDTLEA KAKLNNYLLEGKRLKLDIAEARHRDAKKTGPVVSKVAEEKQKRAEAVAEAQKPNKLII RNLPWSIKKPEQLAELFKPYGKVRFADLPNDKGKLSGFGFVTLRGRKNAEKAIEAVNG LEVDGRPLAVDWAVDKQTWAQQNGGQSDDKKEKKDKKVKEPKDKKTEEEETAGMTQEE KDLYNFFKSQGENLESEDEEDEDKDKEDEDEDDEDKDEDDIEEDEEEEDEEEEQKPKR LTDNSLTLFIRNLPYTTTDEQLKAHFTQFGGIRYARVVKDKATDRPAGTGFVCFFNEE EMKACLKGAPRHQPTTTLVKHSILQDETVDPEGKYTLDGRILQVAHAVSKDEAERLAE ASAGKKDKDKRRLYLLNEGQITPSSTLFKVLTPAEIKMREASANQRKKLIQSNPSLHL SLTRLALRNLPRNIGSKELKALARQAVVGFAKDVKEGKRAPISKEENSRGGEQDKENE RLRKLKGKGVVKQAKIVFETTTGTKVDEKVGGGKSRGYGFIEYSSHRWALMGLRYLNG FAVKNELGKTQRLIVEFAIENANVVQRRRQDEEKQRTMTPAERKTEVEEKKRSKEAKE AYKAGRKADRKKAAKPEKPAGWNKGKDKKGPAEVTKAAGAAPAKEVKAEDIKVDKKGK KSEMVKEALMTKILARKRQQRKKKANIRGKK NEUTE1DRAFT_146184 MRLPAPASAAGLWPMEYLPDVDIPPADGGDHGNDEGQNWSSLIG IITAICGNILIALALNVQRYAHIRLNHHRAEIRRRAKQALREARAKANGTISGSGGIY GTTAPVSDGALSRKDTTRRPTSDLAIDAHETEPLTRSSDSTDSGWSESSTPTSGEIKV TTTYLKDPYWWLGQGLITVGETGNFLAYGFAPASVVSPLGVVALVSNCIIAPIFFKEV FRRRDFFGVLIAVAGAVIVVLSAESQETKMGPHEVWDAITTMEFEIYMGLSCSLIVLL MWASPRYGNRTILIDLGLVGLFGGYTALSTKGVSSMLSSTLLGAFTTPITYVLIFVLL FTAVMQVHYVNKALRRFDSTQVIPVQFVLFTLSVIIGSAVLYRDFERTTSKQVLRFIG GCMLTFFGVFLITSGRPHHDEEEEEEEILSDAEGIDETIGLAEQGPTLGAAAAIVAAT SSPHTPRQSSRRRSDSTRSRRSSRISFKEASVRPLSAVEEAEDTGLPSRTHTRTKSQK TLIEESDDDDNEDAPLLGNPWRAASSQERNRSEEYIPEIDAHYHHPGIGPHTISSDTI LSIPVSSDEFGTDLLSTTPQPNITITCGSSPAAGSSAATRTPPSASRPATPRAPVSSS TLSQSHRAFNAHVHHYGHHHHHNAGGNPLMISPSPFSSTLGAVVADRLLPHLGMTAEN DSPTQRRIAGRRSRPGLRNSLFVPQDELDNDDGYFDLENCRGRGRRSAAVGSTSTFGP LLDLTGLGGNGSKGEGQRGPGQGQGQGHGDQGIRGRARSLSGTLAELLGGGWRMGGGG GGGGSSHVSTSATAATSSGNNGSNPTMRVPNASSRSRMGMKRRRTDPNFRVIRNGGET RVTEDEEVEGDEEGQGSRLETTRSAAV NEUTE1DRAFT_100525 MFVGRPLDMSKEKIGRRTRSTVENRNLGNVNVYYETSVAVVAVA DRTLEWMHRGGFDGVAKMDAVTAGVAVAARNSA NEUTE1DRAFT_122012 MRDDNDPLNDFLKPFRKFKEHVDDNIGAGFHTILDTLLYLTPYQ LSRRDAPSSPALGTDITRGKNLPDIRAYEKNKDSEELERYFDELQRNGTNYFEAARAW RLFLLRSNYSPVRLSLELGWKPVPKGLTPEMDPNQFGWEDAFEDLLAESSAKPMRSLD SHCFTKQYPLYPKYLEQSKTVTPDMLRALDMDQRIRFRKLDEAWFPLYSRLFVDASDR RYCSPRSMDEWIEWRKAEAEKADFHYNILSQLVSNLGIDASRWADKNRNRDEAVLEMQ GRIINDVSNTLGDVVDMSNGFFDNLTWFINKFDRALTEETERESKKRLQSPSSNDKKH PDTEQDLTDVILSAFNESNRSLSTLFKSFANELKDTKRFFDDWIDSRDVDSLTKSSDT AVTTRDNSTVTTTTTEKDGVTEVVKKEEYTDASGAVHVKQEIIRKDAEGNVISRSSSH SVQSKRSWSFGNFGADEDAKSSLAEKGRDQDGNTKDDDGKDGKKDGWFWK NEUTE1DRAFT_41199 MNPIKTPFVPKKACSQEQADPQEYFKLKKQVSPQATNPGKKNDN KNNQMEELSLNPAEEGLLHAMRAGKFRTLSALKLREPKSKEADRLATKISPMDYRLKR EQDAKKLKKFIRYRHILHAPKGSGTLSACIPSHPWPEFSQPLTCLYCNLTTDHVNIHQ FLPTINIPCPKSPEVRILHDQDLCPYHDYYLLSGCSLGYHELLALSVFQQWGISFGTE CERGPGSREVLHSDRHFDEKRSHFKHFIDRMREEVVEKRGHLVTTEADLKLGTFLSKG AGSGYVFETCMGEEGRKDLGAIGDGRPVVKGKETGKEEEDDEEDEEAMEEVGPAGEGA PLTAPK NEUTE1DRAFT_81347 MAGHKGARFNDAEDISDDEALRVAIALSLGQDPFKPQAGGKSSA TIDLTQDDEGDDGSQRKSTVQLKPAAQDTRLSQPSKQASTTSAPAHSVSALFGLDRKK MEEERLARLKNKRPASSALESPSPTTARPHQRSKMSTSSYDNATGPQTIADLLLPESD EDETASSQGSSSSPVTGRMVKAGKQQANPSESGKGKVAATAASAEKSSIAGTTSGSRP KAELSFPHGAVKKTWVRGQARKGDDITIEEVFQKDKLQLAVLSTFILDEAWLFDKLDL MKTKLILCRGAPNQGEQISTWLDGFPTVRKHLVPMNGSGCMHSKLQLLKYKDHLRIVV PSANLVSFDWGETGDMENILFIIDLPLLDDPDVTRELTHFGEELLYFLKAKQLDDGLI RSLKKYDWTETRRYGFVHSIAASHVDDKAWRTGYCGLGRSVKALDLGTTKTIEMDVVA ASLGSIKDSLLKALYYACQVGDSGIKELESRPVGKKGQEAPDLGAVEVRKHTRVFFPS KNTVRTSIAKDAGTICFQKQWFEAPTFPREVLRDCVSTRPGMLMHNKMIFVRRTEESK SEPGKTVVSGFAYVGSANLSESACSSSAVPTEKQEEEGNGKGKGKAVVPGEMDDDSSW GVFEGHVPIPMRVPGSPLAFTGSKSPFFFGGF NEUTE1DRAFT_129527 MREAITVKLSIANSNSIISRTVVPTEITATTARQPVTAVSSGSD RIPFSHKTKSERDYIVRCLLVMQREQQQDQPGPLPKATPGRRRNNRHAGNAVARRTYA SENDMPSEGSYPINFGPAAPFTPHKSGTKSGTNSPAPEPQANGSRPKPRSTKSSRSNK QASTTSPASTPGPSKNYRTSPPQTAALPKSVFPPAFAGGSFHASPDPSALPIPSFLAK SMDSPSVKDTGRANSEPSPPATDSEAPTPRTRLPVSDISREESPLDFFFRADRAEKAR ARSGSSANMYAHSPSLFSPQGQGLSPQEPRTLPTGTSLHGARLHHSEPAQPNYSMGFS ASEVGGNPCLSMGPAFSRPYQDRIRDARSSEGHTGVASSVPRQHQQQQQQEPNMDPSE KLKRFLAIPAIRDSQPANQPPSHPASQHTFPGHFRGGEFHGRQPPPPAPFPQAVDQQR SADIQHMEDSLRRMLKLDSPVNIRASATNYQSS NEUTE1DRAFT_116888 MADVDMTDAPASAPVVKKNTAAESKGKKADGKPRFEVKKWNAVA LWAWDIVVDNCAICRNHIMDLCIECQANQSSATSEECTVAWGICNHAFHFHCISRWLK ARQVCPLDNRDWEFQKYGR NEUTE1DRAFT_129530 MFDQEENVGNSGYSTPVPELDDHRNQSVSVQRPDRPRRGTFDSL YGPGQVIETGPTHSDSFQGTRIRDFEEAVVDEEDQENSPIYRRDRRGTVESAVLDVRS ISPPNSVKAFAEARRRERDLSFSEPTRPERRVEEPPELQRANSIVSRRSYRSKQPTVI DDTASLATNKSAEEDVCFPLQDDHRDDNLHIDFHYLETFIKAENEARQSARRPSAIRV FPDLRPAEPDVTPTVPMVTLDGDILSAPSETDIRREKSHETDDDAEAKRTLQPPQPQV DQSRVSFFSSAWESTIHAADLEGLILPGEDIRGLFSFPKGETDGVWWLNMNNPSEEEV RAVCRAFGIHPLTIEDITTQESREKIELFPSYYFASFRSFFIEVEDTTGEKEYVPFNI YVVVFREGTLSFSYANNSHASHVRKRITMLKDYVSLSSDWICYALIDDIVDSFAPEIS KLEHETDQIEDDVFIARSDDMAQFLKKIGIARKNVMGLMRLLGGKADVLRGFTKRCNE NYKVTPRMDIGLYLGDIQDHVVTMMTNLGHFEKMLSRAHSNYLAQISIDGIIQGTATN RVLSKITLLASIIVPLNVVTGLFGMNVHVPWGGMETMVPFYVILAFFFSFCSLSYIFA KHKKLI NEUTE1DRAFT_81360 MDIPQADLDLLNEKDKNELRGFISNETQRQRVQGQTHALTDSCW KKCVTSPIKTNQLDKTEAVCMADCVERFLDVNLTIMAHVQKITRGGSK NEUTE1DRAFT_116889 MAPKDTKKGGASKAGKGAQAKKAAQAALKGVHSHKKTKVRYSTT FHRPKTLQLSRAPKYPRKSIPHEPRLDEHKVIVHPLNTEGALKKIEEQNTLVFIVDVK ANKAQIKQALKKLYDIDTVKINTLIRPDGSKKAFARLTADVDALDIAATKLGLV NEUTE1DRAFT_81366 MATRPKKKGVVKNLAAGRPPTVTKPKSLARKTTKSIINKLHLLE KRKVQAMKKNDNAQVAAIEAEIEALGGIEKYQEASLQGQRHDRGGDSSNVLMEWVGPY LSSEEYKGGRLRMLEVGALSTKNVCAQSNHFDITRIDLNSQEPGILEQDFMERPLPKD ESEKFDIISLSLVLNFVPDHKDRGEMLVRTTQFLTSPKRFSGTSAAFFPSLFLVLPAP CVTNSRYLDQERLAAIMESIGYEMVESKTTQRLVYYLWRRTSDQVGNDRPFRKEEIRS GSSRNNFAITLL NEUTE1DRAFT_116891 MVWQERGYGRPFERGMSSLAKLPPAAYTLLPHQVEGPFSKHFLA LIRSSFKVDSGTVR NEUTE1DRAFT_116892 MHDFMQRCVPALDSPLSIWQSTVHQRSRWERRVGRKGTKGRQRK QKLYDLFVKVGPICSFWQRSAGRKMRSGGAKMRLCMLASGGPNGLSHPWDHYV NEUTE1DRAFT_122023 MSPDPSVLPLIAHSILSICRQPFPSRFGSFPYALEALPGTLKAK WDSPEFAPYRAAFPAEHAGSQESLAAHVRDLMSKDLKISYLKSLQGYLWETGYRNGEL KAPLFLDVAPQLARWREHGGVKVMIYSSGSVPAQKLLFGHTNGEPSDILHWLSDFFDT VNAGPKQEKASYEKIAAKHQEYPIGEWLFLSDNVKEVEAAKQAGMQSYIVNRPGNAEL SEEAMKQHRVIKSFEEIGDL NEUTE1DRAFT_62691 MSSEQSIAPWRLNICRGLRHGTEPHPRSPLGRMDRTKLPDASRK GVLITILKRQPAISFRTPDSVEILIAFQFQTNKARGVASKLQHGATATYTCK NEUTE1DRAFT_100540 MLAINNKFPSSIYFCLPSSVLACTPYIRPAVPQHRMEKPFLPLQ HAICQIEWLPVADTWRQRQQRREI NEUTE1DRAFT_81372 MDFCLRNKFQSGVLLAGRYQTISPLNHGSFGMVFMARDLKTGQK VAIKCLTKKGAASEAGFDFAVDEKSEELVLHRRLGAHPNIVNFIDTFETEAHTYIVLE YCERGDLYEAIRLDQGPLETEHVRRFMLELVDAVAHIHAKGIYHRDIKPENIFLTQTG SAKLGDFGLATTEKWSYEAAVGSERYMSPEQFDSAGAGYSPAAADIWAIGICLLNVLF ARNPFTTPTEADPLFLDFSRDKQSLFDVFPSMSQDTYEVIVQCMNLDPRKRSLEGARE ALLRVISFTTDDEILDDFCTADGPVVASSNREPLRTPSIQSPQMDTGAFPWAKALHAN TKRQLSDIPDDESYTEDLFSTSTATTSDWLSASIQTPPSVSSVMDSHLAASMQSLNMG SVAQSSKDMFAKIPVRPSAATGSLPINMAKQPKQSALSLVFGRKDTVSKSWSDLWDEE EEEEEEQAKQLALKEMNSRTWSQESKHDNENTPRMGRSPATKAGSLHVEHDIPAIDMH LGSNIDDDLAADGFFFHDAPARKEPTQFSMSHSPPARKSALDKWQALGERRRATGTTP PKGSESIPRTRQIPHSFGAASHDFNNIHHNSYTVHSNNHSSHHYSANIGKKSTPVKQC PWSKGRDRAFDWRKEKRHPFDVEWVGGWTGAPLVPVHHL NEUTE1DRAFT_81376 MSSRNPTTWDQYERGGRSPGRTGSFSSVGNRSVQFENESLLGRS ADANGYGEDGEWPQLRHRRSSITNRLSAVADIGGVNSIRSFTRSWQRAAGFSEVIPQR PSFVFAPDQAPIAAPPSGATPIQYGRSDLEAGEATPRSSLLRQHFESAAGSAPQNEVA EPSTTTTTTQRHSTDYREREAKALENELAQGFRVGSAGSIFAVPPHLATPPIVGSYGS IPDYGTTQSRGSMSRQSIDQVSVLWREPQEVPANVPDQEIPPILVKEVEQDGKIVLAV EGQSTLPQTVFNSINVLIGVGLLSLPMGIKYAGWLCGMVTLLLYALVTVYTAKLLAKC MDLDPSLITFSDLAFISFGRSARIATSILFTLELLAACVALIVLFADSLDLLFPGFLS VTGWKIICAVIMVPLNFLPLRLLSFTSIIGIFCCFSIVLILLTDGFLKPTAPGSLIEP AKTYIFPQNWLTLPLSFGLLMSPWGGHSVFPNIYRDMRHPYKYTKALKITFSFTYLLD ATTAVAGLLMFGDGVRDEITSNILLEASYPKVLTFFMCAFVAIIPLTKIPLNARPIIA TLEVLFGLHTTTVAESSGLVGRSMYFRGVMKIAIRALTIFCFLGISIVFPAFDSIMAF MGSALCFQICVILPVLFHLKLFGGSMSRREKIFDYFLLAVSITLSTVGTVWAFLPKSI FAPE NEUTE1DRAFT_62699 MATHNIVVFGGDHCGPEVVLEAIKVLKAIETNSPSAGKFNLQNH LLGGASIDKHNDPLTDEALNAAKAADAVLLGAIGGPEWGTSSTVRPEQGLLKLRKELG TYGNLRPCNFASESLVDSSPLKAEVCRGTDFIVVRELTGGIYFGDRTEDDGSGYACDT EPYSRAEIERIARLAGFLALAKNPPAKVWSLDKANVLATSRLWRKTVTDVISKEFPQL QLEHQLIDSAAMLLVKNPRALNGVVITSNLFGDIISDEASVIPGSIGLLPSASLGGIP DGKGKCNGIYEPIHGSAPDISGKGIVNPVGTILSVAMMLRYSLNLPKEADAVEAAVKA AIDNGTKTKDLGGNATTSDMGNAVVAELEKILEA NEUTE1DRAFT_62701 MHNDQDFVQQEYLETSHQPDMGSYMTNQGDMFNYQLSGTTTGDF ANPQPFWETDPSLEVMEIDFNGASSSLFRQEPHPPSRPMNSLNWETSTPNHFIPENVL VNGGRVVSSGGNEHVMVSQAPMQALMTSSADQIMYTGTYATAIDNFFGIINTGVDPGL LFSRPSSATMDASFDAVAQGSSRGPSRQISQGAQSGNQETAGPRTRTQAKFTIDENGR ARVETTLVVERDNPMAVRKRTSSQSVGGCRRWCSEDDEESSADDEPIIIPSRNTSFSI PDPRKSRTAVNTSSHSQPNFSFSAQSTTSLGSFRSDGGLHDLGDESDDEILFSEMTPT SKMSGDAVNELLKLREDRMRQKSSPSKMRRLTSSSHSNSTGTSVSMNFASRFTASPTA LTEASLPTPSSSDATASVTKMRARIVRDVATR NEUTE1DRAFT_100545 MTPAIACTRHKGTEPRAASRCLHAHAPVAGLGTVVSHGVVQTWQ KSRNAVTSQGYLSRFQVHCAAEHSLSQVAPEAIRVRKADKQLVESDTCNGLEVDFHES NEUTE1DRAFT_81382 MPGSQLTTEMEAMAPTHGMWTNPTSHSIKPRPATIHEGFAYSMG GGFGTLPAWTQPSLNIPQAQHTPSDVMFPPTSMPHEFYPQTTDAWGQGHCGEHVDIPP LDEDLAIGQAFTSDEVVPMDLQYQGHQQLEGDQMNYDGGLNQRRMSGSSFSLSTSGAF SDMPSYDEFSATFSDAQSISDYRPTSNRNSYMSSTHLSPVASPRMTPQTRSDLVRTQS RGRASPSPRPGARAAPYSVDGGRSKRWSTGSYGTAASRRPSPFVYHHGSQEPFHSQPR MPTHHSSPTVAQPQLPLSFGNLQAVQQNPYLLGSNGPVLQHSSMLLPSQLPSHGFHPV QHHYEQPPPLLSHGFFRMLSSNADPSSLHHHYADLSDPPDLFAALQEEQLDPPPEDMN PDDPEMVPHEQELRFDGDLYTPKWEPQKIRRMEGNPEVWEGFCGTCRDWVALVSNKKK GTTWFRHAYKCHTHTKIKETPKRQRGSSHTRPSSMIKGKAEPQISSPLGGQMQDSSIA ETPSLSQTSGESQFEQA NEUTE1DRAFT_122030 MIRIPGRWWSKLKNWMLKRMLRGSRMVSLRPNPAKQAPVSQHRK CKTRTDMATRVSALEAEIEEDKIWSKDYASAVSGLAVSLRSEQGGSVSLFWFSGLMLA QPMSSTGLEWARTWVDSKDRQGKPRLADCRLWMRGFGDDCDSLAGLVVLSHKPTLERL LERERMTESRERNKEIVCGLA NEUTE1DRAFT_62706 MPPINLHIHSPINAAKASDPQDTKTATPEGQSSSGTVLNANPDP QPTGSATLNQPTPTYLTSDRTPPPPQPGAVPSLPAQTAGTGTAATQGQDVEYAHLPPQ PSSQYYPGNPAAPAPHGPITSPPHQMSIPPPTASYNYSQHGTSTTTIPPPPTRTLPTY LPAGASPAELGLGPRPGAGSGSGGGDLSHPPGYQQDAMASDMNQAQRAAHEYAASQGG HGHGQGHGGDDDEEGVWNSAKKWIQDAGAKVAEAESEVWKRINNQK NEUTE1DRAFT_129540 MVGKVSERVLLREGLERTDNGMKQTSWPDVVPINQKNYYTDYMK RDDQILSLRLQSELNRDRLVQSAKDRDRALNYTNGEVPLPLDELQGDDGPTATAYADP SKVIVIHPGSQNLRIGFASDALPKTIPMVLATKYPQTESEMYEALPRRQFEARTTEQQ YGEDWSKKYQKMCNDLKTDMRANRRKVLPNSKDLVLNFNRRTEAEVISTHNDPLQVDW TDVKTLEDPDSNASVFIGQQALRIPDDSNPKFKLWWPIQHGWMNEDDYPTKAHLFNDI ETLLDRALRYDLGLKRSTEWKQYSCVFVIPDLYDKKYVEQILHLCVEWFEFSKISFIQ ESMAASFGAGYTQACVVDVGAQKTSITCVEDGLCIEDSRINLKFGGYDVTETFIKMML YDNFPYQEINLRRRYDFLLAEELKIKYCTLSQANISVQNSDFHVRAPNQPTRKYQFKF YDEVILAPMGFYDPSIFDNSTKLRGRRKLIERSYNAYDVEVPDDPTSAAQFAVLSHIA PSLNAHTLAGALNDLATPGREKPLSFNFLARGDTAAGTPGTSKAPSPAPGEGASTPVP PPFVFGAGGGSPAPSGRNGTTPVPTSGAAAAPNGTGAGSNTLAVPGMPTEAVQRTPKS MAAERDAVLPVVPLDIAIMTSIQNAAKGDEKKVRELMGSIMVIGGGAKIPHFAPYLEE KLKARRPDLSERILVSRSAREMDEQVVVWKGASVFAKLSTNDSWITNYEYKMLGSRVI YHKALWQY NEUTE1DRAFT_122033 MDQYLFQTYLDQCSFVEETTSWIEIFNYSDESLNTIEEHRFGPD ERRVEFHNFLRRRGVFAPRELKEGVRLLNGIRLIVQKDAQDNETFAPLHISLKPEDYS SMVESMRLPYRAIEGTSVVGPFFWAALDHDDKDPHLQIIFRKSDVRKKGKTRGWELML SHSFNTGITSGFVKGTPSSDINVSIKTLLACASQAMHPFLLPMIVLSHDISIKTDMKQ REAREWLRRLEHAVSMRQEILEEESNYIKDTMVNLDQINRDLVECHSQVLWKRPQAYQ EIVRSFGIALDSFWSLAESRPETYGKEAFKALNESFVSRLDFFQAKLKGIESYAHITL ERLSIQRAALYNIIAQKESKLGLQMAVDQRKLAHAAKRDATSMKSISFLGAVFLPATL LASVFSMTFFNFQNQDSGDSSSSSAAGDTNSTSSGGSGSGGSVSPTIWVYFAITIPVT LIIVVTWLLWDKRREAEYAKVDEDIEKGIDAMEKKIMAEMRKRTMSTARTWNVAKS NEUTE1DRAFT_81394 MPLPSLGFLKKKRTKEGNTDPSSTSHPTSPVTPTSASSLDRNSI QSSIARISSHSSASQATSQSVATGTTTIDTTHTPITLPHENPVNMNSLPVQQHAYPPQ HTPSPGAAEQQNLPSISNLIHQPHYDQPATYKQSSRYVPSVSPANGGLPAPHTPQPSM PQTQQATLQPPHNHHQQSQAQQQQQQQQQQQQPQQQQQQQQQQQVQPQPSQPRATKGK YSLADFEILRTLGTGSFGRVHLVQSRHNSRFYAVKVLKKAQVVKMKQVEHTNDERRML AEVKHPFLITLWGTFQDAKNLYMVMDFVEGGELFSLLRKSGRFPNPVAKFYAAEVTLA LEYLHSRDIIYRDLKPENLLLDRHGHLKITDFGFAKRVPDKTWTLCGTPDYLAPEVVS NKGYNKSVDWWSLGILIYEMLCGYTPFWDGSSPMKIYENILKGKVRYPQWVNPDAQDL LERLITADLSKRLGNLYGGPQDVKSHPWFAEVTWDRLARKDIDAPYTPPVKAGAGDAS QFDRYPEETERYGQGGPDEYGHLFPDF NEUTE1DRAFT_81398 MMLLTSALSALWLATAAHGAVQQDAEGNVKSIGLRTHSLNQPYL DSDTQSRWYDFGGDTIIRTDQYIRLTSDRPSQMGNLWSKVPLTATNWEIEVEFKISGK NQLYGDGFAMWLTKERGREGPVFGNQDKFEGLGIFFDTYKNNRPGVVFPYVMAMVGDG RTSYDKDTDGKNQELAGCSARGIRHANVPTKFKLSHFQDKYLKLELQYKNEGEWLLCF ETNQPPTIPPVAYLGFTAETGELSDNHDIISINARNLYTTAPPTKSTPGSGKGKKVTD QQAEGSWTWFLVKTLFFFFAVGGAYVGYTAYRTKQQRSHRF NEUTE1DRAFT_81400 MVGFSFGLKKAAASSKPAPAKRKPTTFGGDDDDENTTVGDGQPK PIAAVEITELDGFDDNTSTPLEAVDEGRKSKKKSKGLPQPPLPISATTSSSSSNKKAP LPVAAAAQFGNLSSALESRKYAQAAATADPSIYDYDAVYDSLKAPKKQEKEADSKEGK RPRYFDALQKAAETRERDRTIAEEKRLKREREAEGDAFADKEKFVTEAYKRQQEENRR LEEEEKKREEEEAKKNKNKGLTDFYKQMLEKEEQEHAAKMKAVEERVKAGPGEAAQQK NEGEEDPDTEKSATERAKEINAMGGNVIINDDGEVVDKRQLLKGGLNVAPKKKVEHQQ EKARQAAKPAGASGPAKGVFQGGSKQAMRERQTRMLEAQLEERLKRAREEEEEERKKV ELVSKSRKTEADISSAKERYLARKRAAEEAKKKGLEEGGAP NEUTE1DRAFT_94797 MSDSTSETVKKAIIKQVLIESQSANARTLMEKIGENCFTSCVPK PGSSLSNSEKTCVTQCTEKYMAAWNVVNTTYLRRIQQEMGNQ NEUTE1DRAFT_62725 MPAAVRGTPYLPDGAHQGGGGLSGAEQKIKTLSPGVAAHLQRIY SAHADTVTKAWRQEDVSVWLRCCQGDDEAELARDFPLHQDWDYESFFQYITSSAANSI APPRSQDLSYPLSSYFISSSHNTYLTGNQLSSESSVDAYRNVLLRGCRCIEVDVWDGS DSESEDDDGDAESVTSSSSSSSDDAYGSGEEGTASKLKRKAKSKLPSFLGGRKKSKDK KVPEPTTRTPPPPAAATTTEAAPVGLSAETNPLERSKSGGSASLFQTLSRKSTKEPRV LHGYTLTKDITFRDVCEAIKDYGFATTDLPLIVSLEVHCSAEQQERMVDIMRQIWGDL LLPEPEEDAKYLPTPEELRGKILVKVKYAPPNNEGGSTGSTTPEELDNTAAAASGGLP EEAGQPKQRAKKPSKVIWSLSKMGIYTRGVSFKSLMQPEANMPTHIFSLSESGVMDVH KESRSALFEHNKHYLMRAYPSGMRILSSNLDPAVFWRKGIQIVALNWQNWDEGMMLNE GMFAGTGGYVLKPAGYRCAKLTDSNPSPSPSPSASDTQADAVQHYTMDLTINVLAAQS LPLPPGDDNIDSFRPYLKVELHVEEPGERHGTDELPQDGKEKGGEYKAKTKSVKGGCD PDWEGKFGAQTELKFENIPGVVPELSFVRFLVLDDEIGRDSLAAWACVRLDRLREGYR FVHLLDAKGVESDGAVLVKISKKLRL NEUTE1DRAFT_129546 MWKTARDSVCLICRSAATTTTSTSARASLEPWAGQRTFSTRREQ RPSRMVLSDRVARPPPVRGPGEGNKKPRNKPDGPWAGMNRRVANIDPRKAPKPKPVEE DSRRDKRDKNTKGQKALKMQRALATISYGQRTSIKERMQEIQAFDQFDLLPVVKEAIA QEALKGMTEIKPTPVQRLAIPALLGQPMGRKPRRPKSDNGREEFLLAAETGSGKTLAY LVPAVNAIKKGDADDELVASYNERLAAEKERRGGAPVSEWIEKFEPHPNTARPRVVVL VPTAELVDQVTSVAKKLAHYTKFKVRPLSASISPLRNQRNLYSPIGVDMIVSTPHLLA TIAKSDPNVLSRVSHLVIDEADSLLDRSFSGDTTSIVDRAMPSLKQLILCSATIPRRL DTYMEEQFPYINRITTPNLHAIPRRVQLGVIDVSKDPYRNNKMLACADAIWSIGKEAA KHEGPKSEIDVKRIMVFVNERETTQQVADYLVSKGIDAIALHRDTEEHRKSEMLASFT SNEPMKISRPAEDAAAAAEGGKAGGAVSSSPTRRHLPNTKVIVATDLASRGIDTLAVR YVVLYDVPHTTIDFIHRLGRAGRMNRRGRGIVLVGKDDRRDVVAEVKESMFMGQALV NEUTE1DRAFT_122040 MASTKVSTTITFRSPGTQPPLFIAGSFSEPEWQPQEMVCTTGAD GEHVFTKEISGEPGSKVVYKFRIGLGDWWVLDEGAPTVTDSSGFRNNELEFQHPPKEE PKPDLPTDEATSEENAPQTNGTTQHHADASEIKEALKVETAPEVEAPQETDTATKVTV VSETNNDPPHDVVLKVEEETTVDISAAKDTSSSDDVAVKDDTAAKDETTSEAHTETKA EVPSTTEATLKDEALSEQEDKTKTKDSTESNVAPVETSSTETPSKEEEATPEETKVKT ATDVEETSSKLEDSQQHEAVPTEEVPAHETAPEAESAPKESLATEEETSAEPAVEKEE SKPEETTIVDAAHKKEAEVDAELPVEKTDVQEESTSQVETREEAAPAEEAVSAAPEAE TTSEAETTLKEDTLAKESDLQETAESKEEVNESEDHLPENETVSKDEASLAEVEKEDS APLTHEAKVQEDTLKTTDEQPSEEKPAEEETVHEDASPEKATEEKATKETSEEPAHED DTRDKATQEETAYQDTQTDDEVTQQTTQEEITQQTTPEEYTQQTTQEDVTEDAPEVAA TKDEVDVKDDTTPHETDLKDETHPMEASKEEEPTQEEDAEDAEEHAVEETAQEEQPTK ESASEETTATGEATSEEVAPEKADEDETHAKTYAAVAHEALEAETSPEVTEAAPEAEA PQAEETAPATEAAPAEETSPAVDVAPVEKAAPVEDDAPVEKATSLSEENASVDATNSA EEAAPSEIPADVVEEAALTAEATPAEETTPVTEATHVEEAAPVEESTHASEDAPVKEA PLEAAPVSEELPAEKAASTEETAPALDAAPAETAAVEEVTPAKEAEPVQATYAEVAQA TDAEEPAHVEKTEPVEETTVDETAPTEEAATVEEEAANVPAEQSEPVANAAKEILARS EPVTESQSGAATPNFARTAAEVADSAALLDEGTPEDRVSDEEAGKTGFRRLSATPITE VADTAAEVADSAKYLDTEATETDKSEIPTPAEDGSHNPALFSHECAGMYGEEPSITIV SEDMDKDNEIRKEIPLDEENIDINDPTLERFPSNRDEIIDTVRKLETGLEEDQVIIED APSPIIGSSNSRRESEVSHDAALGASVIPRAAKRLEVPHSPRVSVSSAQSSALSLQSI SESDEPTNSKEEETSAVQLSPPHKTAASNETTPREATPRAVVEDEGISLSPDSPKKTE HTEDLTTKMLEPQALDIPEVSAHTVSVEAPVEPIAQEEQAPKDSKPTNGLLPSPEVVA ETTERSKSSQPDAQQSTDEPVAASDATGADVRSEAEASATATGADTDNANTVRKRGGQ GTQASSPPPSDAPVIKQDPKSGWFTTFCKLIIVDFIGGILSRIAGNRKRQTLLAAGTA AVAMGVGWWRIKANAARSAAIE NEUTE1DRAFT_100558 MSVFLPPETITVKRRKRGAEDAPVDFLRVEGSKRSRNGEGSWVY RLKQATDIVAPQPTVPTIQTTKEGDEKRRIIKPLPRPRSQRMTPQPGASPIIEPTSTS QTTKATEPTLRRFHLSKTIVSQASTSGPVSKKRASTVIFVERNSKRKSLHENQTLEQS RSVNAHNGPAAVQPKPSTGYTYQEPPPADPNDTGVKRSYKRPGTRRFQPSAESKPGST HPALPPSLVNRNVNVDMDQLAQEMDAYTLSAITSHLSKLDEASAKAAERKAKFKPKAP ALRYAQRHPEAAAAAARTKEVAPQATAAAAAAPMDDDMDIEMLDTSDDDDYVVEEYYR VPASRLNEEEVAATQVGLLVFDSEPDKIDFFFGAEEDDEFEFPEDEDDENHENYYAAD YPDEDLEWDDELDRNPYEYVTGNASDREEFDAELDDNDDDDFVNADERFMDHVWQTSN EMADMVAYARGYDGDRPEPAVAGFPRGFPYE NEUTE1DRAFT_62730 MLGIYGGNPENGEERQRHDAEGQARSASLSVSPALHLPKNKSTG NLSVTNDSSEKARLRFSFDAGPAAVEYDAMARSSVMTDHDHGLGLSGLRRIRQQPLPL RTGTLPSAPGSRKPSFGSAITRSASLTTMLDVHSNSIPLSSVPNSPSIAFTEDLSRFP SESLHSFSFAHQSEDLIHNRQNVLKRSIEFMKDRMGWSVASNTANVALASVQARISGD VETQNVLDLLARAQLVGAGSLPNGDVTLSTGPLTGPAELSGENIFDKQFMVPRSASPE PLEDDESIAPESPASQKTIGATKPQKETDTSRPAKFSLGNDSEHSSRSTTNESGTTAQ TSPSTSLRPGFLKRTMTDTEHAQMQQKLMDVMATPFLAVDSGPQDHLPSPARQSFSSV SSQPPAVSSAVHGHSTRWAPAAQAIFTTESKPPWTILAANDLACLLFGVTKAEVRKMG ILEVVQEERRAWLERKLLQGSSDDAGDGSESEIAKPVSNPPMSSILGSRGGGITAKLL SKPNSRNQPPKSNAGRRAATIHNGDPKPPKPGQGHHPATQSRGVLLCGDVVPIQKRNG ATGSASLWVKEKKVGLIWVLEEIHEDVAYLTLDEEGVVLKLSGALGPIWGDENLKPGM DVGKLIPRIPRQGFDPRTGEIDYAQIAKRRYYTCRNSDRINIPATIDQVRGQSELRVA SFPHIAGIVVVCPQTFTIKSSNSVFCGALFGHEKPDGLPISHLVPDFAGVIRILKDED GIDLVDGIVIPEHSFRKAAAFLALKEGRPDAATSLLRPEGLPAKHRDGSELKIDIQMR VVKSEKQTQISNQAIAEESEDEESVSGADMTDSFTVSQSELVYALWITYSRHLHATKS NPGFASPLLSGTATPLHQPSPGQTPAHTPPKMDSDSSSESECETPSQRRESTTSLITR QLKEVAMTAAAKLTGTPKAAEPKTEDIQTPKTVEIPKKKTIDDFVILEDMGQGAYGQV KLARYKNSGKKCVLKYVTKKRILVDTWTRDRRLGTVPLEIHVLDFLRREGFRHPNIVE MEDFFEDSVNYYIEMVPHGLPGMDLFDYIELRTNMDEQESRSIFVQVARAIHHLHTKA LVVHRDIKDENVILDGEGRIKLIDFGSAAYIKSGPFDVFVGTIDYAAPEVLAGKPYGG KEQDVWALGILLYTIIYKENPFYSIDEIMDRDLRVPYVISDESIDLIRKMLDRDVSQR STIEEVLAHPWCAMADD NEUTE1DRAFT_122042 MDSQLSRPTTPISASNGILENGEVMRARSKGREKLLRGLQRISS SPALAPFQRQRSSSSPYHGHSNLSCVSLATPNAPSPFGQTSISSSYFSHGASTASSSV PSTAPTTPGFESPGCNVSDPMLAVRKVGHPIHATTSIALPRHKKKLTTFNLWANMPHE IRIHVLSFLTPKELVRTSRVSKDLYNMCYDGQLWTRCDASEFYQQIPAEALAQIIVSA GSFIKDLNLRGCVQLEHHRRAEMVVKASRNLVNATLEGCRNLQRQTLHDLIKRNNRLV NLNLTGLPAVCNTTLRLIAESCPQLEMLNVSWCKHMDAKAIQTVLEGCPKLKDLRVGE VKGFKDLEVAKSIFTTNNLERLVLAGCEDLSDAALQVMMHGVDPEIDVLTNTPMVPPR KLRHLDLSRCNRLTSQGVQALGHLVPELEGLILSGITTLTDSAVEPILASAPRLTHLE LEDLGELTNSLLSEHLAKAPCASKLEHLSIGYCGNLGDTGMLPVFRACTSLRSVIMDN TRISDLVLAEAASMVRQRAMDVRRRAISLSPQEVSGLPNVTLHLTVYDCGNVTWTGIR EVLSRNTEPMKISVPATGTPSITLTEEPSSSDLTTSMFDTDNKSGTATTTPINPSPTT STTVGTTTYSCPPTETIALKCYYGWQQTVDEHTKRVLRGSFPSARRLEAKWAQYMQAE EEERAGGDGAGRRRRRRRAREAAMVHANEEGLGHLGEDDEDVWGAGGGDEGMGVAGGR RRARTAVCVVM NEUTE1DRAFT_116898 MSASQDPIPANGGSIEENLDHLKLDDNEPRLGPDGEPAPRTDEE YAQTTLTLRAIVSSKEAGVIIGKGGQNVANLRDETGVKAGVSKVVQGVHDRVLTITGG CDAVSKAYAVVARSLLEGAPSVGMGGVISANGTHPIKLLISHNQMGTVIGRQGLKIKH IQDVSGVRMVAQKEMLPQSTERVVEVQGTPEGIQRAVWEICKCLVDDWQRGTGTVLYN PVVRGGGQPLGGDRNYPQERSYGSSRVTRTGNGADFSSNSGGRPYNRRSDSDAASRGP PTHDENGEELQTQNISIPADMVGCIIGRQGTKISEIRKASGARISIAKGPHDESGERM FTIMGSAKANETALYLLYENLEAEKTRRSQQAQEPSE NEUTE1DRAFT_146213 MARAKQPTPVRRELSSEYISKADRKSPSEKEEAAAVSGASGSVK PPSSSSLAVPIKKEAGIATLLIDVAGIYISFLTWAYLQEKLTTTNYGASPISKGERFK FPVFLLTIQSLFAALGGKLFTVLSTPKGQPVPAMIPSRAILPPLLLVAFTNALAAPFG YAALGHIDYITYILAKSCKLLPVMFLHITLFRKRYPLYKYLVVAAVTAGVAVFTLHSG SKKHKASSHSGQTSWGLLLLGINLLFDGLTNSTQDYIFQTFQPYTGPQMMMANNLLSS IITGAYLVLSPWLVKTGLGEWFGMAQATGQEGELAGALAFLARHPEAWRDVLGFALCG CIGQVFIFHTLSTFSSVLLVTVTVTRKMFTMILSVVAFGHRLSEMQWLGVGLVFGGIG VEAAIARREKLAKEEAKRKAKATLGKAQ NEUTE1DRAFT_129553 MPSATTRRLYEDQIPSFMSVYDLGPEGMDQQSAITVVDPQSVMH LESEKLPGDTQPAQLRSNAMHADAAHNHHNSTSSRATESAESSPTTTVSCTDSSDLSD PSPSSSPDSPVNLIPLSTLAPMQPFTSDLSRMASLSVSDPNLGQRPMTSPAPRRPKNM KGLSIQPPFNSLASSLVSEPSSPSFIKPTIPGMKRKPSLLSLKTNTSELMNRTTLEVP PSPSVPPILQRRALKHSASTPHMLSGLKSATFGPAGGMTIPTVLERNESGLSEFFRPS RPLAPSGLSSTILEEEAPIKAQVANKAIYEVDPYHEVENNEDQKSPGYPDGPVCIYND NVYLYLEPTAEEAARFDVVINVAREVSNPFKVGMGSKQDSNSQGRPDIDSPVPDTAAT TCSFATAFEFIPESDTPTPTTPKAQSLKEPEYIHIPWDHNTDIGNDLMTLCEMIDKRT KEGKKVLVHCQQGASRSASLIIAYGMYQNPDLTVNDAYHAAQAKSRWISPNMRLMYCL QDFQKEVSKRKLMTSSFKPRLGRSPSRHRMTLSVDNIDLPVKQEPLTAPLPREDVASK HATPNLSPTRGRGHSTPKRGEPISPGPSSAPSSFSWAIKEDERDPGRFGRFNVNLGIK SPEPDRGRPSTPSPPLKPKPSRGLFAPTLAEPPKSPGFGPPLEAAFSKPPPSPGFGAH RFGSQAGFGFVPLTLGAAPTLGAPPKRKVSKDATSPERHMAVVRDFEDAALMSPRAET MTANPLHEPFAGIPGMQFVEVPPTPGEGLFSPREALFPRDTCYPFGRPKQVADPRSPP TKGETPIVRSIDELL NEUTE1DRAFT_116903 MWRRRGDQELGWRMGELRRRVGGQKENGNGRKFNELEFRGEGDG YWGRRKVNDVGEKKKGAEGTWKRPRSSLDFFHFGVTLLKRRKEGVEL NEUTE1DRAFT_137462 MSAMLSAIDVYETAGTPEPPHRGPLQVTVPGIDQLPVASCGSRR PFSLPPSPGRFPPISAGDRNQPPIQTGGGFVSSSPGSPSASAHATCLALGLWDVERAA QIRLGWVKSRYHGNLSGVGVPMVTRNSFLQTSFYHVDCSSTFHLSLVLPLYGS NEUTE1DRAFT_146215 MTSSSLGGQGLAPGAASTFHFTVDSFTTTRHSPTAATGRTQLRR SGRGTGTKKGTDSAGTRTPGGKKHNSAVITSNSNTNQLPGPGSNLDTYNTGTTTASTT ACLATSNGIAVQTADEINVHHTHRQNHNSSKLPAFRFADLKKDASLFPPSLQPIHIPP SPNNKSRRASVSSTAVVPAQSPFNLQLGAPASPPQFNQQRQQKQPQPTSPFHPNFVKR PQLSAEEERSAETPPRQAHKRLQDSAAIRASTFQPFSPTAQDSSIGSKRPASSVCDSH SAARGPYVSRYRPASTVTPIGKRRQTTSIRLLPSIDTAQGPREPVPPATIKLSQVNEK RRSRPPASSKPAIVPSASGRDSGGQASTSPTRSLRSSTSRDDLFSDSDYDPGRTVGDT NEGDMSNSTQRDRALRALEGKRDDDMSRMTPPDSATAASDNENTAEIFMNIAREDPAP RPAHTRTEDQSATSRINRNSSHRRPLSTAIPSYQTSSPPQVANRRLSDSRDTISSRSR LLSDPQAAREPNLRGIPRDRLITHIAQEDLGRSQSVRTSLRQAPPTPRQIAFQDAYEA GAGLTRRSSIADISSVGRNSQFRNSNLAVGGRIYNSSPLVPRAPEPQRPETSQNAETN QGAEGTDSSTSTADPSTVWDELDDLKSRIHRLERTGKKPPAGAGNSRSSEERPPTATT NATTMSASPKRGSGGTTVNHGETASNASSRETQPILLSALLKTKGLISAEVFNAIESA ANDALALTSMIGAAGQPGPISSGASVVGGYGSGVTDRQLRRKADSICRSLTELCIALT DEANQSKPAQSAAPNRETEKVITPTTAAKFTGITGRRRSSIMVETALPQPSVTSPRAP TTMEQRRISLLAASALPSPRTSAVPATPVDFGTPGRKSSLLLARNRRVAVEEPEEQVN GRRSSLLLRSRRVGQEEQEEMPAEGRKTSLLLRSRKVFNEEDEDRYRTPSRAITEVNG LRGTPRELTSQASSPPDNTPLGSSALPRRRMVPTSISSRLSAPTAPVIQPSASRRYLD RTAGGERETIVASSYAERLAEERAQRQLSLGHTAMLNRTGSISRRTRDSGIPSISTSN LQHQQQQQQASAQQVGGYR NEUTE1DRAFT_62747 MASPAEDQATHHQDLADRASRSNRGGGRGPKSGGHGGHRKGGRD VDLSRALSRLLRHQAASAGITLDAEGYAPLDKVLAWGPIRSLKPTFPEILKAVKESDK QRFAIKLAPGKEDNEGSEEAGDWLIRANQGHSIKLESEGLLRRLVLGGGTIPIPETVV HGTYFAFWDKIIQSGGLKPMGRNHVHFSTGLPEDTERGVISGMRSDAEVLVFVDVERS IRDAEAEGDKEGKGIKWWMSDNGVVLTGGDKDGLVPLKYFKEVKGRRQGVGLLWKHGQ KVADLPEGLEIRMPMGKGRAAAGGGGGGCGGGKRGGRGGGRGGAGKGGRGREEKGNGE EAKPLETDSQ NEUTE1DRAFT_122049 MSSETSRHLSSREEFESRTLAPLKLPNLRLNELSFPSPPSTPNS IQLSPSCSFQSVSWSRRPSVDSDYFSGALSNLSITSSVSSAGSDLKRKASSTYLDTRP GPSMRSLLEPQQQQVSLPPISHILNAVPSPFEHQLSQHRPQASPDYTSRFAPFQQGIF TPLPSPTCSISSSSFPSQAQRQQSSSLPRSYSVPDVCYKNFSATLSATTPQKKRQASA AFENASARFLSSCNISNKLQIRPSRTHRTTSRHSPYKSAAALHRNSDRKSSTCSSGSS SSSSSSSSSCSPGFATDDETSEVPDEDENLPSTSSNASSSNSSSSRGKKQNIQYTTEQ NLFIIYHKDDLELPWAEIEKRYNARFPGLYRTAGGLNCNYYRLNAKLPRTEDGDKFSL IFDERPRYDPENPGKMLPLTWDEYEGVRFQTVHVQVRQAAKVLGHPIGLMWRCPEELI KSENEWVLEEHRDKARELAARRSYQREAWKRSQQQQQHQQQQQQQQQDQQHNQQHQSL IFNSEQFR NEUTE1DRAFT_81429 MTLEVIFVTRHGFRSNWLVDHTNGSYSSTLRSPTGIAADPALTA HGVDQAKELGQRLVAVDPPIERVYSSLYYRCLQTVEPFVRAVSTSPSSLPEEAKEGTR HCQRQLRVRGETGLGEWYGSAPFEHPVPASLATLESHFPCLLDRDYQLAVVPTRMGES VDELHDRLAVTLERLIAECDRDGVRAVLLCSHAAPIIALGRVLTGNMPENIEVEDFRA FTCGLSVFRRRKGASSRANGSRTATNTASMTAGASRTQTGLHAPVVWRGGRGVKGGWD CELNSDCSHLSQGEERGWRFAGDESFKDVPNKSILDAGISLGVVVEGNNESGKSHGKS STGGSRL NEUTE1DRAFT_81432 MSADLFAAFGASSHDQGSQSNPVNNSSDPFSFLTSNNSTSLPPQ NTPQPQQQQQQQPAPVSIPWPSITPSQPQTSSLWGDLGTLGGSQGGFQSFQSASPAPA HPKPAAPDVEDEDGWGDFEVAATHNTSLQSPSPPASNVGPPQIGRVRSPTLDLVSNKL VNLDLSSTGSQPSANKPVQKPVIKPIRNADPSVLFDADDFELQEVDGEEDDDDEFGDF EGTSSPALIVPAAGPGTKEPKKQPPGLFLSGGNSQTLHPTAPKSPFASSHARKLSDVK ELKIKTPVTSGFPKEVKEREASPLTAWPSGTGDGFGHEWDKFADLSVSHTKTLTKAAG NTKTPAKKPELESSFDSDWKDWEETETVQPQAVGIASQPTNSDKRPPPTNVPPPSILL SILPQLLDLSKTTLLKIKGLPAAKQHAVASDPATMIFLRGYLALATVTGRIIAGRKQR WQRDKFLMQGMSISAAGGMRGMKLATVDKSQSARDDHSAVAVLDVWAQQEGSLRSTVT AANAASDAKLRVPQLKLALPVHVAKNVPTAPKPCVICGLKRDERVTGVDFDVEDSFGE WWVDFWGHRACKNFWVEHEAKLRQR NEUTE1DRAFT_122052 MLLVFRDSPTEKWVDILSGCRSSYASIHEKHLRFIKHPELLAKL PVDPLADDADSPWELVRKDELIRSEILQDVQRLPDDPLYHQDSVQAMILDILFLYCKL NPGVGGYRQGMHELLAPIVHVLIQDALDRKTVAAEQEVGPFMLDMLDSAYVEHDAYTI FSMLMARASAFYEVGSDANGEQNTIVEKSRHIHDELLMQVDPELASHLKEIEILPQIF LIRWIRLLFGREFPFEQLLVLWDTIFALDPNLDLIDLVCVAMLLRIRWTLLECDYAMA LQLLLRYPVPPDSQGPHTFVDDALYLRDHPNPTGGATVIFKHTGKHPLLSSAAPSVTS PGPQPPASPSKHGFGSLRQRTLGARSPLSSLQQPGGVEALLSGAAKNMIERGEKLGIN RAVRDAMGEIKKGLQEARSSSRSTAPRSPLRDGYLYQPPQHTVTSMQKRNRQLATMLD ESVTSLKQLAASGLGETTDKEKYVEAVEIAAAKVQFVKACLEDSSLILDDLEESAPER EPQAPSSPTPSAPAFNALSLSPSRHRGNTDSRSPTVALDITPVVMTSSIVEEEAEAEV SISQASTINTLPPAPAPAPESTVEADEPPKTPTEMTTPENTISKRRTSNGNDPSSSPA GIHKPPASPPSANPIEPTLSSPPTHPDPVSSSSPLQSETREQQQQQEQQEQQEQPPHR PEPLPTRSTIAQSSFAWMLEPDSPSFSAPNSSSTQQHYTKNTFPPPRSPTTAFPELGG RGRPFSSHTSSHPITGTGGGAKTKPRKKSLGKSSRMSNASRERNAFLFGDDDEDGEGQ AAGGGGGGGKKSGLAMGPLGDGIFGLEVISRSKERQQEKDGGKEGDGAKGEEGVEEER NEUTE1DRAFT_62757 MEVDKAKHHPNAVPNAVPVGAAIFDEPVSYLDLKAWIAEQEALP QPEPLTDIQKRAILDLKNSIVKTKHNFGLNEADWISLLMRYQQAHQAEQCVIEYNDSH QNPVGKVGFMCFVSLQVTKDWEPILFPSEENGLLQDEITGAPYVPQFTKKKDAKRYAA KCAIEWLMYQRYMPSDCKNVTFGSFKATPKPANPQIGQAKKTPQVAVVHPSIITPQRT PTAPVESNGFANDNGTVSAPISIPATPSPPATGELESNNGSNGASLDPNAVSNTEKSL APYLAKPPPAATPIRRKATDNLLDIDVHDDSISVHQRIAEMCRRLGMPMPQIKVELIP DTQNMYRGWASFPIEAGLVPEHVGRVKSGLLMKRTKEMVGEQVLEFLFQLEQKRLAEA DLVWADV NEUTE1DRAFT_81439 MWNDEDNNPYGSFERRDSFASSTNPASPTARDYSIDARFPTPQD VRYDAPLTPSDAGDDEVPGPTYPREASDAATDDETDDQAHGELVPRRKPGGYDSRIEQ MLYENPELPILITEAGKSQESGGRFIVYTIKTGDLTVRRRYSEFASLRDALTRLHPTL VIPPIPEKHTMADYAANPTNAKQDQQIIDLRKRMLAVFLNRCRRMEQVRTDGVWWRFL DPNSSWTEVLHSHPVSSIPKQIMKAPPLDPANPTPGHSFLPVPSSSAKLKTLPTQALD SAAAGSLARFPPDANSLSEQDLDAYFIAFETSIKDLESLLTGPMEKVNRRTLNHLSSL ASDLSELGARYNAFALSETAPTVSAAIERVGQAADSSYIATEELSTSLSASFAEPMRE SAQFAGVVRNVLRYRILKRVQQEMTTDELNKKRALLESLERSEAEARRIDQYLSSSQQ IQPPRREPPAQHRRDGSGEDTASIDSDFPPTHSDFSQAPSAKIGAPERTGGSPSHKKA ASTSITNKIFGPIRHAVQGVVDVDPERTRRDTIGKTRESIVQLEQAQIASAKDVKDAS ASVLKDLKRFQREKEDDLKRYMLAYAKSQIEWAKKNQETWEEAKAEVNKINES NEUTE1DRAFT_81441 MEQPGPIADIAKPGIKAHRDLAISLREEVARILGRSSIGFPGAQ PVSFARKHLEELRREDYYVCEKSDGIRYLLYLTVDEEGQEVQYLIDRKNDYWFLPRNS MHFPMPNDIQAFHRGTIIDGELVMDTVPGTNGRKEPRFLVFDLLALDDKAELLNKPLD KRLGYFSAYIYEPYKKLLQQFPQEIPFMAFKVEMKRMELSYGIETMFREVIPALKHDS DGLIFTCRTTPYHFGTDPHILKWKAPHENTLDFRMRLNFPLVQATEAELDEGFPEQYT DYDSVPQAELYVFCGNDGPGGSKYELFPDPLYIAEDEWETLKALGDPLQDRVVECCLD AENRWRLFRFRDDKNEANHTSTVSSVMASIRDGVSDQELLSAATAIKESWKIRAQKRK EQQQQQPKH NEUTE1DRAFT_146224 MSSLPPNVHVSTHPCLLAKLSQLRSKTTSARDVKTLIHEISLLL GSEALAKAMTTAPGPQAETPLGFTYETTTATPGNISLIPILRSGLGMVEAIQTILPQP VPVHHLGLFRDPITLAPVEYYNNLPNHLVSPTQETASDLAIILDPIIATGGTCAAAIQ TLIEWGAKRVIVLSVLGAAEGVKKVAEEWPEGTEIWLAGVDQELTKGGMLKPGLGDVG DRLFLTIGK NEUTE1DRAFT_81447 MTAPSDTEGYTGGQGDSPDSPDRNHQRNLIDDHNHNQGQDDGYS QGHVTGTGLHPLHQSQSHYSRASSRRASAAPLNYDVPDDYAHLEASNISPVQNPDEDP ITRLDTLERVRTRDRDYLREQRRKPLPPQEPESSAEDYEREKQAGVVAKRQKVSRLAT ELYTISYLIFFSLLGTLARLGLQALTSAYPQSPIIFPSIWPNFAGCVVMGFLAEDRML FRPDWGQQPNPKKDDDDDEEANIDPAAAKKAHMALKKTIPLYVGLATGFCGSFTSFSS FIRDIFLALSNDLAAHGSAAPVSRNGGYSFMALLAVIITTISLSLSGLFVGAHLAIAI ATLFTRFDLGLPYTFVGRILDRLIVLLGFGCWLGAVLLSIWPPDRHSAQPEKERWRGT ATFALVFAPLGCLTRFYASAHLNGRLPSFPLGTFVVNMLGTAVLGMAWDLNHVPSLGG VVGCQVLQGVADGFCGCLTTVSTWVSELAALRRRHAYVYGGASVGGGLALMVVVMGSL RWTEGFGEVKCIS NEUTE1DRAFT_109881 MDQTASNKSATMDKTALKKCILAIDLGSTALRAAFVECEAPYTF HPVQNRDVQANKDAGAAVTCRGDFPVSCCPLDPNDPFARIGADAASEPGHVSSKYLMY ILANVDESVMADYPLSADLMALKEDTQLREDCRTILAILFGRLKERVDDYTKRKHMFF DEIILTVPSQWDARFENVYESIITETFAYRNEEGQKIKKDVHFCGEADALARYIILHE ERELEDWDVVLFLDFGGHSTSFATMELKRTKSTGGSGNSSMTFLELDSGGCAGGSEMW SHQVGQLISEKLAETEVADTDERKKFKLLGDFNTKKIGFLDQITAGSLLPLVCFDEHA TLTTVSLPHEEIEHCFDTALERPFAMAKEQLRKLKGYSGDKKIGVVVAGGTLQSNKAR EAVFADCDIPEERIKYTTEIDVTWLSSCNCHGAALAHVKKLTVAQFFEQGAVIALQQK VGPVHDAEWEDVAYLLVGHDNKHTASVYNRYTGTEMKLVCNPNYTQEAATDKNKNGNV GEAGTSAGSARNATDNSDLNPNTNVLIPIGDCYDLWHLGSHLAGRLVVEASLTSPSGA ASSERTLHVSISRKNQRAASGDKVEDLPLFLDPGHNAVHVDVDALGPEAANRQAALRQ REEERTRPEKKTAEAPAEQGSVVRPGSSSKSATAKASRNKRKRKDTNAAPAQPSKRPR TRAERAALAQTSTDDELKQLPYYAPSVSRKRKATAPASSTATKKARIATTTPQPKINS SSDNQSDQVLQGQPQGQPSTHDVSRHNDEDTHDHIDDQLDNELDQNHDLAVTASGPPL SPERNNSNNNLNINGSTSRSTRNRTAANARGAMATRARSDTPAANADRPATTYTNGRF ATRSSPPNRQRTEPLPEQRGQPQPRRSTRSKNGKSPVAASPASTQQGQKPQQPQQGQK DPEIPPQPRPQPQPQPQPQPQPYPKQKARPQAGSSQVQAQADAQTQNQVVDPIPPATA ADPDIFDRFTATILEHEGILSPSSRSTRLRHPLASGEIREKEEEILDSITVNSNSNRP LTDQVVDEDRTVEEEKEKDEEEEICDDHGDGDDGDCGDGGSGPGDLGLKRLLSASHEQ GNEKQGGPSSPILGEQGRGSSRGRSSARGGGHGGEEEVEGNEERVELPGSLKMSRLQR TFQTGVDASTPPQDARGGDRVVGAAERNKENQRQQTTGAGTGAGTGEDFLPRPSRKGK GKGKEVVKPAPAPAPAPAPKPRPTSTRDPRVFFQASSSSSASAIPSAAPHPKNKGKGK AKAKGASLLEPPSPTVLAALIASTAPRPIPDRPEPTPAQPTDTSNGIADGVVETTTPV HLPGYAQTSRAGQGMDRDGTEVDGGREGVADADEDSVEYGSRVLRRASASAREARKAN QTAGESSKTGSNANAIPTRKRARIDIEDLLSNPVESRPQSEAQPRPLPQPQEEEDPSP ARKRQETGKRGGKGGEGSNVTKKRKRS NEUTE1DRAFT_81451 MNTNRRSRSPDEEALEEDQHQYGAGTLSLEELDEQPRNHSKTFP FSDLFRTLFNPLIDCKPSTSGGTVRGPKPGRGGHFSKVSYHEQRRHIIERFMSRWRSE VGNDFYPAMRLILSDKDRDRGVYGLKENTIGKLLVKVMKIDRNSEDGYNLMHWKLPGS QSGVSRSVGDFAGRCFEVVSKRAMRAQPGELTIADVNVLLDRLAAASGEAEQLPIFEE FYRQMNAEEMMWLVRIILKDMRVGATERTFLNLWHPDAEALFSVSSSLRRVCWELFDP EFRLEQQETGIKLMQCFQPQLAQFQMTTTWEKLVKNLGVTEENPEFWIEEKLDGERMQ MHMIEDDTVPGGFRFAFWSRKAKDYTYLYGESLEDEQSALTRHLHKAFDDGVRNLILD GEMITWDIDIDKMVPFGTLKTAALEQQKNPSKAGPRPLYRVFDILLLNDKPLTEYTLN DRRRALERAVVGVHRRLEILPFERATSPDAIEPLLRRVVAEASEGLVLKNPRSRYSLN SRNNDWIKVKPEYMSDFGESLDCVVVGGYFGSGRRGGTLSSFLCGVRVSQNFIKSGNA SAEKCLSFVKVGGGFKAEDYAEIRHHTEGKWQDWDPSSPPTEYIELGGGEKLQYEKPD VWIRPSDSVVISVKAASITQSDQFAMGWTLRFPRFRKLRLDRAWDSALDMDEFEVLRS KIKDQEQERKKMEMENRKRKPATKRARKDLVIAGMSDPSSSSAATPVIAPRETREASE RLFEGLDFCVLSDSLKPNKMAKPALEKLIKDHGGRIHQQVVDHSGQGKIIIPIADKNV IKVASLRKANPEMDIVRPKWIFDCLVQPILFTKQKENKKGYLLLFEPTHLFHSGSEET SEEAEQAVDRYGDSYAGDLADINELKAIMEGMESDDYVSDSDWDSDPGRGRGRGDGFD MDHFLDHLEEQGTSLDELRSFMFRRCRVFFALPSAGNGDGAAESKASRLKNYIRFGNG KVVDELETATHVVVVTAPSGESSKKEGREMAAEIRYKISLREMGSPMPRIVKGEWVED SWKEATVVDEEEYVAG NEUTE1DRAFT_62773 MATVNGKANVNRPVDSKQKEADVNRKLQFYGIASAFQYGKVPSN DQIDVALNSFLQSKALANPSKKLSPEGQQLVADFREVVKEAKNLLLSKNEGNLLQDFI WQTQQFNPKAVSLPGAPVDKEQAQQHGNQALEGLRTLGTLIITNGQFRKLLKDATVLL RDIAGDAATTAAARVRPSQEDLQQLDAPAPDNTWHEKPDFSRDQVKSKFGNIYKGNPK EDAKHVLGEATSAAHPDGSADPRDLANTAAHDGRTGASSGIDAEKGLSSAANTAQRKL DQNLDDETKEKAKKKKEEYKARMREYFNKKVPQERREQTIWRLKKMIVEIQQHPDYHR AITTLLNIAEEYGSHANRLAQGGTSTVKDTRNALAQAEGDLKTLIERFANGTSTDDLW ASIKTIYEDADKDPELKNWFKAMNSFIRRCLQEEGYILDDASNEEWNRLYDQGNYLLR NKYRAHTDRVIDELKFLADQFDHDPQNKSFAASLNKLFTDLGNDENGKPTFKPHLVKD LTDVVIPAMFENIAYIPVPRIEYSDHQIDAVIENLVLESDNFMPNVLEVASENYLRFG RKKIANKKNMSVDVKVAGIQMDLRDVSYYIKRKQGFPSLSDTGIANILLEGDGFTFRM KMSSADAKDRQNFFKIDKVDVDVKHFRIKLIKSNHKLLFNLFKPIMLKVLRPGLQKAL EKAIRDQATRLDSILYEVKQEADRALDEAREDPEKTPNIYKRYVTAAQKKVLQGKQKA EGTVADKKINYAVTKEDSIFPHISLPGGISSKATEYKELARKGDKWESPVFSIGSAGK SHDIPPAPRVVRKPHSTTGTGTNGAAHGTGNGHALHGGPGMNVGNGSLNIGNDGLNLP GANYLPGDPAVSGFGTKNVGSAPNGRATVGV NEUTE1DRAFT_146228 MSIFNQKSKFKIKTEIRTVRETVERPKLKASTSSSSIPTTGSSQ HSNVRIAGAGSSLSRASSTPRLNGTSHTTSTSSSARASLTKVTTIPTARRIQSASPIP SINGDGRSSRKRRAIKASSRSPISPHFSEDSDSDSNGDENWEDNLDARKRRKRVHDER PVDVNRKLRHPKIWKGEDADQVERIPGPAIIHAAKVASLEHKCKPALGLKNEEVAVRL QYPGARYPERYELVEGKDKIDAVKDIEKVVQLAASTYLTDEEGKIFLDHQTGILRKLV KSKNRSDGPGFKAALREYNDEFLKLMHKGVIVRNLDKMHGIPRELVDFILDQVYDRTV APRVELLAKYQNGSDNVYGELNHPFISDILERTNLTSDKVFVDLGSGVGNVTLQAALE IGCESWGCEMMENACNLAEAQYDEFMARCRLWGVKPGKVRLERGDFRKNEKILEVLKR ADVVLVNNQAFTSQLNDNLVSMFLDLKIGCKIISLKTFVHDNKNAENDVATSILDVEH LTYPEGYVSWTGASGTYCISTRR NEUTE1DRAFT_81458 MPGWRDEYLSSLMDADQRNPVNRELVDTCQQLFDRISVLEAEKA ALEQQVETYLSSSSSSSGATNKPRDRDTTKTDAVAPDSTTTAPTAADSALLARLRVDL AEALRAKGDFQRRLNVVEEELVRLRTKTTADNKTLQTLTAERKTLTIKLRDREEELRA KSKMLADVQDELQVLNTHLDLVEKRRSEMEAENKQLVARFMKRVGQEAEAMNMANDST SGSGHRSSGSRRR NEUTE1DRAFT_122061 MMEVPHPTAGWEQVGEKFYRKTQLYTQVFDADLDLDNYIVAGAP YGGAVALYRDEEKLVAFAAGRPSKPSIDIYSCAGKLIRRIPWDKGSIKGLGWSEDEKL LVVTGDGTVRCYYDLQGDFTQFSLGHDADEIGVRSCKFYGHGLVALLNNNSLVSVSAY DEPRPKLLAQPPEGQVHSWSLVPPAYTLSRSVEVLLSIGQTIYVSDASECEDRFIDIG PFSHISISPNGKFVALYTKTGKAHVISSDFQTRLSEYVSKSKIPPQYFEWCGNDAVVI AWEDEVRLVGPGGSLARFYYDNGRIHILPDFDGVRVLANDTCDFLQKVPDVIEEVFRL GTDSPASILLDAVEQLEMQSPKADDNIQLIRSNLVEAVDTCVNAAGQEFSIHWQKQLL KAASFGKSVLDIYNSDDFVDMCETLRVLNAVRFFEIGLPLSYEQYQRLSPSGLIARLL NRREYLLALKIAGYLRLPTDKIYVHWASAKVRVGAEDDDTICRKIVDKLSGKPGISFE AIARAAYDEGRGRLATELLNHEPRAGRQVPLLLSMEEDEVALDKAIESGDTDLVYFVL HQLKRKLPLATFFRVISSRPTATALVEASARLGGGVVLGNVDEGQHAASHNYNQSGSG STTTCEDTALLKDLYYQDDRRVDGSDVFVREALRQPEARTAADKLALAAKLLSDNAKE NAFELSALKEATTLLRMQEAFDRDLHPETFTGLSVNQTVFKLIRLGYHGRAKKVQSEF KVTEKVAGWIRLQALVSKRDWSEIEDLASKNRKSPIGWEPFYTQVLQAGNQRLAATFI PKCAASGGLEPGATIGMYEKCGMRVKAAEEAVKFKDAEAWARLLEEAGKGTQEGREIE RLGQSVFRR NEUTE1DRAFT_146231 MFTHSTPAPAFPGFGSDSVASPGVAASPTSAAAATGSSGSTSRT SRLRGLSYLRNYTQTHILSRDHSSPTTTPNSPVNVSGHHSVTLPIHTDITHQSASNPQ VSNSSSGTVSSTTTTSAGPGSGPEAPTATAGAKAAANNNNNTAIPSANNLVSAARNPS HHGRAHHQNSSSLSSIHIPFISRGHSDPAHPSRHSPSLSTNDATVNPNHSASVRSNRT PFSLLPHRSHSLTDGVASASLESPTVTSPTTSVPPGIASPSDDHGIWVAADTFHSNSS STGNIISNNNNAATMTRARSATTSDIATRAETGGNMESLPSIRFSTFYDPRATRPSLT FSPISRTLPTGKEVIRVGRYSERDKPSVVPHNVPSAAPVGFKSKVVSRRHCEFWYEDG KWFIKDVKSSSGTFLNHIRLSPPGTESKPFPVNDGDIVQLGIDFKGGEEMIFRCVKMR LELNRGWQAKLNAFNMQTHKRLRNMTAQSSANGASQSYSQDCSICLNSIGPCQSLFVA PCSHTWHYKCIRSLLSSPSYPQFICPNCRAAADLEAEVDDPEDWEQLEADEQMEDAVY VQDESQASSNDAQARPAAVTSSHQHETDASGDVTMLYSNPQQSAVSNPFDGTSRPVVS HNSTSQPVPIRQNPAAVNGQAGEGFRDAARTPSPTGIVHAVSTHEGPITPRNDVGPWV FDGGSTTRTRANGEPDNASRLASLEAAVAGAHITGATGST NEUTE1DRAFT_146232 MLNRFRPARLVAQSSRCLPLTRARAGPLPVNNVRTLATRAAAVN TKEPTERDNITTLSNGVRVASEDLPDAFSGVGVYIDAGSRYENDYVRGASHIMDRLAF KSTSTRTADEMLETVEKLGGNIQCASSRESMMYQAATFNKAIPTAVELMAETIRDPKL TDEELEGQIMTAQYEVNEIWSKAELILPELVHMAAFKDNTLGNPLLCPKERLDYINRD VIQTYRDAFYRPERLVVAFAGVPHEKAVKLAEKYFGDMKASDAPGLSRTGSETSVDSL VSESSEASSASSSSSSDSSESSGGLLSKLFSPKAKKATPNPFLTRVPISTEDLTRPAH YTGGFLTLPPQPPPLNPNLPTFTHIQLAFEGLAISDDDIYALATLQTLLGGGGSFSAG GPGKGMYSRLYTNVLNQHGWVESCVAFNHSYTDSGLFGIAASCYPGRTLPMLQVMCRE LHALTTDHGYSALGELEVSRAKNQLRSSLLMNLESRMVELEDLGRQVQVHGRKIPVRE MTRRINELTVKDLRRVAKRVVGGMANNAGQGSGAPTVVLQEATVQGLKTTELGWDQIQ DTIAQWKLGRR NEUTE1DRAFT_122064 MGRRKKAKPNPPTEPADGSVVTESSTSTSDMPPKSSSSLAVSIW RSKAVPTPTASNPPSSTMTSTPNNALSTSQPNATANTTPISSKRGLKETRSPRNLGVE RSTDSVSLDGAASSSSLPNLSPFENLDDRGSTGGEVPKPGPAVDDNAAKTDQVAVSTT GSNEAEDRPSPDTQQQQQQQQQQQQQQQQQQQQQQQQQQQPTSDTNGMHQPADSQSTT TEPQSGETASSSWLGWLGQSAYYYYPVLTASVPVLPKLSEPRPNGGEPEVPEPPKSTE ESSNNMPSDGDPPKAPDAQEPTLQTAPQEKPTYSYGSYLFGFWPGSGTTETKQTDSAL STGEPQEGATSPPSKDSEDVEMEDAPAVEAAKPAPAQQPKSGSTWAFWYRDSGSNSHM KDGQKEETGQLAVVGESSESHPKNANVTEPETEPSKEPSLKSVKKDDQPRAATPSLKE SSSNKGKRARPQSMVDEPISRPDTPKVEPIAKAEGTPKAGTSKSSSSLKLPPPNLVLP SFKNVYRMKENPSIVRQIKNYLLWTQQPAPKHVNIAREPPKIKKAIAFGVHGLIPTYY LRPITGQPTGTSIKFANHGAEAIRRWAESHGCGDCEIDKVALEGEGKIDERVENLWKL LLNWIDKIRQADVVIMACHSQGVPVSIMLLAKLYELGVISPTAKVGVCAMAGVSLGPF PDYKTGLGILMGSAAELWEFGDPQSEVSKKLEAAVKAVLNYGARITYIGSIDDQLVPL ESAIYAPAHHPYIYRAVFIDGRIHAPDFIAHLVGFALKLRNLGVTDHGLIRELSVPLA GSLYTGDGHSRLYDDEQVYDLAISHALETTDVSPSPTRPNSKSSVPCDMATRQPGTLA NPNPYHLPWIMRGLLEEDFVKSELSNEVEGLLKQFDDWKPTTKALKDVKYRLEAVRSK L NEUTE1DRAFT_122065 MGAQKRKEGPGGAPVNKSTQSASESRPSKRPKSNDAGSKAGAKT GKQGNKPAPKSDKPAPPAPTAPAKSTLLKEEEPMFPRGGASVLTPLEYKQIQVQAKND ALFEEQSSKATAKKAGEKEGKQKKSKKRSKSDDTPAKPDADAVKVESLNFKRLVKGSL VLGSVCAINSLDIAVALPNNIIGHVPITAISAPLTKRLEASAGNDDAEESEDENDNDV DLESLFQIGQYVRAYVVSTVDEASTHTPGKAKRHIELSLQPSLANTGMAEQDVVVNST VMAAIASVEDHGYVMDIEIADSKLQGFLARKQVDKSIPQEALQPGAVLLCIVTKNAKG KVVQLSTLGETMGNVENVPSTATTIDTFLPGTAADVLVSEVSSHGIVGKIMGSLDVTA DLVHSGAGPDGIDLEDTYKVGSRLKARVICNFPTAKHPKLGISALPHSTIVEKCTVKQ VEPEIGLYVDVGVEGVSGFVHISRVKDGKVDALFENSGPYKVGSVHAGRVVGYNAFDG MYLISLEKSVLEQPFLRIEDIPVGAVVSGVVEKMVVNAAGVGGLIVKIADGISGLVPE MHFADVHLQNPEKKFREGLKVKARVLSTDAASRQIRLTLKKTLVNSDLPAIQSYEQIA VGQQALGTIINVLQHGAIVQFYGRLRGFLPVSEMSEAYIQDPKEHFRVGQTVSIYVIS FDPDAAKLIVSCKDPSAFGLEKQLALKKLQIGDVVSAKVTQKTDDDVFVELADSSLKA ILPVAHLTDKSVSKTQSALKKIHVNQTLAELVILEKNEARRSIILSQKPSLVKASKEG KFLTTLDGARVGDEVSGYVRNITATAVFVQFGGKLTALLPKSMIPREEQDKPDFGMFK SQSVTVKITSVNTELNRLVVAIPGAAEQVKKVETKGEKVANPVDESITTLDDISIGKL TKARIVSVKDTQLNVQLADNIQGRIDVSQVYDSWEQIKDTKKPLKKFQPNQVVPVRVL GVHDARNHRFLPISHRSSHSVLELSAKPSDVKEGASSEPLSFDNLKVGSSYVGFVNNV GQGHLWVNLSPTVRGRVNAMEASDDVSLLTNLAKNFPIGSALRVRVVSVDAESKRLDL SARSPGSEDELTWDKIAQDVILVGKVTKVDERQVIVKLSESLAGPVHKIDLVDDYEEA NPAKFAKNEIVRVAVVEVDKSNKRIRLSTRPSRILNSSLPVKDKEITQNTKLEVGDII RGFVKNVSDKGLFVTLGGNVTAFVQIKNLSDAYLKYWKEQFQVDQLVKGRIISVANGR IEMSLKPSVVDKDYVPLTTISDLKEGQTITGRVRKVEEFGAFIDIDGSANLSGLCHRS EMSDKTVKDARKLYEEGDKVKAKVLKVDVTAKRINLSLKPSYFGEQDDEDEMDVDEED AEDSEGDDSDEDMSDADDAVQITGTDNVEDESEDEDEASDVEMVDADVKGLEAGGFDW SASLEDGEKADASAADLEALAKKKKARREPQVTVDKTASLDVDGPQTASDYERLLLGQ PNSSQLWIAYMAFQMQVSDLAAARQVAERAIKTINIKEEIEKLNVWIAYLNLEVAYGN EETVDEVFKRACTYNDKQEVHERLASIYIQSGKRKQADDLFEKIVKEFGYKSPEVWVN YAHFLHTTAHSPDRARALLKRATQVLGKETHMYLALLPKFAALEFRSPNGDKEQGRTL FENLLATYPKKFDLWNQLIDLETSAADADKGVIRDLFDRGSKVKGLKPRQAKAWFRRW AQWEEKNGDKKSRERVSAKAQEWARTAAEKKKTAAASAAQEEESGDEE NEUTE1DRAFT_81474 MITASSFLISRQRQPQRHSSQPKQELDKQTTKPPHMHLRPPLSK LDHFTIDPIVTTPIKTSAPSPSWHPEAGSLRVKDAQETSRRYVNTDNNTTKPVIEMPS TASYVQAMPSSPVQIPVRPRSRDAAHQQKQRRHHVDRKHHSSPRPRDVHSPDAIPPSV AALLAMTSIPKPRAARSARQAKTLRERRMTVDAIIAQSHESEKEFSLSLGNKGPLDLL LTPPELLEDDDSSSISDSNIGSLLSSRTLSSDSTPSLSDSFDTDTLSSMETSPAPHRR RKIHPTRRSLKPVLSPTGELEGHPLSSPEIVDDELDFRVFEDKREEVEEKKHSRLGFR PFKSVFKSNLTASLRALRQAAKSFSTLNFPSIPPEDFLTRSILTLDPQVPFTDERRPP LLEEEPTAALRRYLNPTTTVRLEQPHSATQAPTTKVFTASIQMQTYRVQRARGSPASS GRVPCPSVGLSSTPQAQQPSSAKSEYPMPGPRQREMRENSDFIRIAVMEMAMRKCGKL DDQKPGRARWALPPRKTSTKPYVVGADGVPARWVAVTYTC NEUTE1DRAFT_100587 MQSSPSLLVMYVLLGVGHQRTGIHGGVWGAGLPVLSPLLCRSLT VISRSPGLRSAEKPHRIYGPIISGNSFEKLAAGDGGDSTDAVLSGWPHVRMLWTPRMV SGFGSDA NEUTE1DRAFT_109892 MIVYSFTGIRSAGSYTQYIYTLREWKGFKVNNKMGGSGNTVITN LYTFIFESTTFKKAIFWEHFESTIAIEAGLKVISFKLLHYSLYSLYGTLTLKVTGLGS LTFLYTSIRLLTVLFQPDKKEYVT NEUTE1DRAFT_122067 MSDSAPAIASEPVAPVADLPSGEPIPPPSAAAALEPITSVNEKK PQPSPAALAKALYASDAFLARLNKCLSTPTGIDTVLLLLCYTSKFSASILGVLSRSIL QRSLREWLAVIAGSVPPGAKTAVVVDSKTAAAVAPAAAKALVHAKRLNALSTLLSEAR TMTRLWALVGMYFWGKGVFAKAAAVWRAKRNPSSSSSSALSASEKEQLPSTTETAISL LQFSLCVALQVLENGAYLSSKGVLGWQPARIGKAYLWSARFWAAYVGIEIGRLLALEP KERWKEDSWKGNLAKNLAWAPLTVHWSSEKGLVSEMVVGLLGSIPGLVQMRELWASTA NEUTE1DRAFT_146237 MSASVTSSDGRGPASPTSSPTGASTNPATTGLAAALKGATLAFQ HQQRTAAKGSDTAVPRPHGGSRVPTPGTGSVSTSTSRTVCGSNGARLAATLAAREHSP PTTSKNEASRVVTSTPSSTSSVGSAGRTNRQELHSQATGGSSQQQQQHVEDRANDHAP SKRPAMRSRRPSLASLSSQAVDNSYSHPPTPATSKPSPEPKSVSWIAATLAASRSGSP SPSPSLSSANPQTHPVLSQQHARSGRTRSSSVATLNTPSPPALDSQHPDTASIPPTTS LVAMFDGKQAEAETANKKKKKKKPRPASKTQHHQTLTSPSPTPSEGLSIENQCGTGGV PSVASGKSKVALKPKPKPRRDISLSTVESIKSPTGAMGRDGESSNQEQGETRNRNGDV RDKPSREGGKVTVTGAKDIVFEGTSERKSQQKVPATPRTVQSKQGVEVPTDKRPSTPP VSQHAQIFETKIISPQPRRVVSTPRLESSPAVPKTYKTVTSPRPDNKPTIRKSSRVVS PSVDQSQTIQQSAETGLGDRHMSRNSTSSDETFVSASSSPSPRPQTPTKELERVRPRL DRANTSTSSRASRVSTPASVRSPASQTRPSPVLRPGTGLYSYSTGASPTPEMSLDSLT NAMIASNLASSRLTALTQTSLESPGLPPVPPPRRGHRHHHLPHPHLRHRTQSPPHARP LIPQRTADSLPVRTGPSRQTEHTQPASLLKTLRAPRSLSDDEDLRLQTHRHRKKHLGG KKHAHNEGARRRWRDEMTIRQRRRYEAVWASNRGLFLRPGFAFEHPENWQPLPPPDDS VGQVDLSRAREGPEADLVVNVVVRDIWSRSRLPVDELAEVWELVDRRKCGALDKQEFV VGMWLIDQRLRGRKIPTVVGEGVWESAMDRVLSVNVKAPKAHKGRTKGHLKGVF NEUTE1DRAFT_122071 MDTSYLAQQVNTIVALSETLQNQVRRVTNEKQEMIEEAQGIITT IRQMEAAMDDTKKARRSLEDDGLKVTYPLVQCIQSLQEKHSQVARAHRERYEEIKKLA QALESYSLHLEPGFVKLELPPTGSDQPVSTTFDLTDSYVQKLDEEFTRVFEEYTRRVA AVKSVSEDIIQLWAELGTPQAQTDGAIVKYYRDAPEQLGLHQVDIERLRAKKDKLADE KKNRENRLANLRATVGELWEKLGVDESVRKAFLNSNRGCGLRQIHEFEDELGRMNELK RQNMHIFIEDARVKLQGLWDALYYSEDEMLEFTPAFSDVYSDALLEAHEREIARLETL KEQRAPLLSLVDKYKSLVADREELAASSQDASRLLMKGQKGERRDPGKLLREEKMRKR IAKELPKVTVDLRKALEQWEGEYGRPFLVHGERFLDSVEEEDPKTGLGTSRPKTPGVS ASAAKPRERAATLSRADSAHALRGQPPRSPTKTPSASTPSLPTRSATINGKSGSPTRL PARAPLSNLTFINSAPVDRITGRPESRVDGTGTLRGAPLLRAPPPKMRSLLPPPDLEK PNNPYNGANLRSSITLIREVEAEDMYDDRGSSRSSTRPGHSNSISSHTSRTSHSSLTS YTASQSSFKHLPQAPPPPVRQVSDRESAGSAVSESENWQTYEDGSDLEEEAGNLYLHK VRGARSLGGFRRSTPDDSRPQSHASQHSQSQLGFSQLQQAAQLRAMKQSGLQPPAHAG RVALVDADGDRIMGGQNEWADEDGYSHYH NEUTE1DRAFT_62805 MPVVQPEKLAKLQQNADDVRNICILAHVDHGKTSLTDALLATNG IISPKLAGKIRYLDSRPDEQQRGITMESSAISLYFSMLRRSAPDATPEAKEYLINLID SPGHIDFSSEVSTASRLCDGAVVLVDAVEGVCSQTVTVLRQAWTEKLKPLLVINKIDR LVTELKMTPGEAYIHLSKILEQVNAVLGSFFQGERMEEDLNWRDRMEERVAAAAAKEA QIAAGQPDSGELQFQEKDDEEIYFAPEKNNVIFGSAIDGWAFTVRQFAGMYEKKLGIK RGLLEKVLWGNFYMDPKTKKVLGPKHLKGRPLKPIFVQLVLEPIWAVYQATVGGDSGK GDPALLEKITKSLNLSVPPHILRSRDPKLLLTTVFASWLPLSTALLVSVVESLPSPKA AQADRLPDLLASVPGADHIDPKVKDAMVSFKKDPSEPMVAYVSKMISVPESELPENRR RGPLSPEEARELARKKRAEAIRAQGGADADADMNDLANAFTSTSLTDNAVPELEEKPV EAEHLIGFTRIYSGTLSVGDEIYVLPPKFSPADPHAQPVPKKVTVTALYMLMGRNLEA LPSVPAGVVFGIRGLEGSGLLKSGTLCSQLEGSVNLAGIANLAGRPIVRVALEPVNPY DLDKMIQGLHLLVQSDPCAEYEHFSSGEHVLSTAGELHLERCLTDLRERFARCEIQAG APIVPYRETIVRAEEMRPPVNKELGRGVVVGVTSSKQVTVTLRVRPLPAPVTEFLQKN SAGIKRLYTDRKAAGVEDGAESPSVEEATLENGTPSDVKIEEDEEVSQAATTLTPEEL KKQLQAQLDGVKDKEAWKDVIDRIASFGPRRTGANILVDATKDQLFSKAFSADKIRNQ APTGDEKLHPAHFSDKIMYGFQLATQQGPLCNEPVQGIAVFVEDVLVAQTEDDETSSA RDRIGRLTGEVIKTVQQSIHKGFLDWSPRLMLAMYSCEIQASTEVLGRVYDVLTRRRG RVQLEAMNEGTPFFTIQALLPVAESFGFADDMRKRTSGAAQPQLIFTGYEILDEDPFW VPFTEDDLEDLGEFGDRENVAKRYMDSVRRRKGLLVEGRNVATNAEKQKTLKR NEUTE1DRAFT_62808 MSAFQQAQAPARAIDPDSDVEEEALVNDYKEQVQYEEDDAESTQ MSLAAQTDDIQSRLAAAAQPLDYSAGLEVKFSSYDSYCSLFHFILNSEGPVDLEPPSY YWAWDVIDEFIYQFNSFSSYRARIARQGNNEEEIAMLRENPNTWGCYSVLNVLYSLIQ KSQITEQLAAMKRNEDPAAVAGEYGSKNLYKMLGYFSIIGLLRVHTLLGDFSLALKTL DDIELNKKAMFARVMAAHFTTYYYVGFSYMMMRRYADAIRMFSHILVYVSRTKNFQKN AQYDSITKKNDQMYALIAICVAFQPTRLDDTIHTALREKYGDQLLKLQRGGPEALPIY EELFRTACPKFISPVPPNFDEPEANIDPIEHHLSVFMDEVKTNMFNPTIKSYLRLYTT MDLKKLAGFLEVKPEELRGWLMVNKQRTKQLRWTDGGLLEGELVNVSDLDYALQGDLI HISEAKVGRKLVDWYLRNLSRTYA NEUTE1DRAFT_100595 MAKSLSDMVELISSPSAVKSLDSDVSPRTQNSSKPKDYFSPQPK AHSSDSENHTPAVDYRAATTSPTSGTSASRRVSIVSPLSLADSFQDESRRTSQSGGSS SERPPVGSRKSSAVSISFQAPRHPALPQGKPRKTDNRRLRASSPSPVR NEUTE1DRAFT_122076 MVDDGDQVVCVRVIENPIRPGEKSYQEEAKKLLQTIQSKNEQNR AISIILEWAVGKLHSTFQKLLHLHQPSMLVVGTKGRSLGGIQGLMNTRNSFSKYCLQY SPVPVVVVRPDDKRLKKKEKRTHDPNRQSYAAMLAYNDGKHEADSDASSLYEMEKNIS PDEEAHRVAAAIGLPAAFDPTIKPYEPNKPRNRRTSLPSTPSPTAAGTSSVSLSRSTQ ANESGDEDTAEEEDEYEIEAVSGDQLLAADGRKKLALEREQKKRLHAMEVGEAAALLK SGQSGKSRDSDDEDEEDDTLGRTSDKAKQ NEUTE1DRAFT_81495 MSCGVDSCGAPIPTSPIGTLFSLSPFIATFAIVSSVVAGKVFPK LSRVQDDRDDGEGHYLPPSAPLSLQQAHAERGARSMRRRVAAITFSATIGLAAVLGEL ILAEISDLVSAHARAVALRFTVPMLLFMLVVLIPFLELQSVVRTAKGRVPRIAWTLQL LGFGAWLFLFWSIGKAVPRPDGTEYLWGIANSDGAAFDSTALTIGRAEAKAESVGDVL VGGRLARACLERIGVIGILLMALLSGFASVSSPWHTFADNRAFRKRPITDMDITRKQA GLDATSEMLATKRHRLRSLQDKLAWRAEASSHNTAGNGNGSSTLVGKMLGSLKGLATG SSAEAAEIKSLQMEISGLETLESNLSSSLSILRSRQAAHARDGTPLGRLLSLPSYIFS IYCIYRVLATTLTTLRNHRSFFSLLFFSSTTHSSSGGFTGGSTFSSTDPINRFLSLLA KHWDPKLDQVAWARQISFLLSGVILLGSANSVIVTFRIFAKWMPGLLYQAQANLALLT AQIAATYVISSALLLRSNLPKEVGRSVGDALESALEPGFVISWYEAWYTVASGLTVLG IWVGRKMGAGTYNSAGGGGGWSGLDEWEEFGGEEMGVGAKRS NEUTE1DRAFT_137493 MANLRAAGPLQWFTLLIMILTASAAITLDDIQPITSLSVSRNCL LTYRKPLQGCNSDDFDGNDCSRSCAQGVLRIEDLLQLSCRDDTASTNSLLAMALGDQL LDFLCPDEPQIIPTSFTSEGTTSTLSSVQPEMTTTAKAWPTLTMRTSTTERLTESTTS EGSVPSEGGKSPNAPEPTVTSESEYDSTTIPLSPTTESPLHTTSDAVTGTTTSSVRTT IGSRPIRGGGGSPYDLPAGVRSGQQRLSMSGFKAAFGTLFLGALYL NEUTE1DRAFT_129580 MTRPEIIRADTIDLQSRGAHSTQGFHSSTSNGPLAPHQAETLRE VAAEAAEESIHSPQVSWSGGEAGGLHRYARDHETGVDQAHDNLQNQLYSGGGNNMASR SHQDALAVAQNGGHSDIDDGDLDGDNEIDDDDDGMDKISSSPSIEDGGSSFTLPLLPS HSCAGPALPRSSPESSLASSPVSDPRSSSPYLNCPDYLPPGRATQAIGESPPSILRRH HHLEGKYGNFDLTDGPNPGAEAYTFMEEENSRWLLLDHSSRLNGSNLSVVASMGNTSG VTAICRLARDSGSESDSPRLTVPYESDPDDDDGDFSCVHDEDFLESGWGGECLQNTED IDFEFVYALHTFVATVEGQANATKGDTMVLLDDSNSYWWLVRVVKDSSIGYLPAEHIE TPTERLARLNKHRNIDLSATMLGDQADKPRNPIVSAMKRTKRKTVQFAAPTYVDYSDI DYSTDEEDPDAEYFGQQQQAHKTQQSQKTQQSNVQQIESDTDPEDETAKVEPLKPKPL QTKDNKTGEDSAGRLGIRNSEEIFESKSSEGPKKSSDGNVRDSFFKDDVETKKITLTP NLLRDDTAARTSSDSNQSKQRPSLDKLEKDSPTSKDDKKRKEKENSKKPSAIRSFFSR KDKRKGQEDDETHGKSTQDADGHEREHEEDEQNILSSPERAPGPQRNPSKLQKSQPTN AKPIAGILKDTSMDTSNYMSAGRINNVSNTPPSTMRLVEPEPNDMTEGGPQEQRGQRE QSPETSRKQESGGQSRDAGARQIPQAQPRSEMDFDSDEDDITGSTQQSPATAQEPEPQ RLQGRSAQTSRNPFATAQPAASSVAPSHQQHAERLSESPVHVSPIQADHRTSPTPPLM ADSSSQEDRSSPRLTPSPEMIEHEDDGADDKDISPPSPVSTSTPTWNDASLRAFFDSG SEIRDMLVVVYDKSDVEPVGPDHPIAGSLFKEQNAKLAEITTQLDNMLGDWLARKQRL RGAV NEUTE1DRAFT_62819 MEPRQLFSDPTADPISTAGAASNALSCASFNLPEGGILQLPNGE IITLSAPAAFKPPSCNLALRSVPNIIASGGVVGRTASGTMGLKADDDSHFSDWRDPFY ASTFPQCYALAATTIIAYTLVIMLFITPRSFLDGGVVVLGRKGFTNGGGGTSIGGRPW LQKVAALSVAISLTIANAATFRAAEQQYSWGVQNAKQLQEDVLGGAELKIIRIISDTF LWLAQAQTLIRLFPRQREKVIIKWTAFALITLDVIFQSLNSFKYGGSDLTRPKFTEAV PALSYLFALALGVLYAAWVLYYSIMKKRYAFYHPLMKNMILVAVLSVVSILVPVVFFI LDISKPDFAGWGDYVRWVGAAAASVIVWEWVERIETLEREEKKDGILGREVFDGDEML EASQSEHAWPKMKRKGSGGSDSQDTESGGGGKDGGPSLSRFGAWSKISTLTSKHRTEP SSRNEPNEGSSPVAETTNDDERPRFLSPPLWPARPTPAATSVSRTDTTSAASTMYAVR YHTMTDLTSYGTPPPTRNMGRLSGSESRGSSRHRDYGSASPGSAPAQDARSTQNSHVG AKASSAGSRWHALAPTVSSRDFVTRSEPRSSKMQRDENSRWDLRARVEEFAATQAENL REKFRPTLDTNNLPVTVIPAPPRRGAAIAQLCEDEELNHSSREGTVREESRNSNASGT VVAVGGSQTPIQTPFSPPPRAANSSMSTAQMSRPQLSPIVTQGSFTNNRYNHLPVTVI PAPPRQDPARAPSQPQSPSLVALGKQPARSDSSTTPSP NEUTE1DRAFT_81502 MAPSTKSEPFPELSSAADRQLHSEATAARRRRKSSGLGQALRVG DTGAPALATSLALSQKDSKDVAAPPGKKFSKRRKARSLLRRAKNYAIKHTWALPLAIL MAFLSLYAINPTESNPIHHFIFLSYKLPTSSPDEIPQYGKGLWDIAFVSFYTIVLSFT REFIMQEVLRPMARWAGLKSRGKQARYMEQMYTALYFGIMGPVGMYVMSRTPVWYFNT VGMYENFPHKTHVAVFKFYYLFQAAYWAQQAIVLLLGMEKPRKDFRELVCHHIVSLAL IGLSYRFHFTYIGLAVYITHDISDFFLATSKTLNYLDHALTGPYYFTFMCVWIYLRHY LNLRIIWSLFTEFKTVGPYELNWETQQYKCSLSFVITLALLGSLQALNLFWLFFIIRI AYRFVVHKVAKDDRSDDESELEDDVTEEKPTANGSTTKR NEUTE1DRAFT_100602 MSSSNSTINNNNITSSSTTGGCNTPKPTTITTTSTSYKPTFPNS AVQPGRESRFTEHFEYSEWKNMPSVVDNSKHFELMAVPIEHERKEAEEKEKKKKSWGW GSGSGK NEUTE1DRAFT_116916 MSLKGGYLRYHRFVGGVGVVKRDTQSDAVSEWVWCWLLSEDGSL CQLEMKREMLQSGGK NEUTE1DRAFT_81506 MDALKSGRGFQPSLAEVVFRNSQLCYPVVLLFAFIISAGIHSIV TSKTEEEVVAPTIKGPGGKPLPFTKRRREHHDIQAPFVHNGDVAKTVFQYLSAGLVLS FVANGAAIALHAVSARGVKGEVGDWWCDEQRIVYVAGSAFFYVYVLITLFDWEHSPNI VHFITWVLGLGGEIVVLLSFALIVTGSRYSTLGAQDPIPKQTDGLDRWDLVDLSIGGV RILIVTIMVFTYAALTTRKCIKEKQRYDEEASHSDSDESSPLLNGSHPNYHTGSNRGN DRRLPRTDSGFGSENGAGEAGCQQRDAEAAFYRPQKLPHKTWFEYCRGYSVFFPYLWP ANSLKLQGIILVCFVLVLLQRFVNIAVPAQMGRVTDALEPKDGVFKMPWMQVGLLVLY KLLQGPSGLLGSLRSILWIPVSQHTYRALTTAAFEHVHSLSLDFHLGKRTGEVLSALN KGASINQFLEQTTFQVVPMLIDLFIAIIFFYVKFGPMYALFVSVITFYYLFLTIRMAA TRADQRRDMVNADREEEAVKNDSITSYETVKYFNAEKYEFARYRNAILNFQAAEAKVT FGMNHMNMCQSMVFMCGFLVIMMTCAYQVSQGTRTLGEFVSLITYLGQLQGPLNFFGT FYRTIQQAMISGERLLELFKIQPSVIDGPDVQDLASCSGHLKWNNVGFSYDKRRPALH DLSFECKPGTTTAFVGESGGGKSTVFRLMFRYYNCQEGSIQMDGHDVKDLTIDSVRRF IGVVPQDTILFNETLMYNLKYANPNATEEDVYDACRAAAIHDRILSFPDGYATKVGER GLRLSGGEKQRVAIARTILKNPQIIMLDEATSALDGETEQKIQSKLISGNFGEGRTLL IIAHRLSTITHADQIIVLHAGTMVEKGTHQELLALGGRYASMWEKHCRAERAAEHALR ATLKAQKLLSRANLSRDDDHSDGDSMASSAILQTGLKSPTAGTSTPDNESDTTSHGGT SSHSDDDSSASVSGSEGTLHDEASDDSHEEDNHNSAYDPRIAAIQPIAGRRDNSQPLS ASYASRSGLSSSYSLPRRVRAST NEUTE1DRAFT_43084 MVLEPVVRYRYLDGEVRSCSREFSSKRKCRIDPPMSDLPSRRAG PDSLGNMNLEPRLAPILKRPWKRTRTSRRTTGRQGRPGPAKSRHVTHPGTLP NEUTE1DRAFT_146247 MAPVVRVLMLVRVPLVVKTVKVVEVLRVLVVLVPVSKVPLVVNT RVISNLAIRGNKALGPVALDQVVSALRGGYGGWHQEQPPQQHEEPGIVFKVLKVLKAS TMALSSRGNLATRMDPDQADLVDVAGFRAFRTLVPTVIMAKLLEEIKVGLFY NEUTE1DRAFT_62828 MASKNSAATVKVSGSAANYTPATLDPELRSAINSVLIEEGHVGK IQDHLLHSLHAHSSNWPTTVQNHALALLRSGEISTFPALLRRVLEDVRHDTANAPSGD ANGGDVNGKKLSNGADTTNASNGNVPAATTTAPSLAVPQAVINDALKVTRESLEMGSG AGAKSKQPVGLRNDGSNKRRKLSSRDDRVYCQVDSFPSHGEDGHSQGLNGGEEKEEQR DGRNQGVASGSTIATAAAEDDPSISDDDQREIVRRHHPRVTNALPDALRRAGLLTQRF HDSRPQDQVQHESQLQHPQQIQQHQTTHHPLPGSPTRTYLQPQPHFEPQPGASHAGVG NAQEALQSQDTVGTAAQADSSEQPS NEUTE1DRAFT_81510 MPVYAGEDGSPQLYTPSSASYDTTTFPPINVNPPQAQSQPNRQH DPEKQPHEVSPVPLVGGRAPQSEQIRFPSAKPTVVLFLRHCGCPFAEKAFRLLTALSV SHPNLHFLAVSHSSPSDTDAWVIDVGGEWEADVIVDESRALYAKWGLGTTSTWYAYKP WALWNTYKLGVAEGIWGRKEKPRAASVGSGSVWQMGGAFAVDRMGFVRWVGVPADASE VPDLKRAVEVLEEQYGGPGQGTGSVKVKKNGKA NEUTE1DRAFT_129586 MQQQIGTSHHRAKGTREAMAIMGARPDPSGTSSLAPRPAQSGRK GSKKVRTGCLTCKIRKVKCDEAKPFCVRCTKTGRRCDGYLDAKTLISRRPRRSGGVSQ TDANNPRGSLSVFYQWASEDEKRSFHFFQDVTAPCLSGDFDGAFWRVLVLQICESEPA VKHAVLAVSSLHEAMVRGVVAPYVDIADKQSFALFQYNKAISCLLDRMPDVDSRPLVP LLTCILFVFLEFMQVKDVESLIHLQQGHQLLSQLERKPSLRANPEFEIIRNHIVPMYT RISLTLLMIGGDPTAIPVPLKTLTDVPMTFETIDQVRYALHDFMEECLRFTKRSKPAK YQQVSPEELRALEGEQDLMMKKLSRFNVAFALFLSRNQKLLPSGCVDLIEIHTKTIHI WISTALSTKETAFDDHISFFSAIIPRAAAFMETLSNPTTRGSQTSKAGTVTGTAASLR DARRFSAMFSFETHVIAPLFFVAIKCRHPEVRRAALDLLRRNPARRENVWRADIMASI AEYTIRLEERHLYSEYTPSHSASPPDATSTPFSYPLAPGEMWSSELQDTPFGYSDADG TATIVSSLGSGSGLVGEGTIDFAQSKLSMSQHDLPVDPSLLLAEDQHSVHSHSDCSYS AASSYDMDATAELSNTIYMGVDMNNTPVTVPMTLSAEVAAVTAVRTTTATLTTSPAWT APVVQNQHQHQHQLNWNSIPTATAHHSNSPPHPHSQSCSRKQSSGISFGPSHPPPAVP AQAQRMRSPDAPYDVPEHFRVHTAALMSACDDGKEEGTGSRRRSSNKVVLLRKLRGLE GEWDVQTEYLAVS NEUTE1DRAFT_62836 MAPEPNPDHVEQKKKVNLMDASGAEHKAEDDTATAILKKKKKPN QLMVTDAVNDDNSIIALSNNTMEQLQLFRGDTVLVRGKKRKDTVLIVLADDDLDDGSA RLNRVVRHNLRVKHGDIITIHPCPDIKYAKRIAVLPIADTVEGITGSLFDVFLAPYFR EAYRPVRQGDLFIVRGGMRQVEFKVVEVDPPEYGIVAQDTVIHCEGEPIQRDEEENNL NEVGYDDIGGCRKQMAQIREMVELPLRHPQLFKSIGIKPPRGVLLYGPPGTGKTLMAR AVANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKRE KTNGEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIP DPTGRLEILQIHTKNMKLADDVDLEQIAAETHGYVGSDIAALCSEAAMQQIREKMDLI DLDEDTIDAEVLDSLGVTQENFRFALGVSNPSALREVAVVEVPNVRWEDIGGLETVKQ ELRESVQYPVDHPEKFLKFGLSPSRGVLFYGPPGTGKTMLAKAVANECAANFISVKGP ELLSMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKARGGSVGDAGGASDRVVNQ LLTEMDGMTSKKNVFVIGATNRPEQLDPALCRPGRLDSLIYVPLPDEAGRLGILKAQL RKTPVAADVDLNYIASKTHGFSGADLGFITQRAVKIAIKESITADIQRTKEREAAGED VEMEDEVEDPVPELTKRHFEEAMSMARRSVSDVEIRRYEAFSQQMKNAGPGAFFKFPE GGVESSGNGGAGNSFGDAGNDDDLYN NEUTE1DRAFT_129588 MPPSPPKHTASFNTADQQNLSDPVTGDSSPSRPSKRRKKDDDAP TDLADEADSSLVADQSALDMNDDDQVVARVIQYLEMPKNVQASKDHSNSIHESSQGVQ AFAKIAAFDWTYYIMSLNVNIGRSSEPIQATAGQSQEEDSSKVVHIDLGPNKQVSRQH ALIYFKSTEEQWWLRVKGRNALKVDGVPWKVGDEGPLRSGEVIEIGGMEMMFVLPADI SALQIKRDYLERAGIVPPDSQVSPRQARHPLPSAGESHAFQAVSPTSKAAPRNQGPQK ALAPAPPDYRRGVTPPLNLPRPPMHRTVHEGLAGPLVMTNNEVDLSLDENQHIKPQFS YAQMITQAIMNTEDQKLNLSGIYQFIMNRYSYYRHQPAGGWQNSIRHNLSLNKSFEKV ARSTDEPGKGMKWQIVADAREDMIRNAYRGGRGGHRGNSNPASPSGLNYITQGPKDMA AKEPASSRKRKISPSDSPQPQPHPTLRDSQSTPVRAAQRKPLPDKAEDGTEASPLSTI RKPATMSTLGIVEDTPASPTLGPSYLQEDGASLVTPAPNRVNPRLVPPSTAQRPSQHM PTSSPAPFWRYADISSTPLKPAQYDASPSKTHGNLPSQSSSPPPPARSKSPAGSASPT RTTSRGATVGVEEPPSPAEEEEDRAFDLTKGFQSIGSYHAPVSRGKEVQPAGNGDVPS MAPLSS NEUTE1DRAFT_100610 MAGVPGLVGNFFGILLAGWRLLKSTLYILVLTYDKGGHVEKTQQ VMEISLYMESNVSETGK NEUTE1DRAFT_122088 MADASQATTPAAEGNPVPEVPETQTPPADVNGTTEQEQTEEGAE QALEDQPFVVTIVLPNTTETLDIPVSPMEQIHEIRQSIIEHPIAIEFSCFHLEFNGKK INDFIQVSDVEGLEHGAQLHLVEDPYTEKEARIHLIRIRELIGASGDRTDTVHGVLAG VSVHDDIVVENPDAPEAEIKEYDFQAPADLAILLPKETGPAPKSIKSISLSPWNPPPA YWRQKGHLLYLVIQTNEGEQHHVTAHVGGFFVNRCSNAKFDPLPKPAPKDCASHSLFT LLKKLSPSFEESFKKFQEFSSQKDPLATFQVGNTIPSAPWLIPSINSSLIAHEADNTR SQETYLLGGAENVDSLRDWNEEFQSAKELPKETIQDRVFRERLLAKLFADYTDAAARG AVLVARGEVAPLNPTEDKDAQIFVYNNIFFSFGADGVGTFTSEGGDEAARVATGKDVL GVKLVNQLDIDGLYTPGTVVIDYLGKRIVGQSIVPGIFKQPEAGENQIHYGAVDGKDI VAADERFAPSFEKLATALRVKKHAVWDKENKRHDLEASVEMKGLLGTDGRKYVLDLYR ITPLDIAWMEESGPEGSEYPHRMTVLRPELVEALAKQKTREYVQAELLKRGIIKKPEE KKEGEEATEEAKTEETKTEEAEKSEEPKAEETEKTEEASESTEVAEKKDEEAAKEDER IDISNFKFALNPDVFSGQVPQTEEEKAEMAQDEQDVRDACTYLRDSVIPALLNDLKES DISFPMDGRSLTRLLHRRGINMRYLGKLATLSEGTRVECFRQLCVREMIARAFKHVAA KYLRYLPLPLTSACLAHLLNCFLGFGLNSSPVAEVDEELRKVFSDADYSFEKVTPESL REAMQQEILHRFRFTLEDGWYNQLQHVQMLREVSQKLGVQIQNKKYAFVATEGEAEPV AEKPVAPAPAPVEDGNKKKKKKKAARETSPVAAAPVATVPHTFSPDDFVNVVPIVKDS TPRSALAEEALEAGRLSIYQNQKKLGEDLLLESLSLHEQIYGLVHPEVAQMYHTLSQL YYQLGQKDAAVELSRKAAIVAERTVGLDSSETVLNYLNLSLFLHQRGDSKEALLYARH ALDLWKVIYGPDHPDTITTMNNYAVMLQSIKAYHESRRWFEESLRVCNKVFGEQTVHS ATLLFQLAQALALDQDAKKAVDRMRESYNIFKTLLGPEDKNTKEAEHWLTQLTHNAVS VAKATKELQARRAKGSLGFSPRNATAGAAGIGSVVPSAVGVDNRNIDELVKFVENSEK KKGGKKSKGPSNPKKRGGKA NEUTE1DRAFT_122089 MDYSSSTPQRRTWNSPQRKEQQQQQRQQTGVAHEQAQPWTATRC NRLLRPLLAHIGALKKEKERKLGVSESVGQGKSTSSATFGKRQGRGGSKPATYSSKTS SRAHLTTPEKPRRQRVGRRPVQETAVPTPYLRHTTDNYLASSPAQPILFAPTKSSSTS DHGRGARCSHRSCQGVQCRLEACLAQLRLSTDQETYSIYESVFRTLDALLRATSPPKS PASASPKSFLAMCLRRIPDYINELEYWEKKDAEANKTKSVMQESRASFDIYSELESLG ALGGWKHLRIVVRAHGVKIIQGAIRDGLLDDRIAILLISLCYQYLPLVECLDLTNAFV SRQYPSPDRYVRNGLTIEAPALLPLAAIGYGHARTKRFMLGKLADLFANGSLPAEWLL VSAFKTARLDAMAKLFYGTGQDCVDFLITMIDVLSPLMSERRQTCSCSDLAPHKLATG NLTGDMATLVSQVLRHFDDPKKGERAVTPSQLAVFAHRVRYIFRTYLLHARKTSLPSP AVYLISLCDFLAFGTESSASTIASAAWKGAKSGQRIDRLDQQYSMTLGVLGTIVINYS RSNGSTSAHTYIPQICDKMRALQIPDNLPYHPFENIELDVAFFVAFETNDLQDLAYAE KLWAKKKSTTCPHAMMKAKPYYEERIRTREESEGKSFTGFKWDDALSEWVTVDLPQPA VGGGGGRTTRRSTRCPSLMEAIQRYTPTATSSSTSTLAPNQKQTRGSTRLVQSRTASL ATSTTVDTTNDDTDADNDDDLQDDDASSETTTTTPQTATVDSSSDWSSDFETSNVVGP NETYQQIPPPPPPPPRRRRLQLQPQPQQRRLSGNKRKSSHLDTDNEVDQENDSDEDDD DDDDDDFSAPEPPSSGTEHRRPLAKQPRTRASLVALAIATTNTGAGAGATAKLSNGAG GSASANASASRSGNGTSSNGGNTARRRSLRRSAGSSGSGRAIYGGETEETSEDELG NEUTE1DRAFT_100613 MLRFSFPGESALQSIKMEEASGCNERDFEYTSADKRDVCTESVM PARRDCVGDGFYQTKFSAQKSEALKCVRVMRMDHGTENNFPGTRPMWRSRLILPLADL VMYVHRYLGFTGHFS NEUTE1DRAFT_62846 MLSGYLLLVLGCISAALVIWRLSTGLSRYIRHVSCLTNDTQTYF ARAGSKWSWFKKNVQYSPIFSKRHNREFQLSSAINVGTLPTRLQLVFLLGYFSTNVAF CVMGIDFSKPVGASATVFINRTGTLAVANMIPLFLMAGRNNPLLYLLGISFDTFNLLH RWLGRIVALEALAHTLGYLYRTAATKGWSVAFEGIFKSDFLIYGFVATASFVVIAIQA SSVFRHAFYEIFKILHICLAIASVVGLYYHLGPKGFPQIKYLYPVMVLWAFDRTARFL RVAYYNFGSGGGKALVEALPGGSTRVTVTMARPWFFKPSQHAYLYFPSVSLWQSHPFS VAWSEEADSPSGEKLPMDRRDILAMKKTTVSFILRGRTGMTGNLYEKAASCIDGKMVT RCLVEGPYGGMHQMHSYGTVMLFAGGVGITQAVPHVRDLVIAYSNGMAATRKVILVWT IQSPEHLEWIRPWMTEVLGMEKRREVLRIMLFVSRPRSTKEIHSPSSTVQMFPGRPNI DTLLAREMEDQVGHMGVSVCGPGALSDEVRRAVRNKQYNGAIDFVEEAFSW NEUTE1DRAFT_62849 MIESEPRGFGRRAERVVPELQVHHQHQPQPQEQNAQAQAQDQQQ VRQLDNSDNSNESNSVAPAREPAPTTAEEDARVIRVSETTTNTVSETASGATPASSDN RNNTNITTNPNNNMGLAYNVYLNSGKIYGCRNCKTHLANHEDIISRNFRGQHGKAYLF NSVVNVETGEPSERNMTTGRHVVRDIHCRQCKEVVGWKYDRAYEPSEKYKEGKFILEA ELLANVN NEUTE1DRAFT_129594 MASIIPARAIIPFLVGMMLLTGVCNTLLTKYQDNQCVRNCDDPD PLKRKHFEQPVIQTLQMFVGEMGCWLVVGIMSLWSRYVSKSTTYERINNRAGEDEDTT VPDDASIRSHTPLTDSTASSKYDGPSILRGWRVVLLSLPAICDICGTTLMNAGLLLVA ASIYQMTRGALVLFVGIFSVVFLRRKLYAFQWLSLVGVMVGVALVGLAGAIQPDQKHP SATTTITADVGADALKVIIGVLMIAGAQIFTATQFVLEEYILERSTIEPIRVVGWEGL FGFTVTLLGMVILHFAIGRTEAGRYGPFDMVEGWRQFWEYKPVFISSVLIMISIGGFN FFGLSVTRSVSATSRSTIDTCRTLFIWMVSLGLGWETFKWLQVIGFGLLVYFTFLFNG IVEPPFEFLRVSQVEELLPEEPIEHN NEUTE1DRAFT_81533 MVQNNHVAAGRLTGTNDNLSPHKDRTTENLKPVTSEQPLVSRQV SRQTDTTKPARLSVDDVTLAVPAERDVAEKDYTRPFDNGYHFPPKYSWQESTKQGLSS FWEFFTTPMGCFWTFYGLNVVAWGGMLFLLLCNAAPAMCHPTCDDINSPRRKWVEWDS QVLTGLFCVTAFGLAPWRFRDLWLLMKYRIKGQQEGLLRLAGIHSSWFRLPGTEELSP DIGPDNLPTDVPKQCVPIPKEKMPNAPLTGIRAPATKVWKMDFMIWCMILNTLAQVGL CGIMWGMNRYNRPSWSTGFLVAVGCIIAMVGGLVMFFEGRKVKRVEGVPCSERDLQKL AQDKEMGILHYNNIGDKKPKQKKKQSDPEK NEUTE1DRAFT_129596 MNKTGHSCSAHIDKTTTISFSQSVSQSPQTPDCLTIANMSADQH LQALTKVVVNNLENQHDWTQVQIHIQDNLPRPLIYGLPPKRLYVHPDEQIDIIKAEKQ LNQRVPQEPELEWVLPLHLAEKWSISDFAAVFDAITATPPGGESVNANEDAQWQLWRG PKRGKRILLATVQDDSTVTYYWMHNGLVKPRQN NEUTE1DRAFT_116920 MSTEAKRTMKAVVFEGPKKVSVQERPIPEVQDPRDIIVKVQMTA LCGSELHTYRGREASTPGFIMGHEFTGVAHAVGPSVKTIKVGDKVVAPFTVSCGTCFY CLHGFSSRCASSLLFGSPGLDGGQAEYVRIPLADGTAVVAPPEIEDERALVLMADIFP TGYFGAKNAFKLMHDLNPEEATVVVVGCGPVGLCAVVSALEYKPKHLFAVDCVESRLA LAKELGAEPLNFAEVEKGGVGLEGMVKRIKEVTEGRGADAVVEVVGSRPALKTAYELL RPFGVISSIGVQGHYDLPWSGEDGYNKNLRVQMGRCPVRSIFPEALEVMGRNQHKFGF MYDKIMPLAEAVEGYDLFDNMKVQKVIFTP NEUTE1DRAFT_122096 MLTTAIDNDTRGWILTVIFSALFSMLPSALRYLTTEGRDEKTAG LINMGCYIAGFFGIQVVSRVLHRFIPSHVVGCDHSHNPHANVQHQPLFDDHHHQPPWA SRTHGQSVTTLSSEAPETPTMVEVNGHATESTPLLPTETDHEAQSVPPVRPNLLGRSH TVGRQARSTSGIQSRRPSVRQMTSRVLSFVKDTKLHCDGDGPCFGYTDPCGQECFRHI GARSIPPGRPATLPVIRSGESVGTGEVPDSLNSPIYQVRSRTCSRESSVGYADRADHD GHDDHHDGENHDTGYSAVEEDIEAQHHHHVPTNAFLSIGLQTVIAIALHKFPEGFITY ATNHVNPSLGFNVFMALFVHNIAEGFSMALPLYMALNSRFKAIAWATLLGGLSQPLGA GVAVLWFKVAKHSNITINGTAYGCLFAVTAGIMTSVALQLFGESLSLTHNRNMSIFFA FLGMTLLGVCDAIADV NEUTE1DRAFT_62865 MSKATIAVIAAVSAAGGAAATAAMLSLKSDKKVDTTSLNAPVPA APSKPGPVPASQVFSAPALAGGYKPVDPSGFFEYGFPGPVADLATRQGFVSSYDRRTK NPHWTVEHITPESLSISEGDRKKSTFVEDEAIPEKFRGKLKDYFRSGFDRGHQVPAAD CKWSQAAMDDTFYLSNMCPQVGEGFNRDYWAHFEDFCRRLTKQYPSVRIVTGPLYLPK RDPSDNKWYVKYEMIGQPPNVAVPTHFYKVIFAEDGKKGGNVALGAFVLPNAKIPNDK PLQDFEVPLEAVERASGLEFASKLPVQRRKRLCAEANCSLIVKDYAERQKSFGKKQ NEUTE1DRAFT_109922 MSSYDNHPYPYHFHGPSHSNSTPTLTSFSTQNLQPNHSHVIIYR TRHPLLTAPAPYFCDQTSGQDTTTGGTTFPGDEQVSKDQTGATDSKKDESKDSSFKDE SAKDQKPEETEASTTTAYSKKKYQSG NEUTE1DRAFT_81547 MSVERLLTNVLRLYQDVHDDDRTEQLYSTTTTLLTHLSNPLNIT VLTSQLLNAPAIWERGDGMRACYRVISIFNSAATHVRNKEGSQAGPPVPQAPRTGGGL SCDDWVSAVLKGADDKSSRWQHLLVFCGVLLGMTSQKDPRNRHGLSYSMRNTVEQAFV TAVNLALRPNEQPHGQQREPEPPAPPGPIALALTYAFPLLSKSSRLALDCDAIVPVAL NGMTGADGLQDGLFLCTIDGDLKQSGNHFTWQENSPSALLLRQLEQKPLVNGLGPLSK VVGFAIEHARDTNVVLQAQNDLLTFTQKLLHEWEASKLSEIEISEEEVYLTQETLQGP WVALWTLLRKVMYGSVAVLQTIVARSLLDPRMRNDAMAPVVASKTLKALRYLYFISSR NGSDAFQVYTFTYLTSVDNLARYSDACASFLRDTKPLNPGTIPPHPLHRTLDLFYLNV AEHLPLKLTPEDCDSLIVQPAMAYLTHSTPLSPRMLELFEAAHSAVLSVLSCPHNAPV TVKLAPFYAEALFSAFPTHISPRQFRLAFKTLMQILSPPYPISSTHPQLAETLLEMVR FRIGVASKVPLPPPETHVPSATPELPVSEQSTLVMTLIDSLPFLHLRIFEDWLTQAAH AVNQIEDVAMREAAKRRFWEILVSGEMDVERSAIGVAWWGTKGGRQAVLYGSQGGLPD VPMMSGAIQNNMDRAKL NEUTE1DRAFT_81548 METAPSAMETRSIIRKVKPVGYVTPPFPSLHWPPQRDTYSLYDL EDMWRFTLLWTLIIYGLVHLGAAGIALMMQVGKKKSNWKFLWLVPLLYAAVAGAEALL AGCLVGLVVGATYKAGAFSMSTWIPFIWGWVNVLILILSSFRIQGGL NEUTE1DRAFT_129602 MSSPTATTTGLDPAVHTSNDNVIRRTHGTENGSTPNEKANAGGE PETETKRHSKKAIRSKYRHVEAVHSQSRPSCLSHDTTESPSFLGFRNLMVIVLVVGNL RLIIENIQKYGVLICIGCHDFRKSDINLGLLLYFLIPCHLFVAYIIEHYAAVQARAER NVSASEQNAKEHQHQDGTNSPTEEQHRKFQSTWKLVRLLHAINVTTALVLTSYVVYYH IYHPLIGTLTEVHAIVVWLKTASYAFTNRDLRHAYLHPARGELDALPGLYAECPYPEN ITMGNLCYFWWAPTLVYQPVYPRTAKIRWSFVAKRCGEVICLSVFIWFLSAQYATPVL RNSLDKIASLDIPSIVERLLKLSTISLIIWLAGFFALFQSFLNALAEVTRFADRSFYD EWWNSESLGVYWRTWNKPVYQYFKRHVYSPMRSRGWSNATASLAVFFLSAVLHELLVG VPTHNLIGVAFLGMFLQLPLIQFTKPLEKKTSPNGKLLGNIIFWVSFTIFGQPFAALM YFYAWQAKYGSVSKMATSQQLVQQGQGTCPPLV NEUTE1DRAFT_18845 MKEKWSIVLSSTDTATFQELPLCSTTQDKISVEIVLAPRSEISS SMQWAP NEUTE1DRAFT_62880 MSYEPRGDHDKGYGGGGGHDGLPPRNRGRRPVTDYGASVVHYMR HRQPRYRGSYAGEVERPSPSYIVDMLPPYARVTNPADSVPSRHLHSSLNKIKHPINVV RWTPEGRRLLTASSSGEFTLWNGTGFNFETIMQAHDSAIRALVYSHSDDWLVSADHDG IIKYWQPNFNNVESIRGHTDPIRDLAFSPNDTKFVTASDDQTLKVFDFAGGSTDMTLT GHGWDAKSCDWHPSRGLIVSGSKDHLVKLWDPRTGRCLTTLHGHKNTITKTLFERVQG NCLATSARDQTARVFDLRMMRDIALLRGHEKDISTLTWHPVHSNLLSTGGSDGSLFHY LLDEPNTAPDGSVMPIPAVYDTADPSSAPAQPIYPAHKIPYAHDFAIWSLDWHPLGHI LASGSNDRITRFWSRARPGEAPESFNDRYHIGEAAAEAQGTWDRRGGRHMRQVEEEQE LEDEMDGLVDQKMPIKGQPGVGGGGMMPGLSFPSIPGLPLQQVPSSGPGGSGFIPPPP IIPGVGGATGVPPPLPFPIHGMPGLPAGVVPPPLPGLDLKNPPDFSALAEMMKKAGYQ PPPPPGSAGAPMPPPGILPPGLIPPPGAAGFPMPPPGFAPPPLIPGAGGPPGGATHPD GGNDQYDSSGRRRAPLPSQEESLRMEQSKGNYTRVR NEUTE1DRAFT_81560 MKRKQDDERPDGHDGPDHAQVQSKKRATDWVQQQEKELSQVDQL EAPALPSQSQSPPAGAEAAASDGNGNDSLELDPDSASVASVANTSLTHADPTTTVAAT SINTTTTRASRRFPSDLKTIRCTFAGCTKTFNRPARLAAHLRSHTNDRPFKCPYDDCD KDYLEEKHLSQHIKGSHTNDRKYTCPEPGCGKSFVTNTRLRRHALVHEGADRYRCRGY GDCVQSFRKHQTLQRHIRTVHLGKSAYPCGNDGCDAGFDTASALRRHVEREHGDLKFW CDECNAEGEGDDNGGRRVGFTTMLLLQAHMKKEHNNCAFCGIRCGTQSNMMRHVELYH SAKTVEDRKTIACTWEGCDKKFTRVSNLNTHIKSAHEGHRFVCGQTDTYDAKVSEIAD WNFAEEGCGQGFTTRVKLEEHVLHVHLGKKRPPKLYPVPSMVAQTQQAQQALLDTRDL ACPALEPQHQQGDVHSAFTSAGATPPPQMEQFTNAPVSDGGNLGAAPFWLSDHGDPFS LQHQSPVFEDWAADMQLLMGQDGEGSDPQFARA NEUTE1DRAFT_81564 MSDYGDHGDDDREPIDEPAFDEDPDEYYEPEPEPADDDVAGRPG DEVDEAENDNNIVTSGDPSAAANAGKGNEKSHKDKKIPNDQRSTTPYMTKYEKARILG TRALQISMNAPVLVDLEGETDPLQIAIKELREKKIPLIVRRYMPDGYYEDWTCEELLQ NEUTE1DRAFT_109929 MASLTLRAAALAAIALVHVGVRATTIELPPCLDPFQPFIYSGCY ADTGNPHALSLRSQLDQQDMTIEKCVSVCKGNGFRYAGLEYYGVCYCGNTVNGQQIDE EQCNYPCTGNSSQVCGGTDIISVYQDPTFLPVDEVTVADYDHLGCWTDDSEYGRALAY PQDQLDGSTLTTEKCLQACRDGGYPFAGTEFGGECWCGVVIGNGTFSAPDSECDIPCN GNSTQICGGRARLNLYVANELQSLEPCGYVPPPPESSSSSSVTASSSTTPVASSQSTS DITSSTATTSDIPSSTTTSYTLGSTTTTSTTSITSTTLATTTSPPPTTTLTTASTTIT TSSSICKETVTLPPTCEYKCGKWCSSPLPDWDSPQSCFPSHANCFLQVSACFKQAGWP GALDCFGFAEWCSALSTYCKGSSKPGGICSKKDFWNHKPPSSPSLGGPGVPTTTVITV PCLPVSTGKPTSTSIPTSTKAATFTTTTTTSTTGTKCPIPTSTSLCNPPKSNKPPVGG IPLPVVTCNDLLSSFSSGHPFKLYTDSDSSKCKSYARSSFSTACLDACQEQYDDCVDV YAKGCKEGKYGKDDSTSYAGAVLKCKEQYADSTGNLSRYQHKKCGGNKALDTSYAQAC NEUTE1DRAFT_62889 MPPPPHQKPENVLKRAHELIGVNQAPAALTLLHEHITSKRSRNV PIASLEPVMLLLVELSVEQKKGKLAKDALYQYKNIAQNTNVATIELVLKKFIELAAEK VTAAQAKADEVQSSIEATTSNIDDLEASETPESILLATVSGEQSRDRTDRAIVTPWLK FLWEAYRTVLDILRNNARLEVLYQSTAMQAFDFCLKYTRKTEFRRLCELLRNHVQTAA KYSAQMHAINLNDPDTLQRHLETRFQQLNVAVELELWQEAFRSVEDIHTLLSLSKRPA KNVMMANYYEKLTRIFLVGENYLFHAAAWARYYNLLRQSAALIASGHSKKADNPACSD ADLQRAATFVILSALSIPVISTSRSRGAMVDFDEARKNKNSRLTHLLGMAQAPTRAGL FRDALSKSLLRRAQPQIRDLYNILEVDFHPLSICQKISPILAEIGADAEMQKYILPLQ QVILTRLFQQLSQVYETVDLEFVESLAQFPEPFQVTRGTIEKFIMNGNKKGDLAIRMD HATGVLSFDADVFSSAKAVHAGSSAGSAESETGSVQRLQSTPSQIVRSQLTRLAEALY TTCRYIDPSFNEARIKARDEALARAKAGAEKEHQEVLARKEIIQTRKDKASEAQAQKE KEKARKKLLQEQALQQAEAARLAEEQKLREAKRLANEREQIKRKEVEALLKDMKLEEL QGEDIETLDSNKIRMIKLQQLEREKNSVAEKLRITGKRLDHLERAFRKEEAKKLPEDY AKQRERDLAAYERTKAQTLKEAELKHKADVELKHRLTRLMPFYESFRSDLHERRRDEF EKRRRDAEREMEKQINARRKEAREKRIREKREREERERQLREAEERAAREKEEERKRL EARREELQRLKEEKEKEREKLREIAARQQQREEEAMARRKAEKEKLAATPSAYRPPAA AERTEAAAPPRIALAGNRPSWREREAAKAASGETAAPAPAAAEPVAERPRAAAPPAER TEAAAPPRLALAGNRPSWREREAAKAASGDGNSAAVPERSAPPLRAAAPQRAGSGRPE NDKDVPPAEPLKASGAPGKYVPKWRREGA NEUTE1DRAFT_94828 MPQYASRDVGDPSQIKKNKQSMADLKLRRLTELNNRLREDLERE RIPVSQAAKSIITYCNSTRDYMVPSVWGPVPKSEDPYLPQQSSGCCVVM NEUTE1DRAFT_62896 MMDQTPTTTSASTPTLLPRVTIRFCTQCKWMLRAAYYAQELLST FSTSLGEVALQPSTGGTFIVDITYAAAAAATTATTTLDSQAFTTPEIQQKTIWDRKTD GGFPETKELKRRVRDVIQPERNLGHVDRDYSKKQQQQQQQQQQQQQQPATEATTMEAT RTKSTEAAAPQQQSTSNKTECADCDNWMTEETYKGGDFEGGHAGGSGRQSGEQSKTTG EGTGTDTRGGSGEKKTADYSWMAEETYKDLEGG NEUTE1DRAFT_116927 MADHTEVDLDSIIDRLLEVRGSRPGKQVQLLEAEIRYLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKQKFGRR NEUTE1DRAFT_81580 MAPVPSTPRRRPQSRPKARSRAGGSATTSRGKRHASGAPATEPP LKKRRYVPGGPGGGGRFIDEDGVETPAEPSGSASRIRTSAARASPSVFPKRERSTRIR TAVNRDKLDDMQYSSAAAVVAAVVQSEGYKPREERGWEEFHPNLDIEGTFMIFQADEV DGILREAPHTPVVQQQPQQPQQHQLGGQDNGATTPTKSAINNMVSTDAPNAATPSGQD RPLLAGSVTDTPSRRRPLRPTRESSSIYANRPLDFGITPKTPKVLPIHNQTPKERLDL KQPSYRKTDRIALFESKTFGQARYVDKSMSNVGYQESDNFIRPDQKLIKATDANVEED IDPSTMTQANSDSAHNSAGAVGRVEYDMDEQDDMWLETLNRRRKDSNLDTITREIFEI TITKIEKEWHALEKRIPKPNPKPPQTHRPRSSSAAAVNGEPQTGEEQDSKCAICDDGD CENTNAIVFCDGCDLAVHQECYGVPFIPEGQWLCRKCQLIGRGVPTCIFCPNTDGAFK QTNSSKWAHLLCAMWIPEVSLGNHTFMEPVMEVEKVPKNRWKLVCYICNQKMGACIQC SNKNCFQAFHVTCARRSRLYLKMKNSQGALAVLEGGLPLKAYCDKHCPQDYAKENDVV QATKDAKRFYKRAMKGRIWADSQASALQLAATHRHAITEHPPDESQMTGAKVSAVLGE TKNGHPGKPIWKLPSGAPIIPQAVYDLVESALARFPILKRKDFVAEACRYWTLKREAR RGAALLKRLQLQMETFSSMELTRRNFAAMGPSGKTRLARRIEFARTLLKDLEHLKALS EEIVQREASKLEAAEMERDFVDSCYFPVYEMLIPALQKAIQSDRDVFAKGLSELQDKM EKRYYTTTLQFTHDLCQVISAEINSAMNPHAGLDQIVEPSYASPTKPGANAEVKKCKQ MGKRILRQVQPYLEAALQAEADICSKPYDALHQELEGMLDASLEVRQPTITVSSHDGV AAEDVDMADAPEEGGQIIVADQSDGEADGEGDEDGDGKADANVNSNGEPDEMNVDTSQ QKAGVGSIEVNTLEVDAAPKSNNTLSTSQSVAGEEEKENHKEDSQQLQLPDGPTKDSG TPPSLPGEGPDRANVPQLSHPQQSGPLTPPQSNGSFGRDPTTNILTEGGVPTYLHGFQ IEGTSVIEEQWPGREAVRSLSEELTDMDDEALKDLEFDDVAAAVVTAAVANATATLSA PPVTRRRERANPAMARKGVRSSARRR NEUTE1DRAFT_116928 MKFQGNPICEKLVCIMSRFLLYSAMLFFLNLSQMPSKITPIIII IIIIITIIIEPPSCVIPDHNKIRHASNGGNQPIPPKLPPIIIIVVIIMPQLLDCQKDS QCLPPFILSLLFQTSMLPPASHLLLKLVRMREKRKGDQIMSTDGRDL NEUTE1DRAFT_62903 MDSEDGEFFIKQLASFVRTHEKALANALQFRRQNTPRHASSQSV SVLSTSAPTASSLPERPSTSSSPSSTLAAALSLGSLNFTSHNVKSAKLALTAHHLFYL LSRFQELAIPVGPMKVRLENLHDNRNSGNYVSFLSQTQRCKSRGSDVGSIHSVSSIRS VMSGMSALWSSFGIGSSISAARSEKQKAAIEADLKYLYSAFTKIPCLRLAPDFRARLI KGYEEFPFDSAVPLYVFKNVQALEVSDTDFRQFFGWDRLSEQLRSLTLKRASLDDPAD VLIDIVLDDMDKRRRRSSKAQSSPTGPWAAPSPRRSPTIPQAAQKSNSPPSSPDARTS NTEIPVGSLNSESGPDQFGAALHNHRRPSVVRVDSDESRTPAKDGRPRSHSPRRPTSS RNGSSNVRGSHKIRRSGSGSSHSSLSDSWYNSRGSSSNLLAMGTLPPSKWRFLKHLSL ADNSLTSIPAASLAPLANSLHSLDLSANLFTQIPDSLVTLTALRALNLAHCMIDSLHS LIRCPLPAITALNLRANRLTSIVGIEKLYPLERLDLRDNQITDPMELARLTGIPELRE IYVEGNPFTRIHRDYRITIFNLFRQTPGYTEDITIDGSGPSYSERRYLHERAAELPAV PVIKPPPQELPAVDVSKPTFVYEPPPREPAVLRKERPMPKSTTSELNTASTRRRKAPK RRIVDLATNETPAPVTLVDDRSRPGERAASGHNEHYRISQPTEIHAPQETLTTSPLPD ARAPADIPRIESTVIPSITPLYPSHKSSSESQSWRDNGHWDVDGELYRRKIESLRDKV GSRYLSALNEEGWDPTSLPPNYREGNFGAPSPLLADPTAPRAASVQAIHSGRTLG NEUTE1DRAFT_137533 MSVDNGDLRCKMDDGMGSAGETTKNDPKAHVVEWKPKKRSTMTT AKALGSDMMRRRCDASKLAGWPALAQRKGGTTVERAERRPRKHQATHRVYSIWRGRLT VTQSPTKTNKAGGFVRIQS NEUTE1DRAFT_100637 MPGTQKRQSAGAATSPLQHAREASALTASRFGYFCIPDMLRRPC ARTAHKQPSSSVKANGLLQWKLVILSRSGSAQQQGPDNLLAFATLSKEWIFGSSWEAF NLSE NEUTE1DRAFT_109936 MKQNRTTKFGFLVQNIVTSRLEVRMQCHMGSADVSVALPRSTSH VKPKGIRLRQAGIFLFMVAFRDIGEILPIVKPCGPMSTVDVGMCCLDKEDHGMVPATP GNQPYRPIHMAMCMRAKEVVAFMYQGTALSGRS NEUTE1DRAFT_116929 MASLTAACRISARMAGRSVRGFRTSAAALAAQNFTMPALSPTMT EGNIATWRVKEGDKFSAGDVLLEIETDKATMDVEAQDNGVMVKIMQNDGAKGVAVGAR IAVIAEEGDDISSLEIPADAAPQSKPAESAPSAPPPPTTADQSNVAVPESAPQKASSK SAPKPPKRQYPHYPSVAHLLKVNGIDAAAVKDITPTGPGGRLLKGDVLAYLGKINAQT PSTVSERFEKQSHLDLSNIKVAKSTEAVKATTEKAQSKKLDAPAPPPVAVVTAPISLS AAIDVQNKLHKTIGVFLPLSTFIARATEIANQKLPLPANYQPTADELFNQVLGLDKVT RKESRGSYTPTFGSFVAPQRAARKADIIDILAAPSKRVAASAQSKSAAPGLTTSGPNV FSLQVPKSEEKRAQAFLHKMKLVLEQEPDKLVRA NEUTE1DRAFT_62911 MASISSRLSSQFVLLAHRTAPCARTASQASGTRFVLVFGSGSGS GFRTAQSARFFASKAKKAAKKPTSSSTVITTVTAPPTVVPASYAEQLAKKGRTLLYEA HSHAWFRFASFTTGSFCIAYSLYNYWSVHLNPPADLSTWVPYAYGVICAMTIGMGGYF FMGLRRIIRHIEAVPASQLLTSKPKAVPVTTPTPIYLEVAYSRAIPFLPNKKEYYHPS EVEMPFRMQLLCEKLNTLSAQKNVGKNLPTTKTGQIIAASKQKAAREKERKERMQHLL TLPFRDAAKVFRGAYDGLRRSFYREGFAKVYLRGVEYKLDMTSGWALDDGRAIDRLVH VKPSANISAY NEUTE1DRAFT_41519 MDSSATRPYSVATGASEAFDELLKQCKGQIPKEFGSYSGNVTFV PSNHGDQVYFPSPCREQDAIASIKALEACAAAAIGDLRHGKNSRSIKVDLDKAACFLM SAYLATIDGKRKGQTPKGLIPDTDYNQAQSIQYRRLSANLYETKEDGRYYHIHGSLDA TTQLRMIGLPPFNPRLTDYQKCIDTIEAAVKRFTVAELEDMNSKHGQAGVEALKWENF LETTHGKVISALPPFTVEAIKVQQTTVPETPPHTPTETNFQSHLWPKVPANVSQYALC GIKVIEMCRVIAGPTIGRSLAAHGAQVLKVTGPKLPDVPFFQVDVNTGKHTTHLDLRN QNDLKTFRNLLAEADVLIDGYRPGALAKFGCDPQSLAKLAQQRGNHGFVYVAEDCFGG TGVPGAEWAGRRGWQQIADCVTGIAWAQGQFMGLENEPSVPPMPMSDYGTGALGCVAA MAGLYQRETKGGSWMCRTSLCQYDIFLLKLGLLPLQEQERLKTVFAGPFFKLRHYDSV DKVSGEALKAIQRAYPHLFRPDLMLKAKSKGFKGKDIRWPKEAIEVEGLLIQHVRASR PNGFDRPGWEGWETDLIMDGEVDLAEDNAVFTNTSVTPAPREEQHQQQDGSGSMNSVP QRRNRIATMNTVLATA NEUTE1DRAFT_100641 MDATGKPLRRQAQGLQCHYFSVIQYQGFRLAPRQGTTTSVQPSS EPGGI NEUTE1DRAFT_41238 MENIIDFPENTKLPCFLPDRETVHVLVESYFTNTRGLIEVFDKS SFCESIEIIYADPLSASRYSTCHLFLVLALGMLLSAPQPGSREEEIIKKLYDAKQDMA ELFYRSAKSMCDPEYGFEDADFWSIQALCLMAVYMLTVSKRNTAYAYLGMAVRSAYAL GLHREETMHPLIYPESTQREVRRNLWKTLFILDRFLAASLGRPVAIVEEDCSCKLTTN DDIADLSTVGENGPESLHFGCLDACVKSCRVIGVTLRVFSKRKISTSVVQDIANMAKY WRRPSLANLTPQQSREGPTSPEQGIAALHANLLSLHSLILLTRQIFIMHNWKLDQQRS GKANPPLNRESHMAKFSEACVVASYQTIQLIQHAREDGYLPQRNPFFIYFLFAASLIV LMNQFTSLYYADTYNKTITDAISTMKYCAEIDPQAERVLEIMENFAQVVETWTKDHSH AAPVLSADLSCLYTKASSSRMSRENGSSAPSVPSPVDPAGSRIGSISHQPLVPSPSFL RPQKAPNVFIPTPSATVPGVVMNGMSTVSSTTPPMAPPLALRPAHAACFNST NEUTE1DRAFT_81593 MGITYSDDQTPEEVHPTSVKDGQSLINQVIAATGPKAHPRLAQI MPSLVRHLHDFAREVNLTVPEWKAAVEMVRDFRCACFAITLALNECGQISNDRRNETQ LLCDILGLESLVDEITSNLLASSNAGTPSAVLGPFYRHNAPLLPNGSSIVKNLTPETP WFSQAIADSAYITGRVLSTDGRPIPGAIVDTWLAAPNGLYEQQDESQTDMNLRGRFKT DQDGRFAFYALRPTSYPIPFDGPAGRFLTLLDRNPNRPGHIHFVVSARRHRPLTTQIF DSRDKYLTEDAVFAVKDELVVKFLPHKGDSKARWYLEYDFVLSRED NEUTE1DRAFT_109942 MGEAGDMPRVKDIGRGRGRGKEHLGDKEGELRMRLGWNAMADCT VARMDLGPNVMKLRTRPRSSKHCSVEVLEFSSLAQSTSLTANNQLTSSIQFWSDSAAT CPAISSASPTVVPSDFKFDSRTGQIMWPGFFQLEN NEUTE1DRAFT_81596 MTSYSNLRSSGASIDGMEAVELKDRRASMSGRKSGDLEAGEEDI GVPSSSWNGAPGSSQGGHRRQESHGADDEDGSELELLLDPNLPAEYSHKLRDLTRKSL SFDDNQVGVKFGESEDEEEEVENSPYPEVRAAVLNFDQDLPCNTIRAWTIGLGLIFLG ASMNTIFSLRAPSISLGSLIAQIIAWPLGHGWARFMPQREFNTFGKRWTLNPGPFNIK EHSVIVVMASVSFSVAYATDIILAQKVFYKQDFGLLWGVLLTISTQSLGYGIAGMLRR FLVYPASMIWPGNLVGVTLMHAMYGQNEKKDPTIMGGSIPRYRWFAYITLGSFLYYFI PGFFAQFLSSFAVVTWMAPNNPVVNQLFGYSTGLSLLPITFDWAQITGYVGSPMVPPW HAIANTLAGVVIFFIVAASFLQYTGAWYGKYLPMSDSNVYDNTGKTYDVSRVLSKEFT LDEAAYNTYSPLFLSTSERPDVHMKMMRKYKEAPTWWYMSLFAVMLALGFFTVLGWQT NLTWWAFLLAVFISFAFSLPIGIIQAVTNNQIGLNVLTEFVFGYIQPGRPLALMIFKT FGYITMSQALTFVGDLKFGHYMKLPPRVLFSAQVVATTFSCIIQILVLNYALRTIPEV CTPSQPQHFTCPGGRVFFSASVIWGLIGPARMFSPGQIYSSLFLFFILGAVVPLVIWL LLRRAQKRNPHTKTWLRYVIAPVIFGGAGSIPPASPLNYLSWGIVGYGFQYVVRKRHF GWWSRLNFLTSCGLDLGLALATLVVFFAFTINEISPPSWWGNDVVKGTLDFKGMAVRE RVREGETFGPRVWALGGGGGGGGSG NEUTE1DRAFT_81600 MRRVVVTGLGAITPLAVGIRPTWRRLLDSHSGIVSVADLEPQDK WKELTSTVAGLVPTPARNKQNVDEQHTWKAADWLEPSDQRRMSLFAQYSVAATEMALQ DAGWKPTRQEDLEATGVCMGSGIGNLDDFYNTSVTYDKEGYKKVSPLFVPKILINLGA GHIAMRYGFRGPNHSATTACTTGAHSIGDASRFIAFGDADVMVAGGSESCIHPLTFAG FGRSRSLSTAYNHDPTSACRPFDKDRAGFVVSEGAAVLILEELEHAKRRGARILAELK GYGCSGDAHHMTAPRADGSGAFLSMKRALMNASVKPGEVDYINAHATGTAVGDAAEAI AIRSLMMGEEGVDDESRITVSSTKGAIGHLLGAAGAIESVFSILAIAEGAIPPTLNLT SPNVGVGFNFVPVQAQQKEVKVAMSNSFGFGGTNASLVFSKFE NEUTE1DRAFT_129617 MACPSCRSSLGLLLPRGPAATSTLRQGLFAESTTARAALSFSQS SNTTARSTRRSTSLLSQQSRRIHTTRQCRAEGGEGSGGLAGGFRKLLASVAGNQSSSY IAYGATQRIYKDCAKQADYTITPEDRKAGRVKETADGEEIGVGNGSIWHEKFGLLPTF STWAHVTMLHLWLVVVRLRCLDKDAHATWQAQLVDHFFHQAEEKMDRTHDMSSRVMRQ RYLQDLFVQWRGVVLAYDEGLVKGDAVLAAAVWRNLFKASEDVDVRALAAIVSWMRSS LKYLDSMEDAGLALHPTLFKNKPDAELQVVDKLVPALEGQTSKGAAAADVEGISAAGQ AEAKASPVTSQKAEPKGAASQKPKAVPKFKTAA NEUTE1DRAFT_62928 MPDAVKAAHYIQQLDDARCEENWDAVPELVRKVRKHAPDRICLT LTAEIELAITRACQKAAPQDTDRPSTAGGPAVGIEVASHIPNLITAIENETQHPEESF QAKVCLGWLHWVMKDYPIALVRLPKNFDLEYPQCDNLDTLSEWTKVCALKSTYLRANC LARDGQRDMAMAAFEAALPSLSSVWTTKTARHQLRYWAELFLTEYCMLASQAIRENDE LLSDPNCLASFRTWSKYWASAKGQPLAGGYGFRGSVPRRQVWSEYYHVLSELLQRDLP FPTGYSEPSNDSSARSQLRAELKKVEIIFQGLLYTETKFPRADEQRTEVEQFVDRVMQ NWIILNGHGWKEQDLEENLNAAKDGHLVLTAAELLPPRALALTYQAAGLANAQWARMT YDSESRTHFQQKAVHCLSKSLSPELGLGVDVRGVFALGTLLAEQRNLHYAINLVKTAL LEDVDIDESQELYRGPCWRERSLIPLWHLLALMLSAQEDYVMAARACEGAMEQFKDPF ILFGTRSLNGAYQSEHLNEAGVEDGGYTSQGIVDEMDDFEKESLLEIKMTQLAILELV EGPTVAVNASSELLTLFTRLFGDLDQKVELTKPELPRTATTAVAKEQTRNRRASVFGS RSVRSGRGMRQSIFGSHDKNLTGIPPVPQAPGAPAIQVTNNENAQSKRKSHSLSRSAS GRRHSLRRKSRSGSQGAIEAPPPMPVNGSLADYAGKDGAAAAPPPEEPSIDGVQRTNS FDSNEEYNDGEASVLAQVYGSLLPVIHFSQEHSKRKRKAILIKVWLTIAGFYRRAGLV ADAQQACTEAQNIVEALEAEILNDTTGDVSLREAGWGETKSTEELYADVWAEKGYLSV ACGKPYEARADFETALMHFPDHAAAIVGLSDILLDIYSEKLLPPPVVPNFNLEDSILS DNSTPLQATTKSPELRLQPLGLSTAKMESKLDKGQDNLENGMAALKLKGASSPNKCPE LPPPHKATSLPLHDRLTARDRAYGLLSGLTKLGTGWNNSEAWFTLARAYQESGQVDKA KGALWWCVELEDGRGAREWTCVDAGGKGHLIGVVILNILITSDVAYHLQTTRYHYETK NMSAILPSIELTLVLAATRDMGIGLNGGLPWTGLKKEMAYFARVTKRLPSQLAGTKAL NAVIMGRKTWESIPPKFRPLKGRLNIVVSRSVTSSPSLSSPSSSSSSEVEEGPVMASS LEQAIEYLHLCQQQQQQQEQQQKVGKVFVIGGGQIYGAALKLPKEVSKRILLTRVLSP EFGCDTFFPLVLKEEGEASGSEEWVRKSKEELDQFVGEEVPEGLQVENGTEYEFQMWE RM NEUTE1DRAFT_81610 MAIPPPDLRVLCRRLASTPVDDLPHICPLLVSHVLRCGEVLSAV PETKAKDKSSETATLVHRLRTHITTLLAGKSASGRFAAVFLVKAVVDVGGWESLRASD VWIRGLIGILGKPDPLVSKELCVITLTKIYSLLQSYQTLVREMATPTLPSFVTAMLNL VKPPASSKAPKVPTSFVDTVAGSLSKIVSLYPTTTRPFNAQIRAAFKAYIAPTMSDAV TVPQSLRESARSLLIVLHYTAPKNGSSDEWVKGIKSYTKEAHATADQVFRSVRESWES TAGYRVDTIRTDGEPSGGGDEADELPAWTGVTAGAERLTGLIGQLIEYLKVPTKAPIT IPVGELLDLASRITLITLPKPTTGEDSVETNPAISRDEKAELWSVLPEVHMAILNLHT VLLRRLAANAMPLATDILDQMVRVFTSGRHIAAIRETVYVLTKELLEMSGPGLLKLSV DSMAPVIQATCQDILRATGHYDSPAGGKQASSSTNPNPNPKKPTAGRNGAGILNPQKV QSSGNADVYLTTTSTTHSSSFSDPLFAAALSLLPLFLSRLPQRHLSPEARGLVDRTAI LANSKQAMLASVLNPYKDTRGRYYPTILPFLVRQFPADQEVEVLRTNLMRVGAGVSQT VATSWDPAEGLDEVVGAAANTGGMEGEDEDEDEDMVDAEADADNEAGAQLAAEDQNQK SKAAAAAAAAAGVWGATAEKSAAEDVATSKPNPFTIVPIDSPESRAERASMKKRKIGE EAANPPKRVTRSSRRKTVEVEEDTSATTITAEVVEEVATPAPAPVSAKPVVAMMEQKE GEDDGEDSDSGESVQIDMSLEDSEEEEEEDEE NEUTE1DRAFT_122121 MSTPPPPDSATAQEVVQEERQEDGTRATEATDGAHEEAETTEPP AATEEVLDSNETVEEPKSHSVKEGRRDHEREGSPAQQPKSESPDGQDSSQGDYESPSQ SPSNRAESAEPGEIDESAAPPLPDEPLPDHTFNGESSSSAPPLPAEPAPEPEDDGWEY HWNPNDSSYWFYNRFSGVWQKENPRIPTATAAAAAAAVVAPVVVPLDVEPTVISNPIS VAGGYNPAIHGDYDENAWYAVNARAAAEAAAAATNPLAGLDPTVAGADLASAGYFNRT TGQWQAPDQNVERHSDEAKSKRQLNAYFDVDAAANMHDGRSLKAERSGKKPSRAELKA FKEKRRAKKEEKRRAWLRD NEUTE1DRAFT_122122 MMEAHQQRGRTHTSLPSLITLIAIVSPLASAVPSLTDDSKCECY LTNGTQASFFATHKFLDFRNLAAHAGVPLTITKPNDSGSAPVTSEYFTSKEWTEAFWV LSWNNSHQIREDATVLMVNSPNNVYIEANGDRSPSSQTWLTLRTQRLKDFQTASEIES VAPGFQYLSVRMLARTVGSPGAITALFTYRDADRLADVQESDLEVRTMDPRNTIQYTN QPSYTEKGEEIDHATKNVTLPPGHPDWTHWAVHRLDWTPKETVWYVDGTEVATISFQT PRDPSKVILNAWSDGGEWSGNMTVNDAAYLQIQWLEIVYNATDENPAKRKRDGGGVTA VMLRERDEGGEGSCDVVCSIDETLEPGKPVMLWNNGAMRMVGGGLVAWIPSLVTFGML ALISGGLW NEUTE1DRAFT_42325 MDRHSSSTACSSSSSPSSSIIRTYQSMDHQWQVYYNYVDVAGSR WLASWLLISSSPSLLFIRRRHASSLAVSCPFHALIRLNASAF NEUTE1DRAFT_42054 MDIINSQSQKALAVSESATTPSAYNQLKHKYTEYIELNVSTFNP ASVDNAITFTFAAFRNASGSSINGSADSACFGR NEUTE1DRAFT_62940 MAALVQGYPRQSGTATMLQTRPASSTGIIQPAQSQSNPQYGHNM SQRNSIHGMSGVVGAPVVYRQASGSVQQYAFTNAPALNHATHHHQFRQHRTSSTPSVP QVQNFDQSGAQTRYQSSNSMTNLPSPATINGQSAGGSRDDSVISVGSSRRVAPLPRPH SAHLGETFSVPLIASAGPPKVSPERYRRPAQRANETAPTMSNTSEHKSGMLRGPNGAL PHRPNSFTGAMGGSAVDDMLLPHGQVREDVKRARRRSMPALDSAGLAMPLAPLYAAQL TDVSSKSRKTGEKEAKSSGRTVNNLSVGRVAAHTRTSSTDSRSSSRSTASSGTRPASS ANLSANVANPTGNPAHSSIPEQHHSNGQDHARLVNIPPRGSSSDATNTKRLTNLSPLS KPVAMDTDAGRNATAGVTAGRSASPVKTPSPPQAHSPAAKQLAALSHNDSKPKSKTSR LRRAFSFSSASEFRKAVHHQDAENGPSKLHKDQNSNEPLDAEQARIAQQQEAAGIGSN IYGPRIFGGSTDNLSISSTASSASVMIRKMGRGMKKSTRSLAGLFRPKSVIGVPAADA KAPDASQATVSMINVEAERERVNVNADVRSQSGGGTGFPRLERNSLDASRAPGLGTER AGSLGSDGSAARKSIIGGERERAEVLAAVRKGILKHTNSNSPSPSPRPAEGRGLDAPS AITDSPNSSAPSTPNNDDRAGQVPIGNEDYFVTALRLRQDTKSAPGTPQGSMKRCATF SPRIAFYETWPSQEYDRRGEIATCNRLTPVLAQQIKEELNSFKMEMEVHENSKIYTHF F NEUTE1DRAFT_43382 FLIIKKDNSLRFINNTQRINKVILQNTNLLLNYEEFSRTFGGCK IISLLNLFSKYN NEUTE1DRAFT_62941 MASHSATTASSLTSAAANLGATEAKATTTPRTPKRTKLHGRAFY ESIGSPKYIVAPMVDQSDPRPDSPDYVPFLDGNPEFDRSLFVQFCANDPAYLLSAAKL VAPYCDAVDLNLGCPQGIAKRGQYGSFLQENQELIFELINTLHKELDIPVTAKIRILD TKEATLKYAQNVLRAGASILTVHGRRREQKGHQTGLADWEYIRYLRENLPKETVIFAN GNILQHADLEKCLAATGADGVMSAEGNLSDPGLFARPPAVGEEGREYWRSKDGSRGGW RVDAVLRRYLDIIYKYVLEQKPPVRRPLFMPGDDVAWLEEASSTSFETTQANDDSEGP ARKKHKSANGSASTTTTTTTTTTTTTTTTTTTTTTTTTTTAASSSSLNTTNNSNNPHL DKKALQTSPNLVSMQPHCFHLLRHFVTHHTDVRDLLARARNGSEIGKYEAILSQVERK VAQGLLEYERTGGSSFDVDVLETLYQETEDSKDDPESSAQARRENKRPWWVVQPIIRP LPKEALAKGALQLSKKELKAQAAKKAVAGGDAEATVASVFGSKEKEVKKTASEEALVP VQGQNGGETAVESLETTTNYPKSELVSG NEUTE1DRAFT_81623 MAWEALKKSINGLVNKVNTANIKFVVPELFGENLIRGRGLFCQS LLKAQHASLPFTPIYACLAAICNTKLPQVGELLVKRLILRFRKAFKRNDKAVCLSSTM FIAHLVNNQVVHEMIAAQILLLLLAKPTDDSVEIAVGLMREVGLFLEEMSPAIAHAVF DQFRNILHEADIDRRTQYMIEVLFQVRKDKYKDNPVIKEELDLVEEEDQITHRIGLDD EIDPQDGLNVFKMDPNWEENEEEYKKLKAEILGEASDDDEDDDDGDESESGSESEDEE QKALEIKDQSNADLVNLRRTIYLSIQSSADPEEAAHKLMKLRLPAGQEAELVSMIVES CAQEKVYLKFMGLLGERFARLNRMWMDLFEESFAKYYSTIHRYETNKLRNIARFFGHL LATDAIGWHVFSVIHLNEEETTSASRIFIKILFEDLQENIGSAKLKARMSEETLQPSL QGIFPHDEPRNIRFSINYFTSIKMGYLTDEMRTFLANMPKPALPAPPADSDSESVSSY SSYSSYSSRSRSRSLTPRKDTRGRSLSRTPPRRGRGRSYSQTPSRSRSRSRSYSRSVS KSVSRSPPRRRAVESRSPSPPPRGRRRSYDRYSRSPSRSRSRTRSPAAPPIRRGRSGT RSRSRSYSRSPSPPPARGYPTRGRAPVSNNDRAAAASGKRRREGSYSASRSPHPPPQQ RLRRGSYSRSRSRSPIPIRGNGPAGRDTGRAGPAPARGGRRDRSYSRSRTRSPPPLAD AATGSRRVVSRSPSPVVGNNKRRRSYSSSRSRSRSSSRSRYRSRSPVAKRGRVD NEUTE1DRAFT_94837 MLDKLVGLAMLVAATFVFLYYTIWTLLMPFVDSDHPLQNVFPPR EWAIRIPVILILLGASVVGSFLSMVMIKSNRKKAAKAKAAAAKKKA NEUTE1DRAFT_81630 MPFAPSPRDRITSPYLDAVSTASFATSPSPNAREWIKNLPTMAG SPGNLISLAGESPPTAPSSYTPSSFDDTRGMSSGWSSPRPPSNLYAASVSPPTAGRRP MSFHMARSPAELHGHLGEPASRRSSMHSHFARAGNPPLPHQPQPHFYGAPDFNLDTHR HDGIKAGERGYYFGYDIITSPSLDNVMRNDKVVLAGYEGGLEVHKLTKGGRLEPLASL KGLRGGVYHAKVLPWTAAQADVFPLIAVVVHGPDIPPIQSASTSAPKTEGDYDAVSEE RSEAMANVPLDAPKDTPGDRAVPGFIECYQTTVEVYSLKTNKLVDILLEAPKIPLKTS VLSPIFQAPLPSGAFHIHADGGSVVVSSGITGECWVYQQVVADELAPRFVCHGKLWTT LQQTPRGSDGSQDMDRNYTPVPPRPRPQIAILSVRGKWIAYCPATPSSQISLRATVPV PIYGRAPNLSSLTPGQLPPVSSEIDLPLGDSVVNKIVRDATQELIQGAKWVGKQGWQA WNSYWNPQANPTSRSPVLAPQSWGGSAQPDPSQFPPTHGAITPPIAKDPNMISIVDSE TLSSSATIHPVTSFHIPQGCSFLSFSPSGLSLFTASCKGDVQTVWDLMRLQYTKSSPL QGAGLSVTGGPRVRQVAQFSRMTVTRIVDVAWTKPNGERIAMVTERGTVHILDLPVSA FSWPPPRRRIRPSEATNSASEGTSAVAIASNALSSVREVARPLISRPRRTSSNGQLVG SGIGDYATHGGKVIAASISHSLGKTGHAISQLRHTGENRVSLPNSNSAPGTSCVTWVT GKRGHLLYVLGNGLVRSFVVTNRRTSGGERRAPRLSRYKDVKVPLMPDLSLAARAKAF VDQEEYYEFTERGLDTGNETLVLDRYRAKTKMTNLAIRSSIPQAEIESSAPYQPFHTD RRVALYEVEDGSDAAQLSERMGAASLDDSVIEPSQRKQKDPRFSLREYDNLKPGASQA WAFGGNIQGRKLDLGLHQLGDDDFLFNDDLRALPPSAMERVLERNGDTDQVVVTTRRK RGVSRLTAQDHDGFFEDDCEVLDFADQRV NEUTE1DRAFT_62953 MAKVAAAAAAAYDKHGPSRLDDGSQGPVHRGRVVHFISYTSTQK STSSSMIAEEIRLTSRHRTYTVGMPDGGGGGASTSSQGRPHCHAHCSSGSQAPAMYAC TEHSVHTRKRPFQCPSRSSYQSHPEATDTPISQAIVNPKGVISGQVVTRRSPDPRLAE NCPMADKQKRDQTQPQEEEQGKGVSLKANIAVNA NEUTE1DRAFT_62954 MPAASIFQFITRFFQVSDNPDLTEEWLSFFGEEAKVVMGEKVAE GKDELRVLRKGMWEKVKTRKHTVQKGDGVLNLEFMIYGTVLYTLRETGKEEKMDWA NEUTE1DRAFT_129627 MSAAEAIEQSNAMNEPISPRQDAPPIQQAIQTLGALNPLNSLDM PADPDAQATVTDFLDFTEYLPADIMRSLTLIGKLDETHVNASTKVHELATKWSQLPNI PPPKRTSAVDVRADISEQLQQGMNARVSAHAEAVRMDGEVKRHHSRIKTILAKLETMF ENYPPPEEIKSPVATFKSPQAAAGSKAPLRLDGQKPRRHAIPRITVPGEVLAPYELNY EPFTSDSESSSEDEDVASLSTSRVTPAPQPRIKVVKAPARPPKAGKAPKPRTSVPPPH VPGGEQPLSTSAALAQLGPPPVNPVIGGPDAPWGQLTPFELAKLRKKMKKNAAWTPSV TMIARELQALGRGYEAFKAAQQKAEQEGRVFEGKMPVPVKNPTTGEMQMPVGAVSEEA LAADEANTYNRGMKLNEAKKLKRVQLAHQAAIEAEESAKLLDNVARILMNNSQPAIAQ PTPTPTIVNNSRPKQPKKRKRESVIEADATKPESQIKRMKNETPVPTPQLNLQPHQRT AVPTPVLHSTTPIPLPIHAQDHSSAAKSSASVASATSPAPSSIAGSSSAAPPVPPIKL PPAETPIPPPLRSPRKSTTPILPPVRETRKTQMTRTQEQQQQQPTTQPQPQPPQQQQQ PPPPPQPLPQQNQPQQKQQLLVQQQQKEIQSASRVTTPAADVPSHTPDQEELAVSTTV TAPPSVASTTSIRRKASSLEPQASLASDRPRRTSTARNTPAPDKAPAETTTTTRTTGK RTKRPAPGVISRTVSGGNSAVGKRKAAPKKSARTGKGKDKAAVEGRQTAAAVAAMTAA AGAKNEMEVEVDDEGNVIDPDEPRYCLCNRVSFGIMIQCDNVDVSKQPTKKKKSASKK SGKAAASRGSATSTSTMSISTRSKTDEKGLRRKQETKEDVHEEEQDEEQAPNKENAEE NAESYPSQTGKKRKCQQEWFHLECVGLTAIPARTTKWYCPDCRKALNIGEKGEVSARG IKA NEUTE1DRAFT_100659 MYQYEHSMTFDSQGQGGVYSANASTGSSNTNSTRQQHATVKRRN SIESDSSLELRGPMEVDGSVKSGGGVAFSGNFAVRDRIEAYGDISIVGDLRCSSRIKA YGNVKIDGSALCVDRVKIFGKLKVTGTFEVQGDVEVWGAITINGYLLTAYASITTVGD QSWYQTEQGEIVHGAKLIQRTNYEG NEUTE1DRAFT_62960 MPAVEAAVEVAQTHKVVPAHLKRARLPGAVRFALVAILSFYLES LGRSLVAEYTHGELAKVVRPASSLTQTEALALAGWKLFGLALGWYGNYDGFDLAALAV LSHGPTAYLISTYYGIRASTAGAYLAVGVVSTFVPFLLLRQLSGAHSAAPGIPNRDIV VDRQIQVLTSLLSALVYSVTLFLACRTFLPTNFVLYFEGIRTIEPAQDAQLFSFNRPL TQILCLLFGVAARTLIFTPSVTASPTIRDQEVAEFDPATANLGQTIYWNLWGYTTPTK VSVKRTAVAMLFAGVDTYLQATTVLSGVDSYGAAVYASVWVLAAAITGLALRYVGSV NEUTE1DRAFT_81640 MASFKEMQQTSRPLSHRPSVSSNPIPALSVARQPHSRTNSHSLL SGSLNGTHRVTRRKSMTNTGANVAALAAALQQESGDKAMPLPISINARRNTISKNGLS RSAVVGSLPSPPASLPTHKFVTSDGTVNMQDSAIDDEVHMSGDEGDNSNNSSSGASKS RNRRASDGQPAPKESRRINRPELRCEKCGKGYKHSSCLTKHLWEHTPEWSYTSKLLIS KHQQVQLLEAASVLVAMNNKPTTGTTPPDSTHDFNSDQDSASPAASGYSDQPERSSAD TTPPPQLDTLSNMTGFPMSFTKRQSTGSGFAQSYQSAAFGSSVAGSVPVGSPFGGHFR QLSLMSQDHRPTSSGRNATGKEDRDLAAAVEGLSCSFNSNAGPRSFHLGADAPLVPPL PNQYLGQSFGLITGSFINSFPSRAPESFTRGELRQSCQSERGDVKMDEDSVMDYDDDE DMRSRARSDEDDDGVFGRMEE NEUTE1DRAFT_129630 MSSEVFRRVGRGGAGNWYSKKDIEDAEKAAADLEAQKKSSSGST SPTTLERSITAQTSLSSSRAGGRGGAGNFYDASATDAAAAQQQEEEKEAELEELTRVV TASIQRPNLSGRLSGRGGAGNYNDNAARSAQHRLDPEQQRKMIEDLNARVLQDVEAGL AMPRPAYHAKFELKHERLVSAEP NEUTE1DRAFT_81645 MSSAVAIGAGVAVAAFLGRAGLVAWRRSRGGVGALGKAFYKGGF EPRMNKKEASLILSLNERTITKDKIRKAHRTLMLLNHPDRGGSPYLATKVNEAKEFLE KSV NEUTE1DRAFT_81648 MEDEQFMQQLRNLTCQSVDWSGFDPSKWRDEDINDWDISDDAQG DEDDNYASDASILSARHLDPFNVKPTTRPHHTGRTSLRVEDVTDEQEEYRDASDLENI RWPEVSVEQGEIDPITELFTPWRMVLEYPNLFVGKRNGARARPLFTLESLHENRMWDL FYLYRPSNEGNKNPLIFVPTYQMQHLLDVINRKLDVEFTFPRGHQDMFAMPFGQSNTA KPRFLGRSRSAEEWKQLTNNVPARKPGDTSENAPFLAKQELTRRLNSIFSIQDKSKKT KNNQYKRCNLHRAWGKNIKRVQRYLGLRRRVLSDPEVPSFTPLDLTQPTGIQPEKSVV FVAIDLEAYELDQSIITEVGLAILDTAEITNVAPGEGSKNWFDFIKARHIRVKEFSWA QNSRHVQGRAEYFDFGESEFIEVAKIASVLKETIEGESSTGGEGAKRPVVLVFHDQSQ DLKYIRMLGYDVASADNILEVVDTREMYQYLSRSNNASKLSNVCGFLDISWKNMHNAG NDAVYTLQAMMGLAIDMRQKSLERAAAKASKANTSNDGYVTYSEFTATKEDVDEGWIS TGELSDGGEPSLVMAASPVPNSVVKTTVDENWEL NEUTE1DRAFT_129633 MADKEATVYVIDLGESMADCHNGRNESNLDFGMRYIWDKISTTV AASRKTWNVGVVGLNTDETNNNENREEYQGYENISVLKELGPMTMTSLRVLKSKIEPS STSSADAISAIVVALRMIQTFTKKLKYKRKIIVVTNGESPIDDDQSEEVANMLNDVGI ELIVLGVDFDDAEYGFKEEDKPRHKEQNEKILKTLVDHCESGAFGTMAQAVEELATPR IKSVRPFKAYDGPLTLGDPQKYPSALSIQVERYFKTKRATPPSASNVANPNGPPQTQV WDEDHGVPFSGVGFQPVKQLRTYRIEDSKAAGGKKDVDMEDLAKAYQYGRTVVPFGKS EEDYLKYETTKSFTIIGFVPMSSYEPFLNMGETGLIVAQKVNEEAELGLSALIHALHE LESYAVARYVNKDKAPPQILLLKPNPAIEDDIECLYDIPLPFAEDVRSYQFPPLDKVL TITGNVLTEHRLLPNNDLQQAMSDYVDAMDLTEYGQDDDGHPAEYAPVDDLYNPVIHH MNQAIRNRAVNPDAPLPPVAEILTRFTHPPEPLIAKAKTEIDGLIKAAEVKKVPPKVQ GKRGRKDTVKPLSGLDIDALLGETRPRTKKTPIISTENAIPEFKQILETAEDDETIET AAKQMGNIICKLVSDSFADVLYPRAAENLRVMREELINMEVPTLYNKYITKLKESLLS GNLNGDRREMWFRWIVGGRLGLITQDESEVSEVSEKEAKAFLK NEUTE1DRAFT_129634 MSFSSLVSPGPYHIISYGTLLGTTFFHSFVGGIIAFQVLPRPQF SALMTKIFPVYFSIQTAIPVVLALTYPAATSAFGSSGAAGIAGVLDPDNRWSVLAPIA TIFFTGLANLAVIGPATTKCMKERRHQETKDGKKSYDAPPHSQEMTALNKRFSQLHGI SSLLNLGNFIATVVYGFTLASRLD NEUTE1DRAFT_109965 MASFSPEDPFFNLFFTPAPTSSARNARNGGSSVTRSRSPSPFPP LPPTSTATPSLVRALMYSTSIPMSIMPSSKETLISKSPILSALRSPVGTASGHHRSSI SSTTSSVVTTSTTSDAAVVEVRVTTKPAEEATIEELLARPPPKHSLSYYVKNARDRRM PVVDPEEERMRFEKAKAELLAAKAAFDLKI NEUTE1DRAFT_146303 MTLPYAMALPKLGALQDCSDFSKTVTPFLPQLYALPRQILDTIF NGGSFLKLYMETNPFISGLGISIFLGGVFLVAAEVNRNYSQVDRFWSILPTIYIAHFA TWARLAGIPSRRIDAALLFSTIWSIRLTYNYWRKGGYNVGSQDYRWEIVRASMPKLAF HILNWTFISFIQSVLLYMLASPVYVLLLATQFEPELSTADIGFVVMELGLILTEFIAD HQQWVFQSAKNEYKTSGQIPAGHKQADLDRGFITSGLWAYSRHPNFAAEQSIWLTLYQ WGCFATNTLYNWTIVSPIMLMSVFQGSTWLTERITAGKYPEYSAYQKQVGTFVPKTLK GYKTPVPKFIRTSDLAKKLQEKENKKQK NEUTE1DRAFT_81659 MPRILCVAEKPSIAKAVATHLSGGSYQTHDTRDRYTKNYVFTCD FGPPWGRSTVTMTAVRGHLTQLDFHQEFKKWEYPPPGRLFDAPVQTIYPHDMKTIAQN IENQARQADILYIWTDCDREGEHIGSEIREAARKGKRNIQVYRARFSNIERAHILSAA RRPVALDDKQAEAVAARIELDLRIGSAFTRFLTLSLRPLGGAMADLLLSYGSCQFPTL GFVVDRYFRVRNFVPEPFWSIKVMHEKEGVKVNFNWSRNRLFDRLSVLLLYERCLAAK IAKVTEVKEKQTKKWKPLPLTTVELQKMATRFLRMTGQEAMTVAEKLYNKGFISYPRT ETDRFDKGMNLRALVQKQTQDQRWGPFAQNLVDGAFQQPRQGRNDDKAHPPIHPITYA APTVLDDQEKRLYEFVVRRFLACCSEDARGAATDVEITYGEEKFVAHGLIVHQRNYLD VYPYENWTGTAELPKFTVGETFEPTEAMMTEGKTTAPSYLTEADLIALMDANGIGTDA TMAQHIEKIKERQYVQVVERGGNGGGGNDEDDGAAGGARGGRGGRGGRGRGGGRGGRG GGGVGGGVKEFIPTSLGVALIEGFERMNFETSLGKPFLRKEMELKMKAICEGRLTRDA LLRESIASYRQVYDQSREEVNLLKAACRQYVFGQNGGA NEUTE1DRAFT_122138 MGYVRLMDYTAHEPSRQSPAKLPPSAPACIRTIVCEWVILSVSR TWEVPTPGNRDSGTPPNTGLTGTRSKGLTCPRCSATWLGVTLLGTVATLGNMRRKQGD SGRTATHLAPCIVSRTSEGHIRRSDTMNSKREITLDKTLVLIGWMFENGDGLSVTSPL SKRGEHGLSLESHKA NEUTE1DRAFT_109968 MVRWCPACLVRVRVEIVPVVSGARQAASCSPRVISCHREIIAIT TALPFPCLHTFDPTKSTLARTRVTLPAAHLLRTSGHIVISTGVPWLYLDLHRTVCTWS MALVGITTDNKKTATAVVRHSSSSSSFHHHHHHHKQQHHHTSSPTRRRVSALLPPYRA NTHWRRLADTSRSTPTSTKVNKAIMASAVRDITTTSLGRDNLTAVAARSVPVTATHPI PLPTPPNSMSPTLPPHGLKAQLQRARMDQHVDSDLDLHGDHDTDHNGSAGSPPYESAG INPAMLAKFHLPEILLNHGPLAIRHIMGYLTSSIPGFAEIPPTKARRLVVGALEGRGS GGEGGGLDGDVQFEKVGWGIWQARRRGQPSGYMAARRASPHGDSQPASIPITRNGGWN LDRLRIAAAGSLDGESCAAFSFDDRSMHMMDHEADRMSLDGSASASCSEAPDDDDDIA MDDDTEDATDDEDWAAVGAAALRATSYQAPSDRFLNANSVYATGGLRSFSSSVGMARS PQFRTFNFSTTTPALTTPSDAQEREAVEALLRLGSV NEUTE1DRAFT_116938 METEENLCMGRGRPERAGCDDSDGRRTQWGRRRHPDEMGDGSTC PGPQPAGS NEUTE1DRAFT_129637 MSSPTPSLKPSALDRTQSLRKPMSTAGLMKDTTITAAVGTSAGS NIARGAGVRASKQRPVSMTQTPSTIKGALAAPGMVSRTVATSNIGTATSGSGIKRTAS VTGTRSGTTSSNIKPPSSGGLNRLASARLKQEGRKVENAGERTGAEVGTGISSGSGNR VVSGAAMALQPSRTSTTATKSTTLSTTSLRQPLCNRAPTISATDVTARTTPLSPPTTT PPSLSGSFGMTRPTTSSSAGSLATITSPRVTGASGSSFKIDTGIGHRGRGHARTQSAT ISSPKPTTPKPVPRSTAVSGISATAFSKTAVGKDIGVSGVGSGSDPPKNASKGVPPPS TPGKSRPQSLVSANALRRSPATAKPEIATTGGTPKAVTGTGPRSSPAHKRQNSASSVS TTSTAATTGTKKRTAASTTTTQTRRLAASRRPLSLQAPEAAIANTVKLAPKILSSTRP PSTATTTTTVTKSPPLRQPTTAPSAATIRSVPKLKPKPHIPELLASSTSSHAAQQQQQ RQPRHNFDTYQQHYTPAQIPLLPKPLTSTFLAPPTPSKQPANVALSAEISRLQTELLQ SHLLHREAKRVKEEWEEDARGRLQGMWERVRAEDRTVGEMEGKEGEEGVVEGLLAMAG FGGTLDETKLQALDEVISGVWAMSDLSSPSSSSGVTIGKYTRVVREFEVWAGRAGRIL EERRRSAADNEDGRDGVLVLDAKGEVEMIGGMDLEWKNECVALGRRLEKWRRMLGELG GVSSKANYGSSFRSSRPIKGVETIEREADKGEEGEDDESPLVRTLSRFGSLMDNMLAE LQIMAQIEREAVAEEIEWVRRLNRVDDEKEGEEIVGKRATAIWRVI NEUTE1DRAFT_122141 MEAAANFLRRKRSAGGEKGRSLFSKAHPTPSSSAGPNRLDLDLP DLPKLELSELLRFGGAQSKAPDQSSLSPEATTGGATTRSPAPPKSAPPTTTTTTTPIA ATPTSATSALSPLDKKLPVAPIQTRTGISGPPTGPPTAPPPPPPQQAKPKLLPAPTSA VEAPSWERTAGSQHPGADRQKAATMITTVNAASTRPTPTAASNAKPWNAPLPPLPPMS IPRRPVRPGISSPTSRSLVNSPTSVAVSPDSPTLKPIAASRPSSPPHPAPVPASVPAP ASASGSANLKSAVSPDPSLPESPAGSVSSILSAYSDGSPGSTPRSSTNSTHSFPDSKE PNLSTTSSRLVGQVPYSNSHEQNREADRSRNFAQSERELPPAPPAPPVKEKDAHLNRQ RPEAPPKIVRPETEQASTGAQIAPISINGSNTSPQHGELWRRRSVKSEKNLVVADLKL DSSNGSTAESGQIQSYAASRTADAQPTVTPPLSPGHVDASPPAANNNYRAPAHRTTAN ALPGRNIRPSASRQQVIPQVQDTMGQAKSHLRKKSRDDTPRAGTPAEKAVSQPPFETS NGLPAATTAPSVAHHPAPDYGQYEVKQPHWEPNAPSVQQVPSTDVQGGNRPAIQRKAV GGYGSQMRSVNNSNLAPSEENNDAAGLSVRSPVGLPASPAANRGRPVERPPAPNAAPF PTRTTSKTDNGYRPAYPTPQIQVQVEYHQYSNGTIDHGSVSESGSAVSVETLRPPKQR YVDNEIAVISDEEEPEEQSWPERTDNPGVAFFLKKKKNWCTPLPAAGVLDAPPLTDKH YRCLTSHRYMTPSRQRYNRIACRTCGERQHVDCYICSACALNICLPCTKGLKVFGGNL KQLLRHVEESRQFNEEDENGQVTPGVQYADQNGFSCGPPTENGVLCPVETQ NEUTE1DRAFT_129639 MRSLQILAGIAGLASMLSPIQASTVIDPSTAAKAAIDAMNNAFY NPSEGRWSPDVAWWISGTALQAVLDYMHVTGSRDYLSQAHEIIEKQKAPLPWWPQGGG NFRADSTDDTGWWALAMLRMFDLTGDKRYLTIAMQDEQYIWNYWTDTECGGGIYVDIK AMTYKNAIANALYIKLAAALHLRTGDARYLTRALKAHQWFVESGMINAEELVNDGLTQ DKANGVCFNNEGPTWSYLQGVVIGGLVELFRTTLNETYIRSAATLANAVISSPQLTPP STGVLTDYACEGTAAGCNYDQQSFKGIFARNLGELDVLIVGRPYRTYLEQNANSAYQK ARNADDTYGTSWSGPFDGSSLAKQQSAASLWVALL NEUTE1DRAFT_116939 MMKNVCYDTGYDPWTDQGCPAIILKSPEVIRRHQLVKRKAAMSH SNDFYSMLPFFPSLTSP NEUTE1DRAFT_146309 MGKQVNLYSFPSVDTLATALRSYLISCQEAGLERHGVFKVGVSG GSLPKTLAQALLAPAKDESDKVKWESWEIFFADERAVPLNHEDSNYALLKKELLDKLP QGTPQPTVHTIDESVLDDTQELADRYEQTLVRSFASRDSVKLPIFDLLLLGCGPDGHT CSLFPGHELLRETEAWVSPIEDSPKPPPRRITLTLPVVTHAVRVAFVATGSGKKEIMK DIFEEGKGLPCALVNEATGERCSWFVDNAAVEGVSYPKRPFSL NEUTE1DRAFT_62995 MAQPKYLTGDVAAINEFIDKFDVFLFDCDGVLWSGDHVFEGVVE TLELLRSRGKKTVFVTNNSTKSRPEYKKKFEGLGIPADEDEIFGSAYSSAIYISRILK LAPPKNKVFVVGEGGIEQELTTENIPFIGGTDPSFRRDVTVEDFNGLADGSLLDPEVG CVLVGLDWHINYLKLSHAYQYLRRGAVFLATNVDSTFPMNNNFFPGAGSISVPLVHMT GQEPVALGKPSQAMMDAIEGKFHLDRARTCMVGDRLNTDIKFGIEGRLGGTLAVLTGV NKKEDWEAADAVAVPSFYVDKLSDLRAAAQ NEUTE1DRAFT_129642 MESSSPSATSVPDEREVQRAALVDRRQRLTDALALAPYDLILYL ERAVVYSDLAYPDLAAGDAYRALLLTDEVLNEGFEYHEQAKGSLETHSTEPYPKVLDH GDLLANIKNTADGEDGDGSEPYRQLATTASVRCFQILSLSLLLCGCLASASDFVEKGL KILPGNKELLEIKGYIEQVARRRLRLKPDDPIDFHDLPDTGAVRREIYPWNTYEPDRF SEESLSFLNGQLETMAPKCAVKVSCLPVLLEGESNTDEYEIIPTCNQLGLFAKEDIAP GEAVLKEYSLLTANNRHKESTCDACGTELPPLKMQTEAVNCPECYDTVFCDEFCFSQA NEQYHPAVCDKDVDSIAKDPEAKDVAESLYLLLLARLLAMSNHQEVHPLALKEIKYIW GDFVPTQLNDIDLSVNAGPPPEWTLPFSFKYNIEIPLHVLEKMDIDIFETLPQHDLWV FNTAYSKFRGTASARKGLRDGRPEVAAVHPFWCLANHDCDPNVTWEWGGRMTLFARET RVVGNRPGGIKAGEEILNHYCDVDLPVKDRREWAQGALGGWCMCKRCRDEAAAANGSD NEUTE1DRAFT_63000 MKPEASSNSSNSASNGTTPAPSTTPTTASNSSAPAAAQDESLVC RWAECNERFTSAEVLYEHICEKHVGRKSTNNLNLTCQWNSCRTTTVKRDHITSHVRVH VPLKPHKCDFCGKCFKRPQDLKKHVKTHADDSVLVGRSPQDQNGGMNGAYRAQAPVHK APSGFYDHNGHMRGTNQVPFGQPHQNGQASYYHAQYPASQPYHAPMYYPAQTMGGQRN DFTGHQAAPFDARKRQFDDLNDFFGSVKRRQINPTSYESVGRALMPLHAPLGLHSGGL ATEYMAQPPHTLGMASAHHPLTQHYYLPPMPNLRTKEDLQQMDHFLEQMQATVYENTA VDMRHHSPTYATRPSIDPYHGASLASPLSATSPHSAGTPAVTPTPSNMSYTSGHSPST SSTSLSPTSRHSSTPSVSYPTLPSRPGLPYPSTSGLGSNFTHNERRLSGGVLQSARRA ADEADRAPTPKASEQATVSSPSEDSETGDVNGPETYDDWLQHMRIIEYLRQGIRARLE RQEFDEDDTSRIDPMVLESSDRNQQQRNQQQQQQQQQKSPNEPTAAGPSAPEKPLYPV LPRIN NEUTE1DRAFT_109976 MHDGRQVHGICDIDNCPVFNVETIHLWQALTFHRRPSFQHNQSV NTKGTSAVDRAGDQDHAKRCHPPRLLFRTAPEHPTYFQRLELISTAPGLSSDSTPETV DTCSPRLQSQSRACQAWDKELEPPSTLKKKNAQLMRDDQVSIHSLRTYTNLSYLEISV KTGFTFRQVQKTYVGPLTLQKRKPRKSLLQIPQKNALRK NEUTE1DRAFT_122147 MDRPEPGLIRWSPNAGRDLFLHINLQHHVVQLHEPTGFARKGKF ESRTLGKYDELPPLTTFDWSPSVPGLVAVGTSTGVVNILRVDDNSNAVLDLNLKMSRT CQAVAFSTAGKLAVALDRVRSDNCLYIWDVNRLSTMDTNAHGFSSVVPFTDPIDRLEP NQNYFASSALDQPGVMVWDRRATHRQVARPSYNEAVKDDDLPWGGALRLEKAVRVLTQ DPAQDTNTSYIRSIRFCRDKPGMLGVLSRAGQLRILDTRHEYVEPSDQFENSPELLEV ARSYEMDPFYLDNTRHKHEKIVSFDWITMPSPIAQARMLVLRKNGNFDVLEKPSFTSE YPFKLIPWQAPHRGLEGKEPPEDSSYPWLPGEQKTHNVLERISYHQTMDFEIPQTQEI LGPFLTEKALANKQLFGPDKANLTAVVEDAMQSDVYTDLIGPDGFNRISDLKFPEGYS TTAPIAEKLAALRMTVGGRSPNGLNTRGEPLGQLERHENLLMKLMDRSNFPREAQVIL DHTMIFRAKEGYLFNYRRNQQIVADDPWLQDMWAWITGSKPQARLSDHSHAPDQAGWE RCLNAINKKLGLPKFDGVDTKRPHHREMCLEMCKWGRNYDADLIDGQSTSSLSKDASV WHTMAAAQALFRGDTRGAVQVLKQASTDHPELLFVSLALQLVGNVTQEGDRMNKNNAV KEALDFDERVASKTDPYLRAISSIIATGDWLTIANQRSLPLRDRVYVAVRYLPDDALT VWLRAETEAAMEAGNIEGIVLTGITDPLVDILARYVSKFGDFQTATLALSICSPRFVD DVRAAAFRTAYRAYLQRHHAFFLRAKFDVESTKRSKHQGRPTLRPPGRQIALRCVYCD ASTSLHTHNNSSSNSSNTNGHLPGNASPSIPSFMVQHAAAQQAQAQAQATAAAAAAAQ QQPISGTSGSGGGGVTTPNIPIPPPPPPPDALQLPPAAAAAQSSKNPFTEKMVQAGIS CPNCKRHLPRCVVCLEIVGMPRSDLSGSGGTEGTGTMGRGGPGGSGTAAGGGYGGGAG IQAGGTMGSLHHGGDDHHQMSAVDMTNKMAARFPTFCLQCEHVLHLDHAREWFARHQE CPVPECRSLSIWADTFFTLPSFFTYHPYPSRSPTLLS NEUTE1DRAFT_146314 MGKRSYEEAEGSNADGQEQNQPQKRNRNPHNRFNPKNIAKRQKT TQKINDGNLSQIKKRVRAIERLLEHKNEKLPANVRNDLERELQAHKQRIADESDRKLR SKMISKYHMVRFFERKKAMRLAKQLMKQLDETKDEAEIARLKADLHIAEVDLDYALYH PHMETYISLYPKPKDEAAEKDEKSSAAHHLHSSRPPMWTTIEKARQEGKSALEKIRDR RPERDFSKPTSKKTANKSSSQSEERPAKGKYGKTEEESASESDDGGFFEED NEUTE1DRAFT_42519 MIIKTTLPPSTITTTSTLTVTTTSITTPTPSASCTPGTCGTYTF TPCPSAPLGDCLCGLDPDGQAFCSLDDWCQNEIGCDTNAECLTPASGQTGEGFRCLVG SCCDNEVADDGQGGGGVVKRKGKCVKERGAGCLNEVVEAGLRLRGLGVYGMSRMGRLS RMADKVGGVRGLSNGGGRW NEUTE1DRAFT_122149 MVSAALNGAAANGRGVSPASSVGGSNSFPKSKRFTDIPSTIDIP VQDQDDEAVEIDLEVLADDPTELCTLFEMEGAARTYWMTVSLAYAKQKKIDFAIEMLI KGANAMQANNPREKLSIVSALCWMYLWKSREAPRVAPEGALVSEAKTKEYYLQLATQS LNEASRINPAFPPLFLARGVLQLLRASLQPPSKAPGAVDPEKTETLRAALKSFDDALR VSSGKNMLAVIGKARALFSLGRYADALVAYQDALARAPDLVDPDPRIGIGCCFWQLGY KDDARIAWERALEINAESKVGNILLGLYYLDASGHVPINSPEFIKLYKKAMTEYTQKS YKLDKDLPLTCATFASYFLSRKQFDHVEALAHKAIQFTDVNAIASDGWYLLARKEHYA NNLERAADYYRRADDARGGTERGYLPAKFGAAQLSVIKNDLGEAKLRLEKMIQQSKNY EAMILLGNLYAEEVFANQFAPVKEDKSAEAKKAIGLLESVRTAWKDPKKSLAPDAAVL LNLARLYETENPDKALQCLQQVEQLELDQVPQSERPDEVEGEAAIKAALRRFLPPQLL NNIGCFYYQEEKHELASELFEAALSSCMRIGETDDDTDTDALVTTISFNLGRSYEARG MSEKAVEVYEGLLKRHDDYTDARIRLAYIKLRNNPGTKEGPDAVAKLYQENSSDLEVR ALYGWFLGKLSSRKRPNNIAEDPEQRHYKHTLQNYDKHDRYALVGMGNLHLISAREMR RESEADRQKRSAAYSRAVEFFDKALQLDPKNAYAAQGIAIALVEDKKDYKGALQIFIK VRETIKDVHVFVNLGHIYAELKQFTKAIESYEIALGKEGKAKDANILSCLGRTWLNKG RAERNLDAYKTALEYAQKTLEVAPEQVHFKFNVAFVQIQLASFINGLPEHQRTSTQLE EAASGLESAITALDEIAASDHPPYPKHDIEQRANMARNTQRKQLERALASQRDYESKN KEKLQLALEQRQVALKKKEEEIRRKEEEERERQEKIKREREEIAARDRKLAEQRAEED RQRREAEMTTDSETGEKIKRQKIKKASSTPAKRERGGEERERKGRAQRKKKTSRRDRD NDDSDGSGSDAEEGGRKSRPPKKKQRLTSRKTEPTGKYKSAEIVVDSDESDEPGMEMD ALERAERALEKKQKRSHSPRSGGEYDDDEDEQSRRGRSRSEESVDRMEIDDSKADVGG DDEEEEATVSTRRQNKRSRRGRILDDDDDEDEDESGAAAEADAEEGGDKDEVKEAAGS PAASPAKAAANDDEE NEUTE1DRAFT_100683 MPAVGVRGQIRKCRTIKPYETQHRLKYERPRGHQASATLRKHST TTIRCLSCTEREAVKDPKRSVSDDREPLVSLVYGRKWIIPASFVAETSMRARDKDNFR WRSVPPEDRMGDSSAAVSCHAVMYVSGVVTPQEDVWLSKGVSWPGPLADGLLWTAPSC CVRVLQWSGKISRKQIENLRSVVEIEREGKKHDACLA NEUTE1DRAFT_146316 MATSFYHRPPHHQPQTMHGLNVSSSQSDPRSLPSPGSALPPIRS IIPDFDKLDMDYGRRQSQAHKDTIDGGNSQGYTTDRLPTTGSSPSINVFPQHSHGQQD PGARTYLDGPLPRLPPSDAFAQHNGYHQNSEPRRGYPQYGQHQSIYRQDSYPPHGYPQ DSYYPPLEHRHGPFPQPGSQQNFYEQRARSERLHYDHPWGGHSDSAVSPRGGDGGKNK RRGNLPKEVTEKLYAWLYGHLNHPYPTEDEKQKMMRETNMQMNQISNWFINARRRKVP LLIEQAKAETEAAKHYRPNSSPIARRTRPVSVSHTRHYSRSGPGRGVPSRRSVSVEPS INHLLNCPESDADVRPPQYATPMSDRGVLDYSSRESSESYEMDSRYQSYGHGHGHGHH ASI NEUTE1DRAFT_100685 MSFVVDGRHGQGPNTGRLGITQPLLSALLEVEDMWYGIVTGPYC IAGVSQYK NEUTE1DRAFT_81692 MSYSDSAYGSASESCNPATHDVSRVSYHVRHLETFAQALEELED LEKCFREDYRYGTDIFAIPTENSHLELMLKIGDMIKQHEDKKTLFIVYYGGHARIDDS RQSTWCATRNPNSPWLQWSAIQTLLERSPSDVLILLDCCAGAASATFSSGQSITETIS ASTWDAIAPNPGRYSFTNALIEVLQEWRHRTFSAAMLHAEILARLKHPRPVLINGKHF ESRSTPVHFMMTSNHKAPSIEIGRLVPDSRRSLSPYQQQHVVTSPRQDDWPLSPRSTY PYLPGMSAPMIGEPNEDEPHVMLSLALEGDQRLDFSAWEQWLASFPAIAKSNNLCREL GTTKSPHENDVTARNEEDEHQAMDADTESLMSEMATNYPGDTHRTDVQAASTPVWPPE QVSDPTDQARDLTREAIAHLEDCFRKDPQPSETIIDVLAFTLDVHPNKIKVWFDQRRQ HEQTTLNLQGLHYRSSADQTPATKEGSARMILPGHLNTILTIYPHRGAVLLLDLRSST DFEKSHISSAINLRCPVSFIQHASLEMIEDTFTDVSSRHSFNSWYQSRCVVLYDRRIE FDWECPIANALLTKFRGNGWKGQCFILKGHYREFADSFDKWITGKGKNTAEFSSGKLL ESETSYYNDATEEEEQRQRRDREYERWLKEFDESGEGLGGRRITELGPAKREERARAV DQRQIELERELEARFPALWRKVVAIRGQCEGRAGGEGESLYSRHGGGPPTTIQSDEIG EGSVSPPQSPPPPPFSPTADMSVQASSGGQFPMYDKAQLVEPLVSGLQKMREASGLSL GLMSQDPAFAMNSSSHSQFAGDRGLGSTSHAYAPEKAGSYDQGGLTDDYNNYSDGVVD PVSESWQGFGGGMEMASGTVSPIPPEWQDDSVHVPTTHYEVSMNAALTAYVTHSGYFT RACLGLYHAKFHSTYGHCKFPR NEUTE1DRAFT_63018 MRERITFIQKQGDSIEPTTLKIKGGVLNGPEIQAAREDRLTIAI DELPKGLQALLGTAHELHIRYVSSEPYEAITPLLARLPPGFHLFYTPAGQADATSPAL CLALNQIFGNVQCENPEKSFTTLPRDRFSHSAAYQFYQPSVDLSPFIALAKEKLCSSS KDQSCAARADRLTNASSLDISYDAISHAVKLTATWPYQKQEVHATSRPQTRTEVGVLN SDRPGHLEPHELGISGLLTVLGQDKKPSATMFAFASRHRDAESSFSAEFLEPTGLHPT LKLRLESSKPPIEDAYCSPHAYFTLPKTIFADRHQLSDDLFLASKNLTGLRYISQPVD LEAPEYVEKRWGSSLLLELSPPEHEESKSWTAQVPLHLRYLSPAEGGYTDIQVPYPAV FWACAAEEGTKFPNNPFEKANLGYDGLFGPRTVFWHVEPRPTIGSRLSNMMKVPVLDT NKAEWVSGGTGLAVLLGFAWIMWKLFGVLSKSGYQNQPSQAAEVVKAKKNQ NEUTE1DRAFT_81698 MSSSPDRRPIFVATHPRACSTAFERVFMTRPDALSCVHEPFGDA FYYGPERMSTRYDHDEAAREKSGYAGVRYDDVMGRILKEMLENDKTRVFIKDMAYYIV PPSGDRPLGPARSLDRHPNPVADSNPTIIPTSLLMLFHWTFLIRHPRKAIPSYYRCTI PPLNKKTGFDEFMPSEAGYVELRRLFEFLHANGIIAEESKYDSEETRKSRGTMFGQMN EDSVSAWSGPGRDERLEQGPALSRQLENIPGPVKITVVDADDLLDDPEGTLRAYCEAI GVDFDPKMLKWDDDESQRTAKEAFEKWNGFHDDAIGSTELTPRSHKAKTSTREEEDKE WKEKYGEEGQKIIRETVDKNVAEYEYLKKFALKPKKME NEUTE1DRAFT_116941 MNSVTTSTIGRLAFGVSRLSAVTNVAGAVRHASTHPTTAIPKPT TAVLKTSPLAEETAVELKSPSRLQLKSEPGNKGNPKGHGDRIWAFHHIEQGQVIYSTK PVISHQHLIRQQPFTGKNLVPRKIRKDYWRPLAMIELAGKDQGAVGRSVYQKLREFKK RHELDWANEAEEGRKLMHKSKRERGQELNDQKPNSVADMAAVLAGAGKGNLMWQVSRV GSSSELVGEIKKASGAVEKGAVVDVKRQLRRATVYWTNEQDKFHAREWSDNVSHEVGI PGEAEKKRMPIRMSSE NEUTE1DRAFT_81704 MTSKMEFTDRAKKALEDAMALAEQYAHSQLLPVHLAVALLDPLP DPSKDQQNAPAGATSSLFRQVIERAHGDPQLFDRALKKALVRLPSQDPPPDHVSMAPS FHTVLRKANELQKTQKDTYIAVDHLITALAEEPSIMNALKEANIPKPKLVTDAIQAIR GTKRVDSRNADTEEEHENLAKFTIDMTAMAREGKIDPVIGREEEIRRVIRILSRRTKN NPVLIGEPGVGKTTVVEGLAQRIVNADVPDNLANCKLLSLDVGALVAGSKYRGEFEER MKGVLKEIAESKEMIILFIDEIHLLMGAGASGEGGMDAANLLKPMLARGQLHCIGATT LAEYRKYIEKDAAFERRFQQVIVKEPSVSETISILRGLKEKYEVHHGVTISDAAIVAA ANLAARYLTSRRLPDSAIDLIDEAAAAVRVARESQPEIIDSLERKLRQLKIEIHALSR EKDEASKARLEQAKKDAENVEEELRPLREKYEQEKQRAKALQEARMKLESLRQKAEEA SRMGDHSRAADLQYYAIPEQEAVIKRLEKEKAAADAALNAAAAETGGAMITDVVGPDQ INEIVARWTGIPVTRLKTSEKEKLLHMEKHLSKIVVGQKEAVQSVSNAIRLQRSGLSN PNQPPSFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRFDMSEYQERHSLSRMIGAPPG YVGHDSGGQLTEALRRKPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGRVVDAR NCIVVMTSNLGAEYLSRPNAKDGKIDPTTRELVMNALRNYFLPEFLNRISSIVIFNRL TRREIRKIVELRIAEIQKRLQDNDRNVKIEVSEEAKDKLGALGYSPAYGARPLQRVLE KEVLNRLAVLILRGSIRDGEVARVVVQDGKITVLPNHPEVNDEDDEMMLDEEDAVDEV APESEMDEDLYDD NEUTE1DRAFT_63030 MRPLTETEQKTVFEKLANYCTDLKSLIAPLDDGDRYVFRLNHSR VYYVRLSVANLATSVSRDALLSLGTCLGKMTKTGKFRLHITALPILSEHARHKIWVKD NGAQPFLYGSNVVKAHVGRWSEDCPEHSGVVVYSMADIPLGFGVTARSTTEARRLDPT GIVCFRQSDCGEYLRDEDTLFAG NEUTE1DRAFT_146323 MEPETQHHHVSMKSPRSLGVVIKLGTSSIVDEKTHEPLLSNLTL IVETAVKLRKDGHKVIIVSSGAIGVGLQRMDVERRPKHISKLQALAAIGQCRLMSLWD SLFNHLRQPIAQILLTRSDISDRSRYLNAQNTIHELLDMGVIPIVNENDTLAVSEIKF GDNDTLSAITAAMVHADLLFLMTDVDCLYDKNPRTNPDAQPIEVVEDIGALVADVSQA GSSLGTGGMSTKIVAARLATSAGVTTVITRSSNPGNIHKIVRHIQGSRSPASLTTQVN HPSTASLASLNNNSDSVTGTSTPAVAVNPSSEQVPAVINRSQIPLHTRFLPSPSPVRD RYFWILHGLRPHGTLYIDQGAYKALLGKAGLLPVGVVDVEGFFAQQEAVRLCVVDKRR STPGPDGKMWEGEAVEVGRCLTNYASAEIARIKGHQSVEIGQLLGYADSEYVAQRESV SFYNAVSRPASPVGGHRA NEUTE1DRAFT_81713 MSPAPTTSAGPASSGIPPSSLPTSTVTENDTQPSSSSKANDLLP RYLTNDTSRTGYDPSIQWWMNYFKILTGQITPEGVEHYREDRYKANEARDCARCEADR DWLFQNSPVIRFLREKVANLNGVLDETNVVCRRCPSRIVVIPGTKDKGEEDRIEVARQ GGGFSPDHGILLCANEMRNRGHLEDTLAHEMVHAWDHLRWKVDWFGEKSLRHAACTEI RASMLSGECRWTRESIVRGNWTLTQQFQNCVRMRAIQSVMARPTCKDDVHATKVVNEV WDSCFADKRPFEEIYR NEUTE1DRAFT_129656 MAGQPMESRFGRMSIHDENNDPSDGARLQQKARELKSKAGPSQV QHTSAPGRPNLFKVALQSQSGNTVIAQRKNPPIISSEPPSPRKPQRTVPVATKSSTIV SSAAHKSTTYAGIRRVDDDDHDELSQPMASASVSSTGTSTSESSSSAGYDQSISPKQF HLGMFEIGRPLGKGKFGRVYLARERSSGFICALKVLYKSELQHGTGVEKQVRREIEIQ SNLRHPNILKLYGHFHDSKRIFLILEYAGKGELYKHLRKENRFPEWKAAQYVAQMASA LKYLHRKHVIHRDIKPENILVGIHGEIKISDFGWSVHAPNNRRQTLCGTLDYLPPEMI RSGSKDNWYNEKVDLWSLGVLTYEFLVGEAPFEDTPIMTQKRIARADMTIPEWVSKEA KDLIKKLLVLDPEKRLPLEEVENHPWILKHCVKGERAANREKMASKMGN NEUTE1DRAFT_63040 MTSVKINHISSLNEPYPSPSALEAATTTHVQGAFVIATRKLPIL KSGPIDAMSVRLGIPIPEMIFGDNLVSITHRPTGWSIEFNAEDALERVDKTGEKMLQV AYAGEWSSSREKTSAGISEVVKPFDWSYTTDYCGTERPGSTGGAEGKETKLHGDENTP DIPIELLKRRDPILFADEVVLYESELDDNGCSIVSVKLRVMEHRMLLLCRMFMRLDNV LVRVRDTRVYVDFDKEEVIREYTEREDKFENVKTKLFMQGLKLDQVTIALRDANQVAP LLPLIKQGVESVILGPGVATKTTTTTTTTRFPGQTQLTPQTQFVRPPPR NEUTE1DRAFT_81720 MPRPRKSRVVPSQPTANSSSATPPVVTKPTATDPSSDIYDVSDR EKERAKQRAAAVAAAQRHMNPDQAKELEDAKQRRDQAIDRLANMTSTTGTADTDRNPD AATSYSRREREESTRPSPRAPRRLTGDTSGLDLDDDMFDLDDSLEDPTITAQNAGGYR SANTSSFDVGLFQRHRPRQNSVSGRDDGPVRPSSRGPTTPSVMSTLNLGLFKRRAREP SILGTAQKGRRQRSLSVTSQVSRIPMTDEEDHMDVDREAAIEDEDSGPDGESTPLDMM KRRSGASAFTGAALGADVTIPASSGAMPVDDEEIMETGSPSRRSKKRKSLESHSGRKK RGRSVVHEQPVPEPEEEENEVIHQSIEMRDGSDSPMSSPLSTPALSPVPDHIPYSPPR QSRPPIDPNDPVNAPPASDSSEAESPVAWPSLNNLTHRSYNSRRAAPVYTERLQTPEL LPRLGGGPMGAVDADMSSELSSPPSLTHSPNYKAPNTAKASAVVAAAFKKATGAAKKR EEEKLATEALTSLLPARRNRAKPDKKNKKGRNKENPYDITSDEGSSSSSEDETAADGD ELSYIATAAARKKKEKALSAAKQKQLKEKDKQKMKPPLGTTTNGKAAAKSKPGSSEPK RTYGRAGSDKENADGADASGGGRDKEQDGEDDDDDQEPVSAMDLGEAEELPETTQMMT ERLGEELQKAAKKFKEVDKWELSFEEVTQPSSPIPDAR NEUTE1DRAFT_41301 MKVTQQNLTLLRSSPSSRRIANLTTKSPRHETPKVATSPLTPNM ATRIACRAAFRRNVVPVTLGLTTTFALAARQQPLKLDALSSSQQSRSLHSQKDNKEEW LNPETIKQLSSGSLSGFATGLLISVFSKTLVLLAGVSMVIVQVASRYGIDLVGMLHLR DKVNSSKILKALQHKMAFKLAFAITFALSAFMSF NEUTE1DRAFT_94847 MAALMSFRWLSVVAHKPSGQHEAGHWSFNVAKGARIVIDVQSWK TRTVSNIRYL NEUTE1DRAFT_122163 MTVNTTDRLAALRSLMKERNVDIYVVPSEDSHASEYIAECDARR AFISGFTGSAGTAVVTLDKAALATDGRYFNQASKQLDENWHLLKTGLQDVPTWQEWTA DESAGGKSVGIDPTLISPAVADKLDGDIKKHGGAGLKAINENLVDLVWGDSRPPRPSE PVFLLGAKYSGKGTAEKLTNLRKELEKKKAAAFVVSMLDEIAWLFNLRGNDITYNPVF FSYAIVTKDSATLYVDESKLNDEVKQYLAENGTGIKPYNDLFKDTEILANAAKSTSEP DKPTKYLVSNKASWALKLALGGEKHVDEVRSPIGDAKAIKNETELEGMRRCHIRDGAA LIKYFAWLEDQLINKKAKLDEVEAADQLEQFRSEQADFVGLSFDTISSTGPNGAIIHY KPERGACSVIDPNAIYLCDSGAQFYDGTTDVTRTLHFGQPTDAERKSYTLVLKGNIAL DTAVFPKGTSGFALDALARQFLWKYGLDYRHGTGHGVGSFLNVHEGPIGIGTRKAYID VPLAPGNVLSIEPGYYEDGNYGIRIENLAIVREVKTEHQFGDKPYLGFEHVTMVPYCR KLIDESLLTQEEKDWLNKSNEEIRKNMAGYFDGDQLTTEWLLRETSPF NEUTE1DRAFT_122164 MEPLPLPTSLAEVEQPNPPETVSRIQEILQRLQKSPEGWQLAQS LIGNPNDNIKFYAALTIIVKLNKDSANLNDDEAKELLQNILGWTIASCAQGVAPFVIK KLCVALATFFIHFSQLWPNCLRHFLHSLDIGRATPIEALDDALETPIIANNLEISKLK VAVWFATSLVEEVGKTDMNSIKYIHVHERLVRNAFDLASLLARGFLPSDDAYQTQGES LVCFQAWILYAQRLSSNTDVLVTHLRELVGPALNCFAIDDLFQPAAELFSDVLSNYSG FFTEAHYASLASLFDSEWAAEHYNRVIHGDHQLDGISFGLLMLAYGDAKVSDLMCSTD ERSQRFLARLAGLLAADGYLVGEDSIFVPALEFWATFVETMIDNIYSEDEAKVNVWRS HAEQHLKSVVSNCWRKAQWPPAETFAEWDSNERVGFTDARKDIADMLQSIFTLENLTL VSFFSGLFLQALSVQSWAEVEASAFCLGSLSDCITEDPQYDVELSKVFASPFFDLLGQ AQGPVPLRLRQTGLLLIERYCEYFERHSEYLPHALNLLFAAVGDPVLGGPSAKSISTL CSSCRCILTSEAPAFIMHYQTIRSRQVLDSLAEERIILAIASIIQAIPDSNQKLAVFE NLYSIIKADCERAVQLKQQPSILNLSDPNFLRGVEQPGDVPSADEVSQQLAVRALRSL QSMAKGMQDVKEHVVDIDADPFFASQDPKLSAIQSDILNVLVEMQKNFNTSGEIVEII CIIFRAGFSETEPGPFVFPPEVVTNFLSQQRFETPRLGTLLSTACSFVGSLYRGPKNM VPSLLAQLLPWVINMLSSLPEPESDTEVAQNGICFVDKIMTRYPEVVFQIQPSQMLEF FFMFSLKVLNGKEPLPKTASAEFWSNFITLKSDSPDLQGTINAAMEHLGPLISQTLIQ NIGGNAARSELDKLSDPLKKLVTSQVRSRQWLEQALFDPSFPGQQVGNDEKSTFLRKI IGVMKRARKGSTKSSIVCIKGGRSYFHTQPSLFNHSSSYLQSSFTQTEFTTSQSTPTA TPTKKAQRQVIFSGIQPTGIPHLGNYLGALQQWKRMQDGADPETTDLIFSVVDLHAIT VPQQRGNLKKWKREMLAALLAIGLDPKRCTIFYQSMVPGHSELQWILSSADILVHRAT HVPVGEDQRQHLEFARECVTNFNHAYKGKVLVAPETILSPTKRVMSLQLPTKKMSKSD PDPSSRILLTDTPSEIHAKILKARTDSLTPSLGITFDPIQRPGVSNLLQLLSHFDTQN RSAQEIAAQINAEVVGIHGGLGGEGEENPLRKLKERVSEVVQRELNPIREKYLKLLQE DGGKEGGHLDEVIREGARKANESAERTMSRVRRAVELGA NEUTE1DRAFT_129663 MIIRPVVRSLQGRAPLATLRSAQSVFQPLPQLRRNASVTTGPDA ASKASTTPIARITTTTEAPKDQKKAKAAAAAAAEPEEQEVIMSRMPRSLEALYLQPLR REAEYGVPSCDLQLRSYSIRNLEFFCDFALRAAYYLGLPAFGPVPLPRMIERWTVPKS HFIFKKSQENFERVTLRRLIQIKDGHPETVQLWLAFLQKHAYYGIGMKANVWEFSKLG VSKAMDESKSEVEKLLETRWEHLSHVSDMKGVGNFEDFLAKERLRISGGR NEUTE1DRAFT_81733 MAASVLGLSDAEIDKLLAEAESRLANSDSSNAVAAPQQDAAVAP AAQIPVVVAAPSAAPAEVVKPTLENKSKKLSVRVPQSKDKSKGPKNDAGADWFNMPRT NLTPELKRDLQILRMREVVAMGKQYFKKDTRKDFVPEFSQVGTIIAGATDGRDSRLTR KERKRTIVEEVLGAEVVDKYKNKYHGIQEKKQSGGKNFYKKLVNGRRKRK NEUTE1DRAFT_116947 MDPQNPSSAGHNQQQRQQPVYDTSQGGHYGASAALSQQGFAPSD LYTGTWANVHQGLQGNYKEILTAYWQQTINHLENDTHDYKLHQLPLARIKKVMKADPE VKMISAEAPILFAKGCDIFITELTMRAWIHAEENKRRTLQRSDIASALSKSDMFDFLI DIVPREEASTHAKRATGQSAAAAAQAAQNAAQQAQGMPGVAAPNHGGQHPMAAPDYTL GGHAIAPEADYRQQNMYSGQVQPGAPAPYGQPQPPMYDVDGMYYSTMPPQQNA NEUTE1DRAFT_63061 MPRKVQTWDDYDSAVDQIILTPSDSDFIDQLIPVLKDATASGRT ATLVQSLSQYAEEREGDIERIGLTQHEEFLGSVSQLQTIREETVALTAEILDLNQSIQ ASTEKLAEQKQALVDTRRVRQNITDVSDALRESLKIMHAVNNAHDLIRKKKYYGALKS LEDLQNEYLVPIIQNKYATQYRLADLIQKSIPASRKTISEAVMTDLNTWLYRIRETSQ FLGEVAFFGTRQRLDRQRERAEQNPYLGHFKLNSAIELVFDESDEFDVLDNEEVQVDF TPLHEALHIHEALGQIDKFRAEYAATRRQQKELLMPSSVNLSSDEEENSLRDLLEQIT GFAVIEKATIQRAPQLRSTVEVDELWDSMCQTAIRLISRSLTDVDNAELLLKIKGDIA LFIQTMESWNYSVSTLNNFQLTLFYKYAELLKRRFSDDFQEIVSTDDYMPMQINNAEE YEKVLKVSWYTEEKAPEELTFPCVLPFSQMYPLCCIDIRNFLNQFYFFSNDHFQHPNI VDETLRKSLDELLTEKVCRTLVERLNSQYLGQIVQILINLEHFEEACHQLEQELIRAR SSTSAGGPVSLKSTEEFRSNKKTAEKRIFELVNSKIDDLVDTSDYNWMTPSKPTEPSN YMQTLTRYLENIMGSTLLGLPREIKELIYFDALSHAANKILALPLSPDVKKINANAVA AMAMDVQHLSAFVANLENAPMLEQNLDELQQTIALMQSDNHDEFFDISTRNKKYGRVD AMNGPILLEKLTLGVESTTTSRAAAPLANFGSRFGLR NEUTE1DRAFT_42265 MAQARDMGFNPSSPHSSSGCADSYKHDGTPDTRLTSFSPDDNSA GSNKLLNRTLTLSTATSQDAHSHRFHSHTSSDGYSVAPASGSDKDPFVSTSSISKQDQ KLSPTASSFLPVSVSGPLPSYAQQDSPKAADKLSTDLNISRYLVFYSSQPIRIAGVDE YLRKLERLGSPVQGKHHAVQEGVRVLLRLTDIRDARKVHDNVQLGNPDWRATYIAPVD FFHFASPGASVDPVSEGQLLVLAFPGSGYAFPYAQSQVEAIVYNFLQDEGELFAFQRL TDSTQGLFKAIVEFSDVDVAVAVVSKFNGFTVNPDTPALGLASADAYNNPPRPQVQDI TNIFRNMSVARTPQSTRPLVGGGRVTQSPASISVPQQQIAMYPVVYQTPINSGNRYVL DQTPTRDHSVAPLTPLTPISGGLSVMSSSIYTPPATPMAMSSSIYTPPATPMAMQNDY TSPRSMQQFGRPDGRRQNAMRIMLRNIPNKVDQAMLKRIIDESSWGKYDFMYLLGYCP PLLMRTFADLLASDMRSSILSILSIPGAISAGTASRVIRLRRYHMPLYFTSNGPRPDL AGQEEPFPEPDNQSKMKRSCENAEHVGLFTPNAGQHFRDEQRRRRSQYDRGTRLAALE EYDYDTQTQPQAIYPAQ NEUTE1DRAFT_129667 MRTPPTLFLGLLGLHAVAAALPEPASVCESRTVNYITHTLPQQC LRTAWTTPTAVTSAIAADTTSSEVPSNETAAPAQAKETQQQHPDQPKPSAEHTQEQTK EEQEDEDLAASTFMSFEEWKEMMLRKSGDPANTKGGQKQPAQQRTGGEHDQNGPNSDT DSHRPGDDGENPLNFDALSEKVSELTSSPSGDPSTDYGSDKARTDDQVVHEDGKTQYY RSKDAGKTCKERFSYSSFDAGAIVKKTSPGAKNAKAILVENKDSYMLLECHAKSKFVI VQLSDDILVDTVVLANFEFFSSMIRQFKVSVSDRYPVKLDKWVELGTFEARNSRDIQA FSVEHPQIYTKYIRIEFLSHYGNEYYCPVSLLRVHGTRMLDTWKEPDDRHDDEQETIE APPVQEQLPQTPEPEQPSPQVGQPSVASEPAPSTVTELEEEAHQETEPVQAVELGFTP WEPVFYRDFSFEICDLRSRTTGQSTATSPEADNKQGRNSDTAKEQASTGSAVHETLVP KASSTASKPQEIAKAQPASSAASHTPVPPQVSGTITGSPSNKAPLSRSNTASNETAPS VSPAAKPSGSSNSTAGTTSRSDSKDYGNNASANAGTGGSPLNNSSQNNKNNQPRKPAS GAGHGGSPTSSAPPLPTIQESFFKTVHKRLTHLESNTSLSLQYIEQQSRFLQDVLSKL ERRQLTRVDTFLDTLNKTVLTELRNVRQQYDQIWQSTVIALETQREQTEREVVALSGR LNVLADEVVFQKRMAILQSVLLLSCLILVIFNRTGGGGGGGGVNGGGGIALNSNRGTG GRPGSRGGGGGGGGWFDSPIQAVQRRSMKPGSGWISNMSMSMGMSSPFPFSTTVSTSG VQQQVTAVATAEARSGSGEDADSVGTSTGVDIAAAQQRNQQQLHPNDNHNLGQRQHQH MLQTQQHSYAYPRNNDKALPLTPTSEYDSREGTPLVHTSPLRQTSTTIDEVLAAEDAD DDSQLYTQSSFGPESECVPDQEESSRSSSSEFESGGLTQERTLEIYQESTEPNRNGVT NVPVRSNSAEESSERIEEDNINLMPVDSIEYHQQQTLRPRARPSRTHLGSETVKPLPA VPETSKFIIT NEUTE1DRAFT_81745 MSSNEVKRRAVPKFTSFAPATPAQRSSTLRGSSRDREKKEDRER RHRRRDYDRDRHKDDSDSERERKRERERGRDRDRHHARQHDRHHSRHHDRDRHHRRRS ASPASQTKEAHHPLHKPSSTSQNTDLFYFDKSEDALIFRYGGNERSKIPSYRRFGAGK FIGSPGYLSVSFQGSKEVFTIRGPGEGRGNGSVFGDKTLMAWARAKGAKAKHIKPGVG GGNGESAAEDGDYISLEPPRKRQRADRSPAPEDGDKTPDYRSIYGKAKSEDEDSDGDE TLSDSGSENKVGSHLSDMSTAKKRSLELHRQVKNSPQDTKAWLELIGLQDTLFMEHQL GGQVIIGDQVKALAELKLCLYEEALPHALDISLKETLLNGMMREGEKVWDPKQLAKRW EETTKTNPDSFLLWVSRLNYELSQVATFTYDELKTFMVTKLQFLNRTLATASSEKNVV MLCSQLIYVFVRLTCYLRDSGYIELAVAAWQATLELNFCRPKNASDFQSVIEDFSDFW ESEVPRIGEGNAKGWRHFVEDVGAMTDPPEAIPREPSGPPQTRDPFEAWASLERREAA KARMPARTLDEGTDDDPFRIVMFSDIKDLVLWIPSQMLPQVQLQLVDAFLTFCRLPNT AKSSALEDPFIAPRGQAFESLLDQIGGHNVLNSVEIERKPPLFRQQGGNMALSQELLF SGQDWFQYLGQWSSLSIDADGDVRPPWVLETLHYLVLTCGIEQLAEYYLALAWLSEPL GVKKVAKGLLKRYSSNTRLYNAYAMIEFANGNIGIAEKVLLSATSQSSPDSQLLWNTW VWMHLNAGNMQLALLRLLSSVDITLDVEKGLPVSPALLLKARAHFSTKRDYSLSSHQT EPALQYAESLALLDYLSRTENSSGSTKYSSQGNITSALANIQSFTTELSSLGHQTLHE RLLQIGARLLYHHANHGPYKPSTLRQYLHEFLRLFPHNTLFLSLLAWAEQSTLRINDP VRSIVRESFHTPAPIPTYRFAIEYELLSGAGLRPVGAATIHSTKAAFEAAVSDPSACR YNVDIWIGYLRFLTQAASTLKALKDVFYRAVAACPWSKKLYMAAFPAGSTAEPGEPGE GGGSALVKALSSGELRAVFGTMVAKGLRVHVDFEGFERKWREKEEDEKRVGREKEKVE KEEKEELERRARLERERRWDLRDSLHKNRHMDSDRGERGGGQYEKYNDRKRSREWDRR REGWRR NEUTE1DRAFT_42835 EEFLVFKKILWNLIDKGFIRAKALALILFVKKLGSSVRIYINYR GINNITFKSHYLLPFIKKTLNAIYYIKVFIKFNIIIAFNRIRIK NEUTE1DRAFT_137606 MSMYESMSLTSGSPASLTVDFVDLTSSVKPDNSRSMRREDSSTD PQPSSLFPNPRSPSMVGLCSSI NEUTE1DRAFT_81749 MAGRKHAPRMRELRDGQNDSNQNSQNDSDGLLSAEPSQQSYLSV PSSPSSYRRTRANVNQAGGAPDERTSLLGTTRASRIRIASAHGTPRAPNLSRNQSYGG NNPPTRHHSRANSWGQRLIRALADRQEEPVSEPKGSVFPDDRVWYDQFTSTDWVHDSI ADAHRVKALRSRKDFWGRVYILFDGAQGWILSALVGFIIAVLAYIVDVTESTFFDFKD GYCARGWLISEKRCCPHGPCTDWRDWGEVMHGWPFGERWTEFVIYLGLVITLASVSCL LTLTTKTVVPSAYRLSTLDENLAAAPVSPGGNGDDGGDSPNGNGTPSRRASVAPPAAA PSMIYYSAAGSGVAEVRVILSGFVLHGFLGLKTLIIKTVGLVLSVASGLSLGKEGPYV HIATCVGNIACRLFSKYDRNDAKRREVLSAAAAAGVAVAFGAPLGGVLFGLEEVAYFF PAKTLFRTFFCCITAALTLKFLNPYGTHKIVMFQVRYLIDWEYFELVSFILVGVLGGA AGALFIKASRKWAVTFRRIPIIKKHPLLEVVLVALVTGLIGYWNGLTKLPVAKLLYNL AAPCDDRDNNLEDLGLCPDSKADIPPILWHLLWAFLIKGLLTIITFGIKVPAGIYVPS MVVGGLMGRLIGHMVQWLVLAFPDTAMFSSCNKVSSVSCIQPGVYGLIAAGSTMCGVT RLSVTLAVILFELTGSLDYVLPFSLAILVAKWTADAIEPLSIYDLLTEMNSYPFLNNK HKPVFTSELIDIVPRVRRERIIDISNSPLVPATSLRSKLESLHRAGELDGGLPIIRND ILVGLIPAPDLEYALDNLPDESTALCLMANVPSIDDSDDEEGGGRRDPTDFTPYIDPA PVALDIRSPMDLVYELFVKLGLRYICILRDGKYAGMTHKKTFVKYMRELEEQEGKE NEUTE1DRAFT_81751 MLATPRVSPSPRLFSAAIHSFSLRPNSNTASSVPFHVVRCHFSS TAARMAPPKSAYGFLDFVNASPTPYHAVATSAALFEKAGFKLIRERDNWASVVQPGSK YYLTRNGSSIVAFAVGGKWKPGNPIGMIGAHTDSPCLRVKPASKRNAHGFLQVGVELY GGGIWHTWFDRDLSVAGRVLVKDGDGIFIQKLVKVDKPILRVPTLAIHLHRQSNFDPN KEDELLPILGLVEKELNKPAAEAAAAPEENAEADADFQPLKAMTDRHHSQFLSVVASA AGISSASDIVDFELILYDTQPSCLGGLNDEFIFSARLDNLNMTYCSVMGLINSVSSNS SLENDPSIRLVSCFDHEEIGSLSAHGADSNLLPAILRRLSVIPSLNADSTETTPVSET AFEQTLATSFLVSADMAHSVHPNYAGKYETNHRPEMNKGTVIKVNANQRYATNSPGIV LLQEVAKRAKVPLQVFVVRNDSPCGSTIGPMLSAKLGVRTLDLGNPQLSMHSIRETGG SWDVEHAIGLFKGFLEMYGGLEAKIFID NEUTE1DRAFT_110006 MMSVDVLQVCLRRESEVERGVALPCPGQGGKEDGRWMERERRTA GGRAGMPGCCDEGTRSRVPGQRTGPFPGAPGAHGGRAGQGRQLQAGDSRGTQNTKYLV VAWTQPTLPLEAFCCNRLRTIQNRASCPPRTHHLCTTIQSKSTNVDTVDRAWRFQNSG TLAEPSFFFVACRKLYRQRQRQAKCLPRCQSDVYPLTYYARPREHFEDRPDPLVMVIN LIVSLGSLPVPSKRWAEDERYVTIASDGVLDIITVIKRSVLHCTRKIPQDRRRILTPA GSAGCTAPPCVPLSLISPRRTIDNFSYYSSWEFLIVVRSQRSSFLHSFFLRKKTGVVD VMMETCTMGTSPRQWAIRRVQLGYLRTSTKTWASTVEVGSWSAFGHHNVLSACVTDYK HSYLGTCTFHSR NEUTE1DRAFT_146340 MAANMPPRAQVGQMIPPKHSQVTQAVLARLTSQPPPATGWQATH IQLPERVQKTSSLATNLKLAGQVDTWPEAVNLALEIERRAFVQSPDKPTYENLLGQRF AQLVEQRQARAPLIQQQMQANAMRQAQIAQQQHQLQQHQLQQRQHQQHQQHQQQQQQQ QQQQQQHQQQQQQQQQQQHQQQQQQQMMINQMAMQRGMAQPGFHPHQHPAQVTTMPHQ SQPLPVGVPNPAVMQSRLGQLAMQITQSLPTALMEYHEIQRLTERDRPLIKNFALKKI VAIPEPLRDPLREKVLSQLAPQHVQQIRALAVDPLMIYFEHQSLNQARRNMMAQSRQG MAAHPQQVPQPHNQVNPTLMNPPGQSHGVTDGQLLPPNLEAIRNEQQMGLRAQEAGQV VVPQSQGPGAPGRNATPGPMINHMHTAAAQQGPNQTPRPPPNSAPPGFHHPPVPMETL NPAQTQAQIPIRGQVPNRHPQGQPGGVGALPTTSQSPAINNMAAPMQQSPTPMAQGMR TTLNPSFNHHANARPPSMQGNINASNPAMAAMLGNLNPNNGGVPGNLPENQLKDIMAK WEQNRNTTGNAQQLPKHPQVSGMPAQHQLIGAVNTLNQPLNMGSSQQPGGVMPPGMQP GPGQPQLNGPQNAQTAAMVALATNPRAIQMVDNWDIPAHTLNVLRGSVQPAILPPNLK KWAHLKAWYQTAGLPPHVQDKVAQLLTTFQQNQIQHVILQKQQRAQAASMGGAPQNPN GPGPSQQPNGMPLIPPKTNVPPHVLQIAPHELEMARKKFPGHSDQALQVIIRKTKYEN FARKLQAMNNGAGMVNQKPMVQMPPAGSNQPNTASSGPAAQTHAQYAAQTGMQVPGPS QQPPSLQQPQQPQQIQGQLQAQSQPPAPPPAHHHQQQQQQELQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQRQQQQQQQQQDQQRQQQQVQPPVNNRQPVPQKPTDGSDS TSATPALSVSRISQQPRTGPPNPSPVVAQKSLKRSHPGDVTDVSRPNGVPMQQSLSQA KQRSAVRPTVSDRFKNIWADEARQVQKEFEQNPLQPVHMPMDVYQSAVLKIREVTQKM NELTTIPGAFDRMTNDEILVRKLARCNLKIKIQSEKATGQTRNPLTITAQEIDEIKLF FDGLVNQLKSGASQAAAQSTEQAAGQPQLHQRTGPSPLSNAAPSDKQTQVPKQAPNRA SNKITQPPAAPTTTQPPFALGHGPGMSPAGNPVYLNKPPIGPTDLQWPPRKKAKTGGP QTTSPAAQSTASASPQIQAPSPETKRQQAPEPAKPQPDPYLCPEIGCEGSVVGFASAE LRDIHYHEEHVKPNEDPLKFLQENMALGLGLDAHGNTKAISTVAEGAPSGASGRALNS PKQAQTPMSKQELAATPMARDVSMRRQGSRLGARGPDAAGTPGSGNGAPAQTTMADDA WAATGVNPQYLSNLFATLDPAQTSDFSMSLSETPNDTPESSKTSEPSSDISDGVGLDI NMDWATMDPEIMDNLNNVSLDGYEPPAFDREMMVNDAYANVTFDDSVMDFSKPFKLDT SLYMLNTN NEUTE1DRAFT_146341 MTTISPTKRRILGSLNPNASPCQSSKSEFEGRMEEVQATESTVL PAGCENNRREEEPARKKVCLDLRGAQQHQHQQIGAGSHGRRNRSKSASPPTEATVLLG RSTTTDLSQSTDMRHERVSSLSVLPALHPTRVAAEGTARAVAEVLSVPMATQIAYPAG LVGNFNNHRQPKRTNNPRLRFVNQAARQKAEILRLRLSLAAYKIQTGQTDVPLEQLEM RSLLPLGGYHHSQGRLADGGASPEAAVDRHHDGSNSNPANWSFISNSSTNSNSNSQGH GYFQRVNHLRHAAGAAIEAVQAKQERRTAWREREQYREWYEQYGHHYQISTWENAAAE QDHDGFSRDQSRQRQRRQQQQRNLGSGSKSLPSDLGDRVSGPGTTFMGSGTSVITTAA MTTTTTTSPHFNRLDLAERALQAHQRLQSRAVTEELLMDHEHEHEHEHDEIDSEPNSQ QLTHHHQPQQQQRHRHHLDNAHEGWVVRPAPENGPEVANDIASGPGRRDRNDDEEEES IQSRSRSYQVVGPGPSYFTEPELEPELPSLTPCQLDAGEKEEQDGERNGDGDEAASGL LSLSRG NEUTE1DRAFT_146342 MLGNILAHLYSLPEAAAVDSTAIEPPSTTYHSSPHAPDPLTANN PQLPSPSLQPNYPGAHTSTPSPPPPAALSPALAPGFGRSPRRAPDNTPVLLLQSPFRT RGAVATSSSPLHRQYAQFHSPHRQSPARLWNPTNSTPRLPPAPAAATRRRRQSSPPPP AIPLSLPSPHLDFDPSYPTATAAGDQPLLTVPENQQQPRHSVISARASLQLERSTGSG QRSPLPRTLRHSLEGKRASIPPSPIERVEETDAGPSRIRAIEEIPESSASGRGTRGQS ISSTKSRVPVGLSFDHGKKGLDKGKEKEDMAPTETKQEERDGAGFSPDLERGPDMMDA RQSIGSRISGIGSAISSDDSDILGDPDQQPDAGDEWGPQHACYPHLNPHVPLDSPEYI NTRIIRVRRDWLLEGDLAPTFSNLYPEILEPAGLSEQEFRRVIQKLNSELVPIFSPYN WRNILDGILGLATGWLWEDFGFTGVKARLQRLENWIEQWNKEMEKTVGSEDGTIPPKI VPLKRTGYMTLDIQIPDPEIAPAPPTPGASRGTDGAIQVEPVEPPQQPPAVTVL NEUTE1DRAFT_116953 MNDGRAVIWHPTATGRTHLPRNSNWFSCRLRHSVPPCTYLLTRP CFATSLPFVVRAAPSIQLNNTRHPSLALG NEUTE1DRAFT_122178 MTEAPPKPHPIHLKAKAPNMATVTSIETEDTPAMSPSTLDSRPV RRAATIGEGSQPAQLRRRLVNSQSTLGTSFGEFRRRSSTFSDYSLDAARRNLHHEILN PAASFDSDEHGASESSLMPLAFALLPAVAGMFHNKGSDFITDIILIVLAAIFLHWSLT VPWNMYKSAQQVRLENEQIVESALDEEDGTSPTVTAIQTPSVEVEEPRTPIESKHTTS TSEENTKLDSPDIPIVPQQEPHSPRHTRRIMRNQFKSEGGLVSDFSLTLYIFAAEVRP FSHLIKLIQARTLHLQRIVHKNPYSESAITPTKLQDILERLGELEQRATGLKPSNGSA NNLDNNGQNDGLEGGQDQQNMNPKVRDGMVREVRNTIQPDLDALNRAVRRYEKKATVL AYQTEARLGALDGRLNDAIALAAAAAKAGGTGARPHGNNSRATGATGANSGLSVFGLL AKALDTIVWAAAMPFQALLGLVVLFPLRAVAGFLKGVTGGSSSRSRVAAETTMSGGWF NNHGSFGMGATYAFPVVIKYIFLCFHLS NEUTE1DRAFT_28526 GAVPFLGSMLPCLPGQEKGWMEIEASRSNSCLPRLRLVSTACRG HIDALQLNVRARPAFLQIASFTSADRV NEUTE1DRAFT_26923 MVEQTREKTTVRDINYSLPNSGPYQYHRKHHTHASINISGSKFI QGFYTRYCIYLCQLPIQPRITPGWAVSGAILILTGIVCGMVGIKKKWLHTFLTTGFLV SLGTTVLILYVTDPPVSDAVQGAYVVAAVCTGLIVGGVAIIFQDLAQGLACLLAGFCF TAIMVIFVAWIVSQLKLWCLVRN NEUTE1DRAFT_42686 MSGAPSRLRSVLNHLLPHGQPAHHIHNLTPTVFLERAAAIEPEA EAIFHITANGKTLRRSYIEFADRARGLAYYLRKHGYKRVGLLAPNTPAFLESVYGIVA AGGVIVPVNIRLKPEDITYIFDFAEVDSIIADAEYAHLLNSYKKEHPDVPVIIDVVCS FLGTIDTDATEGALCGPFDEAVMEGLNHDKATGSKGWADLQNQTVANEDDMIAIPFTS GTTSKPKGCVYTHRGAYLATLANVIESGLNVADGRSKYLWTLPMFHAMGWTFPWAVCA VRGTHVCLRKIDYPLIWKLLKEEGVTHFCAAPTVNTLLCAAKEAEALPKPVRVTVAAS PPTPHLFEQMTSLNLFPVHVYGLTETYGPITRGYILPSWDNLPPHDKYAKMARQGHGF ITSLPARIIKPDQPEGVLIDVEKNGQEVGEIIFTGNICCKGYYKDPEATRKLFAGGML HTGDLAVWHPDGSIHIQDRAKDIIISGGENISSVALESMLAEHPDILEAGVVAVPDSH WGERPKAYITLKEGRETSLTGQDVIDWAKHNSSISKFMVPREVEIVKELPKTSTGKIK KNELRVWAKTGAIPN NEUTE1DRAFT_146345 MSLPKDFLWGFATAAYQIEGAIHADGRGPSIWDTFCNIPGKIAD GSSGAVACDSYNRTKEDIDLLKSLGATAYRFSISWSRIIPVGGRNDPINQKGIDHYVK FVDDLLEAGITPFITLFHWDLPDGLDKRYGGLLNREEFPLDFEHYARTMFKAIPKCKH WITFNEPWCSSILGYNSGYFAPGHTSDRTKSPVGDSAREPWIVGHNLLIAHGRAAKAY REDFKPTQGGEIGITLNGDATLPWDPEDPLDVEACDRKIEFAISWFADPIYFGKYPDS MRKQLGDRLPEFTPEEVALVKGSNDFYGMNHYTANYIKHKKGVPPEDDFLGNLETLFY DKKGNCIGPETQSFWLRPHAQGFRDLLNWLSKRYGYPKIYVTENGTSLKGENAMPLKQ IVEDDFRVKYFNDYVNAMAKAHSEDGVNVKGYLAWSLMDNFEWAEGYETRFGVTYVDY ENDQKRYPKKSAKSLKPLFDSLIKKD NEUTE1DRAFT_146346 MSFERPLRGGCQCGRNRYIIQFPQTTTQAAQVIFNSPPSQYISH ASPLAAFLRVPLPWYHSTTLSFAPDERTSMIHRAYTSPFEQHSMRHFCGFCGTLLSYW SEEPRSEADFIQLSLSSLHPEDLADLEDLGMLPDSESENEPETKKQEGKGEVTYIGRQ TVGGLPWLDSLLEGSRLGTLRAAKGRSQNTSGTVQVEWEVMEWTEGESGSPSGSPRNS KRKLGDRDDVARVLS NEUTE1DRAFT_146347 MAAIESFDNIYLDLSKESGKSRFAENGLGWKPAGGGEAFTLDSS NIGGAQWSRAARGYEVKILLRSSGVVQLDGFHQEDYERLSKIFKNWYSVNLENKEHSL RGWNWGKAEFSKAELTFNVQNRPAFEIPYSEISNTNLAGRNEIAVEFAGNDGGKSNGH SGTGGKGKKASAGKDQLVEVRFYIPGTTTRKEAEGGEAGSDADEEEKNAVTLFYDTLI EKAEIGETAGDTIATFLDVLHLTPRGRFDIDMYDASFRLRGKTYDYKIQYDAIKKFMV LPKPDDLHFLLCIGLDPPLRQGQTRYPFVVMQFKADEEVTLDLNITEEELNGKYKDKL QSHYEQPLHQVVAYIFKGLANKKVTTPAKDFTTHRQQYGIKCSIKASEGFLYCLEKAF MFVPKPATYISYEQTQSITFSRVGGAVSALSTFDITVHMKNGAGSSQFSNINREDLKA LEEFFKLKGLRVKNEIDDDTNLISAALGDDDMASSDEEAVGPKADRGSADEDEESVDE DFQAESESDVAEEYDSNHESDGSGSEESDVDNRVDDEDEDMDDDEGEKRPKKKKKTA NEUTE1DRAFT_122182 MDAQFEKAIEIAFDPRSSHALKSQALEFLNQVRTDVQAWRICAA LFTRSPRASDIVRHVSLEMVNNAVHSQGLDAPDLAFVKNSLLDYITRTYGPNAQDQAD PANVQNKLTQTLTYLFVALYGEGWETFFDDFLALTSSQNGASRDNLSGVMLYLRVLSS VHDEIADLMISRQGNESKRNNDLKDLIRERHMQKIAMSWQDILAQWTNKHDGVVELTL KVIGKWVSWIDISLVVSQDMQNLILPLVGRVNNTNNNIDTVRDTAIDTLTEIVAKKMG PSHKMELISFLNLGGIITELLASQGLHEFKGTSRYDNDLAEVVAKLLNTIMTDVVRVL EDNKVDAETRAKAERHLQDFLPALLRLFSDEFDEVCSTVIPSLTDLLTFLRRVGTLPD SYSQMLRPILSAIVAKMRYDETSSWGTEDGESDEAEFQELRKRLQILQKSVAAVDQTL YIEFLSNLVGNMFATLEQQGPQMDWRDLDLALHEIYLFGELALPNAGLAHKSEPNVVA TERLAVMMSKMVESGIANFPHPAILLQYMEICVRYHAFFESHHQYIAPVLENFVHLIH HEHPRVRTRSWYLFLRFVKQLRAQVGNVAKTVIQSISDLLPIKAEVPSTEAEDDMSSD ESDHSADAIFNGQLYLFEAIGCISSTSTTPEADQALYARSVMEPLFSDMSVHLPRAKS GDAQAILQIHHIIMALGTLANGFADPNQSQNPNNQRTPPQAVSAEFSRASEAILVALN ELNANGEIRAACRSAFSRLLGVLGATILPQLPQWIEGLLSQSSSKDEMAFFLRLLEQI VYNFKGEIYNILDLLLTPLLQRVFAGLSEPINGTDDEIQLQELRREYVSFVQVILINE LGGVLVSTSNQGVFESLVNSIMTIAKTIVHGNIVASRISFNVLARMAQQWGGPDVATI GENPTANGVPAPAFPGFDQFMLTQFHAACWEVMQDINFRPYADAQTRQILNEITGLEQ IIYLKTGEKFINHCQTVTFPAVGMGAEDFLRALTSSTDRKAVMAYLQQLLKSRR NEUTE1DRAFT_41131 MQKETTRQDLEDINKKIGNRKRMETYSTWDSLVVTDPTTSQAIG GLSMGERTGSRVFHHLWPYVIDRDPEPSHNASLIL NEUTE1DRAFT_122183 MNNGLSVAPAVRARHFTVSKWWRSQEDKSGPKPPSRLAKTTAAL KAALPQTGAITKRENIHTIPNWLTFSRLVAAPFIGYCILHDHHAWALGLFAYAGVTDL LDGWIARKWNQGTVVGTIIDPMADKTLMTVLTVTLATKGLLPVWLAVIILGRDVALAI SALYFRWISLPPPKTMARYWDFSLPSAEVRPTAISKYNTALQLGLMGLTTVAPVVPAL DLAWSLMVMQYGVAVTTIWSGASYVYSKDAVKILTQPATPKGAKKEGNTKVVDEVEAK KVEDETTTKQ NEUTE1DRAFT_81777 MSKLLISRSLGRPLRRPLYQFWSTGIIKTRTFATVESDARPFDV VVIGGGHAGSEACAAAARAGARTALVTPSVENLGVCSCNPSFGGIGKGIMIREIDALD GLAGRIIDQAGVQFRVLNRKKGPAVWGPRAQIDRALYKKHMRAELESYPNLSLVYNSV SDIVVSENDNPSNGARSKITGVRLESGEVLPTSQVIITTGTFLGGEIHIGMEAYPSGR MGEKATFGLSKSLREAGFKLGRLKTGTPPRLDKKSINFDILEKQYGDDPPNPFSYLNE VVAVKDQLHCWATYTNHATHEIVRANLDKTIHIRETVKGPRYCPSLESKIIRFSEKER HLVWLEPEGFDNNIIYPNGLSMTVPAEAQLALLRTIPGLEDVIMTQPGYGVEYDYVDP RSLKRTLETKAIRGLWLAGQINGTTGYEEAAGQGIVAGINAGRAAIGLEPVTLSRADG YIGVMIDDLITKGVSEPYRMFTSRSEFRMTTRSDNADSRLTALGRSWGVVGDRRWSHF QDENGQMAELRRILSETILSPQAWQNAGFDVKADSRRRSALEILCFKGASIEKLYESG VVPKLMDFSERVRERTFIEGLYAPQIEKHELERARFEREEDLRLPLDLDYDQIASLSL AEKGVLKATRPESLAQARRIEGITPAGCMRLLAYVKRRNGDLKSGSRSGGLEEIASEW SKEAPEADLDAVGEALTAAEGDMETVDAKVRAADL NEUTE1DRAFT_116957 MSAFLRPVFGAAARPAVRSFSSTSARGVARVQIIGNLADTPEVR ATSTGREMIKYAVASNSGPRSDRVTSWFNITSFVEGKARDFLLTLPKGTTVFVEGDLS LRNWSDEEGRNRQAVNILHRNIEVLRRPYTGPRETPETEAEAEGEAQEQQ NEUTE1DRAFT_81784 MNNTDSWASAADITTPYTTTPEERLGPPAPYTDIGLQVNLFFRV FLGILGILIPLVPARLLWINGEFSATVHCMSTVTLNFFYVVNSLIWRDNNVKKWYAGY GWCDFHTYVFFAVETIFHTTLFDIMLGLANKIGNPRVTSLSPKEKKRKDRISALIIFG NPLLQVLLTYFIITQRYDILTLAGCNAVFDPNGVFFVFFILPSPVFTVGAAGLAVVPI VCVFFVFNLIQGWPWTLPFDLHRIHANINFVSFTTTERMQVSTVLTNYVPVVSSVAIC ITFGTTVEAYNQYRLVLVFLGLGKIWPKLYQEYDPDESEPPSELSTQTSSQSWWSSMT KNGKRGTVTSYSGNDFSILPTTEDIPLTNRPKPAQTMGEGSHTVPRSPYDEFRKEFSD LYPSQSSPTANVNRNPWPDLSDDPSPPARNPWYLRPNAFHVPITLPMPLSPIYIPSLH AGLAKNTEGKQKRTTINKLSQGYTTLSSSSTTILPPSNSPTCPLPPIPTPTFSSRHSP QEGRYRATRKAQKQKEPDTLNSTGNGTLKNPLMPAAPWSPFASSHTNTTLGVDTRVWS QKDKDDSAGHAQDSPISHSSRATTGPPQLSPPPSYSVAVGQESSFASASDRSTGLGIS SPENQQIGTALGHPPRGGVVRVETHIASEIEVIGGPGRDDGNDRDDDQRSGREW NEUTE1DRAFT_41760 LHAVGKGITTEHWASTMLLRVPPDTLGPMQASSREAQETQQKKN LESFSTWVPLMGFWIHDLWCHRHGGEVDTHESGRSPSSVTVTVVVPDILTSRRTRFCF KVPPNRDTVDKESPYGCLSVMVKGIVYVIPA NEUTE1DRAFT_81786 MKLWAVSSLPVYTEQGARGRSENRSKSSSVSVTTANHRVAPTSS ITTTPTPTTLPVPAFAPSNNGHLLHYDPSDYIHNELLEPSLNGPPSAEGIRQLSKQMK KVSQKDKHLSHHTTSSGSSSLRSLTSTDSPSTDGAFSLSRKSSGRSTNSHTMPNKDRP ESVQIFGKTLFNRRGRLKRESSSQNLYSAETGSDANLPLHPTSQPAPTSAPSRDSSIP AFFSRRRTIKAENAEDSVGQRKIQISGPYNFQHVAHTKKGSNVDDLHFSDFSSDSLPL ADVDLPPSHRPPSMSAKQTSPRRLIKHAKSQDSMSIAPPRPPRSPIEESIPVPPVPGI PPRVSSRISTPADGLDPFTLGRPQTSTGFRQAQPLALDTESPTPPVTSHGHTRMTSVP DNDLNWPLPAPANPAFDAVPLANVLEEDSSIVKPSRASVISNSSLRASQSVPMLRAFS PRQGYDHHNREPSDSFRPCALADAQRALVSALDEIIGGDPSLSRESWEDDIDYCYEHA AEADCDYEWDRPSLDISRDFGSTAPMEYPYHRKKLSCDPSASMLTPAQLDIPSLSPVS LNSATTAHEAITPTGMTAGPPPTFTHSHKTSNFSLPRIEAPIRSASSERLKTPELHAR KPSDASSFKESHGFTLSPSLLIPTDFQRQMRLDSLDEVSGAGAEGDFSDCRGEYPFAT HTFCEPGISSLKYNPHRASASTTATLESINSGRHVSTASISTDFTRLTLSTNSLVDME NCNYESPICEFPNGNNHVRSESVSAKGAAMPPVPEFEEISDESLRRGESFPNLVGLAQ DSAEAPVTGSKRKDSMLGLQRPGRGRARTTSLSTPPPPNQFSLFPNVKLTGPQI NEUTE1DRAFT_116959 MQLPVSHSNRSQKGAVCGAGENGGRNERKKNERQTEGGIPKVSD YSCPFTHFKSLTETQQSLDFTTHVINTDRLSNHFTTVSTTHSLTPHHLHHHHTNFP NEUTE1DRAFT_110022 MSALLRRTGLSIVHSTSQKFPNSPTWALGGDLHPIVLASHFAGT KRPASIHSAGHRSSRSAPGYHPPALRLSNQTAIRPYSLLFHFTNKHIPYNDQSDSDCV AVMEVTAGSKATEIMKSSANRDLLGRTGLVHKTVEAPLRGLLNSGPGHGMSRAAASSS LCVEVSSQLHPRSYLPLLAHKKSPHIFQYFWHGNNTRPGAHSSSLPTYRFHFTSAFIG MASPLDRKYHVRLCFNPCPASAFRAMPVKACHLKACLTCLSTLRYQDRGLNPKG NEUTE1DRAFT_63108 MMTEVPSAFSLGSGPKSLGIPEHATYSENQTTSIHTTHQRSGPS LPYPNFASSARQTTLATSPSFPVTTASYHVPTPENPTQPPYYQQGAGWEQQQLPSTSA AALYNREHTAVPAPPALTPYEFAPSHDISGSCLGDGSTYGSPPVVQSPIQPGFGFLWP NGHPPNSVGSNTPSQRAIASPTARTFESISAPSDTGFSDHSDGVTYANIDERANQQQQ QQQQQQQHRWVQTTTASMEGCNKSEDGPWNTGEKKAFGQIMTPISCGSPFNAAAAVPA VPGGAAKAAKGTASATAALSKNKGKLRSASRAPKNIQPRPEETPEERKSRNSHNLVEK QYRNRLNAQFERLLAVLPDSVRSSMTMIGGGGGDPNGVNGNGVGSVIPGQHPSLDFSI ERRLSKAEVLDMSRRYILSLEKERDTLEREKEQLMHSIDQLRQGHGHPSHGGHAGAMY MVDRNGIPIGHGHMRGVGG NEUTE1DRAFT_122189 MPPKRGGVAKSKAVSKKAAAVPTPKATPPGRRKSRASSVQPGDP VPQGKKRRYRPGTLALKEIRNYQRTTDLLVAKLPFARLVREIAMQFRPMDEEMRWQSQ AILALQEAAEAFLVHLFEDTNLCAIHAKRVTIMQKDIQLARRIRGVWGGAGWV NEUTE1DRAFT_63112 MDQMIGGGGGDAGRFPLETWFWEMPICTRWWTTATMLMSGLVQC ELITPFQLFYSYRAVFVKSQYWRLLTTFLYFGPFSLDLLFHVYFLQRYSRLLEESAGR SPAHFSWLLLYAMVFLLILSPLVSMPFLGHPLSSTLVYIWSRRNPDTRLSFLGLLVFS APYLPWVLMAFSLTLHGTIPKDELMGMVIGHIWYFFTDVYPPLHGGSRPLDAPMWWRR IFEGRPREETTDGINNEIAVAGAPEVAPPEVR NEUTE1DRAFT_63115 MKAYFYDNLPGDQRLPHDSGKEVTVAELEKVGVLYFRFPDVEGV NTLAAERGYKNRDEIIVSPEKMGAIYETKVRQFFDEHLHEDEEIRYIRDGAGYFDVRN EGDEWIRIKLVKDDLIILPAGIYHRFTTDDTNYIQAMRLFKEEPKWTPLNRTEGLDEN PYRQEYVTQFLKAQAEQA NEUTE1DRAFT_63118 MEQGTPSMTGSETPSKKGKVALPASCDPPQPGVPRKPLVWIVFG GTGQIGRSLVKSCLNHGDFVTIVGRIFESTREQMAAAHLHHPQAENAFGTLCDVRDYA SVNRVIDQTLDRFGRIDCIANCSGYGVIGACEDQDEHEIRNQFETNFMGTVHIIQASL PYFRELGHGGRYIIFSSTSGALGVPGLGPYCATKYAVEGLIEAMLYEVDAFNIKATLV EPGLVRRDEADTSMVPRWGHFLIKPASEPYSHATSPALHAKRMVQWLGDKQPTSAQKC ADLVWQLGHCSYPPLRLLLGSYAIESIRDRLRSVTEELEDWKHLHFPVAPEPGSSGEN RGEDGENKGSDNVDRDQDMEMEAETSPTSTKE NEUTE1DRAFT_122193 MENKPPPKHESVGQKLSGKQEEQLEKSMRLLKSKMEKDQWEAEK KKIHEEERKAKAEAAEKHKMKGEAEKGTGTQ NEUTE1DRAFT_129689 MTRFRPCIDLHAGQVKQIVGGTLDSATSELKTNFVSPHPPAYFA KLYRDNELSGAHVIMLGPGNKEAALESLKAWPGGLQVGGGITDANAREWVEAGAEKVI ITSYLFPNGKFSQSHLDAVLAALDGDKSKLVIDLSCRRQGDNRWFVAMNKWQTITDME VSEESIKALEPYCSEFLIHAADNEGLQKGIDEKLVQRLSEWCSIPVTYAGGGRNLEDL ETVKRLSGGKVDLTIGSALDCFGGKGVTLQECVEWNRRQ NEUTE1DRAFT_81805 MPSATLLGKRKSIAAQPEAKKKKRVAEDLEVKRKKQKLAKKEEK PVKKADEEEEEEQQDISDALSVFQRAFEARFKSIASASATTTTTKVEKSKSTKNKTRK AQEDGDGVGDDFHEDQILEDDDAVDSGSEDDFSGLEDEEDYSGSDSEEEEKDDEDAPK VMVVDYSKDPSKVDTSKMSKKELKAYLSSKPPNAILDSNSQTNGTKAKKDKDGEGEDS AAFLANDLALQRLIAESHILSAAGGNASHYLSSAAAETDKNTRAFAEGRIRKKTTDMR MQALGAKGSVLEQEKMPMNMRKGIKKASETYEQKRRREARENGIILEKASGKGKGNVK KRSGGDRPVDMPGIGRMRGAQLTISSREIRSMENSGPVGRGGRGMGGKAKGGHRKRR NEUTE1DRAFT_146361 MASEEPVHPLRLSKGNTMPIPSKTQSAIPRPLSEISPTEQRRNS PGSSQAQAKSKMSPLNTDSSPFQSSPMSPVDNATSPRVFWQTRFNSENSLDSRTGSPS PVRRSSIERLQKASRVKNSNILASEVKPGQEPPRPPVERPLSKIQGNAFGGMGFSGFR GHGRSQSSTSIPVLSPPLRPTAPPVTLPAQTRPETPNRDKEQGSQSLSTSPMKSSLSS RFRSSFDHENGTWTTDENGNELPTGRALHRHAKSVTFDAAPPQVNEYEMATPDLSSIG SNSREGSYDSEEDEDDDDHYMLHDDDHSESGDSFDASLEDTDKTPVVGPEDWRQGEND DPFSRSVGSEGTSNGDHRPLPPLPGQSSRSDSASPNALSPVRSLPTPPPAPLTKADMQ NIGTGKMSLEERLKLMMLSDDGKHAAEQQRERRMRRAGTHTRGEGHSSEREPLSSPLS HPEEDEEENDTIGDLEDYQLPPRISRESILRRVNGKGERESDYNFSDAPTLSPPRQSA AYDPDVPIPSTENDSDFSDETDSEEEGSVIIKRPHHDYDSEDDYSVADTYDHTETVDS EADASETHRDDETESQYSVGTDPEKDDHEDDQVSTPRASITPAIEAPPVRDISSSNMS GSLELSKEDKDGDFAEGLESFTSKPAESEKAKEPEQVAEPTKNKETETLAVPEIHVEQ RPATPSKKKVLSKPEYDGSGWGDPDEEDEYEEEPGTPESVIHRPMPDSDEEEARASPA IPEQVATIKSASGSKLKTRPSATPADIESMREARRHVSREIPATPQIPERHRSRISKD MEPELLRASADDYMMRHPSFKNRSLTLDIDVGLSLERDFERVIEAQKVVFDNFLNKSH TTTGGFTFTGQASESKTKPDPIPQDVFLDANVTTRKQRGYLMRQNTKMVTASDKDGDD SRNGYRSAGGSPVKANRPQSWTVEPWNPQPRKRSLKKRPSTTGPVPPLPGQESNATAT TSTTEDDLNAGMEGPESGERGRLFVKVMGVKDLDLPIPKNERTWFALTLDNGVHCVTT AWLELARNAPIGQEFELVVPNDLEFQLTLNVKLEKPAPVKQKAIPSPAKITKAKTSTF SRVFASPKKRREMEMRQKEEEERLAQQQREAAARQMKTQPTAWDLLSPLAAEDGSFAR SYVCLKEHETRCFGRPYTVEVAAFNEWATEEAGFASSVKSKRGSTGPVRRAPYKIGKL ELQLLFVPRPKGATDEDMPKSMNSCIREMKAAEERLAQSWEGVLSQQGGDCPYWRRRY FKLVGTKLTAYHEATRQPRATINLANAKRLIDDRRALMEKEITGKNGKRRRSAFAEDE EGYMFVEEGFRIRFNNGELIDFYADSTEEKEGWMKVLGEVIGRDTILASDDDTVTGSP SKLKGKWCELVLKREETIRKRAEGRRVHSRTKSSLV NEUTE1DRAFT_137632 MDTPWILWIPASSINASRLKSQDGFHYTLREDLFLFPPGVHPVS TAGLDGEKFFHHKDRKERVVILGSGWAGYSFAKDLDPEKYERIFISPRSYFVFTPLLA STAVGTLEFRTVLEPIRRLDYGIGFHQGWAQDIDFANKTIRVEANANADSASKAVVPI GQGGQLNQASARGALFDVPYDKLVIACGAYSQTFGIEGVREHANFLRDVGDARRIRLR VLSLFELCAYPKGVDNLTDEDRANLLHFAIVGGGPTGIEYASELHDLIHDDLSKMYPD LLKFVRITVYDVSPKVLPMFDQALSKYAMDAFKRQKIEIRTQHNIERVRPADGKLGSE YGELKLKIKQYGDKEVGAGLVVWSTGLMANPLIKQLASKDFAVPISPEDRAEARRPKA KLATDARTGGILVDEHFRVRIETQTTDAAKGSEIVPTSSSNSLLRDVFVLGDAAVIES QRTLPKTAQVAAQQATYLAKVLNKANEGVIDVKDAPGFKFRNWGVMTYLGSWKAIHQG PRDELRGWAAWVLWRSAYLAKSMSWRNRFLVPIYWLVSWVFGRGISRF NEUTE1DRAFT_63132 MTNSGQEHEIHYNLVEDDEFDIVNIVVEDVDGIHGAGAAPSVSV IEELDAPKSGLIYLRGFDEPLYPYSDQGPPFRQQRHFFYLSGADFPGCAVTYDIPRQE LILWIRRNDPRLSLWYGTTPSIDESKSKSDVSDVRYIDGLTAYLHAVLTPETGGGGPL YVLSPDQLPKLSPSPPQYSFFKGLHGTGSRGLKIDTTSLLPAIEAARVIKTPHEISRI RRAVGLTSLAHRMVLQRIKHLTNEREAHAVFEGFCISQGAPHQSYAVIAASGPNASTL HYDANDEPLEGRQTMLLDAGCLNSQRVPGFQEPRRRMKREQVTPEDLAAMYREAMMDR DDVEQNVVGPPPYKGRQRLRENMVVTIEPGIYFHRPYIQSFFLSNPDHAKYINTKVLD KYWDIGGVRIEDCILVTKDGYENLTTAPKGKEALKIINAGIPGFGGDGQAGGGSS NEUTE1DRAFT_81814 MVDQRNTISSDASTSTGAVTEAARARQTRPASQPSNPSGTSTST NGVSLSAGGSRNSPEMYEDDSLDLSQHDHASPSESVKSSAHGPLKSESEDTPGDATGH ASSEPPTLPVQKRRRVTRACDECRRKKIKCDGKQPCSHCSIYSYDCTYDKPSNRRRNP APQYIEALESRLQRAESLLRKFVPDIDLADPNLDPAIQQEFRAREQARARAAKLKGEA APKEPEAQDAQIMSMIDAIGQLDMKEDGECDFRGISSGAVFFGRMKEHFKGLLSNEHS IPFLFRKAEKTGLFSLNSPQTTAGSPWEASSVPNVYELPPLTKVQTLCYYAFSCATCL LRVVHKPTFYESLDRLYEKPQDSWGIEEHRFLGLLYAVMALGTMYNIDENPGNPTTHQ AAMEEGRRVFHVVRQIDIYVSAILGFPVLLHADDIDQPLPTEVDDKYITKDAILTPDP DERPSFLQAFNAHHRLMGILARLIKFIYPVKGVEDCALKSDNEMATYTISYARIKEIE RQLHEWFDQLPRYWRPGPDDDDIEVIRVRTLLRFAYGHVQMMLYRPFLHYVSARMTAG KRIDDRYYNCAAAGISVSRNIVHIGLEIQKQSHLIGPYWFLLYTQFFAILSLVYYVLE NPDKTGSAEILADAKAGRDVIANLTQRSLAADRVTTALNTLFDQLPEQLKNGTHRPAP TKKRSYAPGSKAGSNSISSHAQAILHDTLPQRRSDEIVRPQSGPVRRETHHRHPQRTT SFDTVALHQPGMPGHHFATQVTAFQDLLPLDMSIMHRQQHHRQQSGFQQAQTPTTGAL YKLDAMMFPSGDPFAYPTPLLDQTGGGGHHAVSGQPPPPISAGSVGPTPGAAGPDNMQ LYMSGFYDDGSIEGQVLGPVAPYLMQHGGPEPPHAGVLDPAAQMSYTTMLNLQLQHQQ RQQQQQQQQQHGQDGRPGSGPGRGQQQHPRAAWSVDDMNEELGYVQDFNLVITWLR NEUTE1DRAFT_43043 MDDRKLAFFTAIEDQGGVIVKGRTRFERLFHIGRSSVPLCVDAL KAAVQEAKAGSDILRYQMAVNSLFQAAPNEPEALLDKAWMESKEKENRDTTAHLQAEL QGYKNNLIKESIRMGNEDLGKHFEAIGDVEAAMDSFWKMRTDVSSTEQLVDLGKLLVR VAIERRDWKSIGNHLKPLNSVNDSDPKAKALKTYSKIANGIAALGQERYKEAAFCFVE ASSGVPPEIYNQIASPNDVAIYGGLLALATMDRHELQANLLDNDSFREFLQREPHIRR AITQFVNGRYAACIEILESYRPDYLLDIYLQKHVPKLYADIRTKSIVQYLKPFSCVRL DTMQKAFNGPGPSIEDELFTMIKDGKLNARIDAINKSKALQTLENYEKQALDRIRRMN IMAADLEVKGSRKPGGMNDILFSMTTDDTASLA NEUTE1DRAFT_122203 MDFAALMSKEIAKAKGTTSNTTTSSKPTPSTITTTKDTNTKPDS IAVGVGAGGEGAAAATAAPKFISRREAEAQRQAAYLAEQKRLEEERAAKAALKRKREE DAIQEAKAREEKKRRLAEESRARRLAKEAEEERARRKRLGLPELPPTPSESADKQGTP ASGIDDFDLDDDDDDDKAHDLPDDELTSKLRALGEPAFLFGESHLSRLRRYRKAAGLG ALGLPMGPITTSLLPVAEKDMKVPASSAEIPPATDRKARRYLFRQLASYFNMVLREWE LALVKEDNADTFAGQAARNAMVQSKETMRPLFRKFEKGDLEKDILEAIVEIVRAAQER RYVDANDGYLRLSIGKAAWPIGVTMVGIHERSAREKLHDGERGHIMGGEVTRKYLQSI KRCLTFAQVRWPPEDIRQLMG NEUTE1DRAFT_81823 MDQARSDDPATPVTREPPFIVRLYYRTGAFHRMNEFTSDSHLPL YVEIPAWRSTTLDELSLDIANEQSPHSLLPHPAIGTRLVFRLIYVDTRKDSSRHVSKD LGSVVIGAGLPGANADAGLPDDDPCYDDAHRTLADAKFMPGDFISCAILPPNDLDGAV EPVSAARTGRGSGIGEGRSTAASPPPPGPREREGGYRDRMGVGDRYGDEPPKARGYRG GNGGGGGRYESNSYDWGGRRESRGGRINRQREPGIMPQGDWRRGF NEUTE1DRAFT_110037 MSMELGTSGAPLEAEAAPVTVNGGTAPTPTPTQPQTSTLPTSTA CPLSPSGPSTSASAVPLKLDLPDPQVLSSTPSQPDEPSTTAAQNSDDNEDNLIYDDQD GAQSFIQPAISARAARLTSPNTPAAEKAAAMDYLPSIFDFMDDEGRAQSSGKTSGNPS LPMSPRKPQKRGHFRQSSSSRLPDLPTPWRAEPKQMIVGQPHGAKTSSMFGVFGNETR SSRAASAGENALKKLSKALPSISLPTPSFFSSSSSSHKESTSTWPSVPHLSKSSTIAG IRSNRAQTGPPLSTPGGATAQGPVRSSSLRASRTPTLRHSTSDDSLLYQSLTRVSSLG DDERFANVREQVNTRIKAIIDSFEPSFKLPQLPKSTSFTRLMAISRGKLTEAGITSPL KKVVPSASDFHGTDGTRSAPGPSSHRPSRETIHPLDAILETLTGDIVVMGGYRGSILR SAEPPYRQLWVPVKVGLNIRKVNMEVGLEPEDEENMEKYIFASGMLQNIGPIDISRKL FRKLRECENSVNGKLRVHDYGYDWRLSPHRLSKKLNEFIEKLPSNQPHTPPEQRGVWV IAHSLGGLITRHAVNQRPELYSGIVYAGVPNRCINILGPLRNGDAVLFNETVLKAQSN FSFRSSFIFLPEDGFCFVSKDNKEEYPVDFYNVDDWIKYRLSPCAGGPALPPLNPSKS TGALGSLLSLSDSFPNLSSLPFRGRSNSGKNRTSEDDDNNNNNNNNNNNNNNNNNNNN NNNNNNNNNNKHITASFSPSNTVFKDRTLAPQMGSSSTAADTPENNQQQPAAPPINGT PSSHSQAIRYLDRTLRETKQFRSELAHNPSHQHRNTYPPLAVIYAKDIPTVYAARVTC RDAIACTDAYDDLMFASGDGVVLARESMLPEGYELVKDGRVCTDRGHVSMLGDLTAVG RALEAVVRGRRKGIGRGGVEGEAEAVEALGEGEGDGNSDGNNGVELQRTEQGTAVAER D NEUTE1DRAFT_63152 MQGNPNVPELLSNKVILTPPAPGNQRGAVWADKPLEHRAWTTDI DFRANGPERGSGLLNIWLAKDGARNIGSQSIYTVGKFEGLVLVVNQHGGSAGMIRGFL NDGTLDYSKRDNVDNLAFGHCWFAYRNLGRPSQIKLRHNSQNFKVEVDGRLCFESDKI HIPTGYNFGLSAASAENPDSFEVFKVVVLSEDDIGAVPNQQQQQQQQQQQQQQQSGEN QKPLGGTTHGRSGQAAIQDPYDNAIPDAEANSITSSKAQFEDLHTRIQSLNHHLSSIF RSVAQYGGIADQNHQEVSVMLGEMKGLLTKLDRFASVENKLENLEREVRSMRSELTAR LKESEHSIKYHVTDKHEDLAGHVVKHAAPGHTKLYLAIVGSQVVLAAAYFLYKRRKAG GPKKYL NEUTE1DRAFT_116969 MLDPNGRPPNSERKEIDTPFDIGLSPYLQKSREKRMKSRFPLVE DTLHFCRS NEUTE1DRAFT_63156 MAEIYPSLVQCAIVATAFKILLFPAYKSTDFEVHRNWLAITHSL PLWDWYYEKTSEWTLDYPPFFAYFEWIMSRVARLADPAMIRVHNLEYDSWQTIYFQRW TVIVTELVLLYALQMYKSILSGSLRAAHAAAVSILLSPGLLIIDHIHFQYNGVMYGIL IASLVLAKKKSSLLASGLVFAALLCMKHIYLYLAPAYFVYLLRVYCLPPKSSPRSIFR IQFLNCVKLGGGIAAIFAAAFGPFALKNQIPQIFSRLFPFSRGLCHAYWAPNVWALYS FMDRLLISLAPRIGLPIKADALNSVTRGLVGDTSFAVLPNITPRMCFVLTLLFQAIPL TKLFMRPTWEGFIGGVTLCGYASFLFGWHVHEKAILLVIIPFSLIALKDRRYLGAFRP LAVAGHVSLFPLIFTPAEFPIKTVYTIFWLVLFLMAFDRLAPAPTRQRLFLFDRFSTA YITVSIPLIFYCSLMHGIIFGKSYEFLPLMFTSSYSAIGVVGSWLGFMVVHFTE NEUTE1DRAFT_100748 MVLFKRKPVQFLTVPNIEDDSQEVWHIPQTGEIFVTYEEYLNRM DFYNQTAGAAEVEQAFPEALKGPVLRRVQFQTVSRIDTLVDQIYDEFKNDYYPGETVT VSIDGEKLHGVVRDKTRFGGTVLPDGSTAPPYSRYFVSLKERQEEVPVNDSQIFRDRK VFTKSVLRSFIKKTVTREAWNGAPWLVKTDIAERYHIDTRIPPHLRYDNKLLERKQIQ AQKRMTQPGGMGMEFNSHTSHNTPASPGQFSPTGPVRLPELKPAPKSHKSKAQQAAQA AAQEARQNGGVVSRKHNLFNGPEPGGAPEPPPPPPPPKYPIEDLQVESRGLVRPQLKY LCADPPVDLEPTFKAPFDGKILMKSVGPLLETWDTLNVYCEIFKLDSFTFDDFVEAMQ LASEEMPVQLFNEIHCAVLKILVSSEADGGKVQIQLPELEEEDEEEEDEEDSAAATPE PESLPTARATRSSLAKLEAERLAAEAAAAEREMQEAEDAPKHRAEEVLKAYDWIEHLR KRDFKDGGWELIMVGLLHQLSKNERLNASCEELLEQLVPVEDEEPSRETVRARYASLD VNYRVQALQIICMLTAETKAIRGYMEDCSEQMTAYRKEKIEWQRKRKQALEDLKNLND QRKILLPDNLPPSPQLEPAKVNGVNGAHTNGDVKMTDVDEISLTGGVHISDEIPDSDA EDADGTPTNGLRNLRRGNDRAAERKRKEEEKKQRAEAAAAAAKVPKQSKQFLKVLKDI QKKEDEIAECEKEIAIIDNDLREADCPRTRVLGKDRFWNRYYWFERNGMPYGGLPDSS TAHAGYANGCIWVQGPDELEREGYIDMKEEWQNEYVAKFGITVPERKKREEGGTSVFK ATQWGFYERAEDVDKLLEWLDPRGVNETKLRKELVNYRDRIQKGMENRKTYVGLDTAT EEEKKEENGVTGGDAMEGVEASTTAAVVEEPPVQPTTKGGRGKRSTRGNNNSRAATTT RQVTPEPPAYRCLAWVNTTAIEEIGHLHGEEPPPARNRKQSKKKQEAAAAKEEVEVQV APTTRSGKKKSAR NEUTE1DRAFT_122211 MSSEKAPSTSEIFEDASRDKHLQNKLRTVRILDSVQTAITLLGL LMGLTVLGVSANTLSVYDSTKPLPGSFLSLWPQEFNIRPTVALVAGSAIITVLHMVAL VFAKVQFLRTTSTVQVSLSFALPFFSLVAALIAVIFFYSVNASDSVDTFLSWTCRWRA IPMWTQPNWGTLCKQSKAGIYLSILLIPVEAGALALSGWLLKERNYVERYVNARKSPA LS NEUTE1DRAFT_81844 MDRNNVNYAVYLVTDSTPAILGDRDLCEVVEASVRGGTTIVQLR EKTSDTGDMIAMGKKLHAITKKYNVPLLINDRVDVALAVGCEGVHIGQDDMELSTARR LLGPDAIIGVTVSTIQEAMVACKGGADYLGIGTVYATPTKTNTKNIIGAAGVRDILQA MADAGYDHVRTVCIGGINAENLQRIVYQSEAPSKKLDGVAVVSALVAAPDPEAAAKNL LGLFNSQPPFVRESTSPRAETADSILELVPEVVLEVARKKPLSHNMTNLVVQNFAANV ALAIGASPIMANNGEEAFDLCKLGGALVVNMGTVDPDGLQNYLKALRAYNSVGQPVVY DPVGAGATTLRRSAVKTILSHGYLDIIKGNEGEIRTVYGIYERETFQQRGVDSSAELE VSQKAELVRKLALREKNVVVMTGEIDYLSDGQHTFRIDNGHAYLEMVTGTGCVLGTTI SAFVAAFPNDKLAATVAALLHFEIAAERAAERRDVQGPGTFVPAFLDELFKIRRETGQ GRMGWLKSAKLTRLS NEUTE1DRAFT_63171 MTPYRGRQPSLSLRLPQPSASAVLPDLPPISALFLIDFDVKAGY TIVWKRSIPGLELDGVVEYKSLPSGLHTVTDDLVYFVHEGGHAGLSAFVNARTDEEEN RHARMIAVGVLVPLVYGRLGRSWRHADRLKDIASKLVVDRQQTHLLEDYWAQNGIQDG AHPPPLPEAALDSPALSYKGGRPGPGKGHSRNRSASDGTVLMSSPHRLSPYHPAWSLT SLLDTFGPLIFPIHRAALLRKRILISTHAPVHEACNFVYNLSVLSNIPLSVSDLVDPA ASTQRLRPLFNIGVHDIGYLLEHHAAMKRHLRGDNLETDNDLASSITAEEAATGWIAC TTDTILTIKDGLWDMLITLPPPHSGNAKERMWPTVECPKGVPIKATQRDLRRFKWLKM SLARIDPTSMTTPQILRSPLSDRTSQRSVSTPNVPNRTPTISLTRPTETSRPVTATSQ TPPLTRANSDDDAERVVEPTTWAALAYSGFIWWASAGEQGHSDEVDELAHDSALLADL TGNTPKMAGRTPRRTSFGTASSTGNNIEEGGITESDSSPTAQQDGARETSDEEQARIE LAIIAYFHRLTTSVLSVLADLVDSADDDDLLDLDIDPNAGGEEEYRDDYNDVDDQTGL LYSQERSGVQGSHREGEGEDDEAEYEGCLRGWIRVDSEALAHMGLDVWSKSDADFVSE LTTRYFRRRAYVENKGVEVCGLKVC NEUTE1DRAFT_63173 MASLLYYPVYFLEGCVALTIGFYMLSLILPRAAFVARSLASYLS LLLCAGFGVITSIAFSLLGHGQSAQWAVGRSFKYVMALTTGVRFKIDDPKNILGKTRP AVFIGNHQTELDVLMLGCMFPKYCSVTAKSSLRKTPFLGWFMSLSGSIFIDRASKTDA RAAMAGAANQIQKKQQSVYMFPEGTRSYSKEPELLPFKKGAFHLAVQAQVPIVPVVVA NYSHVLNVKDFVFNAGVIPMKVLDPIDTTGLTTADVDELCKNTRDLMLKELIALTAKA RGQSVSEISAANNHPSVAKTSGTDMHVAA NEUTE1DRAFT_63175 MSTDYAYDEEGHLWPFFVFTLTSIVTVPITYSLIKSSRNDPAAA LKRIQTDYKHDQSAVVDTLRKSEKRKDGSKPWLIALVIAGWAVMGYMIYLIKTTDAPV QHLWNPYDILGIAESATEKQIKKTYKMLSLKFHPDKARPDASKNETIDDLNRRYVEIS KAYQALTDEDVRNNFIQFGNPDGKQGFSINIALPKAIVSDGNGKYIVLIYFALFGVLL PYLVGSWWYGTLRRSKEGVLMESANRLFKEYQDNIDEGGVVAALSSGQEFEDLFKGDK ADAGLSKIESKVMASDELPPKEKKKLEDVESGPRRKALALLWAYLGRIELGDATLEKA KFQVAPVAHALNKSFNAIALAYMNTAPLLASYFASQRLIQAIPPKASPLYQLPHFNSA VVRAVEGDSRVHTTVQAFMDQPDAKRRSLVVGKGLLTDAQYKETIAYAKQLPYLRVAK AFFKVTGEKFIIPSSLVTLVVKGRFVPPGSEKVPDVTPIDLEDIDPAEDDLDAILGRK KTKKVVGKDEKGKPIYEESAESEDVYPPLASSPYFARDHSPRWHVFLTDSKQGRVAVP PFTFVSFDRPIYESDGKTPTFAMQTMKAQFQAPPQAGHYTFVMHVVCDSYVGFDTKME VTLVVEDASRAAEMKDEEEISEPEEDSIAGIMNAAKGAPTKQRVVKDEEDSDEESGTD DDEDDDTSDTNTDTEPEN NEUTE1DRAFT_122217 MRFFSKKSSKSSTSADRVDADTRAGRYESTQPSGTSGPRSPYSH AKRSHSQSPPPPPPPPKSLRPPAQTQQHRLSPTKPVFSSPSGTRSPSAKKTHRSDSQP RRDHSPPTPPPHYNLPPTPPSGSTSRSASARDPTKHSSSSSSHQPRSSTSSRSSFGRH PVDPAAASDSRSRSTSFRRKKVDTDIHPLNLPPEQRKRFSDLSGFSVRNSMEFDNKEN GAASAASPPPPPHKQQPQDQQAQQKPTPPAHGSTFTVPMSNGADAAQTNDGEVPTPPP HRSQPTTPVQSPAEQAEGFKNEGNKFFKAKDYNQAIAHYTKAIVLQPESATYLGNRAA AYMSAGKYKDALEDCTRAAELDPNNPKILLRLARIYTSLGRPEEAIATFGRIQPPPSA KDMAPARDMLNYIQAAQKALQEGTAASMVLHPLDMAERLLGIGASRPRKWVLMRGEAL LRLGDINSLGEAQNIAMSLLRNNSQDPEALVIRGRALYASGENDKAIQHFRKALSCDP DFKDAIKWLRIVQRLDRMKGEGNDEYKAGRWQNALEKYTAALEIDPSNKGTNSKILQN RALCYTKLKQFDEAIADCERAISLDPSYLKARKTKANALGLAERWEDCVREWKALQEL EPEDRTIAQEVKRAELELKKSQRKDYYKILGIDKNADETQIKKAYRKLAIVHHPDKNP GDASAEARFKDISEAYETLSDSQKRARYDSGDDLVDPSDMFGGGMGGGMGGIDPEIII QMMGGQGGHGFGGGGFGGFGGGGFPGGGRSRGRGGFGGGGFHYQ NEUTE1DRAFT_122218 MGSGPLGAPTTTRNSPFQRRAVSPVSPSPLRQAATSPLATPPVK QQQQSLSGGGSSVSELTSRFANNIANSSGQPTTLRAGLGAPAPTTATPTSQTQSQASW TPRASVSAPTVVNPPPPNPMFLNGDAPPPRPPQHRISPLPSPSIPRTTTSASTMSMGT GGNAMSQLQPSQVRTLREGFQILDRDSDGMVVREDVIDMLNQLGLPNSASDVSQFFPP NGPQSMTMAVFFNSIATALSSLSPSGELLSAFSAFDDDDSGQIDVAELRDALLNTAPE PGEKPLTSLEVDKVMAGFTGRRAFAQNSQRTTGLGGVGGGGANKRGEVFRYQEFVKLI QGTGNGGEQGSEDSGRG NEUTE1DRAFT_146378 MASPQPARPSFSRSNSSQHVNGATGTAHDRKPSLSSFPPRSVSQ MSHHGPAHRSRSPQPPHVEPDRTSTLSSKGKTASTGTGFSSQHSSLSAAGVTRQYNNA IGRPRRKLRSQYPRGSTENHVEYILVASFDIDRGPVMEHQYPVAITGDEHMLAELMLP DQAHARNQDWTIFFLHKDSSREEDEADRQAKEERRRRRKRRQDREQGIIHESDDEDDD LDDDDWDDDESTDEDEPEGGEGPPLVYVLNLVNTKHDKTVKRGAVVKAMAICTRHPFL HIYKPLLLLALDEYFKSPVPETLSMLFDAVNEMDLSLMPKLSLLERHLLQMSNNKDLF VEKFEQMIQKRISEDRDGLADQPFDASRSPPRRPGIFRHGTTAHLKGQSTYAVPRDTH EFESRVMYKGIPIPIKVPTAIMPETVGDFSLIKLIQNFSEPHAKSPHPFPLHAHLTTN GPNTHPIIVLVNGLLTQKRVIFLGHNMPSGEVAEAVLAACALASGGILRGFTRHAFPY TDLTKVDDLLRVPGFIAGVTNPTFEHHPEWWDILCDLPSGRIKISSRIEQPAITEGLV YFQQQNPTCASLVHQSHSSSAADLTGDQAFMADMLKSIATRAGERVIRAKWRDWVLKF TRIAAAFEESVYGASALYIGSDEHDNYPHTTNSTGHGYVWADDEKKSKELAGNVTRIE GWRNTRSYYSFIQDVAQLYTIRPLKGLDLHHMHDRLRTQRLTAMQSRDIYLTFAKYVR SYDEICLLLSVAPEAHAGLFYIALGLFHKDREVRVKTADLLERISQHEAGQHWWRGLS RFEKLAYDRITKEVQMAESQAGMQGLAITPGSSEDPMRRISREG NEUTE1DRAFT_110049 MGLTVKATIASFGGKLFKLSHPSTSTGTDMAVNLYLPPQALKSQ TKVPVLFYLSGLTCTPDNCSEKGFFQHGASQRGLAIVYPDTSPRGLNLPGEKDAWDFG EGAGFYVDATQEPWAKGYKMDTYITRELPSVLFGADSEFGKYLDADKVSITGHSMGGH GALTLYLKNPGMYKSVSAFAPIANPCECAWGKKAFAGYLGEENKEEWKKHDATELIKG EKWRGHKDARVLVDVGTGDNFYKQGQLLPENFEKAVKEAGVEGVTVRYQEDYDHSYYF MASFSDEHVDHAAKYLGLL NEUTE1DRAFT_100759 MAEVGVDQEVRNMARSAERVEMIVGNFIVSPKTYRSIIICAEEG TAGTCTLVDCPERDDVEVWFPIKFCRYLLFSRRSSIVFVPSQLKAPLMSQA NEUTE1DRAFT_122221 MKTALSLFALVSSTLGANIPRGWGHGGDHVSYTTEVIVTYTTVC PETTTITKGGETITKTYTTTSTVETKVPTVITVTDVAPPVTKTDEAIIYTTITELCPV TETKVVGGSTVEVVWTSTSTLYTKVPHTETVYTTSVATEYEHTNVYETVVCPETVITT VSKGETVYITKTNTITTAVTEVHTYTTVIPQTITKAVDVTIPITKSAGVIETKYSTVV VSANNTIYTSIAPPPTTVILPTTSTVGSSPIGETSAAAPPVEGMANVIMQKSPVVALI AGLFGVIAFL NEUTE1DRAFT_100761 MLEANATLIDWQVMQAAQALLYEVTIKLADELPYTTTSLSSPVF VASCPVQEVSAMLHRDLAETLRMKSSKSVIGTLTATEEPTGKRRMPALVFPTSELDPR RFPSIVNHEHPSEEVLHQFALHQPHGKYGFEHSATLLEPESPDPKVSRCSGSAMRKML GSEL NEUTE1DRAFT_116973 MLDRQFGNAGDEVVIEEFLTGDELSILSFCDGTTIKSLPAAQDH KRIGEGDTGLNTGGMGCYAPTKIATPALLAQVEREILQPTVDGMRKDGFPFKGCLFTG LMITPNGPKVLEYNVRFGDPETQTVLPLLKSDLAEIMVACSGPIPLLKYVPVEVEQKF SATVVVAAPGYPNSYPKNIPMQVSTPPAGTNIFHAGTKLSGDSLVSSGGRVIAAEAVG ETLRAAVDKAYEGVKLINFDGMYYRKDIAHRAFRDEKSSSDKLTYAQSGVSIDAGNEL VDRIKAAVATTRRPGADAIIGGFGGEVDLSQAGYPSAPIIVGAIDGVGTKLIIAQKMN KHDTVGIDLVAMNVNDLVVQGAEPFMFLDYYGCSRLDVSVAAAFVEGVAQGCKDAGCA LVGGETAEMPDLYTGDDYDAAGAAIGVMKPTQRLPRKEAMAEGDVLIALASSGVHSNG FSLVRKVVDKCGLSYTDKAPWDSSASVGESLLTPTRIYVKPLLKVLAQTGEAVKGMAH ITGGGLTENVPRMLPKHLAAEIDVATWEHPPVFKWLRESVVPTEMARTFNNGVGMVLA VAPEAADAVVKGLEAEGEKVYTIGKLVNREGGEKGECCVLKNLESWAA NEUTE1DRAFT_146382 MPLILITGLPTSGKSTRAAQLYDYLTERIAAESSSSSTPKFRLH LISDQSLSISRSVYDLDPAHTPAHVRSANASEKDARAALYGAVKRVLSPRDIVILDTL NYIKGWRYQLYCEAKNVQTPFGLVQIGCPVARARGVNEARIRRSEQQEQQEEEQKAGN GTTKDEPTTISTSTTTTTTAAAAGAAPKSGDLHPETYQQSHQNWQQKQFEEESTGPDF EDVTLSPTDFQEPYTPRSNWENLVFRYEEPNPMSRWDSPLFIITWDDTPTDTKATFDQ IWDEVIVNKPQKLIRANKSTVQRDKDPGGDYLYVLEKETQDIVKRILEQQAEVGEGGT VRLSRSGTKEEGEEMLEVELPGKKVGLPQLQRYRRAFVALNRGGIGLEAVGTLAAGRL RESFVGYLNDAFEKEM NEUTE1DRAFT_81874 MVFCGKPSKGCSVCRKRKIRCDQRVPGCGQCQKRKEECPGYRNL VDLMFRDESDHVRNKAEEKARRRRRLSVKTPSSPSDPRCSITPERRQTSPSSLVPSPQ RPMSSTPEAAWSDDDDSIPMSPDSGSWPVTPPVALLYDLSPSCRQQGIAYFFSRYVSV EETTCHHNFSFVFDIWKPTSMAHDRHVDGVLASMTAVGLVGLAGVTRSPDMMEAAWKS YGTALRLTNHALETPIEAVKDTTMLSVLILGLFELIAEHPSHMRTVEAFQEHVNGAVA LAKLRGPAQFETKGGVKMFSMLCQRVVLSCLQSREPMPMPQPLIDLWHAMPQPIQLKG FGCLTLSALPLMHNFLQVRADILNGALVDSDNILAHLFSIDERFEQLSAQFSPDLPYK TFRLTRHHPAVLNGVCNVYSTLWDTTLWNSLRMLRMVLLETIICEIQQLSRRLCLKPT SGPYADQFKGAKRKLKEILEAICGSVPQLLGLVDPADGSVDNPCSTPISSVEVRETPS PPTSPSARSSDSGGSSQSPMDPARAQCASLTILHPVTPASTDAEEEASRFVLLVSATS PVVWPLYMVGMSSVCNGQVKSYVVGRLRTLYMETGVKQADAVANLLEEHEIADGEEDR NENRAEEHAQWLPGLGIGRDWMSTGRMEETPLYLRVVQQQHELFHLGGPVMMEDGKGM IDPQLM NEUTE1DRAFT_81877 MGKGTDKLYITHSEWSSSDAYGASTGANAGARAQRRGANFKKLP FNFCAASLQPFKNPVCTPDGTIFDVEVIGSWLEKHKTNPVTGEPLSAKDLIKLNFARN GDTTDSDENKGDLIDPVTFKVFTDNTHIVAIRHGSYANVFAWETVERMNIKPKMWRDL VDDEEFGRRDIITLQDPQNVSASRDLSQFKYLQDGQDAILTKEQEEERKGGSVNIEAL GRVGEKVLRAKEAVERARAARQAGGADVNRLTQALTTTSTNNNKTAIARGQSLIQERK RPANAATYTTGLTAASFTSTGLTPSTSGSLALLSDEQYLLKPSHRIKNKGYVRMETNL GPLTLEFLPEFAPKAVWNFLRLSEKGYYRDVAFHRSIRNFMIQGGDPSGTGRGGSSIW GKNFEDEFEGPNTHSARGIVSMANKGKNTNSSQFFITYRPASHLDRKHTIFAKVIEGQ DTTLTAMENVATDGSDRPLNKIVIKDIIILIDPFAEWMKEKKEKEGEEERKREVARQG GTEDDRTTWTGKRIRADGTMEGQGMGEGGGGPKVGKYLDVGAVKKAATTTTTTTRKAE EEEVDTWEEPVRKKAKMGGFGNFDGW NEUTE1DRAFT_146385 MRSWAYAFFLLVLSVWTVQALEVKLDESEENRQRCAGMYSKQTW DGPVNPFISVKFTDFGSDAGDDPIVSLLIFEWQDSDLVGVLPEGADRRIGICEPQYVD AGYCNTTNVGEYILAPNATALSKNIVFTDAIHLKKSAPVKYQIKNTGYYCVLTDKYTA DRYEALVEFRNAYGELPATQIPKLPFYGGITILYALVLAFWGFLYFQHRSDILAVQNY ITAILIFLVVEMLMTWGFYDFLNRHGSNVGSRVLLIVVAVLNAARNSFSFFLLLIVCM GYGVVKHTLGRTMIYVRWLAIAHFVFGIVYAITGLLVNPDSAGPFVLLIILPLAGTLT AFYVWTLNSLSWTLKDLKERKQHVKEGMYRKLWWCILISILVIFGFFFWNSFSFAQAS DPGYVPFHWKSRWFILDGWPNLVYFADVAFIAYVWRPTANNKRFAMSDEIAQDDDGII EFSNLDIGAPDDSDDEEAQVGMKGRKNQSANGGSDSGAGTSQQQSRNLAGPSSSSQQQ QQQPRSPNPQRDSMDGETIFAVGEDGDKFSSDEDSDEEGNLVRAKK NEUTE1DRAFT_146386 MTRLAVTRALRSVPRATILSRPPQFPRTPSFRNRAPPSLANYNT RSLSVTARRLSDDDDERKWSTPLAKQLAEAITATGPVPLASFMRMCLTGDIGGYYTGA IEKSEQNRDQFGVKGDFVTSPEISQVFGELCGLWYVTEWLAQGRPSKGVELIEVGPGR GTLMDDMLRTIQNFPEMAKSIDAVYMVEASPQLRMAQKNLLCGEDAAMSESKVGYHSH CKYGDIPIVWTETIKSIPYDPEKTPFIMAHEFFDALPIHAFQLVQVPPTEPASPSSIA TITTSGPKQSKQPRTSGTTDPILEWRELLVSPTPPFSTHTTLRTPASHNPHLTPPPDF QLTVSPSPTRHSLHLPDLSPRYRAIKSYSSSSSSSSSSSSSSSSSSSSSSSSQKPLAV LPDGTTQAGALIEICPDAFLFASDFATRIGGSPAHPKHSPRGAALILDYGPGDGSVPV NSLRGIRKHHLVSPFAEPGLTDLSADVDFTAIAEAATNASEGVEVHGPVEQAWWLEGM GGRERVEQLAKRSQGGNEEEKDKFVKDLRRSWDRLVDRGPNGMGRIYKVLAIVPENDG RRRPVGFGGDVVM NEUTE1DRAFT_81886 MVRYIPGLTTTGVTPVARPADPLTDLTRLLNRLQQTILRADAER EARLRASEYEREKAQANINYARSLLTKLEQEALGVKIHVRKNEIQTDLIRKREIIEQL TERLSDLAEIAAAEEASRHGGEGDNGEDTSDSEDILADIIATPSESLDSIKSPGAPVL GEEEEEHGWGDDQDQVAEAAPNAEEKEQQPQDQELNEKQGTEGPMDDIRTQQPELLSE KRPFPETTTTQIIRPRRQPNNDNNDAANEKPTAQTTSSSLLPPSTTATSSSQPGTISA TAEAILDTQRAEQDALSESILKLATELKASSQAFSSYLEEDKETLERAGEGMNKTEQG MTRVTGKMSTLQRMTEGEGWWGRMMLYAYVYGLMVVLLLVVFVLPKLRF NEUTE1DRAFT_43047 MPRGKAGTGRPRPIFKGLNIALSGTFHGQWTDTNISRWVNLRAG TFSWRMSEDVTHLVCTKEEFDKKGRKVKEALARAYKKDKKCYLVSLDWLEDSIHERKR LREDEFSHVATLKALKNKARLERKIAKGVEEEDRAVNQNLYRVYFDPTDFFEYNIILA RDDEEMGITGERYVVKLYESNALPHLYHVGVRYYKSKKDTMPKLHRLTETPGDFQREY ESFKRFFEIKVGYPWDERLVRGVGSLGAKFFSYQPPTGGKPVGWVPQEYIPKEPTPPR EAEDNTGSESMSSDQPALILNSGVTHGEEPTTSSQEGSQDQKHQSDQSQHLEEAQYTE SPHTPQHDRQETSEATTEDTLLTQTADASGENATVGMMPVVDSETQVF NEUTE1DRAFT_122230 MTTFPTSWTLTPSARLEIFTTQILPAELQPYLPSSPPSPTASTT KDTTTTRRCPLAILIVGQTGAGKTRLAPLLRRAMTAYHPSHRPPAHFIADTYKTYHPH YASCVAQFPPAQASVLAGLDARLWLQMACQHAAEAGIDVLVESACRHPDDFCKLVEIF SMAGYTVKVAILAVPEGVSRLGCLVRYWKRLPEAGSRGLPVRLTPKRVHDESYQGLVE AARFVDESDAVDGVVVVRRGEEVAFWNERVRVEGDGEGVQGERKEERTRRWIREPAGG ALKALEIERARKLSVEERRIVEEDVEVLRKLGDPKVDREIEDIQTLVDGIGVGFAGTF PPLKPFDADKFKNSRVLARKYPDMGLGDCFHLNFAGSCCTPSPCLSKYHV NEUTE1DRAFT_81891 MAVINQAAAKLRAQLFESDDLIVCPGVQDGLSARVCLQQGFKNL YMTGAGTAISLLGMPDLGLTTADDMVRQASMLSSLDRSVPLIADIDTGFGGPVMVART VERYILGGVAGLHLEDQVTTKRCGHLGGKEMVDVATFVARIRAAREARERLGSDLVII ARTDALQSMGFDEALTRLKAAVEAGADAVFLEGVKDREQMAKFTKAMAPTPCLINLVP GGLTPLVNAKEAKELGYRIAIWPCFAMTHAYLAYQKAAKELLETGAVTAGFTEKQKGE GESAEQVPGGIRELFELCGLSECVEFDKKMGGQTFSKGV NEUTE1DRAFT_100773 MADRTSASSSSRRTKQSYDAIGSFVIDQEIGKGSFAKVYLGRHK VTGALVAVKSVELARLNKKLKENLYGEIQILKTLRHPHIVALHDCVESSTHINLIMEY CELGDLSLFIKKRDKLITNPYTHDLARKYPVYPNAGLNEVVTRHFLKQLASALQFLRA GDFVHRDVKPQNLLLLPSPQMMANNKTAKHIMSGSYDSFTPAAGLASAPMLKLADFGF ARVLPSTSLAETLCGSPLYMAPEILRYEKYDAKADLWSVGTVLYEMVTGRPPFKASNH VELLRKIESSGDVIKFTRESVVSQEMKGLIRALLKKNPVERISFEDLFNHPVVTEPIP GLVEDDIPKPPRRRSLKEERPVSRAEDSLVPSRKQSLRKDLADREGAPQTAGPSSPKP RRSSPLATPNEPVEISKPNYFQIPPREDRLSYSPRKEAADGLGIKRPQVQPSTSAPTR PSSYVDRRHRSSNASLRAPVREANPPPNDVTRTKPRGMGTKPMTEEERAAQDIAFERD YVLVDKKHLEVNALADQISMYPQQPQSPKSAQIVRRATQQGSPTSTSGAVPSPPSRAL QLAQGHSRQGSYDKALGTSPSKATSVISKAIQDASLRLFGFKYAPHLLSKGPSPVPMY NPFPTYPAPNTPTGLISDGKQGTPVDEDSRVAQCIEDHATRSDVVYGFAEVKYKQLVP LAPSMDHGLGGAPIEKSAEEDGLTAEAIVSLSEEALVLYVKALSLLAKSMDIASLWWS RKNKVESTNSITSAARDSANSEALALRINGAVQWIRSRFNEVLEKAEIVRLKLAEAQK RLPEDHPSHPNNHANDSTALNSLSTVGVFLSEGISAERLMYDRAIEMSRAAAINEIAN EDLPGCEISYITAIRMLEAVLDQDDDHLPKRKVSGASKEEKTGANELSDEMNNEDKQV VQNGEPTSVLVQNKASLY NEUTE1DRAFT_116976 MMQVEIIGRGCVEAKDETANAWSREEVRVRSTLMVLRICYAPGL GGDGRWTAKRR NEUTE1DRAFT_43249 MAKSRVKRYIKAEDNSKVRRGHCRLQELELSSFSQLGRVKDGCL MVFGMSTDMVEDKCIQLN NEUTE1DRAFT_41475 MRFTVNNNALLVAVSIITSITGTGRVSAHPTDDKLVQSMVASHI LEGRLNTKSQCGYL NEUTE1DRAFT_81897 MPDEPAISKPTEAGRANTGDVKTPKTSTPVTRPHRHVVSAQVYD VLTLLFVFRFINALILRTFFQPDEYFQALEPAWSMAFGDQSGAWLTWEWQHQLRSSLH PAIFGLAYKAAHWILSALFPPAFEMFVLEALPKLVQSVFAALCDFYTWQLATSIFGDE SNVPWTALWMTVLNPWQWYCSTRTFSNSLETTLTIAALYYWPWDLVQDAKSNKQEPLQ VKDNLGSLRVSLILAAVAVLLRPTNLLIWLGVLTLTVTRLTLDGESPINRSTLLVLVK EIIVCGSAVLAVSLISDRLYFGYWTFPPYKWLYFNISQSLAVFYGHMPWHYYLSQGIP LLTTTFLPFALVGLYKATSSSQTLTVLQSNILKTLSFAVLSMVGTLSIISHKEVRFIY PLLPILHILAAPYVFKFFTIPATSATAATTTQTKGNAAAPSSPTTTGPVTLRHKISLA NLLSLNLLLAIYLSLFHQPAALSVITFLRTEFERIHPDSLSLDSSLLQKQELFALFLT PCHSTPWRSHLAYPALRARALTCEPPLHTQPGSEERRDYMDEADRFYKKSEEEDGLYG VEFLREEMWGGDGLTSGGGAQGEEIPRYIVGFEGIEESLKKFFEEDKKGKEMGVELNK VWEGWNGAFNEDWRRRGKLVVWDTGVYDEVVAEQKRSVF NEUTE1DRAFT_116977 MAPLADTASNGGSTLSLDHLITGSDKYHAAATNVAIAAENEYAA HNYHPLPVVFAKAQGVHVWDPEGKHYLDFLSAYSAVNQGHCHPELVKALTEQASRLTL SSRAFHNDVFPVWAAKVRSVFGYDMVLPMNTGAEAVETAIKIARKWAYKVKGVPHDKA LVFSAADNFHGRTMTAISLSVDPESRDNYGPYVPQIGAVSPSTGKTIRYNNIADLEEV LEAHGKETAAFIVEPIQGEAGVVVPDEDYLQKVSALCKKHNVLFICDEIQTGIARTGR MLCSDWANIKPDIITLGKAISGGMYPVSAVLADKEVMLVVEPGTHGSTYGGNPLGCAV SLRALELVEEEGLVEKAEKLGQIFREGVEAFKSPIVKLVRGKGLLNAVVIDESAAAGR TAWDLCILLKEKGVLAKPTHGNIIRFAPPLVITEEELRGAIKTFGEALQELPTVTKSE GH NEUTE1DRAFT_146393 MSDIKDLSLEEKTHHDPSGFSSSDKGAEAGRISYDVGPNSPTTA TALSPPEGTTEAAIVRKIDRHIIPFIIFLYLLAFLDRVNIGNARSFGLEADLGLEKVE YNTALTIFFVPYIILEIPGNILMKKFSPRVWLSICCVGFGLVSIFQGLVQNYGGLLAT RFFLGVFECSMFPGCFFLLASWYKRADAQKRYSLFFSSTSLAGAFGGLLASAIGKMDR LQGYRGWRWIFIVEGCVTFLAGVLFFFTFPAFPEEARFLKPAEREYVQAMLQADHGHS AAERKITLRDVGKALTDHRIWLGGIMYLGLIVPAYSYAYFSPTIIGSYKYSAIQTQLH SVPPWAAAFGFAMVTAVASDYLRHRFMFAILGIAVAIAGFAILMTVHDPAHVNVQYGG LFLVAMGAYSAMPIIVCWFNMNLGGHHRKAIGTAWQIAFGNIGGIIATYSFVASDAKN HYRMGYAICVSFTCLSALACLLYAISVTMENKRRAKMQGDGGLTEEEKADLGDLNPEF RYML NEUTE1DRAFT_63226 MTSAAAAAPVAPAHGPPPPPPTSSQTQAQVQAQVHVQAQAQAQA QARTQAQAQAQAQAHSHSHTQSLSQPQHRAYNPYSAFAHQPQPPLPTKRDLKSWWKGF KLPSKHQEAHGIATPFTRSKPYRSTSLFAEDKEGCLSDLPEAVALLQEIVAQELLIAA RANARSQQTSVSLNRMSTGALFYEKPLQLDTLARFLNWRLSRRCTGVNGPWPVQDSAK TKSVVAEPPKGIFGVPLRDSIKYANVAISLVDENGKSYIYGYVPIVVAKCGVFLKEKA TDVEGIFRLSGSEKRIKELKHIFDSPDRYGKGLVWDGYTVHDAANVLRRYLNDLPEPV VPLELYEEFRKPLKGATRQAAGDTDGPQFVENFDMDAAILRYQQLITELPPLNRQLML YILDLLAVFAAKSDVNRMNSQNLAAIFQPGMLSHPAHAMAPEEYRLNQCVIIFLIENQ DHFLIGMQGTAADERTVQEVQKGTPTINAPEIPKNYQPGVNRTASNASAGAESVSKEG MIRRNKSTSSRRSLASNGAPSPGSPALTSTPTGGLGRSNTLPSKRSPRVPPGRFAHRY DASSSPVGPLTPVHPPSVPGVTPPTPTVLPPAPAVVHEVATPPEESSVPSIVTSTAPS SLLPNHSVSATRSREKLLDAEAEAATPSKERRIPNISNIFQRAPTGENELRQPNKLKK KMPGAGGHYSAHSSTMSLPRSATASPSVEAANPMDTVPSLPGNLDAVVSGGQPAPSPS DSTPKASQVPSMPSTTVHPHNASEAQLKPRESPPTSVTSYNDMSDPDQVETQTSASLA SPEMASPDRDKRRRWRLSRKKEDSISLNSPLNISQPLISPRMLGSNSQAEGSNSSVGS SGYFHHRPRASMSGDVSDAGMISSLDDRSMMSDATREGRDRDRDRDWDKSSEYRKSNI ADWLKNKYREHRETAELRRTKSPPAHDGRSASIGERIRKSLDMKREMINGSENGYSDE HIPMPPPPMRESGPGHSQTSIQSAAQPVQQVQPQPLQAQQPPAFYQTEVQSVEVQPVV QEFPAQVQPNLQPEQRSSQHPEPQQASAHQSQPQLQQEPLPQTPEQPTEASVLTPSRH MDLDE NEUTE1DRAFT_100778 MCVGVLGNHATVRGKQSLVIVTVQLSLDFEYRLRSQLGDQRRSR LQKAFHDSSCGQMGCSIVGTSWTHARPLTCQFGVRTTNLNGGIFCLGEHDSWEHCDKV ETTPALCAGYTHCVLRAAHCTESP NEUTE1DRAFT_116980 MDGLTAAESRELDQRLQKRQVKEFMSVFGNLVDNCFTACVDDFT SKALSGRESGCISRCVLKSMSTQTRLGERFGELNAAMTAEMQRR NEUTE1DRAFT_81912 MASLTDKTYFPPLEECLTGKNIILSWKLVASALADQQSDRITSN AVSSFLKDAYVQHLLKNPSKTFEPPTSKTKADFETKTAAINVTQTPNERFNIKTIKDD AIWLSTNVNISEVAALRIVVVEFQSRPQAHLVGPLSTQDIDNIQEAAGVSDAQTSNIL ALLNLSAVADAETTWAEFEKDASRRQRILAHYLSERRSFVSSAESLVTFMLHSSAAIL NQETHNLRREIVKEAFGYDEFDDHSEINISLFEALIPRYFTLLEDAIKSAQTGPANVE ERLLTEQINIDWTRTALTEAIHAMTVSFQILDLSGPVFTTPEIITQWYQIMNTYEFLD GISSGYDLVSELVMPLKSLVCVISLRLINLNRSLLYLSQDIDLLDFEEPYLASSELLT IIHTAVTNAANAGSPTASPVILAWSLILQEMFIGYQERVERRDVAQNARAQASFELEI QPNPSERRNSAGSIVSIERSPYDLFLTSQALERDNQLVEALAFAATARGQVYEVMSEM AACLGHSQLAAFSSLLGARARAVFLEVLKKSFPIVGYQNDTVSCLLTVLSGGRQYWDI SPKNALSPNQEVDSVMLRDDELKENYLLQARSRYPYEFLPFVSFTRALLTGLLADSEN SEWVIGLLLKSPTLTLEWSPDWVNYELIFEDENINSFQLIGDLDLFDTSKVTRRRPEK EEKFTIPAGTVGRFVSDSERIAILEYEHSALALLGKRLEVSLKADAYSSALPGLSADE VAESVLLLATVVRVETLRTAVTVSRAGSEAGMAVLKEASRALPHTKDILTVVCDLMDT IIQDDLVNVDGPRTAVLAACLQFLDAALPVCPGRVWAYMSRTALINGETRAGRLSRIT GNLDMLSERFDFLSSAVKFFSNLIEIAMLGAVQRKVVKETNARSNVDTNAWLGTSEKV ISRVSLAIAQTAVDIIENSATWRFPSELDRSVVVRDVVGIMQKLLSYTYNLSASGPSN TLTGSLEPAARYVLESFVTASSNSLRFQPLLATLLVAFQIPDSTLYPRRAAVVSERLL VVLDFATILLRVSNYLDLPVSKLQTQLFKSASLVARLPAIRLSFKIPAIALLSALVES AGKGNAEPPSLLGYLGPQISRSFIQITSQLDKPFDRVPESVNIWKFFSTIMRNRQQWM ANCLLTGKTPREALKGDGKIAEISPDSVLSTAIEKLRFIKKLPSREAIAILDFFTSAQ NYWPWTIFAMQKDMSFLTDLRAYVRDLKSPSMVSRTDPKEAAYQARIAAYIAEAFAMQ LYHLRQMRQSQKFANDVVNDLDYFLRNGVEVSGYNASLHSNFAKNFSQRYPGISVEDF KRTVLVPRDLGSQFYYALDFADLMLSYDVAWDGSRKNGFKHEMERANVNLSLVEAEIA LFHAWEYLLLELSVSLLPKNETVAKQMAQVAEQCLDANQRAQPPDNIFVRLVHARANL ALTLLQRLADASHLPKDSTKLLTSITRAINNIEVPFDKDQISYFRTLLKILFVALRGT RHSVNAPAPGASTLSKSGGESSSVAVTQLTLSILDRVVAQSFRSLVALVHEPTSPTTP EDLALITAILQACLSVPGIDQCQVQILNIMASHDVFQVATSLFSWADKLTDKSGDPIY GELSLLFLLELSSMPTIAEQLACDGLLDHITSANLAGFMRRPNVGPLADNAGAARCYS IWAKGILPLLLNMLGALGATIAPEIAYVLNQFPNLLRAAVDRFEAPGTHRTFSPSKSV SISGGPNNNKDMSGFITLTAISEIHSLALLTRVLSVIRMTNVGGHSARDIPQITGGWE DEARQNLLENVEFWLGSRKVLRERLVPLGQREMEWRSQKASEGSACENKLEEKAVEML SGVAEVLALGEAEEGA NEUTE1DRAFT_81914 MSSSSVVDHSPHDSAPSPLVPTASNLILIDNYDSFTWNVYQYLV LEGAKVTVFRNDQITIDELIAKNPTQLVISPGPGHPGTDSGISRDAIRHFAGKIPIFG VCMGQQCIFDVYGGDVSFAGEILHGKTSPLCHDGKGAYAGLSQDLPVTRYHSLAGTHV TLPECLEVTSWIAKEDGSKGVIMGVRHKEYTIEGVQFHPESILSAEGRGMFRNFLHMQ GGTWAENERLQKAAQAQAANTKSDASTPKKSNILQKIYAHRKAAVDAQKQIPSLRPSD LQAAYNLSIAPPQISLVDRLRNSPFDVALCAEIKRASPSKGVFALDIDAPSQARKYAL AGASVISVLTEPEWFKGSIDDLRAVRQVLNGMPNRPAVLRKEFIFDEYQILEARLAGA DTVLLIVKMLEYELLERLYKYSLSLGMEPLVEVQNTEEMATAIKLGAKVIGVNNRNLE SFEVDLGTTGRLRSMVPSDTFLCALSGINTHQDVLDCKRDGVNGILVGEAIMRAPDAT QFIRELCAGLTGPVPKSAAEPLLVKICGTRSAEAAAEAIKAGADLVGMILVPGTKRCV DHETALSISQAVHMSKKTGSTEVSSQVSKSARDFFNINAEIIRKRGPLLVGVFMNQPL EEVLEKQHVYDLDSVQLHGDEPLEWANLIPVPVVRKFKPGQVGLATRGYHAVPLLDSG AGSGTLLDLESVKKELEKDEQVTVLLAGGLEPSNVVETVKSLGPLSERVIGVDVSSGV EEGGQQSLEKIREFVKAAKSVR NEUTE1DRAFT_100781 MAGRKTLERPLESLVSRARRIARLRKIREGSVNDEDVDGPFASG KVERTDKSGKSAKLSTENPALQQKSSTSLDLPGHDANNNTNNNQNTDNESNTDPNASD SDAKSNVSSKTSLSKRSIKSTNSTTSPILTPSSSPPVKKHPESPPAPPPSPPERLSSN YVLNKMTSWIWKPRGQEEVVMGKQKLERKKWELNREEWIDHPLFAAVTAIKVTKRDLA DLIYPETTRQGKEMEEMTQKRVKTKQTPGLKRFFNSEVPFIAYVRTTGLEGREDEDLL QIVRDQIRDVMRSYEQTDKRKKEAISAAEGYLPLESRLGGFLTQEDLQRQFALPSWRK RELEFLSEGLVKMRRRKEERRIQDGEIKEWVMGGKRAREEGVRTAGGKKEDGEQQEEK KKKENEPKDQVQPSQESDTSHPEPFELPPCTPTEYFSHRRHRLHNFWTQEAQPADFNY AKAIKRTTFRNWRGVKKKRRSCSKVYRSRWTTSSCWSSSSSSTEDEEENKGRKTAAEW FAGYQQRKRERMLRMGTSMDGSGRSVRTDTGESPVSGGSTRRKKNQTRQEWAEDDGNK DWEGGKGEDSDHERRILADDLELVVDASEDEDILRFLGDRCDDDDDDDDDDDVGGEGG WIGSEGVGGDEDSYPLVDHVRESMMNEY NEUTE1DRAFT_100782 MRRSQADQGRVHADGTCPGLSRASPGWPSVVSLAGSQPPQQSLH HRQGQVSVKYPPLSGTNLLLAAYCPNPSRCPAVSSERRSIPRLLGHVFGKPTRKEFCY DNLRISHNAWDTNLIKANPEYLAVNWESSGGGAFAVIPLNERGKLPDQIPLFRGHTAA VLDTDWNPFNDRLIASASDDGKVFVWQVPENFTLYTDAEEPADVSPLSKLSGHTRKVG HVLFNPAAENILASSSGDLTVKFWDVETGQAPITIKHPDIVQSLSWSANGTMLVTTSR DKKLRVWDVRQQSAVHEYQGHEGAKNSRAVWLGEHNRIATTGFSRMSDRQVALWEPGR KDPIGGFTSLDSISGVCMPFWDDGSNCLYLAGKGDGNIRYFEYENDKLEFLAEYKSGD PQRGIAFVPRRGVNVHENEIMRAYKTVNDQYIEPISFTVPRRAETFQSDIYPPATGLK PAVSAQEWFDGKDGIPAKIDMESIYEGTAPREVPADYKPPTAVSSPIQPPVSKMESTP PPATRVPTSTVADQKASMSAIANKFQDNEDAEPSEGESSPFEEVSRPAARAAAPVSVR TSLPPASAGKTPSPTTYQTPVQKLASPVRTTAAAPAPAPAASPSSGNVEASLSQITQL LEQQSRIIGAQSDKIQAQSQIISHLATEVETLKKRVGPGPQDQSERIRQLELELEAAR S NEUTE1DRAFT_122240 MSTLATTAAGTLRMRIPYRELPPPTTIIPATATTLPGAVAALKS FLTTPPPSGLPNRTVILTGAGLSVASGLADYRGVNGTYRVNKDYKPIFHHEFLASHET RQRYWARSYIGWRGLGRAGPNPGHYAIRDLGNLLTERYSGDRNNKSITGVITQNVDSF HKMSHPDIQTVELHGTLASVVCTSCRNQFPRDEYQTTLARLNPIWADFLREALASGAL ETEDIEERNKKSIKMNPDGDVDLAEAPYTTFRYPACPSCLKEPPRLADGTKTWVEIDK DGAWIPSSTAGVLKPAVIMFGESISAEVKGEAEKAIDNAGRMLILGTSLATYSAWRLA QRAKLRGMPIAIVSIGGVRREEMFFEDLDPKMAGPHGVRVEMATEQLLPALVEELKTS FSGSPVPNTFSTSTSSPFCILSTTSRKVLILTSAWREKAA NEUTE1DRAFT_146400 MDTIHSQADAARSAVSSVTTCTPAITALLKSLLLPKDDATAAAE ITTTTKTASSTRPRSRANNTATKATTASKKDNGLSPKEKATLATHVVNGTLRALSDYA KNPPTTARPPTPTKRPAPQEEELLRSATTRNPLQRCSSTNSTPLSPLQPRALNRVTTS PALSKRSLSPAKAPKHDTNLLATVECARVALGTLRQLHTSSKLTLPELQLETGMSSLI ARLITLGLHDQALKELRILKKRLETPSSSTTTKKPTKTTATEPPKTAAQVYTEILDFG QVKALGPALALVITTQIQALRLLSANKKPNAIEAVVPLLRQDHSSSPTALLLRSATEK GADVAKIARQMETLAQCMISLTPSASSKDDTLSIEPRLSISPVSAIELQCFALETRLQ WWKLAKHKGDAEKDIIMPLSRYMGALVRRSQESGRSTYATCLSVYTRVRSQLDTYGAQ LSEASRTPLSQIYQVLAVLAREAGKVADAVSWASKLKEGVDAKVDSVAKICSISAQLL SLQLKDPVKYCHDNQLLDEVVAGLSGPLRGDSNDLDELLNNVCSVRRAAMKLLLALLK KENDGFADFTSNCRESLESFVLQCPRFCLRWLGKPPEPKSSTKDYLRYEQRRQLLLQS IQHTLDSSFIIVKTRLEEKRLEWDFMDSILNDCLLLLEYIGDMGAAGPQSMYHVKISH FYYLQYNVLRQQSTDPKDVAPLRALRRSIDCVKHRSTAEKDKAQLILKLERMAEMART LGRNEQALAALQTIRTSMLDDGILESVVGALATDSPLIVWQKDEKAETLSRALVAIAK MEHVFMDWTVDLPEAEQAAGLEHRLHYILLGGNPTMSERKREEEITLEHPVVDALLRI YIPTRYPIRRLRVLLALLSSAIGNQRKVPELLAITRDATQVEPDNLGEDAGLARFVPH MGAFYHSITALSDGYSDLGAVERCLETWKSIVKTCQTKTDVERSIDDIPGLLDHLQAM ADFLRLSGHDNMVAMVLELTADIARLADGPRLEDIVQHASTLALQYTNLGQSIKAEQT FAEALQYLKKQANLSGDAVATFHLSWTEHFIATGDLTQAEYHLSQARAAVEAEAMPGK VSRARRKYLVAYASYLHSLVTQERGESHHALVYARESVRCIFQDWVKVEQQLAATKSS ARSSTDDSAMFNTDDATCDLSATGKGTPYLGPESWKLALTLYRNTLRLSSIYAHLGMF QETMYYAEQAQKIANSANSGILKAGCATWMGSVYVKAANIPKAMEMLQEAANLLPEES NSYSSAVLACQIGAMCLDLKSFEGAEAMIGRAEAILEGLMEVSAALVPVAGEKAESEE KGTAMRGLKGPAAVRQLSKPVKSVHSRSALSARPKAATHVPAPQSVEDAQLSKLRAAI MVQKATAKLAKRDWNSALAMLEGVKTRSKKSLSAIISDEQMIMASCLLGMSMEQMAHD PVFSVIHDSTISYPAVYDSQGKDRGSAGHPALLQERKRVATQDTTDQEKAEYVDNLRQ AQQSLLEAHAVATVCGDSSLIHKISVMLQNVGLLLSATASSGKAKAFASSGHTAYSVE LGRNLTWRRERKALMLEKNGPKTDAYSWPNFTDLPVEPLGRMSLGFSLDLQKFQRDYI DIIPKPWSVVSISLSDNKHDLCITKLQAGCTPFVLRLPLDRASSRDADNEVFNYQQGR AELLEIIRLANETCHDARDFTVKGAKTAWWADREALDNRMKDLLTNIEQIWLGGFRGI FSQHQTQGGKRKELMNKFGATFENMLDKYLPSRRQVHHRGKKAGGGQEPQSEKVTVDP RILELFVGLGDTGDQNVDLDEELTDLLYFVVDILQFHGERNAYDEIDFDSMVVEMLDA LHAYHAAVNELDGGGDEHAHTILMLDKALHVFPWESLPCLQNLAVSRMPSLGCLRRAI LDMKTPRPAAQPAKEEDGDSIMLDADAIPLVSTGTLSSSPQSLSHPQGHHASSVNGTY ILNPSSDLLTTQKTFSPLLTSHLGPSPRTWTSITARPPTESEFASALTNSDILLYFGH GSGAQYIRGRTIRRLSPRCNATVLLMGCSSAKLNEAGEGFEVYGPAWNYMMAGAPAVV GTLWDVTDRDIDRFTGRLLEEWGLFGPGVFSKMEEKREEEKRRRKGKGLDKADEGDME KEEGEKEEEGKKGKKSLVEAVASARGACRFRYVTAAAIAVYGIPVYLQE NEUTE1DRAFT_129729 MRTTSAFLSGLAAVASLLSPAFAQTAPKTFTHPDTGIVFNTWSA SSSQTAGGFTLGMALPSNALTTDATEFIGYLECSSAKNGANSGWCGVSLRGAMTNNLL ITAWPSDGEVYTNLMFATGYAMPKNYAGDAKITQIASSVNATHFTLVFRCQNCLSWDQ DGVTGSISTSNKGAQLGWVQAFPSPGNPTCPTQITLSQHDNGMGQWGAAFDSNIANPS YTAWAAKATKTVTGSCSGPTTTSIAATPVPTGVSFDYIVVGGGAGGIPVADKLSESGK SVLLIEKGFASTGEHGGTLKPEWLQNTSLTRFDVPGLCNQIWVDSDGIACSDTDQMAG CVLGGGTAINAGLWYKPYTKDWDYLFPSGWKGSDIADATSRALSRIPGTTTPSQDGKR YLQQGFEVLASGLKASGWKEVDSLKDSEQKNRTFSHTSYMYINGERGGPLATYLVSAK KRSNFKLWLNTAVKRVIREGGHITGVEVEAFRNGGYSGIIPVTNTTGRVVLSAGTFGS AKILLRSGIGPKDQLEVVKASADGPTMVSNSSWIDLPVGHNLVDHTNTDTVIQHNNVT FYDFYKAWDNPNTTDMNLYLNGRSGIFAQAAPNIGPLFWEEITGADGIVRQLHWTARV EGSFETPDGYAMTMSQYLGRGATSRGRMTLSPTLNTVVSDLPYLKDPNDKAAVVQGIV NLQKALANVKGLTWAYPSANQTAADFVDKQPVTYQSRRSNHWMGTNKMGTDDGRSGGT AVVDTNTRVYGTDNLYVVDASIFPGVPTTNPTAYIVVAAEHAAAKILAQPANEAVPRW GWCGGPTYTGSQTCQAPYKCEKQNDWYWQCV NEUTE1DRAFT_122243 MLSYAVALIGILVVFNSPLNDFLFSNVNPKQCPGQIPQLRRIPL SKLNLKEDLIALEGNSSLQCMPHTPAPVVHVYSREPLVLYIENFLSLDEREHLLGISS SAKNRLLTPHPSEPLFEPSTTTSDGGQTTHRNTTVRDSEVAVIPRTDRVRCIENRARE IQGWRENVFIERLRTQRYRQGGHYNHHFDWSSNRGGWGRVSSFMVWVDDGDGSLVGGG TEFPRMKRSKDPRWCAFIECPGKTADKGDGLEQTPELGVTFKVIPGNAVYWENFRSDG TGRGWVEAWHAGLPVEKGVKVGLNIWSTGYIE NEUTE1DRAFT_100788 MAPVTDPFPVPGLEGLILENCRFFSSPDTELSLVVAKELGQFRA VVLQTKENFRGPLLVETGPTIYWALHSLMVKSAEFVQNYIQTSGYSVVPRTNETKAYK EAHGFGDSEHGSDDNQGHDAESVTSRSTSCPAYTSQSVPEPSQPPVKRHNMPYHNRMN GVSGVNFPPLPPPPPGWSLRNMELNGGIGNGVSHASPPYHPPPPPPPPLLPDGFARAG SGKEPCWSFQQFNHFQSQNRSCHRQAMRPTDQYLRPGSIQHVQLPSQTLPATAATQSV PPAFSAIDVAVPGSNPKPNGHSLKPEQSQHHIPPPPPPPPPFPPMSRVVNHVYGSAPW HVQRLRAYTTAGIIPPPPPPPPPLTSIHVPASSSTSSSSSATTTITPNASPNLPSVAP RFFQGASSTTSSSPSAPSISTFSSPNPTANQNSITIPCSSCGDSDNNKPTSTTPPVLI TIGFPS NEUTE1DRAFT_129730 MPKIRSFSAPWLQEPNPGHKLFEQAEETNRLPASIASSRNASQG PNRTIAHRGNEVFVARGREIRWGDLADLKDAWEAQQSRTGSASHLNGDGSDSSFEVYD EETSRLPQGPGYRVIKTPVACEIRQLVISPNKDQLAVLTSHTVHVCMLPDTSHLTSGE TAPFKPKFWTVGPTTHVTSKSAVVSALWHPLGVNGSCLVTVTEDAVVREWELSTIDRW SFDTPTLEVDLKKLADGTSLDQDFGASTSTNKAFSPDDFDMKVASACFASRNSNGWAP MTLWVAMAEGDVYALCPLLPRRWSPPPTLIPSLSVSVAQKIETLETDPEATREEKLLA KQQLEWMADLDNQEPKLLDGPYGEVTEVYSRPSRPGSIPRLQGPFELAVAPQDEQDDE VELKDIFVIGAKRNTADLMMGEDFELYADDDDKDSLSVSVICLLSTSGQVKVCLDVDG VEAQWLPPRNASTRAFPDQAIPSLLVFHTFDTLKPAEITPDSWPVFSEDVTTRYAFYV THAAGITYVSLAPWVSLLESELQSDSEAGADFRFDLMAKGQRAEVDRIYTPQHAQNVL AAATAFKSSDLGHFVLSATHNDPVIMFFDTPESELMSDRQETPVSTEHGETPEPLIVW EPRPLFHPSEVLSRPSTLPPWVDALRTSRKRPLMQQEVRLSVATLEIFTEGHRLVSSE VFELNNAVAELFRKCEALTYELKEQITKVYEVNQRIETISGEGESRSTKEQNISEEML VRNRIDVMKVRQENLARRVDNLRKRLGQVSTRELSDKEKAWAEEVRNLAGSILGDEEE EEDTTSASISTAGKSLKRFEEVQTLRDALFEQAQQLQSTDSVDGTASPMPVMKIPSEI RKQKVAQVMSLLDRETALVEAVKSRLEKLSIE NEUTE1DRAFT_146404 MLNTRQRSTVERLDRPSAYFFNKNRRNRRHDRDHRDGENEDSNM HPEAFDDPLKDATTLYVGNLQVYELFSKCGEIKRLVMGLDRLQKTPCGFCFVEYYTHQ DALDCMKYIGGTKLDERIIRTDLDPGFEEGRQYGRGKSGGQVRDEYREDYDEGRGGLG RQIQAERMREHDHKRYDDNDEQYGRLR NEUTE1DRAFT_110080 MVVRTVDALAVPLLLAVEDGVLVLGQHLGLGGHLSPPAFHRLDG LTLLGKSVLNGGDDVVNGPQSAALPELLELGHAPWDLPVCRDMISISVAYQRRPPERG LVNLKAPNIVVVVDVWGGELQPVRFVRMGPEGIAGIGAGGRSSSKQSRPKKSRAWTPL ARSTVQPRGAPIFFVKFPGSVFSISCSHGTAHPAVRALSAADGHTDTGAPAALAPSVT EGTSSSNNHARPPNRLLYLRFPAINWNRRQTTPIPGH NEUTE1DRAFT_81939 MSYYFAIIGTQDNPLFEYEFGTSKQGGDGMARFTEQARQLNQFI IHSSLDIVEEVQWTNGQMYLKLVDRFFTSYISCFLTASNIKFLLLHQPPSSSTLTGGT SRTSTSIAANPTSPQTEEAIRNFFAEVYENYVKAIMSPFYRTNMEIRSPVFRARVAAA GRKYL NEUTE1DRAFT_116987 MDVGWVALRLADCSLVVWMPQDITKELKINQCGDGARDEKGCGT VLVMFYTP NEUTE1DRAFT_63263 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G NEUTE1DRAFT_63265 MAGKMTPNNVTVSLLLRQAIYYHLDNCAYESALFFAERLYAHDQ SFCDSAYLLAYTHLRLGDARTAYHVSRGSGYRGSHLGSCYVFAQACLELERYKDGITG LENGRKQWSKADNLGKHTSFTRMPYPDAAAFSCLLGRLYRAYDDKKKAIPCFEEALRR NPFMWEAFTNLYDMGVSVRVPNVFRASDGLAQTLEYGLNATPILAWIAGPSPQPPEPL QQKKTGQQQNRQPSDPFGPYGTSQESASYPDSENGFISKMYLSQSGITSSQPGKPLEE TDTQSAPAPTAHNSQVTRAVHQAEPPQAPPRRTRAAQATDSTVTDAPSKTGHRLGTRR KDKAQESAKDHAESGTKTSTVSTSITERKRTAAGHPVQTRSMNNEEPRRSARLNVVPR PTATKTSSAGATRELRKARPPISRFARPGSSGANVGRVISGNRKPPQEDIGMEIDHAE AVPRAKEPPVLQAAPPPPPAPKPAESESVKAVEEALKTIFDLLKKLASGYALSSQFQC QEAVAAYMSLPRSHQDTPWVLAQMGRTQYEQANYAEAEKYFKRLRVIAPTRLEDMEVY STVLWHLKKETELSFLAHEMIDSVWDSPEAWCALGNAWSLAYDHEQALRCFKRATQLD PKFAYAYTLQGHEHVENEEYDKALTAYRHAIAADKRHYNAYYGIGRVYEKLGNYDKAL SHYHAASVIHPAHAVLICCIGSVLHRQKQFKQALPYFTKATELAPRAPDVRLKKARAL LQMGQLKAAQTELMILKDLAPDRAQVHFLLGKLSKTLHDKKSAVRHFTIALSLDPKAS LQIKEAIESLEDDEGPDDSMMQ NEUTE1DRAFT_146409 MEYYPQGTTVSEWPLIEEDEDKGGFFVVQPQHDPHSPHLASSPV SYQPLSYFDSSGQQNVFTGYHQHETPSSTILSGVDANHPAAFAIPTTQKTTRKHSDRS GKASSFPEHKGTTQVSSSGSHPRSPSNYPYNETTVASVGEGRSTGALISFFQDIFSPQ PSRQRQHQVESTSAGSSTKNEARHRESSSGEKTHRSMGSTRRPDKKRHSRSTKSPERS TEKAPDTKKLQSNSFEPSLGKKDAKELQQPTPAAQPERFNNLGSSFAHTEEGQRSSVF IDDRAIVQEPEGYYRPKTRDKGQKDQQQLDPYQSVRTSREREDITTKYYRQKQKTAEL EQEVANLEDENDTLRDLLSKEAASKNLMSGTGTGKCHLQDSDIYQQWRQLAWWIRQCV HVANNASKQNYTEGTTDRSRQVDDHKEKSSPKKRSSRSKSKSRRETAEKESHSGNMEA LIAITPYYDAFLDTDKQRIVLAEAAIWKTLLEKGIFTTRSTISRMEWAGRYAHSVRPM LADCFERCRTSREFHSWRCHTATFLASLTSADDLLVQVNPVVEILKSKFKLLFDIESA VVNHDLKNIVLNALKLDKQLCQQQALWYCDDPSEDAWASPTQCESGDELSSAALKLRS REVWFEDRLMVVTGAKKKKLGKSATVTLIICPALIKAGNSYGEDYDVRQVQAKCEVVI SRNAPKLATSAPRSKPTSPSSSKVTLSPSAAPTSETQSRSKSWPVRDNGYNHEQNAST RVEFDMSGNSSEGSDDRE NEUTE1DRAFT_81948 MEGLNRPLGAPPVPSVVSNPSDPEDLWQFPDGTQSSNSPQVQPS NSPQSADSLPSVDPAQGPLESPDLALPSDHPCADSPPSADSSQLPGTSQKQDVTSPLP LRRKPWRKAPAKDNKERYIAIGIDFGTTYSGVSWACSFDPENIQQVKGWPSANMRLQD EVQIPTQINLKANTWGYLVSKQSNPIRWFKLLLLDEQDVKQDVRKSSYLTEARNTLRS HPRYSGDGIIDLVAQFLKELWDHSLQDIGKRVDVDKLPLRVAVTVPAIWPSYARARLK EAARRAGIERPRLMGKTKLTLVEEPEAAALCTLHERREYPEIEVGETFIVCDCGGGTV DIISYRVKSTDPFQIEEAVRGDGKLCGAFLADSAFERWIKAKSGLKFDNVDEEEFRAF LQDEWEYTMKRAFSGKENQSSFAIRPPSKAMKKLKLKTKGGTFPISKDTLKEIYSKPW NGTRELISGQEKRIVEAYGKPPKKILLVGGLGASPYLYWQLHAQFHGNVLQPSQAWSA VAKGAVIAVLESTDDVIASMPVVVSRVARFSYGVQFGYSPNSLASFGQVPGDRGKDEY YFDPDGTELVRRMTWYLKKDETLDNRDPVTHTFSRWVRLHELSDIKITIFTSHENPPP FRRNNSVKLMCEIGIIALVPWETLERCTSPSGEEYRNLHGVLTMRFEGEPKWSLQIGQ SKVERDVKVRYEGV NEUTE1DRAFT_63272 MDTSPESGGMILVMGVTGAGKSTFVNALKPESVTVGHTLESTQA PPQAIQIFLNEEQTRSVTVVDTPGFDDTRRSNTQILAEITEYLVTQYALRVPLRGIIY MHSIHDNRMKHSSRQFLEMFQLLCGDEALRKVKFVTTHWDNIDPEREGEALRREQQLL DEWWAPMLGKGSSNTQFSGSHDSAEGIVLDLMSDDESIVLEVQRELVDCDMELGETKA GKSLRKAIEDDIAECKTTIDEIDTQMVNAMRSRHRSTNDGNVARDQAEIKKL NEUTE1DRAFT_122251 MAQKARKDRAKSNETTLKNLHIGSLTVNGIFLLSHFLFRSRSLL FWFTLSIPSFLCQYTLEKTGRPSYDPSTKALKASGEDLAAPGLTEYMFDVVWVTWAAA ILVTLFGNWAWIFWTVVPAYGIYKGFGLMGAAKSMAGLGGMGAAGGDAAGAGAVPAGN RKQRRAAA NEUTE1DRAFT_122252 MAVISLRNVVTSVPKGHLRRNAPITALVVHRRFGFSHSLITFVQ QKTSRTFAITSCPCHNQPKYPRSPFDIPTLGCETREGKAQIMGDNALLPSEPPANDPN PNPAPVTVKEEENPFPLTAVDKWVLSQTDEEFNYHSWDELRVLIQNNDLSVLKRKPSD LRRYMKWTAETKASYGSMTNYLLAHRLPKTWGAPPFTPVSDVPFAESSDYCVLRNDWP YGFEPGITHLVVWTRTPIAVDDDQGDMTPESRKIVGDFVKQYFVDRLGPGGEEKVLYF KNWVALQSVRALEHVHVLVKDVDPVLIGEWTKEQEWHKA NEUTE1DRAFT_116990 MSEESLLERKYFDGIYIPAGLLVFGTVIVKKEWTLYAALAALIL GAIKYFRMLPKKVLKPAVFQEFELKEKTIISHNVAIYRFKLPTPDSVLGLPIGQHISI GAAIEQPDGSTKEIVRSYTPISGDHQPGYFDLLIKSYPTGNISKHMASLQVGQTIRVK GPKGAFVYTPNMVRHFGMIAGGTGITPMLQVIRAIVRGRAAGDRTEVDLIFANVTAQD ILLKEDLDALVAQDKGIRVHYVLDRPPEGWTGGVGFVTQEMVEKLLPKPASDVKILLC GPPPMISGLKKATEALGFQKARPVSKLEDQVFAF NEUTE1DRAFT_122254 MTGEKQTKPEAPAMQTVDSGKRVASVAPSTEERPLAIIHQNLNP KFKPVPEVSPHLGHLPQGRRIVIKDPKGKVVDFSKARISATPGPSQQQQPKAQPNTAS SPDGPSRAALKPTPQAGNPNNSAWGSTSPTGWGPQVTKVDQPVGAGDQNSGACPHTLK GDQAVVHQANAPANPWAAETKPWQAQKKPWATERKPWAAEKKHWAAEKRWHVPQPGWK SKGPQEHRPPPVPADYMEHELPIRRLDWSGSQRSPLSLSDFDFSDGPEQVKEVNQKMS LDIRNEIGVEVPMYRYNNELGNPTIRFGHFFDGQNYDLGLQTEDYNKNLVDSWIRDVT VDAKAYLYPKRNHFNCDINPETGCFLAPVAYPETRVSEAEDPELRWRQKNWSSELLRR RKLAPKRGGKYYRGEVPPSSRQPPNVQINVNIADLFVPQIPMHLRPATASDMEAVASL YNSEDVQKFDSAPLGPADFEKILTDTHGHEFPFIVAVSGSARVETLKDGIRFESNQPQ QQVLPSNLQEGLVLGFAYLSVWKPGLAGSKTGTSRATAEAHVYVHTSWRRKKIGSALL DRLLASVSLNAKSKEMCDFWDPSRNPAYAAPCQHDRYTFKIYMQYLVKTKCDINNGFN NMETQENQTDDIAWLNNLLETKFGFKKKVRFEAAYRSPKVEGEKPICSGLCEEVNWTD NGVIEYQMANGNTDTTTTAEGTLGVGSGVQQSAQTSPVASSSDQDLEPLACMTCRSRK LKCDRIKPICTRCGTAGGESQVEGLIRNVTKQSLGPDNQGASDDASATAALDKMSATT SLDELSEEIVSGSERASYSFGFASHGSASKNVSHMEAAPAPDRATSSKRPHSPQPFEA PRVGGTGIGGFSDDLIELGRFEGLPPIEMIEDLHRLFFHFRKPKIVPIVHPGNYMRAF YSPTHMRPPMCLQYAIWANAAKGHPMYDRYYDVFYHRARQYLEADELKARSMLFTRAA MTAARCARLVHMLGLQRLDDPSGDAEDSDGHVLPPPKSWSELEERRRTFWGAYCANVH ITMNTRWPIMIDVKDTTTRLPASEDAFNTGSYEASPTLQEAFGGSSYSSFASMAVIGY LFNELLNHVHRSKVSDRPDDLQDGPYWKRHREIDNNLDDAFMFLPDKFRLPTNITETV ALQVNLSIHASVLCLHSAAYDTVKKYGLDKRLGERSRVRRLNAAREIVNIMRLARETM SPYKTPLVALSMYCASTVYIDLARETFTSPSPVPLPPWVAPELEFIVNCMESIGRQHI ITRAYLNQLLLDIEQNDVSAFFNLPNIKRYGCCNHGIPLLGRTAASKYTKNGGRPVVP RMMSMGGGGRIIPEEASWSGGGGGCGIAVTAAQACGGSLNENGGAAAGVVPPPECELG ACSGGTQCPDRQGQNGDTTGTSGGSSGPGLAPEAKRRRIHHEQQPFLERIDLSDLFKF GSSALPSQYRHRQPTAAVPPDFTTESSDEFNDGWGPGPATAVGPPYLMKSNSDASQPV IQLPHRSVNDSSTAMFADNSTGTEPSCIPVFDPNQHTPLEDMTNMDLFSNITETGGVR GMRGIGQIDLGLTDVVVDPLFLSNSNGNSNSNSNNCNYDDLGNNINTCTGKCPLLGNL DGISGNANGDYNNETATAGGHGGDDDGDAWMLLTDDVGNSGTRTTTGEESPATGTGSW DNVL NEUTE1DRAFT_81963 MLSILRKAKLKDKELRILMLGLDNAGKTTIVKKIMNEDVNTVSP TLGFIIKTIDYEGYKLNIWDVGGQKTLRSYWRNYFEKTDALIWVVDATDRLRIQDCKD ELHGLLQEERLSGASLLVFANKTDVRGCMSEDELREALRLDEIRTHKWNILRCSAMTG ENLKEGLAWVVEDAKARLFLY NEUTE1DRAFT_122256 MSDEVSDFLRSVELLKGRREEEDEARSRELEQKILAEKAERQAR RLERARSISPQKSSPANTHHHRTSIASIASTIQPPDGVDLSSPAHETTGSPRAHSVQL SDPMEPLSLASPTKENESPSSPSSPPSSSTPFDSSEPSKRSSQILTSPSAAMPSARPL SWQRRPTSQASERPKTRPLSVYAAENAAASRANAAITSPTEEQPPQSETAQVEDEDTV DTSDLSRTQLPDMAQDASANTTAATEPEATPARQSLLNSPLITSAPRLDPPTGDSTHD EPEPPSNRMSMMSPNSRASPATSRTGSPTKGLGGFVQSAMMKRSDSVKRWSVNSAGLA RAESTASNRNSIFGPQLGSPTKPDTQPDATTQDDSNPKPASRPASIPVPSYEEDRPVT PAPLSITPQPSATETKETNTEGGEEENTSIPVSPSKTMDPRRWSPSKSSSWLEAALNK PESPKTKPAPNANQPAWMVELNKAKAQKTGNTSVDLSRSASVPLRKTEVKTGGLMRTT PVGSGFKPSTGLSISTSAAAAEKPALSGLRNDLVSPLLRKGSEDPASLAKTPTTEEAS TPVLKDFRANLKSRAPPSSGAGTEGEVDELKNVFGKLRRAKTEKWVAPDPLKDNILRG KSLLNHTGGPMRSERKDELKEAILKKKEEFQKAKQEGQSSPTKAASPVVSEKAIPEAL ARRLELGRSGSFSSKSVDLPSSASSVTSPSAASPGSILDFDRTGLLSPKRRELTDIAA QIAPKPTTGLDKEASATNEVQSTTPKAVNVPGRLSKVGGLADRFNPALAGLLAKGPPA AASGASKGSGAAEEETTPKPGPQLTHMTKNRARGPRRKAPTSVLKPAEDNEETLVVES PPAAKEAVSSPIEEKQVTPTMPKPEFITRVDSRASVKSHGHQRSGSVADLVNSFKTKV AEEPKPAEQPNTADLPSRSPTKITRGRSATKVLEQATVFAALNQQPSEPAEPKSEMAS ASPARPALSHTRSKSKVHEQAALFTTLNRQPSEAAEPTKEPVAQSPVRPALSHTRSKS KVQEQAAAFVNKMKAEPSERSAETSPVRPALGHTRSKSKVHEQIAALAAASQKPSEAA SEAAPSTPTSIRGRSRAKSIVQEKLAALATADHQMKPAEPEADMAAQSPSPRKLDMKR MSRFLDEQTQPILNPETEKTSRPSSPVKQRAFPDFPRTSSTKDVSPVKDTKVDSEPVV SVKAGSALFGGGANAGLPQVTTKPTSPPPIEPAAATTTSLPPRGQVKTPTPEPRTELP PRLPLSALSSPSFTSSRRPSVPEQAPTPEPQPQPEVQSPPPPKVPPKSARPLPATPEA EAPPVPRKDSIPLPSLPNFDFQQQPQPRPQQQAQQQQQPQSPQHQQTQQQPRGLRHTR SASKYGSDAQALLSDFFGTERPRRRYMADAADVIMRRPNPGFRIQTQRAQLYQFAADG KKLPVPAHRERILFEREMYLCTHTFTNESGKKVSEVYFWVGDEVPEAVTDDALMYAAR EARTFGGKLVKMVQGKETSEFMQALGGIVIIRRGSSNKFDSLAQTMFCGRRFLGQVAF DEVDFAPSSLCSGFPYLITKQGKCYLWKGKGSDVDELGCARLVGMDLSLMGELEEIEE GFEPPNFWRDIFGGEGPGVRPMSADHWRLKPNYEKYCGRLFCSNANASGKDQIVEISP FKQTDLLSTNIYVLDAFFEMYIIVGARSQDQYASFHNALDFAQEYSILAAGMEDRPFV PISTVVLEGIPRDLKSVFRKWSDSLSPTIMNHLGGNVTVGSGSPPADVRSPGSVRSFS NSSIMSQHPGQSGQTQQGPGLRRGRSLRIVPLNQALKALQD NEUTE1DRAFT_81968 MAPVTATHQEAQESVKNVIQDLLNLMVQVSQYDTNPSSSNNNTP TSSRASGGGGGGHASSRDIIAQSLQTLDASLLSVYRTANLLPSSPSSPSNNLPQQGTT ELERAEAAQFASTFNNTHNPYAPHVHQPGPQNPGIPIPLITYVENGRNPDVYTREFIE LVRRSNQLMRGKMHAFRDFRDVLAGEMEAALPELREDIKRVVEATGGPGPAEGTEERA REGVVGSLSAGGEGQQGQQGQGQQGGQ NEUTE1DRAFT_122258 MTRRSARLASLSQAPESPVKEPSSRSTSTLQSVSETQSAEPETL PAPRRRKSHAAASSPMRVPRTPGKSSPVKLPMSEMHPSKVHPTMAHAPSSGLRLGFTD IKPNTNRDDDLPPIAQSTPTKISVPQSDFTFRRVAQSAAGIALSTQAQSMMEDIRKEA EKYKDEIRQREAEREKEEQANRKIAKATGKASRFSEVHMAQFKKMDSIENHPSVLRAQ KGRVPDPLKKGVKRSQSKANLDDTDPSRRSKEPTPTSSTAKAKDEDEEGRSPAKRLRQ NKEDDVSKGRAIIHDAASSIPKPKPASTATASTIPRPKSVRQSIMSPTRSSLARSTGA RTPVRQSLFKSPAKSIQSALPRPTTASKLPTLKEEPVKNTAKPSENQPKKPLEKQAEK QASKEIKQQIDTEMETLNEKEAQTPQQTPRADVKTPTSRIASAKSILRSTTASTMTKI PLPASFGSKTPTSKTPTASRTEETATSAHLTTPGGSLAKHVAFTPETQRATVAQALKS PSPVKQALSKSQPRKAPGQVYYPSLDAILREEQLDRGLLYPDVTEEAKARSVQEPSAV KQFKANSTTVEASSASDSFSFRSERTLNFDSVPRPSFGASPGQSTVRPVRPSLLPTVS ESSMPGSFPDPEEVMSPVILSHNKENEAPADPSLIYLALPHGLATKKRNRVSTDEEEL EMAERASKRQKQEPVPEGDALLAPRLVGTKAGAKKPAAAPVKTNGSRKSIAPVSPRKN LGARKSLAAGARKTAAASASATTASKEKESHRSPVKKAAAKISLSRLEQLARPKNHPR QEETETPTHLNLLPAPPPSPSTTALHFHPSTQRFITILIQPPNPSQDPTAAATASTTL LFPPPPPPPPQRPAANPQNNNNHSLVCGEVVLRGEECVEEEEGLGDGDKEERENVVVK KGLERIDRVDGGLTRQRWEMREGGKERERKKEKEKSRNGGKGGLSSLRRGAVDDSSLT RDEMASDSGSGKPETIYVNIFDPVGREAFRPGLMKPIPDWMQTGEREHLDHKTGTINS RHDKSRETISTPRPISQGLSESSSTTTVIQTPPQPTATHALTRRQSGAISPRATSPAP SNEAISRPSSVLGRRTPNLRTGTSFVSEQPLIVPSMSSSHPKETTKNSAENSASDSWP STDSNLSSNPTTFSYNNQFETLSRPSSVRNVATIFERGIASKDQRHTPTNQPLPLLRV LQQPYHPLSPTSSETGFPSSPPHLQPSSLAKRQQRAQTHELGAASLPKPIQIWRGGQA GQKSQINRLGSATVSIPVVSTSSEYLERYQPAKASTSLLSSSSSSTQVTRSSVRSIRA GGPGVSAITRGWKGQLSNTTSSGETERCLMANRVSVYSTSQPPSSFVQSTYQSGKRTS IRRSVSHSIRREGALDEGVGVGVEVGYSSHQVEPYSAANGQATIRRSISHSLRREGAH DHGQTHHFTSHSQSPQSPTLPPRSLPYSSTRNSSPNGPTSPTPLNITVQRKLTRNNSK GEPTIGKPVVTAASTSAKETEGAISSLESSPGTGTREEKGGGGSSALKA NEUTE1DRAFT_42309 MPLKVGAPMMHETCNTTPPTFDSPPSLQRNWQPPQNAELPLFRR TSLSADFGNTSQMRHRIVAKNLFLKRELIDTPDAIDLAGFASHIRTIGALQVLHATTN ARSTCALPLQVGSDVGSGEHRTNSMFLRQLMRPVTGPGAIEVHGSWRRAQRKTGSQPV GPSPCRQMESADGAGAAERLPKARGAGADVSNFRLGKPATWKIAFGGWGWNGASTLVV QKSMEP NEUTE1DRAFT_100805 MSIDKHDPTKDDSEDSQMESSPEREREGEAPGGEANGQNTGTST KTAAPQENQQPKRKGGRKPIYATSEERKQRNRQAQAAFRERRTEYIKQLEDAIRTHEQ NLANLQAAHRHAADECLMLRYKNSLLERILLEKGIDVQAELQAKTGSPNLGPTHMPQN LVQQPPIQRALLNRHHARRSQSSIAPKLEPGIPISPLPPPVHSHASALSPKSRPTPLS HSASPSTTATFGSHSGASPVTSDGMIPPIRPHLPPASALKIHPPSQLGNGVPGLAQQQ RQMQMPGLQQGQNHMRNSVGGNTNSFYPTPSFQNHIEQLEQEYDAAAEMMDDPGETPD TPSGPGPYPGQAYGGDPQAMSLSSPVTSGPQGNQQSPIENGSHQQHPAYPPMAQLLDH QYDFGDPFGLSASMAFPTQFSFDTSNMR NEUTE1DRAFT_100807 MATRTLSKRMHGRVPAAALHYSRHWTGWRNRKLRGNMLVVNGVS MCHGLPRELHDQTEPSYLLKTPDMSHLLSARGASVASIYIVRDID NEUTE1DRAFT_116995 MSVASKNLFDLLGNDVEDNTAPAAPAKIVEKTSTHTTKRNSDGV APSKTPAQGSGRRSNLTGNEAAFRDRNAGSDRNRGKPTEEGAARGPRGGANARVRGGR GGRHPRNTSDDRHSKSIPSGSEKQAALSWGATEGQAELKDEQAAEEIAKTEQKEATTE GEAAAEAIPEEEEEKHVSYSEYLAQLAEKKAALEAEAALKVRKANEGVVEDKKWANAK PLKKDETEEFIAGSAGKKQRERERKVKQVLEIDQRFVEPERPARGGARGGRGGPRGDA GRGRGAPRGAARGAARGGAPRTENKAAPINPNDESAFPSLGN NEUTE1DRAFT_81975 MAPTDGRPGQPFDFGAFLLRHLPFSSSTTPLQALTYLLGICLFS ISFLVFLNSSVSFVITDLIGVKHGVGDIVGTLGFVDEIVALIACPIWGLVSDRVGVRH VAVTGYAIIGLSLLVFVQATNVYPQLLLARILFAVGATAAATMVTAILPSLSDETQAQ TDVVENPPLNPRHSITPSVASEVTITPDRFRDHSTSEAGFRFDGTQGKPEGRGKPSAL AGYVGLFTGCGALVALSLFLPLPARFGHIEGVTVGQAVQYSYYVVGLVSFLVAIFVFF GLRGIKGEEGKGFRTLFGLKRRTDDHSAPYSSKQVYPYLHLLRDSIRLGLTDSDIALG YLGGFVARASTVAISLFMPLFINAFFIRNGFCQGSPNDPSPELKKECRQAYILSSILT GVAQLMGLLCAPLFGYLSSRKFTKQNKVNYPIIVATTFGIAGYIAFPQLKSPEYKDKD GRGGSPVVFFLAALIGISQIGAIVCSLGSLGRGVLKVDVVNVITHPHRVDRDSEVATV TEPADGRNETAPLLENPGALPEDTVSRVRLKGSVAGVYSWCGGAAILLLTKAGGYGFD VWSTGTPFYMMAGFNVVWLVVSLGIDAGRFGFKRKRGGVVIYGHQVPSGF NEUTE1DRAFT_63298 MSASLPGARALPDSQYDLSTYWGRVRHTAGLTDPRTLLVGNAGL EQAKQLLVSYKQGQIKSMTPDLWQAKKLVDSTLHPDTGEKVFLPFRMSCFVLSNLVVT AGMLTPGLGNRGTIAWQVANQSLNVAINYSNANKSSPLSWSKIAQSYFLAVGASCGVA VGLNSVVPRLKSLAPSTRLILSRLVPFAAVASAGALNVFLMRGEEMRTGIDVYPVLSA ADKAKLVAEGKGEGDVESLGKSKKAATIAVAETAVSRVLNSSPIMVIPPLVLVRLQAT QWLKRNPRYTTPINLGLILVTSYAVLPLALAAFPQMQKIEADRLEGEFKGRGGQDGLV VFNRGI NEUTE1DRAFT_110101 MSRSGARTITSGVPGQPNDLQTPARHGDSPPLLFGQTPTSMKKA WMEESGEAITLDSWVEIDLLGTTWGRVVIVNVPKCRALNQMGLFLPAPPGDPSPWASA VHNLWRGEGFIRK NEUTE1DRAFT_42603 MDKYLMAFILSMTHGLLPLKVSVLEDFIMFCLGLDPPCIERALN LREVPLHQLSKTKAQYQQRDTAQPTPVVVRSGNSAAPVM NEUTE1DRAFT_122264 MSTPITATATLPRAYSDRYHSQFAYQHHHQPYPVQANASSFYSQ NTPNLPSILSPTGTRQPFPDPPSAQYSLVDHQSPVNAPPPLIASSVVLKPITRTLPPP HPCPPQQLPPQRLTLQPGTDHTAMATAPNPDGSEPASQQTRKRRRGETNWAEFYQNGL PKEVIVIDDTPEPEGTATRSVASQTLSNAHIVTNPSAPTQPTAKRRRPNGHYDPVHHD NYVPAQNQTQRSLPSASNSLNSPASTSNSANSAQHTTTATSLGSLSSHSYNDDYAQPG TKRRKLTRQQANEAKRRETAHADTFAVYKPPPYPPKKAGEVHVKVIQDTSPNQNARVD DDDGHMIVIPGNDLTERYEILKLLGQGTFGKVVQAKDKKRNKLVAIKIIRSVQKYRDA SKIELRVLATLKANDEENRNRCIHLRDCFDFRGHICIVMDLLGQSVFDFLKDNNFVPF PNSQILAFARQLFTSVAFLHDLNLIHTDLKPENILLCNQEYQTFTYSRTIPSSSTLTN RRAVHRKVLLDTEIRLIDFGSATFQDEYHSSVDDQEWWQPGREK NEUTE1DRAFT_116997 MFILERMRQVDDLPPRWLLALSSSMKRNCKLHQALSHFVVDGTI PKLLLGYQDGAVLQNYF NEUTE1DRAFT_110103 MPRLEVSDRKDSSSAWTSHSSRSKSTSDHGDDRESDRDRRQTDY DHSPDPDPPNCLRGETCRSNPLNFTVFLDKDKNLLVASHLQYLYDPSRYPHLIRYDSN RPLPNLLLRLGGTPLLVLADLLQYPPPISSTVCPPSDWLRAQAWFLDDDRNPRRHPVF EHPFLTMESLGTIHEDFMIMFSRDIPPWIMRKMDNERPYCELGGHNQLNHYLLGWCWF WLQMEVSQRVDRVRAFLVEEDYEKLKGKEGTDWERERERIRREREEKEDDDENPFKKF GVNKVQAIREARDFVERMSGIGALWFPDSEKKGKFEKYGDVIPSKRKLSGEGNTREYC VACNLSVVAGSGEEVLAALLANMISRAPCKNEKDVAEEDGGLPKLYPLVDAWIENLGE ERAKVVKEKAKKLALTLFTIRKYLRWKGAPQGRDKWLYTDLGTDPYHPHDMNLTPSPT IRMMKEEKAVKKEWECFCKGEDPLDEEKKPSSSLSRTRIRESYSSKHTVPLSFYNLTN SQVSPAPTPSRLFRHYINFGSHSYEITAAPIAVQGTVTVPGTSTALVRTRDYPSEEWD SYSNSDSEFDDATTLTCNSFTTIGTGTGTDQRLTNFSNDDDDDDNEIISVTTWSHLSA VPEALNFGGPSKFQREERETQTQSERERYREERERYRHEGESLMSQAHNSQTPSSAQH LPSVTDTRDWAANGGDHNRDRDRDRELVDRERYRHGGSSSSSTSSRRGHHSHRRERDK DDEEPTRIALKLLSIKDHLHLARKKRGSGWERIKEE NEUTE1DRAFT_81984 MHYKKLAVAAASKRIRTVLPTRIFTTTSSLLSLRRCLSTRDFTG SPESSVDPSVFTSSRIRRVPDSVSNPRTTPSRNLRPAKPTTRLAVKPPSKDRPSKSKI PDSVSKTVARELLRNRYLFSEYSLFSPQRAFQKWKNHGLLKHTKIPEDFFSGRIPIKL YPYQVDGPRFILASPAPEQKEALPPPAKPEFGAMRSHVQIVAAPTADTPGACLLVHYD NRRYVFGHLSEGTQRLFTENKIPAAKLSHIFLSGKTDWATTGGLLGMILTVADVIISA KTAVEEENKLRRQKNKPEITHGGPQRIEIHGSKNLEHMLAAARRFVFRKGFPLDAHEL RADPRAANPENSKPDYEDENLKVWKIPLVATGMESRSRSSSTGSQNPRKRKLNSSEEP EVMDTLVEGEDGEVVEDLPAGVEDDEKTHLLMQTIIKHMFDSDWKADTLVEQPLHKVK LPAKIFVRDDEGKITVYKGPLPGRDANVPDIRVLVREPWPGALVHRLPPTDPCFDSTC YIVKNHARRGKFQPANALKYGLPKWTFSKLAKGESVAAEDGTVVTPDMVLDPPIPGHG FALVDVRYEYLLDSLLKRPEWENKEIMEHIDAFYWILSPEVKDDSRLKDFMAKHSSLK HVVLGEGMNPNTISFGGASGKAIMMHRMDPDRFSIPNHNNEEEALPAGLASVAQLGKP GERLLLSPSVEFQRQFANAVMDTIKPIKELTSTHAKAFNLALEAQTKVSDPAFAARVA ESEQDIPNRDAEIVTLGTGSALPSKYRNVSATLIRVPGYGSYLFDCGENTLGQLRRVY GYAETDAILRDLRAIYISHLHADHHLGVPSVLARRAAANAALPADEAPKPLTIISTTK YIGFLHEYKDVEPLDWANIKFLTLIGTGRKPTDDPSGPPSADLGPGNNHFNMLPGTLC ALQPASFRTAATLEAQTGIASIDACFVDHCLGATAAVFTWPSGLKISFSGDCRPSDCF AQIGKGSHLLIHECTFDDELIGEAKAKKHSTASEALDVGRKMGARRVLLTHFSQRYPK MQAPVLDELEGQEQSVSGEKKDRTVVLYAFDYMKIKLGEFKMAERFLPALRELYGELE NEEEDGGEAKE NEUTE1DRAFT_63306 MRRSFQHPLTLLLQTITILCLFPSALASSKTLLIPSTSFNSTTT FNTYWSLNYPWGTDHNGAARMSPSQVSISPSADGTSSTLTLTAHRVTGQKPATHGGKQ IPIKYLSGAIHAKQHFTITSSSSSGAGAVSGYDFSAEFRAPVAKGTWPAFWLTAVNGW PPEIDMAEWKGSGKISFNTFNTSSQVMTRDVVYGPNGSEKEWHRVVCEIRRDGRNGGK DVEVRFWMDGQLVVTQWGKGIINLQMEGSSGSPGPEVDTLYQVRNLEVWSYGD NEUTE1DRAFT_81988 MTTAASFRQTPVTWNWSARTLKTTTPSRSRQSLGFPVWVLLALL IIPSFLSAGTATAQCGADFVFPTENLRSFLLVWHFNRQGKDTLGSRCTKPCKTPGAFQ EFAVDHATPGNGTTPFPLNFSSTYPCWLELQAGSGDCRVESSKFFILTKDRDPDHRLP ATIGPSATVIAIDVSSTAIPGPPPHPDDHFVLGARIALGVGIALACFSVGAMAAFLYF RRRKKQQQAELANAIVANEYRQGRKGPEKKMFGGFSSSKTSSDGHLYDTVQPVFDGYP GSTGYDDVRSNNSSDIYGHSPVMSHSPSWSYNQGPWGHDAATGAAWKRSTERAGLGGG LGVSENLSITGRELEAARAKTVQPIPTSYGPNPVTPTLTPRASSRGELNERAAADSIS LDSRLGVPPMPSMPQLSAASAAPHGGYYPDYHNYEQYTIPPPTEAPTPTPHLPLPSVP TTLPRPNPTTSQTQRAQPPLIISYGPNRVTPTPAVTSPTVPPDESMIERPPRGVELQG EPASLIERRHPWEDIDLPSASNNGPLPPYASTEEYAAMENGAIRKLEEPRAHAELPPT KDGYYHATWDIVEHELPGDGYQRDPLYPQASASGRGRDIDEQKFLLDDVEMAHLKAQK ERIRAEMKKKQKQKETYQQDQGHDQDFLGESASFSTR NEUTE1DRAFT_81992 MAPSQKRKAIDDDFILTISDNEEDIPLEEEQEVVPRKKAKTATQ QSNKQKKKNNNKKSKKQQQTEDDDGEAETKEDDAADLGIWGNNDEDDGAMDTEFQFVV DGQNEVDAEFDGWGFEGAHKGVVKGANAGGDKKAVDIDEIIRRRRERKAAKEGKTTAT KEEEDKMEVDEEEDDMEEIDVDLDDDEDGVLADDAFGMGVGSDVEEEEEKQDAKMGGV DGEDENSEGEDGEKKGEDEEEGDASDDDSVATAVEHPDDVQSSDDEEGIDEEEEAKMK EFFAPEEENQPKKKGEMASFQEMSLSRPILRGLTSVGFTKPTPIQAKTIPISLMGKDV VGGAVTGSGKTAAFVVPILERLLYRPKKVPTTRVVILTPTRELAIQCHAVAVKLASHT DIKFCLAVGGLSLKVQEAELRLRPDVVIATPGRFIDHMRNSASFAVDTIEILVLDEAD RMLEDGFADELNEILTTLPKSRQTMLFSATMTSSVDRLIRAGLNKPVRIMADSQKKTA GTLVQEFVRLRPGRESKREGYLLHICKTIYTERVIIFFRQKKIAHKMRIIFGLFGLSC AELHGSMNQAQRIQSVEDFRDGKVNFLLATDLASRGLDIKGVDTVINYEAPQTPEIYV HRVGRTARAGRSGTAITLAAEPDRKVVKAAVKAGKSQGAKISSRIIDPADADKWQAEI DELEDEIEEIMQEEKEEKQLQNMEMQVKKGENMIKYEDEISSRPKRTWFETQEDKKKA KAAGRAELNGVRDKLKSKNEGKLSNKDRKKLDTMQERKQERTYKKGSAERAGKGAVLN LKKVVKKVGRSAGPKKKGGNAGKGGKGKGRRK NEUTE1DRAFT_63314 MTSPYTHGYTGQYGANEQSYESGSRRRKLAAMAGSVYRAGVAAA SEIREQYSQTRLTRDMGDGSPEQVHIPGAFPEVPIIHKGQEQLILFPSYAKRHVKGIH LPRRPSDLGGNAYGTPNGGGNGIGPGVDERDYWTAEWNRHEDEKAVVDVDIRGWIYMP PKGPMSRKNRMVLGVARRLSGLPQTAALQAGAGSQQGGGDLRRTVTDLEGLGEEERIA KEAREIERRGQGEKEAAIRGEYSERPRADDMDDGFGAMRQRRSPSPPSSTAPVRTNSG LGAFPSEMTEAELAAANANLNARLAPFMATPLVGLPITLFFYNDQRSKSKTIETNASG HFIARVPLDFVPTHFRVLANENISTTQPVEVIEPRGVSLISDVDDTIKRSNINGGARE IFRNTFVRDLADQTIDGVKELYTSLHNMGVKLHYVSNSPWQLYPVLATFFYKAGLPPG SIDLKQYSGMLQGIFEPVAERKKGTLERILRDFPERKFLLVGDSGEADLEVYTDLALA YPGRILAVFIRDVTTPEQVDMGYFTSNTQATDRNNGLERSDSGRRRAQTMRAATATNT RDVAPPPPKLPPRSSPQPKGPIMGDLIDLSDDPPQQPVHDPRTSSLNAMHASRSTGDI PQRKGPPPRPAKPTALRSSPAEINTGGAPPPLPPKPRESPRPNTSHQNSSASAPGSGS GSRGPAPPPPPPRRTSTSRTLGSGNGGRSNTFLPVRPATSYDTMNGVNGASVYATSNS ASGGGANSPVNKKLEIWLRRLAEAHELLEKQGVKLYTWREGRDVVAEAEGIVREAMRG FNQGVGGRRS NEUTE1DRAFT_116999 MSTPFLEAANSCSTNAPLGVSEWVISGLTPVYDTQTVKAPRAKE AVFAVECKLVHVKEFESRSKPGETGSTLVVVEGTKFWIKEGAVNEELNQMDLDVYKPV SRLGGITYGLVREGLEIPRPDFEKDIGGMEGYEKLKKAREERKKEKE NEUTE1DRAFT_129755 MGGGGGANGDPGEANNTNASDQQESPPAPALQVIVLGSGGGPLE SNVTAFLVRPIAAGWRRGSVIAVDAGVHLSAIEQILAKTQPATLGEDEEHALPYTLKT GPFAGLQVPMATSSANASFIHRTLIDTYLITHPHLDHISGFVINTAGLGSSRPKKLAG LPNTIAAFKTHIFNNIIWPNLSDENNGVGLVTYTRLVEGGSPALGEGDSKGYLEITDD LAVKVWAVSHGHCYERHSHRGSGSVTRPGSFDASSMGATPMSVMSPPMSHLGPRGLAH HNSISAANNSLAALLQQQQQRDQDRMSIHQGNLFGGGGRSSSISGLGGGHATDESICV YDSSAYFIRDVTTGREIIIFGDVEPDSVSLSPRNRNIWREAAPKIASGKLTAIFIECS FDDSQTDDRLFGHLTPRYIMEELTALAEEVTAARMDILRRKYETEHGIKRQSTSRPGP MGRSYSDYGSVGSDKKRKRQEEDDRNTRRRTITSQVRAASAHPGFRTRREPSTAAGAG ADKSQQPHSSQQQDPRHPTEDSISPKSRKRYENRHSSASAQAAAAAASTTSLYENDGV NPRNPTGFRIDTPHLATPTGEMSLDDFQQRATTNHQPPFNAALQQHQMGLSRPELPHF HLSEPTSPYGSPSPSNAMSTSPLPPPATPAAVHFSQLNHPSVNNNASSSASSGGGSGS GSGSGGPVSSSAASNVTPATSMSLYLNGNTRDMMDVDSHADVDVNAELARQLPEQPLK GLKVVIIHVKDRMVDGPGAGEVILEQLVEHEEEVRLGVEWVMSFAGQEVFV NEUTE1DRAFT_146431 MASPEEDLFGDQEVEDILNARGNDDGAMSLEDEFMAAEEMESDT PDANGNGNGNGNGNGNGDGAHGAATADGGSQQFPHDQAPMAPSSQNDPAQAGNNDQHL SPHSQWVAAALTNALQEHPHAQGPINPSVQDATSQAGGVYDIPIDPLLFAASQEWNSL AVQQDPLTQNPNPEAVPETYSNDSNGVIKNFLREEGFGTQFQPTTSAALEQAPDGGLG QYPAPGGSVDDWQQNEFNNDNLNYDLEAMENFQDHLGHIIPQPGAEADGFNGEIQPAA PAAPEEAPVEGLGQYPAPGGGSYGGEQDIVNQGSNDEAFAGVEVPLEGTWLPDNIADY WGGQQGGQVPGAAGGDGFNAHQIQPAASAVPEQAAADGGQQSQQPQQQPQQQPQQQPQ QQPQDRFSSNQNFGGASVSHGHIQQYPSQNQQFAGSQAVPEIVIQQPSSGNQQFAGHA SQFNNGYQQLGGAQSGQGQGGTGGPFNNGGQQINDAAQYGQEEAAIDLLNLGGARAGQ VAGGGHFNNQGQRATGGLNNNGYQQVGSAQIGQGAGYGQFNNGAQQLNNTQNGQGGGG SSPYPNGGQQQLGGAQLQTGHGGQHLNNGQTGQGQGGVSNPFSQDNQQLYQNNGMQYG QGLGGQFNNPGGEHFNNAPTGGQGATGNGQFNNGGQQPSRAPTPQGDTGGYLSPGNQQ FNHHSGMQNRQGGAGGHVSPVNNNQQAGLPHAAGGVDQHLFPGNQPFHGSPYGTGGVV AQPGSGTQQFPGTQFGVLGGASGQPHHGTQPQPGHDSVTTQAVQNNNSGVPDPNAAHL PLIGSAPPEPRLPPVVTPPVFPPGTPQPDSWVAPGSGNEVVKIRAMKFRNQYGIHPQS VYYYRAHTQPWGTEYGRPSANLFAYANSQPLARAELVASKVYTASQLSLFFRGEGHPN RPNRHLTLWIQNVPLLVSDRYAQKESGKCRYEDCAAKPAYTIMKGFHRIAFDEHSHHT GDYYDPYNNAGYMHLWCFEKAFDAGYLVWDVQERPHLYGFRIEADVRHHRFEEKNNAS INRDHNLHDTYRQWKNEQWERYVQIRHQEARTGQRYDPYQSVDGIPNDNRLWRRLTDK HIAEEVPARARNRANRNVTGNTIDNHRGDLEQFQRAKDEAKPAARAAGNGGQKGTRAA GPSTPKRKRNSAAGEDEEDEEYETPGSKKSRTQNHHTPGSMGPPPPSTPRRSTRRASQ IIVHDLNEQLGTAGESPLTRSRATFIGQQLNQLPEHLQQDVISHAPQATLQAISPILL QNWRPEDWGAVLQERVSRMTPYHQGLLAAYAEKTEKKAQLDGSTGKRRWRSDP NEUTE1DRAFT_82005 MAAVNPDLQAKLDELQRELEEGDITEKGYHKRRAQLLAQYGVAA PADSQPAGAPTGGLRLHPPDNTSHASNDRQRVLSGAGLSSASLNFPPSRDSVAFSEHR ESTIFPDHRESMAFSDHRESMAFSDYRPQSTYSFQEPHPATLLAPGGPMADHRPAMRH DSLFLFPGPNDTSTRSGTMVSGDYAFNPEQHGDYAEPPPPQQNPYDGRTNTLLNSQAY FSDFAGQQAHEQQLQGDYGGPHRYSSSDAFSPTAAVPPPMLPANEYLPPGGAIQFQKA LEPREVPFAIYDPHDPRKEMSTFDNIAVVLRHRGRNLPNRRAYWVLDAKGKEIASITW DKLASRAEKVAQVIRDKSSLYRGDRVALIYRDAEIIDFAIALLGCFIAGVVAVPINEL QDYQKLNVILTSTQAHLALTTDNNLKAFQRDITTQKLHWPKGVEWWKTNEFGSYSQKK KDELPPLSVPDLAYIEFSRAPTGDLRGVVLSHRTIMHQMACLSAIVTTAPGSKPGDTF NTTLRDTNGHLVSRGATKSETLLSYLDPRQGVGMIWGVLFAVYGGHTTIWLENKAVEV PGLYAYLITKYSPTLMIADYPGLKRAAYDYQVDPMATRNYKKGMEPNFSSVKLCLIDT LIVDGEFNELLADRWFKPLRNPRAREVVAPMLCLPEHGGMIISVRDWVGGEENMKCPL KLEIESDTESEAESPKDTEEEKPATSNGYGSLLGGTTVNAAAEHRAKNELSEVLLDRE ALKTNEVVVVAIGADVSKKASSELGTVRVGAFGYPIPDASLAIVDPETGLLASPHTVG EIWVDSPSLSGGFWALPKHTEQIFHARPFTFEPGSTLPTPTELEYLRTGLLGTVIEGK VFVLGLYEDRIRQKVEWVEHGTEVAEYRYFFVQHMVVSIMKNVPKIFDCSAFDVFVNE EHLPVVVLESQAASTAPATSGGPPRQLDTALLDSLAERCMDVLMQEHHLRVYCVMITA PNALPRVMKNGRKEIGNMLCRREFDQGNFPCVHVKFAVEHAVLNLPIGVDPIGGIWSP MASESRQQILAPADKQYSGIDRREVVIDDRTSTPLNNFSSITDLIQWRVARQPEELAY CTIDGRGKEGKGITWKKFDTKVAAVALYLRNKIKIRAGDHVVLMYTHSEEFVFAVHAC INLGAIIIPVAPMDQNRLSEDVPAFLHLVADYGVKAVLVNQDVDHLLKTKPVAQHIKQ SAQFLKVQVPNVYNTSKPPKQNSGLRDLGITVDPSWVKSGYPVVIWTYWTPDQRRLAV QLSHDTILGMCKVQKETCQMSSSRPVLGCVRSTTGLGFIHTCLMGIYIGTPTYLLSPV EFAQNPVSLFLILSRYKIKDTYATPQMLDHAMSMIPGKGFTLHELKNMMISCESRPRV DLFTRVRVHFDATGLDRTAINTVYSHVLNPMIASRSYMCVEPIELHLDPKALRQGLIY PTDPLLDPKALVIQDSGMVPVSTQIAIVNPESRMLCSDGEYGEIWVDSEACVTSFYGS KDQFDVERFNGRTVEDPSIRYVRTGDLGFLHNVSKPIGPGGALVDMQVLFVLGSIGET FEINGLSHFPMDIEASVERCHRVIVPGGCAVFQAGGLVVVLVEVARKAYLASIVPVIV NAILNEHQIIADIVAFVNKGDFPRSRLGEKQRGKILAGWVSRKMRTIAQFAIKDMDSA TAAGLPGTSSGEQSGGGHHPGSMSDIPEIAHRASMAISHRSSGAQQQSQGAGSSSLRN VEPAPQILEQREAEQQARVLEDMASLSIQSQQQFHNQQQQQGPVELPAGNDGRRGSIT YSNRSGQYGGYQGYGDADQTPTRQRISSMYPQQQQPPAHGYELADFDRFGDSEPSELP TPIYDQGYQQPGHGQDMYNNNQVYQGYPPQVYQQYQQQQPTASGAPQIHLPAVNGHPQ LSAWDAGFSSVHDINDGGVEGRQGQRGGFRVVNADPITSDDDDDGPGSGDESWKRDAY ASMNLAGALGGGDGK NEUTE1DRAFT_63327 MDEAPPPPPPPHGKNPKTSAGSSALPPRKYDVFIIPEHSAGAGF LYLPSLQPQWNSFCAGVISTLAALLLGKALTPAFLSWWHSGMGSVGLSVLLVVVAVGS WSLGRSTSNVKLGSGSDWQKTTGESDASGAFKTAPPYEDFKSTHAPPPRPPTPPTDPE TPADEWSRPSWKENNDAEPEPHVEPEPEPEPKAKHRPQSPTQTRSHKRPQSPTQTRSH RRSQSPVQTRSHTKSQSKSHSKTQARSQPKHQPPPEPELRPEDDPDYEQEPVPEPHRQ ESVDSQEPPHGPSTPLDPEDPTKSEVSSKGAWEKAREETRRREEERKAREAELKRRDD AARRLRELRERDAREREKREKEAREREERERERKEQETLEKERLEREIREKVEQELRQ KAEWEAKELAAIEKERLAREREAKERERQIKLEQEARQRERERLLREQLTREREARER EAKEREEKDREAKERELREAREREELRQKDEAIQREEERKRFERDRQEAKDREVREAI RRREREAREAREAQEQRDREERLEQLRREDEEMEKEKERLARKETPYAMPKVGERTSL WPNGRPPSIVTPSATASQTSHASTARTSTPRASPAPPHSPTKSPNPAAARTASPKPAS PRPASSATGTADEYSYRPYDKPKNPTAARKKSISDLSEDSYAQSATTNNTSPPPSMRA PYHTDDPGKIVIKAVYNFVNHFSKTPASQLISGIGTVTDGLILRISTAGLFVDDDVRG VAQREWDIKAWTLKQVEVWCPVLAASSANGTGVASGAIPSSHPLFKMMPTSSAMRRAA EKAATRAFNGEEAVAYLNELGTCCSTNCRLGLNANSSGSSATGSAASQEADGWKFRGL HLLRTSIRDQEGKRFLFVVGEEEAWKIAKGLQSLRSGSQARAVAVSGFSGIEAKGILE TLGWS NEUTE1DRAFT_146434 MAPPAEISIPTTSISTPSSESGGSSKPFTLYNITLRLPLRSFVV QKRYSDFLALHQALTSLVGSPPPEPLPAKNWFKSTVNSPELTEKRRVALERYLRAIAE PPDRRWRDTPVWRAFLNLPGGASGANAAASTAGSGSGIEGKIPAIGLKDANLAAASDP GTWLDLHRELKGALHEARVALGRRDGATENMTKLEAGAAAKRALVRAGSLLGALQEGL GVLKSSGRVGEGELRRRRDLLAAARVERDGLDKLSSSLAHASREAARQASISGPSGSG SSSGEAGERAKLFAGSSGAGGGSVRGGRVLGAPLPETERTRELDNEGVLQLQRDTMRD QDMEVEALARIVRRQKEMGLAINDEVERQTNMLDNLNTNVDVVDKKLRVAKGRDEDEE NNDGDSLNRMMFIMSSEEGSVAEVVVVPTTVAQEDQHGAIHRPRNGRLRLRRDQWLYE LSSDDDGHDDHSSTKDEKKSRTASQQQPQGDEGMGKRNEGLRAKGRPSGGGGGGGEEG NMFDAFLLLCVKGVLAGVQGFWLLQWVLGRLSDVLTCVVEFGLLLLGQPTESFG NEUTE1DRAFT_42469 QELLQWINSLLQLNLTKVEQCGTGAALCQVYDSIFGDVPMSRVK FNVTSEYAYIQNFKILQNTFTKHQIEKSIPIEALVKCKMQDNLDFLQWTKRFWDQYYP GGDYDAAARRKGGALPATMSGASRASAGSAAARRPGGATPTGGPRVAARAPAANSAAT QALQQEVATLKEAVGGLERERDFYFHKLRDIEVLVQSAVEEDPELEKQEDGLIKAIQA ILYSTEEGFEIPEADAEAADDQETF NEUTE1DRAFT_122280 MAVDYPTNMIWSTLVTKRAYLGGALVLNHSLKKVGSRYQLKIMV TREAQADKEFMAVFAAAGIPTIVIETIEPARQGKVNKAFWQKLAPWAMTEYERIVLLD SDQVILQNIDHLMTLHLPEGYIACAHACTCNPRKLAHYPKDWIPQNCPFASANQHTGS PAPITPSSPRTHHLLNSGTVVLTPSKPQFDALIDAINTHPDVPHMVFPDQDILAIVYR GKWKPLPYVYNALKPMRDCHSSLWRDEDVKILHYILNKPWESRDFDENDKVESTHRLW WGVWEEVEREWTGGEAGEEKRRLFDSVLRSVVAQA NEUTE1DRAFT_122281 MPIQHGINMIELLAIHTFMNLKVLEAIGPTRSISLDNYPKTTEA RDSLLGRMARPLAATGFIDQVEVQS NEUTE1DRAFT_100829 MCIRVLTIFKCACPHRNTLVCPHHIHVREPSSTGDLQTSSSHPI TIITSTSSSSPFESPAENTTTTSSTDDDDFQKGFTPRLPSALQGHKNWTPLPGKENQR WEHCPGYLAKSRALAVAVAGAGAGAGTSSSGGSGSSNGSSKTGEGTGVMVGKETQCAE YKATEPKFRRRWEVKSGLCEECRGMHGMQGPEAEDGQRRKDGVKERGEEPKGTVRGEE RGRARSRAATDPTANAVPVPAMVTGGKVVKGCSIWLKSLFKPILDPPPNAIDAVSNSL IRA NEUTE1DRAFT_137717 MAMSEDASRALVIMWVIVGVVFVLVILRVYTRVVYMAAYGVDDW IYVAAFIILFIYTVLIQYAAFFGFGQTEKEIANATRASKARLFECLGQTTSFIGTPLA KASLGAFLLRLVTVTWHRFAVWGAMTLMFLSSVETTLPLIVWSAAEMAITLVCIGIPV LRPLYKRMYLRFRRQSAHSSEYLQQLDRSKEQPGFALQTIGGGPLDKEMSNLERMNST RPTSVMNNNTDDFLYERFRIGINEASKTTVIAQQRRIDDEDSKMDALDDYSCSQLERS TDSTRRALGDEELGTANSNQRSQNTITVTQSFSVDRS NEUTE1DRAFT_110118 MRPRLLSTSLLDSSGQITHVRFTQGPESLGQLAILALECLDHLR NTHSKSYKSTYPRHYHKQIHQNLDTNTLIPSRYLSGSSNRRRTYGRNAAVDGYDDGSQ DRQISRSICSRPFRSSRRPPCLERQDAFVDTRTRKDRQIQIRNLVNDGGTCPVRHQFK GAHTHGLVIINGIVVLQEEAMLPRWLDVGATQPLGKRRRGLIPEDFADECEVKKKKRS GVRNRGNPEQSSDLGSACDAAENSN NEUTE1DRAFT_122282 MAPTPTALVAKSLSSGSETLANLVPRYIHRHRRLSRGGLIGVIV AIVVALIILAFFSFFCRRRRIRRSRLHMHQNMQETAAAPGGWAHSSHHHQPPPPPPQN PNGLNASGGGWAQPGTYQPPPYQPDPVYTKPGGNYQ NEUTE1DRAFT_117005 MAPTSSTTGLILPRDACQSRYGCGTIHSDKLPLLITVAVVVAII IVTIYWCSFRSLRAQKRKRRIKNTELPSSTAPHAVREVPTPTTLGAGPTGAGTGAGGS GATETGAVAAATATAGEAGETGGTTWQVPTSGLNPLVPPPMYEETPLPPPTYQRDAQA PRYA NEUTE1DRAFT_146440 MAPYALFRQLWPAALCLVEVASAADGYVHLPFSRPELKDLDLGK NGSRTLSDFVVPYLVQAQVGTPPQNFSLLISPTSTDTWVVDATTSRCDYQYSSYYWDE DEVETARALDVQKCVWGSFNKSQSSTYRTANPRHTDFATYTFSGYAQGSNFTDKLVVG DLTVDNYPMGLVDQTNLWMGVLGLGYNYSTIYGESSLYGANLPGYYPTILDRMVSSGQ ISTPAYSIWLDDEDGTSGGLLLGAVDKSRYKGDLLRLDANNPRALTGKFSVTVHSINA TGLGTGGDGSQQPLISNALPLDVTIGMGELISFLPNDLVSNIASLTGATHDTTAGIYT IPCAAGTFDNATLFAFTLGGEGGPLLQAATADLIIHPGVFTGQVSSFDSTNSGSSSSS ISLDLPEGTCVFGIQTWNDASTLNGVSYGSSSASSSNPYYNLGNSLLRRTYLVFDLAR QEIAFAPAVFQSTSLLSARASTFDDTDNIITFTQYGSPTPESQYFCSDYEYYCPEDAV NSNSGGRSTHGGASSSDDGDYYDGRRYWRIVCIVLGVVFGTLILVGLVFAGLLFKRVL DKDPRTMGLPEEKKKLMDGGEGGDDELQPLEGGGQGMMSPAAAAGGASSGGAGVLPMI HEGREDDAGSDLPTREHEASGSAQTQPQVSPVNVNREQRLSAPESLMDAPRSPSPLSE DGMGGARAEAASPVSERVNTPPNEAKGKGKAVAEVIGQAR NEUTE1DRAFT_137721 MAISTAITSLLTSITELIQSLFHGIYSIFHTIFSAFFSLFFGLF AFVGDLLKGVANTLGGVGNFVASNFLIIALISAAGFAYVRYTSQGGTTSGQKAASVGR KKVN NEUTE1DRAFT_146441 MHGYSSSSESDEEYSYRRNKGKIPVPANSSEATKKQKKKLKLPP QQSINRIWKRFSSKRFHKALAILPFDPVLPPTISDRSNELLNEGYERAAEECRRKVRK IIQECKRVNTRYRDPGWDLDWDLKMEKGHCLNSLGRTKFDLSASTLQNPNSTVPKAVK RVHEIYEKPTFMAKIEGSDVKQGSLGDCWLIASLSGLANVKDGIQRICVEYDTRIGIY GFVFYRDGEWIYSIIDDKLYLKSPCWDSPSMQRNLLQQIDREDVERVYRQTYQTGSKA LFFGQCKDQNETWVPLIEKAYAKAHGDYASLSGGWIGEGLEDLSGGVTTELLASDILD LDGFWENELSRVNDEFLFGCSTGLLDGGYGEREGISEGHAYVVMEAKTLKGGTRLVKL RNPWGKTKKGIWEGAWSDGSKEWTTDVQEELGHQFGSDSVFWISYEDLLRKYQHFDRT RLFRDPDWRCCQRWIGVEVPWKPQYNEKFHFKLTRESPLVLVLSQLDNRYFKGLHGQY SFRLHFRVHEQHRPNAEDYIVRSHGNYLMDRSVSIELPSMLPGNYSVFISIIGERNTN QPSIEDVVKRECKKRVENEKLAQVGYAYDLAHSKAAAHLEAVKALRKKADQKKASDAR QKERYRLWEKRHLNRQITKKQGRKNNQKLEAKQAAREEKKRREEELKPKDVGVQTEET KNQEKPEEKKADETKPVEQAKKTESGSDTEKQDVKAAEANGSPQGTPLATPAETPAVE KTESQASGGVSAVTKAEEKSDNKADQEGNVPSSGSSEASSGPSYTPKTDNSVADAADE KNKKVPVPPATDSKVSGGPPAAAAEKKSEDKPAAKSSPPKKKSPNMYVTSDGESSASP IEDWEELYSSDDMTRKPRMNAAPAPGSVMVSKYKDDTEDENEPDPWNAICVVGLRVYS KDEDLELRIVMEGGELEEGGMGEKGGVDLDNAQANAGGARIRKEGEGEDAYEGDSEEE RKKGKHKQRRYSVIVQKGKGEEEYESAVESQKEAW NEUTE1DRAFT_82024 MASIPGGSAWSPDCVSMKKFINNRDLLLCLGDVVRSSFLFYRYL LQNLRLTSWAFAAAFERALFRVYILSNGLVSCSSLSQYAPRLTVLDLYCNAGLGIDLI PSELRRFRGLKELSLRNLPDYWEEYVEAITTVLINSPNIETLRLMTTEWGPDPESDPC YQSPGHCLFGILPLICERFAKESKGGGGSLGGNERANIPRLKLREVTVDHHSMNRFIL DKPDPDLPEDSLRYTGNRQKPLYLEKLTDLQYLERLHVEMMPEWTKAQRLDIIRLPNF TPAIAPRLRSLTISPACTRESRRWHDWVIGNNFLEYACKVSLGRLENFIPNSRAENLI FDWSDRVNSHDSLYTLLFLLKQPDPANSLRRLELNTPGDWTKLIPSLKDTLSHLRKLK YFHFHLAEYYPPLCLSLEVLERQKYFEKMAALAEDFFEVQPSLDFVEVNHFLYQVVRW GPGARDFHMVEILDREREWVAPEDTPWQGPEKDAVPFRMML NEUTE1DRAFT_122287 MPGGALHYPLWAPYELYGRVDYVYGWKAWNERNGFTAAQGMMNA LETVMYLVYVWGVLGGGNGKGEGRRGATVLLVGFSAAVMTLSKTVLYWLNEYYSGFDN IGHNSTFDLILLWVIPNGAWLVFPSVMIYTLGSEIIDGLAGPLTTVDRSTKVE NEUTE1DRAFT_110126 MTFRTQLLNLNWCPSSLLIATLDLLRLFCVECLIPQSPANLAAH FHITKPYTQRTVAVPPYLTPRRQGPMAPTPYYARDTPAFTGFQPEPAGRGTEGIIWTC LSTILLSSWSSYHGNACNPNKPFKEEAIMSYVLKFFLAFLFPEVAALLSLDNLNTALQ LRKTIRQVGGAEFANFSLTQAFIFVKESVYQRPHTSEEMECISPEALVKLVSSGRLSF SGLPTDDELADKSKRDWTLKSLSIIQTLWFIASIIARLSRGYPVSLYEDITVANAFCG VVEFSCWLHCPQDIRLPFILKSGIPRAANGPSTTVDSEKPEGEATVEASLLVSEPATT ESEASSAPQLPVLEDISMDRMSRELPSSEHPLVTQKTNKRRASFITQPAKLLKIPDMV KVVVFAVFSVLFAGIHIAAWNYGFLSVAEAWIWRGSSLALTVLGLCLPFSFLIKTTRT SLDAIRHRFVVITLVLYALVRTMMLGVALASFRKVPTRMYETPSWTQYWPHI NEUTE1DRAFT_41034 RRSTNSGLFKIDAIKASWFPGLSSGIWMTGKEGRVEYDIGRRRR RRQQQQQQQGSKKLQKPLVSVRLGSLRILQGLENGRPGI NEUTE1DRAFT_122288 MAVSTENLPPWDADDYESSFSTRISKGTRQNGHASFKSLPNFSL PTPLILSSFPAVFTYGEDRKIRPDIDHFALIHDRTPSRIATDPRTTSPPVVPTRVHSL TKSISHSLNAFTGNRPAEKESTSPSRSRRASYHEIVIQGYGNGTNDVHPGHSEPTIDN KPPQSLADVTKASNTQIPEQGIRTQGITLRSPSTASTSSNNTTGSSTTSRETAVSASS TAPSFCSSPTSPKALVTGEELMRGMTLESNDLAPPLPRRSSRRRPSECHPDPLRIRKT QSATSLDIAGRGDNKANCTMPMHETSSDPWAQYADVGGLRDLEVKVPV NEUTE1DRAFT_42455 MAQCGIPRSWSDGGRFHQARSQPQNGKSIRFGSLNDRRRARVPD FKRWDGASRTSQPWDCLWKRLWHRKGNCSVYLYGRGQSSRSPSFKVPFDTLLATQCQP LVARCIRQDIPETVDIKASEIELYISAPPTATEAEVIRHYLDIRNFFAWIFRRSMVGE HLGATLIRLLHTMTELRCLGVNNADDLLSYADEEGYLEMCNQPAHALGMLQFAEYCQD RRLYIEAFTHCTGMFEELYVVPEYQASVPHNYFSVV NEUTE1DRAFT_122289 MFGNNRLPAFHPGLTQSRIRDTTTGSGLWFPGLRSPPLPSESDP ANDQLPLPITQEDLYDTPMAPFNPYTYIRCPCSELNPYTKRTPDVTAQGLSRAAQDDD DHTFDPRAARSNYSLYPLEYLSFCEDCHQIRCPRCVAEEIVCYYCPNCLFEVPSSNIR SEGSRCTRSCFQCPICTGPLAVNHVETPPDPNQLLSPDHASSSHSGSYILSCSYCNWS STEIGIKFDKPNSIHMQLAKLRNGGETRLTAKERKERRKEQASQGAGGGSSTGQGEED LDTLLDMETQFANLKSFYQNQLSDANGTGKVGGDPSAALGNLGFDAPASLSRIMSLYT GSSSLHDKKSKSRPGTMREALAPSEGLQLASLDESSAITALQDFESTTGLDAYSSTAS TTQLQSQAPYLGASPLHGLTRFTSSLRPIPYLLRTKRSKRCPQCRHIISKPESKVTTT RFRIRLIAGNYIPTITIKQLIIPGLTPPPMPNLPPDTIEPLKPAQFVLTFKNPIFESV RVTLATPATTPGRFPAKVTILCPQFEIDSNTDVWEEALKDNNATSSSSQSQNLSSSTG PEGSGPGGRKRAGTLRPGTAGGASLAGEELGPEVGKVWERGRNWTSIVIEVIPASLVQ TAKRDGRGPIKEDEDVLEIPMFVRIEWEAEAPEDEIMPGLSSAKGGNKDGGKEKRELA YWCVLGLGRVARTL NEUTE1DRAFT_117006 MSAPQLNKIAANSPSRQNPSELETAVAGALYDLESNTADLKAAL RPLQFVSAREIEVGHGKKAIVIFVPVPSLQGFHRVQQRLTRELEKKFSDRHVLIVASR RILPRPKRSSRSRNTLKQKRPRSRTLTAVHDAILTDLVYPVEIVGKRLRVKEDGSKTL KVILDEKERGSVDYRLDTYSAVYRRLTGKNVLFEFPLVSAEF NEUTE1DRAFT_122291 MASDKQAPAGATVANQPQGSAFSLPLLYRLFFLLIEPLSALLGA YYAYFDKKAYLELTHAASAPETIPLGTSIVLSQLANLYLFFAINEALVLRSTSDIHVW KTVLFCLLIGDIGHLYTVRELGMNIYWSPSTWNAIDWGNIPFVYLGASMRIAFLADVG LYKSGKRLQKKRTA NEUTE1DRAFT_63362 MSVPEKFTGFQVNGPETWTEFHKNEFQPKPFGDYDVDIKIECCG VCGSDVHTISGGWGEQKFPLAVGHEIVGTALRVGPKVTLIKPGQRVGVGAQSYSCLDC RQCKNDNETYCRKQLDTYGAVWPDTGIVSQGGYSSHVRTHEHWVFPIPDALPSTVAAP MLCAGLTAYSPLVRNGCGPGKKVGIVGLGGIGHLGLLFAKALGATVYVISRTHSKEAD ARKMGADGFLATADPNWNEEHIMTFDLIINTANSFEGFDLDAYLSLLDVHGKWVSVGL PEDEEGIRVRNQTFLKNGCFFGSSHLGSRRETLEMLQLAADKGVRTWVEEVKINEENL KEVMQKMKGAGGRYRFCLTGYEEAFGA NEUTE1DRAFT_122293 MKFVLVSGASSCGLLLKTLGLKVTAIKIDPYINVDAGTMNPKEH GECFVLHDGGETDLDLGNYERYLGVDLARDNNITTGKVYQQVIENERKGKYLGRTVQV VPHVIDAIIDTINRVSRVPVDKSGEEPDVCIIELGGTVGDIESMPFVEALTQLRHRAG KNNFINIHVSYVPVVNGEQKTKPTQHAVKSVRSAGLIPDLIACRCEKPLEQGTINKVA SSCQVEVNQVLAVRDMPTIYQVPLLLEEQGLLRELKETLKLDDVKLSPARVSQGQEVW AKWQKIVPLGYAETVDIVLVGKYVELHDAYLSVIKALEHSAMRCGRKLNLIWVDSEHL EEKTQKEDPTKYHKAWHDVCVAKGILVPGGFGHRGTEGMIRAAQWAREQKTPFLGVCL GMQVAVIEAARNLCELKDATSEEFDANAEHRVIIFMPEGSKEKLGGTMRLGTRSTHFQ PGSEFSKLRALYGEATTIEERHRHRYEVNPDYIEKLEQSGLIFIGKDDSGERMEVVEI KDHPYYVGVQYHPEYTSRVLDPSRPFLGFVAAAIGCLDQITKEILQDAGFANGSINGA HF NEUTE1DRAFT_82044 MTASTDSPRGTLHYEVNPRQNHNHNHNQDNTNDTPENQPTKIPL RIAILLNSYRSPHISSIRDSYTRCFASLTQSPTCRFQPALSFFYPADNFYCCPQEFLP DPAEFDLIVIGGGNADPRKRHAWILRVHEFVRQTRKEWPKKKMVGVCWGHQTLGLVMG GGEVGEMRLPELGVTDSKLTPAGLRFFNDRGPPSTAGGKAEEKKSSLRLHQHHRRQLV STPPNFYQLLANNECLLSHDNSVLTFQGHPEKDAKAAKLKIRDAARWWGWDLNDPKAM EEVKGLMEREHDGNWVWERVLEWVWEGLREEGEGDKGNELEWKL NEUTE1DRAFT_82047 MVRLGLATTLLAAASFAQAAQQKAPAVVPGAYIVEYEDSHDPTS ILASIKGDATIRKDIRHELFKGASFQFKDLNKADDLASKIAAMSGVKALYPVRRYSIP EHTVHSTGSAVQEVVAKRDTGNDTFSPHLMTQVNKFRDSGITGKGIKIAVIDTGIDYL HPALGGCFGPGCLVSYGTDLVGDDFNGSNTPVPDSDPMDTCNGHGSHVSGVISGNTNN PYGIIGAATDVTLGAYRVFGCSGDVGNDILIEAYLKAYDDGSDIITASIGGASGWPED SWAAVVSRIVEKGVPCLVSAGNDGATGIFYASTAANGKRVTAVASVDNILAPALLSEA SYSVANGSLSTFGFTAGSPSAWANVSLPVWSVNFNTADTANGCEAFPDDTPDLSKYIV LIRRGTCTFVQKAQNAAAKGAKYIIYYNNVSGSTKVDVSAVAGVKAAAMVTSETGVAW IKALQAGTQVTVNMADPETAPKNLNNFPNTATPGFLSTYTSWGPTYEVDVKPQISSPG GMILSTYPRALGSYAVLSGTSMACPLAAATWALVMQKRGTKDPKVLENLFSATAHPNL FNDGTKTYPMLAPVAQQGAGLIQAWDAANANALLSVSSISFNDTEHFKPLQSFEVTNT GKKAVTYQLGHTSAATAYTFANDNSIGPAAFPNELVDAKATLVLTPAKLTLNPGQKKT VTVLAIPPLGLDAKRLPVYSGYITLNGTDSTGYSLPYQGVVGSMRSVTVLDKQNSYLS QSSDATYAPVAAGTTFTLPPAGKANDTLYANTVYPTIVLTLSMGSAEVHADVVNSKGK TIGQVLTFPARWNPRGTFEWNWDGALSDGTYAPADTYKITLKALKIYGNSKWPLDWET QTTEPFTIKYAAKSKRAFTA NEUTE1DRAFT_63372 MICRACLRASRAGLRSEASQRLASLQTPSRALSSPASTRLAAPA AYSTSALLQQRTIHTPARLASRTYSTAASEQPASAVPEKPEDLDEGEAQVWDILVREF APTNLVVRDISGGCGSMYGVDICSEKFRGLNMLKQQRLVNAALGDLVKQWHGIQIKTS VP NEUTE1DRAFT_117008 MKFFSAALSAATIGSALASPILGSACGGQGAAPSGINGLPSGAA LPSGAALPSGAALPSGAAIPSGLASPINGDNLVPAPVQTLTSATTLYQTWATTVSDSK TQYLTSGLAVPTGVPSVPSTGSAGAGAINGKAPVVIVKEVTTIVLNIDVLVKADIAHI LELLEVKAGVDAQLLLEALVSLQGHLHTVVTGVVPQITALIRPEVGLVAGELQIVLDL IADVEILLSQVENCLKHLVATVSHDVLKVIGAELNLVSGLLLPIATPIVNFALRAVVG LQIEAPQLVAQIQARAHSINLLAGGLVGFLSATLKIVL NEUTE1DRAFT_117009 MEDQVYYHMMMSDLERRKRLHQHHTPDICPPTHPPRQQQQQQRQ QRQQQPHHWHHPSYFDLHHHQHHEDDHHTLLLQKTGTTTQRLGTTTAISSAASSPTSE TRPSSPLLSGLRSAVLAGLDVLHLNHPYPHGSDGNGSGIESRRNSSGSQSGH NEUTE1DRAFT_63375 MLAIVPLVPGMLVLLADAPGAVAQAQNIAQQPTVYKTVTVPVDL ANSPNAQVAVTTVALSTVTVFGSEPNSALATIVISPDAEQSSTAAISSSESINGAAAS SGLTTSAPSAFPSLTANGTSFGNFTNTTWPLDNSTSVTLIDPTGTDVGAQESNTAHDG KPVDTAGNAKSAAVSETHGLVGGVVRGIVVAFLVALAL NEUTE1DRAFT_129780 MESSFDEEEKRFLLGEIIKVSVNVDDMIEFIKQHNIHQPDWHSI QIPRGRTVNQCIQAYNSMVSRPSFQKPILSPQKRNSVDDSEDHYAKRRAVGPAEERPL FSPMRPYGHQPFPTPIVQPVNIQPRPNGLPSPGVPASTSTLSAAPTGRKRGRPKKDES RARQNMPQPNQPIAPAPIAPSPRTVVATSQPLSPLSAGYHGYNSSYRYSPVASPYDPV AASKSGQHSLLHPESVPRTLHMTSSSSEMGHRLVGDHSSSWPGTVPGREHQQQQHQQQ QQQPQTPTSLPTPMEPPVSSHHSTYPTNRSPRLLSSGFGGGGASQQGQITSRDTALTA SEQGRSSVQSTSV NEUTE1DRAFT_122301 MEDGRRSPDSSKESKSEEHSKMNGSKLKRDGASNAGNAATPNGS QTGNSRHPSMSPDEHKAASESTATPSENVPTQKPSRKASQKNMKREPVLFNHLPDVRE EACTHFQVIHDCLYGSKNMGASEHDALDCDCAEEWRGDMNHACGEDSDCINRATKMEC VDGDCNCGSGCQNQRFQRKQYADVSVIKTEKKGFGLRANTDLQVNDFIFEYIGEVINE PTFRSRMVKYDKEGIKHFYFMSLTKSEFVDATKKGNLGRFCNHSCDPNCYVDKWVVGD KLRMGIFAGRAIKAGEELVFNYNVDRYGADPQPCYCGEANCTGFIGGKTQTERATKLP PATIEALGIEDGDSWDTAVAATVKKPRKKKATEDDEEYINRFEPRGLDEEGVTKVMAT LMQCKEKWIAVKLLGRLQNADDDHVRNRVVKMHGYQILKTTLNTFKEDTNVVLQILDI LYQLPRITKNKITDSNIEAAVEPLTHSDHEDVASQSKRLLQEWSKLETAYRIPRKKLD PSAPVTTNSFEDDRRNVNHEEHPSRPVNPFENMVVPTGPRSNIPQRNMNYFNNQRPRK LPTNLPAGWFVTTDSTGKYYFYDKSGHTQWQRPTTPAVDVPKPSAKVEQNQKALQDII DSLTKEPTPRHSANQTPKSNTPVPDNGKKEKWRSLPVEKQMKIYENTLFPHVKYVMDK FHRRLPKEDLKRFGREINKKLVASDYKNHRVDDPTTISSNQARKIKKFVKDFFDRAVV KHRENEQRAAQKAGPSSSGAPSPTNGGSAMEPKSPLGRNGASNAPVKNQQPDADGDIV LTDVEDEGENTPATSSPDRKRKRAEEQEVPAPASEAIPSPKRAKEDSTTEDSIPSPPP PPPPPTDTPLTEEERSMREQEEALMRENEEAQRLEDEEAERRVSVTVQGAAAATSKVN GVNGTKAHHTSKASPAVSDESGMDAGRDEKSNKQRVQQEPVSR NEUTE1DRAFT_146458 MPSNSTANPSELSRDNNNNNNNNNNNNNNNNNNNNNNNNNNNNN NNNNNNNNNITTSHLHQHTPSSPPQATPTKRRFDDLNNLKDKGAQQSHLHAAASSSTN TAAPNKRSRSELFAASSAAHPTTATTPPTMSAKLKGKRPASGPPEVIDLTQGSNNSAG SSPVATSSHSHIRGPPLSSSHSTGRTSTAPLNPKGGGMATGKTPGGTNYLQTHIGARR LVVKNLRPVATQTQTEEAHYARIRGDLDTALRAIFSGHGAGAAPALGGYSPSPGGPGG QSRSGAAGNNTTTGGQPMEKLYRGVEDICRRGKKESGELYEWLKDRCKGWLNSDEVLK TLLAAAPSIASDMGGEEDVILLRAVLAAWKRWITQLLVIRWIFSYLDRSYLLPGGSGT TAEGKGKSASGKREGPTSVNDMGISAFRSAMYSSRSRNGANMLTIGARVVNAVCVLVM FDRLDDSRFDSQLLRESVAMLRLWGVYGKELEPKFIHESREYVRRFAEERSESCGLKD YIVACERLLNKESERCDLYNFDSTTKRQLKDDAHDILIFNYAEKLLDSGSVAKLLDAN DLDSIKALYELLKLSGIQKRLKGPWEQYIRKAGAAIVSDTARGDEMIIRILQLRRALD VMIRDAFGRDEDFTYGLRDAFGFFINDKSVSSSWNTGTSKVGEMIAKHIDMLLRGGLK TLPKALLSDVKDRQDAERSGIASTADEDAELDRQLDHSLELFRFIQGKDIFEAFYKKD LARRLLMGRSASRDAERNMLAKLKNECGSSFTHNLEIMFKDQELAKDEIASYKTWLAG RGEDSPVANSELDLSVNVLSAAAWPTYPDVRVLLPQNVLDHITTFDTYYKSKHTGRRL TWKHNLAHCVVKARFDRGPKELLVSAFQAIVLVLFNEAEEKSPDGILSYEQLASATGM PDPELQRTLQSLACGKTRVLNKHPKGRDVNKTDTFSINKSFTDPKFRVKINQIQLKET KEENKETHERVAQDRQFETQAAIVRIMKSRKKMAHAQLVAEVINQTKQRGAVDAADIK ANIEKLIEKDYIEREGGNYVYLARDMIMYSFTSRSSQKTQWVVVTLVVLLCLVSQVTA RALAIEIKDDLSDSIDFNAPLDIDTSEPPTIDEGEWILLSPEEAELKHGGLRKREEKE MTSVASGSVTTTFQIAVSTVTEKPTGASTFTAARAEETAAVSSSLPKFFDGSLSANFG PNSNCPAFLNSFLNNQTFNQCYPISLLMQGSQSFFQAQRSLVSLTRVLDASCSPNANF CTSYLSSLASDLISDANCGAEFRAGHTVVRDAYVAMIAYAPVRSATCLKDTSSSSSSE VVEGYCYANAVMNFTNPSSVYVYHLALNVSLPGSTVPTCDGCLRETMQVYHAAAADRK QPVAGVYVQAAKMVNVVCGVGFANETLPEEIVSSSTSGGAVTLGSRKLGVVVPVLTTV AAGLVWLI NEUTE1DRAFT_137741 MAELRKFESLYALHTELQAVSQQRYEELQTVEQLLEQHADSFSK FLDKPPRNPTNRTALQSGRIKVQDEEYSVTQSFINDALKLADELDLDEIEAARVLLDA DAEGDQASFDRPLWVCGLLRFQNERSYLLDCMRLCIQIANDEDIDPSVQEGFGQVVDE RIFGIPAQGSKPQAGAQKFVPKCVAGLQAIRSTLQSIGEKVAAQNVLFQPNVSKRMDQ QEPSEIMRLKLIEQHETLSLILCAAVEKKQAESKDFKEFIQLLGKVDKYDHLLVHLIP VLGAYIATFGSNVGGGDVEPARQLNEFICGRTAEDVWAIPSLGAAVRAWWIAEYSGWY HDDYAGYEARGINLDKEDEERTKQFMDALKDGAFDFLLAVAADCHAHNQDWQDPTRWG MRQWLQRKTTPLASEALPFAPHFHFCLMTHLEVLIDAAISNIPDVLRKLRTEEDEQRQ LSQTHEQDLDLERFLMIIAYAYDGRPDAAMTFWEDTESNLAGFLNWASRRASTPLVSA FCEMLQALAANEECATAAHNFLLDETTHSGGKLKRSASLTWNQIFKELKFFMEKLQTK PLPAPSQLVRHHAKPSSDQAETEPESAMMLECYLRLIAKLGYESPVARNLLLLDTSVE LPETLIRLASAQIPGRLRACVFNAFRALMHRKTHQESEIMWDFIDLWLVGGWGPKADT QRTVAMLQPSPQAVMEAILDDISDGFEQPVAFIQLLISLIQPSIDDGELCDKLPFKET LGTSNRLSGVDVYVDYVFGLVLTKKAMDITDPMQLRILQLSCLEFALASLASFNEDLI VLGNESNVNVDVAVSTSSLEAYVCLHPFARVMEWLFNEKVVNILVDIINRDTDVLGQS SHDSPVVLGVLRAVQVMIKVLELQDTYLDLVRPLIKKQSGQRSRSVASGAYSAFEDGI MNHLSLVEDLGRFTNLGNPDLTWASLKLLEKISTSPEIISAWEPDGRHAHRNKAIVQL ERGGAGVSIAASLANTIAQTLDPVLEAESPDYQTKVFILDFLYKCLKANPDKPTIAHL LLGFRCEINGLAIEPNGAFDIQRSLFHSLLNAFIGLSVYQEELGMRGCLITLKRKILR IFQILWSSPLSSKLVMEELRATNFLFHTLLQEPQVQPHLRWDGVEAASPEFLVTDASH SYIEFLATRAMAFEYIAKELCSVSQKRIPTVKRQIFDALLNGQIRADNEEPINIANIF DFFDFLNVDFVDIPAPEFDIYRDIDLTPCIEEDAMTGSQFNVHKVHELALLKRAERLN RDNPEKKDSQALITQDELAAWDREQSLLLEYVIYRNRQKQFSAHRLKVLRAWTNILLV MFEANDFKGTPKLAFLLQALQAILPSLEAFSTLSPAEAFELARVAKVLLFKLDLSDNT DGATDKDIGNLISDKLFQLFQVCLTSIASWVGSADLRALYYSICYRYLTSVVDKTTDH TGTTTSNALATARSRAIRAIQAYGDRLLNVICDDAYGSDSTCQTAAMILLGALVHLDH TTTASGLQHTNDLDGSFVVTTLNRLNFIGVLVDSLKTILKDWSAVTTSPTSSVEQQQQ QPPSSQQLTLTTQSSATDSQYILSKLSLLLALCRSKLGSKFVLQSNLFRALEVSGAFS TDPELFVRQQQPNFSTGSTTYVRALERHYTLLVFLARIVSAAVMAGKKRGSHNALQGR KFLQGARGLVVQVLKMSVGIGGAGSGNLGVSMRAVNGSAYSGADRDSQAQLELEERVD ELAEAFMLLINATGFLEFEEEQMAAEKPKGDLLFH NEUTE1DRAFT_63387 MAPATETVDFKKLIVTLNGKTITGRASALKSLISYFKGDETGSQ AESHSQKLFDDKTYHYVYEALFRCAVTEKADYFSCLKFSKSDQIRNSCVKRLEGCAEA LRLAVQHGAYKIKRKTATAIADHITQTILDSDGNFFEPLLKGYVKALSAFLNVQVNVE NLAAFGGEEWESSIDLCLNAISRFLEAAEHDSGTSVRASPAPGTPATSRAGSVGPSSA SVQVNGQLAIEFLACVKALTSASNAPVLRRAERISQLVLRVLTLRHIKLGELQRDSFS ILNNILVRVQTESIALTNTITTALVPMLSHCWQTRSLSRDAMSNSLKDEMLKTLYGIH LYLESLLREATDDKLLQDTEDLLDSLWSEYSRRDDKTRLQLGDVTFSAMQLHPDHPLT MVFGLRPYHLTGEQNWALLENIALLEIVYAKNSQRDRQHLEDEPDKPRKRRRVIGSSN RIHQKLMSQDPAVQLTALQLIPFLSALKHPSLEEVKGALVDLSQFISAKQGLVASWAM IACSSLAAHKTSRDPSLSAMWKQAWHIGVRSLSLPPTSRSACVLLNWILKAKLLSDHE LAGDVNHIITTADISGPAMLVDSAPVLMLTLLRVRNAISPNASQSTSSHVIRWVFLKW NASESAYASVHGVHAAPVDLINLLRACYEMSPLRMNTSLTVFDGPVAQFRAVQKQRHA MLRYLLLLEDEAPPEDSHKATSTDQPKREEIRAADLSSSHSAKRLILELLFPKLDELL QMVESWHKRGESDSAAPVSTDRLVSIASTCIVGAFIMPELVGLNSSMSRDLEKTVFGV VEGMIKAIAESPQSEDLFNLVLEVSAPYIPTLGEAELTHFKREEPYALRFFATVSNSL LEKTRRESLTDSDPASMDLDDEFDSQETRKSTTAGKKFLSRRDITLNHTPEAFYLDTS LRLHLLRIIRADDGELGRVPDPIVDHLLELSDEDLLSCRLFMQELFASDVVTPVDLAI RMIKRIAATIGNNQYTCCEAAMCTVIDIMEGFITMWTDEELEISNLVGDIYDHLIKRA LPNNSLSSTAQIWFSRLLFRLLEVNPKFASQVLKLPSTRSTLRTILKDAPMDVKFFIG INLPRIFGMHVLKTHDDLIVEILKVLPGEGTEGIAFRLFVLAELACKWPTLLRRCTYH IFETPGKNQTSVSHATSCLKRVSRCLNLSSPQELFTIFAPQILYTWLAVDSIDEIPYS IFGFSDLEELLSKSQSEAVGIMIMRGHETDARELAKTLKLSIRELVTQNFSKIVAYSI AQDSSLPNEVTGESRMRKIIGAEPYSSNIILNFADILATFFEICDQEYPIEDSFRKDA TNFAYAADIMDKIKAFGHLDTMLPPNQQPSYKAKFLKQQILHLVKRTNYELHDIWTPA LVVFVARKLLNTIHPALGPLHACSVLRKIRVLICLAGNTALYGYPLEMLLHSLRAFVV DPECADDALAITQYLITEGSDHLIRFPSFLAGYALSCLADLRVFLESSQSSTTQESQF KATKSKAQLFHAWFSKYLANYTTNTWKDKTQKEAFEAITQSAANIRVMGNAEKGTHES KLLLEILKDWGRQHQLLNGPARSVALSILCGSFNVPPSSRLDVIQSDEEALAHGAAVW MSCGSQKLSSEYLAWAGRVIGRSYAASGDVPPELLRESRLQEYRKKSPVNFDFMESEE ALLSLIEALTASSDGFRAGLAEAALRVAVSDALHENDRPLITACQKSLSESLLVASEW GELRIPRSDRFSVEHPIEAEIFSAEFLESPEWAQQLTTLLAQSVPGSVALRVLPPILT KVKGFAEQAFPFIVHNVLEYELDQTQGMKQKLSEALKKWLASTSPAARDNQKLLINTI LYLRTQANPNETSIADRLHWLEVNFSTAAAAATRCGMYKVALLFAELASTEITRQSRR SSAIQGLGDTSEILLDIFENIDDPDAYYGLTQDASLSTVLARLEYENDGTKSLAFRGA QYDSHLRRRDVASQQDGQALIKALSSLGLAGLSNSLLQTQQSLDGSSTSLDSTFITAR RLEIWNLPAPAATENWAVTVYKAYQSMHQASDINMVRSAVHDGLTKTLKHLTGKSLNT LTLRHQLGALATLVELDDVLNIGDLSELNGIIEDFQARSKWMMSGQYDDVSRILSCRE TTLSLWSQRHNLRPARLTPANARLAQIRGMLVSSDIYRFHRATQETLNLSTTLTDLIR PSEQMGLAVDAAIRMETANSLWDQGEMISSIRMLQNIDKESPLEKQTVPVSRSDLLSK IGYQVSVARLESPDTIQKNYLEPALKELKGKSEGKEAGRVYHQFAMFCDEQLQNPDGL EDLARLQNLERGKNDEVTQLKALIASTRDSQLKNKYSSHLSKAKQWLDLDQQELRRVE QTRSEFVRLSLQNYLLSLAASDEYNNDALRFTALWLECSEDDMVNEVVKRYLSKVPTR KFAPLINQLSSRLQHQEGLFQITLIGLVYSICLDHPYHGMYQIWSGVKARSIKNDEVA LSRQKATDKIARAIKKSGVSAAKIYLAINATSKVYHNLAMDRDAKKYKAGHKMNIKDS KAGLEFLAAFAEFPIPPPTMQMPLLASCDYSQVPMIVKFEPQMSIASGVSAPKIITAI GSDGRQYKQLVKGGNDDLRQDAIMEQVFAAVSELLKHHRATRQRNLGIRTYKVLPLTE TTGIIEFVSNTIPLHEYLMPAHEIYYPKDLKGSHCRKEIMNAQSKSVDTRVAVYRKVT ERFHPVMRYFFMEWFPDPDEWFARRTAYTRTTAAISMLGHVLGLGDRHGHNILLDTKT GEVVHIDLGVAFELGRILPVPELVPFRLTRDIVDGMGITKTEGVFRRCCEFTLDALRE ETYSIMTILDVLRYDPLYSWSMSPLRMAKLQNVRVGAGEDDVVEAEDERRAGYKKSTK NLNEPSEADRALEVVRKKLSKTLSVMATVNDLINQATDERNLAVLFCGWAAYA NEUTE1DRAFT_129785 MDDDFPLSDAAYDDIFAVAALCPIQKDLDLDALPPPLSSVAHPN PGVPVPQSLPSLAPLLQQYPAPNPNSNSDNSQIHGQLQHPHNIPGQTTNILHSLSIQP QASSPANAYITPRTSLPCPHPQARAEPGRHLDNRQSQAAYLPPASRALPPPRPLPPAL SFLLSGSSSTPDSRGTSFYNNPDPAFNTNLATGSRAPGLFVTTHRSDREPNSDDFLNE LASRDFSSPSLPPQTPRHLSDLLSPSRNNYNNTMPSRRDESAAEGSRRRSRGTSHNIV SLPTLPPQSSGAPKRKREEGLEIRTHKRKAHVLLSSDDEKDPFGDDNFMDVVDLADTE EVPESMRAKPRPKNEIKLSAFQCVICMDNVTGLTVTHCGHLFCSECLHSALTIDPTKR TCPVCRQKIDKAPIGGKWTTKAKGYYPLELKLVTKKSLGKRAAQ NEUTE1DRAFT_82069 MLTPVRCRTVPNATVATAARLLRRANLFSRYPRQLGHLRWDSTI AQVLERKGLGIPSTARHNEIGVQQLSEHLYKQLFPRGNTDPPAPELIELAKDHLARHD LLGKTTDKTPPIAFQLPALVGDTLDEHFHKLGVDAAEPFLTHAKQFADAHLPPKPTSW VRRSGWTKYNRDGTTENDVLPQGNMMCFDVEVMYKDNPYAVMACAGTPDAWYAWLSPW LLGETENKAHLVPMGDPTVDRIIVGHNIGYDRAKILEEYDLKQTRNFFLDTMSLHVAV NGMCSQQRPTWMKHKKARELREKAEHESASVELQEVLQGGSLTAEEADLWVDKSSINS LRDVAQFHLNVKIDKDIRDVFAETDRNVILNQLDDLLTYCAADVQVTHQVYQVVFPNF LGVCPHPVSFAALRHLASVILPVNKTWDTYIETAEATYLQMLHGVQERLFTLMERTLD YKADPEKYLSDPWLSQLDWSGQEIKMAKPKKKGDVERPALNQKLPGYPQWYKDLFIKA RHDFINLTVRSRIAPLLLKLSWEGYPLFWSDQFGWTFQVPREKAETFIQRQMTPVQFE DPDVDDRLRMDFDHKYFKLPHKDGPNARCVNPMAKGYLPYFEKGILSSEYPYAKEALE MNASCSYWISARERIKNQMVVYEDQLPPSQRFVNKDADSNTPIGGFVLPQVIPMGTIT RRAVERTWLTASNAKKNRVGSELKAMVRAPPGYVFVGADVDSEELWIASVVGDATFKL HGGNAIGFMTLEGTKSQGTDLHSRTASILGITRNDAKVFNYGRIYGAGLKFASQLLRQ FNPSLTEAETTAIATKLYDATKGAKTNRKSLYKRSFWRGGTESFVFNMLEEFAEQERP RTPVLGAGITEALMSRWVSKGGFLTSRINWAIQSSGVDYLHLLIIAMDYLTRRFNLAC RLAITVHDEIRYLAEEHDKYRVAMALQIANLWTRVMFAQQVGIQDLPQSCAFFSAVDI DHVLRKEVDMDCITPSNPIPIAHGESIDIFQILEKGDEAKLDESIVPESQYAPRLEDI PYTPRVPVMQRLRERAEAGDHQAFLRFIRAQITNSDEELKRIIAETRYSDPYGAYSLA STGRVSGNPHQRHAAVHALTKTAAAPSKPSIASRLDSVSGAKKTAAASSKASIASRFD SVSQASRIKSV NEUTE1DRAFT_146462 MASVTSLDKDLRKLRAEKYTPQAAGEAKSWIESVIGEKLPQPDL LDALKDGVALCKLVNKVLPPPGVKFKQSAMPFVQMENISIFLRSCKAPPLNLLEHDVF LTVDLYERKDPAQVLQCIGAFSRAANAANPEAIPAVIGPKVNAHNTTKVISPQPTGGG PLKSPGLPARNTSTASNATVSSFSSSFGSSLQPTASPLVAQKTGGSVSSSRWGAKSPT LSATTPSVSGSVSSWSNKNHEGTTSPAWNIAQYGYMGGASQGTMGVSFGGRRQITTAA PHVPSAQEKERKRKEAEAEAERKRVEEEQRKKAEMEAAEERARQQEERRWEEETRKAH EEERKRLEEEKRRWQEEERQWKLDQERRRKEEEEAERRLQAELKQEEEKKRAAEKQVQ HQAQTHTRERSDPRLRGQLLSHYQAEESRDKERIRELERELEKARRREAEYERERQER SRRLGVSSGDDSDEKQRSHSRPARPISRQDSWKPRDERRFSSRPPSPLVQDILDGYLD SPIRSPRASLSPRPLPDPTSPSRPLPIPANPMLSPPQKLTAQRTGGKENNGLGPALPI RPNHTGSNRPLPSRGQQAVTPSQPQPHGNNNKSPFSKPRSPYSPTQKTGGGGGGGFAA LSLLEREMELERQRQREWEEAQQETAKAVRSDDGVNGIGGGIGGRWDVGQWAGFTGGD SQNRGSQGIGAGKRPVVGPRPLPNLPH NEUTE1DRAFT_122308 MDGNLLLHTSHRAASLCCAAAQIYTWLDAMRLPISTSGNLMSLN LGTISPLKRAWYKWKAIKFPWRNKLLVGLDLAGNAYYQFRPTRSTIRWRRIVQYPGGR STHFSDVAVPPSWHQWLRYTREDPPTIEEQEAEVARQQRIKVLAKMADEKWEAKAKYI PDSPGEPSTRTIGKPGEEYGQPLPPLVGEGMKKSSPYTEGTSGGVVSGVETSGVSNQA VFGSEEAKQAAKEEQEKPRATVTQTATTTTPTNTSSATAHATPADQTSGAREHTWDQM MKQQKQHKKKGIDPWKQAQASNPSGEWQPKAWDPTASLGNKKG NEUTE1DRAFT_41799 MAASSPLTDLDGTSTPASFHTAANSPIAADTTNITSPDHENDQK PGYYKCKEIPHVLKQSCQIHLEEQMYLPAIELLGSLLSDAGERQQTDKPVRVPPPAQI ALLGTLTIHPAYTSRAPEQTNLDIAAESREYLRTLLNKVGPINADFRSAFSFRQGQSS GRWARRNSREFNSPGESDGGGSIGSFSEADNIESKWSGNDHSIWRRSSDFWSVLGWAF RCSTTHAHRWVHWKAWLDFMIECLEKDWDERLAQDQANFQTEINNSSDSESHAQCQYP LLKQSLFVQYVDDLRRDRKRVLHEVIRALLAFADDENSADNTYYREVFNKETVLHTDK SNKRKHSQMTAVDLENDQFGDYLEDWDDPVTDDDDNKANAFHTPHHPSGRTGRRTKGR PKSTPFSKPGKAFDVSPASTPNPLRVTDGLADSIPLRLRLFRLISGCAYYLPHDVLCK THELYDLFTLRLKSLPLASFELFLSLDHIDFALPRFIYVSLLRYVAQSFTPRNAPQPE NADPEAEADHAISQTILRECFLPFPAKTVTVEDNAKFSLVLESMAWCLFQSGSVDYSD ELKWAVEKGIEEREKKIKKAGGRGGGGAAMTAAEKMGRQVLERSAASLRTFVDAVETL SFAEDRVMGTGDEMDEDSILVGSP NEUTE1DRAFT_63397 MANHPIPDLSTLLSSAQIFSSNYPLPHIRAIHKALHAEIDDKQS RLRTQVGGSYRELLGTADTIVQMRGDMESVQATLGRMGGRCGRQVVKEKMDGLKKWDE SEDMHNTSRREAARVKILEGCVLAVQKLLRREEKAAGRDTRKMRGGKVEEKEAVMSKG DKLLVAAKVCVLGRLLVKTFEREGIHTEASRTAVKSADRSLTVLRDRLLRCIDSVLVS VNEKLTPRSDLLKALSAYSLHTSSGARDTLRHFLDVRGSALSLSINNNNNWEGQQSAA VRTPKDILRRLNLYAKTLQDVQALTPNKLADALNGLKKKALLADDALRAIEGLRLDIY SCWCGDEIRYYTPFIRHDDLQAESVNGLLSEWAPVHRDVFIDDLDKTVKSMSEFKAIV DLRTSVLRLWIAESSRVRYDFASKDDNDSSPDGIPISTSMFSQIRTTINNHLLFVIDT KVHKLRLVGSEASAALAAWREGTTDAHLSLWDPSAFSGIDLTIPSGGPSGSGGGGGGA AQQFASEVISRLYGRNDAVSKAVASYKSWFHVIDDVGSVVEQLRRQRWENDLEDEEEE EGDMMMVEDEETIEQRHQLLSREDPERLTTHLSESLVKAFAALDEHLTDLWTQQRDGP NNGQIAMYLLRLLRDIRSRLPDQPQIPTGAVAAAAEDSSIKAFGLPTIPSLHTSLARA VLVSPVDEFVTVALARKTVVGRGLWEGSPELPTSPSPGMFRFLRSLSAAMAEAGADLW SPAAGRELKKEVRGEVCKVWLEAARGVLEEAERQERESGEKETSKEGDEEEVKEEGEN GGEEGGKEDKEEETDEEAKKTQAEEALAAKAEAEKLQKQQEEEAEKANQQRRDLFVQW LFDIIYLGIFLDGSKGDFNAIANTVFQHSGLDPGAKGRLVKAAQEYYKRTQLLFGLLT S NEUTE1DRAFT_146465 MATAFETQPLVASTSDTSDPAPVKLETSTSPTGAQVTVIRDAKG NLLYPSHVPPETQSPSFSPAIPPTSQNKKQDQANPIDKVSESPGLRKTHTEPIKRPMN KQWQTEAPKQTNRQDSFAVPAMKRAGTNTSRWDPRALPAFGDNSDSSSESSSDEEEHA PGHKKPLFRKKQADKKQHERHGHFGKFNVGNENYRTTGRVSKRDGRLNISVNDTSSTG YLAKALGMAAKKVMPARGEDSNKNAEQTRKPSVGSRLSTASTTAGPDKISCPKLNIVI MVIGSRGDAQPFLKIGKVLKEQYGHRVRIATHPAFRDFVEKDSGLEFFSVGGDPAELM SFMVKNPGMIPTLESVKAGDIGKRRAAMAGMFQGFWRACINATDDERDVHNLKMMGRM DPFVADAIIANPPSFAHIHCAEALGIPVHLMFTFPYTPTQAFPHPLASIKKSNVDPGY TNWISYPLVEMMVWQGLGDLVNDFRVKTLGLDPVSTLWAPGATYRLHVPFSYLWSPGI VAKPEDWGDEIDVSGFVFLELASTFQPPDDLVKFLEAGEAPVYIGFGSIVVDDADRFT QMIFDAVKLAGVRALVSKGWGGLGGDSLDVPENIYMLDNTPHDWLFPRVRACVIHGGA GTTAIALKCGKPTMIVPFFGDQHFWGAMVSNSKAGPEPVPYKSLTAEKLADGIKYCLT DEAVKAVEEIAHRISEEGDGAENACKAFHKGLMLHGDRSMRCSILRDKVAVWQVKGSP TGLKLSAMAADLAVEKRLTSWKNLRLLRHVEWNDFEGPGEPVTGVAGTVVSTVGDVFS GIGGVPIRLGKKAKQRKVKKQEKRERREKKLKAREARLEEKGKAKEKDNSAVNGEKVD KPSEQQNGTIQKVETKDHAAAAAAADDDNKSDAQFSGRSSDSKPDDATAVDNNSNETT PDSGQSLPNITTTTTNAIHNTRSRFDSPSPSPSPSPLHAPIRPATDHRTDTNLTTMTT TTNGGESTTDPAEVAEEIVHEIGQGAIKSASAIARAPVDLSIALAQGFHNAPRLYGDD TVRRPTRVTGIKSGLKAAGHEFVFGIYDGWTGLVRLPYRGFKEGGISSKPSGSASRPG TGTGSQGGSTTNDNNGAGATTTKPAPAGRMVGLVKGVGMGLTGFVLKDISAIIGPIGY TLKGVVKQAQRGRGPAKYIRKARIVQGARELEAVSPEERRRRMEEVVEGWKILRELWD ELQEVERGDKGRKQKTMKNSNSNKQAITNGKATNGTPVVDGVVSNGVKNEKERKDNTT ERNRARKRWVMARGLGRRRRVAVQGEAFESVEMARKALEELRASSS NEUTE1DRAFT_146466 MIRTTPRPQSHRQRSLYVSPTEVLANASQGVQDPEPLEQGGSPF KEIPKIPFPTAKVEESKNEALDTTRATDKGKGRETITPPAEAAPSETMSTAPLMSYSP FQGHHGNPEHEQAECEHNYGYGHQHDHTNTHRPQHGYAPESIGSDSDFDQHAWAFVNV SPDTGTLGGLQTSPVQGSLSSWTNVEEQFGGGLSPLPAALTPDALSGSPEGGYQFPAD DFSHFDGYTFDNVDINSILFPDPQYEQGMAAVGRSEQPASHQNQQPQNFQDDFDLHIV LSDVNVPPWDPLHPGGLEPGFMDEVPSPFNMEDFNIEIGPGALSSSPPESINSQILSH HSAPEDAPYLSVQVQQRYPAPSVPVPGPSSSSHATSKPTAIHKTRGNARVQKKKVPPS PSTVTFSSTSPGSVTVNISGENKFVVITPQSISQNAAKGSSAALFGGKDAEGSSRTTL RGRKGPLAISTAVSALQVRRIGACFCCHARKVSCEETRPCRRCEKLKLTVPEVICWQF SDFTEVIFPGWLKVHLKREGAKGAEEYVRENVASFSVRFREDEEDSEKPIKLRLTLGR GFNKDAMLTVFAKFFTPTPNAPDATRWYTVREHSLGAGHGLVLEESPATPIALPYGWL DQREELRRHLRQFHEGLYNEDQWAYLLTQSCEHTTKIPNRVLPILRRYANESRNGMVK RALQILTMNYTMSYHLHLDPESVHAIEAAGYRVPEGLDRKVLTARVLNRQLKAVTNDM IEREVRRLFEDFSKALKPRSRKEWAGCFAAFVALSVFLEYKEAQADAYVVMENEVKKR DWLYKVQQRQQQQQYHQHQQQEAENILGRKEGGIEDIGEIEQGMDQLKMDFTRKQAWD TLEAIDNLPFKQFMYQFHQIYQTHPSTAKDGKAFNPFVDDEALESLREFPDGEAAIYM VKTFRDRFFDVSDVRHELDFLTFDPSGPPKENVDPFTDPANKNKTSLFHLFTGRLLSK FLLSFIDERMIMGSTGFTGGGGNGGNEGVTVASNLDSTSGVESDYESGDELNPNVNND NEDDDKGDNTGGNVSVIDDNEKVENSDNNDPREMIGEAGSLRVFLKVILFPSLAAFFA GYA NEUTE1DRAFT_43296 MAALGNGLGLGSNLKSQYNLGRAGPDHVYHAAAAPTPQLYNPRP APVDPYTSAPGHGAAHGHLNGQYRSTGPNLNLNLNHTQGGWGDHHLPASSSRFASSSS ADSVDDILASPSLSDYSLDNPSLSTAPSSKPGGNKNHHQNHHSHNHHHNNHNGGNGNN NRGSRSQRRPSNRDEFDGPHQFLQRPPPEYIAMQERELPHLPTNLLVQEQDSVLTQVN DRLSQCAYDFVAKYQFPIPLTQDMRPVERPQDREWTEWVYLLKRLATKRRIPARVLYN GQIKQFVTILENSLEMRHAAKHQSRPLKDDRNILQLISAGIQVAKILKDAPAMDFLDK LYVRTEQQIQERAAAAAARFR NEUTE1DRAFT_100866 MASSISSMPAALTALTNFVEMSNDTAVALQSFIIPPLHVVHVRR PCVEPLLKYGMPITVPFLPMVVSRGREDQSSFWPELRIVQMPVEQLRYYLIVALFVIE IHLSWIVVDKTGAFLQAILRAVCSHVAGDIVKEDALSR NEUTE1DRAFT_82084 MTAIVVPRTSDNTMSGYNTHVELDEVHTPSSDYSFAQTNMLPST TGMFSFCQTGPADLNGSSWDATTEGPQNFSEFTVAGDYYTTGDAEDCFIPFSQNTPRP DQTETMEDYQARWNAAAAVVDKVPKAEPMRRMTSQSSTSSHKQRITKSTPPTKRVGRS RVPSVHLTSTSSALSKLDVSGNGSSYQDASLTHGRIMDVPQFSTPDFESFNGHPAFYT SMMGMPDGLPFSAEMGASAMSQHVNPQIFTAGMIGSSPQSWGSPSPVGSSRMSSPGFF EGDVFSGVPSVSSPEESTSSISPILPGQSPRMNRNGSQFVTTEDIHGNVIPAVDDAFS LPPSFGARRMSGDGESARDHYLYKNALPQADGLFHCPWEGETNCNHKPEKLKCNYDKF VDSHLKPYRCKVEGCQNARFSSTACLLRHEREAHAMHGHGEKPYLCTYEGCERSLPGH GFPRQWNLRDHMRRVHNDNGSAAQTASSPPPSGASASSRGRKRKSEGDKQEAQEKTSS RKSANKISKAEAQADVHRAQWSEHQKALQSIFQEYLQPEDPQSLQYIKDAQEHLAAMG KLHQALSNGVQPRSWKM NEUTE1DRAFT_129794 MDPVTAAGLAISVASIGLQVYTGCVQGIQLLITALNMPEDCKYL NLRLRMEQQRLFAWSETSGLVDLDGNNQKRILESNTFILHRQTVLDLLVQVECLFKEF KEHQKKNKCLQVASDPDNVLLNPERDAAEANFPLPERRRNFIKKAMASLRDTSKEVTT RLKWVSFDKVAFELLLSRFSVLNDNMTNILDARMQVEIHHTVQDTNRGVLQLHHKIAD LSRLVMALNVKLEANSPTTPISQMSAEQRRANAQGLQLLSQLAKFKAFNESIEPEKKS TPTPWDEATAMFLELGKPNTPKDLFLDRSMIRLDSDNEDSDLPRCEATLQLPGGAQKK VWIEWKDYDRQRPDDLSPPKEVIFERVRKLAALLNHTPKPEEFRTPHCLGFFDKAAGI NGAEDDDDIRNMRLGLVFERPQEDDLHTSLPPVSLHDLLETSRKPRVTDRIRLAHAIS NCLFYLHAVNWLHKGLRSQNIIFFRTSSGHVDYSKPFLSGFDFSRPARADEETDIPDD AEHNLYRHPLAQSTDPEDRERFKRSFDIYSLGVVLVEIAHWATIDTVLDININEARVR PSIALKVRDNLLAEDSIAELGATMGEIYEHAARKCIAGGQELGLSEGDEETNDVVAAR LSMVLHEDVVKRLGDIHV NEUTE1DRAFT_100869 MPPHENFNHMIVRESQKVVAVGIFPPQPPKTSEPTTRRFGPSRH RLVRVRSRSDGRPTAAPHTGTQRHGGPKAGRRIPRPFCNVGNIADDEPLKVLVRHVRR PSCRTVHPIRNTFSRTPRSSAAKTEPP NEUTE1DRAFT_82089 MEPFSPEAPHCNGTSSSSSPAVSSNSPGTATSGTVRNGSVATTT SQPSVPPPSVPAACLPCRTKHLRCDGNHPCGRCTTTGIVADCAYIASRRGYKGPRRNP NNPTPGANPNKRHASSSPPYAGTTPDSCPMMLGHAPVTMATPSVHAFNPSVVLPDQSQ LSFPSTPSVASIPVYRNNYMMDGTAMTLATQAAPPIQPPAASLPERCFEAFYHYFHAG HPFVLPKRNLVELLKSNSEPNLPVLMAAMRYIGSLYVDAGPAKARFLDEAIQLCYAPG VRKDGFLIQALLLIIIGLDGSCEQERARELLAICERYAIEIDLNKRQFAVMHGRGDPI MEESWRRTWWDLYVCDGMIAGVHRVTNFLLFDIMTDVGLPCEEEEYHKGCIPSPMSLE DFDDKEFQDEDREFSSFAYRVAAARNLGRMMRMPNVMFPDAAEVDKMEAHLSNWRMHL PDNKRDDLDKNCQLDEMMFQAHFITHACTIMLHQPLSQLDSSPVQAVNSCAPHRPVHS GDQYNAHTKHTVTAACEISKMITQAVPVTSHTHFFTCVVTLSSIVHLSKWALYMIEDE ADLRQQIRLNIGALNKLSKVWKAANTAWGQVKGVAQEIYRQKKVQQITPAFWVGYTQE QMMTSIQADESIISEFDQGLIAQVGIAQVGVTQAQ NEUTE1DRAFT_129796 MVTTSTLRGAILSTLLSSVPLTAAASSSPPSPNTLHSDLTVIVN NDLQGPSSPSANASVLLLPNSLAFSQASQSCSSLGESLYSPELISTSSIKSNLDYLIY RSRRSSSLGITTLWIAPSPKNPSVPRVIDVSPSGRISVSEVRSGKGQAAKYPVLCSQT APFSNNQAQDKSEKWQVGVEGVNGNEKVIGFRDRLSFRFLGLRYAPKPERFTYSTLYR GDGGKGKQKEVSGLEYGSQCVQGGGGAGQSEDCLFLNVWTPYLPAAADGGKKGKGKDG DKKRKDLRPVAVWIHGGAFTSGTSSDSTFDGGNMASRGDVVVVAINYRLSTLGFLALA DGKTNGNYGLGDQVTALQWVRENIAKFGGDPDQVTIFGQSAGAGSVRALLASPKTKGL FKGAIPLSNLGGINYGTTYSRYYTIAEETAVVGNAILAATNCTDVACLRQVPAEKLTS GTVARYLVVDGTYLVSPELDLSRTAGPSGIDLMMGITHDDGAPFISYPSTTNQTAYLL SQGFSPSLASPSLFPIPPNPNGTLALFNSSSYLATDGMFRCIDQATVQAGLANNRFSR VFYYEFDRTYQTGGWPNLDVCEPPKTASHPFGDPSKPYLRCHSGELYYVFGNLARQGL PVRDEMDLPFEQFVLDSFASFIRTGDPNPEKGFLRARGFESTWREVQRGEWVASSRGA GVKLKVLDWPSRLENGWREVQQCEGIGLGVDYYL NEUTE1DRAFT_82095 MPPPALPTLSYLSQESNSALTRKFGPETANYFSGSPLNRLSWLR SDHLFLRAAFSHASARFLLMNNLGPMVESKQNDARLAFAGPEDVKGLLGSGEEVFRSE EEVVGGYDSEAAGKGERMVVFLGVDLVDEKKKQQPQEGEDVFVWKEFRGAPYFAVDVT PREGDGDEGKAKAEELIKKMEEEKGHAFLSASPRGMALEAGHAAMYGQARAVVDWNAR NPFCAQCGQRTISVHAGTKRVCPPTDKGKDRAPCATRGTVSNLSFPRTDPTVIMAIIS ADGTKVLMGRNRRWPQYWYSTLAGFQEPGESIEEAVRREVWEESGVTVGRVVLHSSQP WPFPASLMIGAIGQALPGDGEKIFLGHDAELEDAKWFPFEEVKEALLNGASALGEAAP AGYVEGALRLPPQTAIANRLVKAVVEGWWTMSKI NEUTE1DRAFT_94922 MSKISVAAVRQHVTDLLEYSNETKKRNFLETVELQIGLKNYDPQ RDKRFSGTIRLPSIPRPNMSICILGDQHDIDRAKHGGVDAMSVDDLKKLNKNKKLIKK LARKYDAFVASEALIKQIPRLLGPGLSKAGKFPTPVSHSDDLTGKLNEVKSTIKFQLK KVLCMGVAVGNVGMTQEQLVGNIMLAINYLVSLLKKGWQNVGSLTIKATMSPPKRLY NEUTE1DRAFT_63423 MDSEKAIAAIKEARPPATDRFTYLTIVETNLSPAVLPTLNEVLQ DAELTQEIGWDLVYNLVNLPGSDECLETIARLGNPREVILKVLETLELLSQDDGYQSD DESEAGETNGEKSVPFKQKFITLVNMLSILHKRIKTKYPSRFLATSLQTIYNTYRPNH EMTAAVINLVRSLAGNKRPPLPTRKSSVNVANPDQHGDATKNAPDPEADRETEHPTET AIQERLLLSFATCILESFVNKNDVAWAPRLLEFHNPEKIVPGRKTLMARFREEKELSE KDGAVGQLVALIADLGLSSCDKTFLSQVTEGPLQTDPLSKTEDIAKPEDIGLSTGGCV ILLAYWVFSTTVFDSDHPVPDMHIFPDHLAMLEKFLQDDAEDQIRKAPGTMQALVTIG LWLHDKGLISAIPKAPLANQTTNPDEATSDYMRYILFVTLIAVFHPQLHVRNAASCLA GLVLHADPADDDRLKILYDLLENCDYASLKACAVTWLREELIAAAPNAQAAPQNLAAP NNQAPLPSPTLQPGTATPGTRSRAGTNMNVFAGPQALETVQYVVFPNMGFLKELDLQK LVNELGTNAPFFLQAVNFGLFLWSSADKWRRVLPPNLDATVKERWFAPLHDAVAKVKK AVDSGEIEGQGLGSLQFDLDVLSERLSRLEAAEGFAVEK NEUTE1DRAFT_117022 MESVLVPVSDVVSQTSGGQGARKNVEISLLGNDGWEVLRNPNCC VSIVDGGSCEAVLKV NEUTE1DRAFT_110161 MPMMTGALTYHAGNSANSHPQEDLNTSPGHNWSSTTPCFNSIVS NDAFCVFTNTSYANDEGITFITTAQRAAYLTSLLSFTPRSNITSSPAKYTISSIPGKG LGLIASTRIPRGSVILSSHPTLMIDYRVFDELLRTDYISLQAAAISSLPPAHRSAFFD LSTQSKNASVTGGLSRIALTDTIITTNAFDIPAPPLRPPSPHSSPPHDQIDPTDTLWY TVFASSISRLNHDCRPNADYRFDWNSSKGGPGLVQVITAVKDILPGEEITISYINPLR SRKARQKLLSTAWGFECSCELCSRSGGRVEEADQRVRLIRKVRRLLKEEQDGGSKAGR GEEGEGWKMGSRGQGEGDDRTKAAELLVSLYEMEGLWGTVHEAYALAAREYSNAGETW MVMKWAALAVEFGMMVLGEGDEALREMKGLARNPWGHGAWSSRNKSEGYGEGDEKGW NEUTE1DRAFT_42619 MIRSTSNCGSSLFFTENVVSNYMSFIGLTQYDWENPTMMDGPDD PDPKTAKQARGTIQYGPDEEDPRQAEEPYRRFIGIVLYGDNTFGYKIHVNMLLTSHGN PVPKSRSKSY NEUTE1DRAFT_42533 MTLVVNTNAKAHSLSQDRTSTSAGFNQPKGDTDLQYTVEDDEPL YLTMVQATEQPNMIAESGGCRVGPRM NEUTE1DRAFT_137762 MFLDIAQTKLSSDVAVWCYEQRPRNELKRLSLKFCPTLRTSAGF WYQPVGLDAADAPRSGDTFID NEUTE1DRAFT_129800 MASQSTFDIPLDSLPPSYRTTERNTDFDPIRRAETGTPEPQDTS VQEPARHEFSQLPPVDGGKDAWLFLAACFVVEALVWGFPYSFGVFQDYYSTHPPFSSS SNLAVIGTCAMGIMYIDTPLIMSFFRRFPRFARWAPIVGLLVMCFALAMSSFSTTVTH LIVTQGILYGIGGSIAYSPCILYLDEWFVKKKGLAYGIMWSGTGLAGVVLPPLLEHLL SKYGYQTTLRIWAVAVFVLTAPLAWFLKPRVSVGGKFAASEAVSNSSTSGTTTPTSND NNNNNNTDVHAAPPTPYTPSFSFVLTRPFLFYQLFNIIEALGFFLPGIYLPSYARSVL QAQGFLTSLTLLLLNVASVFGCVAMGWFIDRLDVTTCIMLSTAGTVLGTFFLWGFGTN LAVLYVFCVVYGFFAGSFTSAWPGIMKEVAKLGHGGSAETGSEHGSKPVDPTMVFAFL ALGRGVGNVVSGPLSETLVKELPWQGRALAGYGSGYGGLIAFTGVTALVGGGSFLWKR LGWL NEUTE1DRAFT_146476 MAEPSVINVEYKGRLAIITINNEKKLNALTQMQYYDLAQRLREV ATHDEVYITLIIGTGRYFSAGADVSISRSNVAPSEGLSSQDAIHNHWLRNFVANNLNI TQAFYTHPKILIVGLNGPVIGLSAALVSFADFIYCVPSTFLLTPFSSLGLVAEGGASR GLVQRLGLPKANEALIMSKKITKEELVQTGFVTKCFEEVGRGETKKFKGLVLKEIEER LGDHLVGDSLLGIKSLIRRPERDVYDAQNVAEVMAGLDRFVSGVPQGEFEKIASGKKR HKL NEUTE1DRAFT_82110 MAPPTELLNLDVEAISGILGSVSIACWVVVFSPQIIENFRRSSA DGLSIQFIIIWLTGDVFNILGAVLQGVLPTMLILAIYYTIADVVLLAQCFYYRGFTWR DEVVPTIAIDSDDSEAASERDMGSRRGKNKVGGGGGGGDLYPYDGTGEGRGSSWSHLS PAVPLVDDADLEAEILQQPRGRNGNNRARPAAAGPTKLQSFLFNLMAILMVCAAGVMG WFLSREYYPQQPSYEPPPSSDPQDGGLPKTGVEFSFWGQIYGYLSAILYLGSRLPQLL LNFRRKSTEGVSMLFFLFACLGNLTYVLSILAYDGSSECAAGPGDCEDGEPGKIYWHY VLINMSWLAGSAGTLLLDAAIFIQFFLYSNEEVWSDDEDDSEWDSESGSGSDGCSVIS ERSGTVSEDGQAGVEQGQESDGRGWDSDDERSKLDEAEMRGRRRRAERDAGVRGGASV GAGGSESGKL NEUTE1DRAFT_82114 MAKKRKAPRPTGPAEPREVDAKDARLTVKTYKDVANSEDEYWEN QDRIDFDDSDDGRQSKRRRQDKEEEFLEASDEEVFEENESDEESEHEDAAPAKGKKVK TTVLSDEEMGGEEEEEGDEGWWGSSRKDYYNADQIETEADALEEEAEAKRLQAKKLAK MSEADFAFDESEWLGTKEEAEGEEDVITEKLNEIEVTEDMGPEERYKLLQSRYPEFDY LAQEFRELQPILTTLQKEAEGKPAKSLEMVKFWTLGCYIASLASYFAILTSPARDSQG VSKTISPTELRDHEVMGTLMSCREAWLKVKELKPLKSVSSTSGMLSPPEDEGEYDDLS DDDMEDFKKMLKKNKRESKTELKAKAKKEAEKAKKARAVEQSLADLSSLLETTKTATK ASKKSVKVDKRDDDERSDFGDEEILEAHVAKEKAARKKSLKFYTSQIVSKSAKRADAG RNAGGDDDLPYRERFRDRQARLNAEAEKRGKKDSKHGAVLGEGDSDDEDKAVAKQVRG EEDEYYDMVAHNAAKKKEDKAAKYEALAAAKGARVVEETTIGPDGKRQINYQIQKNKG LTPHRKKENRNPRVKKRMKYAEKQKKLKSVKAVYKGGEGKGGYQGELSGIKTGLVKSI KLS NEUTE1DRAFT_146479 MGLFSAKNHMPVEGRTVLLTGASEGMGRSAAIQLSQKGANVILV SRNVGRLEEALVDVRAAAKNPSTQRFTYISADVSEHDYAAAVLAEAIAWNGGRSPDIV WCVAGMSTPLLWTDDDSMAAARRNMDVNYFGSAEMSRAILREWLAPENSTGPKGEPKH LVFTASMLALFAILGYGPYTPTKWALRGLADTLTMEVNYYPDNPVKVHIVYPGTIVSP GYERENQTKPDITVELEKDEPAESPDTVARRAIAGLEAGKYFVDVSFLGRLMQCGIMG GSPRNNWVLDTLMGWFIPIIYFFVLRGMNSTIVKWAREKGHPFTHPKKK NEUTE1DRAFT_122326 MLGNPGAPGGVPQPSPQDHSQHQQHQHQQQQHQHHQQQQQQQHQ QQQQQADMLQGLGQPQAHPHQHQHQPPVAPMGQPQQMQPSPHQHSMDPQLSKSTEDMA HESGYGQLNVESALAKRLAREPGHRLAQQRRPEQVLNLARRSNVEALFAHIAGEPARV PCKNCHKGHGPWTSCIVVDGQMCGSCANCWFNASGARCSFHETRNPQNSQQQQHNTAI LPNGANGGINISADPNAFRFGTPHPLGTHAALAAPAPVSAIAPAAPSMSNPMLQHMLN RALSEVRSADKATRQLILMECAAKKLALKMVDYEELISSQEQSGNPGGPGQQGLGEDA GA NEUTE1DRAFT_82119 MAIPMERLGDRAFNHRTSVEIESEYDRLRAEARAEGDKMKRYFD EAHQAYERGDGAEAKNLSNLGKKHKAKRDALNKQAAEFIFRENNHPERVAADTIDLHG LYVEEAEDILEARIRDAQARGQTHLHVIVGKGNHSAGGVRKIAPRVEQVCREMGLNFA AEENEGRIYVDLTGGRVDGLPGLPQQPPGYQPGGGYQSEGRPNQHHGGGGGGYPGQQQ QQQQQHGQQHHQQGQQQNQQEDDIWADLLGACFKKCCVVM NEUTE1DRAFT_146482 MSTSAKRKAVSPTPGSSPPARPAPAGPTSPAAGSPEAAGVSSFP AAPANTDDALTGAHWLQQGMPEEDDQDADSSLGSDVESSTASISSSIFNYRMINGRTY HSDAVTDIEYWAPNDEKHLDSLEIYYHGVELLNDHQLHMSPLKDNIERVIDIGTGAGF WAIDFADKYPNCEVIGTDISPMQPSWVPPNLNFEISDASKEWTYKPNYFDLVHVRFFV GAIEDWNAFYREAFKVCKPGGWIEHYDHSPVVTSDDGSVVPGSAMDTYGKVLAEAARR IGRSATLADDDTMEEGLKAAGFVNIQTKRMKMPLSPWSDDKKLKEVGLCAYSTLSADV EGVVQYLFGNVMGWTPEEISVFAAHMRRELKDKNIHGYYHWKYVWAQKPEDAQ NEUTE1DRAFT_82124 MAPSIDKPVAASPIDKPVALVIGASRGIGRQIAIDLAKKGYAVV VSAKSTTDPSALASLSPFPPDPNSALSTITTVAHEITTLHSGTALAIPCDTSDPTGSS LKILIASTIKAYGHLDVLIYNSGAIWWSSVSSTPLKRYQLMQRVNADGLYAAIQFALP YLHESTYGGRIVVVCPPIYSRFFRGKTAYAMGKVAMSVLVKGLAMDFERESVKGMAVS GIWPAVAIESAATANKQEEKHSELRGDLRHATIFSDAILGVLESPAEKVNGKLLLDED FLREQWGVKDFEKYSVVKGSRPRRIMPRVLPDLTVEEQDDEGARYDSAKERKKKKGSK L NEUTE1DRAFT_122330 MAAGTPKSSGSSTATAMDIECQNTQVSRVSHWYLLLNPGGITDA VRNHHYEGEGTHEKPYIVDFLPVDGHNAMQYPKWKKWTITILQAVATLAVAFVSTAFS GGIKEIIIGFGVSTEIAILGVSLFVLGFAVGPVLWAPLSEFYGRQILFFLTYMALTAF NAGAAGAQNIETLVILRFFGGTFGASPLTNSGGVIADMFNAKERGIASSVFAMAPFLG PSIGPIVGGFLGQAKGWRWVEGVMAIFTGVLWIACSLTVPETYAPVILRRRAKNLSKE TGKLYVSRLDLQTRHQTVGQQIKISLLRPWILLFTEPIVLLTSLYMAIVYGTLYLMFA AFPIVFQQIRGWSPGIGGLAFVGVAVGMMLAVGYSMYDNKRYSRVADKHGGMAPPEAR LPLAIVGSIFLPVGLFWFAWTNGPEVHWVVPIIASGFFAGGLVMVFLSLMGYLIDSYT IFAASVLAANSVLRSLFGAAFPLFTTYMYADLGIHWASTIPAFLALACVPFPFFFWKY GHLVRMKCKYAAEAAQALAKMLAAAKARQEQQEGRSGAALHQQPQVAVPAGVAEENEE VLETKEAYSNMPTVSAVSDDERTMHGSGNTTELEEEKVRRGYE NEUTE1DRAFT_100888 MPVFLIHHQQQQHETRRGLIPSSAISAMNTASSGHFPFILFSSL VRHPAALSGHFLKPSTCQPNQGLASRTAGPATPGSVSHLNVRRDTLDCVDSSTPRITE LRYSPVLVLPPP NEUTE1DRAFT_63451 MSLYHETAAILTAAGPNATHGGSLKSRIFKDKSLKSPPAQVYAL ALETSKWSAILKEVVEASDLLKHERKLTPALSLLLVHDLLLSKSGIALPATHGLRVSI ERHKARLNSEFVRARIRRKCATLDALRTQVEIEARGGCPVHPRWIRVNTLKSTVEEQL ATTFKGWEVVESVAEVIKAADAFDGQGKKGKKVIHIDGHIPNLIAACPGVADFTKTEA YKSGKIILQDKASCFPAYLLDPRPERDGDVMDTCAAPGNKTTHLAAIVHSRGTTTIFA FEKDPHRAKTLQKMVKTAGSDTFTVVNAGKDFLKVNPSDAKYRNVGALLLDPSCSGSG IVGRDDAPTLHLPSAPSSSSSSSSTINTKANPKGKQNNNKRKSPPTTTTTETQEPPAK VLIDDDGVAIPVSSQADLEARIASLASFQLTLLQHAMSFPAAKRITYSTCSIHAGENE NVVLRALASDVAKRRGWRVLKRQEQVEGMRRWDVRGEAEAMTLEEGEVRDAVIRAYYR DDGRGVMGFFVAGFVRDGTIDDPVYLDDGVEQQQEGEEEEQEDPEGPFVRDEQGQIVR DENGIPTLKSTGKKAVDLKELEGDEESSVEYRIEGQEEEDEEEPYQRDGKGNIVRDDE GLPVLKEGRSDESEEEEEGGEDGDEWGGFDD NEUTE1DRAFT_146486 MIFTTAALAALLVSAAAAKDSRTFAVLRHYGNGPLTTCRADPIV SPGTASAHLHTIMGASNFGLNVTGEHLRQSQCTTALPKADMSNYWFPTLYFKDPKDGK LEQVPFYYMNVYYFFEPTNDQIKAFPLGLKMVSGNAMLRTPPPGAAYGKGSNMDPSKG PITAASITCPRANFNPPSWPKDSDGSMAGIKTNQDGEGWGFPFEDCDAYASPLRADVH FPSCYNPKAGLDDYKNNMAFPSDAGNGKQDCPKGWIHTPHIFFETYWDTHGLLSRFKD LVGKESPFVFANGDATGFSVHGDFVSGWDEKALQQIIDNCDAGHAGMHTCPGLIGGVN DDSKSCKIECPIDEKVDGKLDKLPGNNPIQGWKYGTSGSISGSGSSSGNNLAVGVDSA VPKPTNVVKQAVTSPAPTTTVLQTTTVQSTTTVYISAVGAEPTDAATDGKTVGDFKYA GCYKDTSDRVLSGIIRANLGRVNNTACVTHCSSKGFSVAGTEYGGECYCGNELSKVEK LDDSKCHMTCEGDDTDKCGGDWALSIYSKSGEVSARDVHAESHHRRHIHNHYMHHRRT PAGRIRR NEUTE1DRAFT_82136 MQDGFVDPEEEFIDSDTEEIRAEIHKFETVRDAWLAEHRADLPS AAYTVLPGVSKSMSKQINNSLKEGSTTTVAPSAPAPRPSRPRRKLGPRKPPRPPPEIQ IRIAAARTAFDRREYQEAINMVKEIIRQRPATPQAWNLLSLIHEELGNREAATMCLIS GAWLIPKDARHWMNVALYCLYGVDMMDETDPRRKLALERAVMCYSQALQADKQNVEAR TGKADALMQLGQSHLALAQYLRALKVQPLNIRTVRNLAEAALDGRDPRKNAEAAKAAY RHIIEYLWSQGDEVFEAMEGEFEWSDLRIYLEFFTILEQWEQGAAELKRVARWKLGRR AEEFWDRWPGEDREWDEDDERRELCEDYEPGRFSPMQYGRALPVDLRARLYVFRMKMG DMYEAEKHLTSLDPSADTAVEDFYDFPDCLKDIGNALLDNESPAKALQYFSLYEKIAD QAGDISMDADILVSLGRCHMALGDKSAAEERFIAAIEDDEDNIEARVCLANMYEHVSD REGREEAFLLVRDAMNLEANQYTLDQEGNLVPKRKRRRATGPRKPRKPRDPNRPRDPN NPKKYVPRRLINAEKRKQVDLERTKVATKNYEIVQELQERAFHSDDPEAIQNWTRAAR DLVEDFRSFKEFYPWDKYVKYLGLGGTGGGGAAVPSRNLKLAAMAERLRQGLNPENGD GTDNIPAASKARVLKFPSAHRGIPFSAWLDIFLSLAFALVRQNQHREAYVVCHAARDS VVWTATDSTYLIHVAWASCAVYAGDEETCVAIARYFMRDYLPGTDSYRMFAAMCRTCQ TPVSWYTSGPAQKFILRQIKTMDALVMRQNGQDEFPPPASSQRSPSKSQQQSPLKSRS RGDITLDVALLTIYGHILFTTTSYSYALSYFARAASLDPENPLINLSIGLAYVHYALK RQATNRQYLLTQGFAFLFRYYRNRTEEDPTATIGQKMEAHFNMGRAYSLIGLGNLAGG FYRRVLEEAERVRRNQEGEESTEKRSRWEETLVVEAAYNVRCMCFLLGDVKGAKAVAE RWLVLE NEUTE1DRAFT_82138 MLNMSNIMSNRNSTPEASNVSSLRLPSSRAIGSNHGLRASADVA ALTGAQAAASRIRPSSDFYGQPQSGQVQGNAELDPQDKLAQQWIADIDQYETTLEEMA AATLDQDFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMGKNHP MSGVLSPANFDKDPMSSRLSDAMGKLEVGSARNSLARPSGGANKRHSGLDQTTINTMF PDAVAAIATEKAKFTQQTGNPPPSNRNSLVDNRNSLAAPTVSGPKDDINGQNPASPWG PSDTSRPKSSTGQTPMGQFVQPPPSAGGLRSPRPPQLSSNTNIQSTTLTAAEPQLTEL PLLSPYTSSGNWASMVNTPMVPTFGQTQGNNADMVANATAMKLAALSTVNNRFALDDA RRYRRARSNDGAPGQSHAQHPLSPGPQGIPSTNVVMINEHGQVLSHDHVLALQQQQQQ GLQQGLGGFGGHRSRPTSPGIAMPNYGSALQFASPQNNGFLSAYDGGAPGLINNGLVP MMGQFNLGGHHAEGYLSDHSEINRGRSPRGRRGSSKPPEDPTDPTLLQDIPSWLRSLR LHKYTDNLKDMKWTDLIELDDKQLEERGVNALGARRKMLKVFEQVKEAKKEGKI NEUTE1DRAFT_100892 MEIPRSCPLPLAALLSACASSSGVDDGIADGLFHAPASVVNRKS VQSNLTPRPPTGTRSGSPGAIFPSTEWKLETVLMARIVGASTNALLGQWRRSPFRATR VSTFSLQPSTGQPGRTVPLEGQPGGAKRGPTEGAESGTRRWGGATIGVRFSLE NEUTE1DRAFT_110175 MWNLGSSSSWGRAVSAKLGTWGSREQNRDWRFSVSMDRPSVCPR SDSSAYAWNAWARLISPEGVDNGEDPKSVDIDVAVVDDEGEGDDTALLQVPRSKVTVA KFDANQLPGGLISERRSAMGQKGGNLAPLSDLPTELKRLSQFHHNSPDVIIQQSNPSL WPPNVTLPIGTVLPKTIMEVNVLPPDHGLRKEPTKKISSSPTAAHAVRIEPPSRPKTE LEEANSYPVDANGKGCAPKQPRRRRSAFVTDKALEHLSQNDPLAEFDRIHEGIVGIKR YFTLPTVLEPSKKLVSRLNKFNKRRDEDVFKGICLEDWELEQEVDKCNSALQAVRKEI QSALRAVVEEFFLVRGPLPGEPNRNLIVVQESFRVLEDLRATFPEAVAEFGKQAGPAD GGGVAAPPNSRAESQQPSRQFHPHHDFRFQPKRARADSEEPQDLDVCVKRRKISEIGS RQKARTNSEESQDNTVCIKRERVRETCW NEUTE1DRAFT_41837 PLDIGFYGITSKPLEDGTYVPPKSQDGDLSWWCDLCDIGWADEY GLILHERRSYGHRVKQAERQGLPPPPKPERG NEUTE1DRAFT_100893 MEDIKSPNLSPLTSLTNTPRLLSPEPRFKHEGIASEAAPDFNPL RRPHLHHRVLTLSHLRLRRLRHHLPRDIVSISKLCRDLQERVNDIPVPIIPAVPSIPH LRSAGPVNVYEEATSAAAADVERIADIKTQLELLAHELEILRGAVFERFEDLFDKKRE EVLKKQLDCCFSDLKELQVEVNHRIWTGRLRQPLQPLAQRLHQLGMPTMARVNGKGKG KCKEKETEKEGEREGEQNAQEQSVLDAMPMRTAMDMDLSMGAQFQFPEWPEFNDEEIM TMFKEMEEELLEEPVNWEEILKAEATNEEAQVRGPVKREQEDDEMQLDDVEETETVKG DQTKKEVDEEKMEEDVDTKEPEESIWSEESGGVDWRAYLPSAHPCDPGARTSWHCAWG RRQ NEUTE1DRAFT_110177 MSRPRPPPLQLNPVLPTPPVTPDKLQHHLTSTSPNQTAWTPREP RSVRFALPSAQTPGHKSKFSKTATFRTHHSQTTKAKSKKIPAKPYSASLNRLMVRYYA LVQSLCESNLSESKLKPKVAGDRFPSESRGILAPPPSPISPVQGIQIQIRQRNPLQAI KSHAHASIYEPLTAIAPVLTLPLLPSQETEKEVRKVLKRAKRGLKILEELVASKSQGS DLELDLDLDQKMGMDEMDLDRELAHVGSGDRMDLDLNGSGSADMHSFGPGGRLGAAEC RRDSQKIMTKEREMEAIQEGVAMMSLEETRRLPHLRVPGRKVEGLSSAGFCGTGVNWE GFQGEVCDDIVGREEQAQDEAVLTGVGGLMSI NEUTE1DRAFT_94929 MAKSKNSSQHNQSRKAHRNGIKKPKTSRYPSLKGTDPKFRRNHR HALHGTAKALKEFKEGKRETA NEUTE1DRAFT_82145 MAAHSKPAQLGPWGKATAGAAGAAFANVLVYPLDLIKTKLQVQV KKSADVEKQEATASNEVHYKGTWDALTKIKNAEGIAGLYAGMNGALLGVTSSNFAYFY WYSIVRTLYLQYQKSDAHPSTAAELALGAVAGALGQLFTIPVAVITTRQQTQSKEDRK GIIDTAREVVEGEDGITGLWRGLKASLVLVVNPAITYGAYERLKDILFPGKNTLKPWE AFLLGALSKSIATIVTQPLIVAKVGLQSKPPAARNGKPFKSFVEVMEFIVKNEGALSL FKGIGPQLLKGFLVQGILMMTKERVELMFILFMRFLSKLRSQQLGKAAALAAAAKVAS PVIAK NEUTE1DRAFT_117027 MIAIRMSWSKTLTNNHAMRKSFSISTFGLAEHGPRICCQRAGGK NRLNDVPWQRRTVSQGFNPSSFPTLQAMATIPLTT NEUTE1DRAFT_122335 MFGFHGQELNIRCAGVDAKDGWTFAAAKNHIKAASPVSASGSSI HEVVVWGPVTFRKIYLEHERRLAESHGQEALKHAIAAAEIYMRAAGKAANPKDRNRLQ RKCSDLIALGERLKANAKSAATSARSPVPESTRTLTIAEKTLLLKSSKLHGNIFPPWE KTPASDEFAASKAADGCYTDHSPFTLSPEQQDIFAGWKRPHEIFMDVPERGADVFMTA TESIDLGQDLATDCSVVASLCAAVRQFGPRTGSLLSSLMYPYDDDVKRPAVSQNGKYI FRMYFNGCWRKVLIDDRLPTSSSERTLYVVDRRNPYLIWPALIEKAYLKIRGGYDFPG SNSGTDLHALTGWIPEQIFLQTDDIELNETWSRIKTAYEQGNALVTLGTGKLSREEER TLGLVREHDYAVLDLRNDGNNRLFLVKNPWRDSLVWTGVGSTATSSTDRSGSPEESMS NTFWMTFEDVLQHFDSLYVNWSPSLFRFRQDHHFTWTIPPKAEELVFTQNPQYSILSH TGSPVWVLLNRHWQDSELDILRERKQEHDFHQPLKSLGYMSLSLFASHPPGTRIPLSE GSHHALHQGPYVDSPNTLLRYSPTPGVAQTLVIAQSDLPLPSYSFTLSFFSNSPLTIS PASDPLPYNETITGAWTRRTAGGSTVYPSYVTNPQYALTLTRPSPLSLVLSTERADNL PVHIAVLYSNGGQRVTAVVGRDLICSSAEYQRGCTFASTLPSSNTSNTSVASNNHGHT SSLIDPGTYTIVLSTYEPGQTGRYSLRVSAACPFTVEPILSDAAGRLRTPAPSPATVR QGEGRVRARVDVARLTRASVLARSVKTGSTTQKTAMVRVALELGTIEGRKRVLASTAS GGGGELAASLSNLSLSERLGGIGGIGAGHIHGGQGTTEGDGYSAKGEFADASLGLRTK EVDLDPDVIRVYGGLWLVVEQIGGGGQGHVTEGSDDDGGGGGGGGGGGVHVEISSDGV VSIGEWEAANED NEUTE1DRAFT_117028 MIEADDGRGSNNIDNDHNNYHQKDIKTGTIGSMGSAEHLPTLAF AKEKPSL NEUTE1DRAFT_137783 MTPDQELAEQLAEARAFQAEATKGGNRQKSRRPARNRGRGGRDH GDSPSTPPITHSSRGGRGGRGRGGSLLQPAAFEQEQSESHLPATPAYTPQNVKVRPFA IPIVAPPSANSGFTPAVTPSGVGGSFFAPQAAPKATASKVQARATRGRRFGVRKFASQ DEDDAVLAFFNDDTPAPVGEVRYYQEEYEKKETSKVPKPSSFSLQENYPQQPKETSSA ATSHLQDEPSTVNLETKNETNPNEEAEAQIKASDEVNVEVSDEVALIDSQNVNVQELE HAALLREREEQERQQQLLREIEEGEATLAELTKLIAMMKANAAKLHVNSASGYPTGVL QQVTNTGPSANSPHQGASAAVIITPSTAKHRDAFNNQTSVPRNTGGAVPNTTSNSTGD LMNLDSERVTMRYVPHPTQIEPLGFNGGHSSFQNGFQEAEDEEEL NEUTE1DRAFT_129815 MDRKKIVHRLDTPFSAVEWPQISQEDQDAILELLCHLLSPLGQY RKAFVTPSKGKRKRKLVEQQGDAQTSLVPPAPEIAAYVDAGLSTISRNLQDLSAVAVS EKAEEAKGGEHDRKISSGSKKAPYSVIFVARSGQSSAFNCHFPQMVAVASKSQSPEQK MRLVGFSKSCEERLATALGIPRVSSIALRADAPQGNGLVDFVRKHVAPIEVAWLQEAD SGKFLETNIEAVPTKIGSKKTKSS NEUTE1DRAFT_122338 MAQDQDPAADESLERAISSEPSSTKGSNPYMDTDLPSPKRRRTS RSGPSRSRSVDSPSSVRHSYDSPGISSANTVPEARTDPDTMDTSSGTEPPTPPNAPRS ATPEPKATQPEPSSVSRPNRVTINVRTPSRPLDTIPSSPTSVSVQTSGEPLPSVDNIQ ASVEAPEVDMTRDDAIQDALPSPISDASSPAIEVAMEKETEDAFTVDAKLVVEEPVTD MLPDFPFHDDHETYRDTVEKLAPLLGFYQQLDEEGWSYANEASTISDAFLNYGGNPAS TAFHLGRFTQLVSELLPQNPRLINELACVSFLYWAIIRKVSTMPENTAYMSHDPTTSI VSSGYLVYKALSPKLEAIITGDDHKHLLWEPTLRHLSSLTLIYHHCLAADGTIPKPLI QHQLQGSIPFSSRFFPDAVAYLWKFRMFCTLIMSGQMQLRIRSAMGMCSDLITFYKKY NNHPDDSSLAFLQHIAQYLMESGLVSYILGPKCHPEITLESSNIVGFLAVTRTYTADH TNLMFQTIQSTQDPRISSALVNMINKIVQLFNPKDLLYFCTRLEAMPLDSFTSTMRDF CAQVLQQLADKLERIGNYPIPYSLCVRLVRDSSVFGSQSQIAYPEIHHFAIQKFSELL REGPTEEIRMQTYTECLRNISERTPFALGNLWILSRMTRSSAGRELKLLAKQHDLVRI LVEEFEGSIPTARAAGFPPVISGYANAPRRDLLSWVLSDGSPEIPPDISQKLWNLLVG PEAACEEDRTAGWKLLQENSKAKFASTCFTDFLPSLDPEFFTFGTIDFIRTGILPVIH SPRLLVEDTESIGRFGIEQLWRIILSVPEGSIEKPAIDLLVGEIYMGNSSKGPVPLPI ARQLHLALAERCLDQLISAAKRLRSLCIDVPSRESKMNDTMHLEQQVCEQERLCIRPL AVLRAFHLEYKDNPKFSAPDLRALSLDPPKQIEGDSAELKYQSFDGESQTDIIPLSIG KLNTARSLFGSLREATGFENYRMYYRGSVLLPQEELMCKSLEELRIHDGLILVKREAD DYATSPGENIRSGASPVEVVILRHFAELYECLTMEKISEEIYDLLSQLPADENILKLI DDPSTTHQDIFPTDQPFKSKYSVYALREYLIPRKDGVPNHRYESITGNEDSANSHSKA LLRGLRIVVGAISDQDLTRNWPSHEMQLDWIFPLVECFVLILQDPLLPHSATELVDGT LLDRLVTILVACHNSAAEHTVHRIPVCIHSILEACSMSPEFMKACFEHDSIPKLLENL LLHDQRASVRQSTKQLLLGKFNNDTPTELGRVSQDPESYIADSETLSDDDNPFRAYLW PVISGFVRSAMSSPGNPTEFFELCQSMLMTLVKSKSSLVDVQKLSQEWIGLLLEYQTF EDPTQPSKVDVAVSGLIDLIWAIVSGHQYLGDTLAGVARKLYWQHLYPPMGTKEAESS RPIITDSTRDKLWSIVLVLVGEDPTQFSWLIEDLKALTPPRPDPEYHPYLYEVPLPYE REKVLRSSAGYVGLKNLSNTCYFNSLLTQLYMNTDFREYILQSPIRNMVAGSQKLLLE MQRLFAFMQNSIRRFANPDDCLGSIRTYEDTVIDVTNQMDVDEFYNLLFDRWEGQFPN AAERRRFRSFYGGQLVQQVASKECSHVSERLEPFSAIQCDIKGKTTLQESLQAYVDGE IMEGENKYKCSKCNRHVDAVKRACLKDIPDNLIFHLKRFDFNLRTLQRSKINDFFSFP DTIDMRPYTMEHLKNPDEDQQEDIFELVGVLVHSGTAESGHYYSYIRERPSRSEHPVW VEYNDDSVSSFDPSQLEHACFGGTDYRSNSDHNGMHFEKSYSAYMLFYERSSSLAKKQ QKLGELGQASPLQVDMLPYLKPWIREDNMTLLRRHCLFDPYHTRHVCRALEMMKQLNG HRCTPDHAIESEAMTMGLGHLDQVASKLKELEDFRLLTEEILQLSRDCPHSSITIFRC SSGPYEPLRMLLQRNPDADVRQKTLEILIAAINVIKEQLPSRYGLPSPDANDVDPPDS AMEHVMVLFETLFAYIHTSTRSWPEVFGLMMAFVKLGRHEMAKYLKRPFLEILLRLIM ADTNLRIGQQYQKLALHLSRRAKNRLPDYGSLIGLLDILLASMQVEDENQVRITVGRP DQRFQNDPWLEQPFLYTQVEANLLETMWDKTQANIFAEKLISIYQNVEATHRIIINLA KQSRWLEEGIFHALVANISSQNTSQLIYPFLHASLIFCRHAPTRLLVEKLIEHICNQC RVVETADGGAFLEFHRALFDSKRESQDDETVALQVISILPDWAPGLLGHYDTRTSSET ERFIYEKLFQYGPSPSFGDSEQDERKAECMKTSAKRLGIRCLQYLRDNFVDTGVEVSA QLVMSLERVIDLCGKYFPPPGPEEDAEARVFRRLVHNIGQRLHALKVDEVEEDGSEWE NSSVNSEQFDELGDIKMSTEEVNEGDLQ NEUTE1DRAFT_129817 MSLLPLKTRISPPLGAGPSLAEGGDHLPGNLTSALEYASKRLQR KGAKFTLLVIRKDYQLPTSPLGSPSAQSFSCGSTPSSSTSNSVASTPAKPTFAHAFKQ FVRGDTGPIKERVININTDYRYGATSPTFSEASLTSASTASTVDSVFTNHRGRVGQAR WPLSPGAAQAPSVPLTPASITSSMAAGDNNINTTCLPSAGLHATRGFGPALLQIPSPT SSEFGIRLVHAIPLREKEDKLLSSTLEKAAKKFNISPSSLPPPIPASTLGLAPELLHR SLAQNEVLFGPSSSSSGAAGLRLLSLDHLYTFRSALQCYTRTVNHKPSVSRSIAHRRL EDAVDELRRLVLGNGCQKLKKSCLVGAYARFMDPVSDRSLEEVGRMYGRAYGRRDHTG GRDVREMGWVDDTVERVEGVVEGVFELMGDIEMPPQITKKEKPLPVAPVSERWVGPYY YGGDEKAVMVAEEDIDLDEIDMMDEIDLESKLEMDDIEAWYRNVQIGVGQIGVGREVR MVNVNGAQQHRQVQPPRAAVPIPTVDINVDDDIDDRTPTREVERVVTEMQELHRNTPR LSPAPPGRSARPNMLAGLKLQTTFDPKPPKPSKKKSPRHLAPPSPARQSDLELTTPIR VQRTLAKMELEVVIPPTKPKRGGFIYNNLSPLERSPKSISQTSSPSTVVQGQQSPLSD SDSPSTVINSNPTNQSPSSSSSSSPSEEEEHTARPLDSPRTALTATRINIWLPHSSGN TLDEILHNGGSSSSSHLASSDVDESDSDNERWEVSPGGGRYNRLGNRLGPMTPNGYDD ISPITRGEWGFLMDVGGAKGVGGLARRAGVEAW NEUTE1DRAFT_146495 MKFSAVLVALAPLALAQNSIPQSASSAVASLSSSITSALASQSS AAASISSSVSSKVNSISTSADSAVASVTSEAGDSIASLNSQLATATGSDRASITSALA SLASDASSAVNSITSEAASAASSVTSNPAAPMKTGAVAMGALFGGAAVLAQL NEUTE1DRAFT_41140 MEGRRGSTRERGQCGCEGGNDGESSSPSKAKLRAVVQGIPSRWL TLRPKSGPFLADHEGFISSALEWESISTLGSSIDCNLQRAVRK NEUTE1DRAFT_146496 MSVIQVEDLVSYQLRTGYLNEVADGVGERLFTLNEGFLNSAPFK STGWRPNPALIKRTHSPPIPTAIASEYFQAPRVAALPLEDEGEEENVFPGGGIALGPS VAAKRRRRREQMEDEDDSSDLSDESDEEPDHRAAPQIKFAKMPLRTRSGSSPIQSSNL RQATTMSPPKPAVRRGSQSALETVKERARSDTVTSSEVSSDHEFDASGFHRAREAAQA AAARAAKLSAKLNTDPSMGIKREASDLLEDEEDDDDSDASDISEAFVESIDSASILDA IKNPMNASPQHQVVGTPPREYTRRSTMIRKSVMPPPSSLLVGKLPPPRPLSTIRPISV VQPKSLLSAALKAKKTKPALPFDRFASLSGQGDPNPIMLRIYAPFSKTPSKPFEVLIR RTVHEGESMDRPVTVADLIGLSLWRYNEEKLEPSLPSDKLNVNWWTLRMVEEDGEVDD DFPPLERKKQLISFTTANNKAGRSRSNSKVYDIFALSKASEDEFEENQKLTPQFEQEQ AGGSLEEEEEEDKDLTPRGTPRPDNSLLPAAEPRGNPLLNTTYRPGVTMYADMPQPTQ PTQSTSRGEKRLLRIHIHSSDVPAGQMITLDVTTETWLADVLDTACRKRQLDKANHVL KLPQSGIVVPLDRTVGSLNNVTELDLHRRRFATDGPLTMTGSPSSSSPRPPLFADNSS TWTTKSKKSRGIMGVHPLAKEILKQDELGIGATAVKKYTVWRKQPMRLLSEKIFVIDG EYIHIMPSAGGKNPGEIVDGKATTVHFSNVVGCKVSRKHPNNFKLVVYKATESKRYDF QTSSAECAAEIVNELKKGISPYREV NEUTE1DRAFT_129820 MGLVDYGSDSDSDSEPVQQPVAPTPAPAVAPSTTKKPFQKLIDR SGSGSGKIIVNLGSAGTDSESKPTEDEPPAKRAKTVGGGSSRFSSFGSFLPAPKKTAP IANTASRSVPAGDNSNKPKPIVNLRTGAEPAFSRTGNGNEGDGFGESEPTSGSGFSSG LNLPAPKKTPAGPSIPEGQKPEEEVKLVGKPLTFKPLSVARKPQKKKAAVTPKTPATQ SVGDKAATTTAPAPSAQVTVVAAQPAAPKRKQVSLFSMEEEEATPITTTTATTSATGA YEPLFTAPDPSTNYEYHDNDVTGDYDSYTAPTTSTYNSAPSNASHQQSLSSIADDLAL NKTARRELFGRQRGGKNRDAFEIPEGAKIVNFNMEQEYEHNNALRASGEQVYNPVRSI APGKHSLRQMVNMAQSNQSALEDSWAQGRNNRKDAAGKYGWK NEUTE1DRAFT_63482 MADQNQVQQWHVTEPYLDLHCQLGEGPYFEKGTQTLRFVDIKQK RLHTVLVTEGPDSLKTLQLDSPIGVTANIQGQDPQEKILVALKYGIALLDRKTGQYDY LTRIGGEPDRMRSNDGAVDPHGRFWLGTMNDFHVGEPQSEGSLYRFDLGKSREEVESG LIIPNSIGWSPDGKTMYFTHTPENTIFAWDYNPEDGSLSNKRVHYKHKEKGHLDGFRV DKDGNIWHALYDGGSVIKISPAGEILGRIILPTNNITCVEFVGTELFITSAADEDSEE GTPSRKYGGGLFRVDVGTTGLDHTEFNLEQS NEUTE1DRAFT_100907 MILSNPGASIAIANPRHPRPPVVLSFQRYSQHRHQSVYDKCYGN CRWTWKGGQKLIVESENAPALGSFASGEEMGQGIEQQQTHRCPSFPSVVDVMNRQISK IPSVSVS NEUTE1DRAFT_137791 MAAQDTDAIMYLPLSTRAFHQRQTDYPKKLAKLRDLKGGFVLTY AHLNGEPLALRGLQDHSAGESPPDDVEWSRNLHTIPPCHRNYSCIDYPPGLLVYACHF FLYSARGPSSYPPDYPPVEVVPARLHPLLVSLALVSTPALSLKLDNLADTLVVRASNT YPTSCSSSSVTTVKSVKLAIQALSRPQEPPRRWLGADSSDSISSIAYSFGSSSTTIGH NGLPGVSSGFILASQGSNGDNGRQYHGAEDQRSEGEQAMSQRGPTPHLSALTSSRDVH SPSPSYRAFGQDGPSHQHRRNLSNTSMANVDGNHTQTGSKTSGVHNILNPSEPQERLP TPAPSLPLPSVQRGSPQATMRMGQYPADGSPSRFYGYQTQSMPGSRTTTPVPAASSMV PPPASENESPITNHPFSMAMSRRMLTPRSPRPSSMGRGTTRPMEGQQLPGMPSHVQRT PTPSHNTSPLSGPPSFPGPRPFSDSLHGQGASLPPPPAQPTTGMVAPSSQPLLGQRLP PPVTAGPLQSGSLTRDLAGRPVSHPSFPSPPTTTTGPVSGGLTAAYLLQDRSRLAVDG RQHMITITPSVGEEILVPVDIHQGSRQADAKRQRNAGASARFRQRKREIERNRERDLQ RLEVESREWAKKMQDLTTERDYFRSEAERLRKIVAGIPEFSKLADPAQPTPVSSPPSV PSFSVENSPRAGPLPPPQPQSQPPQSHQLSNTYTHLRTRSHPDNTHSSPYDESLTLER PRRRRRTDTEPSPTTGAYAYNTPAPMTMPQSAFGLAQSPHLPPPRLPPLRGLDQPQSS STPPPASNGPHAVPLTSQSPSGGAYQPYPPAPAPRPEIGWAISQRGPLEGQLSNGGMS RIPTDLPLNE NEUTE1DRAFT_82165 MSFDGFNSPPRSPKRRRILASYNPDKDRESRRRRRRRSRSRSRS RSRSPRSNRHRSRRHRSEEDDRDGRGRERRSSRDRSRDRNRDRDKDEGTKDGEPRRKH RHRHHHRRHRRHRSPTPQQELPDHLQDPFAEPPLDPEAAFRESLFDAMADDEGAAYWE GVYGQPIHVYSSARERVNPEGELERMTDEEYAAYVRQRMWEKTHQGLLEERERRQKRK EEERRREEEERRIAKEMELSLKRGEERRKRRVWKDRWEEYLKAWGDFAAAATEGGAKI DVAKIPWPVNREVVGGKIDEMDPETVRAFFVHGIGLEELGEKEFAARLKDERVRWHPD KMQQRLGGDVDAAIMRDVTAIFQIVDKLWNDTRKSS NEUTE1DRAFT_82168 MGSVSLDSEQDHLFSNLEEEFLAAEDNERENKNDGVEPDLDSLF GDAADDDLHSLFSESEDDDQHQEPPSQHASGHAPEPGPAELTLPQPSASTTTGPQPSQ QLQDQDLPTLCPIDLTPDELELLEALTPPFTDGQDDLVAQQEGQCMPSAPDPPASPFS LQDGHTSGGPAVSTQTPSDLPPEPALSLESLDWLGEVTFDDADIEAALAQMERPELLL NGMVDQVSDPQQGEGSQQQPQQQSISQNHPEIPAQDSVPNSSPPLDNSLPQAQEPYAG LPGANALPQANDPNLLTPPASSPENTPPASADNQYIRVSEIPGFRYGHCYTNRGATIT GRIDLYPNQLPILLDYITLDRNSRLSILYRRLELNDWQGKELNKEMKDFVLNSVFQSL VYHKTQGDVRGMKIMLGGAAYYMLTTGWGEKWFGSTECYVSPGNRRQTIWPRDSTIIM VHFMGLLYRIVYQQQVFFRKKEKDAARNNPSALLSPEPSEASVSSPAPVAELEAFSPE ATTASPETGSEGSETCSEGVMMTATTTSSTSLQAVMESVETGASSSLEISGNTTTTPA IENPSNTVTENTLPVEIETTSEPKITETPVSVSIPGSCTASVGVVTPVLESTAVAPVE TKVPTIATKTTQIAAPAPIAVTPTITVTPVQTTVPGSTSTTTQTVTSAPTPVCINTSV PSITTTSTTQTDTPIPTTNNSTPTATSTATPRTDQDLIFTGTRKRTHDEFAKGCEKEV AQQATTGPVPVATFVLDVPDDADLTYHVHMKNRSTNEDISPPVTYQHSLSPIVRSGFY TYIMNTIGTICGRTSVSPYIHVLSAGCPRNVRSDADWDSVVMDVYNQKLVGKEGPVLV MCYV NEUTE1DRAFT_122347 MAPVALESEPRYLPLVLVASHITVPIYLTYIIGLGLYRSYAQLG PAQDTRGRISQRQKLVTVFGSLSLLSLASAIKSGLEYLAISYKVWASERGIPLLQTLS GGFSLKNLTPEHVRAWLNDTPLYLDALEIIAEKARRLWWGQQLDLAMVSWTMLLVVEG RRRKIPFLWAYALLAHLVNLSFAQNLFYVAMLLTPVPIGNTHMSRLSKLLARAFPPKP ANWFPKPAFFHILLVLNYAVMLWAPRTAGTIHFPTAVAISKALSIAPLVLPAIIPKSW GKIQAEPHDSYSSFTKLFNVMSVASILLHGKTSISALWDNLPGSYKHRHSVRIPFDIE KRSAWERSTTAMEKILGSMNDHPAVAAAGKDVLLCAFSLGLWAAVRALDVDHMLKAVA PFYGRKSGRKELPASNPKAVEMGSTEPSESISESEPSRPSSSSGLTMKLRDRGKHVSS KLAEALSANGNADTSPKEAPRRQGRPRKIKQEPTPERELGTIDEVAGVPDAVSDSTYE PTPAVKAQAVEGDVLPDDEFDWESAALAWGLTALGGLGVGSSAVYGAECVSR NEUTE1DRAFT_82172 MYHLAKGLYRLATSKEEYSVILLGLDNAGKTTFHEQVKALFHPD QPEPKLRTVPTVGQNVSTITLPDMYLKLWDVGGQLSLRALWHSYYSSCHAIIFIIDST DIGDGQIERDQNNGRLDECRAVLEDVLQHSETEGVPLLILANKQDREDCVEVVRIKEG LVKKVFEGEKSASIRDSRVLPVSALTGTGVREAVEWVRSRVKWNKESRPPVMR NEUTE1DRAFT_82175 MPREAEPSANEKAFLLKALQDEQIRLDGREFDQYRPLELTFGDE YGVANVSLGKTKILAKASAEVTVPFADRPLDGIFTIATELSPMAAPHFEVNRPTETEV LLSRLLEKTVRRSGALDTESLCLVAGQKCWSVRVDVHVLSHDGNLTDAACFAVVAALR HFRKPDTSMENGVLTVYTPAEREPVPLGWLHSPFCVTWSFFGDEGETALLDADWMEEQ VRVASVTISLNRHGEICQIAKLGGVPVEAVALLQCTSVALTKVKEFSTYLDDKLAEDT KRRDKGGFMAELRAENDRIVG NEUTE1DRAFT_63496 MTTAHRPTFDPAKGKEALRGPAYHQRLLPAYTQLKFRKPGQGGV AGASEERDTRDLRAELLAAEEAHKAKLRGGPALTSGDDVDNEKAGTKRPLALTSGDHK EEEDQEAKRRRILELTREIDADDSSDDDDDDAESDADAKSPKAQKNQDDDDASSDSSD SDSDSDSDSDDEEAELQRELERVRRERQEKREREELERQKAEEEQREKDIALGNPLLN KPDFTVKRRWDDDVVFKNQARGVDDRNKKKEFVNDLLRSDFHRRFMSKYVR NEUTE1DRAFT_63498 MSSLASQLAQIAANSRSTLNTKVLKAAHSKSLIFEPRVAATQTY PEIYSICLEGFEELCNLDSRFTKFTQSLWSPQSQEADRTQMSAAENAALDKHVEAFLH LCGSRLRLMPAIKAIEWLIRRFRYRFLDPYIRSLTAPPRAAIVQQATNRPELLTAISQ YTLDSCKYQIEYPGLISFWGGVMVEATNGLLDKYRSGRRSIQIENDNALMQQLGPVLS DAMVMKSSPGLQIASYMVVTILAAKGGLADNALTAFMDQLVHGWTPETMRPGLVTLCI ISQHRSAKQLSARVTKALLKVPEVASVMNEIGKDHRVDKLANGLALALVDRLHKKGDV RSLPVVNSLLLGNVLREKQIKVVYKSLLVAAHRINDQVDQDGAIRKELGTILVSLSQA GGEVGDIVRATIDEVDFDIDALELTLGASIRPKLAVEDAPEAAAEDNNTPTVDKEAQV AQNFEKLSKLKPQTASCFAEEPLDLLEELYSLFLSVAANESNLQKFDEAPVLSRPQAP TKLFYASFYMRLWCGSLPTLAKVAALDRVKNLLKDEDFATLDFQAVVPYAIVALSDPA KKVRRAAAELVTGLGSFYETKPTKARRAWGSEGLYAKNAAVNWLDFNATKSLIHSVLI PSLEESLLHEDHILAALTNALESSKSKDGDKKHLSHSTRLAIFKFLSSHVVGTPLIAV KLRLLQSLNQIKSISGTSRTDLLLSLLQWWARLSQAEAQQLLAREAVDEASVNNAFVD VVIANNEAGLRLIFELIRDSNVITRNGLVQSLFGRIQKIWSSMKAETQFSTARALLTL SQAVHPTSSEPDVIATEATDVLHKVELTTDILLDFLESLYDDIKKATEKPATKRRRVG SSEKSVDSQSPADVSASLNKATFVLELVQESEPAKHPELLPSLFTTLSELQHLRTVVG SELGYLQSLVLSSLLAMMPAYKDSKELTIDPAAGHGDILASCIQRSSSPTVINAALLL VASLARTAPDVVLHSVMPIFTFMGSSEVIPPLIETFRKSRRNLVASTAELLTSFVVAY EHIPSHRKQDLFITLIENLGPEDFLFAVLAMFVDKYGATDNMLAFTTQIIGSFSVEIQ LQTLIKHLDLISDIFKPKPVLSAAILAKVDSNSEQDVVKLATKQLTLLPKLLVNRRLR NEISGLAEKDDMESVKIRELYAQLLEGVLTLAGTVKPKKDTLYTRCGEALSNLLNLLS IAEFIKSVEALLDRPNVILRQKVLRALERRVDSESINNPKSREALLAFLPQLTAVIRE SDDMNYKHTAVNCVDKIAEKYGKKDLDAVAAAAATIAGDSCLGQPSQELRVMALLCLA SLVDVLQDAIVPVLPIAIPKALGCLEESIKAEKPDGALHNAAYAFMAALAQHIPYMIS GAYLDRLLVCSNASAAAGVNEECRASRTDCLQFVAKLIEGKVLFTALEKNWANAASSG YLALEEYLHVLGTALDKHPKSSIAKNTTLFTGIFLNAFDLRRSGVLSSTQELEKIELL INETSLKMIYKLNDAAFRPMFSRLMEWSTTGLPKSDSAGKAQRQVSTYGFLQHFFENL KSIVTSYASYMIDSAVKILSAPLTGDDVLKTLRSRVLRTLTKCFEHDQDGFWQAPAHF NAVAPVLVAQFGHAAGADNCTEDLVLAVVELAAAADSKEHHKEINSALLKHLRSEQAA VRLAVIKCEQELTARLGEEWLQSLPEMLPFISELQDDDDEVVERENRRWIVGIEETLG ESLDNMLQ NEUTE1DRAFT_63501 MSSHPSKRRKLTEGGQSSDGAATSDVKIQKQFFKSAQSWNLEED YENRPRKGKKKKEKKAADATKLPIRVAEGTWVTQEEEDAEIASDAEWLESEGEEAPEE EEAQPEPEAPKIPEREQIRRAQEEMAKIATQLNEDPEEHPGAFKALAKIGESDLLAIK KLAIVVQMTVYKDVIPGYRIRPASEDVAKEKLSKDVKRLRVYEQAMVTGYYQYIKTLA ALAGGSIRDKSKQPISSIALTCACTLLNAVPHFNFRGELLKILIKKLSYRNIDKDFIK CRTALETLFHEDEDGQPAMEATSVLTKMMKARNYQVDESILNLFLHLRLLSEFSGKAS RDTVEKQDDGSVKKPRQKKEFRTKRERKLLKEQKEAEKVMAHADAAVSYEERERMQSE TLKMVFASYFRILKMRIPNLMGACLEGLARYSHLINQDFFGDLLEALKDLIRYSEKDA NKEDESDDENKEEEEDEDDEHEFVRDTSREALLCTVTAFALLEGQDAHNARSDLHLDL SFFITHLFQSLLSLSVNPDLELGAQSLQLQNSVRRNNRINLQTTTVLLVKCLSGILLP PWNIRSVAPLRLAAFTKQLMSGSLQVPEKSAQALLGLLADVLHTHGRKISSLWNTEER KGDGTYKPLAATVEGSNPFASTVWEGELLRRHYCPKVRESVKELEKSIKSIK NEUTE1DRAFT_100918 MDLDGIPSFNNGSLRHSTNARCRCPKDGGYYVNVTIGTPGRNLS LHLDTGSSDTWVNSPSSILCQNEDKPCEYSGTYLANDSSTYEYISNHFDIKYVDGSGA RGDYASDTFTIGNTKLNRLQFGIGYSSTNAQGLLGIGYALSEVQTRAGLPAYNNLPAQ MVADGLINSNAYSIWLNDLDAPTGTILFGGVDAAKYEGDLLTLPVQTPEKGTYKNFMV TMTGMSLSQSQSSSSDKGNGDNTTQISKDNLALAVLLDTGSTLSYLPSELIKPLYDAI GIEYITDPDGKVDGYAPCHLMSSSQSVMFSFSSPLQIAVPMNELIINRTFHGKLPRMP DGVTDACIFGIQERNGTGANTLGDTFLRSAYVVFDLDNNEISMAQTRFNATATDLKEI KKGKGGVPGAKAVENPVEATSGLTGSEGGIYVNGAAGELNVGMGMAWGLLVSGAMVFV GL NEUTE1DRAFT_42746 MPFIRPVEIRFFPRLPRVSPCFILWGFLFSVLLTTSLVSLFFPF LFECVTTPNNTQDDIKPSEGPEFDEFDRIAHIAAALITRLPRSPRALLHRRQNPEDRL DEDGFPHFGDDVAESRISKNVNVYGQPIQTVRLDDVRPFPMGTENEIPGVFAACAQPC MKKAVELNTDCEDPLDLECTCRPEVRAVIEAASDECCWQACDDGTPEPYWRPCWHEED PLHY NEUTE1DRAFT_63506 MYSSQHANMAAASQKPETFMLSTEAQQALPHDAQVALQQVDNLK YFLISAPVDWQPDQYIRRFLLPTGEYVSCVLWNNLFHISGTDIVRCLSFRFQAFGRPV KNSKKFEEGIFSDLRNLKAGSDASLEEPKSPFLDFLYKNNCIRTQKKQKVFFWYSVPH DRLFLDALERDLKREKMGQEATTVAVSEPALSFQYDSSQSLFESLTKAQQANSSSFPA QQLAFQSQSTSPILRAADSMPPPQMMQPMPSQMMQPMGQNMGQQMSQTMSQSMAPLSD GLEAMVSYGAMTMGPAMTCPQPMVKREPDYGRVQYTQNGVPITQVHQRHTSMPAYGLE CSPAPSFVSSHFEDYSQRGLSFEPLTPPHQQMGVIGEPAYIANEETGLYTAIPDHLNA GALNGMMQLPPSNLAAPSYPRSYASSSISQDHARGYSPMAAYTVIEGSPTYKQRRRRS SIPPSVLSATTAIAAGTPGLYKPSDLRRSVSASVGAVAEGDESADNSPPGLTYSNPAI NMARQQHHEMLELSRHGTPLSTVEGSPALNPMNISMGQSYGPLNDDELVPMSERQMSQ GHPGVIRRARSATVSELGPYPQKSHSCPIPSCGRVFKRLEHLKRHVRTHTQERPYVCS YCQKAFSRSDNLAQHKRTHDRGDGSEGTSNLSGEEEEEYSSPTSEGGYVHASGAPSNG NSSTPQSTMYNNLQTLSMPMTISEPQPINTGEMMRNELDF NEUTE1DRAFT_100921 MSLAQVEGSLSLDAELDCFLISCLSRSTMSFLDQPMSASPGYRF GTFVRIIPLSPLSHFSLAPHLSYLRPREIMFKNMVDLDGVPAKRTTVLQDLEAAACLC AQLEEEKLPNPG NEUTE1DRAFT_100922 MALPRKADSATPPVTSADTVTVAGAGTVGRDASPKPVPRDRSSP PAPPPPPSAGSTPPRIRRNTACIKCRDSKVRCNASLSPGQPCLRCTKLDLECIVDKNY KRASKKSKLEELAAELQSLKNTVAPRPSLGGLTTELPPIRPVQFSSASPPVPSLPQLT LPGTLSGTLPGGLPNPGTLPGPFLPGLGVPTLPPPIFTRPRPFSISSETSTPTPRASD ATSVSFSLAGLRRPAEPRALGSRVFSGDDINYYFDKYFEHFHPYFPVVRQRDPDICYE RGHVLFWAIIMTACRRFAKDDSVYQFLMDSLLPEIWNAISRPPLKLPIINALLLVATW PNPDIRFLTDPSMIFTGIAMNSALLIGLHTGRGAHTEFTGLLDKVDTTDEEATYTWAG AAAYMGCPSPSLLFNQTVDSLLDRSSSISMPRYFILHLETARFANKLISTMSASLEQS QGVSHRLVAQMEEEYSKIQCLLYPDNSDLDNFILLSTLLEIQTYYFMPLPGYSEEMLK RNVIKCYTTAEAIINQARSLQSQSAFLHYSPNFVFRTLLSAICVFMTLNLSQPPSPYT KNPSNALDASYMGDTANTNNNSTANSTTNTNNRNSSGNNIKNTANNKTTTTSTTSNDT ADLFVREALRILRCCSVQRDDLVMRASNMVEKYWNMRHTLPRYETLLDPTDPHTNSPR HPHNQQDGMRGATTVFRNRLGSSLTFGCLRRWKQHVEKAWASVGGMGMNPPGHGQGSH QGQQGGQGLGHSQQGHQQGGQGQQGQHGAGVYGDNGGYGQSQGGGGQYTGAVAGGIRQ GDQQGMNGQEVQSMPEDGGGEGIHGRLGNNNPQESSLGGLADPFQRLEWNAFMDDFDW SFTSNYLGVGPI NEUTE1DRAFT_146509 MATTTTATPGRRNEFFKQLRTVCVPLSRLALQSPDKAAAAKEIF THVESLSEIWAAQVSSDPTVLDEKLAEYTFFPVSHLLRFHDQFPVRVVEAIIKLLRFL IQYGWKQTISEDLAVQLLFFFSFTISGTPGQAKKRHVPEETAVEGFKALGALITAIGP TSLLASKAPGEENETVTALGPAITVTLDGITDGVAPTVQLEALECLQAVYTTTRNQHV LARFLPGTVSSLTRVLARPLQQKTQRRVLVRCLLVLSIVLVNVLSDIKIRGILKQIEM EDKAKTDDAQPSGGAEKPQTELTPAWLRATTAQIKIALAAALKVRTHEALDVQSAVSK FCISLLDECHLSLANCQSILVESAMMVENEENERSMLETSLQDLAAIHPELGDCIQAT LYNWLISLPRLIQSNDERIKQLAVRNILRGSKMASAMGIDSSTLNDSLGDSLRDSIVA LVKGSKPSKVVGDVDENSLSTATDLTVSLPNIQLYPPVLLDSEGIKSTRAEITKLIAN IGSPAQQTKLAAAMLGGLRDSEGVEQIASYWLAFELLKTTYNSTSDMEDLVDMSSLDE NRYQEQVFQELYDFSASILASHSISQDNDWRIEAIALEVTAFAASRMKEDFRPELIDV LYPVTTFLGSPTPQLRSHAITTLNVIAASCGYKNVSELVVGNADYMVNSISLRLNTFD ISPASTKVLVMLIRLTGPRLIPFLDDVVAAIFAALDNYHGYPVFVESLFSVLSEIVTQ GVKSDMLLLEGSNPQAVSHLKRGPQPLDVDYIVDTLNKRAERTKRAREEEAEPPQPHP KKPWGPGKTEEASQAKSLLDQLENPDPEEEGEKDGGLPDDPSSALATREDEEKKNQPS NTPTYALLTRVLTLSQHYLTSPTPTLRKSLLDLVATVSPALAPDENAFLPLVHTVWPV VVARIKDPEPFVAIAACKALGSMCEAAGDFMASRFKQEWGDGMAKWLRGIKREAVKGR EMPKRTGTGAGRAFITGGKKVDDMEIVLPIHGPNGGHQLSLKQLVSTTSSTGSGALGR FSQAHQLWDAALGLVTAILKYVRVDDEMFDEILEVVVDVLHEPERRELKEALETINAD AVWLALYERGRVKGIKSMPRAVKGFEFEFVRVPGVVA NEUTE1DRAFT_42660 MSSFLKFLVSSGDASSSRRHKHPYYPREVVVPNYAPNTYTLPMV LGAFGGATALLMVGTVAIGKKVNKQLTWKDAGVLAWFTLCYYVLNHATLASKQDIFAQ LWKEYALSDSRYMTSDPFMLCIETLTVLTWGPLSFLTVFAIIKGNTSLRHITQTIVSV GHLYGVALYFGTCFFQEKFRGISYSRPETLYYWVYYAGMNAPWVIVPAILLFQSSKTI SQGLSVLNKVKSMKGGSSSTSGSGSSSSIYSGKAESIRSFDGSSESKSSNSNSSRKAD KESKREPSRDSRDGGERRKDRVSEQAAYFRDEGRPESIAESKYEIWEENRADAREQLR RKRTTGRRE NEUTE1DRAFT_63516 MIGSGIMSSSLSSLSSSVSSARHPSSSNISKAYRQASTLFLTRR LPEALSTVLPLITPSPSESATPGDVASGAAAFDPAPVAKASRSTRIKVWSLYLTILNA ILELNSDEGKDAFGTQEWRALCHKVREGEVWEEVVRSGYHGFEGDVDADVVINLATLL LGHAKTQTLNQKRLENYLAAARTPNLDLTDRLSGPSGPGGSSASPARRLRSSSKSGVA TGHGADTPRDLNARVKILELYTLHVLPRNDEWACAREFINMSAVLDDERKEAFIQALY SLKEEQEEAERKAREKEDAIRKDIENARKLRAENEERERKRLEEERQKREAAGVNAST AASAGSGPTTEGESGVEAKGTGTGTTKKPNLKKKASALKNGGASSSSASSKPARPSRK GASSPTATKTTGSSSSAVAGPGMGTKAALILNNIRSVLDQVMTAFHGNPFLLYRTLAF IIGFLLMFSKKSVRERITRVVQQGWGKVKATAGMGMKVSYI NEUTE1DRAFT_122358 MSRHHPDLVMCRKQSGIAIGRLCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCVVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KTNRTF NEUTE1DRAFT_63521 MSSEQRMETEDLSTQKRKATSSPSPEDGVAAKRTKLEDGNVQDR ISEIVEGEATPKTGRTEEPVKDSMEEPVATAREIHATSTTKDNDHPMENEAVARAQET TVQSPVTTRRESDEQREQAAPPSRKAPLSPEQTRKNVSLEEKKRGRRLFGGLLNTLSQ TTPNNSQQKRRKEIERRQQERVQQQRVEDDRRRTDLLAERRRFRDAKQVDFEERMMHR RHEKMLILAHSLRTVSEPVVYYKPWELTKEQERILDEQVREAEETITREVRQFELKHG RPPKNEVKVPPKSEIPPPPPSPPSTHVDVVRNEQDQVGDDKGVIATSIDTTTHDQDHD GDEMIQDGEDMVIY NEUTE1DRAFT_117040 MAASTADFPPKLTPHDPRVVSKTATINGKKYHYLFSAPPPPTDG GDASQPRGTVLLIHGWPDLSFGWRYQVPFLVSLGLRVIVPDLPGFGRSESPAELTAYS FKSVTHDLLELVESEVPGGRQQIEKESGIIVGGHDWGGAVAWRFALWFPDVTRAVFSV CTPFWAPRAGEFVPLKQKVETVLPNFRYQLQLSGPEFEAQVKGEEKIRQFLSGMYGAK GPDGERVFTTEKGCLFENLPKMGKSPLLDEQEMDYYVKEVAGNDGGSMRGGLNWYRTS EVNYEDERELLKQLEKRGKNANGEKIVEPPALFVLATRDAALPPAMAQGMERFFEKKL MRREVEASHWALWEQPVKVNQAIAEFLGGVLTEGDAKL NEUTE1DRAFT_82208 MVCAKCQKKEKTTLVTPAVKKKSEMYYGSPAAATSSSSSSATGP KKSATLGNTGVTKSKLLSKAAQNPYAQYSSTCTRCKAKVSQGHTSCNKCAYRANSCAI CGKPNKTTTAGAPLVNGQKFTLK NEUTE1DRAFT_82209 MAAGRPPPGAPSSAQHDDLLLDFGNEQPYYGGGQRSTLNDDDLL RLHSDDAQGAAQPRPSVSYDDFVGSGQTTQPTATRPIQPAPGATGGGGPISPYPDSRS LDRHFSQTSDLGNYQRYAEDSDDYPDESTTSYYQHGGAIPPDSAAARDSARARNSVLS MGGGLIGRAKNMLGMGPEGYSEMDLPLTDPRATARVDHSHEPPPPQKPAKKFDFKFGF GGGKPDPATLGPRIIHLNNPPANSLNKYVDNHVSTAKYNFATFLPKFLFEQFSKFANI FFLFTAGLQQIPGLSPTNRYTTIGPLAVVLLVSAGKEMVEDYRRKQADKALNMSKARI LRGSTFEETKWINVSVGDIIRVESEESFPADLVLLASSEPEGLCYIETANLDGETNLK IKQALPETSSMVSSSELSRLGGRIRSEQPNSSLYTYEATLTMQAGGGEKELPLNPEQL LLRGATLRNTPWVHGVVVFTGHETKLMRNATAAPIKRTKVERQLNTLVLFLVGILLIF SVVSTVGDLIQRKVEGEEGLAYLFLDPMNGASAVARIFLKDMVTYWVLFSALVPISLF VTIEMVKYWHGILINDDLDMYYDVNDTPANCRTSSLVEELGMVEFVFSDKTGTLTCNM MEYRQCSIAGIMYADKVPEDRIPSGEDGEDGIHDFKQLQKNLESHQSAQVIDQFLTLL AICHTVIPEQAEDGSIKYQAASPDEGALVDGAVQLGYRFVARKPRAVIIEANGQQLEY ELLAVCEFNSTRKRMSTIYRCPDGKVRCYCKGADTVILERLNDQNPHVDATLRHLEEY ASEGLRTLCLAMREIPEHEFQEWMKVYETAQTTIGGNRADELDKAAELIEHDFYLLGA TAIEDRLQDGVPETIHTLQEAGIKVWVLTGDRQETAINIGMSCKLLSEDMMLLIVNEE NAEATRDNLQKKLDAIRNQGDATIEMETLALVIDGKSLTYALEKDMEKLFLDLAIMCK AVICCRVSPLQKALVVKLVKKYQKESILLAIGDGANDVSMIQAAHIGVGISGMEGLQA ARSADVSIAQFRYLRKLLLVHGAWSYHRVSKTILFSFYKNICLYLTQFWYTFQNVFSG EVIYESWTLSFYNVFFTVLPPLALGILDQFVSARLLDRYPQLYNLGQRNTFFKIRVFG EWIINAVYHSIILYVGGCLFWLNDGPQGDGFPGGKWVWGTAMYGAVLLTVLGKAALVT NNWTKYHVIAIPGSMAFWILFVAVYGEVAPKLNISVEYFGVIPRLFTSPIFWIEMPTL AILCLLRDFAWKFSKRLWRPEAYHHVQEIQKYNIQDYRPRMEQFQKAIRKVRQVQRMR KQRGYAFSQADESQTRVLQAYDTTQHRGRYGEMASSRPNQ NEUTE1DRAFT_42120 MNVLPSTTTPAKVFHTENTFQLPQDQNGIREPTFELSTTAVASP STRNPKFWAQQGGII NEUTE1DRAFT_63531 MRVNALLPLSGLIGTALAACPFADPSALGRRAEGGEVDARQRLK EVEIDDNGEFMTADFGGNIEEQFSLKAGGRGSTLLEDFIFRQKLQHFDHERIPERVVH ARGAGAHGIFTSYGDWSNITAASFLGAKDKQTPVFVRFSTVAGSRGSADTARDVHGFA TRFYTDEGNFDIVGNNIPVFFIQDAIRFPDLIHSVKPSPDNEVPQAATAHDSAWDFFS SQPSALHTLFWAMSGNGIPRSYRHMDGFGIHTFRLVTEDGKSKLVKWHWKTKQGKAAL VWEEAQVLAGKNADFHRQDLWDAIESGNAPSWELAVQLIDEDKAQAYGFDLLDPTKFL PEEFAPLQVLGEMTLNRNPMNYFAETEQISFQPGHIVRGVDFTEDPLLQGRLYSYLDT QLNRHRGPNFEQLPINRPVSGVHNNHRDGQGQAWIHKNIHHYTPSYLNKGYPAQANQT VGRGFFTTPGRTASGVLNRELSATFDDHYTQPRLFFNSLTPVEQQFVINAIRFEASHV TNEQVKKNVLEQLNKISNDVAKRVAVALGLEAPQPDPTFYHNNVTRGVSIFNESLPTI ATLRVGVLSTTKGDSLDKAKALKEQLEKDGLKVTVIAEYLASGVDQTYSAADATAFDA VVVAEGAERVFSGKGAMSPLFPAGRPSQILTDGYRWGKPVAAVGSAKKVLQSIGVEEK EAGVYAGAQDEVIKGVEEGLKVFKFLERFAVDGDDEE NEUTE1DRAFT_122364 MDRLKNFLTPVKATDDEYEPLADDSSLTLEEEGETYEDQAPFSW IEYAIFALIGVAMLWAWNMFLAAAPYFQTRFESNEWILANSQSAILSVSTTANLLALL VLMNIQSSANYPLRIKASLIVTIAVFGLLTISTVAFRNVSPTTYLVFLLLMVGASAWA SGMLQNGAFAFAASFGRPEYTQAIMAGQGVAGILPPLAQIVSYLAVPQSGESNPPFNS TTAATTTLDSTPEAAPSTSAFIYFLTAVLVSLATLIAFYPLVKRHTALVESRLMLDED TQQQLLSQSIISLEEAERLRRHYVSPSTLFRKLNWIAVSVFLCFVVAMFFPVFTAKIL SVHDDSVISPGPGEKASSIFTPGAFIPLGFFFWNLGDLLGRVSPMFLPFSLRDRPVAL FAVAVARLVFLPMYLLCNIRGQGAVVDSDLFYLLVVQLPFGLTNGWLCTSSMMAAGEC VDEGEREAAGGFMIGSLNNVDMTQVHMYVP NEUTE1DRAFT_146519 MAFNFNWSPLTADAGFYERARDLLTTALNKSPKPPIIVDDIFVT ELNLGSVPPDLEILEIGDLAEDRFRGIFKMCYSGDAFLTLATRVQANPLNTYISAKPS FTSPEPLTASSSLTIPLQITLSEIKLSAFIILVFSKQKGLTIVFRNDPLESLKVSSTF DSIQFVRDYLQKTIEMKLRDLIMDELPAIIHRLSLQLWCPDQVPKEDEEAKEESDAAI NPLATPPLDAVDAHGHRLDPAEISSLSLDGGPETQSLFSQKNLEKMDALARAHRTSSL LTPNILEVVFRAWAGQSDKPDATATPASTPNLHRTSSYQGSVHTYTFSDNSSQASGHA LSRPTLVSMGSATTGLSLGSGRHSKAGRKKKMRVVNLRSKTAASEPVSEIGSTSSQAG DSHSEASTRTPMSEPVIPTTIREVPEDDLAASQSKVRFRPPTDATTSARASESSGPRA AVPSVPVTAQPSRAASPQEHVYTRQPSMFPSSPAPQTSAQEMPPSYSSRVSEKAESTA SIYADAKGQQQQFQQQQQQQWGRAGPQPDMSSVILEQAWITKIAGEIARRVYDEKNRN PAFWEESHQHDIPPPAYEPR NEUTE1DRAFT_146520 MSPKPVADLASLKGTNCQFKFIGEGAANIVFEVVFPEEDITTQD DGDSQILDILQGKLLRVPKANTKAFPHPEILQYWESSISPLFDNPQEDLVQQHLIRLD NSVVSQLNAILENEDAQRRADFQGTKVAEAEYGMLVEDMRKKFPLDFLLEFKPKWLTP SPSAPSTATRCRNCAREAYRLHKSLRSASSDQNSSCALSHEPPFCPLEYLAAQSSPCS LARVIASINLSAISASLDTKPATRENPVGVQPAVSLDGEDDGRPGMDQVDERYRVALV HWLQSNSLLPKLRDAQESLDPAPEGTSDQEKLALAMTLRDCTCFVRISEDSKGGLKVE AKLADLDKKNWEAKLEYWKGMDEVLKEGGYYEGVEVPRIRTRCFMEVGAGEAA NEUTE1DRAFT_117042 MAPPTGKRVKGVQIFRPFVYGTTARPFDEKTNPKPPGVPDDHTH SWTVFIKGIDDVDITYWLRRVQFKLHESIPNHVRMVEGVKGQPFQIHETGWGEFEITM KLYYVPESSEKP NEUTE1DRAFT_146522 MSSAAKKLIVAGGNGFLGSRICQAAVHRGWDVTSISRSGQPNWS SVSASPSAPSWSTAVSWERADIFRPAEWIALLNGADYVVHSLGILLEADYKGVISGRE SPIAGLQKAFSPRHTPNPLERRPGDELHPPTNANQLTYEMMNRDSAILLAKEAANKGV KGFGYISAAGGAPVLPSRYISTKREAEDVIAREFPGMRSVFFRPPFMYDSSRPVTMPL AAMTMAGSIFNGATGGVLSNFLGSAGTKPLKADLVAEAVVEGLDDTAVKGAVEVPELE TLANKGWRRTML NEUTE1DRAFT_129848 MPYAASFAHSILTLYFFTVKVGDTKPSLVSSQSQQFPAASNAYS RRVSCQLVAISSPRWHLRAFQRVQVSAAYSIMPKRKKKEKTTESLVDVDDSFFTSNSQ KSGDIQGVEAGLDEPQVESPRVRRKEMRELRNRYFWKHWVQKHPNYVWNRAGRRDQQR STINKDGLHTKTNDESVVNYPETEAERRQERVNLWNTWVMNHPTFKHSKFGQLRSTGK IKGDGQASLMRDRANRVGRYFRTLRVGQENNTFLRFQKIPVKDRLMKRLVRKIDFRSA KFGQAYFRRLRVGQIHNDFLKFHKVDLRRPQPGRIYLRSLRLGSDSKFDRFRKILFDA DGDLKARRKKERRSSKDLTEDHWKKMTKKTPENIAAEEKEEKLARGIPDHWTIEQWEY ITKFPNHRTAEEWKRIRNFSSNLGHKRAIAKAVELETYQISDLVLELDAHDNPFVRPF NADDAAEQTKQWPHLDPDQQEIVRRAISVAETVAESKTKWKSPLLPFDHRRITERDVL SVALLGLVSESTGLQNHPTSESGTHEPSLGFLTMSDLLEIGVPVSYSTNPQRLTHLLL HRIEKAQFPAHQEVNFPPSSLLEALIQSSSFHQLRRIIAPLLHTEEGCFLISQCQDTI LAACSSKNGYSVDDEYYVSTVFVFLRTIASRLAMMDLRFEIPADLWPTNYADLFFLEC PGLVVAGAKEQDGGSAGQLEKDEEEAQEEGQEPLEKDAD NEUTE1DRAFT_137822 MLSLNHGGGKKKKKKKKKKKKKKKKKKKKKKKKKMAKGMRGANK QTRVLRKFPFHN NEUTE1DRAFT_42052 MTSTQVLCLGASSWQPRPSTTQRPSRSPLVPQSWRLLLVPLFLT IIQVSHAQLDPIRNFCRIFGHQTAVIDNRLYIDGGFINYNPISQYPANYTNTALLYLD LSTITESGMPPLLATSPPKNSSVPSVHGAALWADQLNKRLYLFGGEPPPSLPTSNYYL PSLWYFDAVSEGWGLVAPKNNPNQVKIDGVSYGAGASVEERGEGYYFGGWITDSSTGN STGVASGGLVKFDFDEGQWSRNEGPDQDEHAKVGRAEGAMVFIPIGDGGMLVYFGGLM QSQSEDDKKSGNVTTEGQPMEMIYLYDVLSSKWYVQNATGEVPGKRRRFCAGATWAGD KSSYNIYIYGGASTPPDNHSGYDDVYILTIPSFQWLKLYPKPSDNSDPSQNNQQGGAY PHHSLTCNVISQAQMLIIGGSFPLSTDCDVPSQFGTHNLDLGEQNAKKEPWQLFDSNL TTYAVPDVVVKVVGGGKDGGATKREPEKGWAHPDLKVLLTRGAKFAARTATRSVPSAT GSSDANRGGTDLSKGAIAGIAIGGAVAIVAALVGACWFIKRHRQRRILDRKNRREKSL ADGARLGTVASHSDVPPWSPGMTATTGYTSTSPCSDGPFQRQQQGNSTFSVNVPRPPA ELEGNTYWQGPDGVTYELTSPGSVVSEQHQQTLQTKVDSQGRVWMQVPPAGRGDHGSP MSRHRTVTRIGSEFGTPTTTAADPHGMESPPTHSPTEPQELGVNPKRGSTDATTILTG SAGWDAARGRPKHHTFYHP NEUTE1DRAFT_82233 MESFRPAPIEPYRVLVHNYPSHDPDANRFAQAYEYETDGLKRNA LIFLGGLGDGPHGIPYVKKIANAIAGESDYAVYEPRLSSAFSAWGYGSLHNDVREISA LVKYLRTPTFKMGRIVLMGHSTGCQDCMYYATHGDEMGLEKVDGFILQGPVSDREAIL AVFEEESPGKGQARMDLSVQVAKKMISEGTAQDCMPREWLPKEFWASPVSAYRWFSLA SFGGDDDYFSSDLLDEKLAEIWSKVSKPTLILPSENDEHVPEWIDVVDVMAKWKKFCQ EDVISSLSGLIPEADHRVEFGPAGEPANLAQSWLCDRVHAFLKQLERHGQEEAIDAAT ESQ NEUTE1DRAFT_94947 MCMSATCPTCSKQAWRGCGSHVPSVFAGVPEDKWCTCEPKVEVN GVQYPPQAKSTGFFSGLMGGK NEUTE1DRAFT_82239 MTLLLLLPFLIFLLHNLIYKPLTSPLSSLPGPLYTKFTSLVLKY HELRANRTRWIHALHLRYGPVVRIAPNEVSFASREGVKEIYCSGGSGFDKSGWYDLFK IYGRRTMFTFLKKDDHAKRKRILADRYANSNVMRQKPLNGIIERADRVVKRCAESLDG SLDLYVSLHSYAYDCVTHHLFHPYGTDSLRNKQDEEIMREITFDDSLQNRQLSYYSPT LHKAISKILYICGIKPRETPLADKFILDTAAKTDAAPFTLLNRLHTVRLGNSNGDGEH DGKDSKIGQIDQLDIAAELLDHMVAGIDTTGDALCFLMWELSQPRSRQIQTKLREELL SHPSSDINFDKLPYLDAVVMEGLRCFPAIPMSLPRIVPRGGKTIDGYFLPEGTTASCQ AYSMHRIDMEAWGPDPDAFRPERWFEEKGDAARKRLFFAFANGARGCVGKHLALAEMK ILLREVYSKFTTVPDKTMTEEDMEMEDQLISTRPAGLKCLLKFEPIGGQ NEUTE1DRAFT_63560 MGALINVRRDNPDPFYRYKMERIQTKIEGKGNGIKTVVVNLSSV AQSLARPGGYLIKYFGFELGAQTNIDPPDDRWIINGSHEAAKLQDLLDGFISKFVLCK KCKNPETVVQIKDEKITLDCKACGQRSKVEPQLKLTSFILKNASPAIEDKNIEYASDD DELTRKIKSEAQSLQLDKRNAPKEEEWAVDMSEEAVRARQQNLPGEFKQKLVLNGEED EEEEGGNTVYDQLGTWIEDEAKAKGGINHVDDVEIYLKAKELGIDAKHRTVQVLVQCL FDENIVAQIPKRAPMLKRLVTSERHEKALLGGTERLLADLGKESYDKIVKILQLYYHH DLCSEEVITKWGSKASKKYVDGSTSKKIRKAAEPFLTWLAEAESEEEESEEEDDE NEUTE1DRAFT_137828 MSPTNEQVVGPFAPAVTRIGSNVFDKLEHMQKAIYRLEEEVGRQ QNVLDRYEYNKEKHLWLELRPRLIENKKELEQLRAMAASEQKKRENHKKAIFKLEDEA ERLEFALNCDRWHREYKEQWENAWSRSRKIEKDVKFLRALATPEASKLEEEKAAAENK RAAAEKAAAEERKRAAENAATEQKRRQELLKQKEEEKKALEAQLSAEKKRKANAEAAA QKYKAELAVAEKKRTAEKAAEEKKRKAELAAAEEKGKHERMQKIEQDVQFLKAHLAEK KKHADLLASQKLKDETSRKLMEAYRAEKRKREEAVEEARQKLEDAERRLRLENEERRN KSYEVWKAQERERQRAVEEASRSAAQKEKETSDARKEAERRASSAQNPKEVSFDPSRD KTYDHHPASRDYYRPGEQNVPRTDKNSRQKYHQASHDHSRPQNPQHSSHPQKDPHRQD YQSRHQLPARPDSQYHPDIAHPTAFRAPPHVHQAPVGLSGLPAGSSIASYSPAVAPWE EKDRGMNGYAAMHEPSQKPSVTENKWSTPVIPTWVAPVLELKAMDEKHFSLPGPIAQA ESPKENPRKIDVCAPRETEEEKLRRELDEYKAKCAKLAADLERKKRVSGADSVATWQT GTRSIWEDPTKDTPKTDSKDAPESSEDTRNNSSKPAVSVQNLRRRQPSVSYPHHLSKS HTEAPHHESTVNRDGDRPSSRTSTHTRYFEAEEYVSADEEHTPRGKSSKFATQHNWQK ASRESTPRYVPPTPHRHPSPPPNLPPTPPRGSKVSTPATLRLAPREDTSSSKNIQTPK LPGIPGSWCDEPLRANPSWPANVSTPSIQSPVIPAGKAKETYKPDRYIPPCNAPKDVA PPGSYLFADARPKKPSYNYPVPPYYPSHAAITPQPGPAFYAPVASYGHLYASDARKFP SEVDVEAGPSRHGGQYSPWADQTAAQSLGGIQAPPRKDKGKGKAR NEUTE1DRAFT_82244 MPDGISPEEKRRLQEQERRYQKAEKEARAAWQRGLENEREAAEA LQEEKKTHLGKRRVSFDSSRNQTYLLYSQSPQLDPTPAQSTDAGEPFEELLSERYRLL VKEIASLRSGFAELEFLEIKEAEEEALARKVQAHEAARLEQERHRKKAEEEALRTKEA KESVFALIQREGEAARRKDEEAWKEQKRLRQMAEQKALKAAEAEKKVLARVKLEYEAR KEQERCRQMEKEKIVWKRDLENERPSTSQAHPWKPEQSPYPLRPSGQQHPPTMEYPTI DHSHLKHYQHGHPSPRQAPSASSVPVGLSGLPAVSLCSDLAPDVTCYGDKGRDRSDYA GTFGSKEKEHLHQECFSSAPRPNWSTPSVPVGLSGMPAGSLCSDEAPEVARYKDEGRG RSGYTKAYGSKHQEPSHRNRLRSAPSSDWSMPPVPAWKSPTLEPQVIKQTLVIPAQRS CYAGVETPKEQWPLPPTPAPSNNEPQVPTRLESEQEKLQRELREEKRKFDQGIARLRA EVERKGQGHGASSVASWQTGCKSVWEDPTKVAPENTANDSSRDVAKAVLKDVAVQTGN ITPENDTRKSPWERGTEGHGRDSNVDYNGRSLSRTTKYTRQYEAEDLSPSNDNRTERL SKAHVRAKSLKLKLPVGTNPPYVPPTPYWHPSFPPSLPPIPSKRSSVPGPAVAILPLS TLDKQNDQYGDLKTPMPPGSCVHNYYPLAAEKKVNPAKY NEUTE1DRAFT_63566 MLLIGLTGSIATGKSTVSSLLSSPPYNLPIIDADLLARKVVEPG TAGYNAIVSYFGPTTPDLLVPSGPDMPENGPTGKGRPLNRPALGRRVFGDSPEVRKDR ARLNSIVHPAVRKAMALAVLKAYVKGYRAVVLDIPLLFESQLDKFCGTVLVVGVKDPK VQMERLRARDPHLSQEDAENRVRSQGDVREKAERALERGEGRGCVVWNDGDKRELEEQ VRRVFWQGVVEKYSPRWWAWFLWMCPPAAVVSALWNYWANLRVDRRWREKKEAERAKL NEUTE1DRAFT_82248 MCCCIPCWPFADVDFEREPVNRYGDKYHYAFNESTRAWELRDVR VSFQQGLEKDVQPLPLKSALRKRPSFQEESPAQEEPTSPEHYPAFPPAGPGVSTSSQQ VFTHHTGPGGIQADHKAAQHHPSFIPASPYQQLQPWQLGYNQQTAQCQTVIPYLPTTY QVNMSQYALAPANTGVHFQPQVGDTSTGPELHYYTPRHDGQLGLQMPVQQAAQYMATG IPVPMQQVPGAAPPGYIVQQPVMPQPAMQPVLVQAQPVPGQPMMMAQPQPAYAPGATV IVAGNAPVAPMPAVPGAVHPEPALGVGLTASEVAANNEQIAYENNMDEAQDFKPADDD PSRMYRCREVDGNWTLRNRFTIDNLGDCRWYMTDDGVFYAVRMQS NEUTE1DRAFT_63568 MSGLWNTLTGGNKKQQEQQEPAAPAPSAPQTTTTTAPSYPSPFD ASQPQGVEAFLGSSSFADPTQLHPLAGLNKETLEYISLEDTPLPDAASASVLPSRGFT DDLCYGTGITYLTALTIGGAWGLKEGLQRSAGQPPKLRLNSVLNAVTRRGPYLGNSAG VVAICYNLINAGIGYVRGKHDAANSILAGALSGMLFKSTRGLKPMMISGGIVATIAGA WAVTRRTFFPSPQTNEVD NEUTE1DRAFT_122379 MESTQVQQNAPGSSTIDTDALLAGLGPEVFENANTITKWLLSQP TESLPVIAQAIFAKLFSNASESRQYHGNGHDVVRLCSFVQQCAKAKDEKLKEWAFTEP VSTKLFHFYLEWYEHDPHRALRLILDVLVASATINPKPETGKAVKEHILKTIVEIVAR KSAMQLTKSGLQCLDHFLNKKVLSLDDVARKYREVDSSVADLPHLSVWKSFVFELFSW MELPYVCPHAGKCLVHIFKGLSTQESENFTVDVWKTWLQEAVAQRPAVLEDIKNYVLV PIFKTDKVESLKLLEAFNRSEIPVGSTQDLTDLTLLLQLATLETGKRFGLVEEPSNDI DASQSSSKVTLRDTVLESFLTHPSLSVRSSAFSLLVSSQATTKPFSRIAFDLLRKHLA AFHADFDAKLRNEVLGYTKNLIRRVKNVISVAQRSLAQAASKQNPAPADKDTTSSKKK PAPENLLKSPTEAREVLDRHEAFFSWYLGFLKGELLPTTSYQRHITAMRAALLALKVG KHAGATDDVVDEDVSKSISSDFTWIRLLLDLLLDPFDDVRDSASNLLGLFSQEIIKSP AQPSLNSKTQLEVLQDFCLKARALADRTGRADHGDGAARSQGLLCRWLDGADLQIAHI SKIISGLEDKVTKAEKDLGHAAIENPVHPDFAAISYAWPVLAKETFSETQLEAIHQLY QRVFDCAKRIWVAVRHVLCDDSPEGHLPEEMEEIEGLDTKDLLSYSFRAVHESSNLMR LMLQTLRLTPGPGVPFPPLPVFQDTGYLTFEQLSSLRHRGAFSTVSLTFTTCCQLTQK LPIVFHETAGSNTLLREWYKGTINCIMSQASTTRRSAGIPSLVAGILTANAESPSFNE VFSELEEIGKKPVTQAETDGSNLPQVHALNSLREIFKSSLLSKKAEAYLARTLQLAAN NLKSEVWAIRNCGLLLLRSLIDCLLGTGESKAIIESGWDGVSVRISYNKYPTLPGVIL GLLESANKALGENAKTAAAEAVFPALDIIRRAGPPESYRAELQGHIEGYLDSHLWHVR EIAARTLCSFLLQGDWVAEIQRLFEESEDRANRIHGVLLLTRFVLERKTSLGADVSED TSKLKVLLRRLADESDNFKTCPVVKAAYLEIFNILASIDPIVNQSALELIAITPGSDS ATVMDKTKQYPSSALLDAEAVIASVYHAAAVSDTKVLRALFLHTLSKDINTTQRMLEM VPAAWKSITTTEAIGGLSNLYAEVCTTAAASPDIKAAAISNLGDLIDALLQQGRQAEL VGFPFMATIDQTWKGLQQGEINPNLSCAITRASGSLMAALALRSADTTTTAACGEIDN QMAQRLRAWGAMMADALDIDNTFDTRYAAASSLTSFFTGFVPTTGANWSTAFLPALSA LYDALIDDDDEVRDLASAAAAPVVGQQMVPPSAADRLAVWMSETFFGQEEFQEHLVSR LTTGNSSPVTGKSSELTSAAEQLITALQFDDSLFATEEQNLFIDEVRETRRWQRELIV RASASGSSDILSSGLAFAKLSKWTEEGLEYLTRLVRANSDGPLEWTSDQHVFAACARV LLCAVAVVKVVGAESAVGEALKTFKDVAEKGGLHGSLLEIVAAA NEUTE1DRAFT_82256 MPVQLLPASAAAFAPRASSVNVVLGSKVEPWLTKTLKRINKVKR PLNSVPQHTKCLTETLSSPNAIWILASLMLPKAPEDKLKNDSNPLVEAISNYQLIHLE AYIVHVDMVLRNEVAFKLTPDTIELLIEYHKDVHCPNTKASTYDWSEKDQQCKKLHED FVQAINKFVFTTHVTALEGLEEEGAGELLDGRSEDVKSAVMNLYKPLLPPPPRIVDVV RQPPLLPSSPANVSMWSQPTTPSSLPAPVESWRVLPSSPSVASSGSASPPMWASMGVS EVQMPSPTPAYSTPSYSTPSYSTPFSSAGYYYGSTLVSAPLPLPSMLAPHCGVSMGFN NFGWDRYQEYTTTM NEUTE1DRAFT_100952 MLGYVRTWSPVTNRPVSGMQLELKTADVQDVDNDARKNRVAPPS VSRELVGGILAMKNTPHSYSHLSLLINSAVIIDRITMMLEVITTH NEUTE1DRAFT_100953 MSSREKQGRMFDFSLIHSDRSLPNLWRKRDGIGGCHVKGCLPPR RGRPTYRPAGTFSSQRGGNPGKIDTVTSGAAELRRYRGAGSQNGNLSSHQHALDAELF VRTPTLNEAIFSFAWMWLGLTA NEUTE1DRAFT_146535 MENRQRVQQNLKDGVKVPEPIAVPHAKGKPRLLLMGQRSSFMEF QVWDFPGQIDVFDNPAFPFDMDAIFGEIGALIWVIDAQDDYLEAVARLNATILHLQRS YQHINIEVFIHKVDGLSDDYKLDIQRDITIRIQDELSDQGVENAPVNFHLTSIYNHSI FEAFSKVIQKLIPRLGQLEAILTNLCRTCRFEKAYLFDVNTKIYIATDSTPEDMASYE ICSDYVDVIIDFTEVYGSWQRTPEWRKRLEGPPWEQKLEDQVACEWAESGMVLADAQR PIMLREVDRFLALVAIMKEGSYEKMPQINMNVDVVVKGLTEFFEITKSKMTPTAVPGG VTAVGGNEVMNGHGMVGDGR NEUTE1DRAFT_122382 MEFATTQSPVASEVFASVALFVISVIVLLILRHYLPLRTTPAYL LVPIFFALCLPASMVLLVPIDLASSAMVDDIDARGIWLERGPLRVCWRIAYWLTFCLT WFIIPILGEYSDSGYRDPQAKFRDSLRANAQYYAIVFGSGILGLLYVLWSYGGFSESL KSTVMALAYCWGLVLAIYLMGHGLVAIPRRLFRNADISGRLRRIQTQAPKVYDQMEDA QMNLDDLEMQVAELSKRSKRGTAKNFQDWIEELVDLTNIPESQLASTSGAVRGSGEYV RTKLPTVITEKYMADLTRQLIRAKHARSRYLNDWNRLLHDAVRTQAIIDSVASKRLDF GTPSPGSGFWDRHSLLTPYTRFLYHYYVLPYFNLAFGGLLALASVCIVWSEVVKGIFP VLSVIRYSVVHHNVGNKGQIGLAGQVIAALWMVYMCAAALISITEVKVWRGRALVRRN TAPESAFWYASQVARLSVPLTYNFMTFLGVVYKDTVFYDFLGQLINLTPLGKWFDYLF PALILVPVCFTLFGLYGKIQRALGFGGDVLDTLESDEFGANFGTGTWREGRDLIEREL NGSSAAIRGGRRSHYTDSSSSSQSRAAPILTIRANSTPYPLPGNPTTPLATSPNTRPL ANTSARRVGTASRQVTLASDDDDENFFEALGHRLKNTIDTMDKPKWLTDLPKPKWMGG DTNGGGGGGGAGAGSAGNGSENFSDIRRWFGGGAGGGGSSNSGGGGGRIRL NEUTE1DRAFT_42209 MEVELTAPNGKKWMQPLGLFINNEFVKSANEQKLISINPTTEEE ICSVYAATAEDVDAAVSAARKAFRHESWKSLSGTERGALMRKLADLVAENAEILATIE CLDNGKPYQTALNENVPEVINVLRYYAGYADKNFGQVIDVGPAKFAYTVKEPLGVCGQ IIPWNYPLDMAAWKLGPALCCGNTVVLKLAEQTPLSVLYLAKLIKEAGFPPGVINIIN GHGREAGAALVQHPQVDKIAFTGSTTTGKEIMKMASYTMKNITLETGGKSPLIVFEDA DLELAATWSHIGIMSNQGQICTATSRILVHEKIYDEFVEKFKAKVQEVSVLGDPFEES TFHGPQVTKAQYERVLGYINVGKEEGATVMMGGEPAPQNGKGFFVAPTVFTNVKPTMK IFREEIFGPCVAITTFKTEEEALTLANDSMYGLGAALFTKDLTRAHRVAREIEAGMVW VNSSNDSDFRIPFGGVKQSGIGRELGEAGLAPYCNVKSIHVNLAA NEUTE1DRAFT_82267 MVPIPSAELRTSYSLYSLEFDPEDANRLIVAGGGGPGNHGVGNK ITAIDASRPDTLDIVSEIELSRDEDSVATLAVGPRNKDSILLYAGVNSSADDIAKGKN EHFRVFSLDQPSKAKALSEKPKITELSRTSLFATTEKDTYQRVLRLSAPFPGSAQVGA VATGFSKEPEIALFDVPNMGAATPKLRGNLELVKEAVDIDIIQTGDDSYQLAYCDEYE MRTMNIGKGISEGPKLVFTMPDEAAVTGNARPSFRSVRYLTPDFLLAVANLPKGGGVV LHGFRMPKNDKIHNKLKEKEEEEAKKGNARLCISAKLPSSVTRATGLAVRNLSRPASP TAKQGDAQFVIAVTGQDSSITIYTLDHQSVADINLIVNLFKVTTFKNVHNGPISGVAF SYVVPPADSNSDEKAVAKKGSVRPEYVKLASIGSVGNSCIVHSLPLKKFVDKTAQTRR GGAPRAARYVLALKSQAPSPKGLLFFTALITLFIGVFVQSFLEIKGYSSPMIGARRFA PVSWQQNPRYGAGQGVVGNANYANSESLNPDGVHGFLASLLADKDLKVQDGQAKKLVL NVGAKDGIVQVSGHDAEVDSTAPTPKEWDELHETQKKAWKEKLKAAGHWGEGMGETIF KNILFGELGAVVGGLVR NEUTE1DRAFT_100959 MAYQPTCPTAAVQRPQTSTGPSNDSPPPPVPSKDINRSKNKMSF SEHKAQQVPGSTSSDCDTVKIRPSKVTPIGDNKNGNINTNQNQDQDQNINRIMRDIKR PPSGDVTVATGPKHSEQKLSSSSCSSSKQTSVAVLNRQKSTLNFWESAFSVNEVSPAK ERIRGDALVMAEVKTNVIISDEFTFITELTYHLSSRYKRPVSSIVVTLHHGACMLFGG TFDPAYVISVSTLPSHLQSTTNKRNAALIQKHMEEAIGPISDKQPPPPNQSQQTSVVP NKLKPKLKPVATTAIVNDMDNSHHQPAQRILFSPFPND NEUTE1DRAFT_82270 MADDTDNPPNIQIPAKSYGFPPEAFDLRRLPDYDTNFLPAHDLE AFIQALAAPDFVQSPDDASSMRLNSPGLHSPSSLDITKDDYDHSEARAALASVVDVAA PGGGNNHHRRTSTGTFFSAHNDWAPVSEKVIKTDRDEKRNKRGKDRKNKKPRKGVAPL RTLVGTRSKDETREGYLYSLLKWPFLLFVSFWIVGLGMAYLATRFYIYFYEQFVAWRG RREQLRRAMRATGNYKDWVAAARNMDDFFGNQRWKEENDFAYYDSKTVRRVWDQMRRC REKAEEAGGGNNGNDKKTQPVEDLKALIEACVKNNFVGIENPRLYSQTYYGTKNLVQN YVDEVERSIKFLIDTKQLTKEQKRVMFKGICANYGRTALCLSGGATFAYYHFGVVKAL LEVDYLPDIITGTSGGALVAALVATRTNDELKELLNPALAHKITACREPFTVWFWRWW KTGARFDSVDWAKQCAWWCHGSLTFREAYERTGRILNVSCVPSDPHSPTILCNYLTSP DCVIWSAVLASAAVPGILNPVVLMMKNRDGSLEPYSFGHKWKDGSLRTDIPIKSLNLH FNVNFSIVSQVNPHINLFFFSSRGSVGQPVTHRKGRGWRGGYLGSATEQYIKLDLTKW LRVLRQLELLPRPLGQDWSQLWLQTFGGTVTIWPKSIFSDFLKILSDPDPPRLARMIH EGQQSAFPKVKFIANRLKIERLVERGRRETRDRRNAANAFTATGNDEGSYASSSIVDA MGGKHPLAPSSSGGAGDRRGSIESILSEDDLRSLLIKGREGVHTSGGSSTGTEDELTM TELEGEDDGGTSRVSEGGSRNRYFEGALEEEDGALEVAASDHSRT NEUTE1DRAFT_117049 MWLWSWFYDILSNLGLLNKHGKLLFLGLDNAGKTTLLHMLKNDR VAILQPTLHPTSEELSVGNVKFTTFDLGGHQQARRLWKDYFPEVNGIVFLVDAKDHER LPEAKAEIDALLSMEELAKVPFVVLGNKIDHPEAVSEDELRQRLGLWQTTGKGRVPLE GIRPIEVFMCSVVMRQGYGEAIRWLSQYV NEUTE1DRAFT_117050 MSAQAQPTGSQPDARLQLRVCNYNETGGTKGVINEPGDKSVDTE CRTRESRRRQTW NEUTE1DRAFT_82277 MENRRMSRELAASHVLAWTTSQSVMVEPSLEEDLETDADEPPDS TLLPMPPHFERAPVRRGSPHQESMLTKALHNPSDSDHAESDYQPRRLGRRRSITSTFS LASTADYTCDTGITTPPRTTSPSPRRTGITFRLSSKVTDTKPSTVMDEGLQVAPLAAA TPAPEKKRCISFACAAKPPVNDQTLTHAPPTPVKEEVKTQEALKKPTIKFACAPHPQR DQPSPTQPIRPATPLPTSVTSPLKELFEMSPKNLSRRSSVATISKKYLTAQLGDLQSE RARFHEFASDEPQEEDWIREDLTSKPKLTIDDTLKKELAIRKIGKEAEEEAELEEAMQ SGEALENDEEEAGGDEDEEDDNEDEDEDSMDDDEDDNEDEDEDEDLSDGHSVSVWDDA SDGYKTDSEIGFGESDDEDDDDLVLWTTGGLADLSLSGATPVFRRGSVDESSDSSAGS DGNNVARRALKRRDTIRTRDFRPATPDLPDSTDFVCGTLDEDRPLEAAYLERMAARKQ NKLVFIPQDIDPSFPTSEPEDEGEELYNKSAHGNDDSEDDMWQLEDLHSERGRIGRAK KAQNSPRRCRSPPPKRRLSLCPKKSRGRNDRNLFDRSSPRRMRSPPPPVLSAKSPRAS PAMGADGVAFKSLAFRPGLTFTKSLPKGPVMFPHINKQQPRRSRAGTITQDTHVRGAI DIVKGLEEKRQRRKEKYYQKYCTRARKEKAQPKRPPPGQGAERMREVGLIMAGKIGQG NYVLSY NEUTE1DRAFT_100964 MRRSQRYHTKSGLAPNGWSLPGSFARWHHGQDKGDQLRKGTGKA GERTIGKGRRGKPERARKRRRTNHANSISGSP NEUTE1DRAFT_100965 MTRNHQIRPGIRNTTRSIFPRQDRPFLLLAWMNASVMHKSDHAS RTLNVSSHAFANHFIAKKLLLPTLSPCEKDHHSQARKRAGLSRGRNIKIFSIDPTARR HQMGSLCQEALASRASSEIRYPRIDT NEUTE1DRAFT_117051 MNSLRIARAALRVRPTAVRAPLQRRGYAEAVADKIKLSLSLPHQ AIYKSQDVVQVNIPAVSGEMGVLANHVPSIEQLKPGLVEVIEESGSNKQYFLSGGFAV VQPGSKLSINAVEGYALEDFSAEAVRAQIAEAQKIVSGGGSQQDIAEAQVELEVLESL QAVLK NEUTE1DRAFT_100967 MSRVRRFWRIFHCHICGGDVKAQLYCPSSGHPFCRNCQPEVVAE EDVTKVVTASQAVYPFRSGDPSPNTMKPPMPTEIPTREIGKPYPEPNEMPAVTPSAAV SSPEAYALVQAGNASQDPAGPQEVEHRKRFSNVQNETRLANLPSETKDKPSLHQHAFA PAVEEFSQATTEYTEGTSPLNVFSSVSNAQITHIVASEETRGTLETLSPSPVHPPLPP LPFLPPRTMASDLKKTSDTSRWISPPKPVAAVSPSPPLLAPHVHKMRPAQPAVARQFS AVKRQARKLANGPSSRASDESPGADAETVKSSSDSSVHATNRYYMPKVKVSSPPLWLK FPSTRTGGNSNQTKRAVPPGNSIRTGSVTRTATAMTSNIGDSKRTGASVGSHAIQETS ARETVTNPYFPQLDQLERQTVEKGEESRHGVQERQGEHGDHQHSGDFHEQTTLHGHAR KVGRKVSEDLKARILGLPVSEQASNSSKGNRHPLQADSRSGNKAGLATVISRISEMQS DRSTYSGCSTPSTIRSVVTTPKPRPGRTLTPSSGNGAKRAGGDRRHSQNRTPTPTTSR FPGSHSQEWLQQQQQQRSSLHSARQPIGQRVKGPHSPPAVEDIHHQTYSDFTSLSSLP SRSPVTSYQFRDAIVDRTKHSNHRVTTRRESETAPLLTSNVVSTPTAKTLDNRPSPKK APIASSAGIHDHLSDVRRAIQERTSSDEIRPPIEVDYGYTSSSTPQEIIRQGEGSSEE ETGCHHDPSTGIHGLTIVLHLKGQEDLVISTDLTREASGADGASSVTMSLSPIVPLSP QSPNSGHGVVSPFRVMDG NEUTE1DRAFT_82283 MEDLWKPLLDNTTLAAVLQRLNDITPSQWCQAYYLFTAGAVVAV AAMPNEVSRRLVDYGARKVQSEVSRSGSRSEGKEENDTGRGTGSEAEVKAKANRVERG GKNWLLSLTDTLTSYGQVPHSWFITFYVASMACSLLWFWQFAVDGRVLRVVTCSQASP TAKGEDERGGVSASSVTLGQVGLAWTMMFLQGSRRLYEDSLVHGKSKSTMWIVHWVLG LSYYLFTSVAVWVEGSGAIDYTMPSFKALVGTFMFLYASVNQYRCHRHLAGLKKYSLP DLGLFRYLICPHYFCECLVYLSLAIVAAPQGELLNRTLLCALVFVVVNLGVTAAGTRK WTELAPQLGDFQNIAIREADPDSPLVFPVLVQRRLAEKTPVCNGPLRHVHVGRKRVNA MKNPPHGLRIKGPVFFGLVEVEAQTRSKAHCANHGVVCRSVFVRHNARVGRVFVNKDV VRPAVGAIREDVLLLLFLFLIATAIG NEUTE1DRAFT_82289 MDAQNAVEPASTKPAVRAASPKRQGSDSDQPRSSTVIRTSSTST VQSTTARFDPIFRSDKNDRDNKHRINNSASKAASNIEDHDDSLTADGNSDAETIVLPG KDGHHSPVKVRKPIIKQNDNRSDDDDVVSSHRKHANHNSSRQQQQQQQDRESDRGDRS EKPDRSVAVAGNGPNGSNEGSVGSSSLGALGAKKRKLPDKAKAKDGSSGLSSAPESPP QRRRRSSNGHSKSDSEPAPVDSLPKLPAQSTQQDKPVPPKSLDNNKVVPNKRKHPKAE SDDEAEPPKVRRQRTSGSGLDASRRPHPPPPNKSSSHHEPHASTRTRSVSPHARAHRR SISTQLPGHTAHGLSLKKRRVPVPLHSADYFSDESSERGSPHPRSSKLRSLATPAAAE STHSPAGRMAPHKKHLDAHGQTFLARACAKGEYNVAKQRLQERPEDIDVADYAGNTPL QIAAINGFDDIVKLLVEAGCNLDCLNNEKDTPLIDAVENGHYEVVKILLDAGVNPRKA NAYGEEPIDRVNEDHDNADDIKRAINEAKQRMGERRPTSEDHHLDHATDTRSSHGPDS PRQSPASASINPSSGRRAGTVRATKTSNHLLYMPMDDKTLRAAAAKGDEETVLRILQV RDQFDDPESMVAAARGGHDLVMQLLLAIGGANPDPQPISTNEYSTPILAAIGQENIKV IRLLLDQANFDPTKKYKGETYYEIARKRRGPNWMQEEHMLKEAFDEYKKKPGSNAKSQ RLSNSRETDREPRRTRTEIKEDASKSHRRKASSPAKEGKKTGPSKVPASPREKRRSDS VATHQEDQTSPKRGPGRPKKDDKIPTIAISDREASPAGRVTAKAKRADHDVAAASSEG ETPKPRRKLISGRELKGEREKQRRASVTSNGSSFRDQPSSPRDECEKPQMMEKYHDRT KAIRRDESRDRSSVSGESSGKRHRASATPPHPGSTEKDPSEVPLKKRRLDVEGKDRRL KPNSAEDRHLKPSVSRESSLAAPPDGRVRSRSRDDEDRRSTSKPRKSESTSEHVRFDS GKPTIPEPSIHVKSEDGEVEMGDTDDAAQEELTRHMLEKRKLQEIRKKQAEMESEARE LKRKEEERKRKDEERERKRFEDESRRAAQEERQRLEELRKQRQAEEDRKRREEEEERK QREEEEARERERERERERERKRREEEEEQKKKEAAERKRREQEEEERKRLEHEEKVRR ERLEREAADEARRKREEERRKREEEERQEKERREKALREEAERKRVAAQEAEERRIRH EQEVIRIANLPPALRWLEGAANPRIPQIAEKFSIMQGVRYDCIDPEATGTPEGREQWL LNTQIALLLGEKDLRLSKYNSWTRIPVSKIAKRVLWQLESDRYALTSPNLYDLGKELP GYYGTDDTDSIVYRVTQKLRSAAWEKFAALEMFFVKASDFLRIIPTMPHLRDIRLVMA YRELPENETYFRDFRPLEKWKKDPDAGRNHGFAPGSKYFVNGQFIWEERPKVGMVSKM PFEEERVPRRGLAPVRSDDPEYAELCRKQGLGHLLGEAQGSHVLSNGHRSASPISTTS SSNRVNGTTSPRARTVNGHAHGHHPISPSSETGPAQARPLLNGHHEMLSGDEED NEUTE1DRAFT_82292 MARTQKNKATSYHIGQLKAKLAKLKRELLTPSGGGGGGGGAGFD VARTGVASIGFIGFPSVGKSTLMSRLTGQHSEAAAYEFTTLTSVPGQVTYNGAPLQII DLPGIIEGAKDGRGRGRQVIAVAKTCHLIFIVLDVNKPLTDKRVIEAELEGFGIRINK EPPNITFKKKDKGGLNITSTVPLTHIDNDEIRAVMSEYKISSADIAIRCDATIDDLID VLEAKSRSYIPVIYVLNKIDAISIEELDLLYRIPNSVPISSEHGWNIDELMEAMWEKL KLVRVYTKPKGKMPDYSAPVVLRSNRCTVEDFCNTIHKTIVDQFKVAIVYGKSVKHQP QRVGLSHELADEDIVTIVKR NEUTE1DRAFT_110244 MPVLYTFSKGNEMDAIVQTRLSDHQRPLNAKQRRKKITGLSIKS NFSLPMVRSDVPSHLNQLSYCSKLVDHDPDYRTPPPTSQTPRALSKRVSPQCPCLIFP FPHVEIGVLVPARSINVDNRTEFERRLDCRKKGRRVMCISSRITDLLRGKRGKRTVSK VPYLDSIVPRYGRDIVKCGVEMYVCWQDRAGQTGTG NEUTE1DRAFT_137851 MPDISIPQGKLPRICSRRSIKLPSKTGLVQKIRTNGARRLSSKK PESSSPSAWESGERQDQRAVHTAASFMAPVILSPRAQLDPTPVSEQQEN NEUTE1DRAFT_100972 MLPPDCAQTPLELWILNRVGNWRKRELPRRSSQLPSFFPSYTAL GCQSGGLATQSSFLICLWIKPAASSHQLDRTMYLPG NEUTE1DRAFT_110245 MSREIPASPSAELSTWTSVTLQWGRKQLKDEFLEVSSTSCRPRP FDRRRSSRMAATGNSQVSSMGWPVKVLGTTTPIVTLARSLITGMEATDNLRNGRKHDE FWTKRNSETFDAFLDRLTVDHNGSVQWLSMAPNGFPAKGAETIRFEEVNDFDLAWSRT NEUTE1DRAFT_137852 MHDQIIGTIGMDQGSHIAHDNNTYGNNNWVDMNPFHHQTTMPDY GGSYGGYMPPISHGLPSESLGRMPPPPPPQHHVPQPHQHSHPPLPMLMMPQTTTTWPS MLTNPTYGSHPVPPVAIPPVTTPLKNSKLPAIQTTSQPRKTLTDEDRRRMCQYAMDHP TAKQTDIGAQFGVERSTVSKVLRHRDKYLNLEDRSSSPIKRNKVKGADVEKALTNFFR KSQGKGVPVTAELIKEKAHLFASTMGVTEDDFLKSGSTAWLDKFMLKHNIGPNRLLRR ASEANISSSMRGSPSLSTSQPSSAISPLSPSGHTSPSTLSANRSEGEKDNMATYMDYP TESSYKHSGSHSTTSLHSAFTDAGTSTFSGSTLSPTASFSFSPDPNAGAFITADQARQ LPPGSGVGSNFQRPRSQTFPTLDLEYLNQQESGDSLTPKYHVSPTGPSSALESPANEV GASHFGMDHIITSPRLRHSSSSGSLAGRSIATPLSANPTSPISPTQEDARRAADTLLS FMQHSGGFVDHDEYMTVVKLTEKLRLHQAQLAKNTAVGMGGLSRIPEGDSEMQNAEAA SIPKVEQAMGT NEUTE1DRAFT_122394 MHMSLHKYILDPSGLPPLLRSVRGALFPNNLPGISSLTAPSSED ELLALRRRCAKDLWALISPGFMAKLLGSVYFSGRCGFGGNSSLKATAACPKARPTATV NTGSTSAPMVVSEEDAEKGGALAGASRSTTSRGASNVGSATVQPAAPQNSSQTPKQDQ TQMEQMRSGSGPKKGGLDQGQQAVVPGYASTTGGPVPTHQQGSGSSGSLSSLSSVAPA PGFRAGPGSGLGLGFGRRGPASSATAATITTSSDPRSGSNSSSSSTGNSRSSSKLSLT GGGSTNDAAIRAAASATKTKLAKRVEDQHAHVVEVHFQQELDANADAEAGDIHAASAF AATGGQAHVDDADDDVDDGNPNEETILTEIEQGILDVFSDAYLNKHLIYSILELILVR LMPELAEKRVSELWEERLA NEUTE1DRAFT_42059 MTTASTASTAAAAAAAPTFSAPSAPSASPAVPVTAQSQGPAPTR LSTPRPKSPRTSSEPSSSTNNLKAGTRSRTKRGSPSPQSNAQRTDPLSDKATVYLIRR ILCPQHQDKGKTPPVSIEDVLPPLTSRNDVDLQLYALIAIILREYVQNWYNKITPDDS FVAEIVQLIAHVTRALEQRLRNVDLESLLLDELPDLLDRHVTAYRAAHNPITQSPLQT NAREIYHALCPLPALSPVPCSGSPESVAEQAENEAAYRQLLVHAVLAVLLPTEDLQND CLVALVGQILSELIIGNILANKLSEPWFIWECFSIASSVITRRRSAEHEPLPRRKSKV PLQARTSSSVQSIQALFWTIVHWILLTISFVRVAITILITSHSLPPRSSRSTTAYEKV AAHHTTRMDLEKHAGSSDVELERFRTPVLAFRCWSAISNLIEMDGRMPWLSGTVVMLQ WIMMRSPGRLGGVDGSIDR NEUTE1DRAFT_82299 MALPADRAVRPALSKLCSQCSTFTARQSRQSAAFLQSAQQRSVS SIRGAQSSSSSSTQVGGITRTISRPTQASITSKLPSVAAQSRPKASSSTSFATNEDLA GSGIPSKPILEQDNLFHSFTNSPIPKIRQRAAFIRQHASCPHHSHRPGQFSETNRQVD TESGSQPPKHVDFECPDCGIPVYCSEQHWMDDYEKHLEICDTLRQINEDDHDLHSGRH FYEFNYAGPQMDDAMVNMTNWDTFMYTRQFEAVNDDRCMRQATRLLTYPLTIGSVLHE LSPYHIRNRLTVEGLKSLTALRYTLHPPKTGGNESIKGLRPEAPPVRVFILGARAESS LPRDAWVQLAHLFPLSRLHLIFIGPESMMNRDDEFPLPPRTPENPYGAVVEDRVWPTM KISTIVDYYHTIHKTGYFAPHDPYFDCFVLFHPGLGHPASSHEWEETLPMLLETKAPI IATGYTQADMERDVEWVNKKAKGEFDILMEPGENIFRSLRWDLNDLDPQDVSAGNWGV WAFRGKRYEATVKDNVIAV NEUTE1DRAFT_82300 MATTSNMFLYSLTIQPPTAVTQALLGQFSGTKEQQILTASGSRL TLLQPDPRQGKVNTLLSHDIFGIVRAIASFRLAGSHKDYIILATDSGRITIIEYLPKT NKFQRIHLETFGKSGVRRVIPGQYLAADPKGRACLISALEKNKLVYVLNRNSQAELTI SSPLEAHKPGVLVLSLVALDVGYANPVFAALELDYTDADQDPTGQAREEVETQLVYYE LDLGLNHVVRKWSDTVDRTSSLLFQVPGGNDGPSGVLVCGEENVTYRHSNQEAFRVPI PRRSGATEDPQRKRVIVAGVMHKLKGSAGAFFFLLQTDDGDLFKVTIDMIEDSDGNPT GEVKRLKIKYFDTIPVATSLCILKSGFLFAASEFGNHHFYQFEKLGDDDEELEFSSDD FPTDPTASYNPVYFHPRPLENLVLVESIDSMNPQVDCKVANLTGEDAPQIYSVCGNGA RSTFRMLKHGLEVSEIVASELPGTPSAVWTTKLTKYDHIGETVEEVSDSGFLTTAPTL AVQQMGEDGLIQVHPKGIRHIVQGRVNEWPAPQHRSIVAATANENQVVIALSSGEIVY FEMDSDGSLAEYDEKKEMSGTVTSLSVGQVPEGLKRSSFLAVGCDDCTVRILSLDPDS TLEMKSIQALTAAPSALSIMSMEDSFGGSTLYLHIGLHSGVYLRTVLDEVTGELTDTR QKFLGPKPTKLFQVSVQDQPCVLALSSRPWLGYTDPLTKGFMMTPLSYTELEYGWNFS SEQCLEGMVGIHANYLRIFSIEKLGDNMIQKSIPLTYTPKHLVKHPEQPYFYTIESDN NTLPPELRAKLLEQQSNGDATVLPPEDFGYPRAKGRWASCISIIDPISEEPRVLQRID LDNNEAAVSAAIVPFASQEGESFLVVGTGKDMVLDPRQFTEGYIHVYRFHEDGRDLEF IHKTRVEEPPLALIPFQGRLLAGVGKTLRIYDLGLKQLLRKAQADVTPTLIVSLQSQG NRIIVGDLQQGITYVVYKAEGNRLIPFADDTLNRWTTCTTMVDYESVAGGDKFGNIYI VRCPERVSQETDEPGSEIHLMHARNYLHGTPNRLSLQVHFYTQDLPTSICKTSLVVGG QDVLLWSGLQGTVGVFIPFVSREDVDFFQNLENHMRAEDPPLAGRDHLIYRGYYTPVK GVIDGDLCERFSLLPNDKKQMIAGELDRSVREIERKISDIRTRSAF NEUTE1DRAFT_146552 MAVSYPQIVLFGDSLFQGAASLEDGFCFQAAVQQQVLRRFDIVN RGLSGYNTSNALKLLPQIFSPPGPGVPKLAYLFVLLGANDAALPQPVNNQHVPLDKYK QNLVSIITHSNITAHNPKIFLITPPPLDELKASEVEPGVRKHRVSASYSEAVRQVAAE HSVGLIDLYKAVMDYAISKTPGFDRSKGNLGDPETGERGYLKNLLPDGLHLSSEAYKL LYEAVKPHLGKEWEGTNAAERVGYVLPDWKVAPWLEEDVLKP NEUTE1DRAFT_122399 MDPRFIVTREELYDVQMDLKRLHSIQHQHSERLRLLEKRQADDA ALKSVWSSPFPRPVHLPANDLFDDLDEQGQNLLGRLQLEPEDEPIRRGVASRANSVRF DESALHGWGGHHGTRHSTEYGLTRPGSGMGGHPMTERSSSHKSDGRHSSAGHSVHSVH STASGRASSLGLDTNFSIGGVEDDSPLDVPEPPPGFFYLGAAPSIIRCCLTDNITNKR LLYAVVCTGSQKSTVDYSVVKDLNLTNQMQRGMDGIYRIDLPVFLTEARVIQSNSRSV SPVHPLPPSITCSFEVTGMDQPESPETRKSIRVFIGNHTLRLHSADLLLSQNSMTLYG TDRDKLSVPFVRPEDDAVFKNLAVTNMAPGNKPKLNAAAPEFVAGDRAAKPSAKSNEE PVPKQNGDVVSEGALPSPVRSPSQPVKTTTEATSTASNEASGGYDKEQPQQEQQQQRP PSAPADSVVLEDVSRDAAKENFTPTSTTDTARREPSAAIRTPWRQTAASLADKESTPL SGYQPAARGRSMKILRPTKISSISSNSTAGPNSPSTTRSTGAFESPSIPRSNGTDLRR TKGSTASAAVAEDSQSNTSSPTVGSWGPSKRSLSTSASASNLGNLEGGKGIETREQRS SSLATTVSSPMHQDGLKTPTAPRSATNPLGSASAFAWMGNKTTGKASASPL NEUTE1DRAFT_117057 MQFTTLALSALSAATGVFGAPTSQEAGTTSPDQVIFGVMSIRSA SPVHLTAWGAEHKGIFTNLSNQDADCYNSPAQNSATFVLNKADGTLSLYTEGKPWQQL FVDRSGMGQGMMQYTTGAEPAPRNAERGGWAIDEASQHLTFAGSDAFLACPSGADSSY RILPYVGIENPAGYTDCLSIAARVVVAENPVKCLYSQN NEUTE1DRAFT_122401 MVPLTSAGITVAGLVSLSKFVGKHIDSVPSTILALFQSVIEART ATHAAFQQMTARTPDPDVEKSNTSHMRFINALKESFDALGGRKWKPNSSPDESDLLAN TEHVKEELDRLLANKFGALEIQRSPEADEASDQEGPQEIHSNVLRQRKQTKPGKGKKG KKGKAKKSSKPKTAPAKEDSESLEGVPLESYRIIQDQDGIVTDYLMAVYDLIKECMTL RNYLQGIWREVAYDGLNSAVAGALSNMAIAMVQRSASEMFTVMNTITRGNVEKAQGMF HVFLMFFEPGSDTPQRKEEQEVDIKEQFLINAYHDLLDFVTDFQKTRTGKPTKRMLEE IRNWDPNFDLRHATKEERIRWRRSYTINWLYDLVNVFSSIVVQRNTLRGEKHVYEKVD WSPTGPWAQHRRLFGLNEFAGFVTSLAMQKPGTDVRNRILPHHVFQLQCIVDSLTVTR GWSHHLLKGHVVVAPPPSYRFRPRRDVDFFLDREVTRDGRGILQAFDVLEQLFERDGA LHGDMRRHAANNEILEGFHLDYMNWLGESKYMHGLNTIPPSRFSHTNANGLWEYSPFL CGTGLEEGLELAYISGMTIWDRLPEPMMLLHLHNMLVQEGYIEKPVGLFATLQELFPQ CFFPDGKPPVANYDKALQTMVDNRGSPRERQQVRRVATSAADIHGILNPKVNDFLRKK STLVILREAGWNIDRIPDADAKHITDPVTGKKRIEETEITKRAKAKGMSEENMFNMAK TIEVLRATKMKANEIPAERVAHAMEPGYSRFPDRSDPRSTRKARMAAGGECVDFADRD MLDFMKLDIQSDISGERPLSALNFLWATTFFYTVFMRIEEELEKKRNPLWVRAYKEAR GPLAKQKRLSLTLLAMIEQDEECLEVMADIFTNPRAGFLQFIYWDDIEFVAEKVGKDP RPRPRSAASGDVGPDLDQCLVM NEUTE1DRAFT_100982 MSVIYRFARPGIYLHNQEDATTKHPVTLLDMLSSFQDTASSICS PLSGFRLEFSPAQAEINPHPFL NEUTE1DRAFT_41271 MPRNQRASPGPNGAPSPIPLAGSVNGPAAVGQQAGSQSPSGDAP IIMDNPAIAQLEVDDENEDTVSIYTMDAYPNMTAAYQVDRPHHPGSGSAHDDATIDSA RTLYAEDVDYTTEHDRTYCGDYFMPVDQTEQTRQYVAHQVYLKLFNLELTTVPLHNPS YILDIGTGIGEWAIGMAEKYPRCEVFGTDIAPIQPTHQVPFNVEFHIENAEDEWIRPA DAVDLVHFRNMEGAFSDWRYIYQQAYNCLKPGGWIEVIDYDDHFTSENFLSYFAPDSP AHLLAKGVLEAARVAGRPRGIDHMSKELLEELGYVDVKETVYDMGVGSRENSSYGSFY LFTVVTGLEACCLRSLTKFLGWDPKVVRDMCKQTALETRAIAEDTSRTGKFVVKLRVM VARKPTAQEQWLARGTVVPTESDCGTLRDGDQSTIRSVKTVKTAISDATGQEARPVSQ RSKITEG NEUTE1DRAFT_100984 MFGVFTRRPSHDPTRCTNKVKSLPTAYTLREQQEWQPPRTGIPP RHIVSA NEUTE1DRAFT_122404 MTFATQESTSPYNGEAYNVVSFDKLDYCASLNNTRVLEHEPNFS FYRGDITNPSEVMDCLERYNIDTIFHFAAQSHVDLSFGNPFGFTHTNVYGTHVLLESA RKAGIKRFIHVSTDEVYGEVKDDEDDLLETSILAPTNPYAASKAAAEMLVNSYEKSFK LPVIIVRSNNVYGPHQYPEKIIPKFTCLLARGEPVVLHGDGSPTRRYLFAGDAADAFD TILHRGQLGEIYNVGSYDEISNLSLCHKLLAEMEIIPSRSPSSSPPCNYTTSPPSSSP MGAEQEEIHRWVKYTHDRPFNDHRLGAEDNF NEUTE1DRAFT_122405 MPSGNKGKNRSGGSLSLKTSNKIVRKQLYVKQKKEQGKERHEER HRRRKEEAKDPKLREERLANNQPLTIERKRVWDDVDDDSLGVAVDVAELKRRRLEKEE AEAARLADGVTEEDEEKDDDADSMLDSDEEGDDDEDAEERIERLRQERAQRKPSIAPS TTSTNLDMTPDSLTRQFPNLFNEEPPVDPKILVTTHLNGTIHKEAEDIAAIFPNSTYI RRSAHAYGHKYSVREIAKFAKNRGYTILMIVGEDLKKPSSLTVIHLNGEDVAPGPSLT YTIRNYLPGKALLGHGNPTNHYTPLGILAAKSMHTMFPPRPELSGRQVVTLHNQRDYI FFRRHRYVFREARTTEKNVVTAEGKEMEGMQGIRAGLQEIGPRFTLKLRRVDKGIGRA GSEGEDALKWEWKAKMEKVRTRFNL NEUTE1DRAFT_94965 MTSSATTLKGQPLDKGVLESMLRRRMFFAPSFDIYGGVAGLYDY GPPGCALQANIIDIWRKHFVLEEDMLEVDCTVMTPHDVLKTSGHVDKFADWMCKDPKN GDILRADHFVEDVLEARLKGDKEARGQKVEDKEEDPKRKKKKAKGTEAVKLEDAVVKE YEEILAQIDNYNGEQLGELIKKYDLRNPATGVQPEPPVAFNLMFQTAIGPSGNLAGYL RPETAQGQFLNFAKLLEYNAGNMPFASASIGKSYRNEIAPRGGLLRVREFLMAEIEHF VDPAGHKKHERFHEVADIELALLDRNVQLSGQTTVTKMTIGEAVKQKIVDNETLGYFL ARIHLFLQKIGIDQSKIRFRQHMSNEMAHYACDCWDAELLTSSGWVECVGCADRSAYD LTVHAKRTGAPLVVRETLETPEIVEKWEPEINSKKFGPTFRKDAKTVEAAILALPQEE LAKLAEELKTNGKIVVDVPGVGDGKVEVSKDLLAIEWKKHTINIREYTPNVIEPSFGI GRILYSLLEHNYWVREGDEARGVISFPPAVAPVKVLIVPISSKAEFAPHVRRLSQKLR SVGISSRVDDSSASIGRRYARNDELGTPFGLTIDFQTLQDGTFTLRERDSTRQVRAEE EKIVDAIKALVEGSKTWQDVESELPIFEGQELEIRPAEN NEUTE1DRAFT_82324 MNPGPAPRPPTDKPRLGLTPNTNSPGNISNLPGNMSNLSLRSPA PGVTSTYSGSTIALPIQRQMSNNDGTGGLAVIKQGWASVKESKNFINPWKNKYLILRK ESLDFHKAESSKVAYTLYLKDVINVGRVEAAGTIFEIKRQSSGASTSPGEEENGIRTL QIRVKADDDLYEWIDFIYARCPGMGGVSNPTNFSHAVHVGFDPQTGEFVGLPPEWSKL LNSSAITQEDYERNPQAVFEVLDFYSDFNKNGGQAPTPNFPTPPSNSAQSKQLGYPSG GNSVAPPRPTQPLQQRNPSSNYPSSPQQQGGASSRSSPPEQRQQASPSYNSERAREEQ RRELERQQREMEERDRRDQEAYNASLPKTKTPMAQQEIGGGGYGGADRFNPTRAAPPA PKGLQQAPSLKAQRPAPPPPSSSSSRPPVAQQSSSSSQRDLAQAQAQRRAEQQAQAQR NQNGQAPPRPPHPSANQPSKIPTTVKPLNVGPKQPQDGVKAAEAALTSKPAASERSKD VRMSTMSEKEVMQKLREVVSHEDPEAKYSKQKKIGQGASGSVYVAKIRERPGQDPNVA RRRGQVAIKQMDLAHQPRKELIVNEIMVMKDSSHPNIVNFVEAFLKNKDSELWVVMEY MEGGALTDVIENNPVITEEQISTICLETCRGLQHLHERNIIHRDIKSDNVLLDARGNV KITDFGFCAKLTEAKSKRATMVGTPYWMAPEVVKQKEYGPKVDIWSLGIMAIELIESE PPYLNEEPLKALYLIATNGTPRLKKPEKLSKELKAFLSVCLCVDVKSRASADELIEHD FLKHGCPLSSLAELLAFKRSAK NEUTE1DRAFT_122408 MSKLTTKTKVRKYGKPTTKSRAELLFAELPKTPVKQPRREPIPQ ILEPKPLQISEPEILEPNPAYHEHHESKPAPEPEPMSDEDDVHDVTEHFDSILLDEED DHQDAPYASTPRPSIGSYTSYNTSIQPPPPPPRTSLSSQSPRLSPFRKLASPSQPSPA TLQPPTTKSPTNRHPQQLPLKSPRVRQLIQEANPHQHQQDQDHQEQQEYSFYDTSSFH TLSWADICGPPSPLNSITKIAEASYAEVYRITNPRGTSIIKVIRLQSPIKPQTKAQIK SGLVDEEPHAESDLQGELKISEWLADIPGFVIYKERFIVEGKGTKELLETHQVFQRKM KRKDPGRAQFYPSPSRYLESTRFLVVELGDAGTALEDFMVEVKTGEDILWDVFLSTAV AMARAEGMVRFEHRDLHEGNLCVRRVKGARQKKPAEGDETTGEGGGGGVKFGWSGLEV TILDYGLSRATDPETIGPDVESCLTSPIGRKTTADREVKEEAVVFYDLEKDPAMFTST HAPQCDIYRLMRSHLLDNTPEGKPISWAGYYPYTNVLWLSYIYSYLCQHFKGDKRELK AWREETEEMWRYLDPEQVDDVKQEGSIGFGAAGDVVVFAVEKGWITEEQVMDVGDRST MLFEESRLGVPPIEGMKSEGKNAIAESVENQQARERTESWNET NEUTE1DRAFT_137867 MKTPPHTSSGAMENESKDEKLAEAIALADETSLRAVMLALSTKS DESLVIDSCFKMWAYWNFNFYGPGDTPENRKKDHL NEUTE1DRAFT_117062 MEAVQTGDKLMEAIASADESTLRAVLRGLCAKREVEQLIGKHFY ELNKFSAAKGGSSGSKRKATSPVHICVECGQAFEEDDNKKECRYHLEEMEFDENSSIW ADYDENCFGVMDSEENRRNPDTLGGFVYPCCNKRADEAEGCKLGYHRAVDGKRGRFDF DASADEKDEGEDDEEQKEDE NEUTE1DRAFT_146563 MDSETIQLEGTLKGFDMAGESIIPSGDKWELIPTTTIREVVDEV QASNVDFGVVPFENSTHGTVSFTLDCLADRASTYPNITICGEAYLDVHHFLLGRRKSS LLPHVDQNAKGTPLTSLSHVKRVYSHPQAFGQTAKFFATYLKGVETIEVSSTSKAAEL AAADATGSSAAIAGEVAGEMAGLDVLARCIEDREDNTTRFFVLRRRPCSSRSDDGVEQ EVQLPEGLPWTTDRVESLLESPTSRSPRSLSPRPSSSVSGSSGGRNDDTDKIDAYKGL YKSMVSFTVPHHTPGALADVLGCFKRRGLNLTSINSLPSLIAPFQYLFFIEFEGNRWD DPEGRVSGLVGDVEWVEGVAERWRWLGSWLNQRRR NEUTE1DRAFT_122411 MDPNANEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKDQ ISRAAKMLAEEYGTASNIKSRVNRQSVLSAITSTQQRLKLYNKVPPNGLVVYCGEILT SEGKERKVNIDFEPFKPINTSLYLCDNKFHTEALAELLDSDQKFGFIIMDGNGALFGT LSGNTRDIVHKFSVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQCFITNDR PNVAGLVLAGSADFKNDLNASDLFDPRLQTKVVKVVDVSYGGENGFNQAIELSSETLG NVKFIQEKKLIGKYFEEISQDTGRVCYGIEDTLKALELGAVETLIVFENLEVNRWVLK DSNGSEVILHTTKAQEQASRDAFMDKETGQEMEVVSQESFLEWIAEHYKDFGATLEFV SDRSTEGNQFVKGFGGIGGILRYKVNFEQLADVSDDDEYYDD NEUTE1DRAFT_122412 MNSDIPIQIAETIQTAHIKRDPSPSHDINPSTAASKREPASLRT QTSHSQHHDSLIPDDGIDEDDDEEDYAQSETETDEASEYNVVKSRPRRNIHHLPPIPD LRYEQSYLHSHDAPSSRSPLQYPSLRLAVLEPKRPDSRLLDRSPGAALVNFGGGGGYG GREGRKVVEAFSQNFQRELGGGYA NEUTE1DRAFT_146566 MADDDSSDLSSISSLSAPPTDDEADIQVERHQGILKFFHKVDKN PALNPPRETTPPRRKREPSPPHEYVLADNPDIAFIVMFRSRFTEAFPKSLANFGPQEL ERDVVETIPGERVENFLCALLGLLLNRKQDVKAGHYGRALEEAIQSHKSQWAKEWEGQ NPLAGGATFTSMTPVQRLALLRTLIHWSLASSEVVKTMINKNYRTRHEDDLNVGVSVQ PWGTDGDKRRYYLIEGNDDTHFRVYRESNPAGHTRTWWSVAGNIEELKLLAEKLEKND GGPKARALAKRITNSIPRFEATEEKRRRREYRIMRKEQFKRPEPGLSLYEGRTRGKRM KYTFSDDEDDFYTDSTATRRSARNTRNHTPAEPAGPITTASGRQVRAPTRFETTASNP ASAQGDDDVEMTGGVADAKNGDQVELTVGPTGRPRRSAAVHHSMNGWSSAATTTSKKK RSKDDEYDSEDEDEASDEPDFGDDEEDEHVPAADDEEEDEEDEEEYEEDADMADEDDL DDGGDAKDDDDHDNSLVFKFPIRVGFDENAKVTKIDGPTPSPTITHKHPRTAHRNIVV SEQAIPAQSRGQSVGDGKENDVPMVDADDEPAAKEEIVAIPLKKATAEPLVEKGGGGI KRPLSPPPGLGPPGGQQGLPIRSPEKPQPQQLVGL NEUTE1DRAFT_146567 MGRVIRNQRKGRGSIFTANTRLNKAPAKFRSLDYAERHGYIRGV VKEIIHDPGRGAPLARVVFNSPYKFKKQRETFIANEGMYTGQFIYAGKNAALTVGNVL PLGSVPEGTVVSNVEEKVGDRGAIGRTSGNYVTVVGHNPEEGKTRIKLPSGAKKVVSS SARGMIGIVAGGGRTDKPLLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAQGQKAGLIAARRTGLLRGTQKTKE NEUTE1DRAFT_63665 MLLIHPITRPQRFITGKFESFIGVSFAVGARRHGQPSAAGATRS FYSTAPVNPFPAANPSTSNLVRGCDPAVGCFSLSASNLIPVNNSFHTTTPSRFSIRSN SFSKMAATKDYRLLCLENPLLDIQAFGDEALLEKYGLKANDAILAEEKHQGLFEDLLQ NYDAKLIAGGAAQNTARGAQYLLPPNSVVYLGGVGDDKYAAILHDAVKQAGLRVEYRV DPKISTGRCGVVITGHNRSMCTELGAANHYDLEHLKKPEVWSLVENAEVYYVGGYHFT VCPPAIMELAKQAASGNKPFILSLSAPFICQFFKEPLDASAPYWDYVIGNEGEAAAYA ESHGLNTTDVKEIAKALANLPKENTQRKRVAIITQGTEPTIVAIQGEDEVKEYPVHSI DPAKINDTNGAGDAFAGGFAAGVVEGKSIEESIHMGQWLAKLSIQELGPSYPFPKQAY PGHN NEUTE1DRAFT_117067 MVGRASRLQICVKEPICLVCSGVHSIVGTRRRHDEDLRQHVYDD LSDDSQNPILHWGQQMAKPDKNICDSFLPYHAGGFSHSDCR NEUTE1DRAFT_146569 MATTGAIPPAEEAWKAAQKLRAAIHKETQSIQHGGPGSNEEARF QKIEKLMENYRLHCVSIIWTDIRAASELDVEQTLWSTHSMVTNAYRNAIGKIQGPGQA VHKRSIEKLYLAFLKTTQYFYKGYLQRVCARYNMKQLKRIARMADLEDMSVPEEDRVD ATAANLEGLVTASCHRTLVYMGDLARYRTLIRSKDRRFDTALAYYSMANDLVPESGFA HHQSGHIYATLENHLEVVYHFYRAIACDKPHPNAPANLETEFKTLRKRKAAAAAKGSD DAMISWFVKLHAFYSKGEEFNERKELEAEVDTRLALALTKSNQADVTKVLLKIVLINI TAYVVGKKNVEAGWTTEKSLSCQFILLQNVRVIHTISRILHEEVQDILRRPAAPGDAS TRKADTTSRYTATFQRTLPLLRVYMTWLCSYSKDIVEFQAYLEPLYGNMCKALGRALT VLFELWLSDTKLKSIVPYQFPEDEETIGIKCLNGPDLHDGCQLSYDPTTCKPKPRAED VKDASFTEDDIAFTRIFDVLFCAIDLAERSAFPLMVCKLMESSREVTKFAYAEGGKQE SVAQPEVEQRPACETGLPVPEHIPSPIVEQVPVDAPIANPVTAPVAAPVAAPVTAPIE EVALDSFDEFAEDRDFYRDPKEMQPAVSRASASRPPKAPQAASNSEYSIDAQMYQLLS DFLAPPDTTDHTRKPSSHGPDETSYGIGSVTANELRNAFGSPSPGSGSGHGSASGSAP GSATARGYQQLPWNYFYTPAAADNDVPRSSVGRGTPGPWGGHGSPYLHSKSMSNTAIP SGASITTGPSTVEQQLANQLASLIPSTSAKHRSASPRGVWPELGQTSASHSRQRSNVG GAGSSWNPAVGNPPGLWGDMPNSPFSSMSFSGATSSLPPVNSPWGLPAKSHWDAIPNR NPGVSAAPKSAGLVGRIPPGFGPGHGNSSPSGAYGNGGQFDAVNSFGQSGMATRTAHQ NAFNQSNADTYATSQVEEYNRQALMNAWANEYGRTSAAFAAAPPGFEAGGRPQPPPGF PKR NEUTE1DRAFT_82351 MKRSREPEEDPLPYQQTVTTTSSLYPNQQQLSDTTGTTTSRSTS ADASGSPPAAKITGLDPTESLSQPRMQMKCSLPPHKDTLVFSTYSEYESHYNSIHTNR CLECRKNFPSSHLLGLHIEENHDSFTAVKRDKGEHTYSCFVEGCERKCMTPQKRRMHL VDKHMYPKNFFFAVTLHGIDGRQSMLLDERRPKQKRVHKPSAAETHAGSSEPKVTSKS KPPSEMKEQMEVDQPEPTPTSTNPDADTTDQPHEEAVEEPADVDMGDLVGKMSALQFV PSSVRFGRGRGGKTGFAKR NEUTE1DRAFT_101000 MPAIRPASKRKPPPEGFADIEEDLLIFANKMKDAQNAPTDNIPK HQAKWPIFQISHQRSRYIYELYYEKEAISKQLYEWLLKNGYADAMLIAKWKKNGYEKL CCLRCIQTKETNFNSTCICRVPKAELKEGQDVQCVSCGCRGCATGD NEUTE1DRAFT_122420 MPITPTKYAITTRQSANWSDAKRRVFALYRRWLRSTPEMQSMYS LPLPISVIRTRIRQEFERNRFVNKLPVVDVLLTKGHADYQETMNFWRQTTHMMSYFNE ESFRGAKRLPSSFIDGFLQGRN NEUTE1DRAFT_101003 MEILLLSQIASFMGEVSTYSDDVPLLGRPLSIVDEFQVNRVGWS ARLRCERMEAGDGGGEIQNPISDKDSPNLKTTPAAPLPLSPSR NEUTE1DRAFT_110275 MEAETAAQLWCIFKQCTDWHLQDLQPMIRDNVEHQTLDHWIMGD KDVTQHESYLSLHLGGEIPANTSHRLQAWRQRLQRIQQLPVRKVLRPTATLSYYDGRV CRKEEGASRTHRHPQQTQRNTSAPGRISHISGTHLTLAAQPTSTSTLSATITGNHHRQ PPPPPPPPQQTVPKRQTIQTDTYQNPNLFRDICSESSGWIGLDSQVNPSKQKQLFGIR TVVSASLEAPGTRIRSLQCASSKTEILKDTYKLGKVLRSRKTVDIP NEUTE1DRAFT_82364 MSTAIAMAASPAPHDRPNYGSDVSANTAAPASTSSPSAQRTAAV PPPPPPSSSNPNAPAPRSGSGSPRAVGATPAAHKSSPPSASRSAGGSAPRIIVKKEPG SPDVQQQPPPPPPPPTARHRPSRLDLSKNTSTNNGVPGSARPLTARDTSGLGIKEVGI ACLSPGFVTHDPLMKEQVHRSMSVKEQQKRIIESRLQQQSAGFKAPEIPDRDKDGGHF AAKTPGLARKRGPPPGLSIVAPSHEQFANERVIQSAPLGQSFPGRQNPHPLTRHLMNH SSNLASTSHIHHVPAKQTNNRLPPISDVFGQGLSGHPDSSGHALFASQSHNHGPLASP HHPPPPPPPQPQAPASARTREYMSAEEAQQDLAAGRTELLPKYVHYNSSSSQHQPPTP PSPPNGPAHHHAPPPPTYLPSTHASSSQRGPPSHISNHMTDASRSASNNTPGNNYSSS NMHSSTLPSSSAPKRRSRVEYEEGGTPPLGNGRAPQRRSGPFGEGRDSPETQRAKKEE FIRLCERAWDLFHS NEUTE1DRAFT_146575 MAASLSSASAMASGSAGAEAGASSADASSSINASGTPAASASQA PSAASKASSPQDGATPPVIQRDKDSLNRVLVDRFVTRDMIHAAALKDSQKALNQDMSN RLARVDQYKAMTSRDSRNRVPPAQLYGQGYNGYGNGVTETGGPVKIIYPSQKPRPGKR TTPPLKFSRKDLKKQAEQHEELVPIRIDVDWDKVKLRDTFTFNLHERLIPVEVFASQL VEDMGLKPPMDKHVHEQVVTQMVEQLQDFYPFVHSEEDALDPELPYSAYKNDDMRILI KLNITIREHTLVDQFEWDINNPMNSPEEFAARMADELSLSGEFTTAIAHCIREQTQLF TRSLYSVGHPFDGRPIEDPDLTAAFLPTPIPYTLRPNQQQKDYAPYLYENTEAELERT ETMFSREQRRQKRSVNRRGGPQLPDLKERQRTIRTAIVSSVIPGGVLDIEDSRLFKKT TGPVATTGGPGRGRRIIRDGDLSDSEDSMDSAPDSPAMSVPQGGTARTRGMRGAATAA AQRMANIGRSETPETIVHHHETRMSRRFGREATREETEEPLQQQHIVTLRVHPARLRR IIQGRDSRTKPPAPAPSGPGTPGNQRASSIALPGSMGPPPTTPAAGSQHNLTIKVATP PTSGAGAAAGGDDAIVGAIPALPSPANGEALPPSEIPSQFTPGQGSFVPPISEWLNKR LEAHQKYPNDSYDCIMRHNAVVMAWDGGSGGNVVVKIVPKKKSSRTSAQRLVHVASKN SMSQR NEUTE1DRAFT_117070 MASETTKQEIDYSLNNPDTLTKYKTAAQISEKVLAEVSKLVAAG EKIVDICEKGDKLIEEELAKVYRGKKITKGFSHPTTVSPAAFVTPYTPLTSDEKEAAV EIQAGEPVKIQLGAQIDGFGSIVCDTVVAPAKDQTDDVIEGRNADLMLANYYANELLL RLMVPPGLLATGTDEEKAKAASQKPPSQAKITELLQKVVQAYDCNLVESTTSWLFDRN EIEGKKKIVIAPGDNTKGEGVPEVGEVWGVEMGVSLGSGKVKQFENRTTLHRRTTTTY ALKRPSSRKLLSEVQKKFGTFPFSLRQLEDERDAKSGVIECVRGNVFRAYEVVGDKDN SPVARLLTTVAITKNGLTKLGAAPALDLSKFKTDKKIEDEEILAILAQPLSRNTGSKN KNKKKKAAKKEGEKADEE NEUTE1DRAFT_129898 MVSPSTRSTRSRYSSPRQIPFGSVDSIKGQRSASASGSGSGGSG NASGSGSGGKSKGNGGGGGNSKSSGTEGQKTFMDRWLEPPVQNKPSFADAGLVRHGVV EGMAPLGTMPKAGFFKKATPAPPPPPESKPFKTTRIVIKRPVVPPAPAPAPAATTPAP EEDETEDEEESGNGSIMTGADETGSERMTPAPIFSASTRRPLPVSQTLATSHGLMVDP RTQLMDAVIEKAVEEAVAHHRYPTAYALKTLYEEDRHNSRFRVIAEKVFTQTADAGML EEFARMLHQKKTEAKRKNMGLNYFEGMTAMGQAPPKPQRASYGHLVTLDISAVRHYRD AVEQQRAGSGDVKQEEASHGDAPERVRQPPFVVQQQSLHQHNQQDLQYRQRLQLQQEQ RQPQHYQPTYPEPGPHPKPNPEQGPEPKQPEQPVAGPNPELAPTSEPALEHLPLDPQL LNPPHQQPPPSPQPPSPPQQKQKQQAPQPELVSNPAPRISFTGGKADKEEQEYKDQQS HSDPPLNLSSSHFERDPEEEGEKEQEHLLALKTSATTPVLALASALAPASREPEQEQR PPDHEQEAEGKIREIYVRKKHRSPRKPESARAKMVVNGGNGKAKSVSPKKRGRASSIS SSSSLSSARSLSPPADVYDGDGLGSSFAISISPSRVSPAPIISPAAAVDSAAIGAGNG VEGGNRDAVAPHPITVRRRINVRRNRNVSPALPSPASPTGRQSDSLDPAIGYPYEMPA VVDAPLFPNLNSKKGSKSGVQGVVFPSKVGRIDENDPKYRLRQSAKKITANYNKPFPE SFTRESYPKEEPSVEVEEVLVCAPLTRPMSSAAPETTTRATSVSAEGRSTRASRKRSH VELEEQQSSPTAATFPASDVASTAANSRAGTPALRPAKKPRTGLRVKNSPMKKKTSAA GIPRASGERSSPTVTTGNYAKEDDNDDYCSSCGGNGQLICCDGCTRSFHFSCVDPPLV QGAMPDEWFCNVCRTAHNPPVFPVYSGPFTSLMEKLEAKNSSAFALPLDVREYFEAVR TGQDGEYEEIVPLVKPPAKRKKSDEESGPDFFRLRDDKGDPAICHLCQGGATARTRAI IPCSLCGLFWHLDCLDPPLANPPVLRTWKCPCHVDDLLAKVPGQLAPAHKFRKIKGAS VIRPAYGRAYINNGYIEVEPEASDDESGWKNVETYGKVVRLPAKGIKLDFLSRVRENR KGKPIPPLNLSQTTGAAASVGSSLLNKRSLEEQQAVYNLAALSGQGTSGVNTLVDTLL AQADPSVISLMASGNSDHLANGKLNHMDQQSLRAMLAQMEKMTSQIRSMLEPVTPMAA SQDQLVSSKVPSLTNSSQSITDGESEKTVRDVEGTPTVKKELPSSPAATDDVANTKQT KEPAPPRRKKRKSNGRKSADSDAAVLHNGDIDVDA NEUTE1DRAFT_63690 MAPRRSAPEEEEELEQEQEQEQGLVALEFNEPLTWRPGKPISID TLLKRLDALSKELSEMDQETVDTNSLVKVAKDVASHQLIQHKDKGDIFNLSINSIIPA LFDPSNPYNNQHKYVLRSFAEIKSIVLLLDVEGSEALLLKLFTTIFDGVSGVKSSKGE QVGKDVEFSMQEMLGVLIDDSVTLPGKVVDVIMAQFLRAAAPGLGKERQDHVPIDDSQ ATLLLKEEPEAYQMVRNLCQTYDDKMARFASQYFSDVIVDATGFAGRSNGNRDEDDEN DEDDGPTGPSESDLKELRKAHVLIREIWKASPMILQNVVPQVDAELSADNVHLRQMAT ETLGDMISGIGAAGPPPLPVLDPAAYPPLSLEEEEERAEPQVTNILTTPLCSISFSQT HSTTFHNFLSRKNDKAPSIRAAWTTAVGHILSTSAGGIGLSREDEATLIRGLGEKLSD SDEKVRLAAVKAVETFKFQDIIAKLGPNGGVGKDGSVLNTLADRCRDRKPAVRVAAMS LLAKLWAVGTGEMLAGNEAVTAALSGIPSRIYNAFYANDLELNVLMDRVIYEFLVPLG YPPAKKATKNSNANGNSQSQSANAASIDHDAIRAERILLLVRSLDEPAKKAFFAMQSR RPQFAKIMETYLDQCERYNGGVMESNADKITSNLNKTADYIAQFLPEHVKSKTDLLKF AKIHDRRNYNLIKYVIGQENDFKTVYKALKELIKRCMASKDPSVIDTLLPLLYRSGCL LFNRSHLSTIMEYSKSDKDGLGSVAHEILNEISQRNPDLFKTHIGQLCKDLVDQAPTA TKPNDPIVAETLKACSTYARKFPKDVAMDRKFVQTMINYALYGQPVKASKHAVNIILC KKDDKSMVTATDLLQRILKDWSYGSSNFLNKLTAVSQLELLAPKVTEEANDEILNMAF KQILLQVRTDAKDSDPDWVNDAEMDEEIQAKCLSLKTLVNRVRSIEDIEDAKEKASNV WKVLRKIIKEKGEIVENKETPKHHKTRLRLLAAQLMLKLCTQKHFDDMLTPSDFNLLA LTTQDMVEEVRHGFVRKLQKYLADGKLRSRYYTIIFLTAFEPNIEFKNRVETWIRSRA RHFQNLKQPVLEAIMARLISLLAHHPDYSNELDYLIDHARYILNYIVLVGTESNLGLI YKYAERVKQTQDGLNPNSDAHRVLSDLAQSVIRKWQEKKVWAFNAFPGKVGLPVGLYT ALQSHSEAQTIAEKSYLPEGLDEKLDDLLRAMDRKKKRKSMSAAAGTNNRDGHKSKKV KQSSGDRDGDREAKSSRKIATAKSKKTPAKKRSDRDDWSPGPAVPESERRQSSRRANK NSRPYTERDSEDDDEEMLEGVAEWEYLDEDEDEDQDEDEDREEGIDEEGSKEVTPPKR TAAKASAPVRSSQKEEKESQEDEERDEPEKEQEVGPKQDEPPAAATKKASAAAKGKKA ASLPVRNATRKSGRGNTATVPAKNGDDSDLSELSDVEMVDEPEAEAEEPAEAEEDEEE EEGEKAPPGRAAANGRKAKTSAPAPARIRAAAAATTKGKAKAAAAPVRATRSSRSTRG KQPAEEEEEDEGAMNDE NEUTE1DRAFT_42993 MSDNSVIGCIPMRNGNEEPCVIMNTEEPCVVMRTEKKGRKVNMM LMAAAISALSATGANAQMGYGSAGAVAASSSSASACIPITITVTPSVSAAADQTTDEV DGVQGSASTSEPMTYITKTAVATLTHTTTFVKATQPVGNLTTTATPYNGTATKTAIAM TGTGTGVSSWATGPSYSTANGTYASPTATADHPQHAGAATSSSVSYTHLAVALIASAA LGAVFGA NEUTE1DRAFT_24301 FATPLPQSARSDILEWPLPKPFSHYVLTGKSRAAWHTSFVIPQL NLLLDAGLVINKSRPKHIFITHGHNDHALSSPVFIKREDPPDFHCPVGMKQVLEDYLR ANTMLNLGGLIAPRPGKELPLEVRDPETGEVVRSEIRPTHVTYGLEAGDVVPLRRTKN ISAVAFACDHTVPCLGYLFQQTTHKLKPEFAKLPPKELKAIRASGQELTAPVTSPIFA FLGDTTTKTLEEEPMRGWLNEKLPVVITECSFLYEEHRAQAEKTKHTIWADLEKVIRK YPETMFVVMHFSLRYSVGQVRRFFKERAEGLDNVVVWVDGEGED NEUTE1DRAFT_82373 MAEDSFIGLPMLVTMRNPPARLKGTVSEVQAGHGLTLTNVWNVD NNRWEPRVSILSSNIVDIVYLREEPSPQTAVPPPVAPPVAQPPPPTKPAAFVDPAILS VGKRPGSLPGHASDTSRHQPNTSEKRDPTPAVIPSNNVVPIPSGTATPTLPVVDRLRR LELDAQTSTQESADEDLAHDASSQQATAAAQKKKNRRPRRTGNNSRSGLQEDSEASPQ VSRATTKGKGWRQTPILQSTSSFQPFNSLKKMRGANDNGWASEDVTDVQEAGDFDFES GLAKFNKQDLFEQMRKDDLIDEADRLVSHNRVPKHKPGTAGGKNLHHSENVLDMPSTI LKPKPIVKETSNDFWNSEADDGVINGADRLSGRDQASRQGSRRGDNKVASNRRSNSRK ASGVPAAHPLSRVNSSGVSHYRTLSAKGSRPSSRLSARAAIVDKKKQPATTVAASPQG LYVLPSNRKIEPVSALQMLNLENIAHNEIGLTEEMMTENAGRGIAEVTLTALSDPAIK VRHSSIVDPTSGNPPPPTVVVLAGNNKSGIRAVAAARHLRNKNISVIVCVVGLERGER DLLEDMRQQVRLYKNMGGRIFSKSDFFEHLRKISIPMLTIDTPRSSLSSLANPAPIML IIDALLGLAISFDELRNGDQATVYELIEWANRNEAFALAVDIPTGIDPSTGKVSVVDG NKLYVKPRYVVAVGAPKRGLLEALMAAENDDGDTVLAGGDTSLPDDAVLEWKLYLVDL GLGPAMWKKAGTKMRRGIDFEDRWVVEMKYRGLVEEEEEELV NEUTE1DRAFT_129901 MFGRAVQAKAAEANNNNNKSKPGASSITKNASLKQQLFPSSSPA RAPSVGSQSRTSSVADMFKKPGFGGTSSGAISASSRPSATTDPLTTRPANSAPRPPPN YGSSGGGRAFASLYNASDSFNDTPDVIDLSMQSTRRPQHDLTSSVYIEDDDFSDDENL DLDFEAPSALPVLPTGRISLEQHRELQKQQEEEVKESRFREPRPGTHTSVLSWSESSP SHLQPPKPELNPPPNTNRKRDRLQDDDFDFEPPAAVKKPKRELPWTMEPESKEEEWKH AEKYSQEKSGYAPTPESKPKGSMLWNTTASAVKEQKKQLKDRQKSSSVAPKTEIPMDE IKKVVSSHLNKASAITLSGEQLHVKSLVSITKFAYKTPSVAQAITKQQQQRREVIDIE DEEERMAGYG NEUTE1DRAFT_42117 ELQPSSPRAVDSSTQLVGPRCGTVLAHRPMVEILPFPRLAVATM PPGSAILSLILRSFHGDRDWSLCGTWDGR NEUTE1DRAFT_63697 MAWDKLSITRPHLVYIILGGFTSLFMLCSSIIKERMYIGEATVA TLCGLIFGPHAAGLIDPIEWGNLDIVTIEFSRLVLVVQCFAVGVELPKFYMEKHWRSV TFLLIPVMLWGWLITSLFVWWLIPPLSWLDSLVVAACVTATDPVLASSVVGKGKFAKR VPKHLRDLLSAESGCNDGMAFPFVYLSLYLILDHREARDVSFHWIVFTILYECVFGAI FGFMVGYIARHGIKYAERHELIDRESFLVFYFVLALFCAGSGSILGVDDLLVGFAAGV GFSNDGWFTNKTEESHVSNVIDLLINLAYFVYLGTIIPWEQFNNFDIGLGAWRLVVLA IMVILFRRIPIMMALKPLIPDIKTWREALFAGHFGPIGVGAIFVAILARAELEHEDPV PLADISNINPNNPHFHLIQLVWPIVTFLVVSSIIVHGSSVAVFTLGKHINTLTITMSY TQANEDGPSWMNRLPRITSVSRSQARNMSDTEDDMKMPEFPPGTLPPTGLPGTFLRRQ KEEDKGTGRHAGSRSSSANGRRRRKRWDDGIGPGGPISQSAIFPQRRSQSEQAIASPH DEMSLEPSDTARLSPSDALTTRRRSVRKSEKERSSDETASPYEPSPTSEQRDQELEYY DEGDHVIVEDREGNVLAVESPATGEGENPVEALKEKLEAEAGPSGWSYDALKKKIQNW RDEEAAKRKEKEKSTRRSEPARAYQFGTTIIVENEDGEVLKKYELPSEKPENDFVSQS LKYMGIKAGDKAQVAGGAAGGEGSATRPSKPTKRPTWASAVVGGGDASKKKNAVEEQE EDDRHIRFTIGGVGQRMNKEDFIREMQKLDKSTRKEIVDQSNASSAVKSLAKADLTPK QLQQSQQQQPQPVQRRGSPAGSVKSSHSKDSLTRQGRPEKSEMMSGGSNAERSESPSM SRSRSRSRSRSRSRSPSPLTAEGGSAAPSRDVPETAAERRRRLAVLQSVGDDREGEQG ETPAERRRREAALGMFPGAAEDEDSEDDDTPRIPPPRRGIRFAEPTRRET NEUTE1DRAFT_117075 MVQLTEVEDEHFQQPQVGPEEDDEDFTDTDSEISVDSDYESQET FTDRLYALRDMVSPTTRGWFYHKYSTTTNFVKSTLSFAGRAAWAVSVSGLLIGVPFAI AFAEDQNYAAMEQEARMRELGSDVLTAGGEGQAGTAEKTLAAIGGEGARPAL NEUTE1DRAFT_117076 MAVVKEFMKDRLGKGPSSDELPAGLASPRSPSRQSTVQPAQSFK SSRRDSAGSKASSIEHGSPYHAEDEGRLGSSHSNADSTLSPSSGKKDGSRASRFMRRL SNIVPNRKNGAPNISPTLVEEDVPEVQPAGKNPMSPPEVRTVIPQQHRTPPQPSRQAQ TSAPQPQVQEPPQPASQAQPPTIVCFMGDVNVQFPDTLLWKRRTACLDSHGFLILSPA QNLSASLQAQAVKRFHMSEFKMPYEPEMEMQELPNSVVLDFKEGSGLQLACGDRTGQL TVLKTLQDAHKSHISFGQ NEUTE1DRAFT_122431 MFGGGSSSAASKSVSGSASDSGSSGKDRSSSKSPSPEKEAPGNG GASPKSIKSTDQSTAGDTQTGNGSPPSAGSSSDSKKKRSSGVSGRASSLIAQAKNTLF TQVANRNSGDMSTDSKNSNQTSLQKLGKQDQALAVPQGQHNNAAGESLPGPRSTFKVG VWEDRNKKCRRTMEDTHAFLYNFLHTPAPVLGAEGSAQKQSKSANESHEKRSSSSASQ NADMIETDNGYFAIFDGHAGTFAADWCGKKLHIILEDIIKKNPNAPIPELLDQTFTTV DTELEALPLKNSGCTAAVAVLRWEDRVPSNQSATGSQAIAPAVVKATEDALKVEDGQS EKSLNSSIPEATHAKLKNSATRQRVLYTANVGDARIVLCRSGKALRLSYDHKGSDENE GKRIANAGGLILNNRVNGVLAVTRALGDTYIKDLVTGHPYTTETVIQPDWDEFMIIAC DGLWDVCSDQEAVDLVRDIQEPVIAAKKLVDHALSRFSTDNLSCMIVRFDKQALLENQ NNKDSAIGVEGDATTAAGTVTESEKIVTATKQKIAEGSTPAVGISASNFGRGHDPTPL EDGEKKPFVPTTIEGSVEEEPVVPSDSPEATSDGSVTAMKLDSVPEAKKSLDA NEUTE1DRAFT_122432 MNVLEWAFGKRMTPAERLRKNQRLLDKAIRELDQQRVKLEKQEK TLVSQIRQSAQKGQMGACKIQAKDLVRTRRYIEKFYSMRSQLQKISLRLQTYRTNEQM MQAMKGATMALGSMNRTMNLPSLQRIAMEFERENDIMEQRQEMMDDAIDDAMDMGLEE EGDEVVEQVLEEIGVDLSQAMGETPSGLQVAAVPENKVAQAVGGGGGGGGADPGDDDL QARLDSLRR NEUTE1DRAFT_82395 MSQDYYPSKGKAPQQHEDGEPREFDDGAGHHPQVPGAPTTPAYM TVGTGSTSQHAARLQAMLDNDSGYGGSIAGDSRAPSHWDSAVHHDSPLPTPTTATHDD DANRRLQAGAVHQLWYNQHRVTLGRSINTVVELLKKLQEMNVTWPAHYPSVQRAVLDE PNNYGPPGLHRSSTMGADFPPPPSPHSLRRSMTTGDDHAEPESSRAAERRNTSSEPRL VSPQIAQEFSVLKLDLNLGSLHQADLVHSLQKESVASLLDGKIRSSIKHLYSLRERIE DTSSKVLVTGDLNAGKSTFCNALLRRKVLPEDQQPCTSIFCEVLDARENGGIEEVHAV HRDAIYDRHDEATYDVYSLKELERIVTDNETYQQCKIYIRDARTIDESLLNNGVVDIA LIDAPGLNMDTTKTTAIFARQEEIDVVVFVVSATNHFTQTATEFIRAAAAEKAYLFIV VNGFDTIRDKERCQKLILNQVRGLSPATHKEADELVHFVSSTAIPMAPSPPGGSYGGG SGSASGGGGGDDGDDDDPKGKGKKKEMARDFSNLEQSLRRFVLEKRARSKLAPAKTYL TNILNDVHVLATVNQEVAQSEFDRMNQELQKLEPELERSKQAKQEINEKVDQTIEETC QEIYESSRNTISSSIEHAGDGNFGIPYPGLFSAFEYAEELKEAMLSQIAASVTQCEEH ARARTVDGVNMIKQLGIMHLGNEYTDLAFRPDVMFRRKKDALARQVDIPTELWDFVDW STVLQKEEKAGMALTVAGVVGTSVLSGYSQMNLALRAAQILGTDNVRRLIIPGLIAAA VAATYYVLNQIPHSLPHRLKEKISTQLAAIDYVHSNSIRISGSVRKVLRFPADSLRVG LQRSVEKLDGRRNETLRVKAESDVALKYFANLVRESAHQRRVVEAVDLDGHPPGLAGI GGFH NEUTE1DRAFT_82398 MASQPSFSTKIKLAAAHSAPVYMSKSATLAKAINLIHAAARDGV SMLVFPETYVPGYPYFIECYPPLKQVAALAKYAEESVVVDEDLDGVVEACRTTGVSVV LGVSERMKGGYTCFNSQVFVAGGRGGGIKGTHRKLQPTYVERIIWAQGDGSTLKTWKG MLGPEADGKEKSWNVGGLACWEHTMLLARQALITQQEHVHAAAWPALSTMAGFEESAD SQIEALMKCHALTAQCFVVAASNYVDETCLEWMKENIGEQGFVKRGGGWSAVIHPFCN VLAGPVTGEKEELVTAEVDLKDLGMVKIWVDADGHYSRPEILPFVWDKEAYWEAGKKK HELRVSGVKGGEKAEKA NEUTE1DRAFT_122435 MHVAVLESHEMERGIQEPPGNETDHYCFQGQMLGAVDTDRLTAA YKTLTHPDAVWQALAEEPAALVGIPTAYPRRRSKVPALRTNCTIDRYGEHSDAGWLRT SARVRNSPASVPFPTDGEQNQHQESSSSRTLAMTVDAVDYKALPKIELHAHLSGSISR QCLHEVWLKKKENGETDLQDPLIEMPLGKHDYDLKTFFPLFSSYIYHLVSDVWALRYT TLSVLSDFASDGVVYLELRTTPRAMPHAGLTKAQYVSTILSAIAEFESTTTSALKTKL ILSVDRRNTLPEAYEVLALCRQFSGQGGVVGIDLCGDPAKGPIDIFTPVFEEAGRTIP GLGITLHFAEAEASGTEEELLTLLSWKPDRIGHVIHLNERIREKVKRRGGMGLELCLS CNVHAGMVCGGFESHHFGEWWKVEETVVVLSTDDVGVFGSPLSNEYALVAKHFGLTRA DICSLVRRGIDVLFGGDEEKERLRALMWSA NEUTE1DRAFT_101021 MANFGAFISTRPVAGGGAGSCPQKGCVLCQIGQVARNTSLHRRT VRHFTICVISELQEMTARRRHCYRCTRAILTVENLKWKLAKTGLMISTTQCLVTDMAF TNFMPVSWKIRVRCFYAQVWPPADIPELLLCSTVKAKRSSDSNQGISG NEUTE1DRAFT_117079 MQQVGVGCWSLDVVNGAKQWCWYGAPVEEELLAPGVGRCGGGPI QTARQARQVPILL NEUTE1DRAFT_117080 MDSRSSDVSPEAMQARIQQARREAENLKDRIRLKKEGLADTSLY EVAQQAHEPLPKNNMMKTKKTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTT NKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNICSIYNLNSNRDGPTRVYRELSGHAG YLSCCRFINDRSILTSSGDMTCMKWDIETGTKVVEFADHLGDVMSISLNPTNQNTFVS GACDSFAKLWDIRAGKAVQTFAGHESDINAIQFFPDGHSFVTGSDDATCRLFDIRADR ELNCYRSESILCGITSVATSVSGRLLFAGYDDFECKVWDLTRAEKVGSLVGHENRVSC LGVSNDGISLCTGSWDSLLKVWAY NEUTE1DRAFT_122438 MSDQTPAQEEFASFLAKNSSTKYSSHPEDRDDYHDSKAFHSDGQ ADSEDEDEEDRFRNAQIEAAMRMPTMDSRTEIRLPPAGFDAGAATGVKGVIADARAYE NAKRSAKWKNRVRSARRSVFGGGGDASPEQQKSGSRKYSDGAGSSGLDSDFGSDAGLD SMDEDEKEFLEKWRESRRRELEKSSMNPASRQRRTSPSSRQFGRLDNVDAIGYLDAIE KVGPETAVVVFVYDHECPVSAAIEIALRPIVHSHPAIHFVKVHYAEMEFDNAAVPSIL AYRNQGDLFANLTGLIEMIPDDEDFDSDTLKRLFERHGILRTQRGEPAGW NEUTE1DRAFT_63723 MSDNGDLADSAYEMIGNHSEFSSQDSPLSSPDDALSESISSLPP HPSDDVRSLDGSEDRFTTESDEEREEEDEPTDTDGAEELSSSRASSIKYTDQALQSPS TSVPANPLHYGSVASIPDTSKSIEFQEAASDAVDSSPVFVRHAIKEYTDDEAKALSKS LDTHEPPRALSVSLRQTMSQACLSTEEPLRVLYTGSAAAQRDILLKVSSAIWVSPKDG HKEDGQFMRHREGVYNIVPISSFGSAPELDLMEASSYQIKVEHCTSATATPLRNGPEH GPSTVYSITIEHERTYASCPSRFGPAVLDPQWDVPHLAIFYCSENEDEEDQKTREAAW GFTKRHGIPSIFISEHLRIDKQAVAYWSKYLDKHAIHISLESSDPTKTMVPRLLPVDL ESFGNINAWQMNRNIAYLTGLSDTKGPGKQHESATKATKWWQSLTPSRMSRDQVIEVI GWKRWLFTLFLPLFGALLAQLFSTYSGLLPAQHLQSSRASQAPAVIETTSVLPSTVSV KITHTSTATVVVNITSTKTIQATPSTKPSASSLASALSYAGLLLDRPSNTPVDSQPQK TVCSVRVNSPNELLVVIPSSSKAMWLAKGAIDIDVYQGEERLKTKLSSVDEGILVELP VKQAQGRFNVSVISNRRPKMNETFEVDFGKDLIIEAFEASRLLLQDFTSVLSAAKKEV MHIGSSRVAETRNAAKNTYKEMAKKVRDTVSTGDIARFWKKMDQGVHQLEVSVVKAQI KSKLVWLKLRGTREEYNDYLANATRFLQEFEATPQEKKEESHEEAGSDGLYGFLGRLR AGLKDKKDQSPQGRNKGADMLWRLMA NEUTE1DRAFT_117084 MSDTENKPVEEVKPDAPAAGEETKTEEKPAAFSSSSVFSMFGGG AKKEKKEDEERGDTSGSAKAQREAAAAAAAEKGEEDEAPESEDVHFEPVIHLTEKVET KTNEESEEQVFKMRAKLFKFVKEASEWKERGTGDVRLLKHHENGKTRLVMRRDKTLKV CANHYIVPEMKLSPNVGSDRSWVWNAAADVSEGEPEAVTLAIRFANSDNANAFKDAFI KAQKDNEALFKAAEEAAEKKEAEEDSEDEKAE NEUTE1DRAFT_82414 MIVERKIKVVTEQHNINKPAAQEGYPMKEWTVELYILDQDGKER PARCFTKVTYNLHPSFANPIQTFNDPPFKCTNEGWGEFEMIIDMYTTEKGGKISIAHD LNFQQSEYENIHTVTFRNPSQALQQLLRETGPLPSDEERKQKKAVDGGSKKKRPYDIE RMADGLTKLGEDDLLQVIQMIHDHKDDNTYIQNNLDAGEFSVDLYTLPDNLMKMLWEF LVKGNVVS NEUTE1DRAFT_146594 MAQYAASAAQQAAAGHQSLSPLSPSASVSASVGTAPPLTPSGTA PAGTAAAAGPAPSAATAQSGAGSSSASQPQDKPRSQSQSGAGPSAAGGGASSAAGTQH KRVYQACIPCRRRKVRCDLGSVDNPSDPPCVRCRRESKECYFSATRRKRKLDDDMTDI FDDPDHDDYILRNGRKQLRSGESPVMAAPLDRRLYSDVPLTPGGSRGRAQPLRRPGDG GPNSTTSTGGARSTTGGEFGAGEANTPLENLEARTVMRREVYGPHDALDLLYKAATDN PMHRQDGESTAAGGSSRTNHHAGRPGLNSRHSSHHNDRPGYHSRHASRQEAPTESIDP ELTKRDISQEPGYNEALKAWARFRFVRAGWFTAQEAIDYIEYYYAYLSPLTPISPPTF RNPASHLTLLTEEPILTVTLLTIASRYRRMPGTGGHCRSHAIHEQLWTYLRGMIERVV WGQEAFGGGFCGSGADESQSSSTAPWRGLRRGSLRTFGTIESLMILTEWHPRALHFPP SEAIDELLLPSYEHNSADDDNNQKPSAGIGGRRIESWLEPAWRSDRMCWMLLSTALGL AYELGVFDDIDELLATGSITRPEYEDESYRLRAHRIKRLLLIYLSQLAGRLNWTNMVP ENMRNDPIMSRRRPHSGEGTTPGTNPSSTSNGFVYIPDLELDDQIILCWAGVSNVMHR GNEKLFRSRNYTTDIIQSGKYIQLLKEFQPLLRNWWNDFNRFVLPPYIRHILTIEYEY VRIYVNSLALQAVVERCTNNPNVGGGGSANGGSVSGHSAPQLSPQTQNYLGKLPLSQL GGFGAEDEEYVKEVVNGSRNLLRTVVDGLLPKGYLKHAPVRTYFRIISGAMFLLKTFA LGAPKSDVELSISLMDQTVDALRHCVVDDVHLGIRFADMLETLTSRLRNRFIHAPPPP VATTDGRSPNPNHQADTTNGNGPMPHGAPNLSSGDVWSKLSANNLERANPTNNISATP WDVAAGDFPYPTGSASMFGPSTPAATSNLPDTNGNSNGNGGGGGGGGGGLTDSMFENT DWANPSNEMWYLPTGPAFFQNIDNTSVSMTAEGVNVGGVDLLDFMAMDPMDGASFVLD GGRYP NEUTE1DRAFT_110299 MTVTNELWRHPDPQSTQMWKFLEHVNSKYGLQLNDYPSLYKWSV DNVTAFWEEAWHFTGIRHSKLFDEVLPENAPMFPRPDFFAGARLNFAENLLFPGNAQV NGSDVAVITATENDEHLTETTWDELREQVRQCSNALRAAGVKESSVVAGFVANHVQAL VALLSAASLGAIWTGISPDNGVSAVLDRLVQIKPKVLFSDNATLYNGKEWSGKAKTLE VVEALQKHGLELVVVVKGLQNFETGLDEIRAKGVKAEEYDEFLHSSPKEEPLVFAQLP PSHPLYVLYSSGTTGLPKAIVHTAAGTLLQHKKELLIHSNLSPRSRMLYYTTTSWMMH HWSVSSLSCGASLVLYSGSPFRPHGYLSLPRLLSSLKVTHFGTSAAYLTTLEANNVRP IDPVHNLDLSSLEAIYSTAAPLPPSTFAFVYTAFPSTINLASITGGTDIISLFGAPCP LLPVRAGEVQCAGLGMAISVIDSASDASDPRPVDPVGSEGDLVCLKPFPCQPLTFFGP GGDDKYRAAYFERFGTTMWHHGDFVRMNPSTGALVMLGRSDGVLKPAGVRFGSAEIYN VLTRFFASEVEDAVCVGRRREFDRDETVCLFVVMVPGKSFSSELRDRIKNVIKRELSP RHVPGVVEECGPAGIPRTGNGKKIEVAVKQILSGLKVKTNASVANPEALEWFRTWAKA AEEQLPK NEUTE1DRAFT_146595 MPRRITNPFSSSSSSSTMPNPDRAAERRPSLSKATRIAQFFSSP KSKDQLSEKQALQAMQQNQGAGTATSILSLPSISLSEDLNSHESTTLFQPPSLEETLK RQRAEAQFGPLNSQSHRYISTHDGSTLEPPVEDEPPYYYVLTTYLSYLILIFFGHARD FFGKRFGDQNHYKALRAQNGYAPLNDDFDNFYVRRLKMRIDDCFARPTTGVPGRYITL LDRKSDDFNRNYQYTGTCTETLNMSSYNYLGFAQSVGPCADAVEECVRKYGLSACSPR ADTGTSDLALEVEREIAKFVGKPAAMVFSMGFVTNAGSFPALVSKGDLIISDELNHAS IRIGARLSGAVIKSFKHNNMEDLEKLLREAIAQGQPRTHRPWKKILVAIEGLYSMEGT MCDLPGILALKKKYKFFLYIDEAHSIGAVGANGRGVCDYFNVDPAEVDILMGTLTKSF GANGGYVAAEKHIIDKLKATNAATLLGESPAPAVLMQILASLKIIEGELVPGQGEERL QRIAFNSRYLRLGLKRLGFIVYGHDDSPIIPVMLYNPGKISAFSHEMLKRKIAVVVVG YPATPLISSRARFCVSAAHNKDDLDRLLAACDEVGDILQIKFSTGVAGGLEPLPAGVT PEMEKEYIKAKGQALIKPPRWKLEDVIARGVEDAKRTLR NEUTE1DRAFT_117086 IVQLFRHESGYCTWDCVLQHRASNLEVLAHQIASLDSSTSSTIF HLAVKRRILPDRQASIEIADSSRSLKHQFNSHSALHPYWHS NEUTE1DRAFT_82422 MAPARQRQRQREDISPDRQLPASSAVFIPKISTAEAKKRTIVID DDSDSTSEAEDYGGAAFEYDDLPVFSSQAVVTVTHNPRAAASAAAGSRDQKEVIVLDE EDEDDDMPLPTQRTRGVKRQMAAVSDEDEDEDEGKREGNVKEKAGVDDEGEEEDSDSD RVLSSAVRKRATRRGGVKESSPTKKRRLIRRGNPSSASSAKEEENSDDYKPRRLGKGR LKVATGTGSSSAATSSTESRPVRAKRRVKKPMTEKERARELLRRKRVGEPIDDLENVE EDEDSEVELPKRGYYDTDSDNPALSEFEDEETDEEEIVKEEEMEKKKGKGKTETKDSK KTRKKDKKGKKRREISPDSEDTEDNDEKRNAGSYEDEEMQDFISEDDSDAPIGAPDEI GIPLEFTRHAHKPLKEHFRDVVEWLVHYKIHPKFPEKTAGLYRLGWRKLDDEVRGLAQ NFSHPRFLEAVPNNNPSPSPDDEGGDPDENDADGNTIPAASRHWKIGSVCHSNAETAH NLLHWQYALLDWVDTRLSEDGHLAAAAAISKKREGESKEKRKKRTTKKKYKLVAKIVS EWEANGTVKALFREFKDMLEAARNKSTAGPRVQKR NEUTE1DRAFT_42678 MKSTTLLAAVLSAFSTTHATLLWDGRFNDFTSASDLNKWSWSNQ VGPYQYYIHGSSPVDKYISLSEAYKNPNDTRSRQGARFTLDNTAYWNGQNMRRIELIP QTKEAINRGKVYYHFSIMRSDKNAPSVYREHQICFFESHFTELKSGWISGESGTSNPN LQWMTNQRSGWKTEWKAGVWHNVAYEIDFSANKVGFWHSEGGEPLKQVVAPVSVSTSS NGADWHLGVLELPRNGYGDTTEDFYFSGIYIETGPITTAIGGP NEUTE1DRAFT_146597 MPAVIADFIAKQPRADTVTGARATWVPHLRMTSSLAEHPDRARP AGNEGHQQQSEQPKSRREEPASRLSTGRRDGASEDTNQQFLVGEQSPLLSPVDNQDGS SSDQGADSADEFQTTKSVWYMILLTISIGGLQIAWSVEMSNGSPYLLSLGISKSLMAL VWIAGPLSGTLVQPYVGMMSDNCRIRWGKRKPFMLGGAAATILSLMFLAWTREIVTGI LGLFGADPQSESVKLCIICTAVLWIYILDFAINTVQAAIRAFIVDCAPTHQQEMANAM ASRFVGIGNICGYLAGYANLAPVFWWLGDSQFKELCGIASLALGTTVLMTCLFIKERD PRLEGPPAKDKPGVVAFFKKIFTSIKRLPPQTKKVCQVQFCAWIGFFPMLFYTSSYIG EIYAEPYLEENPNMTDKELDELYERATQVGTFALLIFAITSLATNIFLPFFIAPTYDQ SMVTAVAPGEAPAVVIKDYEPEQRSWTRHLIIPGFTLRRAWMFSQILFTGCMLCTVFV RTVTAATVLIGLVGITWALTLWAPWAIISAEISQRDEERRSQQQRLSPSRLDTLDGYS SDGNQDSDLGKDDEEAADQAGVILGIHNMAIAAPQIIATVASSIIFRLFQKPRGTPGD HSIAIVLALGGITVLISALFIHRIRDEPTVTYDEISAVEEGDAAPRPNVHSRSRSFDQ PPRASLERATLVRNKSFGGMEY NEUTE1DRAFT_101034 MVASPKAKEAMPAPASRPAAAGGPPGEDAADDTDDTASTIGSLP SSTASLSQSIFEYRKIFGRTYHSDVGNAEAWQPNDARHAEAMDIFHHLNTLILSGKLY TAPLPKKIQKAVDIGTGTGIWAFDFADEFPEAEVIGTDVSPIQPTWVPPNLKFEIDDL NLEWTWPDNTFEYIHSRSLIGVVEDWEGFYRQAFRCCKPGGYVEDVSNSVMAFSLDGI VKEGSALDQWGKVFFEGGNKFGRTFKIVEDDIHRKCMEKAGFVDIVIKDIQVPVGAWP KDKTQQELGIWAKMALESDLEGYVNYIWGVVLGWTPEEISTYCAAFRRELKNTRIHGM YTTRVAYARKPE NEUTE1DRAFT_82426 MLSEVIAGSLAELAKRDEEDPGAENPTKQEMYSAMAIFIFILLL MTAFFTSYIMQERKIQAVHETVVSIFAGMVVGLIIRISDSDNIQSLLSFSPQIFFNLL LPPIILSSGYELHQANFFRNIGTILTFAFAGTAISAVVIGVLLWLYTRIPLEGLEVTF REAIQVGASLSATDPVTILAIFNSFKVDPQLYTVIFGESILNDAIAIVIFESAQHAEG NGQRIGVVSIIHGIWYFLKEFFGSLAIGSVVGILAAVLLKFTYLRRYPKMESCMIVLI AYSTYFFSQAIQMSGIVSLLFCGITLKHYAYFNMSRRTQLTTKFFFQVLAQLSENFIF IYLGLSLFTEKNLVYQPLLIIITVLSVCAARWLAVFPLSRLINWFIRYRARRHGREAA DVLPYNYQAMLFWAGLRGAVGVALAAAFTGKSRFALQATVLVVVVLTVIIFGGTTARM LEILEIKTGVVEEIDSDDEFDIEAIHGGTWHKRSGTGIGFSAPRRGSTVPLGNLDSER NGSPGSRSGWASGHRSPNPMPRRQSSRLDVKNGNDHERVDLLGVSGNNTDSEIGSDID TSDLPPPAPRRRPSPIPGGGDADLEAGPGERGSGWNRSTSNNEGGQGLSATAAIRQLF SSEDPTALFRQFDENYIKPKLLLDGGTGRGGHGGPSGSG NEUTE1DRAFT_82429 MLDENFPTFRSRPSPDNPLSSILFFTQNGADPSPEYILRRADPA LPSSRNKYAAALCGPLNADVVYAEVLVTPEWSQPSISGAEMRAQALNGAPPAPATALA PETFAIQLYNPDSTVAIKMVPGTWNKTDSWEFELPVQTFKQPSASELDRENGGLPPPD LMPRVMFRWKKDSKLSKDMTCYMCGTSLGGRRNKEPDITIAMFKTTTRRDGESVITVY QPNLHRVEVEDRKGLELILLLGAEVIKDLYLSPKADVFNVGGGGSPVGVAGRRKNSKP TSTPPGMPIMSGAVATNGGPAGAGGQFVATNAIRHPDTIPVTASSATNLSSSKANIDA ETQRLKAMVEHEEQEREKREREEQQRIKRMLEEEEQREHQRREAEVAAETERLRREYG MEGQELPSIVHSPPAVQNGGTFSSPTLPPRQTFQNTQPPPHLFQQPSQGQLSPTTNWG ATPSLPPRPLSVGPPGAHHVSGSSSNSRPGPFHCDKLNKVWNGVVVPALDRGSGTPGP GSSGRRRSSGAPYLSADQSNGSGRYGYSQSSAGLTGGNGSVGASDRDGERDRERSRKI AKKKSALW NEUTE1DRAFT_63748 MNFDSGTAYTESDADDEYERDIHGSSPIDHTDVEHSPIDSGPPS AEHTPTTYGYRNSADRHIETLISDWSADDCADFIASIGLQQYADRFIENEIVGEALVA LQHDDLKSMGINSVGHRLMILKSVYDVKKAQDVPIEDDHYMPLTAATEALSANATLND IKHLAEQLRLRDERMSLFEQDLRRLTEDFRRLREDMLPALRLAKDAQQPLPSGPSYES TLSPPAPASSAGSQPSAGLSRQYSTKRIMLGTTPKAVSPSHLQTSHGPPYDSSASSRG MLSSSHLGAMNGSSANTTSGGYPSPNMPSPTSPPTTNMSGTTLDPRSYRGDNQPPSSS RSTFGDNDHYGSQDKQPVSAPRRGQTPAPDTPGSASNSSVEIFKSFRVSMDDPCYKVL PAALKKYQINAPWDQYALYIVYGDQERCLGLDEKPLILFKQLDKEGKKPMFMLRKTNN APAEEQPGSAGLSAAARGATTVYDPPGGII NEUTE1DRAFT_117089 MAPFLDLSSNWSLHSVPAAFVLALLPNFYASMAAGKNYDLANPR KTEEHLAKDTTLSKQQVNRILRAKAAANNGLETIGLYAAGVVAANAAKLPVETVNKLS LFYLGTRLVYNFVYVFLQDNRKFAPVRSLAWMAGLGAIFSLFIKAGNAL NEUTE1DRAFT_82438 MAPKKKEVQKLSLGEFLNEPSFGGSWADEVEDTYASGTQPLPPA ERRGPSTYGGGSSFADRGYSSLRDNISPAQLPTRPPFTAHLGNLSYNATAESVTEFFE GCDVVNVRIIEDRETQRPKGFAYAEFKDVEGLKTALTRDGETFDGRSIRIKIADPPKQ GYSDRAESSRDLPTWERRGPLPDLPGRDRPQRDFGERRAPREFAPADDGKVRDFGNWE RRGPLPPAEPTEQIRDSSRSRPLEARGESFRSDRRASPAAWGPGEGRQDTSRPPRREF GDRPERAPTAAEKDNQWRNSMRPATESREGSVPNSPAPSAALPVGRPKLNLAKRTVSE APEAGSTASTDSKASPFGAARPIDTAAREREIEEKRLQALKEKKEADEKAKEEKRLAK EAAAKAEAEKAEADAAAAKAEAEKPVEAPAPAAEEEVKSPGAAPATPANGVAADQKLP VKAREPREAPKTRATESGNWRSAPREPRGGAAPGGPRRNDRVPADRASRAPRSDSGRG PRANGGAPASQPAQATPAAGAEQAPATPAAAEEDDGWTKVTVPSKNRRGTNRAY NEUTE1DRAFT_101041 MKRIESPVLNKVLSDNVNSAQMPTLSDDTPSEKMDDQVPSVSYK RPNPVLGPALKLGVGVGTLGLLTGAAAGIIRSAPPGLYAATTGIQWFVLSSSFWGARQ FALNSLANGTDSSPSSNNLIATSSAGAFSGATAGLLRGPRNILPGAVVFSLLGLGGAA LQNRIKSKEQSESWFRKVIDGKWSPITRITNEDWERILEEKLLRVETEIAVLDDSIEE LRKNERQP NEUTE1DRAFT_122454 MALLNPTFIFGIFVLLYLSSFIIFAVVRILTGLSIQRIGYFSLR RLAYTPKDGIKIEIRGLGLNVHRPTFAQPTWLSIVVDELAVTVDVKEVEKSKTKHVTG KPQGDTFDNTKPGEKGETEPLLPTPPQVPGIKADTSAEGRGKTWKQLTKLKEKIKRLH RSLDYLHMVDIVATSSTLTVTDVGSVQIGSFTLAVDTRDKMVDRARFFLQSRSDQPGE QRQAEWIITIRSVLFTAHGRQATEILDGASFNVHGFLYGTQDGLRDAAVGLKLGRLHI PYDDVQHSALLYKKIKELAEQVVGVQPKPLDEFVEKVIQEIDVPGSTNRELMQTVSDS KELVSSVLRGVKEVQFAVSFIGLTKKIESVKAGGTPVVLNASMKEVGIDLHRLDPKSP AHRMYFPSKDIAHEALAAALSISVGIDDGQGKPERLMYIPMATTTVRTTLPSKTVELA EEGTAEERNANMLFANSVVTSPSVDLNPRHLPLLLAILRPKPKRKTENRQPHMLISRL LPKANIKFSMHEPVLRITLPPVEKGVGPDEFDLLISSISSVSLDLESFHSAVEELHYS LAASLRIQTHDLYYQTSSGERFDLLITEAFDLKVQLNATPDVHVVAQGNLQTFALKLV RGEIGDGLHHIVRQLRPDVKPDKLVMPKSSPKKSNFLRGIPAWLLQFQFQCSDFSLAV AGVDKEISEDARGVAIELESWSIDYRAQRIDGLQRRSSRRRASSRSLIPSEAELMKLA PTSPRKKHHHEGDGRRVAVHVRGLEAFMVESDDIGIEPFLSMPKLELAFSTLSDNQGP VFHVQANMKKLLVQYSLYRHYAAALAVSVLLKAFGRPGKPTAAEPATPTEASPQRRVV GLLSPLSADSGFDSLVMDDESTTAREFVTIDAKVTMIQIKADLPSDPPLMAHIYALEA GRQRWSAPFCQAKLIRLFAEAPRMRKVWARVVSIKNGRVDYRESRRKFSNGSVRDERM VDIASDTIRVAVPHEMVGHKITDNVVNVIKSAKQLHHRFKTGTNEYILDKGPEGPKTV PKVSLRARTLLFDLEDGAFEWKLGMIYRAARVEQKQRQAREEAFRIKVKKIHEEATRD SSKLRTRSAAPRGRASPGGGGHVRSHSTDSRQQLGTDPHFRGRVPRYDPDGGRLNITG NTEISIGQAKHNLHLHNARSWKRRIDRQYAAARDEVKDLHQDYWGSDETIAEDLGEGE NILEVPLRHALMSAVVDDLHFVVDKPSFPLRDLPDFLHKVGKGMPKNMEYTMLVPLSV QISMGEARVSLRDYPLPFIHVPATKSNQSARLPALTLKTDFVIAEEFRGVESTRKIRI QVIPPQSHDSSEPNKGSFAIDVSRTIGPVKMFSDMHIDVHTANPTRITWGPSYQPAVQ DMMMAIESLTKPQLDPSERVGFWDKIRLSFHSRFRVTWRGNGDVHLALKGSRDPYEVT GDGAGFLMCWRNDVRFNINVDDDPKKFMTVDSGECVLAVPDYSHQVREGRRRHGENES IASESRHKSTASFKKVVMKLSGKVQWLAGLVFEQAIENGNRNFEFKPHYEVVLKSPQY AKPQNGLPYDAFRGFRSQHIHLSVAIRAPVDREWTASNPPPSRSYNAIHLTPRFFTHF FSWLSLFSGPLSLPVRQGPLWPNREKNSKKFGRHLATIKYNIMFAPLFLSHIYKHKDV EDYSENAVSATGIKVRLDSFMLDLHQRREEFNTRDRGRGTQTRISSMKLHAGQLDLVS ADIRAVSARIRGTTADAFLKHSLSTFVTDQEEDGTDLSRFTIPDNDLTWIDMDDFVEL DWILPTEPNPDTKILPLAFAPRITYFRQTDIGGVIDGDPDRSSPFGQEPTHSCIMSQD DDPRRIQVQLIRQRLKQLDKQMETHNRNLGEIELRLVRDEDPDLKADFEALTRHTTVL VEKRVFLEGMLKEMTQTSHFCAESEDSSSSSSAKAEARKSKADIRGSMSTPTEAEFAS DFKNRFVVHNLQLKWNNTLRNIILRYIHQVGQRRGFVYYMSRPAVKFILDIVEEQNKN KVAKESNSTPSASSPNVNGAERDTQGDIEDRIRKILEDGKKFASAEDAGPGSPSMADL ASGIAKEYMAQSSYHIRVIAPQIQLQSDKNKKHVVLIAAKGMELKIVDVMDQSRLFDT VSGLVQRRFLVNMDSTQFFVTHQKWFSTQLVSMYSGNTYGTPAGSSWPPWVPMEVMFD FETDPFGFKRVVKKTSAMLRYDNYNTLRLKYNDEVNASEATDNDDGAAADNTESRMDN LWIEFPQAHALCNSSQYYAFYVIVVDLLMYSEPLEKTRSERLEKIMLASDFSDLRGAP EMVIKLQERVRQLEDIKTHFQTHGKYMDKRGWKDRLVLERDLAACEDELFFMMKAITS SQRKYESSTSSPLLRWSITAKEIVWHLIRDTSEPLVELQLKNVEYDRTDNSDGSHVNL IQVGSILGLNLLPDAIYPVMVAPYVDGHRGGFDPTSQPMIRVYFHMLEAIAGIPVMDH FEVALFPLKIQLEREVGKKLFEYVFPGIDGDEATAAKNTSPFIIKQTLPEDDEDAEDD GSLETVGIPDSDRELGGFSTRAGSLELRLRPTLTSDPEATPSKNNKALSIHTGEGSSF RLFRSVGTGFKTVSKKPPSDESSLRPSTPRPSVGRTSTGLSSKDGSSNPETKKGTRFM LRKTAADVEKRKKSDDLTKMIDRASNYMTFAYIKMPSVVLCLSYKGKGDRNLEDVHNF VFRLPTIEYQNKTWSNLDLALNLKNRIIKALISHTGAIIGNKFSKHRPNTAQQSKLRE LATSSVLLAPILSESRDNSGDDSSSIYNASIVDFSRSPPRSIARSQTVSVVPSSESRS SSIASSQRSPLVPQTTATAGTSSSAQSIASGPPAVGFTMTPPTPILPPGMDGNQPHRG AFSLLRPATSAGSRLFGSSGGGTSGASPASNGDGETISRPGTSNDKESSEATQRKAGY LRDKLSAISNRLKDRGGQSQPSPGPTSAPRDDILNSDRALQEVAEEEAERSEDLENRR PVTAHAAVGPGSIEAIGGPRPDIVGHRLSRSFSASANT NEUTE1DRAFT_129927 MAFEDGRHLGYGTSRDQQPRHARWSGSAVYLAVNSELQCAVRTL LMTPLLVERSINGHSAAIHLQGHECGLTLTWYRSCELNRELKTEMSLKCLHVYFYCAM NPMALDHCTPSSTDRLIKNCSELRTSNCGKSSSMTTTEVEWIYSAGYCAIWTVVKLLA ILQSYCELLLLNAE NEUTE1DRAFT_63763 MMDSPSAPVPAHKLVDRLKDQTPRHPSPQPTHVSYPKVNGNGHR VLRSATVGYVAPVFQGKAEQMKQVKDIIVQGGWIPETLVDGQIAWFYNELGIDDVYFQ LENPQAVANHITSLYAAKVAAFSREDKREEIRLDMEASDHAIYIDTSEPGMTSFDGPR YEHRLESKYLDGDDTSKRFRVETFRSPGVLGQKENSKAALRCYFVYQCLFVDSNADPK ETRLEVISDRMFLAKATKNTKQIYQDIIQVAVSRHGPVIEVFDIEGSEEMRLVVAFRS RTAKGIFSALSDLYHYYGVTSSRKYVEQFSNGITVMSIYLRPAANIDGKHPPLEQSIH QITKEISLLYCLPQNKFHNMFACGELSLQETIYAHCVWVFVQHFLNRLGTEYTSLIAA LDPKNNSHVEILSKMKKRLRTETFTPDYILEIISSHPQLVRALYASFASVHLRVGSDY DRHLIAPTPVMEVLSDAKLKEKITKDVSNEHEEMVMTAFRVFNNAVLKTNFFTPTKVA LSFRLNPSFLPEVEYPKPLYGMFLVITSESRGFHLRFKDIARGGIRIVKSRSKEAYQI NARNLFDENYGLASTQQRKNKDIPEGGSKGVILLDPKQQDRHREAFEKYIDSILDLLL KAETPGIKNPIVDLYGKEEILFMGPDENTADLVDWATEHARARGAPWWKSFFTGKSPR LGGIPHDSYGMTTLSVREYVKGIYRKLELDPSKIRKMQTGGPDGDLGSNEILLSNETY TAIVDGSGVLCDPNGIDKDELRRLAKARAMISNFDIAKLSKDGYRVLCDDTNVTLPNG EVVHNGTAFRNTYHLRDNGITDMFVPCGGRPESIDLSSVNKLIKDGKSTIPYIVEGAN LFITQDAKLRLEEAGCIVYKDASANKGGVTSSSLEVLASLSFDDKGFVTHMCHDSRGN APEFYQAYVKEVQNKIQDNARLEFEAIWREHEQTGLPRSVLSDKLSLAITSLDEDLQR SELWDNEKIRRSVLADALPNLLINKIGLDTIIERVPDSYLRAIFGSYLASRFVYEFGS SPSQFAFYDFMSKRMGNINKE NEUTE1DRAFT_82447 MSTAAVQDARKQAEALDNEDSIAFVRDEFNIPTKAQIASSRLAD SHPAALPASEDDAKCIYLCGNSLGVQPKRTVTRLNQYLTTWATQGVQGHFRPLEESPL PTWLDADAKAAELIAPVVGANVSEVAVMQTLTANIHLLMSAFYRPDINGRHKIILENK AFPSDHFAVETQIRHHGLSTEKSMVLIESSSKDNIISTEEVLSVISAHADTTALLLLP GIQYYTGQLLDIPAITAFAHKHGIFVIWDLAHAVGNVPLYLHDWGVDAAAWCSYKYLN GGPGCIGGLFVHTNNSVVAKEITDEKPEEGYNNRLAGWWGNDKKTRFVMANKFHPVAG AAGFQLSNPSILDITSLSASLEIFQEAGGMEALRSKSLKLTNFLEATLGHMKEEDRAH FRIITPSKSEERGAQLSLMLSDGLLDTVMKELEARGVIVDERKPNVIRVAPAPLYNTF KDCVLFVEAFSAALEVAKQHAL NEUTE1DRAFT_94996 MVAAKKHVPIVKKRSKGFMRHQSDRFMRVDSAWRKPKGIDNRVR RRFRGTLAMPSIGYGSNKKTRHMMPSGHKAFLVSNVKDVELLLMHNKTYAAEIAHNVS SRKRIEIIARAKQLSVKVTNAKAKVTTEV NEUTE1DRAFT_129931 MSSSSGDEGDLDLYAILGVDKSASPNDIKKAYRKLALIHHPDKV PEDQRPEAEVKFKAIAQAYEILSDEEKREMYDVHGMAAFDPSRGGGHGGHGANMDDIF AAMFGMGGMGGMGGMPRRPKRSPDEEQPYKVTLEELYKGKTVKFAAEKQVVCRQCKGT GAKENVKPNKCERCRGRGLVEAYQSIGPNMARQVVIPCDHCSGSGMHYKEKDRCKKCK GKRTCKETKALELYIPPGSMQGDRIVLEGEADQLPDQAPGDLIFHLVEEPHDVFTRIG HDLSADLNVALIEALSGFSRVVVKHLDGRGIHINHPRGKVLRPGDVLKVPGEGMPVKK SDMKGDLYLVVKIEFPEDGWLQDDSQYDALAKLLPPPPKPIEAEEIDDVEYESGADIQ EMGAHQGDPRYGNDWEDDDEDEGAGPQCATQ NEUTE1DRAFT_82458 MELPPNPGLVDVQRDALYAYDSEAHKAVVNSRPWTNDYKYFKTV RISSVAMIKMVMHARSGGNLEVMGMMQGYIEGSTMVITDAYRLPVEGTETRVNAQDEA NEYMVEYLRLCREENRLENVIGWYHSHPGYGCWLSGIDVGTQSLQQQFNEPFVAVVID PDRTVSQNKVEIGAFRTIPEGIKPPAATNTTTGDGQSVPLNKVEDFGAHSHRYYALDV EHFKSTLDSKLLETLWNKYWVQTLAQNPLLTNRDYTSSQMVDLGSRISKASKSLEMLS ATGQRGPKSDAVDQNIEKLLSEVKQIAAKERSGLMATDVKGKVFGCGCRGQAEGVQPE KP NEUTE1DRAFT_63778 MASTSEPPNMTGFTVGLIGMGDMGKMYARRLSSAVVQMKNIQIL RNGHLVSRASDYIIYSVEAAVIDRVVAQYGPSTKLGAIVGGQTSCKDPEIKAFEKYLP ADVDIVSCHSLHGPNVDPKGQPLVLIKHRASDESFSKVEHVLSCLNSKVVYLSADEHD RITADTQAVTHAAFLSMGKAWHATKQFPWEGTRYVGGIENVKINLMLRIYAQKWHVYA GLAILNPEAHKQIAQFAKSTTELFQLMLEGHSDELRARVYAAKEKVFGAEGSPKWASK PLLRDDVLDQFSLRQPENGGPSAPSLPNNHLSLLAMVDCWSALGIVPYDHMICSTPLF RLWLGVTEHLFRTPGLLDECLKVGIEDRTFRRDDLQFTIAAAGWAECVGLRQFETWRE RFAVTQAFFEPRFKGAVEVGNAMIKAVLASDEKD NEUTE1DRAFT_82464 MLLLFALGSNGSGQLGIGHKEDVSVPKDAHFDADLPATASITKI AAGGNHTIILTTAGDIYWSGDPNTGACGKFTQTQISQPPRFHPVDLSSVSTPQDSFRV GLVAAAWEASIFTRLDVQGRNTRVYSCGTGLKGELGLGTFIFRTATPSLIPDFPPPDT EVVDLAACMGHVVAVLSNGDVWGWGNGRKGQIGAPEDIVHSPRKIEGLGFKVVKAVCG KEFTCLLGSPESGNILVLGSDKWGIRAEVPDQVVGWQEVGASWGNLFILKADGSLLSW GRNDHGQLAPANLPQTKHIAVGSEHALALGEEGDVTAWGWGEHGNCGPLSSSDATKGQ QNVIASSKFIPLGAKITTIGAGCATSWVAIQMPST NEUTE1DRAFT_63784 MVILNFTLSEEGVSVFHDALACMYKFSDDVCLEARRDKLTLTTL NISKSAYVCFTFAANRFFSRYHFEGNAQYRDRFFCQLYIRSLLSIFRSRQGGDSARDK DASIERCDVAIDDGPGKKSRLIARISCRNGITASHSLPFESKPPTHAKFEKDEAGNRW SISSSTLRQLMDHFGPGIELLDINTDDDDHVVNFTCFTDKVQKRGPHGNEAVLKKPLH TNISVEMAEFNEVEVQDKLHIIISVKDFRAILQHAQIISGELATYYSEPGRPMKLSYS ADGILCEFILMTVGEQDAITQKHKSTRARASKTPKPGSGPGPGPASKPDGSVANNEAK DVPKPAQKPPQQKTPPRPRQTQFEIRPPPIPPRTPVAARTDRSDSPLFVEQGDEDRQW DPVDREDEEEMDNARVEWNATGEPNPPSLRISSLLARPAVPGNEPDRLTGGLEPTQQL SEAC NEUTE1DRAFT_82471 MLLIARLNRAVACFALPLMYGIVKSPPLRYLQLLQTNISSHGHL NGNVVTLTIAQNCSALKHFICFTIPDEEAEKHLSSLLQMLPPNTIESFTVIRGVIVGP HVLKALDKHSGSLKLLVLSQLGARALGALNELQKCNALESLCLDASRAGPDFDFVRSD TAVYGQCVEWLQNCAQMTHLSLSFFPGGTQLLKDSLTGPKLRLKSLALVSVEANAPWY SELHHQAKLEYLNVEVPQLEFQELGPATGRCQGLAAGISRCPELKELGTEEYLSVADI SMISEGALKLEKISFSGSLVHDAHIMPLANLPRLKEVYVTGDSAFTASGILKFFKKME ETPDHDHLGFEFGAVFQQGTSIGQRDYKRLRKAATQAFDGNVDIQFIDSDGEDYYFQD N NEUTE1DRAFT_117095 MPVDYSKWDALELSDDSDVEVHPNVDKRSFIRAKQNQIHAERQQ RKLQIETLKYERIINDTLIKRISSLLASLKAHASEVSSRNPGEVAFQAVIESAAGLDP KDDQPPPRPAGVHNAEQPLPTYTKMMATLLDDVNKTLEEKKIEQDKRYEATIAEVEEH LKKVTNLQGELLNKLDELEKIDKSKITSESIHTGFDSTHINKSKASTSGDKKEASKVE LLNPNYSATDSLPAPSTTTNDDDDDAEIEASPAAKQFASIKADDYRESLAFLTKHPEI LTEKETDGLLVLAFDAQLQNRDDYARNCVHQALLLQYCRALGRDGVALFFKRITTQGH QAQEVFFKDVQDTFYKIKNRAREINLQRAKEEAEGGSQEGVEQIQLHAVEPGTVININ IPPADSEDPEVQQARAIFESFSPEMKKALESGKLDAVNKVLGKMKVEEAEELVSKFGD AGVLSLEEEIIDATTQEGQEKWKEIEAAKKHADEPAGDPE NEUTE1DRAFT_82475 MPSLISSLATALLLASGICAIPQGPSGAESGIVSAVSAASVSTA GVAVSQATTASPSSSNAASGISACNNSPLLCDRAYNNVTHMGAHDSSFLRDASTSDSL AGNQYFNATVALDAGIRLLQAQVHDANGTLQLCHTSCTLLDAGPLQDWLAKIKFWMDN NPNEVVTILLVNSDNKLVSDYAAVFEGSGISTYGYQLSNGSSASNTWPTLGDMITSNK RLVTFIASIDYSPTYPYLLSEFDHVFETAYNVLSLSGFNCTLDRPSGQGSAGDAISAG LMPLMNHFADSLLLQGVQIPDETDIDITNSPDTSTTGNLGLHADTCVKQWGVKPTFIL VDFFDHGPAIDTADRLNGITATGRKSVSGESKGNTSGAGENHSPMGMNVALIAFVVFA LAMV NEUTE1DRAFT_41339 MALARTCSQIKSEYLEFIYHKYTLYFDCSCELNKRLKGNSTLRA SLRSIKVHWTGPVSDKAFSRLAKCKELRHLDIAISKSTTNFETPREKEMRRYFHSMKP ARLADALGIEELLSIRGLTSVCVSHVNGRQSTKRTDEERANLQGLLIFKLRVPELD NEUTE1DRAFT_82483 MVIQQPSNQIKLTNVSLVRLKKGKKRFEVACYKNKVLEWRSGIE TDLDNVLQIPNVFLNVSKGQTAPTAELQKAFGKDTSVNDIILEILKKGEMQVGEKERS AQLERVHNEVVSIVASKLVDPRTKKVYTTGMIDKALDMLSSQAHQLQEKEKEKKDAAA SGTASPATGESGEAKPQPTKSLPIWTGVSTNKSAKSQALEAMKALVAHQPIPVARARM RLRITCTTNVLKQAVKAPKGEANKDGEEGEKKAPGTVKDKILSFVEQVESQDVMGQEW ELVGFVEPGAFKILSDFIGSETKGQGRVEVLDMAVTHED NEUTE1DRAFT_82484 MRISASTVLLGAASAASAASFQNQAQHVLADNFHKTHDAVKPVA DSFAHTTLESFEEAFNGMNSQAKALWDEIKLLVPENAFDKPTWFSKPKAAKRRKDWDH LVKGADVQKLWVKGADGEKHREVGGQLDNFNLRVKSVDPAKLGVDKVKQYSGYLDDEA NDKHLFYWFFESRNDPKNDPVVLWLNGGPGCSSLTGLFLELGPSSIDKKLRVVSNEYA WNNNASVIFLDQPVNVGYSYSGNAVSNTVAAGKDVYALLTLFFHQFPEYAKQDFHIAG ESYAGHYIPVFASEILSHKDRNINLKSVLIGNGLTDPLTQYEHYRPMACGEGGYPAVL SESECRSMDNALPRCQSLIRNCYESGSVWSCVPAAIYCNNQFIGPYQRTGQNVYDIRG KCEDDSNLCYSALGWISDYLNQKDVMDALGVEVEGYESCNFDINRNFLFQGDWMQPFH RLVPGILKEIPVLIYAGDADFICNWLGNKAWSEALEWPGKKGFNKAELEDLSLPKADK EYGKVKSSGNFTFMQIYQAGHMVPMDQPENSLDFLNRWLGGEWFEQ NEUTE1DRAFT_122470 MDIMEEPQVAMEDIHYRVIYVNRSVSEDRCIQRSARHLDDTEGA CMSHWLQPQEDHEADLQPTIILLDTPYQQFFPAQFPNERRDESHDGNSYGLSLLKKIE SEARARHLYEQVIPVPLIQSPDAMNNISGADKTRMRQQCIDFGAKAVLSGPLNAKSIA VIQDRAYYAYKDALSRKQALADVRKGRKRSLIAVFNDTRPYGYLREYLVESLIKKICS TEDDRDNEIYKANVSVCPEKRAEISRAISTWHFDAHKFDYDELIVAAELTLNHALSMP ELKAFRISPTQLQNFLKACRAAYMGFVPYHNFRHVVDVLQATFQQLLRIGALPPYPNV NGTHTSPIAESPGTPSIGPEEAFTLLLTAIGHDVLHPGVNNGFLIEARAPLAELYNDR SVLENFHSTSYCQILKRHWPIFYENRRLRTLMISCILATDMALHFDYMAKLGNLQKLQ AQKPDLDGWNEKAVDEARVLVCALIIKCADISNVARRHDIGAQWMHLLNDELILQTQI EMDMGQKTALIAPPSTSLDGRIRSQLKFMELFAFPLFRGVADILPGLQFSVDEMELNL THFENELLVPSAGSTMENQPGISKYSPPPKCDSEPPTEKSALDGRPNGVLPSLTTTDF SCGGARLHGSNRQRCSEATEGSSAPCSGEWGSQANSATTGKMPLSPSTQGTSIISRDS MDKSVGVPVTTVTAPDSPTAIPEATKMSSDRDQLQVNEKLDHFISDDEDNMSNGNGHM NGSGSTLGQEYLKPEQDTGNGKTMRKKASLMGLKNKFSSKFSSFTKSKKGKTSCPASP ERSADPMG NEUTE1DRAFT_122471 MCLTAATPEIPSRLFYRCFTVRAEQGRDATAILESARCTTANLS RTPPPSVNATTRFPQTQLYRLALLPSFVSQQLTFTTSSSKKRRVTRQSTREQGQEGST DAALDVVLATEQEAEFDPAYPFDHHRSTSTLASASNTPAYSRGDSLPPSGIPPHLQNQ FSTLSGSATDYVSADSAASSPCAASADLSVDNDRDSGQLFDSSSTLQAASCGTLRSQS PFINTPHRALMGGASEQTHRSGSPLKRRASSMDPEYENADSREDVEMLTVPDTQPQDQ QSGQDVEEPQPVEADAAGGTKMPIADMELPLKTEIPPIEQQIKTIETLLKAFNDRGAK EGDVAYLVSRQWLNKAQAFGANGKPTSKEPSGEIIGPIDNSDIIQAIFTDSADQQCVK LKPGVGSESAELFPKDAWDLVLSWYGLAPGQAPIVRIAHNTALDAASEPNVQFEFHPP VFTVHRLWSAASPLPIEQEIKLKKPPPPVIVQSASASFHQFLQQIKKLTGVPMDRKVR VWQRLQTIPATEEASKPSGMETPPDSPGRSSRIPNFLPRAPGSWPEMLVDVETFSQLE RGVERDHLAAEDTTTNPNYNGRKSLSLVGLTVDQTLIIDEQVDRDDYVSTFFTKMTNG NKTVGNGIVAQARGTVSGRNSPAPPSVLNRGRVQPRSGRTPGCVGLQNLGNTCYMNSA LQCLRSVEELTKYFLTHEAKKEINPDNPLSHNGDVAMAYMRLLDEIYKNPAPNSVAPR HFKGIVGRYAPAFSGYGQQDSQEFLGFLLDGLQEDLNRIKKKPYIEKPDSTDDMIGNP AAIKEMAEKVWDITKKRDDSIIADLFTGLYKSTLHCPVCDKISITFDPFNNLTLPLPM SNVWSRTVKYYPLNEPPVEIVVEVDKTSAFKAIKEFISTRVGVPPERLVGGEEFKGKF FKQYDDMMAINEEIQPGDVAVIHEVEAPPTNVNAKKAKKQVYRSLLDDAEEEPAQPTS NPLAERLLVPVLHRTMDPNAAGVRYHRKVEDIPPPHYIVLTPQEACDENIIRRKILQK VATLSTWSEFTADEEASEATDLEMVNGASDIDSADSKVVAKSVEGEEDIVDVTMGNTG SAKPTASPAPQTLPALLKRFKSQQPKWLDPSVFLNPALQNLFAMSYFRESHGGVPTGW QSVNDTSKHERLSSRAPKTDSSDVEMRSPNALDGSDDSGSEEASSEQVDSVTRMADES SEGSDAPKGSDRFIASPPRGANAGPRGKNKKMKAGRTYGKKAKKRFEKEQLRKRAAAA QQPVEVPEQDYRVDSEEGPLVRLGEGIVVDWYEDAFDAVFSPANRTYNKIETLNDPSL GAKKRQRELRKKHGISLDDCLAEFEKEEILSEQDTWYCPRCKEHRRASKKFDLWKTPD ILVVHLKRFSSVGWRRDKLDVLVDFPIEGLDLTERVIDKEDGKQEIYDLIAVDDHWGG LGGGHYTAFAKNFVDDQWYEFNDSSVSKVTDTSKVVSPAAYLLFYRRRSDKPLGGPKC EEVAQRYSLDDDEEMLDSGEGQRLGHGSSLRGSPSASNGAGQTLLRGEVGSVSGRGPS GDSELPSYQETNGTTTGPEVRKSIEFEDEGIDLPNYEAAGNMSGVASALPTTWSFDNI PKTGSEASGLDDEIASDVAQGDNSGDDASVFASINEYGSAVDFIGPAAQDDDYSAFTD NVPPPPSVQDQDTMDQIAQMTWEHKEQVHEVFVNGGVDIDDDKVAEIHVDDHEQQRQS PAVREPPKEPEGGDKSSD NEUTE1DRAFT_82494 MSTSPQDTPSKGSPAVERVTDQLEKPSLDDRSYRVIRLPNKLEA LLVHDPTTDKASAALDVNVGSFSDEDDMPGMAHAVEHLLFMGTKKYPVENDYSQYLST NSGSSNAFTAATHTNYYFEVSAKPSNDEELSATNPSPLYGALDRFAQFFVAPLFLANT LDRELRAVDSENKKNLQNDTWRLHQLDKSISNPKHPYCHFSTGNLETLKVLPESKGVN VREKFIEFYQKHYSANRMKLCVLGRESLDVLEGWVAELFSDVENKDLPPNEWTDEAPL TPEQLGVVTFAKPVMDSRELNITFPFLDEHLLFEELPSRYLSHLLGHEGPGSIMAHIK SKGWANGLSAGAWTVCPGSPGMFDIQIKLTQEGLKNYEEVAKVVFQYIALLKETGPQE WIHNEQKIMGDIDFKFKQKTQASSFASKTAGVMQRPLPREWLLSGTSKLRKYDANLIR KGLDCLRPDNFRMSIVSREVPGKWEHKEKWYGTEYSVSKIPSELMEEIKKAATISDQE RIPELHLPHKNQFIPTKLEVERKEVKEPALAPRIVRNDDLVRTWYKKDDTFWVPKANL IVSLKSPLIHASAESIVKARLFTDHVKDALEEFSYDADLAGLSYLVSLDSRGLFVEVS GYNDKLPLLLERVLTTMRDLEVRDDRFDIIKERLTRAYRNWELQVPWYQVGGFTEWLT AEHDHTIEELAAELPHITSDHVRQFRKALLAQLHMEVYIHGNLYKEDALKLTDMVEST LKPRVLPRSQWPILRSLVLPPGSNYVWKKMLKDPANVNNCIEYFLYVGDKNDSLIRAK TLLLAQILQEPCFDQLRTKEQLGYVVFSGVRATSTSYGFRFLIQSEKTAPYLENRIEL FLERMAKWIEEMDPRQFEAHKRSLIVKRLEKPKFLDQETNKQWSQIHSEYYDFEISQR DAAHVKPLTKEELIEFFEYYIHPSSPSRAKLAIYLEAQAKSDVTTAQITELVKTLELD ATTSAKTATDLQARLSAADHDEEKEIAGLKEYLGSLSVTESNMDAATETWRKLHAEKV SGVVKDAPPPSSNGTKPILIEDVRSYKAGLPVSAAARPVKDLSEYEELDSKL NEUTE1DRAFT_101062 MAPTNLPTMAAPQTQKTMSSRLMTMKFMQRAAATAATASPTEKP STPKTEDEGPSKRRKHSHTSKTSTPNPEADQALFDQKAIQAALEEEEKKRQAAIEKRA AELGDSHWVLPGASLAPKAGARPVLNVVQVGFAQIDYSGNMSDDDDAPAAVPTAARFR QFNMKKKACLFIEQHSLVPRANSDSNSDSSGSDSDDDRSEGEASSDSNKQADRGQQKG VNPRGQKRARPGSSFSDKRSEERKKAAQFALGRRKREVNPNRPATISGSGGQPQGVHR QAFTGACHTCGQNGHRAADCPRKSRK NEUTE1DRAFT_63814 MYPSRLRVAHQLSRQCARSFTTSGHQLYSSSTVPAPEKSEQKTV EVTPTGPAAVVKQAPNRAEVWSRSQKPRSEAMTGPRFEQTNFDLQPQPWAAIELIHKQ PVKWVHERIVACDGGGGPAGHPKIYINTDKPEIATCNYCGLPFANEHNRKHLESLPQT SYPLQ NEUTE1DRAFT_122474 MPLSATLGHPRVSSRGCVPHAEALFPTPSILSSDWMWLADRRLG RTMSEEPTDIDASLLNTSATAVFISYFDCLDKDLTFLTATEPAVVDKNKVAKHGQWTV EYIVRHETLSG NEUTE1DRAFT_41277 MPFYRSSKHNRSQHNLLGSSIAEDPSQATSSVQSGASLGPGAAN PPSALPNSAFSSGSNESFQIDNGAGRLNLVQASQSQPSRLVNIYNNSASGPSHPSDPV PQLQHSNTVTGVVESRQRDHDFADQVARSHSHHFPQISPTQAQQQQQQQQQQQQQPSP ATPQQHHHLQIQQQAQSSLGSNSVDNLPDTASIHNSPFSGQGQGQAFVANQQPPPPPP KTKQSTRRLIKNILSGSSSHRNQDSQSGQSSWSDPSGIVRRSSKRISQPPPPPAIRTG VSQVSLGHPPDWQAQYPSSQPSPLQTAEYRDSYLVAGQERDLHLQNPQDQYNPTIRPV PPEKDVSPYSVGESGYHQQQAHSQLQVKIPPDLQQQQFNQAGFEAALQQQHQQQHQQV TTQDPYQTSSQVQYQASTHSAISGHLGNPQHQNPETVSQLSRESPTIDSDQRLATNVQ SSLQASDESSYTPQSQDIPLRQNPLPAQAAAQSQGKTPQQQVMAPQPGGRRSQDTTDK ATGIREVQPPPGPPPSYRQSQQPSMNNLSQSSNAGGQSSSSHRQGDRQGFDGSGEVQG RNSPQPASERAAEDQEKTLKELRDFYDAVTKYKNVKRLYFDGKKEIEQLGNQVEQLQN AIANQRISQSRTSLDDSEYTTRFNRLQGAITNLAFNIRKEWKTLPKWLDPYVTANALK TGKQEMTAVGRAVITRWVVDEIFNRCFHPGLEAELSKQLRTIEQNIRHFSYTLNSQEE YDALTSKVVSWRMTTLEGLQDVLRSAEADDHKTNFTRRATSNLTAHLFQHLTEPAPVG VDGSVGMIVELAVGIASNLPLESRDVAIIYPLPEQPIQTNLMEVEKTPLPPLESRPSD ASEEGTAGDGAKESSKERRGDKARSGMLNTIHHTIGGSSASGPPGSRKGSIADNSTLP TAQSGAASQSKDAGRVRFAGSFGVEVRGRHVLIKAPVWTI NEUTE1DRAFT_82502 MSADNTTPVTTTAGGEKPAHLEPSKLGTKEYWDALYTREISNHA SNPSDEGTVWFDDSDAENKIVQFLDEQEHELFSGIFSRDDAAIMDLGCGNGSLLFALH DDGWEGRLCGVDYSEQSVELARRVLRTRVLGEDVPEFKVWDVLNGDFSTVQARPPSSQ QPLEGDKNAGWDLVLDKGTFDAVSLSDSRDSRGRRICENYGARVLQLLRPGGFFLVTS CNWTEEELKGWFETDFAEVYDGTEKKKLGLRQVGRIEYPSFSFGGVKGQTISTLCFQK RDI NEUTE1DRAFT_117101 MADATNANDELFPIAVLIDELKHDEVVLRLNAIHRLSTIALALG PERTREELIPFLDESVEDEDEVLVALSEELGNFVEYVGGPAWGHVLLSPLENLAAIEE PVVRDKAVESLNKICTQLSSQQVEEYFIPLTIRLSKADWFTSKVSACGLYTTPYSKVS PPIQEQLRQQFGQLVHDETPMVRRQAATNMAKFVKEMPAAIVIEEMIPMFQHLAQDDQ DSVRLLTVEILISIAEVVPKEQQASHTVLLTSLRSLIEDKSWRVRYMIADRFEKIAKA VDDEVVSRDLVPAFVKLLKDNEAEVRTAIAGQIPGFCALVDRNVLLNDIMGSVEDLVS DSSQHVRAALGTQISGLAPILGKQETIDHLLPMFLQMLKDEFPEVRLHIISKLELVNQ VIGIDLLSQSLLPAIVQLAEDKQWRVRLAIIDYIPLLASQLGVKFFDEKLSQLCMGWL GDTVFSIREAATQNLRKLTEVFGVEWASEAIIPKVMAMGAHPNYLYRMTTCFAITTLA TVVSLDVIAKSILPMLEKLTADSIPNIRFNVAKTYGIIIGVLRRLPDEGTIYTLEKEG KPATPSPKGQELIQQRIMSCLEKLQKDDDVDVRYFATTAAAQATGPLMGAATEAGNPV PSGGEPMNTSP NEUTE1DRAFT_82509 MAAPHLKHTFANIHALRGGKPELGDLALSDYHLVFRFPPESLTA ADPSLKKSVKQVWFAFHIISQCTLRPTPPSSGVPSSIRIRFRDFTYVSFNFAGDKEAR EAFEFIKSRTCKLGSVDKLLAFSYRPPPNSPESKINGWDLYDVRAEFRRQGISEKSRE LGWRISTINKDYSFSPTYPALLIVPSKISDATLKYAASYRSRQRIPTLTYRHPVNNCT ITRCSQPLPGISFKRNIQDESLVSACFSASTEFAGSTSLDMPVESPPPSTADLMIESE NADGSMSDIQKAEDELTVGGTILYDGKTGKRLIYGAQQQNLIVDARPVINSYAMQAIG YGTENMEHYKFAKKEYLNIDNIHVMRESLEKVISAIKDADVSPFPPNKELLAKSKWLK HISGILSGSALIAQRVGIQHSNVLIHCSDGWDRTSQLSALSQLMLDPYYRTLEGFIVL VEKDWLSFGHMFHLRSGHLSHEDWFTVDGDALAGTAVKPGENDGRADHFENAIAGAKR FWSKKTAGGKEAVTDADGTPIETGVEPAVAENMATRPKDISPVFHQFLDATYQLLRQH PTRFEFNERFLRRLYYHVYSCQYGTFLFNNERQRHEARLQERTRSVWAYFLSRRSEFT NEQYDATVDDHVRGRERILFPRCDDVRWWHQLFNRTDDEMNGDLNALAATAERVAAYQ QASSSSELSRPVDAGTIDVNSNPSSSGAPSRTSVSPTRSPQPPGLAATAAGGSVLAGV ESAHEILTPGTRSPAPPNRNVAAGDPTGTFAALQERVSGLSLGKAMFGGGTGGSTGRS SIGGSGSDSGRNSQNELVEQEMKSMS NEUTE1DRAFT_122479 MAVPGTQISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKDFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKSGPQKSLPDAVTIIEPKE EQPVTQPISQDYGAKAAQVQAAAEAARQEEQAGEEEAAAPAAEE NEUTE1DRAFT_122480 MTSSLAIGTSFVITKKGLNQAEERHGFEGDGYVYLKNPMWWAGI GCMVAGEICNFAAYAFAPAILVTPLGALAVLIGAVLGSYFLNEELGTLGKLGSAICLI GAVIIVLHAPPDEDIQTIDQILNYAIQPGFLLYSALVCGFAGFMIYRVAPVYGKKNPL VYLSICSTVGSISVMAVKAFGIALKLTFAGNNQFTHPSTYVFMIITAVCILTQMNYFN KALSQFPTNIVNPLYYVTFTTATLCASFILFKGFNTTEPVATLSLLCGFLVTFTGVYL LNLSRGDPDGTKHLARRSRGDATGTDMISGLQTRMSMERRRSLGRMSTGSNRDRDSLM RAYDEEEALGLADLAEDSEEEDDDPRSPMIGSFRHSNGGPVNGNTTTTSTTQGGRLAP NGKKHAVGDSIEMQNRKKSGER NEUTE1DRAFT_101071 MEPKRFKNHKRRGFGTPVGDVVEPLDISMATWSLSRLGGRPYLL VSHSKPHPKAPELGQDHLLPCISTAYKYSGKKYWRWLYQTNTPVSLGHHSHLGFSMRS ATCSSNVEGYFSYSTQGMEVELRWC NEUTE1DRAFT_63833 MEQVSFDVRAEAGKAVFDDDLMLEAGMQADELVLELDDLHDKED EYQTIDLDAQPATADVEVAVDNLEAQHNTNDEQAEAAGGVEQDAQDVSMAYNDEIGYE EEEGVAEEAVTEHEPEDNTTSKAPSANDENPDLRELEQEAQDVPIDLAESKMGDDAEE QPIGRVAETKNLVDQEATEQVAETDVGLDVGGVAATNPLDTAVSGAEQAGEAVSEDCQ LEESQIDHDEPVVDDAAFSITDITVQYDGGSYALFGNSDMDPETYFLTDTEIATAPLS ELLASIRSVIASELTPGEELVIVIDSLDLNFGERSSKDFLQRSFHQIIYCYNVLLAKG IVTETSLTLNLLVRPDPEGRFLDLLEEAGIWSGADYSPDYSDASGEDEYLDDDQDIED HDDNDNEGLENDKNHEDVQNAEFDDQEHQDEEGQQDQDQEEKEDDEEPEVIELTENIE VAEDVEVTEDVEVSVNVAEVRDVTVSAGDIQKEASEQHTERQVTEDVLENECHTEQFS LADNIDQAAQAEPSAEMNGSSHFDASESSHGPAGIVDVKHEMDGDRGEFQPSLEETSS DLELVPETKSATDEPQPENGDHTEMINEEKEEEVYDEDEYIEHHEEAEAEEQDDHDID RTAGVEELGDDLTLRQTLDDGNFSLDIMEGSTEGNAVLGRDDNRTGKYPFLFSSTTHN PSTHGHMVVLHTSEAKDDDLIDYTDDEGPNYAMPGLKRKSVFSDLVFGVKKMRSEQMD GTMPPLHSRPSNNVSGGSQLAVELRPNQHRGLATLSPFRSDSPCIKRSSSPVNFSAPH RSSGSRFHSHKDFDLSVTFSAYDVADMPYQGNNEISTSRNSDSTAMQEQYTDDGPNVD DYTEVENAIDIEYTHETSHLPVNTADPKDQTEEGAQDFTAEESANRTSTTNTMSGDEI DYDENEEQDASFETEGQIHEFSNTQDDDEIGWGDDGEEDNNDFTQQTTTSQDQPPLTA KRSRTDDLDEEAEEADVKRRRT NEUTE1DRAFT_117104 MVVLAASICTRGGKAVLARAFNDIKRSRIEALLASFPKAADSGT QHTIVEQDNVRFVYQPLDELYMVLITNKQSNILQDIDSLHLFAQVVTTTCKSLDEREI LRNAYELLSAFDELVTLGYRENLTISQIKTFLEMESHEERIQEIIARNKELEATEERK RKAKQLEMQRKESARTGRSAVPRTPVYPTYTPPVRPAVTESYDTYEAEKNKAKFNAPK GKGMQLGKKSKNTDIFERVKGDLGHEAEEAPLVPVAAPAAAAEPAASRVSSTLDRDAI HVLINEAISAKLSRDGALESLSVSGDLQLRVSDPSLSRIKLNLSATPTHGAQFRTHPN VDKNVFNSSQAIQLSQITKGFPLNNSVGVLRWRASPRVDDTSALPISFTVWVNKGSDG NSTLTVEYELTGGDTLKDVTVVIPYSSAEPQVSSFDAVYEVSGDSLEWSIGTVSEENA SGSFEFEAQTDDENEFFPMQVRFSKSSPFVEVDVQSVELVDEGEEVSFSKDIRSVADK FLIE NEUTE1DRAFT_122482 MSPNGQLQLFSSSALSVPVSGKQIACNPVIDLTATVGDGNTIYV WRGSNQLVSKHTERNQKVDAIRWKEDGQFLAAGWSDGVVRLIGLESNKAVHHIRVAGH GGKPSKIEFIAWARNETGKQSRARKHNELPDLPWRDLMPDEKKHVLDLPHELTFLEIE TALPKISPLPLSGGIGDDMFVFSTAASLEFVFRPYQPEDSDNNLLRYLKQAQSHMVRH VFPPVKEWLVDSLAERGHKRWDKAVVSGLQNLRSLVHENFLSALERCGIVLSRLLGLA RFHGSRENIGFTAAQIEKLLDMVAALTLVSYKILLAVMDELDHFTCFSSWLRMEIDKL ASSLAAAEELTEKEATMDNVKVLTYIQRYLMGSPLAVYLGDVTAEVREKDWRVVDKSD GTSLLELVDQQLKCQERGEPYYKALPQVDQLVDHLTSKSAIVFKEIAEAEKRSVRFNE AVEISTGEKIWRHDIHLCSRSSAAESGVLTFVATLTEGDKNKIFIVRTAIPVANGVSG TTSSSACSLVLPNGASVVDIKFLDDESLLVLSQLKDEQSPALVRIGYQSPNLTYTAYE VGERPQAIDIGGPEVPCVPLFFDAVGFVPIQMEVQQASKTRGELPARVCLLGRDRTAY KTYALPDQFEAGSGTSVSPMVRKSWQQQGEEEDVVMENSQ NEUTE1DRAFT_82524 MSDTTAFPVLPPPAAAASSKPQSTQPASGPTQGPSSTTQSQLPL TKKLRPGAPNPVSLNEAALDSPTFRASTVHFSENLDVIERWLDNYIRSTSKVVNDLLA LEDSIHAYLQKIAPPPVNATAQADAIIDTDYTFLALRRAGDGARDWWTGVMATVRRLE PVSVEPIRNFVNGELRTFKEARRALEAAQKTFDTTLARYVSQNKTKEPSALREDAFAV FETRKAYLKASMDFCQSAPQLRFALDKLLVRVCADMYREMRRSRGDAPLGAATAAEEL ERIRGWSKEMESYEPLFKRELQAARKEVGEATLAVYKPSREIEDYSVSTVPYLGSRGP MNMQQKDQTDVIAEKQGWLFLRVVSGKPARTTWVRRWYYCRNFQFGWLMNGPQGVLQG DEIGVLLCSAKPAVQEERRFCFEVKTKTQTLLLQAETQTQLMEWLEVFEVAKKRAFEA TMGREANAVSGGVDPAFSINPSTIPEFSAKSLDSVEGDGPGPGLERTATLAVPGADAA ARASFDAAANPPRRSITTTLAREEGESGREHAARLMQRLDLHRKAMLANSDTQAQSSG GIANLITASHGLIGNSPISPIAPQTPAVRVPIPSLGGPFDHAPTSLAPATLSKPPVPT NLSRAAVMASATADINRGLPSAVLANYWGSNPWASSFTPLPETVRTPKVDLDDPLVGV VQPKAPGTTSDKASTASLHKKTLSVDAASDAHGKIQEKHGQLVDSFPVNYPPELRAQY AQFRLVFPTVAPEEKPVLVFNAAWSNSSGEGKEGRGMAANGRIFVTPDNMYFFGHQLG LVVAYAISLDSITEVTAAPGKDCDYIFLHLNQDASDLNISRITMKIFLEDFTLLHARL NLLVDDLQAAEPMSLEEIITALVNLEGESFDKRSPSAESWEEVASNTPMDDGTPQGRP VQRRIGDLSSRLIRGARGSKKAPMHKFQLPAHPVYFEPEDMGKPVAERNFEISAKACF HVLFGDKSFIFPKLYFERRAKEIAQGPWELKDHGGKMARQFKFKVDKADMLGRKTDAN IVDTQNIDIFNDHITYVVTHVKTPWHLPHSHAFKLITKVVITHVAKSKCKLAIYTKAD WSKAPAIAKNMVERQALDDAANDAEELAEVATDQVRRLGPHSRTKRAIQVYGNIGQQT QVVVFSPNAGFDGSGAASGSKKGQPIRVRSLTAMILETGRSLLESAITSVMMWAFAVV KKVFKIITAHRVLLVLLMASAAYNLVVVSQSGAAWWAERKAARYMERIGVGPNTVMSK AIFLADLEEAAKGSAVVVERPVGSQCYETFQSIVNSTDIDAPYTDAGAGFASATGKAT ARRLRRTRQRLGTYRHDLLVAMRVVNGIEREMIQSEWENWLADENLRCEQVSNMLLTS NNDSGVLDQEVVYDDASGGGQSVLSSDTTSIMGTKETNGGGNEEATTSSGGEQQQMTR RDALKKWYAEYCGACEADQRALWEGKKMRQVEMSPFIG NEUTE1DRAFT_110344 MPGSRSSKHAKDSIRTADTVFVEDGELTGIYYIPISGLPHTTTW QQLKDHVRRGCGVEADKIEVYAPLGGCVRIRERDNFDKAFTFLNGSTLNGRALFADGR NKGGRVMVKPTIAVPLTVRPTASLETDPAPAYGYGFSRGGAAGGMMPAFTNMAISGSP AVYGAAQPYGGHVVAPYPETPLMISPYSVDPAWNPYASIGQPYNAHQSFSSDYATRDH GQDAHTQSYVAVPMYHSPPVYPEGYNNYEGTIAAASTPPIPVQVQRNNSIANSLASVP SFSTPTTTIITNIGTPNIVNTVNTALTAPSPPLNARNLPFSFPITSPGPHPMQAQPQQ QQPHTILITGLAKKDLTPDKIRLLVSKHVSEVVAAQIQGIQISDRKETTPLGYTAYVR FKTIEDAELAVAHLDQKLFGKYTLGVKIWVPERGAVFVGEEVDSSGGGRRGGGRLNVG GAAAEGDRRGGGVKESDDGGGRNDESKNRDGPRGKGKEKSDQGAKYAKNKDNSSKDDT SGQRTESQKVQIINTPSTSTSATALAVPPKLGHRRESTGGVVIAHGSYTTNTTTTGAA AAGKKDRSSSLSAMYKSDVVIARGSWATTKLPRTRDRETGDGTQ NEUTE1DRAFT_122485 MADRCVQSWEPIHAVRLPQDFIGPFLSFQWSPSSRLLLVASFDQ LRVFSALDGNYHATIRNPVAPHLKPTYIDFGASDTEICVMASFGLKLAVFDLNSSKAI EIGSPKFSSSTAVRRGFSFRPQSNHLALLTRTAGKDMISIHAFPSRELQRSWAPDTID AQGLLWSPDGRWLVVWESPALGHKVVFYTPDGNLFKIWSGPVNPTHEEKDFALGAGVK TVQFSPDARLLAISDHSRSLSIVDMASTMEVLRLQHPNNLVPKGTLQIWQERIGISHG PIMHTFIRASQAISPPPRPQEAAEQLSGCATIAFDLSSNLIATRIEEFPSTVWIWDVQ AAVLRAVLLFHGSVSTLSWHPHVRETLLIRCEGDHYNSVVFVWDPLSEGPQSVDFSQQ LSGFKAVGKWHASWLGLDASTPLSIFYSDTQNYVLASLADSDRESLPWINPRNPQGGN GPSRAESPLELVPATEDEADEEDASQTGDDGDIVMKDTYGYKR NEUTE1DRAFT_122486 MSAVARHAARGLRVRIGIWHLTRASIPSSRRVATAAAAKPTTTT SSSASIVRNASSYLSSAQASVTPDEHSSSSVPVDISVGASLHTPTTESEEPLHHPAAP TPASSRRDMLKDAKPFSDFLTDNYNRQHDYLRISVTERCNLRCLYCMPEEGVPLSPQK ELLTTPEIVLLSSLFVSQGVTKIRLTGGEPTVRRDIVSLMQQIGALRPHGLKELCLTT NGLSLHRKLDAMVEAGLTGINLSLDTIDPWQFQLMTRRNGFSAVQKSIDRIFELNRLG AGIKFKINCVVMRGLNDREVLPFVEMTRDKNVEVRFIEYMPFDGNKWNKGKMFSYQEM LELIRSKYPDLQRIQGHKNDTSKTFQVPGFAGKVGFITSMTHNFCGTCNRLRITSDGN LKVCLFGNAEVSLRDIVRKINQGEPIDEEAFEVLRQAALEKAGQAFTDGTSPLLAPNS EELLNIIGMAVKNKKEKHAGIGELENMKNRPMILIGASTFNPPPTSALSQGLGHLRLF STTRSFLSSSSSSSSSDSNSNHNNEKGQPEQSTLNNAKEQPQLKPRLTHLTPTGEAHM VSITTKTPSSRTAVARCTVHFSNPTAYPLVVTNSLKKGDVLGVARIAGIMAAKRTPDI IPLCHPIQLTHAEVELVPVAPVAPAADSVAGSEDLERGSESAKGQGYGYIDIRATVSC YGKTGVEMEAMTAASAAALTVYDMCKAVDKGMRVEGLRVVMKEGGKSGKWVEGESPQV AALASSPYGRYGVSLRPCLTGTLNPDVPSSAAGSQGLL NEUTE1DRAFT_117105 MKLYYIGIYKNTEQPAVQLCGAHELSDFSRFTRDQYKNFMTMIS RTVAERTKPGQRQSVEEQDYIIHAYARSEGVCGVVITKDYPPIAAHSVLSKLMDQFLS EKPVATINAAKNDGDIPFPAIEQYLRDYQDANNASSIAKIQQELDETKIVLHKAIDSV LQRGEKLDDLVAKSSDLSAQSKMFYQSAKKQNSCCLVM NEUTE1DRAFT_82536 MATDFVMPQFPNNQQQSHFQQTYRGFHNNPSMNRQQPPPQQVST GAPYQPSAQYNGNGNGNSRQISPLSTSGNVSPTSPKTLTRQIRPLYMPAALRPNQYAS KPPTSRPSSKDEEIEEERKLKPTTSYIGRGALGRLSRRNTGDSGMCIDPNVNLDHYPK PTGVPTRKHWKPDAESTVCDDARCKKNFNYFTRRHHCRRCGNIFCDVHSAFEIPLDQD ANYNPRGTPSRACQHCYSQFKEWRSRANSQSSTSGSSTSDGNTTSSEPGSPVLASPIT PSGIKMGFNLGLPSMPEVAHSVPRDWNWSTF NEUTE1DRAFT_117106 KKDAYNLSHSNASPKNDADLNNKKTATLQRPKQKGPPILRTCLD ETVNIPSGNANYAICKSVG NEUTE1DRAFT_82538 MASISFSLPKASLFKFPPATNPGFVPPPPAGPNSSTVFDIPDDV YYAWLDPKVPLTIATVYAVTAKLLNIYNKSTGKKPWAISKTRPFHWFVIAHNVFLAVY SAWTFIGMLGAVRRSFVSPFGPEGLAGFVDSVCRINGPAGLSNSVFYNDEAGAFQTYS PHVTLGADGNPSRFDSGRLWNEGLAFYGWIFYLSKFYEVVDTLIILAKGKLSSTLQTY HHAGAMLCMWAGMRYMSTPIWVFCFVNSFIHALMYTYYTVTAFNIRVPTPIKRTLTSM QITQFLLGASYAIVHSFVSYTIPVVVANPEKTASAVEAASTVVDSQKVLVFGNATRVD AAEATYGQRVVPCVTSNNSTFAIWLNVFYLAPLTYLFISFFIESYTRRSNAAEKTKNA KPVDNVAMAEKAGWEAAKKMEGEVYGETKEDTYEARTTRSASKRTLRQRA NEUTE1DRAFT_110351 MPGLFAKHSTEMSTFSLPVSGSSTVGVALLYHSPLGKGPLIHAP SLSRLREFVGFRRPYREPRGEGDSSSSSTYSKRRAVIATMAPAHPVSAPTKQLNGKEE EQDSTAPDHSVAGVSFDVLGCLGNAKPRVDAFAVMETDPVVAKQPGGDVSLENRMRWH LATQACRRLGVAAPNRIADRLESSKRTRFTVVRRGPRRSRSPGLPYKFNKKFQKKAAS LPRHLIHISPTVGKSLHFMHCNSARLTKTTSTDTTHTRLGLWQANKMSQGGRSRAWGF ARAEGKWKVNPRYDFFIFSFFFHCDCRLPHDVGNGLSSRKFDKHVKGTWIQEQKCCQT PTPTLPTPLIASFLQNNVHFPRIPSVAVLLPFPRGYHKDIKP NEUTE1DRAFT_82541 MASSVSGPTPPGLPPLPPGHGDYFTETQWSVLMSLLDATVPSIT AVSDRKDDKTQLGITDAEFKEIVTKAQASVVKKPAVEDITAYLAERPSNDPAFCRAVR RVLGNVPPSAQKRLGGVLSALSTRPGSLLLTGYATPIQDQPLHIRESILRSWSSSWFG TPRTLYKIFTAIAKVLWLQTSPLFRTVTGYPDVPINWNPGPTEDFGFLQFDTSVSEPA TVDTDVVIIGSGCGGGVCAKVLAEAGHRVLVVDKGYYFNPAQLPMPMEQSGFHLFENN GLVNSVDGSINAVAGSCWGGGGSVNWSVSLQTPGYVRKEWSEQHGLPFFESAEFQNCL DRVYEFMGVVSGDKVRTTYRGQKLLEGSRKLGYEAHVCPQNNGGKEHWCGHCPLGCGS AEKQGPAVSWLPAAAKKGATFMEGFSVDKILWDESSSSSSWFGSGGKKKAVGVEGTWT ARDTNGGVIGERKTRKVVIKAKKVIVSAGTLNSPLILHRSGLNNHHIGRNLHVHPVKF IGAYYEDDVNPWEGGVITTICTAFENLDGQGHGVKLEDTCMLPHSILSQVPWRGALSW KLSCLRYPQLSAWLSLSRDRDTGRVYADPVTGKLCIEYTISSFDASLNFEGIIALAKI AYVTGASEIDPFLPGVEPFVRSSPGTPSTPTEEAKEEDYDKGVKDPLFEKWLSTLLHQ RDNAPLCPPYVSAHQMGTCRMSSKPENGVVDPKGKVWGTEGLYVADASVFPSASGVNP MVTNMAIADWIANGVARDLGKKI NEUTE1DRAFT_82545 MGGPAHHEPFQALGPISWPTDLAGRPDSDLQALMTSTFTNAQTL VDSIPIPPSVAAATAKAAGAAQGRPRSATSSAITGRHQPDNSGFLPIKLSAEAAETAT KLRKEWKECKVSNTKENPLGINVYKLSAKDGKGAWFARRSVHKDVAFDKWRAGLEREF AESIKRYQENGTEPGTGNIRGIGGEKRLERVSVFLVSARFPGPTTPRDFVTCLMMPGP GATGNALEKGPRQPRQFMLVSRPCEHPDAPARSDFIRGQYESVEVIREIPLDRPLRRT KSSSELSREEFHEMKDALDQADVDDLKKEAVLRSAKKAAMAVADGADDGGRSSLKGDD DDQHFAVEWLMVTRSDPGGSVPRFMVERGTPGGITNDAARLLDWLSTKSIEELTESDG EEVLEQTAQAVPQPAAATTAPDDIQRKDVTVQQKRAAGVDKADHAQSPLRETEAVEEE APASQSSGLYGMLTGALGAAGNAVANKVANFAGTTNNNTDDDTASLASSISSSDIESD VSSIRSFVSATEGEGHPINASGQSLTVNGNGDLSNDPTSATSIRSELSATESASSLQP TVTGDNGSIHLPDHYSARREKHEREVRKLEERHRKAEEKLHRLQAKQAAKRREQEEKG LAAAAAAAALDDDTASKHNKSSKAVHEDARLAKLREKHERELAKQEEKYQRELTRLEQ HRLREERKAKEKLRKAAEKEEKANVLREMEKVKAERDLALRQMEMLREQVGELQRQNT MLVARLGKYEGPGATVGSEAAVGEVEVVKNGGAVGA NEUTE1DRAFT_110354 MPRVFAVGIKRSNRNLGPPNLHTQLQKMNDTVPIALEASNADLQ TQILVPAIVSWVLIGYLWFWHRSTKVWVRCNGNINAFTNVPELTQRTRITAICLASIV FLGVWPIDVLGCIVCRVLHADNNEQGLQKTLPITRSQHELPPPPYDSGGARSAS NEUTE1DRAFT_101084 MPSTQESQTFGPWETARRDGAHGNRASEKDGGKPIHINRQHWGT GVVAAALAEWHSMAFIPSESGVKAHVKATGASSAARICHMHCRLSIRVGGFGLGSPSR ALVTFHFRTFQQGSEHRNRAEMPSVSSVGHFPSTAGRYRAGGRGAKKEEEVPAGGARA PPSVDS NEUTE1DRAFT_122492 MASTSRALGRLSAPSMGVARLQTQAVSRLLSSAPRRALISESRQ VAVTQQIRRAHTETTPLPEPPKERRRFRKLRWLWRAPLFASLAGIAYVGWGVYEERNP GPQVEPDPSKKTLVVLGTGWGSVSLLKKLDTEHYNVIVISPRNYFLFTPLLPSCTTGL IEHRSIMEPIRTILRHKKANVKFYEAEASSVDPERKVVRVLDTSEIRGDVVETEIPYD MLVVGVGAENATFGIPGVREHTCFLKEIGDAQRIRKKIMDCVETAAFKGQSQEEIDRL LHMVVVGGGPTGVEFAGELQDFFEEDIKKLIPDIADRFRVTLIEALPNVLPSFSKQLI EYTESTFKEEKIDIMTKTMVKRVTEKTVEAEISKPDGTREKITLPYGLLVWATGNAVR PVVKDLMERIPAQKDSRRGLAVNEYLVVQGTRDIWAVGDCAVAGYAPTAQVASQEGNF LAGLFNNMARTEVLEQRVRELSGSLNLAPGNAAEISKEIEEHERQLRRIKDIKPFHYS HQGSLAYIGSEKAVADVSWFNGNLASGGSLTFLFWRSAYLSMCFSTRNRLLVINDWVK SKLFGRDVSRE NEUTE1DRAFT_122493 MHEIITLQLGQQSNYLATHFWNAQESYFTYSEDQEPAINHDIHW RPGIGADGTETYMPRTVIYDLKGGFGSMAKTNALYNDLEEGQTPQALWNGPTVLQKQP AIPQSAYQQSLDAGLEPPPLTTDTVRYWSDFNRVFYHPRSVVQLNEYELNSSIMPFER YATGEDLFASLDKEHDLLDRDLRPFIEEADQMQGIQVMTGLDDAWGGFAAKYLERIRD EYGKTAMFVWGSEQESVMRAGGLSREKRLLRLANKARTMTEVYKYASVVVPFTVPATL PGSVVLDAGSQWHNTALSAAAIESVTLPSRLRDPANRDTMGTLADTLNAMGKQNVASL GMSFAPEPTEEEDVVMEGTQDFRQRQLLNQKSSRHAAVMAKENPEGVFLDINFTPTDQ LDYVRRRGGGDDDRPRVFSQVLTSRGYEVDEQAQEAKEAEEDERFRRRSSYETVMRSY HTPLRFPLLDSFPQIFRDDSGEPLKRGGAINVTSSLSTDASVHKRLKSLRTTVGRSIG LEDREQLGNELAEMADEYHEGWSSGSDDGDDD NEUTE1DRAFT_63869 MAVLFWVLHLLFFSLLTDLTTGNPLSTSPSPSQPGEEEDSFSSV ADLALQKILGDGRQIFGTFPSSFNKSAASKRRAQWMTPLPDSLPLTRLRAIPGTHDSA TWNFTTETRNSIPSNPSYLPAEWFRCQKKSILESLEAGVRFFDLRTQPAHTPLTPHKS NASFIPSLQPRPPAASSTRHTPSRPLWETPVARSSSSNASTCPTCLSINSWLYRDSTF LLAYGRRTIEGLSWFITNLTWVTEIRARE NEUTE1DRAFT_122494 MSNPEHTLGVTPPISTALPTDAEKRQTEALLKELRAQGTFESQA ETDKRWAVLADLQRITDEFVKRAAQEKEPHNAILIRDARGRIFTYGSFRLGVYGPGSD IDTLVVVPKYVTVKQYFDIFPNLLVEMAPPGAITDLTPVPEAFVPIIKFEYSGISIDL IFCSIQSLRQLPDDKDWNLNSNNLLRGLSENEVRSLNGTRVTDEILALVPEEKTFKLA LRAIKLWAQRRAIYANIMGYPGGVAWAMLVARVCQLYPKATSAVIVRKFFTIMLNWPW PLPVLLKNIEYNQSITRVAVWNPKIYPSDRNHKMPIITPSYPSMCATHNVGRSSMAVI QQELERGVQISEEIMLGKRPWKDLFEKHTFFTNGFKFYLTVISSGRTKEAQNTWSGFV ESRVRVLVQKLELHPSIALARPFNKGYDRVHRCKTDAQVEEIQTGNLTYMVKPTDTAA NGNAKNDSKVEIKAEIKTEDGVAPEPAVPVVKEEPNDVVHLGTVPLKPEDNSVKPEAN DYDEDKVKLEDIPEKEPELEIYTTNHYIGLQLVEGAKSLDLSREVDDFKAMCTSNDIF KAELMGLTIQHVRNFDLPDDVFEPGETKPVRPVKKKKRMAEGEHANGPMAKRQQMATA PPTIKSEH NEUTE1DRAFT_63874 MKKTLLLCFIHGFKGGESTFGDNYAFTEHLRQLVAADLPNINVN VVVYPKYETRGDLGECVARFRDWLMNKVIDLEVAAGTSSPTIDPSVRVILVGHSMGGI VAAETAIELSSDKPIHGSTDPAVEDPKLDHRTADSAPLHRPPSPSSLMFPYIQGVLGF DTPYLGIAPAVFAHGVEGHYATASAALSQLSSLTSLLGAKGAQAQSNATTQKRQIAAL PAPEKKEEQQRNNGSAWGNWGKIAMVAGAAAAAAAGGAAAYYNRDQITQSVSWATSHL EFVGVLYRREELRRRVAYMVRLNNDLGVGFGNFYTRLGRAAGSKEVSMVGTVMGNERT FCVLPKKDPAGDWREAINDKAKDEVTAHISMFDLKDNPGYTKMAHDARDMIVKWLQND WYESSNGDVIRA NEUTE1DRAFT_82561 MAGSALRKGYLVLYNAASAVAWATILGRVASVYFAKGAPFVPLV VDDFARVTQTFAVMEIFHALTGIVPAPIFTTVMQVASRLMLVWGISYPFPHLNSSAWY TSMLTAWSTTEVIRYSYFALKQVDFIPYWLHWLRYSAFLVLYPMGISSEVAMIIKALV GPADQLATWYPYALVAVLLSYIPGSVVLYSHMLSQRRKQVGGGAIKEKKEKKRQ NEUTE1DRAFT_63880 MKRSSSSASAPSALNISTTGPVISPITSPMSLDSYHEMRYSFES ETTVAPVNSRKDSGNITNSADPSRPLRTTKSHNKHVNAHSYCGRHSTEFLFGGRSIRN LLSNLKHRRDSRE NEUTE1DRAFT_101091 MPVVPKGQHLEDPGWWTLVDVGLLGHTFHFCVCSVGGFHQDSGS MPVLTASNKDCPTEEMKCSWLDAMGDADGRRCRKTEWVGRLCCTETREANSRQQASAR DHSVFIRPRRNSRQPSPRDTISVLLSKQKFTHF NEUTE1DRAFT_82564 MGVPEKRKAESPLSDAAASPKPAAPGPSSPGPKENSPTHASSSP RSEGRSPTPAPDSPAPELPGVMSGAHWLQQGLPETDTDDKDSTLGSDVESSTASISSS ILNYRTINGRTYHSDSVTDGEYWAPNDQKMLGALEIYYHSLFLMTDKQLFQAPLKDNI QHAIDIGTGEGFWAIDFADKFPYCDVVGTDISPIQPTWTPPNLRFEIDDATKEWTFKK NFFDYIHISFLNGAIEDWGNLYKNAYRCCKPGGWIEHFDCSPIVSCDDETLPPDSALA TYGKILDEAGRRIGRSLTIAHDGTQEPGLKEAGFVNLHTKQWKQPLSPWPKDPKQKEI GLYTYAAVTSDLEGVIQFLFHKVMGWSTEEVAVFAAHMRQEMKEQKIHGYWTWKVVYG QKPEDAE NEUTE1DRAFT_63885 MGQSMSWLSSLSNLFWTKKEIRILILGLDNAGKTTLLYRLKIGE VVTTIPTIGFNVESVTYKNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVVDSTDMER LQTAADELAAMLNEEELKDAALLVFANKQDQPGAKGAADISQALRLGELRDRNWSIMA CSAVEGTGITEGMDWLVQTVKEE NEUTE1DRAFT_117110 MPSHKTFRVKQKLAKAQKQNRPIPQWIRLRTGNTIRYNAKRRHW RKTRLGL NEUTE1DRAFT_117111 MAENDERALQKVSLQSNDGQIITVDRVVAERSLLIKNLIEDLGD EAVMNEAIPLPNVNEPVLRKVVEWCEHHRKDPPQTTEDENDSRKKSTEIDEWDQKFMQ VDQEMLFEIILAANYMDIKPLLDVGCKTVANMIKGKSPEEIRKTFNITNDFTPEEEEQ IRRENEWAEDR NEUTE1DRAFT_63895 MLRRTYLFLVLVRLWFALSPSYLHPDENFQGPEVIAGQIFHYPV RHTWEFTNEHPIRSVFPLWPVYGLPMLLLRWLWIGNGNDGEIPPIAVFWTLRVLMFAI SFVLEDWAIHELIPSPRHRRVAVLIVASSYVTWTFQTHTFSNSVETLVVAWCLVLIQR IVLLRSLECSIASHSPPLFDSPLSFMILVFAGISTTLFAIALDTAFYTPHSITWLDLV RRPVLTPLNNFLYNIDPENLAQHGLHPWYQHLLANLPQLIGPAAVLLFTRPQCSIRLY SAISGLVVLSLSKHQEARFLLPTVPLILSSIRLPKRKSALRIWLGSWIVFNLVLGILF GVYHQGGIVPAQVFLSKQPDATQAIWWKTYMPPTWLLNGKNEVLTTRDVMGMKGEILL EELEKIATCDIPADRRSNEYLKEKNGTYLVAPLSAAWLDPYLPNKGLDGLRFREVWRY RQHLNLDDMDFGDDGVWNTLTRVIGRRGLGIWRVTKSCPK NEUTE1DRAFT_101097 MGGLNLEVFKFGMYLMFPIGIMFYFGTNLDQRFSVPDFWPKPEN ANKVPYDRDEIHEELERLRARRLYLREKRLASEAQQQQQQNNDQE NEUTE1DRAFT_146653 MASLARSIVIGPLFRSSSKSTSSSICAQCRRTFVSSPALQSGHN KWSKIKHEKAAADAKKNAARTVFTKNITLYSKLYGPNLNDNPQLATIVAAAKKAGTPK ALIEGAIARGQGKSSEGATLEPVIYEAIVPPNIALVVDAATENTKRVIEDLNQMVKKA NGAKSPSKFLFERRGRVIFEKGESDLDVDDIMEDAIEAGAEDLENDEEGNIIVWSDPS STQQICKAVGSKFNLKIAESDIVWHAIEDTKAPLDSSEELVKFMDLLTSIKDYPDVQA IWSNVSRGNMSDDEWARVTELIDE NEUTE1DRAFT_82583 MSSTPADATNGERNGITNTLTSHATTNPELDLAKLQALPAEQQE LFLLTSVSALSRHVLTLEPDDCTAQQFYIKKEAFKVLNLTSPSPTRVIRNALGRSLAH VFDKGDRKLLFETVNELVGIISSGKLKTEGEIRTKHAAVACLGDIYGSAGDSAIGLHQ LVCSALLKLLKAASSHAGLRAAVLTAFGKIVTMIQGSMDENVARDIWKQGRNHASGDK GSLVVVAACRCLRSLVQYTPYFKNSTDFDKLKSTLFKTFDNPSSNARSAAADCFAQAL VGGYSESAIGEAPLPLVKKSKSKAIKRQSTMGGLQDDEEVPSRPESPAPTQRPQDLTL SLADILKTLSTQYVRISTTNKARAAIAICIGNVLQKLGEKTVEVNYLRILEILTADFL GHNNILNNRYRLLISRRMVDTIIQDILGKRILGESGQITAAKAIVNDILKNYPQALKE KPEPSKHTLVVSLSALSSLISSLGTAVTDFSEGCRDGLLQVLQHPSYTVQVYAAACMK NFVLACPQQLLPCLSVCMNSLSRELSLLGTGRNSPRRCIGFAHGLAAGLSASPQRPLY GSVEINSRVLTMATNLLKSSGTSELRVASTQIQVAWTLIGGLMSLGPNFVKIHLSQLL LLWKNALPKVLAKDSMAVHRNLLNASFLTHVRECALGSILAFLQFNSRLLTVDVCKRI ATMLQSTTAFLKTLPAKKTSDDISERLTPALQLQDLEVMVRRRVLQCYTKLVNVSPAG ATEALLQSNLLTLAISLFADPDNYTPSSLSTSIANAAGTFDSIWEVGDNSGFGVTSLV RGFATRSLPGQQTNGSDSTQQEYEPEECIERLLLSPVCGTLENDACLLYIGNSDNPGL PDPAATEVVNMAIQLFAFVFPLTPSKVQESALEQITTFIRAGSLQRETGRKAAVDVNV VTALLSTLRVACKETKSSPGDITNVAVEKLLQELLRDFVLDPDQYVRSIAYEAIARLC SACGNAFTNQEIKFLVDTIVVNREPSARAGCAMALGCIQTKIGSMAAGYHLKTILNIL MSLCNDPHPTVHYWALEAIARVSDAAGLGFSSFVSSTLGMLAKLYVSDTHNPELASPI TMNLEADLSSSAAIARCVDALINVLGPDLQDSAKSRELILTLVGYFQQEEDLEIQRAS LACMEHLTLYAPGYMDFIDYVRTLQRYIKSDHAALRDVAVDSLYNLMKRNSYDVIKAA EQGFEDHLWLVLDASPSHDGMRNLIRNWLRQTCLSDTAAWLARFQHVLKMTRPKASAQ ATTNIGRSGGGIDLQDEEVAGFAAAGGGAKDDKDTPSGSDVEPLKWQVTTFAMDCLND IFILVTKDVATHGESAAQAALQNKVADVVRMAFSASTSGVLDQRIWGLKIIGAVLKMF GKIPDPDFEEAMLLEQYQAQISSALTPAFAADSSPELASEAVDVCASFIATGIVTDVD RMGRILKTLVSSLENFAKDEDNAGIGDLKGLSSNAQVMVKMSVFAAWAELQVASSEQK YLLDVLKPHIGTLTPLWLESLREFARLRFEPDISMTLGPPSLSGSLDTVYAALNRETL LKFYQESWLKLVDAIASLIEQDSEFVFDALDGKELSGPTTNSSSKGADINYRDEPVAF FFVLFGIAFEALATRPGQSESLATREQTLEILRALKKILHPSVSGHAIYRDAIFSETM DLLDRLVLTEGLDVQGVIVEIARALCVAHPAARKQADDPENSELSDDIEQLFELTRII VLVLSGLLPNLSETQQPVRHQMTEEAILLVKTSLNALVDAAEVFPSIIKTDLHACILH IFATILATPSCQEVIVPQNLSTLKRFINGMTGSRRDGGDDDEGNSPTDVQLLGCLRRF LSIYLHAQKREAPTSLTCVKNCLLAITILFTGGKNHLPASEPLVAKFLDELVDCLTDR MTAKIAANCTRSLLLNTNANNQPTSADHSISRHLLPRLIAFVTNTSPEDPEQARSLIA QTLVQFTAVVARGSSQDGGQGASIAMALVVPTLLSRAATEGAKDDVYRETSARLLELA SADQTAFRAVVGAMSEGQRAFMEEVIKSGRSGGMGGGGGAHVGAGGRRSEADEEQAPT IALKMNFGG NEUTE1DRAFT_146655 MATPGRLTFLYPQLFRTGALWSEPAAARVARKPRRHVVPLSSQP SCCAHTASAPFSSSASRRQAAFVKYGKAVEPQPLHDETPTTSSDPKPSADPNPNAAPA EKQQSEKSEPTTPTEGVPDAPKAAPSSGGTPEQPPTAAAARTGSDPTADKKSTTPMET ILYMEPPPSDNTTRLLTDSDNGNGRPPHLQPPPYVHHFDSYSLVKQLSAGGYTLTQAI TAMKAVRGLLASNLDVAQAGLVSKSDVENETYLFRAACSELSTEVKNNRRVADEQLRQ QRTHLLHEVDILTQRLNQELLTLNDNVRGMFNDRKMAVREEQKAVESRIQQINYKISV MLNSDARSDIEALRWVLIRRSVVGILFMAVATLGTLRYATYVNHERQREADRKKREAE EARRHDGTRDVAPAPFAAEILAAN NEUTE1DRAFT_122506 MAPQNLKVLTDNTSHRSRGHGSTTSRQKQRDQNIHHVEVYLSRP AYLLSAPPTGRPSSKVAGADTTTRCGRSEFSVRQWEAYTTDALVELLETIIEDYREKE DGQGVVLIGHSMGASLAALLASPRPPPRTQLHDHVAGLIAVCPTSGLLSQKQIMVLTA LLWVPEIIFNLWRAWDKRGGSQSASVRRFVGADADPEAKRLQDLYNNQAITSVWRRMA WGCLPTHVKGVAKGGLPSKETWEKLNVPVFLVGGEDDRVTPPQEIAKIRDFLQEVKTT PDTISPGTSVLADSAAPVDINAAASKIDALTSLGQGEENTRESADQKPVHRTQVAEPE EGADDPVTPTEQAASLPSLSLHRKKVVRSIIMGKPATHALLYTPSTARILAGLISDFM VVHITGRLDLGWQLQYLSRDGKWDVKNLQKWQAVEPVSEPIGGIFRAIKTLREVDEDH SPSEFVKKWGGVIKDVIDISHDNPVYNPQGLEKGGIHYHKFPTVSKVPPTDAEIKGFI ELVDKVRDEQKERAKRENWGEEYYIGVHCHYGFNRTGFFLVCYLVERCGYTPEAAIEH FAQSRPKGIKHAHFKDRLYVRYSGLRSEAIAEH NEUTE1DRAFT_82594 MSSITRQSLLKASESFCTAFASQSPPSEILTKHFTQNSNDIIVF EHGLPRLAPFLGREYKGKDGFLEYFNTIGEQLSYKDMRFSDYIVDAEVRKVSVRGEAV FTNKKTGQSWDEVFRYVLEFDEDARVKTYEIWADSGAAYLASRGELS NEUTE1DRAFT_82595 MAPSPERTPLLASDQSPDRENDNAAPRSRWRELALFTWALLATA AVIVLAVVIQHSNSTQHSSISPAAVSDSSSVAGDKKHGSPSGGKRNLVFMVSDGMGPA SLSLTRSFRQLTQDLPIDDTLTLDRHFWGTSRTRSSNSLVTDSAAGATAFSCGLKSYN GAISMLPDHTPCGTVLEAAKRAGYYTGLVVTTDITDATPACFAAHVFHRMQGDEIALH EVGHGPLGRVVDLMLGGGRCHFLPRGTPGSCRQDDIDVTKLAQEEYGWNYVSDRAGFD SLGLGKNVSLPQLGLFATTDVPFEIDRRNMADVYPSLSEMAATALAALEEATKDSDKG FFLMIEGSRIDHAGHINDPAAQVREVLEYDKTFKSVLDFIEKSDTETILVATSDHETG GLSTAWQAPSELPVYNWHPTVLARANASSEFLTALLLKHIAETGDKEESLLRWINDEL VVKHLNIKDASEVELNSIASNPALAIQLFARMVSVRARVGWSTHGHSAVDVNIYSSGG PGTEKIRGNVENTDVGKFLRGWLDLDSEVENITKELVKKLGDKAPSQKQLEKIEKAST AFEADGLAHGGFESGLYGHVL NEUTE1DRAFT_122509 MAAPVAMEHPDLTDLSNAPGQKRKRASESASPDSRRNKRGVPPA TMAVPEPEAFLENAVSIAQAAHDHVSADDFNALAQAASDHTDTADANSATNTAAAALN MYPTLHVPPPTEETFAPPPPGPEMQHHHENAYHNPSPVQEPDGLPPMTPQTSLPPANG VQPEPPKWGTHLPPKPAVGSEEWHKMRKDNHKEVERRRRETINEGINELAKIVPGCEK NKGSILQRAVQFITQLKENETQNIEKWTLEKLLTEQAIQELSQSNDKLKQEVDRLYRE KEMWKSIAESAGLQPPQPKEEPEGSS NEUTE1DRAFT_122510 MADHEASKINHDNHLLLDQPLLRLPYELLRKNFRSAHFTVEKES TTLNKLLKETAKGSLDGKTSPEDVVKNLDTMIAKMRGMKRKLSMYANEETRLYKQLDA RVAHLRELSDMHSVEDVKYEAWSRKRLDRLLVDYMLRHGYNTSAQALANEREMYDLVD VETFLTMSKIRESLENGSVTEALAWCNDNKKELRKLQSNLEFLLRCQQYIELLRINTP SKSVEAITHAKKYIAPFQEQYPDEVREMAALLAHRPTDKNLPPKYAAWYSPDRWTKLA TSFVEAHNKLLGLPTFPLLHTALSSGLSALKTPACHGTQKTTSSSQPGHSQTSMTSTV CPICSIELNDLAKNVPYAHHSKSHLDNDLLLLPNGRVYGQAKLDEYAAKAGLAEGQVK DLVTGEVYSRTALKKVFA NEUTE1DRAFT_82608 MPPPPINRFPSGPSPYHQQFPTHGQHTASHPPQLTGNPAYLNQN AQVNPFSANSNLLGLGGGLNAGGGGFNVGGDSGLASHAARMGFQHGAQLQQQQQSQQQ QHQQQQAQQQTAQHSQHAQHAQHQQHMQHAPQQGHGALADHVTRSQSKSRIREVWRHN LHEEMAILRDLVDKYPYIAMDTEFPGVVSRPMGGFRGKSDYHYQCLRTNVDMLKVIQI GIALFNEDGEQPPARPNSTDAMDLAGKRAANQQGPFPFAWQFNFKFSLKEDMFNQTSI ESLQQAGIDFSLLERDGIDPKEFAALLIPSGLVCFEDVRWISFHGGYDFGYLTKLLIC SQLPNDEVEFDQIMKLYFPSTYDVKHLMKHAIKQYNSGALTPNDPGAAEILQKFEQKS GLEHIADTLKVKRVGSAHQAGSDSLITGKVFFELRKRIFNGDIGGEHVGKVWGLGIPD FSVVASLPTLNTAAATASNNNTNNTNTESSATGQQANGAQNGTPSTPNGAPVNLAGAL AAAGANAAQAAVAAQAAAAASHNTNGGAAALGNLGPMTPGGGGGVFGQFAFGSNNNTN SNSNRG NEUTE1DRAFT_117116 MRVTRVLRAVPKNPTGFNISEFRRAARVPEHDPWIKNESWRTTG QFSRSERFKGALPGFGTASVAFAIYCVYEHLFLKDKHHHDGTASAVTAH NEUTE1DRAFT_146664 MPLRPNPALPPSQPARDLPPPQRPIERPTPPPKALALRGSLDKI RSVSSEHNAVPPRVPEKPRIVPAPPPRPSSTIILPAPSTLAPLQEYHSGFSEQDAESG SGLNKHRRGTSFPNLIALTFGTENQPASSSEQTDNSQGAFADWLNSSGAAAAEALGMA PTLTARSSKASKAADNQSLTGSVRDGDTSLDKTPTRSRRRGTNASQFSNRSSMHEPST PKGNVTSRLISAISSKFTPGPAASSGPAVDDDEILNLDIEAALFPPNGAAPNSDTFSP AAYKDLQANAIGLLTKMQAAFRERVITIRDLEAEKSAQRDEIEAAETRAQHLKMQLEG MARKASEHEQAIQKLMDELAFERSKFRPVPQRTSTIMSECSVVSEDLGVDADYNARRK WRESASTIKTDYSYSTDTDSVAESESVFSARCRSPIVPGGGFDGTMSIVNSPAPSVTT LQRNVSVTNKRRSMGPPPPVPTTPKPKIMGTQMSAFQKIIRGIAPGSDENGCVNCRGQ DASMAWDTVGLLRDENRHLKERVGELEMAVEGCLDLVNGIGL NEUTE1DRAFT_42045 MAVRAQFENSNEVGVFSTLTNSYALVAVGASENFYSVFEAELQD VIPICRTTIAGTRIIGRLTAGNRKGLLVPTTTSDQELQHLRNSLPDDVRIQRIEERLS ALGNVIVCNDHTALIHPDLERETEEIIADVLGVEVFRQTIADNVLVGSYMALSNQGGL VHPKTSIQDQDELSSLLQVPLVAGSVNRGSNVIGGGMVVNDWMAVTGLDTTAPELSVI ESVFRLGEGAAPGQINSSLKDTMVESFY NEUTE1DRAFT_82620 MSSGSDRSLRRRFNQDSQPSSSFLPPAIPSSTSSAPTPPLLPSL RSLGSRLRPGMSAPGGQNGRPSRYSPFDRAMERHRTHMNPARERSYVTPGELQDSSSS SQPSLFGRNTPPEDMEELENFRRAKRRKLDSDKVSPSYKNILYGKYGQVEPGPLLLEI VSCDGGLFRDGRAYVAENILKDDASVYCTRTNRCNIILRHHGGTVFSLKELVIKAPGS HYSQPVREGMVFVSMEHDDLLKRTAQYQIQYEQPANPQPRTSRDTPTIYSVRHDDDGR IYPSRYRRDYISDLINTIDDDEDDDEDQAAAALPPEFSAASQPFNVTTECSDDESEGD ENHLRRRRTLNRIGALPFESDSSDDGRDPWNPSGLDWTDLTRARPSASWRNEYDTATP ELERAREASQVATQEAVRAVGGALMSPLAQFYIEKDKNKCIIKFDPPVSARYILLKMW SPHPDPLKNIDIQGVVAKGFAGPQLFPAQTLQ NEUTE1DRAFT_122516 MTTTETNGSDEIALYDRQIRLWGMKAQEKIRSANILLITMKALA NEIAKNLVLAGIGSLTINDHAVVSEADLGAQFFLSAEDGHLGQNRAVAASASLQRLNP RVKVIVDTDDIRTKHSSFYSSFDIIIATDLDADTLNVINTATRIHGRKFYAAGSHGLY GFLFADLIEHDFIIERQRSNLSTTLGPETKTRSIVSVAPKEPDNKDSHIELVTKRELY STWLLASSDASHLPQDILSSPRRKRAVTPILSCLRALWQFTSTFGVPPTGPELLTNHT ALAAFTQMCSDKHKALGLPAETLRAELLRSFLQNVGAELAPVAAVLGGQLAQDVINVL GQTQQPIQNFVVFSGESMEANVYALHPREGELGRGLLPVTMGVIDAAAEVLEKEGQTT DLMGLGGQHLGGQQQQQQPSSQMEGVEQQQQQQQQQQQQQQANGVSTAQSTGAGQQQE HEGSGAGNA NEUTE1DRAFT_122517 MDDASSSQQLVDTSQSTPDSQNEPITNTMTPSSFFSSNDTPSNH VQQAPADIAAPSALIPKPASTRGGTRGRGRGGRARGKGRVAKAGPKITVAKSSTAMTK PGTGRGRRQRAYQYTRAQAAYERNMELRSAYSQLARLAKPVLDEIGSREKKALMENPK MVEEAPEYQEVMAFLNQRRDDTIATSARRLEFEKAMALNVFEGQREATIRSTTQLTAE LCEEWYTSMLAEVERLEFLHDNKLPLDLPPAPSTDYTHKDISQKQMDEQGRFAERDEE GDELPCSGKKLSELMTEAYQVHSQLSPKRKADGQLASEPNPKMVKAHTSSLLGGTDTI PGSKSNTPEPGSKAPSPVPFSPSKGGRFEPSLLDPPLPKGAEEPDEYGVRLIQRKDKR AEFHNNRIMVPPIFEFDDDEIGFRDSTNDPVKGATKAKRGRFYMTPNSNYFYVDRRVS NWDSTQVEGELDEEIVKQYGLHPKMGIPLSSSTNVDQPPMPHVSGWKPVVLVDPRGQQ VHASRTIKAARLDAQGRKFDLMNALRKFCEQEGIPEKELAPSKEEREQKRRQELELRG LDPDQDIESQISQSVTPARVPSPVNTTVFDDFVQGALQAAAADEEAERTAAASRSQAS KPFDAIRDALMDNSTPVPAPQPPAQQPPAQLPTQETQVTGFADTTSLSYLADVAERES QEQRYLMHPYHHQGMQSGPPRTYSEAHQESTPIDPSLLPPQSQHNGVPRNNDFERPQH YHHVEYPRPEGYGVYAHQEPHPNDGSRPNDFLRTALNPSAYPPPPESHPGQYQDYSMQ GPATGRTPFSNTASSKGLPALRPMHGTPGPDGQVSPVLPPSAHPSMVVSNSGAFYPPA PNRPFHNGYSAQESPVPMQAAVHHLQGMSLQPPPGHAEPHPSRMQMHHYPMPPQPYQN GPIPLAPAPGPMQGPVHIPAASPSPTPGPGHAPPMLQPGPPPHSLAPMPGQSMMPTAG SPPPGRSRPGSSSAPSGPPPPSGASAASNKYRKLEPAPTPPHRLGYTANGQELRTVQF DYREAIKDYTPVEPPPRHGPTHIRGWTHNNLKKGPGANSSRPTSSKGAATTNGVNGAT NNTTNGDGAVSKMSAVFPPPVIFQAPAPSPIAPQVPAPPPAASQSAIVSEGPALLPAS PIPRGAFDPHAADIPQNSTTNSQASIKPVRVVRTADRSLLVISASAMKKFYRNRSQSA TSSSSASSASSASDSNGDNDDKGPDFVKEGIEIAAPSPALTPATEASISSSSTKRKRA STSEDSGSSNGPKRVKFVSKRDAAPVSVGKDVSSASAELPQLRPQSPSQQRKPQQRSQ QEGIIMDTITVATISSPVTAKSPTAAKRKSPTAAKNSPAKTPSKTPAKRKRAAVKIKQ EEGSPSPKRVRIKKENEQPRQPAHSSYRLRNRGGPRLEFKENSKGEMELVELGNEEQR RGLI NEUTE1DRAFT_24852 KKQHKQKQHSDPTIPFSPDENILLVGDGDLSFAASLVEHHRCTN LTASVYEKDLDELSAKYPHVRANVDKILAVPGCKVLYNVDARRMAPFAHKAKPKDKQA GRVEQVGTMDRIIFNFPHVGGKSTDVNRQVRYNQELLVDFFKRSLLSLAPGGSVIVTL FEGEPYTLWNIRDLARHSDLAVEKSFKFQARAYPGYHHARTLGVVRNKKGEAAEGAWK GEERPARSYVFVRKDDVPKPPAPGMGKKRKAEESDDEDEEEGDNDWGS NEUTE1DRAFT_82628 MAITLGHNGRRRDLMANTCQTMVVITIQESEPHHLEVLREQCKI NHQSFFLAVLTEDGHTVYFTGPNKLPEGEIQRYFDMDRFIRYQKRAAENGLAGAYDEM SFGPEYHGRRGCDRRMETAEQFYDHVPPTYRTRKRQRANVPRRVRDDDEPAVTVSAKK GIRINNAQEVWDFYDQRFKKIQQNTCKLIAKAWIKAIAPKKQSTHPYTGTKIPDWWPK PLGPTKDEGMRHKEPDHLFKPERLRLLCHLLRLIVEPNSQQHPSIRAQELTVAKLEEL TMEAMGGFFSDRANPNQAKKKPFLKEIFKVAKVEEQYKRGEIDGDTVVYVMADNNQSD FPSDDEDDACREEDDEHHLSASLARCRLEINIRLL NEUTE1DRAFT_101117 MALAVMSMNRDPARWTSVELAEHDVEKEISESDNHTLGMTVLPL SRTASEKVSAVRQQVESGYTGTPTSINQITHRHKWVMPLWALFASRPPSSRSDTPKWG PIDDISLSHSLSKTRWLANASFPPKKQVGQGPMGQQEASS NEUTE1DRAFT_122521 MGLEYIDSDGFGSQRVLFYESGSFTGYRPSVFDLGSGYLAASKD FKQPLCGNEEGWGPMSPFRYDFTPCFIDVWVASVAAFGLVAGSAAVWWLVKRKTPTPV AKDWHFWLKQVLLGFIIADVAVQLAIQIVSYPNIWYGDFRVWTTAGTILSLFVIFAIQ WLEHARLRNANGVVLFYWLLLLIALAVKLRSLISQQIYAKNEAYFITYCIGFGLSVVE FFVEWLWPKKDNAYEALIDEPECPVDYATVFSRLTFSWMTPMMKHGYAQYLTEEDLWG LAKSDTTKTTGGAFAKAWEHELNKKKKPSLWLALFRAYGGPYILAAFFKIGNDLSAFA QPQLLRYLISFIDSYREGQEPQPVIKGAAISLAMFAVATFQTTMIHQYFQLAFETGMR IKGGLTSSIYRKALKLSNEGRASKTTGDIVNYMAVDAQRLQDLTQFAQQAWSAPFQIT LCMLSLYQLVGWSMLAGIGVMIVMIPINGMIAKFMKNLQKQQMKNKDARSRLIAEIVN NMKSIKLYAWGTAFMNKLNYIRNDQELKNLRKIGAGQAFANFTWSTTPFLVSCSTFAV FVWTQDRPLTTDIVFPCLALFNLLTFPLAVLPMVITSIIEASVAVGRLTSYLTAEEIQ PDAIIVKPPAQELGEETVVIRDGTFSWNRHENKPVLTDINFRAHKGELSCIVGRVGAG KSSFLQSILGDLWKIKGEVEVHGNVAYVAQNPWIMNATVRENIVFGYRFDAEFYDKTV KACALVDDFAQLPDGDETVVGERGISLSGGQKARVALARAVYARADIYLLDDCLSAVD SHVGRHIINHVLGPKGLLASKTRILATNSIPVLVQSDYICLLSDGEIVEKGTYRQLMA MKGLVSELIKSAGQNDSGSSSPSGSSPNGSDSETSTVIEAEAGQEKDEMEEAQEGLTT LQAIKPGPGSSSAAGKRRTGSMGTLRRASTASFRGPRGKLGDEEAPNSKTRQAKEHSE QGKVKWSVYGEYAKTSNLGAVAFYLLTLLAAQVAQIAGGIWLKSWSDKNTQAGGNPQV GKYLGIYFVFGVGAAGLTVLQTLVLWIFCSIEASRKLHERMATAIFRAPMSFFDVTPA GRILNRFSSDIYRVDEVLARTFNMLFNNLAKSGFTLVLIAVATPLFAGLIIPLGAMYI FIQRYYLRTSRELKRLDSVSRSPIYAHFQESLGGITTIRAYRQQDRFELENEWRVDAN LRAYFPSVSANRWLAVRLEFIGGIVILAAAGLSVIAVSNGAPLSAGWVGLAMSYAFTI TTSLNWIVRQTVEVETNIVSVERVLEYARIPSEAPEIIHRNRPPASWPARGEVEFNNY SARYREGLDLVLKNIKLDIKSHEKIGVVGRTGAGKSSLTLALFRIIEADSGNITIDGI NTSSIGLLDLRRRLAIIPQDAALFEGTIRDNLDPGHVHDDTELWSVLEHARLKDHVAS MEGGLEAKINEGGSNLSQGQRQLVSLARALLTPSNILVLDEATAAVDVQTDALLQQTL RSHLFANRTIITVAHRINTILDSDRVVVLDKGEVAEFDTPKELIKKRGIFHGLVKEAG LLNEVLE NEUTE1DRAFT_117120 MSWSQKTFTLPAQSRGAYLITDIVTKEVPEIKQYKVGLLNLFIQ HTSCALSLNENWDPDVRLDMSDTLDRIVPEATSKNPDLYRHNDEGPDDFPAHVKSSLI GTSVTIPITNGKLATGTWQGIWYLEFRAHKHRRNIVATIQGEKGKDHL NEUTE1DRAFT_82635 MSTITSNALQSSVPPTIPLPFNANQPETIRLYPLSNYTFGVKET QPEEDPSVLARLKRLEEHYNAHGMRRTCEGILVCHEHNHPHILMLQIANAFFKLPGDY LRPEDDEIEGFKQRLDERLAPVGSLGEGEKAGDWQVGDCLAQWWRPNFETFMYPFVPA HVTRPKECKKLYFIQLPKSKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLSR YNFEFVDENGEVVAVTPGDGDGYVPKTKILASGDDVDMKTEEGNGP NEUTE1DRAFT_101121 MIKLDCLQALKRETSPAKLEAALNAGVGLLADIQKAFADASTVP EIAKWCKSIQDLQAQSCKQRTVVGVVGSTGAGKSSVINAVLNQESLVPTNGMRACTAT ITEIQYNDADDSQESFRGEVHFVTEDEWMKELHILLDDLQAPGDNRSGDSSTGAEFFG SESAPAVAYDKIRCVYPHLLRDDILKRKFTPQGLAQDPSVSGVLGTVKEVRAWTIKEF NEQLQVYIDSKEKTKGRQKDSDRATEFWPLIKVVRLFVKSKVLEPGLVLVDLPGIHDS NAARSTIASKYIEECTGLWIIAPITRAVDDKAAQTLLGSSFRRQLQFDGTYSALTVVC SKSDDVSVTEFLRTMPESHKVHSDFATLQVLQGNEEQLKQELLPIKNLLDNNRASSKI CEDSMEFLETAMESVEDDQVFLKTRLTVASKRKPKDTDFDARKRSKQDQQWREESDFG DDSDDDLDDAGYAEDTEIISMEAAELRLKTLKAEKKALKEQGSDLERRAKGVRKQLRE TRHECEHMKALIKSACIQFRNNYSRPVIQQQFAEGIRELDQENAAMDYTEVAARLPVF CVSSRAYLKMAGMLKKDEPTTGFLSIEETQIPALQEHAMNIVAETRAAGCRKLLTEVS SFIVSLIVQIIIAEKPLKLADDLKEQELAFLKEALAKLTKELHSTVDESFNGFEKAIK SQIIDKFESSIRLATATAVETAKTWGQFRDQDGKRGLVFLTYRATCLRDGVFKATRGP LDWNQELCDPIEKRIAPNWEQIFSKTLPRGIKHLGKELAKSLEDFEGRMHERQQLRDS PSYDLVRSQMKTVGENVKDTISLRGRTSLKTGQKNAIRLLKPAVADMMRGQYQACSQE SGIGSYKRMKDSMEEFVQTVAQHMFKAATDLVGDRIHKTLEEIRSDVDEQVNSVVARV DDDCKSLVLDRNLFKALESSRRVIKEMLETADNRFKAATQDPQSDNNQAIEPYRVRLP DADPSTPSRRSRMTDMMHQSPFSPTTPAQQNSPSGLTFSGGVSRLTLASPTASVDAAS TPIKKERE NEUTE1DRAFT_122524 MDSTAKILSDLHQKLADLHRHMAEFHRYMDDCLKNYPEISSEVS RVIAESMHKYPALTLMARDARDPESPRPQSPTDKILDSEALCRDARKSPPPILYHTSG TPKEGPRSPHEREREFQGLFTPRYLPLLDSTHRGLQSPPESPVPTPLPLPLSQDNVKK VDDLKEPEAMLKFDIRPTPVRRLTDRSSSSVESSISGSDSKVRRSALRRSSSSTKGSP RRVRFEFAGEEVFPSTSPQDASAVTVPPPLSGDDGADGAQTPPPPPPPQLEAAAPIIP STSEPPAYTGTSLLDIEGEEDALPRPKKVSSTQALRALSRNAPLDAGTWTEVNADPEE TPKMNGGKQDDCTLKQDQPSGQVSGGKPQDGQHHEQLHPQAQSFTKHKVETKSSPLAH HVVTQQSNGDQSLGSPLEELQRDEDDDSSDDDEFLSMKAKKKPVSPTIRSSFGRLPTI SETAQNTARSSPNNAAHTKSPLVDEFGKGKVVDDVPEDAFFDFEGEGISTGVESDTEK YIAEDDFDDDDDNDAAASKVPPEERPRVASIASGSNASTEPAPVRKVPPATPSAALFG HSVGSYKGTSMRMNPISNPKLYDEIAGMRNVHTFVGSVDGRSGVEAIDMGSYRAYLVK NHASATPRSFTERLAFEEAMERRRSMRDSDDEDL NEUTE1DRAFT_63954 MSALPTLLNLLFAAVVLFVAPSLAYQPLSDTQLKAIPAPLNSDF DIKTGALLAPILIPRVPGTPGQAKVQKHFVDFFSRELPEWDISWQNSTATTPLSGKKQ IPFQNLIFRREPPWTKERGPGRAALLTLVAHYDSKISPEGFIGATDSAAPCAVLMHVA RTVEGYLKKVYEKGVSGELGKEGREDPKREVGVQILLLDGEEAFKEWTDTDSLYGARS LSEEWENTPYPALSRFANPIRQIDLFVLLDLLGSADPGVPSYFQTTHWAYKNMATVEA RMRALGLLESKPKDPFLPEAGKLKEHFGRAYVGDDHQPFMAKGAPVLHMIPTPFPHVW HKIEDDGEHLDLPTVRDWARIVTAFTIEYLEATTGEAGAAGGGKEGKGEDAAAAAAKQ GTTDGEEKAGEGAGKGP NEUTE1DRAFT_63958 MDGRKHPSSFQQLEKLGEGTYATVFKGRNRQTGELVALKEIHLD SEEGTPSTAIREISLMKELKHENIVALHDVIHTENKLMLVFEYMDGDLKKFMDTNGER GALKPHVIKSFMHQLLKGIDFCHKNRVLHRDLKPQNLLINSKGALKLGDFGLARAFGI PVNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMFTGRPLFPGTTNEDQI VRIFRIMGTPTERTWPGLTSFPEYKPNWQMYATQSLSSILPQIDRDGIDLLQRMLQLR PELRISAHDALQHHWFNDLVHQQHHHQAQQSMMQQPPMMQQQPMMQQHRGYGQPQPNY EGY NEUTE1DRAFT_117121 MRMMKTIINVRFNSTYMKTSAANRNNYLKERQIKCISTAQQPFS GVRYPFPVIFAQGSSYASVL NEUTE1DRAFT_122528 MAHCDGLRRYQRVDEEATTIKVASSKAIRNVVKLKRQPTNKLSK PGNWKEATTPLDNEEKKRAKENAAPPSPIINQLDPPAQVTFDTGRPLDDVPDLIYCKL CKKGVIRTSGKEHIDECLRIKREKAQRKKEAREARERAKEAAREEEARKNDPDGAAGR DDDDSDADEPTERKGNGGKTTKKATGKKPDTGGKKRKAEGDLEKGKAKKKKDEPKPKT AKPKGPVDVERQCGVILPNGQPCARSLTCKSHSMSAKRSVPGRSLPYDMLLVAYQKKN QAKQQRAAIDANAPLEEDDDPNAGAVDSDEETAAVMNALANWRPQPVVPQPIIAPIKR QYQLARLHEQLQTVTNGGRLNIFKVVGFGAQKLPEGHPGLYQQEEEHAGDPDVSMQEA FSRHHLEEELMDISRQKRVRLTPELGPKSSPNPKLVRQILPPHVSDIRKSPSGAKRPT DTMEQYLRQTFAPVRRDDSVRSLHPANSSRPGTGVSSQRPPRQAYPTQRPPSRDAERA VARPGPTKHYHDELPARRDSVGVSLRGGGSEVGSPRRHYLVSPAAAQTPARRPSRRLS SASPGQKSLHLSPHVPDEVRAMDAEKCPEISPEEMDMLREIYMACQQHPEKFNEYRQE YQAYQQRLQVQKGSSGGKQPTGSQSASPATEVPPRSMFDKTEYHETQRVLEQAQFRPA CSPRHGSSTVLRGGTASSSNTLAGTRQRPEVSSPVISTQQSLPDTPHTPRNHQRKRSG PIPRIILKVPKRTLQPNMGVSPMKGGSQRNVLSSPRKTHGPVGGKSLKDSSVEESSLV GTDD NEUTE1DRAFT_82643 MPRASKLLPSLGHAASGAGGTIISTLTTYPLDLVNTRLKVQRQL RADGAIGPEDGYQGVWDAFQAIYEREGGIKAFFAGLGPDLGKSAADSFLFFLFYTWFR AKRLRVNEPYLKVLEELAVGAAAGACAKLFTTPVSNVVTRRQTANLISSSSSSSSSSS SSSSSSSSSSSSASRNLSFTETIVSIYRERGLLNGLWAGYSASLVLTLNPSMTFFLQQ ILKRMLVSRENWEEPGSAITFVIAALSKVMATSVTYPFQIAKARVQEGKKAAATTGRR RETIFSTVRRIAETEGVKALYDGIGGELLKAFFNHGLTMLSKDIIHGLIIQLYFAVVA ALKDYRKIRESVSKRAKKARGDMSRYYMLATLAAQMLRQRGLRQTLAMAGVLGKGKVE NEUTE1DRAFT_129997 MSHNSDLSGKRNTSRPVFTSYERQLAKAAWTASSARLSRESFLP FASCSLCLETAIDPVACLHGDIFCRECALTNILAQKKEIKRAEKLREQEEKEAAEEQA RRDAEAQERAIREFELTQAGLSIQRGNGNGDDKRDITLPKTESTSTKNGDEKRIEEKR GEKRKFSLDGDEVARIAEEERAKARRAIEDEKASKPKLPSFWSPSVTPSSNSKDILHD IKKKVKTQPTCPAAPEDRPHFYSLHTLVAINFTEEEDSSTKTKTRICPACKKSLSNSS RATLAKPCGHVLCKNCVDKFMKPTGHHDPHAPDVDPDAIVCYVCDTELTEKKESSSKK GKERKKEKKEKETIKPGLVELRREGTGFSAGGTNQVKKDGVGFAC NEUTE1DRAFT_122530 MASPQQQQQQQIQNHAQAPHSPNLPSRIASVMIMGLTGIISRTF LYGFNDIEVKGLDRFKQLLDSREDPERRERGLLTVSNHISVLDDPVVWGVLPLSYAFN PNNLRWTLAAHDICFANPTFSAFFTAGQVLPCHRLKHSPHGGLFQPSLTQAIRLLSSQ PFTQPGFSPAPLTSPVTSLSTPSAVDIPDPFTIGTLTYSTTGSDSHPAPSIYTRNRHS WVHVFPEGLVHQHPQVDLRYFKWGVARLILESEPAPDVVPMFIDGTQHVMNEERGFPR FLPRIGKKIRVAFGEVVDYEREFGDLRRRWRREVERVRQQEEEEVKAATKAAKAAKGN ELVTVENSSSKPRLLGDLPEQLKYSEEAQKIRIECARRMREQVLKVRRELGGYDESDP NFGEASTWAPDRKVKAEKYKSRVDGSEINQD NEUTE1DRAFT_82651 MCNEQISTKDQLSLMFRDESTKVIQKAHAQWGISDLPDSADSPS SSSSFSSSSSSSTPSPKSVVSSRSYFSERPRFKLSAAPEHSRTRLPKEIYATKDDQAI QFYVEHYVVGHPDEPTAAHELRMVEWIHAPEFRDMMAAVGLASMSNLTGNKALVTMAR QKYGLALQHTASSIANPQAMNLDISLRTVIMLAIYEVIRNKGRPTEALNPFYVGNLAL PPAYSGWFDLCNRLAAPSDKPAVEILDIATRLLKLNAYLSNYALIDGRPKTSQIIRSA LAIDAELDAWERQHSQGSVSSIWTILEERCAPGFFPSDGVFEDSYHVYADHWVARGWN HQRWLRLLTCRLLIEFSDRYPVSCAQVFSPANMAAEQQNILATIRRVARDLLVSIPTH YRHPRLERQHRDYIDMTSGGAGMGVVGLPNLLFQIQVAGSAPGVPRHYTVFARNMLET IFADTGMLQARGLAETLVVDGGVGGSGNNNSNNEVKQERADTPPEGVLTSIESLPSSR KKAPIVVPQPQTQLAGGQQLLWYHERPEESYS NEUTE1DRAFT_122532 MATNGNVNHSDQYAAPSSTTEAPATASTTSQTQNQTLPKDEVGW YFVEQYYTTLSKNPEKLHLFYGKKSQFVYGQEAEVSSVSYGRQGIQERIKGLDFQDCK VRISNVDSQGSGDNIVIQVIGETSNKGAEPKKFVQTFVLAQQPSGYFVLNDMLRYIKE EGEEEVEEPAQEAAAAPEEETPAQPEAPVEAAPEPVEEAKQPEPEAAELDASAVDQKL EEAAEEETPAAPVEAAPEPVEEAKQPEPEAAPEQTAEPEKIVEEITEEEVKKPEEPKA PTPTPAPAAAPRAAAPPAAEPEKPKEPPKPKTWANLVAVAAGPKPVVPLTKAATPAVP AQTRAAAPAAAQQPAAAPQAAETAAAPKEQANEWQTAETKRQSRPQSTIASAEKETAM AYIKYVTEKVKEEDLKGLLSSFGELVYFDINRTKTQAGYNAAVAANPHTVNGENIVVE QRRPKSTAFGGSNYGSANRGGAAGRGRGGFEGGRSGSQSGGRGGFGGQSRGRGGANRG RAPTAQTPTA NEUTE1DRAFT_130001 MDSSDAFSQHTVGDEASQRPRRMSMEEDLFYRDLMTSRPANPPS YETAMKSAMAAQRRALAAAQQGSKPVAPPEDVLPKYSCDVIAEGVFMRKMEIEHTIKR AEVRNWQMVYVELRGTALNIYSVKKERTWFSSRPDGPDISPDSPPWVKKGSLEKSYSL QHADAGIAADYRKRRHVIRLRAETDQFLLSCVELKTFVKWLDCIFAAINIAAPIDERD FPRDQSIPRLQRIRWLRGERPTPEDNISGFQRLNERRQSTASSRSGEEDEENDRNGIN STARPPTIHEEENERGSQGQNDFAAFGRGGIGQHPIVGRLSTTSYPNENVDPDTGKWR PRQIWTETHDHLYAKLCYSVLLFKSPRKSNYIISNGKRWYVDWATGRMVRVLPPAYGE VDLFGPWQIIRAENRLL NEUTE1DRAFT_117124 MQLVRTTLRQANWVSTEQFCQGQASNPFAHSCCHPSAPSTIKTR CQTPVANPPSLSKKQQCGIGIARKMWS NEUTE1DRAFT_122534 MANNLSPPGSSSYSSNTLTVGDGTWDFEKNTFLLPNLQGLNFET MRYNGMGNRFSTLTEYHSLIIGHGVIAAITFLFIIPIAVFIARFYSRRPGYAIRYHAY LQIITVGFSTVVFVLGFIAVGPPRNLTNPHHGIGVAIYVMILVQAFGGSLIRKITGHS FRLHLHRWMGRAIAILGIAQVPLGLTLYGSPKYCFILYALWMGFLLILYFILDYRDKE RREYYAGGPRSEVTGYTDEKSESHHHGRNWLGLAAGAGALAMLRGRKRNRNEERALDR SPSPYRSHAPHDGETDITSSHVRHDSYHDDKYTDVSSRRNTGEGGGWMGKLVGLGAGL LAAKYLKKNHDRRDDEYSAVSTETGPSRLPPRRGGPAPTESDYTDYTDYTRTDNRRNS KQNPVLASEAESAADDRRPYRPGTPPRSHAGRRNSRFDSTIVSDYSSYVSPSRREEPE KKRSSGAGKGLLSGLGLGWFAKKTVDRRAGKEEDRIRDEDERRREEEDRRFEEEERRA GRRNSKFTGDGYPSPSRRDSQRRPAAMRPAAPTDMTSTTGTSVLSDEFTTMEPRGHAP YDPAPVSGGARPPPAPIPVAGGAGAPPTIAPITPGPSGYPAPGHGPVAMPAMPPDPRG VFYPLRPPTDTSSSDLTDVNADRRRREAEAAAAAAAVSASLLAAQQQEDDRRRRGGPS DQPAPGVKVKVQSDRDRNITLRRMTDEDARKGSSNNRRRRGDSVSSSHSESEPSSGRR YRRSDRRESRSSSQRGRAERAAEARVDPSANPLYPAPLNTGGSGTPRQQASHGGSSGV PPYAGPSGGQMPNVAGTISSLDSPGQWSALSPSGASQNPGGGGGGGVKREVSSAAERR QRRRFERREGSRQRAATESSLGDGLSATAPTSLQTCECINASQNTLLYLAGSDFNFDS NRKGSRKRRGRRSVTVMLSDFDQTQQQPEAMPSSSSAQRQGSQQPQPQSQSQPQETNG HNQQTSSSGLSQSLNQEQQQQPQPPPPANQTQTQTQNSQQQPAEPSSSFEPSQQQPQP AEEQQQEEEEITPGPRATRLQQLFSTTLRHTLDKISRDNFAACYPTIAARAPGTLEFV QRQMVERLGVQCDKEFNSILQTRQVVPKLNELETLVGEANKRKREAGGDDATPPVPPH TLPPSIILSAHLAPHLTTTHSSLTTTLQQTQAENHQLFQEIQAQRAEIEELLAVVEKA LKDIEGANELLEGVVEKGLVEETRGVDGEISSIGVEGR NEUTE1DRAFT_101133 MVVAFGAHADDATLDSHHRILAHKTVSYSVLNGRRKQFWLYAFY NRRVWPALRHTHDKDMGIGQLLRPSSAHQRHGSWRLH NEUTE1DRAFT_63973 MKSFTLSGVLAALTATAAVQAQTYSQDGPFNLKLKSDDSSLDGK YLYACHAGAAIESLCLGSTESPAGSSSASFYYNYTVNDNVPSNSGLLIWNLQANGQDG VITVSSPLSFNYDPGSNVATTLFMPSTSPSLNVAFDNEDQLFVPTYTDDSKYVPGQYP QNTGAIALQNWQACWAQVGGYYYNVLAWVTSGAPKNPTCKAVKVAKVAVLNTEQQTSE DDHAEKGSEEQQLEGDSAGEPVAQIGDGQVQNVPANEDGEVVTQIGDGQIQNAPAEEG EDDDEPVSQIGDGQVQNDPVEEDTTPITQIGDGQVQNAPAEEDPVPVAQIGDGQIQNT PVPVTQSNDGQI NEUTE1DRAFT_63976 MAPASSVPPQLPPSVEEAYRRKCIQLKQRTTEVEEANDAARLRL ARLKRQVEKMRLERAFLLEQLAKRTSTNVEDSDGSPSPPPTPKEKPLRIKRGHRKPSA MPNLDLPSAAGATFINQNLQTQSPSSDAFSAAQPTNGLHKGTLRPLKPSSAFELYCDD KRAASKEKAAVAKAAAAAASKEAAEGSGSPEDVDNDNENENNGDITDVEEETLSREWK DLPEDRRKEFEDRADRDAERYKKEKDAYDAAKAEEEEAAANAEKAAASASAEAEATDQ PSGSKSNIGKTDDAEGVTAEEMDVDADADAETTDERKASSAKAGEEGTDTPRATQEDV EMANNDTDQETKTEQPQ NEUTE1DRAFT_130006 MSLNIPNAPNAGLFKGGYNNYDSEDGAVLRNIDACRAISSTVQT SLGPFGRNKIVINHLQKMILTSDAATILRELDVVHPAAKLLVMASQQQESEMGDATNL VIILAGELLKKAEDLLRMGLKTSDIVTGYERAQKIALDTLEELVVDKVEDLRSQAGLS KAIRTVIASKQNGSEDFLADLVAEAILAVLPKNPANFNVDNIRVVKIMGGSLEQSRVV KGMVFPKEPSGTVKKAKKAKVGVYTCPIDTSQTETKGTVLLHNAQEMLDFTKGEENQL ETMIKELYNSGLRVVVAGSTVGELAMHYLNRYGILVLKVLSKFELRRVCRVVGATPLA RLGAPMPDEMGSIDVVETMEIGGDRVTVFRQEDEVTRTATLVLRGATQNHLDDVERAV DDGVNVVKAITKDARLVPGAGATEIQLVERIQAIGERTQGLAQYSIKKFGEAFEVVPR LLAESAGLDATEVLSRLYAAHQKKDTWSTGVDIENNDNTGTLDAVKEGILDLLVTKQW AIKLATEAARTVLSVDQIIVARQAGGPKPPGPNPNWDED NEUTE1DRAFT_101137 MKLKEKSSSISMTGKSRLVITQTPDFISAICKSNTTPPTISTQN GSSTRARDSSWPVHVAVRSMVLAMSRKSQRPFVGITAGTASTGKTNSPIIRIALISDS SPTASRSGGLSP NEUTE1DRAFT_130007 MFSRLHSKHKGHSKEQQNRRPPDHQASTLHDLGMLGPSSTSILS ASGGSRRPDFDRSNHSYHNHSSVPARQYPATSYSERDSPIPNGHLGAQSHYSLVTPNS PSQSRESTMMNSNASRGRRERTFVGSECAVCEEPLEHTLQGERILQFSCTHVSHEACF YEFIREFESQFCPTCNAPLHLDTSRGGNVLDIEKISSMVRSVSVNDTRSPPAPTPAPA PAPAPSQQPWDDQLARAPSRASSARQDGGLAPHTMARVSQRDSRDAPSSSDHRYTPNS RHARSDSETTGATGGMASSGGYPETMQSGPPRRHDYDVQAMETSISSPPRAITRNPIP APTVTIRSEFPTISKSRQQQTLTCLVTVEVPDNKWRPDPEDLQNGQPMLPPSRAEEPY PRAPSPARSVPRFYPYESPEVLAEMTENLRSRVDNWHGLDFSRFGKLRLYGTLRVGKD KVSWQELECFLFAEMLICVKEKKNVPSAPGQWEDEAARKTGRCTLKGSILIKKHLNGV SETGSVDENILTLSLSVNELPQFHLRFENRNQLKLWQQALLDLNAVETSPMRSPEYDR EFSEADEDEWNRSAGSKQRVSSQASSWGGARSATTAPTEYTNVRSPGLLPSIHVPVDV VVVVPISASMQGVKINLVRDALRFMVSSLGDRDRMGLVTFGSSGGGVPVVGMTTKAWP GWSNVLASVKPVGQKSHRADVVEGANVAMDLLMGRKYNNPIATIMLISDASTSDADSV DFVVSRAEAAKITIHSFGLGTTHKPDTMIELSTRTKASYTYVKDWMMLRECLAGCLGA MQSLSHQNVKLKLKLPEGSPAKLGKISGALQITKRATGRDAEANLGDLRFGDKRDVLV QLTIMPDTSSEEPQSHAYWDTVVSGLEAIGGPMDDEDQRAMSVEEVPLIQADLSWGDI LREGATQHTRPSLLAITMLPATPGSKKHWTASPAIPPHPYIVQRRMEILTSDMLTRAL TLVSRGQHDRAHTLLSETRSILKGLGKGGLPPVPPAPGGPPTKSLPSTPLRTHSPIPA TPDRKNTPSPTMANHPMGLGGGFTISSRRSNDALGAMNTGASAIDPTTVSALDAELES SLEWINHPAIFGRDSRKAVLQAIGVISSQRAFTFRTPIESLWAGRVSGIKKLTEKSQE WTVEGGGEGGIMEEA NEUTE1DRAFT_63985 MRPDDDEIGSPLLHGHFSTEHLEVGSDGGDYGTAITPLYESDCV TPESDIQEGVRQIEAVSRAWTKSALIVAYMSIFLIAFTTSLEGQVTYALTAFAVSSFN NHSLLSTVSVVQNVVNAVIKPPMAKIADVFGRLEAFAISIVLCVLGYILMAASKNVET YASAQIFYAAGSTGLQILQQVFIADTSSFLNRALFSSLPDSPFLVTVWIGPLIASAIL ASTTWRWGYGMWALILPVAFLPLALSLYLNRRKAEALGILKPKHYRSNNNHGTTKTKI RHILLRDLDIIGTLLFSAGLSLILIPLTLISRSPQGWRDPKLLPMIILGTFLLILFPL WESRPNLAPHPLLPLDLLKSRTFVAGCSLGFFYFMIFYIAVQPYFYSYLLVALNLPVN RAGQITQTFSFASTIAAILASLGIRQSRSPRPRPFIITGALLYTFAIVILLMTRTKGV SIHSLFSAQAVLGAGAGLMHVATQLAVQASCGDKHAHVGVATAAFLTLVEVGAAVGAA FSGVIWGRLIPRKLVAYLPDALKGEAKKIYASVVVARSYPWGSVEREAIARAYQETVT VLLKFALVWCVPLVVVALFVGDYRLGEGGKWEVWNGRGLVVGTGEEAIDERARRMEER VEWWRFWVWMGKGRGYYKRMRPGRRL NEUTE1DRAFT_42863 MPLKQHGRDYDIVVYGASGYTGKYTAQHIATHLPTTLKWAVAGR SRSKLEAVVSRLQELNPDRLPPSIEIVSSATDRTALESLCRRTFILLTTVGPYGSLGE PAFAACATTGTHYFDVTGEVPFVHKMITKYSSLAAQSGAKMFPQIGIESAPSDLLTWS LSQQLKREFGPATKTGEVTLSIHTLRSAPSGGTLATVFTILETFSLKQLKEAYKPYAL SPVPHPNPDLAERRTTWITKVTGLATTPILGLGTTSVAAKTDAAIVQRTWGLLSQAST NKGKAEEDESYGPRFSFKQYMKPRNWLSGVAIHLGLMVLGLVMATPFLRKIAKRFVYQ PGEGAEEEVAKRDELEYWGVAKPDFGVDEVKEKEEGNKRAFGRYTGVLLAEAAATLLE EESVDEQLGGGGIYTPACLGQPFIDRLDKAGFHFETKIMEE NEUTE1DRAFT_42150 MSGPSLIKQSSNPRDFSGCRDSFRSMKTKVHQSVTCADSANLEG HCGRDAARFELGRCMLWKKPTLRLLRR NEUTE1DRAFT_95032 MSKRTKKVGISGKYGTRYGASLRKLVKKQEVSQHARYTCTFCGK NTVRRSSVGIWSCKACKKTLAGGAYTVSTPAAAAMRSTLRRLREITEV NEUTE1DRAFT_82672 MADQCIVCLETLDVQLPPDPLPSEPGAPAASIEVDAVTPKDDAG NSDNSPVSTTASNTGTRKHDNKHDHVAEIQVCGHALHDSCLRLWTDKANSCPICRQQF HLVHVYDKIGGKLLSSYHVEDKKQVAEFDPQQWLDENPEPEEDVSLPCPVCNRSDNEE VLLLCDGCDVPYHTYCIGLERVPPSHWFCMECADVLGEDINAQDGMPEDFAPAPRTRR GSQNARRERGNYYFPRTQGSMRRARIRARSDEWQGAWGRIAGRVWDALSLDLDYQDDD GDDGTLEDLENYRRSQRLREQERLEHERWQQRLMIATRLGARDVFTTNMPSVFQSRYI PREPSPQQTREEERAWGAFEMARGNQESSASRKRKSRSISGEEVEASHHEPERKLKRP RTRRLHTHQNGEPSTSAANQPSSSTTAGSSAFAEAAPSFLSSLLKEVEMSTPSEEDGF RDLPEFPVPGSIDTGSPIPTYVSPRATTPPMVMSRPSSPGLSSYLAPVYAPANFSLNR PTSPTAKTSRSSSPNNRPRSRTSPENSDTEQQRGRSRQQTGSAAAGGSSSSASIPIQT SLARRPHPDASHRSPETSPSRPALPLDVKQSINSIVRGALRPHWHSQKITAEQYEAIN RDVSRKLYEEVKNPAMDLGEELKQSWEKLAAKEVTRAVEQLKA NEUTE1DRAFT_146693 MSASSRGAALLRSQQRSICLQCRTQTRVLAPVAAAGASAPRRFY SAEASATATTTTTLPPPHPPVTTSTGTDAATSTSSQIYRIKSGVILTRPPLLTRDLTP FEESFYFYQKRLNERLTAPFRKDFYFKKDTAADLDWRIKLKERHGVPAKDIGRYNPRG RMAWNDEVLVGSQTSSRKHMVDKLLADAEMRVSEDGEEIPAEDRVPVEKPMPRRTEAD EKGDVKRLDRALDKTLYLVVKKKADKEGEEAKWMFPTGVVPTDEGLHETAARILAESA GVNMNTWIVGRVPVAHHVVRPVFGQKDGALLKKGEKIFFLKGRIMAGQADLTDNLHDL VDFKWLTQEELRSTLAEEYFHSVKGMFAER NEUTE1DRAFT_82678 MEVDNVNFWGHLPTILDAIADAEFISLSVIGYPDAIIKANQTKE ELYHELVEHSKVYHISKIGLTCFQYDEKQKVSAYSARSFNFAITPWFLSANIQAELIA KTVNRTFSFSYDTLQQLATNGWGFNEAWERGVPYLTRWEAQTVEERFLQPWETKKPLD INKLDAESQKFRAWANEQITSWLKEERLTNRRIALTRQSGFLYVVEALLGGHFANDIE PELCLAYLLVVDRDSRSQLVSAFRDRLRAAENRNRLRRPILLIHSQLDMLCHFYSTFL GPLPETLDGFTKEIHDIFPRIVDSRILYPLNNYPSHSFDRHKTSIEFISAAEEEGGPV VTPVRGFEYQNKMAEEHGLYGWYSNLAFLKVAAKKLQKEQWLSKTRPEKTCGSMRMKT RKPSKLFFTLNPFAPNSIISRRSSICSSVASGMTGSMVDTPPSVFGDGSEEEEEEEEE KQEASEVHRLPPWGAVFWEKFGNKLILDNGEVLALTTSIPLRKTRIRNL NEUTE1DRAFT_82679 MENTTPSENTPLLPTTTPSSPDVSRPTRPQRTVTFNPNPVTKTI EPEAAYRQARASHPSLPHPPMSPSSSSAISTGGLGGGPPMLSALNGKMRRRNSGGTIG TNHNSGLPYTSAGNTHLPKIGPQRSTKNAQKLKLLPNPELEEDGVDEESGRDVYSQYT RIKDPTARRDAARLGKADRDRLPRVTAYCTASRYQMDGLMRFLKSRSKSRGANPKLID ECIYTPYSYNPKQAAENNRAEAEAQEQQQLGHFDPIHSRRHSTGEVTQGDSSGYQSTE DLISLNLNGGSTSREGVQDMVAQGVISDENAVSDDPLADFDIQVHTPEVFLFEYGVVV IWGMSVAQEQRFLKEIAKFELEKLGPDDTETEYFNFYYTHEYQARIYNDFITLRDKNN YMTKLAISHALAQSVKTSLFEELIASTIETCKDIPTQIALTGKIDLSRQQINMQIGEL FILRVNIHLNGSVLDTPELFWVEPQLEPLYKAVRSYLEMDQRVKLLTERMDVIADLLA VLKDQLTHGHGEKLEWIVIVLIAAEILVAAVNIVVDLYAGVD NEUTE1DRAFT_122547 MNSIFGPAKTWFTSLPTSTQALDPEPAASSSNSSTPGHSHAIDT SSSSPKRTGPDDESSSDNNCFNTAIQDHLRQKSVQETPKEGKVEDKEPFGGRFEYVRA AHAKESKGTEDSDDATKSLQADFSTSSTKHDAEAGSSKRPLVVEDLEALGRSGSVDSA KRRRLSIGQHRSGCYDHLFSKDQRQRFKREPGSSHSRIRAPLRPLSKNVLSRMSPCTS LDHAKSKEIAKSIRNTPTTVGVKATEKVYQIKERAKETQAPKRTEEQVVREFTKAPID SIFGDKTASNPTNTISSSRGQPTKDARRTQGDKNPASSQPVTHQTAQATQPSRSKGKP SISRLTKADVNQFNFFKDKGPQTKHARIVNHLPSSFNEERTGYKFTAQRATNDFQQSA KEYEGPVRPSPASRRKKSTIPSSSKNPPAPTTSIHALPPTLTPTLPPPHHFPAALPPV PEPDPDAAIPPPPPPKKAVPAQSLLSPTDVILQYVIKQTKKHPISESDSVRHYRSKVV YTSKKEANETCRRRVLNLLKEDIPFQTVEQGYVGDHLFKGTVFYEDGEIQFYYVHEEA AMLGEVLEKRELWVGEEGMGIYAKRMWVVWAVRYYPSEEEETKSPGVGVGSGKGRVEV VDEGDEGDDEDDDEEEEDLFGCKDVDGQLNEGDVDVEAGETQKISEDQDTSGVQMNMD SQTEGHQTQTEPEIHTQQEITSKTQSETQTQPEKQTHAKEEVFLTTSPHPLLPLPSST LLTPATTLHGTFTTLRLANLEALEIFKALTKPEPPARMNDVSHWRDEVVPEAEARFKE WTNTNGQNNDDVDNGKDTNEQGNENDGDDYYGNMIEKRPFNIEWEPDAHLFKWRFRKV EVWVQEMELKGPKNLGGLEIKVPSSGNSNSGGVSTKTKGAGTVKVKALTATTAATTTP STAAPRTDDDDDELANQHTYYKNAREELAAAKAKAKGKKRRRPTREEKGKGKAINVDP VVPREGNGKPAVKEGEDEWGGIEEGEVSEED NEUTE1DRAFT_63999 MASRLDRLVTLIETGSTRLIRDAAVDQLATWQKEHPDELFNLLS RVVPYLRHKDWETRSTAAKAIGKIIENAPQYDPNADEEPDAVMKDDDSNGFIKKEEGP PKLSLSEEEQFSLRTLDVINILKYGRSLLRIGDKSDWGLAALDPQSRLNHQKRTLNGR LGLLGREFKDEEIPVINDAILSPATPLDPAAANGFGRHDSVGSAQTQNGETAGLSARQ LNVLKRKRKKEAQKAAQGKSGFGDLSLRRNTTAGSEGFDDTPMVDADSKGGKMNDYFS LDRPADIEEDTKVVSEYKGPIIPIKSEIEADDNLEGVEWPYERLCDFLKVDLFDPQWE TRHGAAMGLREVIRVHGAGAGRLMAKSRSENNELNRKWLDDLACRLCCVLMLDRFTDY SSDTSVAPIRETVGQTLGSVLRHCPPATVHAIYELLFRMVMQKDLQLNHHEWAICHGG MIGLRYVVAVRKDLLLQDTAMIDGVILAVMEGLANQDDDVRSVSAATLIPMAKEFVKM RSDALPDLINIVWESLSNLGDDLSASTGKIMDLLATLCSFPEVLETMKHSASHDEERS FTLLVPRLYPFLRHTITSVRLAVLKALMTFVKLGGDTTQGWLGGRILRLIFQNILVER DQETLNASVDLWAALVRTLANNNPAILADEFGPHVDTLMQLTLHPIGVSRNPIPMNAS LFQKPSGGTYSMPGLVAGGNRRSSPPEGADRTVKRRRKSTKVDEPPPTSHTHDVDGHM MQGDVDLVGMDVLIRSRVSAAKAMGLVMALVPPSTVEAYDASIIPALSSAYSSTQLTA SIIIDEYAKNSASSQASTRFVQPLLAIIEQERPSHYRDLVTYVQRVRSQSQQLLNLCR DHGKVSQSKLPTLAVVVQGEPEAGPGAFSIANAEKVVNEDFERLKKIMAPGQRLIAVK DLNDARDLVVTAIEEAKAAKEARDTRIRAAAACALVSMEVLPKKPSPLIKAIMDSVKG EENNLLQGRSATSVARLVQLFTESGRRGPGDKIVANLVKYTCVETAETPEFPQHAHKT NVILSMSKEEDRAEHEDPIKFAIEAKKAHITRRGAKQALEVLSQTYGPELLSRVPSLR TFMEEPLVRAFSGELPAEARDPSSTFGQEIVDALSIIRTMTPTLHTALHSFVMQQVPF VIKALQSQLSVFRYMAAKCMATICSVITVDGMTALVEKVLPSINNPVDLNFRQGAIEV IYHLIAVMGDAILPYVIFLIVPVLGRMSDSDDNIRLIATTSFATLVKLVPLEAGIPDP PGLSEELLRGRDRERTFIQQLLDPKKVEPFQIPVAIKAELRSYQQEGVNWLHFLNKYH LHGILCDDMGLGKTLQTICIVASDHHQRAEEFAKTGAPDVRRLPSLIVCPPTLSGHWQ QEIKNYAPFLSVRTYVGPPAERKAMKDTLDKTDIVITSYDVCRNDIDVIKKYSWNYVV LDEGHLIKNPKAKITIAVKQLASNHRLILTGTPIQNNVLELWSLFDFLMPGFLGAEKV FLDRFAKPIANSRYSKASSKEQEAGALAIEALHKQVLPFLLRRLKEEVLNDLPPKILQ NYYCDLSDLQRKLFEDFTKREAKKITEEAGRDDKEAKAHIFQALQYMRKLCNSPALVM KPGHKQYDDTQKFLAKRNTSLEDPAHAPKLTALRDLLVDCGIGVEGQESSDPLYTPIK PHRALIFCQMKEMLDMVQNTVLKTMLPSVSHLRLDGSVDPTRRQDIVNKFNSDPSYDV LLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDLQAMDRAHRIGQKKVVNVYRLITRGT LEEKILSLQRFKIDVASTVVNQQNAGLATMDTDQILDLFNLGDAGPNLITEGKNKDNL EGREEDMVDIETGDVRQPGKKAAWLEGLGELWDNSQYEESFDLDGFLKTMQ NEUTE1DRAFT_101150 MRYTVVQHKQAGDAPHGPSSVKRVPDPYQREASAGFLLLFGDGN LNALPCMSKKRLKSDISMQVYTRVLVERHKHPGHIGGYYEVFVRLPHRRDSGAS NEUTE1DRAFT_64002 MHIKQIIIQGFKSYKEQTVIEPFSPGTNVIVGRNGSGKSNFFAA IRFVLSDAYTNMSREERQALLHEGSGSAVMSAYVEIIFDNTDKRFSEPGDEVVIRRTI GLKKDEYSVDKKVQTRADVLKILETAGFAKENPFYIVPQGRVAAITNMKENERLNLLK EIAGTNLYDDRRIQSLKIMAETNSKREKIDELLEYIKERLSELEEEKDELRDFQEKDR ERRCLEYAHWHRLQETNNNTLEQIEEVRQGGAGATTKDRVQLQKTEKEIAALDHKFQE LKQTLELLAIERRQLDEDRKDTARSQAKAELKLKDLDETRHSREKAQQQQEAELNEVR RRIQAAESELAKITPDYEKWKKEEEELAAARDLAATGRTRLLTKQTRSSQFRTKAERD AFLKSEIEETTMQLANQRANEMDAKEQVTLVENSIKQLEKEIQNIRDRLEGYGEDRSS IAEKLTKAQEAREHLLPVEQVAGNSLFHYVVDNEKTGTMLSEYLHKSYGGRVTFMPLE QLRPRQVKMPRASDAQPLINKIEFDPIYEKAFQQVFGRTIVCPNLSIASQYARTHGVD AITPEGDTTNKRGAMTGGFVDARRSRLEAVRRLEELRELYEQQLEDLDRIKKEDEILE QKITSANGEERKLDQQLRQFESGFDPLKVDLRTKQLQLERERRHLEDAKMRWAAIEKN LKEFDENLTAYRAEMASEFKKALSAAEERQLEEFGAEEHRLQMQLKEISKKRLELEGR KKSLETELRAHLRPQEDQLRSQAFENSATGGSGSFKDAQKELKKLKKAAAEVDRQLQE NEEKTEGIAAEIAELEAHKAQKEQEQQELQKRIDQYQKKLEKNSQTKARLLQQAAEYA KNIRDLGILPEEAFGKLRKVNEALKKYKHINKKAFDQYNNFTTQREQLLKRRKELDTS QKSIEELIQHLDHAKDEAIERTFKQVSREFSTIFEKLVPAGHGRLVIQRKAAGSKNRN PEDSDEDGPSSAKGVESYSGVGISVSFNSKVMDEQQKIQQLSGGQKSLCALCLIFALQ AAESSPFVIFDEVDANLDAQYRTAVAALLDSISKTQKTQFICTTFRPEIVLVADKCYG VTFHNKTSTIDCVPTEDALNFVEGQVSGK NEUTE1DRAFT_110409 MRASGCSGFRFADPPAASASQRDLSICPQPNCVRLAKHHGMVRG LPWGLSLRCSRYAPPKRLSAPTRITGGDVHRGAVDFEAARYGSLQIRLRFPVSHPPPG NSTSITGGNLTSQIATPIWLIPSRFFADVTACGSFTSLDEVSDGEIKELYSATYVLRQ PYAERAMFMRVVEYPTLNVQDSAEPSE NEUTE1DRAFT_146699 MSSAVESSHHIFGTTYTLNNMSRSLYTPYEACSSRHVHYMPEQP PHQPHLYRQQQQHRLPPVTTIIPITGPGSVSSSCDPAYRGHSQTYYSDYPTPSPVGQT PPQLPITHSEARPFNGRQLDGRLSPAYSTAASERSTAESTYESRSRQTSNAHQAHVEP SWPPFHQQPSRLRQESSSHFTMVRKASTLDNNHNSNDSPSPEGLQTPSSSQQGGSPRQ ESRPMSISNLISTDNTRNMRNPVTLPPPPSGKKTDYRISVRQQPFAARSCGFGERDRR VIDPPPIVQLTIHNDTLSRGEHSRLLRHQFSVIHCSIWNETGVKDMSSMPEDFRQQRR LMGTLVASPFVGLDENGEEGCFFCFPDLSCRTPGTFRLKFALVVLDPARMMAGDRSSI VATVMSEPFHVYNAKDFPGMKASTPLTKRLKGQGCLISIKKGNEKGGNGSGSKGDREE ESGEEDDEDVDGEDSGRGGGKKGRKRQKRGSL NEUTE1DRAFT_130015 MQRRRAETGRYFEEYQGPTLEQWEFLVNHLQTPNLRAQDCLETV LAYMVVAVKHESTWKTRMMREDYFPISRLYYCAFLLVHPHFEVPAFWQMGMHILHMLS TLNYPPAILTMYRYFEAIARRRDPKSETYRYIQTKYQELLNKNDANACTWKAAELWDP KKPEIALMYLNKAMEGYKRSPGRYKVEPLVSHALEGGKSERLPFLVKILSFFSDVKET MLGLVVGKETSAYALRRRLMLDKLLPLDKRPARVPHWTWEGMYRVRKGMALSMMGEIS EAVEELRIAADELDVEQGHYALAVLMQMEAEKISDCFKGEREEEEEVELVLSSAFIDF YKGLETTDALIGAMRLLNEEAELRFKRAAQGGDEKAAGKLERLCKTRLDEPGLSKMER ETYKLAAKEWAELSGMRKSVYDELQKNTGPIFADPKSKY NEUTE1DRAFT_82689 MAPRTRGQVARENSPEKAAAATPAKASRSTKAAAKKNTPRKTAL KRAAEIVETEQVEVESTVQTPLKESSTETSVSARSIKRVEIEIPIPTSTAKAQDPDTT MEGESQLFQTPTEQPRGKRITFDDSEQEEFVTPREAPDVNPLEERLNEKKEQQGQSEE DSEEEDSDDEAPEAVSTHAAQAKSLEAEKAAQKAAEQQAAAEKKRRQERDAILKEQAK SKKRAAKKVEQPSEDEAESESEEDAAGALAEKRKREIPKLLPLELLESDDEDDEVPRR SDSAEGAKRRKVVREAKGPKDEKVGSTVFRVVPNNTNQSLAPKVKRQALNLKETLLLR NRKPQVKGGFFRR NEUTE1DRAFT_117133 MARKFFVGGNFKMNGTRKDLKAIVDNLNNAQLDPNAEVVIAPPA LYLDFVKQNLQKPNVEVAAQNVFNKPNGAFTGEISATQLLDLGVKWVILGHSERRNEL GESDEFIASKTKYALDNGISVIWCCGESKDTRQAGETIKFVENQLAALAKEINDWKNV VIAYEPIWAIGTGLVATKEQAQEVHAAIRSWLKQNVSDKVAEETRILYGGSVNAKNCK DLAKEQDIDGFLVGGASLKPEFVDIINANL NEUTE1DRAFT_117134 MTVKALTQISSAGRNGVGAFVLQCKKLDIHYSDWAGSSRGMNGF IKSLLPKFAAANPQIEFVVSPRPAKHPILMGHYINGRTKAICVRNMEPLEVLKKAELL RDASGEKPQKFKKPVTSTNPSVRGVWSPYHGQGMAV NEUTE1DRAFT_64015 MTDRLPPNLLALFAPRPPLRWVPPCDVAPEERKSAFVTPVADFL PAMQAYEQEYEYHPTESWLEARDRKKREKKEALEKLLTEGPKNYNPNDDPNIRGDAFK TLIVARLDYRADEQDLEREFSRYGPIERIRIIRDTHADENPNKKKKPHRGYAFVVFER EKDMRAALDGCDGARIKDRRIKVDVERGRTVKGWKPRRLGGGLGGRGYTKAMPARPMG PGGFGGPGGFRGGGFGGGFRGRDRGFRGGGGPGGGFGGGDRFGGRSGGGGFGGGRDGG RGFSGPGGSSFSPPSNAPNGPGGGFGGRDRDSHRDRDRDRDSRRDGPSGSSGGYGGRD GGRSYDDRSGGGGFRGGERNARQTGSNMEPIRPREPGAPRESRDGGYHGGRDYDRPRD HDDSSRKRGYDGGYEDPRKLRRY NEUTE1DRAFT_82700 MPKSKRAKVYNLTQVTKKNREQKEKLFENIRECIPNYQHCFVFS IDNMRNNYLKDVRKELNDCRIFFGKTKLTARALGTTPEDAQADGLDKLSKYLSGSVGL IFTNRDPSEIKDYFVNLTQVDFARAGSVATRTITIPSGPLYSTGGEVPAEHDVPVSHT LEPELRRLGMPTRMVKGKVCLGDEAGEGDDYVICKEGETLDSRQTRLLKLFSICLSEF RVKLLAYWSAASGEVTELEKPGEAGAEEMEEDDE NEUTE1DRAFT_122557 MAAGSLSEKEGEPSALPVSSHGGSTKGDTIHKPDPLSLEKADTQ VVSPKKSLDDDPYKHLPEREAKILKEQVFTPDVKVGIATLYRYATRNDLLIIAVSAIC AIAAGAALPLMTVIFGNLQGTFQNYFAGVTTYDDFTDELARLVLYFVYLAIGEFVTMY ITTVGFIYSGEHISGKIREHYLESCMRQNIGFFDKLGAGEVTTRITADTNLIQEGISE KVGLTLQALATFIAAFVIGFVSFWKLTLILLSTVVALTLVMGGGSQFIIKFSKQNIAA YAEGGSVADEVISSVRNAIAFGTQDRLARRYDAHLTRAEHFGFRLKGSIGVMVAGMMT VLYLNYGLAFWQGSRFLLSGDTELRKILTVMMSVMIGAFNLGNIAPNLQAFVTALGAA AKIYNTIDRESPIDSSSEEGGKLENVVGTIRLENIKHIYPSRPDVVVMEDVSLVIPAG KTTALVGASGSGKSTIVGLVERFYKPIEGKVYLDDVDISTLNVRWLRQQIALVSQEPT LFACTIYDNIRHGLIGTKWESESEEQQRERIYEAARKANAHDFITSLPEGYETNVGER GFLLSGGQKQRIAIARAIVSDPKILLLDEATSALDTKSEGVVQAALEVAAEGRTTITI AHRLSTIKDAHNIVVMAQGRIVEQGTHAELLAKRGAYYRLVTAQAIAAVNEMTAEEEA ALDQEEEAALIRKATRNSQKEGGTAGYVEDPEDNIAEKLDRSKSQQSVSSVAIAARKK EEPKEYGLWTLIKLIASFNKKEWHMMLVGIFFSAICGAGNPTQAVFFAKLISSLSRPI VNEEIRASIKSDASFWCLMYLMLALVQCLAFSVQGWLFAKCSERLIHRVRDMAFRSFL RQDVEFFDRDENSAGALTSFLSTETTHVAGLSGVTLGTIIMVLTTLIAACTVALALGW KLALVCIATIPILLGCGFYRFWMIAHYQRRAKSAYAGSASYASEAITAMRTVASLTRE QDVLQHYKDSLAKQQHASLISVLKSSLLFAASNSLMFLAFALGFWYGGTLIAKHEYDM FTFFIVFSSVIFGAQSAGSVFSFAPDMGKATEAARDLKELFDRKPTVDTWSNEGDSIK QVDGTIEFRDVHFRYPTRPEQPVLRGLNLSIQPGQYVALVGASGCGKSTTIALLERFY DPLSGGIFIDGREISSLNVNEYRSFIALVSQEPTLYQGTVRENIILGANNDVTDEQIK FACQEANIYDFIMSLPDGMNTLVGSKGALLSGGQKQRIAIARALIRDPKILLLDEATS ALDSESEHVVQAALDKAAKGRTTIAVAHRLSTIQKADIIYVFDQGRIVEQGTHSELMK KNGRYAELVNLQSLEKH NEUTE1DRAFT_138023 MRLLDPSSSLVGVAALLFLSSLPNGPRGAEAAKSGKAKDAVLLS NIRSLTLLPNSKTTGRRLPPIPQLTCTSSRTLCALASPHISLMRCTNQGPRYDKEDIQ WSCTVPSLPTTLQLGSTDVICEGYDGPDDNYVLKGSCGVEYTLALTEEGRRKYGHGHG GWGGGDQDGEEGENKWAGYLFGVLFVGVLGWIVYSACVQAGVNRGVPGAEGQRRGWGG GGGGPGGGGGGGDWNDPPPPYPGYGNKPSGSQQQSGQQQQQQGWRPGFWSGLAGGAAA GYLAGQRGRGREEYDHRNRGYNYGSTWGSSSGSRNRSWGSSSSRSYSGGDNGGSSGTT THESTGFGSTSRR NEUTE1DRAFT_82708 MEDRKRPAANADDVAPPSKRQAVNGTSKSKDDSNDSREEAWIEE YQKGAIYRQMLEYKRSKVDLEHRLQEAEKSAAFHDDHLRVIKYWLSQLTQELELIVEG SLKSIALKPADSNWVSSLAFKDSKEFRQHLDDIKKPVILKVESLLNKLASSRGEVKPD VAQLEAQVKSLLANQKELAVKIDRLQAENATLSEQYDTATLKVIKAERKLDRVRSAQV QKLEQQALANSTTRQTTNDENGTGSIAENGDGAEYKTKYKEAIAVANKQKEQIESLLA EIKTLQEENASFKIKKEGISEEDYARTDVFKQFKAQNEDLIKRINNLEAVNKQFREDA EKLRAERTSYRATLEQEAQALTSDLEDQIQQKDQDLTRIRSARDELLAELAMRKASQE QEKTASAHLNELVEAMTDRITQLESELERLRPTEDAAKAAPTEDLSTLSAEELREKFA KLERDYEAINKELPALEKSYRKAMGIAHKKVMDFTALEERVAILTAEKSKADQKYFAA RKDMDIRIAEIRTLRGQNSKSSEIISQLKDVETQHRALITTLEKQIADLKQSNITIVT ESKKLESLSSEATRRADSVKSQIENLQNLVKSKDTAGRELKEKAMDKEQEAEKLKVRL DKVSSERDKWKTKCQSNSTEEEEMLRNLVLCSVCRSNFKNTILKGCGHVFCNECVDNR LANRMRKCPSCNKAFDRSDAMPAHL NEUTE1DRAFT_122560 MLKKPGFGPKVKPKVVARRNAPPSAKSTDSTPAPTESTTSQPTT AAATPTAESGPSTRQVSPASASAQPTPPATLPSDTAPEKESSSVAKPVSKDAAPTDKQ AQPATISATASVPAPAPTPAPTATASTPVEKPVEKPVEKPAEKPTSEKSSNATTATEN PKAAAPRQTPSKARGTPAAVITPTIIEITRPRGRSSVATSEIIAQSPSRATRPTPAAD PSSTTSSATPSGTSSTEAASTRSSTETTQPVTQSESSTESRAESSAEATAAPTTKTTK KAPVPRAPRAPRKRKADATVDQADNDEAVAEAETAAPPPKKRTRTTKKAAAESSADGE EAAEGESEAAVPAPKKRAPRKRKTDAATGEGEADNDEAEGASAATATAPPKKRARTRK SAAEPSVEGEDDQEAAPKPKRVRKPRKPRTESNNIDPALLGDEEQNEEAQANTKKGRQ QREATPENAEQVQIDRTQLTMAELAKDLHIGKKFSLHDELAERERAKRQKYYEKRKKQ KRGETADGDGDNADGGASGEQSASGTPAPGGTSATNPAASSRPAAAAAIDEPPLVDSS VGAIGEQYIMIDGEIRLNERSLQVDRHAAVDQANYEVQEENDFTHLTTSATYLRRNMK PGQWSDEETDKFYSALTMFGTDFETIARLFKDKTRKHIKLKFNREERADPDRIQKALV GERTVMIDIEQYQRDTGQEFETAEKIYEEQRKAEEEFEARQKAIEDAKAEEERKKAEL LFGKDGQGGVAGGKKRRGKKARPEPTW NEUTE1DRAFT_117137 MKVTFKDLKQQKFTLEIEPTETISKVKQKISEERGWAPELQKLI YSGKILKDEETVESYKIEEKGFVVCVVNKPKTTAPKPAESSSSAAAPATPAAAAPAST PAPPAAPAASSAAAAASSTPTPARTAAAPEAAPAAGARDANALAMGEQRAEAIANMEA MGFERSQIDAAMRAAFFNPERAVEYLLNGIPANLQQQTASRQPSAAPAAAPAAAAQAA SPAAAGGDDDDQVNLFDLAAQLGNSAGGRGARGAEGAGAEAAGLGNLDFLRNNAQFQQ MRQLVQEQPQMLEPILQQLGAGNPQLAQMIAQNSDQFLNLLGEGGEGGSVGIAVTEEE RDAIERLTRLGFPQDQAIQAYFACDKDEELAANFLFDQGPEEDDDMPQQ NEUTE1DRAFT_82714 MAIPPVIPALLGTVAVFATTAIFVIHIILARSLAQETSSSVQTT TIVAAVFEGLALFTTTFQLISGCVASRLVRRFNGVLFGAGLIVCSVAAALSIATLVLL SKDSAKLPEKVAGTKTSGFVVGGAVALGVAFAAQLSFQVLCFISVRMGGVCCAGDVES SPRQQVKAIPYQQTNPNTEKVRFEDPTMSGRGTPRPGYGHSVGNSLSSWRSSLYSAMR PIPSKTHLLASNSQYSLPLRMRQSDSIDSIAMHERQTTSVAMTLENGFNSEGINSVDP QNRQAVAEAAPACSPAMPPAPFLETIAASPMTSRQPSPALPPTDSELDLGNSLFVPPK SIRRRSRSFSPASMRSMEFAREAFTQHATMSETHISEAHIHPLFRSDSPTPPAIVSPG TVVVAAPNGGQVLSDKHSIRTLTRRRSGSLPAVPSPLSRQGSLESFRTKVTKYECGSR PGTRAEELREEDEEGSPSPSPRPSLAHDDAADGEMTPPIPDWILGAGARTSFLGYNQR KSHAPTSAPADDEEEEEEGVAGPSVR NEUTE1DRAFT_110423 MCRLSPAVRLRTHPIHPPDYVLELGSRVRCVAHEHEKGPVRGHA GAYSGSVGYCCGADVLGLCEPNRSCKPKGWKKTNKAKKAKKIGLSRRELNPGLERIEL MFKLDKLTY NEUTE1DRAFT_122563 MDLPVIDLDVYLNNPVDSPEVKAECEKAAKALITYGALVLHDSR VAEKDNETFLDLLEDYFAQPEEELRKDERPELSYQIGVTLENTEKPKCAVDEPCLNVI QRLAPEERPLDISGHHPDPKCRFFWRMAEQPPYETKFPGLNADNVVPQAPHIKERWNP AMQQWGTSMKSAVEGLTEMTAVGLGLPAGSFKDAGKYGPHLLAPTASDLVKYGQKDTI LAGFHTDLNFLTIHGRSRYPGLHIWARNTGKRIAVKIPPGNYLLVQAGKQLEHITGGL IKAGFHEVVVNERTVEVIEKRKQQFPDRPLIRISSTFFWHLNSDHDLAPILKLAEEAH RLRAEQFNLGRDEGEEKTYPPMKVGELVQEELKHIALMA NEUTE1DRAFT_122564 MESENRGSEILAVTWTLTGLAAVSLVVRIVWKLRSKKKLWWDDH ICSVSWFVLKTSIFIVTIATSKGLGEHVQAIPEDNLPAIGLLGNFIGTLSILASVWSK TSFALTLLRLLSGRWTKDLLWLGVATIHVFLIVNALFMWIRCSPAAKTWDTYMEGTCW DNQVYPQYAMFAAGYSAAVDFILALLPLALFYQLQMLHRKEKLGVSLAIIVALVSGTT AIVKTTVISGLTSSDFTFTTAPLIYYATAESALTIIASCILSLATLSRNTRPENSASD NKSLENQSQTSENIPLGAASASASGGQSAPSSSSGQGQENNSSIVRDAVIEEEGRGER EQSGGQEERERERRNRCWYKLSPDTNAWPGVLM NEUTE1DRAFT_146714 MAPAMPTRRSISWLVVLALCVAQALAAQCSNDSRLSAIRNAPTG RNVTADCLSFLAQTAPTGTSSRRTTTTTVASTKRVATLTLHRSATITTRTEPTNSTAS ETEDNGNHKLTEVDHDALKVERPGFLPAQALQERRVTTSPTSATLPHYAKNCASEADY SSACSCLGLSQTTRAAARPVTTTTVTVFETVANVTLPPFFANSTIPGRQIGTGTGTAM STVYAGRKNGSGNSLRPTTLFTSRVTSSIEASSDPGSTSVISSSAPSATKSTVHVVTI AIPTTTKELLWLNTTSTHSYSAGEATAAPYTNSTAALATAPANITTFSTQLNIPKSAK YPVLLNSTASWHNGTSTSSLLANTSTGVVRTTASVKFSVFKNTTGRASWYNSTSISFL PLDPGTVVLLTTGTKVTLTTNPITSPTTTTTTIARLPFLINTTSLWSNRTATTISTVQ GTGTGTGTGILITNTGYHNTSTSTPTSTSTTTPSPKPTATKDLTCSETSTPFSLRVSQ PGGTFDKWFVHVVGNELLFTISQFQASSFSVEKTGHLCAVGYTDGNARPRIAAVAGAV ADAGAGAASGNGTGSGDGAGDGGGRKGVGGEGPVWMLRQETLKVYSEDYKALECTKGD GGLSCGVGNSTNDEVGSGWVGCGLQLSLGSDIDVGYGGLNCSAVSLEVVES NEUTE1DRAFT_130029 MKYLSILTALLGLASATAIRSEARPKRTAYDGYKVLRVAAGDDA DKLNKIIADLELETWKGVAKVGGHADVVVPPSKLAAFNAQAEGFETLTMHEDLGVSIA NESGFQAYAGTADQTWFNTYHAYADHLTFLRDLVAAHPNQSEIVTSGTSVNGNAITGI RFWGSAGKGVKPAIVFHGTVHAREWITTMVAEYQAYYLLTNYGSDATVKSFVDKYEFY IFPVVNPDGFIYTQTSNRLWRKNRQSNSGSSCVGRDINRNWPAHWSTSGGASTNPCDE AYKGAQQGDAPETTALAAFLDKVKAAQGLKLFIDWHSYSQLFMSPYGYTCSSVPAKNA EYQSLARGAVAAIKSVYGTTFNYGPICTTVYKATGNSVDYAFDVSGAEYAFTLELRDT GANGFILPASQILPSGVEAWAGVKYLLANMK NEUTE1DRAFT_82727 MSDSHESPNLEDLTPEEANRIIHSHRKVRYGTACWPCRQRKVKC DNKSPCENCVKREHPQLCSYKPNKSASGKPSSVSTDLSHSKKRPHSPDAQEGRSLSHE ARESIRTYEPEAVEITRYVGQNSIPALLREQTAADEPQDEIPQPFWGFVIDIDDLESR LMIYLEDRAKNARAATKTTKPVSASWLAILFAVLAVGSQYHETPYHIRTRDAQKYIQI SFHFLRLGNFLLRYVAPMYHLNQIISQRLNPDATATASYNQILTNCADVESIRDKLFV QLRTKDACKSAMDRLQHYAIRLHTSFIVSVCCRPALQRENNQLEKSQKKYLADKCKEN LTETVRMFLAMHQLSVIPTRSWAFTYHGLSSAVLLGILGETKADPEVRQLQGDLISAL SATAAKEQTSPQPHIPRSDHDIELSGPLSRALTALKNIYDHGSVVGPAGIKREASISG PASGLRTPLQISQLAGLPPNGYPLYGLGQEQQGRGGGGAGGGGVQQQLGATGPLDPHQ SAALAMAEMQQQNGGQSQLGAGAEYQGGVPYNMPPLQQPGAAAGAGSGPGGGVMPQDM SQFDPATYMSPMDLYDSIFWG NEUTE1DRAFT_82729 MNPITPRKRNSPVEEIINRLNNDYNLGIQCVADTTLTPHRRKEL AESDEDFGRHDKIYRALNFLYWRKDDSLNQAEANFFIEAKAASSNWVPKAHADPNTLP WSKEPPRAATAGQQWALQTVLLEVLNRFMPPPNNTPGRTFGRTLSGPSGLSRPTPTNT KRNDEPANVTFADPPKRSLARSATGPPIHGAAIPLKFPDPVNTGSKRPSLESENLNQC TKRAKGKLSDNVAAAAAPPVPIASALDKVPTRRHANTRDPTATGQGRADQGDSFATSQ GTSYGSSVFSACRHNQSTTQSSFEAPPSQLREKRPVDATVFETGNLIESPSKGRTTKP HIENQPLSSSSQGETSFSTYYESFPSSGGEGAIPEPSRSNGLARSEESARSQVQVHAP VVAARLRDIWPKFPKWLHEAPLAVAWEVIRLFMHCKVDLEDESLGLKYDPSWSTARDV TDIWKTLYRLDAFRGKPFPEKPPNDVFATAMTGNFESKGSAVVLSAILDYNPDNSPTA PLYLVKLKPLMFEQGCRLTRRFGPDRFFEILIPSPTSTSPSVPPVVSKQPGAVEEVIQ WLTMGPHSLVGRQWRAFFAKDAGYRKPLREFQLRAEDPKPIIKERVHFFAETGITFRP DVFKTRSVVPAEEPVEQRTEFKVSQMLDWLLQLDNNTWQPHLKLFSRIQLGLSKTYAI MTLEPHQIRHHKTDLLSPSGTGEVMNDGVGRMSRSVAKRIRDVLGLGDVPSAVQGRFG SAKGMWVIDVDDTGDEDWIETYPSQRKWECDFVDKHQRTLEVRSVASELKSAGLNLQL LPVLEDRARDKVKMRQAIGDRLINDLQRQFSEQKHALNRPVEFRQWVYESYSSRATRV SHGRVPFLAGLPDSQEETLNFLMNSGFDPKKQKYLQDIAWDLQKRKCDTLKSKLNIRV GRSAYIYMIADFWGVLEENEVHVGFSSKFRDEEESFTLLSDCDVLVARSPAHFPSDIQ RVRAVFKPELHSLKDVIIFSTKGDVPLAKKLSGGDYDGDMAWVCWDPAIVDGFVNAEM PLEPDLSRYLKKDKTTFKQLMASHGTGSAAKEQTTYDMIQKSFHFALQPNFLGMCTNY KERLCYINNSVSNKPAIILSSLVGNLVDQSKQGIVFNEASWAQLRRELLGGALSLPDP MYKSDSWLGRGEPTHIIDYLKFSIARPAIDKELEAFHNAMKAAKDTEDGAHFWDPDLA SYYTFFKEISDKSRSSAQLFTTLKNRIGEVEKEYGRLVKNKEMRDSKDPYPVRVNQVY EKWCAITPEAMDKSGANYDSKVIRLLELSFLADREMNTWALLRASTAFKLYYHKSPKF VWQMAGRQLAYIKAQMTSRPGEGAPALMTAFMYAGLMPDKKFTKQYVARLEGDGSEYP DPEDYEVLGDDDFDGIGFTGNGDY NEUTE1DRAFT_110430 MKFKEFLARRSTHSMNPEFMSDEYNMNRRRSFGRGGAGNIRSRE EAVTLPPISISTSIHESPRDHHHHRPMGSGSEESGSNSDDTETTESARSNGSENDSHR PTSPYQFHYRYHYRHYRPGHFSGGSSSSGGSSSAWSSMSVSSSTGMRESVVLSGGRVT TIEERRKRREG NEUTE1DRAFT_117138 MLPSPPLNTVLSFTMAFASCSSMSQITAGYLNHGKGEQHSGDLP TGWNSHTFAPCLARGELQEL NEUTE1DRAFT_122569 MLYSQNIASLGALLLLAQTSTTLAHPARHTHHHRHHFVRDIAAE HQIGVPADTSEILWSDYTAQTSSRKRGSLEDDNKGRKEEEGKTFTIRQVKNPRLERRS TAQRNGLSALLHAYAKYGVEPSPRIKRAMKLNPAFREYREELEKRGDITATVAAIPPP GNYDWVVSTDTPRYQTRGHAIYDPHTSNTSVLVLVPDLNWRITYADGSGAHGIVYQDR VSMDDTLSFPSQVVQSATSISWDFTTDPYVSGIMGLGMSSGNTVSDSIKDVEARTGVK ILTFMDNVREQLKEPVFTANLRDNAEGSYGFGFINETEYMGEVRYVDVKEDGIFWEFE VGGYLIGSEEEKADEEPEMTEPAQPARNPDTEQASMTIQPDQPTVSNPITPSSTQTAQ PDTSSSPLLLETTNSTITAAAHTTSTNQRISYPFTTIADTGTTLLLLPDRVVSDYYST IPRAFYSSEWAGYLFPCAYTSSLPDWSFFLGSAASETNTTTTNTTTTSDSKAEPKPDI GPEVEEGQEEGSFYYKGTVPGRYMNYGEVNATWCYGGMQSSEDIGFSIFGDVLLKAQL VVFDLGGMRVGFAGKELAEGVGKGEVSL NEUTE1DRAFT_122570 MCLSETDSHKQKRMTSESPRYIPITTTTTSSSYRSDRSTTHFDG PNTGSNTIRSAHELVHDTHRLDNPQDLYRQHRNEHLDSTTVGGSPGRSLSGTSSHSAR RPETSTRARRILQEHAAAGHGHGLGLTAGIRARDGSGGGGDDDNGMALSFDDGDDDNY THVPFPTHGGGGGFGLGASRGAPESSAINLPIRNHGDRGGHHSHYDLGTSTARNHHRN HRHSDRHSLHTPRPPPPREPKERTTTYFVQDNILMRISSISHAHSHSSVSHAHSSHSS HAHSHSQSGTHHHSYPTTIDNMNDPSGDSSNSNPNFTSHNTSDTMSNEHRGGGSSADH KRRKWYRDRMARIIQDIEPGLPPPPSSFSASTFSSFGRLGSGGRGAGAGAGAGGYNYM YPGMGSSTMGSGGAGAGAGTGGYGATNARDSGYESFSGSPGGSFGRGRGY NEUTE1DRAFT_146720 MGCGSGCCAPSKRPLSTTPEEVPTSPHGRGDRGSNTNNSLEATA SSSANVGYGEYFDDKREIGDRTGDRESHQGEANTVETTTDSCQDRCCGGQQSERHSSS KSADYSHPSHSESSRDPCSVSHGHNHSHDHNHSRFPNLTRTFGALSQNIFRKSSLSNK HQPSECQHIEQISASFPTTDSESGTARSSITPVQPGSGQSDGTADVEKGLSGYEHVVL IIEKMCCGQELHQVAANIKAIRNLKVSVPHKQVDFDVNLGLASAADVIEQIQRSTTWK CERVIEEACSLDIVTENTAGFASKPLPMGVAQVSIIDKKIVRIYYDPKVIGARDLVQS GFGGPPLILAKSSVDQNMTAGWKNVRHLFVMTMLSWILTIPVLVLAYLPQSGKRMISY GYASLALATLVQIFVAGPFYREALSPLIKSGAINMEFLVVLSTTTAYIFSVVSFALLV CGRPLSTGQFFETSTLLVTLIMVGRFLAAFAQHKAVASVSKVKSLQSHDALLVDEDGS NEKEIDARLLQYGDYFKVVPGAKIPTDGIVVEGDSEVDESMITGESRPVVKTEGSEVI AGTVNGSGALRVRLTTVPGNNTISVIMTMVHKAKVAKTKIEGIADRVAGYLVRAVLCL TGITLIIWCVVGVKVHKLRAAEAIVQALTYAITVLIVSCPCAIGLAVPMVNTMVSGLA GDRGILFKSLNAVEIARTTSHVVLDKTGTLTKGELDVYDVHFMESDSNTSKSLLLGLL HDIKHPAAASVSAYLLSMGVTPEVITHQKALPGRGVEGHSPSGHVLRAGNSRWLGLES DPTVQRILSQGYTAFCFTIDGTLAAIFGFHDTLRPEAPSVIAQLRQRGISIHIISGDD DLAVRSVARQLDIPEHCIRSRCKPEEKEAYINCLLIPPPPPPASPRTHSFFSFLSPPK SNKPPTVLFCGDGTNDAIALARATVGVHMASTSGSGSNDNVAVAEAAADVVLIRSNLT GILTLLDMSEKAMHRIQFNFGWSFVYNVFAILLAGGLFEVVGKGGGTGGVRIPPQYAG LGELVSILPVLGGSLTLRWARFAGDEHVKGEIVEKEDGGENGGENGSGSGRKKWFAGV WKRGVR NEUTE1DRAFT_146721 MCASASRTDEPRKSQGFNQSPNPLSADLTTNQDLDGIVNQRENR SGSGGTGISAQLRQDGVGDDGGGLPRPERDRPPGGDMIKTPSSTVSSPTNPQPSQPPS TNQVEAPSPGSVKQAKSRLGRIKHALITFGQFVGPGVMISVAYIDPGNYATDIAAGAS YRFKLLFIVLLSNLFAILLQSLAIKLGTVTGLDLSSACRAFLPRWLNYFIYALAEIAI IATDIAEVIGTAIAFNLLSPKIPLVAGCALSIIDVMLILVFYNPNGQMKGLRIFEFFV CILVMGVVACFCIQLSMISNTSVGQVFKGYLPSSAVIEQQGLYQACGILGATVMPHSL FLGSGIVQPRLRAYDEQRGLLPAEPVSANSSDTNSDYVDKVHYVPSQSAIKHSLKYSI AELALSLFTFALFVNSAILIVAGASLYQNPTALDADIFAIHSLLSSSISPAAGTIFAL ALLLSGVSAGIVCTIAGQMVSEGALRWKMRPWLRRLITRSISITPSILIAAVVGKDGL NAALQGSQVALSVVLPFVTAPLIWFTSRDRYMMVMPGGARYQVEEEAVGDERRLFSAK RGWLGRKMGNNNNNGGGEQEGGVKMANSWLTTIVAGLVWGLIAVMNVANLVLLGKGNS NEUTE1DRAFT_64056 MDRDQNTTEDLFDLENRLTASLLRTFQSLLHHGASSVNNTASVG QAAYNAMATDILMNGMVQSVEELLSLTRKMRELWVVGPLRKPGEGDEEVEREMRGCWE GVVQNVGGWRGQKRGILLGEGGTGEYKEGEVGAPPGVLQLQQQQQFGQGGQGGGLPPS GSATPNMGVRNLTAAGGGAGGPGGPGGLGGLGGLGGSGGMVA NEUTE1DRAFT_64057 MAADDTPPVPPPAAAADPVVETTNAESNDTADNGDRSDRGQKRG RGRDNRNPNRDRNNPNNIKTGGFGSNKRDFLSKRDQVQDNRAAKRRKVIDGAADGNSY MSIPFSTDEIAAEERRPKRKVAVLIGYAGTGYHGIQINHKEKTIEGDLFAAFVKAGAI SKANADDPKKSSLVRCARTDKGVHAAANVLSLKLIVEDEDIVDKINSHLPDQIKVWGI QRVTNGFSCYQACDSRWYEYLMPSYALLPPQPQSFLGKKIIDAAKEKGTYDEYMGRLD DVKNFWEEVEKNDIQPILEKMDPEIRAEVIRILHDTADQELTDDGEPSKPNTDVAKDV EMKDTPAEESTATEPAKDGEAATTEATTEATTEATTTETQPPAAKVEREFTPVELAVR EVKAAYVAAKRRYRVTAPRLEKLQEALNQYLGTHNFHNYTILKSHTDPSARRHIKSFQ VNPTPIRINDTEWLSLKVHGQSFMMHQIRKMVAMAVLIVRCGAPMSLVNESYGPRRIS IPKAPGLGLMLERPVFDVSNKKFKELGKEPIDFGKWDKEIQAFKDEHIYKRMFELEEK ENSFHLFFNQIDNFRTDYFLWVTPGGIEASHERSDRTAEKIPKALQAELGDEADGVAE DGSSN NEUTE1DRAFT_42181 MDHGNQYKHQRIDLSTDAIRLVRLLRGNRRDPIQCELFETFLHQ VEGVPYEALSYVWGDSPVACEIVINDRRSLVKENLHMSLLALRQTYEDRVLWIDAICI DQSNDKEKGHQVGQMRLIYECAQRVMVWLGPSNLEIDFLMNTTARWDYQARQQPGAQH KQSWIDSWTTFTADETGLYKEEVTARRRSSLEEILDRPWFRRVWILQEVASANKVTIL CGSKAMSSQGFGLLPFLLGFEPDPHIEAVLDILPGFRRKESWWGEKQTLETLLLKFGT SEATDHRDNIYALLGIASDVRASSALLPDYEISLGRAICHTISFLLFNEAYDPSARPL PEVVDFATFIRILPNLSDYFLGWALQRHDDIAAATVVPKAVDINRYYHLPNLAPVTGL SPLGFIIGKPKLDNTFRAILARHDADIVLSTSHLCGGDGRFTDIYKEKEETGSDWHAR KICPMCRGDLRRRHLKLQNCALKQLKHILQHPTAGKAKVKDLQPLFHYAALTSQPTIW ELLFEVTQCIDLESSIDSLTKALSDRMGGVSHCTRHSTAIYEWFDDAVGWTTNFVRLM DFLFRSRRTSLVKFQCADELAELFVQVSSVSREASPDYLEELEWKDSKELAEYRLISE QLLSQVPGRVIGEVDVIPSKELNPMLNSS NEUTE1DRAFT_122576 MPHLSSRGGGLLHYVLDDAEPAAARYPVSPTSPSQKEPLTSSWN IPIVVTGRELKLPSRTMEVRSLVRSPLALGLTKQRAGVLDAFLELCHSRGSLEHPVGL SNEDVRDDLNDEKTTLCYDEHHWQICRKFLRGRPFNPDRAPTQFDEAQEIRSKQAAYD TIGITDFENTKDMPPRRATARTGQLPTRRDGYETTTPENYIVPLTSAVYLVDIASFAF KQTWDTSNDNYYEHQRDRCTSLIHPQGLRVRRLKGEPNCLGMKPA NEUTE1DRAFT_41278 MSASSPSVGATWSESSPEYETCRQHEGHGNSNNTSSSFNRPSTE SNPSNDAQSFSPTSDASWGSSPASIQGSYMDLSDTIATTTTTSASITFPHSNSSMSAY PGHQQQQQQQATELSQHGLTDASACLQGNVETDTLFNNASGAPPNQQTLPNFGVVWTS APSSSQPSIISASSPFVASHQAQPRWHNLHQYSVSGEPMLDEPRLSSSLSLDNMSEGG MSTMPPSPIDPFHNIGAGTGHHSLPLGYDGLPSPTHSSFGVGPSSANGSVSLQHQHQQ QQYPSVMLNDSGLPFDTNGGSNFSHHPSSSSSSSGASGGDVLFRGPISNRTVFDYPPS GSSHFQHDTNVAVKTEGPVAVAPTSLWLNNPHHKTPTPHPSPESSPIGANSFFHQNQM PLPLDFGSSNAHFGSSPHINTSQLHPHYVQQQQASNRAQRKSLPHSPPHRGMGGGGRP SSPRYNPAVMTTREMLPSHPNSPSIAWAHHQGQGHPHGLPHHHPLMMKPNTIPSHHNQ HPHASLASMSSHSRRQQPFHHGVHPYHSLPSSNSKRRNQGHQDHVTDHLKRARAEQDA LLVKLRNQGKSYKQIRQIGRFTEAESTLRGRYRTLTKSREQRVRRPEWTDKDLRLLEK AVREFAKGPLSVTYSNPSSSSSSAGPPSTTTPPTDEAMSITSLVSRDTTSTSTSSTTS PSSSSSSTTQPAAPKTTPKGIPTTTTKIPWKQVSHYIFSKGGSYKFGNATVRKRWEEL MRDQLARGKDLQKPFYEQRSKRSAYSHLLSHSHSHSQSQSGSVSGSSSASASASGLAV SRGAASVRQAQRAVVGENRATSMVKREPASSSSSFVKPERREEEKDNNNNNNNNNNNN NNNNVNDGDGNLAYSDWLDMSALGDDAEENHNGHGNGHGNGTDGDGEYELSDASDSEE DEEEEEEEEEDSDDDYMEE NEUTE1DRAFT_117139 MDRTTRGRKKKKQKGKEKGNVKRTWRCVSVYTYIHTYTSYYFIQ EFGYFRGFLLYCCHFPIVLLVFIWRARLGSGDKAGGEEMGCKRWSQGLDFHLRC NEUTE1DRAFT_82743 MSPIKAPPNLPELVRTAFNKARASGDVNFYPTQVTLVDVNSIPF QLRFSPSLANKPKAPKPNPIIPSGENASLPAEPPEKKKKKLAFFDPFDNPSPAMLICP LPPHHNLVLNKFAIVPEHFILCTREYKEQTDLLEREDLEAVRGCIEVYHHHYQQKYNG ERSDGNETTVGEEPKLFAFFNCGEHSGASQPHRHLQLLVVEKMREGLECRWEVLAQKL VEDNNNNNNNKETEKKLLPFKTFAERLTPEMSREEVHQIYLGLYRRAREAEEEKADEI QTGGEATISYNLAMTRDVMVIVPRLAEGSAITEKNPETGEEVVVGKLALNGTVLAGTA LVKSQREWDALRKNPEKVGELLRVIGVPNDCEGGRSPGRKGVL NEUTE1DRAFT_101184 MASSPELSSSNGGRTQTTKTTTSQRNNPHYPTRTELVALALYPT LLLFGTLFSLLNPSARASPYDFVSQSHVQSGAAVPSYFARKDNLFNVFFVKRAWFWIT VSFFGFLFSHPGYNNNVTGTRGENGTGRKIKAVVRWGLVTLWWVFVTQWFFGPAIVDR GFRVTGGKCQEAQGRVNAQVKADAMPGPVGSNVDVAGLKEFVTAAACKAAGGKWQGGH DISGHVFLLVLGSAFLVQEVGWVVARHYWRRSVRDERTVVMGDGAVKSAGTDLAADKR WDDYSPEMDEAREVRDVVTGGGLWDALGHGGKVVFVVVGLSAWMLLMTAIYFHTWFEK LTGLLVALMGLYFTYIVPRFVPALRGIVGLPGV NEUTE1DRAFT_146727 MMGLTSILAFFLLAIRLFSPALAAPAPRQATGGWWMSSIDRQGV APFNEDSAGYKVFRNVRDYGAKGDGSSDDTEAINKAISDGKRCGKGCSSQTTTPALVY FPPGTYVVSKPLIQLYYTQLVGDAVSPPTLQAAPNFEGMAVIDSDPYEDGGENWWVNQ NNFFRQIRNFVIDLTKMPVNKGAGIHWQVAQATSLQNLVFNMRKDGGAENAQQGIFMD NGSGGFMTDLTFNGGKFGAFFGNQQFTTRNLTFNDCKTAIYMNWNWAWTFQGVEINNC EVGIDMSNGGPTAQTVGSVLVVDSKFSNTPIGVKTAYDPQSPETNGTLILDNVDMTGT SQAVYNNATGATILEGSQKVGFFAQGRAYTGKPGSAGKAVQSPQTAIKKPDVLLDSNG RVFTRSRPQYENVPASSFLSVKAAGAKGDGKTDDSDAIQAVLDKATQDQVVYFDHGAY LITKTIKVPKNVRITGEIWPMILAGGDTFFKDQKDPKPLFQVGQPGDTGVVEMSDLIF GTAGPQPGAILIEWNVAGTKQGDAGLWDVHARVGGYAGTDLQLQKCGKNPEATNAIPE DCFGSFMMLHVPTKGSVYLENTWFWVADHDLEPEADNKQIDIFNGRGVLIEGEGPVWG WGTASEHSVLYNYQFSNASNVYLGLIQTETPYFQGNPISTKPYEVNAKYDDPDFAKSC QGDSTGTCERAWGVRAVNSKDVFIFGAGLYSFFNNYDQDCLKTQSCQTNMVSLENSAV SIFGLSTKASLNMLTIDGQTMAEDKDNRNNFCATLALFQSSI NEUTE1DRAFT_122582 MEAHARATASMEEAQSPHAAESSTKTNRRRGHSLSVSPPWHTAK STTHNHGPRDSTLQHSIFGQNHGPFRSHRQNSAASDDAVPAHPKQGHKLKGMLRRASV SFRSGVKGIVHRRTSVPNTPTFEPDAGAGPSQPSATARPTTAHSTWNKLRQATSFHRH SRRMSAHHGEYPFGHIALQSPPLPVPGSGEQPPIIPRNTGAAARQAAASAGHGLEMIN IPARRPWANDGMDDYESGIGIAVTRGRSSESDVFMDGVDSDVDIELNSPSPNDEVDII KVDFISQLPLELGIHILGQLDASSLASASLVSRSWNHAARNQHIWRESFLREKTTTYA TSGAVKPGTGLGVPAIRPGNDWKEIYRVREELEKRWKEGKARPIYLHGHTDSIYCLQF DEHKIITGSRDKTIRVWDMKTYSCKLVLGPPEIINDSDLSLLHDEAGNPIHYATLPEL DPHPSASGQIQTPARSHFSSPALFSPPIFHRASILCLQYDDEILVTGSSDATCIVYDI ASGYQPVRQLRHHSAAVLDLVFDDKHIVTCSKDVSICVWDRATGALLKQLRGHTGPVN AVQMRGNTIVSCSGDFRVKLWNIETGKQIREFLGHTKGLACSQFSEDGRYVASAGNDR VIRIWDANTGECVREMKAHGDLVRSLHVDSVSGRLISGSYDTDIKVWDMDTGAQLLDF PQWHNSWVLSAKSDYRRIVSSGQDPKILIMDFGADVKGIEMLETPAPKVVPQHQQQTH NQVAVPSEQGPGGYF NEUTE1DRAFT_110442 MWSSGTDQQFPSFHLEASPHWFFIIALSWGKRIWSTLSALVPGW NASCSHLKPAQQLGEPTPRDFAFLGPALVLRALSPLSQQLKALSIEYAPMLDYIFTNV PNDADSDDDDINPDDNMDANENLVTHLGHFPNLKMLRICHRALRWTHSDGLVKEQLVR LIEGCPRLESLDITQIDIRDTQIRPQLEGLVAVAGTDAIPLLKGLRVGILKNQGRDKP VQWTRDDSCPHLSDLFAKEYLATYSKTGIKLLIDIESFWQKMAILQTFPAVHEGVHDT VTVHPYLDEIMGAVQERESVARR NEUTE1DRAFT_101187 MVRAFRLLASCAMFSQALAHSHILYLIINGQQYRGFNPHAPDAI TNSIGWSTSAVDDGFVTPSNYSNPDIICHRDGKPAKAHAPVKAGDKIQIQWNGWPQSH KGPVLSYLAPCANTTDGCASVDKRKLSWTKIDDSSPVLLDEKGGPPGRWATDVLITQN NTWLLGLPNDLEPGPYVLRHELIALHYANLKDGAQNYPQCVNLWVEAPGLKAAKVGKE EVVVAGQKEGVPATALYKATDPGVAIDIYTAALSTYVIPGPTLAPEAKPVPVTDQGLK STITAVGTPVVVTQATSTVPIPNDKTAAAFKG NEUTE1DRAFT_146731 MTFSTVVPSNTDSVPGPVPPARICDFAKPTFTECGSKPGPTGGS SADPVTTTSAPVSGRGYGGDSGPSSSGSSLYPAAISVPGGHCGIDNWVCAEQFKAIFQ CAISFIQDAAVSVGCKKGE NEUTE1DRAFT_82763 MQKGHHHVDPYDPSRPKLPLKARLKHFTWAWYTLCMSTGGLSLL IAAQPHTFPGLRQIGMTVYIINIIIFVLLTSLQITRFMLHAGSFKASLTHPREGFFFP TFFLSIATLITSTQKYGTPPDSEPSQDLVWVLHIAFWIYLILATAVAIGQYSFLFSQK RSFSLATMMPTWILPIFPIMLSGTIAAVISPFQPPHRAIVVICAGLTCQGLGAAVAFM MYAHMVGRLMSVGLPDREHRPGLFMCVGPPSFTALAFIGMAQGLPRDFDHDMDGFIDA GMIRTMAVIGAVFLWALAFWWFFIGVLAVLASRPKYFHLGWWASVFPNTGFTLATISI GNAFKSDAVLWVGTGMSLCLLGTYLFVLGNHVRAVVVQDICYPGRDEDVEDH NEUTE1DRAFT_122584 MGDANPADPTALPGFGQDQPDPVRFPNTRLRNSRSASISTWTTT SGGGHSHTHSQPHNNPRESEQCRICRGDASPDDPLYHPCKCSGSIKWVHQECLMQWLA QTQRKHCELCKTPFRFTKLYDPDMPRTVPAHIFVAHMFKYSVRKLLAWARAALVVSVW LGWLPYFMRSVWALLFWLCEEGWGGPAVFSRSQNTSSSNGFSVRAYGTTVCPASPLFV AHTSPASMGAVLGRLPLNSTQFVNSLTGAGSGAGHSFLSLLLRTIFGSGGIVDRPPPP LKEIPSQLANNVTATLYIDQAASPYSNASLAHSPSLLSEVGFLKNLTRNPTVNNNIIA VLEGQIITVLVVVCFILIILVRDYVVQQQPEINERAAFANLQNVQPQVAPLNVPGPAL NGDLDGGLGEEEEEEEEDAGTDDEHGWDAQPPQPRAPPDRQPVNNPYASDLERELGES DSSTIDEYLNLYREAGGNPDRIVELAQERGLEERLAYWIALTKTMKERAARVQANYND GLNSSAAESSTSAAGRPSGHNLEMDSTPAAPRPDPFDDWRYPLEEDDHQGEKAGDGSR DKGKGVATDDFDTESDSEILASSARPRANTDGPEIRMYTNPLGSNSWSFSDLPEDDPN SSSTEEKASQVIATPPDLTNDNDSSNTEVEPLLASLAGPAESESFQENRSQDGNRDTA ADAHAEPQALTDISWPNLDESTADSPAQPPQPRGFVPRVTDFMWQGVEADQAQQDAID IIADALMRRDDQDNVDVRDNPGLDNDDDLDQDDDAAAAIGLEQDAIDDAEDLEGVLEL LGMRGPLAGLFQNAIFCVLLVSATIFLGLFIPYNIGRYSLWFIAKPMRPVWILYSLCR FIQDMVVIAFGLVSHALSLVHPSLGLGGVARLATKLLFGSRHAAAILDPHVEMTNAAK RVGHTVINELGRVSMSEIHTFSAVSHEALLSVKGQVFSGFTALGSALVFLFGGDYSTK APIVLSAASNATTFALGALKTLPTALLKPNLVINVNFPDASHTIDPALAYWNGTDRFW AILAGYLTIYIAAVLYLRHGGPISSSPTGQEWEAALIDALNQASGVMKVILIIGIEML VFPLYCGMLLDIALLPLFENATLMSRLQFTLNFPMTSIFVHWFVGTAYMFHFALFVSM CRKIMRRGVLYFIRDPDDPEFHPVRDVLERNVTTQLRKIAFSALVYGALVIICLGAVV WGLALSLPNVLPIHYSSNEPVLEFPLDLLFYNFLMPLAVKFFKPGDGLHAMYTWWFRT CGRCLRITWFLFGERKADEEGRLRMIERGPDAQVSWWQAMFLQLDESNGIVRPWKPKF LAESTKAETSESEPESPNIRGYVPSEAEAATRKLSPEEGFKDYLVNTKQLIPDGRFVR APASDQVKIPKGKTVFLEVTERNERLDGKPDVPETDLYSTTQYRLVYVPPHFRIRIFF FILLIWLFAAATGVGITIIPLVFGRWMFKLLIPEGVRTNDIYAFGIGIHVLGVIVYAL INIRSLSQTAKIRTTAAVASVFNNGAVRRSLAVITRIAKIIYSYVFLLIVFPLMIASV AELYIMMPLHEFMYSVPIAQDIKQVTIQHAAALNPRHTIRVMQSWTIGLLYLKLSVRG ITTWFSGSRLALATKAVLRRGWFNPNAGVLTRAFVIPAILLWTVAMAIPTLFAKFMIS WSLAETLTLRHPQAVNVDGVVDQTLYDAYVVLIYRRSFPLTALLLLAAAAVWGLLGVF QSWKMRIRDEAYLIGERLQNYGGGMPQRGLGRGTRGGWRAGMAVGGR NEUTE1DRAFT_64085 MKDANGSVNGGPEGPIPTLNGLNGINGLNGYSKKGVNGPIKPTL SHSASPRVTPRQRPRSRPGVIARTFNVVARLVTWYTILTILFRCPASLKECDKSSPRI CKTYFEVKQTVAPHIEPYYTAYAAPYVDLARPYYHVVDQKAIAPALAYVQPRIQKAHG YTKTQWEKSLQPQLVKYQKLAKSHYEQSVGPHVKQLSTAAAPYYEIARTNALQTYHGA VVPAYHSVEPYLHKAYKAVSAFTASTVMPSTRWAWNKTSLFLDGTVMPHLRVVYAENV EPQLLKIGQRLGRHTTGNKKSVPKPPVDLSSSTKTSTFSKPTPATTAASTPSSHAEST IQVAAAEEQKVLNTEHHVPENRIPPPEIDEELEKEDPARRSARETVAADLQDWQERYS KAADQGASEISARIYEITKKMIRRNAKVTGKALLDELQTTTVSELVQLRRKILQIIGA VKKENASVDEGRDQIAAVVRQAGMSIKERAQEVRTWHENYEAEMQSAITNAAEAHFSI LADIRDLALQKLGMKWAWMDGVTYKDWAKYHELKSRFEDWNNDLKNLIVTHPGLEAAQ NEAAAVEEEAMNTAASAAKELARLKQVALRKLEAGDDSDEFESTVAQQAAEHVEYAQD AAASVVSEASESVIAAGEKVSDVVEEGVSRAAEIVSEATEAVVGAKDSAADKMEELVD DATSPVVEAASLTFESVESATDNVKESAATVLSEASEVIIASSSTETETVESASVASE EAADVAEPASKTPSIVESRPDLASTEILEETPVIVGNTTVAEKEGPAPVELPVEEEAE VESDIIVSETPEPPATTVKSAWLGAAAQSVPTRQPIIDEDTYDDVSEAMEKMRNDVKS VYSSAMSLANNQYSDALSLISAQIRGTPEPAHQQMLASVTQAYSNAMASASVRLDKAL KLASKQVYKSTPTETFKILPTAVPVPNIPSLDWERIQSIAAQRLEQGRSWAEEQYESA KIAAGLATPTPSTPSEHVQKALENARHNYYAGLGVAHARYSEFLAAASSAFSSMTATP TPTDFVGTASSVASVATESAASAASVVTDNAAYAASIASASAASAASAAAASAASVAS AVSDGAASAASIAGENASSLVYAAGDKASSAASVVSENVADAASAVSESWDSVLARIS IEVYGAPTPTPWYQTVISVASAASASAASAANQYGGAASDAAADNAASITSAAGAAAS AAGEYAAAGSDAAAQQYSVVSSIVSELLVGREPTFSESVVSRLKGVYATSIPEVVVGA YESASSLASEAKETVVSVASQASEAVVGHDEL NEUTE1DRAFT_146735 MASGIPMGGFQLGPSLNRRLSRLYNDTKKSSDFVKEPVQHAEAD PEIKSLHRKLKIQKDRLVSWGLEWSDPTHSAEVYIDSSLSKAGLSEVVGSIMSTIKDI LAEAEPLWNSSKQLTGESNEPYQPPKRGEKIRMVVWDKNRFEDLIRDLTTSIDTLYDL SRTRSSYAQHSSAARERLQRAVSSPTEDYRPFESTRIQTPQQIDPSTLMNLRDMQAVP MTEAGSQEPGTREIVFMGKQAYAELMQRMGAQIPYGPLLLEYAPFDSLYSITGITPPM AKFERLSSGLQADPQRSSSSWTGLPRLLAYFEDMENSRFGLVYRFPRTFNPVTYENLT QNPLYSMCSLGDLLARPDFEPKLEAKFRLAANLANTVFDMHARGITHGNLLIDNISFC NAVSTDPEISGMSRGEVDIRRPLVSSFDLFSDPQSQDEPEPFTPYRHPLDPKNSTQSP LSNNADSKTLDLYSLAMILISVGLWNKLESILPDVQNPVVSDAILDQLAIRCGTLYMK AVQTCLKAVDQEIGGQHTVDEIARHVEFKASRYLEACCILDGVSNLEERLGDDLSPAP PALQIPSEPVASGSGSSKETKSEKTSIEKPTPKARKSEESDPSITVVPVHPELEVRAK IQARQANDSSIKEKARLYPHVPLPPDVVEQWNSVLMPEINSALKAFYRKNPESVEISL ESIGESPQRTKPTILVVCTSVNKVRAILKKKLGVLFDGTRSNLGLKVCKGQVLRSRKQ VINRSMANSDDTGDVIAANPSYQPTPQNGASIGAWIGDRHLPPVSLGGLIVVDDKPYG MTVHHMLDDPDSDQAADDNTRSMAGGGLNDLAAWYAQQYSNTDESSPESSENDDYACE FSDTDSEAYSESAITSEASDDGDYYEEEEQFTEPGDIPGIEPGCGEGFIITQPALDDV ASDFYPSAEDKDQDHLDTFRVGEMYASSGIRRRKENGLVHEIDWALFEFEDDRQPSDN FIPKASNKHTRLSSIPPDQIPTILPTSIAPSHTLPGLQVQCMARTSGLQTGTILPTLT SVKILGRASPSHTYQISGTPSLGLVPNRPNRPSGSGDQTINSRRQPLPMGIPGDSGAW VVERDGGSVCGHILAWSSRKRVAYICPMEVLVRDIAEVLEAEEIRLPGPTGAIIYQSY EDRTPGVSRQASKSSTRTVGGGYGGGGGQSISRQTSAASARTYIGQQDFGSAGLGRTF SRGSAFAGIGPPGYDDYPVNENEPGRQSSVRRSQKQVEEEYLPAYSELKQAQAVAAAG LYRQRERPGTNNDGNNDGNNNRNDDDNDGYDEGVEDLEHDFKDLAALEGAMPFGVERW GTGFA NEUTE1DRAFT_64093 MQSGISASKELVSQFNDLLGSPSTFGLLINIANEQLQPIQTLTS SPGSSFASNVDTLLTPHIKEKEALYIILRRYDSSPALVAVTYVPDTAPVRQKMLFAST RLTLVRELGSEHFRETIFATNAKELTSQGFEKHDAHTALDAPLTEEEKSLGEVKRAEQ EAGAGTSKREIHLGTHMAMPMGEDALAALKGLAEEGGEGLVMLKVNPTTETIELVPSS SSSSNPSSIADLVQAISPSEPRFTFFRYTHTHNGSEESPILFFYTNPSSNGGRVAIKQ RMLYPLMKRAVLEVASREGLNVDKKFEVEEPSEITEDGVLGELHPKAVQSRGFARPKR PGGSSSVQIHTCLTQYIPPEQKHHGTFKSNRTLDRAGHHRHHWTRETTIHQQKSPSPD PVAVLSTFYSTKDQKYLVKSVPRHSEHSFFRQDLLTPYVEYMATHPRSLLVRICDFLG ASGYSLGRILRLAPSHHIVMENIMYGRGRSEAKKRGGGDNNNVDWEDWDLKPTSYFYP ERDIADGALTSEATKSQLADEFHDKIRLDKEQADEFFRCLEEDTRLLAEHGAVDYSLF LVRMHTTTSTPSGSGPGQEPDAAAASSPEAVSEVVLEEVAPPTEGPSVPPGPPSWRTG IASADGKYIYRASILDFFWAKHKLQPMVMTVLIKLWNLLISKQGPMSITTTPEEYRQR FLKMCRSYVEVVGESSVE NEUTE1DRAFT_101195 MSDGDGGHCGVAYIEAVDEAWKRCYVERSAASTTRLCVKCLNYN FSPKKLDPDQLPPLGERGYEKPAVFDTYDKLLVSSATCPLHKLVLEGLERTLARGTQQ SLSKYGVGKNSKVFAFMLTMQSEGEATIGFFIIPPEEERLRHRILQAPRIAESYLRVY ADKSLAHGTASSGRWARNPPLTPWSEPWLDWLKGWLNACLGKHGPECCTTLSGERIDE LLPPVLPTRIIDVGPREGSGSARLVESQGERGHYTALSYCWGSSRKSDRPYLTTTETL EKHLSELPWDLLPKTLRDAILLTRAVGMRYLWIDSLCIIQDSNDDWEKEAARMGTLYA QARLVIAATIGTDAQAGLFPFQTYIHHPSRRSIPSWRRSPSLYFRNLPNERGSPQLNL PLFTRGWATQEWVLARRIVFWTQERMCWYCANECVGDDGNSVSTYSGLFQRYTTWKEI ATLYSGRDLTYPTDKLIALSGIANEIQKQRPKDNRRRNTDNGRYFQEAGHSWSGGDQN EKLLLISTVKLWDSALDHDDP NEUTE1DRAFT_101196 MYWSTSPCRTSPDTTERDHRTCTCSQQLLKAAFEAATIASHECR NRLFHAIYCDLEVHSKTKGSKPLHSFCIPNNFIDIIGPALDPQIQHILCLHNLQRKYK YVSKLRKIFLIAMLASVDYIDFLGDMVKVVDNNQGQLSDDKLPIEGSQVRGLNEKYAA NFREFFWYQYLFLDGYCGEKGGQVGGFEFGVDVAF NEUTE1DRAFT_101197 MHTKSLLSLLALASVPLASASNGKGSGKSCSHKGLDWYAKKAGL KYFGAATDSPGQRERAGLESAYPKYDEIMWKSGEFGQTTPTNGQKWLFTEPTQGTFNF TEGDIVASLAHQHHKLLRCHALVWHSQLAPWVDSSSANWTKDELRSIIVSHITNVMTH YKGQCYAWDVVNEAFNEDGTYRESVFSTVLGGDEFIQLAFETASKLDPQAKLYYNDYN LESPSAKTEAVRKLVRQLQNKKIKIDGVGLQAHLTAESRPTLDEHVAAIKGFAELGVE VALTELDVRLEMPANATNLAQQKEAYKNAVGACVQVDGCIGVTIWDFYDPFSWVPAVF PGEGAALLWFGDFSRHPAYEGVVEALTNKTQGHNGKGPRGVKRWVA NEUTE1DRAFT_64101 MAESRYMTLSDPSSLLKISNDTSQYLQSALEDIVASYPPRSRYS HEHLQGLWSGPTGIALLMLQISARQPSLLVSGQTPYHWAKAYISGSRGHNLRLGSRGC GIHDEKLAFEAVRASIFREDPSHVRTFVSCVSQILEVEEYPDEILYGRAGTLYLLRLV RHWVPGSNKLVDPAIAEITNTILNRGPNWTWHDKRYLGAVHGDIGILTQVVLTSPETV PQLESVLDRLLRLQHPSGNWPSSEQHAHTGKCLVQFCHGAPGFVVSLLSLRQYFSALQ EKIDAAIRLGRQCIWAEGLLKKEPSLCHGIFGNALALPKGPQREHFLAVATPENVANM KKADHTGTIFDRADYGRAYSTTTSYTPSAAWAWLVCDDEHPKMLGYNEI NEUTE1DRAFT_82782 MASSSSSRAPDRTPRNPRGPAVRTDAGASDRAANRLPDLPRLMD PSPEAEPLTAGPPTQSNPNQQRRTPSTPVPIPQQGSSPTSGSDHFYSARQTPAQSQSP APDLSTERQIDVDSYAFHVNSLVHPARRTERDLERLPRYDPRNPSASPASRSDSFHSA RETPVASQSPAANEPVVEVQIDPSSYEFQVNSLVHPVRRTEHFEERFDPTIRSRLRGP DRILGMSWDDCIRLTNDRLLADGAEEKDLICKARHTDQGCTCKTERI NEUTE1DRAFT_101200 MQFTIATVLSLLTIAIAAPAAMERQVPYTPCSGLYGTAQCCATD VLGIADLDCANPPETVANATHFESTCADIGQRARCCVLPILGQDILCQTPAGL NEUTE1DRAFT_117147 MRSLSLFPTVLLSLTTLANAHFLFNYPPSLEGSSIDEDKEPDAP CGAKTPNLSSDTTTTDFHVDGDFISLYAGHPQFTWLYRASVQEVSEGNWTQLFPIVQQ SGLGDFCSTQVKAPKEWVGKKGVVGVAGIGGDGTLFQCAVVNFVEGTNANMPSACKNS TGVTAAYTSDADLTKLVGDHVEASPSATGTGAAPAPSTTDKTENAGVVVRGGGLAALG AAVVAGVMML NEUTE1DRAFT_122592 MEKMERRHGGHDMSSMGGMSMGGPNSFQGTNMGLAHDFWYIIAA VLGFLALCRLVNLYKSRTRLQKRFANSVQYPTKPSNKFLEIWATLTALVRESSYPQLY VPSSWFSWMTPPPLGRVITLLVYWAIIISMMTANAIKNDAFFWERIGFRNAWITVMQM PLLYLLASKCSIIGLIVGTGHERLNWLHRWVGRTMFVTGAVHGWHFFTEWQRAGILEF QMQMMGMVKYGMAAWAVLGWSLISGFAPLRRLGYEFFVLQHLVSAVLFIWLVYVHIPV YARWNVWFSIGALCFDRACRTVLLVWQNVKFRPNRSKCKGGQRIGHFAQIRAVGDSIT VINIKDVHFKWKAGQHLYLWAPAIGPMEAHPYTIACAHQLPGTCICNSIQLVVRKHGG FSKRLHERAMKDHAEEKKGNYTVFVSGPYGMPPRLDIYETVILISASTGASFTLPILE DLLANASTNCVKRIDFLLTTKQGEEIDFYVKRLHEFLDGAKEVGIELLVHVAITQSGT PSLPPFSILPTTRERERTEGKSSSRASSWDSNLGKTRTENSPDSIQPSGDQEKRANTI GGGGRDVEDIIFSLTPRRPLSNASVDSHVHYSTTRPDIAAFIRAPVEATGGETKVVVC GGPSLVAKVRNCVASLADERAVHKGTGAQGIGLFVEEYAF NEUTE1DRAFT_64107 MTAVAAPIDQLVKQVDGLNLDAVKAKFPDTYPDLNLMDLYRAHL ANVLSEVTGVDTAIIYPNLGWTSGLDKGDIALPVPSLRVKGKKPDELAQEWAAKFPDT DPLFTKPTVSQIHLSFFVKASPLVNAVIPMVQHKGAEYGFNKADGLKDPKDPSKGQKR IIVEFSSPNIAKPFHAGHLRSTIIGGFLSNLYAGAGWDVIRINYLGDWGKQYGLLALA YEKYGDEEALQKDPINHLFQLYVRINTEMTAEKEELTKRKEAGEDVSALEANSLDEQA RRYFKKMTDRDADALAMWKRFRDLSIVRYKDTYARLNIHFDEYSGESQVSEEAMNKVG NLMEEKKICKEDKGAQLIDFSELVPGKEGKRLEKPLVRKRDGTALYLTRDISELLGRH EKYNFDKMIYVVASAQDLHLRQLFKIIELLGYKDIADKCQHINFGLVLGMSTRKGTVK FLDDILRDVADKMHEVMKKNENKYSQIEDPLATADVLGISSVMVQDMSGKRINNYTFN METMTSFEGDTGPYLQYAHARLNSIRRKAGVSDEELATADLSLLTETSAINLCRHMAG WPDVVKNTLKTLEPTTVLTYLFKLTHALSSSYDQLQVVGSEPELMKARLALYTAVQTV LANGMRLIGLSPVDRM NEUTE1DRAFT_101204 MEVSVLCDQIRPCYIKLFGFSDRDVGGFGEGYAKPSLLSAGSTT LNLSLALNDESEVKDGYCQRLSMLVLAFEAAVGWHGLASAALGGECLTLLGSVASSRR LADLLVNVSIINMIQHITTPGNID NEUTE1DRAFT_43137 VREPAIILPETKSQYLYPTEDGSEQDGRPRIDSRVSLSLHLVFP FYCSLPAQSRPPAANGSSERRNRDTDTQHAVCFRNNPPDRVGGLVVGTEMVGEEEFHE WSKVYGPIYQTKMFGVTHVWISSEKIAHDLLSRRANIYSDRPQIPNLPDNRTSGDYLA LLGRNDTWRRQRKLCNHLMHTSALASLHDYPTLERNRFLYLLSQSPENYIEYIEQFTS RTIARLSWGSAHPAQILRHTTFGLLETISPSGALPNVISFLRHLPLALSPWQKKEKAR HELEDKQFRSNIGFVKRMMDVGRAEPSFIRTYLEEQGSINSTNKKCDEQKGKEAADEA MHVVGLMAIAGALTIGSPIQSYILAMCHYPEWQARLQEEIDTELAGRCPMWEDREKLP LLRAVVKEVIRWRPPVPTGIPHAVEKDDVYNGYFIPAGATIHALEWAITRDEQTYPQA ETFNPSRFLLPSYPTYREPLTIYPNLSGFSQFGFGRRTCQGVPIVEQDLFLTMGGMAW AFTISKKRDPVTGAEMPVHWNDYTPLLIAKPCRFPFDAVPRDEEKVKRMREMYEEAME GEEMERCESREERERTEGWMVEGGVRQKEEEIPWQSGVGLQRQVEGDVGGVGAGAGAG AGAGAGGGGGCASGEDGRGRGHVQHQDQGIHQEEHDADASDSNSTTSSRLDSTGSASG SGSVIGTPSASWGSEPSLSLRGSSAESSDCDEHDELRVATRTTPYKEDDGDGDGNESM RMRSMGRMRGRRVRIKTMSNASSTMEALMTPMTPTTPEKEGLPFKEMGIMGMGMGMKL GIATRRVVEVEDMEDMEGVAVDDEMEVPGAWRW NEUTE1DRAFT_130051 MGQGHSALQTCINGIANGRSGFAAYPSQPLYQLAWVKPYNLDIK VHPEAVVRPKDSNDVAEVIKCATQNGYKVQAKSGGHSFGNYGLGGGQDGVITIDLVNF QQFSMDNKTWQATIGAGSHLGDVTDRLHDAGGRAMAYGVCPDVGIGGHATIGGLGPMS RMWGSALDHIVEVEVVTADGKIQRASETQNSDLFWGLRGAASNLGVITEFVVRTHPEP ANVVQYSYTFIFGKSADVASTYSAWQDLISDPKLDRRFGTEFILNPTGAIITGTFYGT EAEYRASGIPDRLPGKQDLVGNNDWLTAFAHDAENEALYLSGLATPFYSKSLAFRREE LINTTGIADIFKWTDSQDKGTPLWFIIFDATGGAVADVPMNATAYSHRDKVLFYQSYV VGLPLSKKSKGFLEDFHNQITKWTGAFGTYAGYVDPELKDAPEQYWGSNSKELRRVKK VWDPKEVFWNPQSVKPAE NEUTE1DRAFT_42803 SRHYQNTDWCYHVLLPETLAHLSQSSRSPAGPGGYQQPSHGQRS YGLRHDVIAIAQTAPEAGDLQLCLIPRGGVSGKPIHPMQPLPITLWDQGRTVCEDYLH GFNTTFQTMVEVVGKSVSRHAHAHALWLLDNNHN NEUTE1DRAFT_130052 MPNTLSKPNGTTSAASSNGDDKGKKQVELQPSFTPTLLERLGIT SFHLLNKLIPWYKLPGFIGAFNLAFLRIELRQYNLHDAYATSQAQGSSITDPLPNERY LGARHSDGKFNDLKQPKMGCTGMRFGRNFPREVCGKPSEAELMTPNPRMISERFMARK EGKFIPATTLNLLAAAWIQFQTHDWFFHEQDPNEKLNVPLSEDDKWLDQHMQLYATKP DEALDPSDIKCPGYKNLNTHWWDGSQIYGSSESVTQTLRNRHPDGKLSLDSRGREQFL PRDQVGNVLTGFTDKWWVGMEILHTLFALEHNAVCDALRKEYPDWSGDQIFDKARLVN CALMAKIHTVEWTPAILAHPALQIGMAANWWGIVGEKLTKIAGRLSKTSEIISGIPGS GAEQDGTPYSLTEEFVSVYRMHSLMPETIAFFSATDGHHVTTIPVVDTTFTKSQTPFD DGLSFADVFYSFGINYPGAITNNNYPDFLRNLRTPDGQVRDLGTVDILRDRERGVPRY CEFRRMLRLSVPKTFEELTGGNKVLAKELAEAYNNDISLVDALVGSHSEPVIPGFGFS ETAFRIFILMASRRLKSDRFIAGEWNEEMYTKVGFKWVQDSGMKDVLGRHFPELRETL KGSKNVFAPWEMKAGSKEYKGVETNV NEUTE1DRAFT_110460 MTSSKTPRTLPALPEEIWRLIIQHGVTLRATSDFRTWRCDHSNE INDQRLHDPTTRFDHQRERRDYAAMLPLAQTSKFFSRLVANELYQNIVLCDYHGIGRG QFTLLLRTLVEAPKSRDRVKHITIVPFFDCEWQPRPEDPEPPAGLRLMGKEALPVDYQ GAVTFLQEKDVEKLDRQAQGIIDIAGLELAHVPENRMFKASIGWLERALAALVCLSSG LRSLSIQDPPPSSGWVPGCPRMDIDGFEHTIRKIRKLPSSYVKIFQQLEAVSIATTRF KNDSDEAFSVYSSPLLTGFQALGFLIMRDWHRLADYRSTLVHLDIGHLYPQLINARWV GLKETNGARAREAREFRRILSTFTRLKFLRVSYALIIGQLEEKTKNFGDMLSELLPPS VEDFQIAVFNHGVDNRYDRPNIDMSVKCFFEGLELWTWDLDQYKYRLDFFAKYRNLWD ISILVHQKGNSQDQSQF NEUTE1DRAFT_138071 MALRRAQEAFKSYHICRLGAILQNAGLQRTHPRRTAPTGGPFPP ATLRRQNAQILFIHEADRQSVPLPNGVQGDDEPSSPPTSQARQGTSTGDNSSRAPTPP QEHLANSLVRTQNLEVTGSSSPTDADIQRNVETLQRRLRAGIITPVPRPSQRAQTRPI LFQENPSLVPRSSRRIRLTLPSDGPEPEVDPLRPSPPPYSPRNPRLHPTSTDYDLTLN LYGRPHNNGAPPLPLYTPIADPSERVLQFGGSEDEQRNALVRLREERGRQQSEERLPG MRLPDRERRDYDGSEELQWIRNAVAELDVEDAELEADIIRLFMNDPFP NEUTE1DRAFT_138072 MNNPQRGTLYECGHSLRPGSADNAAGPGGGPAQRRGRRFESPRP VLVKTQRHVADRLVDVGNVGVIEAIESLHDARGLPVRADGHGYEGLGAGVCPLHGLLY NRHQLLFIPRPRRSNLTPPKMCVIYHIYFSQCDHKDALFVPCADREPRTKDCPKGDPR EVRHTQDGPCEDCHPPQGDEDPDAVVESEQEEKR NEUTE1DRAFT_110462 MSSPSNSPRRSQSPDNQPPRNPRPLQAAPADAPGDAVPPLDEER LTAIANRILDAYDPNDFLGSMAAAFDRMREASGGDLTMFREIGLRVVAEISRRRNPEY VPNPAPPYTALAAMDEVTVALSVAEPEYTPRYTRYARGQKRKREEERGEYQEEEDDND EEDEEQCDTGQYHEDEDEEEIDEEEINEEENDGE NEUTE1DRAFT_101209 MSSPSNNSRRSQMPSNQPPRPQRQGATVRASETPQALNEEAARV RAITDRVLANFRNEAARIPAVRNQATATSPDNLIETMNASLDAIRVAAGNEAPPRPMR TARQAAIENRLGRLDELIRSLRSRLPPNPDSAPPYTELPSEGEVTMAMSVPEPEYTPP YTPFGQGQKRKREGEIHYKEEEDTGDVEEGDRGFGRSCAPSPESTIPQRDTSCPGFAE EDDGDISDEEKNHSEGNDQDENDHD NEUTE1DRAFT_41330 MPFTRTSARRSRPRANNQTPTLRRENAEVIYENVDDVDLFGGVD IDQILDFLMPPTAPLKSLLPLTFSNEMTVYSTFAERLPSYEEAKKEKEKEDEKTGEGI SSPLSVGKSEQTTDASILQTTNTVIIIESAKMSSQSNIPRDTQLRNTHPPSLQQPAAT ASSPTLLEEIAAEVEAARWAALPIQYLAVFDPNDPEGDRARELDFYNALAGADDALFE SIYHHVTDQILRNRASFQYYRDNAPPPYTTHPTKGEITVEMSPEVVLPAYLPPYTPFG QGQNWEGEDAEKEEEEGVVVEDVGEKSGSVVHEMAATNPELTIPFLCGRRIPLRTVEE YWPVVLVLVLSPYIYPQDPLLFAGFVLFTRLVGFLFEKARGNEVKEYDLMQAGFDHDH CKYNLLFIVSCVFTAAN NEUTE1DRAFT_41032 MPSEGRSNTRLPQSNASYYPIFESVIPLGVHMAIRTQWYRQMAR RIRARRVLGINPRTDFLTVEEETVEPLPLYTKVPSAGEITVEISTLEKLPDYHDAVPT DDVVAGRKRKRRTRFDGRCRWSAKRRRTEIVEENERSSLQTSHQQPTSLASPTRRGYP RDDLPSSRSNLPHPTRPHRRRNPEEVDIPPLYTKLPGAGETTVDISPSEQEEMLPEYS EAGRGEDEGKSEG NEUTE1DRAFT_41491 MMTETTTIRIITPDELMSIPLDVQGSLISRDTSPASEPTSPRQT SPHITRQRSGTGSQAPSPPDFARRLSNLNQSINALQRELRRGGRPRRAALRGPVFSER TPAVDIQERGTQLPDPILFTSTTLGVSVDEDCTTRIGVPAPTAGETWMYRLYDRPGCC DCPGCRHVWGNEEGADRWLR NEUTE1DRAFT_101212 MGLPLYRSPSSEALRRQAANQAANQQQQPDPRRARSGAPPPPLR REDAQRLFSQTPSEAATGTQERPIDLTRRSLEVEAPRRPVLPPRFAAPIPSTEDEESA AAASAVLSRYSRTSFNSSELATPAEEEELRLPDYGTPDMDWSTPEIEAASTPPRPEAQ ASSAVLSRYSRTSFNSSELATPAEEEELRLPDYGTPDMDWSTPEIEAASTPPRPEAQA SAPAAPQQPQQRQQQQVAGPSQAAATQEQQRQASGGGRGGFVQVVVSQDSGLAQVQVQ GSGEGQTIPPSLRLPPLPRPSDATIRGARRQRLGQSGTATQNTSSTASQRSRSGGSGG SRSADQSSRMRSDRSGY NEUTE1DRAFT_138080 MPDPRRPDRTNNARVFTAADLFQQIARSEAQRRQADSNQEVAPP YTFRPTAGEATIGFNCDTLAEPPSYSHCMSTSRIHTDQELRSEEAVGDYRARSNELAA PAARSVPTESASATTEAQGQARSSGIPPPPYAAPATDTRASRTAT NEUTE1DRAFT_64117 MSGDTTNGPVERNPGLTMSATELIGNTPLVRLNRIPQSLGIECQ VYGKVELFNAGGSVKDRIALRMIEEAEKEGRIKPGDTLIEPTSGNTGIGLALVGAIKG YKTIITLPEKMSAEKVSVLRALGATIIRTPTQAAWDSPESHIGVARRLLKEIPNSHIL DQYTNPNNPLAHEFGTAEEIWKQTNGKVTAVVAGAGTGGTITGIARGLRKHNKDIKVI AADPVGSILAQPESLNDPNATAPYKVEGIGYDFIPDVLDREFVDKWYKTEDRESFHLA RRLIAEEGLLVGGSSGSAMAAMIRAVKDYNLGKDDVVVVVLPDSIRSYLSKFADDDWL AANGLLVTDDEIKTANDAKGTATVKKQQETEQKKTDDGYAGATVRALRLKPVTSVLAD SPCSEAIETMRDKGFDQLPVLAPTGGKLVGLVTLGNILSFIQRGRATPATPVAKVMFD FSRLDEVVTDPRKFDNDLKDKQRKFVDITMDTPLAALSKFLEWNSAAIVTEKTDNGSK PVAVVTKVDLLTYMLHQQSL NEUTE1DRAFT_130054 MDTTIETITATVAAASSTPSASAPIKELLKDLLIASLQPNKTVI ITGLLIVLLFPIFLHQVLHGNESGSSSSKTSSLPSILLAGPSGAGKTALLTLFEKRAG RSTSTSTNEKTEPAKTHTSQTPVSIKLNAAASFSPSPSSSSPSPDEKKKKDFLLIDTP GHPKLRSTALSHLLPLDPKTGRPLPPSKSQPIKGVIFLLDASTLSPSSPDSSLSQAAT YLYDLLLSLQHRYSRYTKGSKHPPSIPVLIAANKLDLFTALPATLVKKELEAELGRIR VSRSKGLLDSGVKEEDDLMGNSKEEGDDWLGEYGSERFEFRQMVEFDIEVEVMGGSVL GGEEEGPGCEGWWSYGEDGRRKGYMWMGNGWVPSLSAADHGSKLKRIRDLQQKAPGGR TSARDRKGIRIRKNDQPPHAQLRKTIASRDMKHQVEN NEUTE1DRAFT_82800 MAHHDGADAHAGHAAPAQPPMKSDTATPKLNSEVELGSLPSEAH NDIMQMARVGDIAGMEKLFAAGEYDATYSDDEGITPLHWAAINNQYAMCKFLIDKGAE INKKGGESVATPLQWAAQRCHYYTVHLLLQHGADPLITDSQGYNTLHISTFNGNVLLI VLLLHQGIPVDVEDAYGHTALMWSAYKGFPACVDVFLRWGASVHAKDEQGFTALHWAL VKGSPGCIQKLIEYGADRFAKTANGKTPAITAQELNTVAAWQKALDECGYDEHGNAIV PSWPGASYLLQDRRSFMTKFTFLWPFVMVWATMVVMAGMPVFVGIPLGVLAGYAVQWV AQQVIAYAPPDMRQLQKTPWMAGIFAGSLFLCIVNWLLHIFGSTMFGQDSAVIPNLLF AIFISMTIWFYIRCMVDDPGFVPKMGGVAEQKAVIDELISLWKFDESNFCVTCMIRTP LRSKHCRRCQRCVAKHDHHCPWVYNCIGVNNHRHFFLYLINLTLSVVTYDWLTYRYLS TLSETASDECNILAPSLCRIVNADTYSLLTAIWASLQLTWVSMLLFVQFVQVSSAMTT YENMHGIDNYSATSLNSAFTSTGAPLNPPSLPAPGPSPAAGGARHGGRHAHGHNHKQG FIKQWSRLLGVDAFIETAAGRGATTGKGSKRNKRGNPYSRGCVTNCKDFWCDPSPMFG KHENGAAVLGGVPVNYTDMYESPGFMTSGGGGRRRGGGYESVAGEEV NEUTE1DRAFT_101216 MPKSHVSQAKDVSSSQEREPPNRPKELTPLIRLHNLYNFRLQGE EKDIYEVVLEALRKGLDYEKWAWWPYLIFPIPEEWYEEPDPTKKGEPTKATYKDLHHM MKLLQDAKWGTRLGYVVKLLDILGDSCITRIIRGTAGEEFQKRRKLHLGRFLLTYNSV LAIPGYEDNQEAREICLRLLKELRVTKDELVEEFPSDKWDYEKDFSNGKVIGPIRVGS PHAN NEUTE1DRAFT_110473 MRTVILSLLAALAAFTDLGHAACPVGGDTSILANTGTPIGTTKV LDDTGITLYITGPPLHFPANVHPDTAILHLSDIFGLDSPANLLLADSFARAGYLTVVP DLFSGSPAPSDLNTPGFNLTEFLSEHSPSATDPIIASTISFIRSSLNVTRIGAAGYCF GGRSAFRFLDDTLSPEERVDVAFVATPSLLEDDEVLGIDGRVSVAFADNDALVSADRR AEIEALLLETEQEYMVSLYSGTVHGFASSADVSDRELRFAKESAFLQAVRWFEEFLGR GFSGCW NEUTE1DRAFT_101218 MSRDFLATLDTYNSMHTDMNKGLNLLMDVYRDKNDKLREYDKHY TEMWTKLQEYEKKTEHLENKIRCLEAKNRALNDSSNAFACLIIDGDGALFHDEYLIQG EEGGREAAHKLHSALKTFLETANIEDHIKFIVVKIYLNVEGLSRALMESGIIHEDDKL TKFGRGFCQAQPFFEFIDVGHGKEKADLKATKHFELMVNLKDCKRVMLAGCHDNGYAT SLEEYSRWATDKITLVETTPAANGIAKLKQHFNFQQFPDIFRSEPLCLRKRSQQSRST ITAFGSIEPFPSPSSTCVAYPSAVQFNGGPSSAALTKRPSPPQAAAFSFAASNSGDSQ SEDNQAPEEKDRQPVLSYAKAAGTQPVGYIKVGRLASSNDVLMGKCRNPQPPKSEKMY FFLNKAEQRVDYPLCRAPDTKVREEIEEKTRKNRANFCNGYHLINRCKHLAENNHGPC DYTHGEPLSKEAKKYLWYKARSVVCKNGSDCREDYCLAGHHCAWGKDCRYKDDCRFKK MHGVDLERFYVVHEGDPCPKPIRRV NEUTE1DRAFT_41295 MSRDSSGTKQVVNIDLQVNKVTSESTIEVWNQDHTQMLTQSCST SLNLETGGLQATKSVPTIAFDVDQDGFGTLTFGNKTYTVSGNSEVSGGIECNSIVSDT ETLISCTVPEVSPEVMLQLNTITLAQRNKLPPNCFPTSGPLEITEVARVANQDGGPRV ISLAETRGMRTWQQLDLEAASLAAGNETLTVEDNNHLEEGPSKRQYNPCSQWTPTTIR EGDGDPHQTPKHIQESLDCGNGECSIGQIEVHAMTIRFTAGAKLSRWITAGFRVEQST TTGSIKECRAGAYDWVAAWVKVGRTSYRVRNGLFNSCTGTKPQGDVFTITSPNANNNG GNPYCVRGKRYVRRLGDSWDEAPKPGLP NEUTE1DRAFT_64124 MSPPTPALNQAIAARTAAPSSSSSTTTAPTSSTTCNHESMPDLS QIPGLPQSRSVWAIPNWPESLAGMTACCNLKPDNEVHIAEPDGCALWCFIPDEFLFER NKDGTNGKRRDGAVAADAMGQCVRN NEUTE1DRAFT_82808 MACLKLPHLRLPPPLSYIPRPALHLITLLILINCLVWAGVGITL HYFPKMISPAVLSYTLGLRHALDADHISAIDLMTRRLIASGQRPVAVGTFFSLGHSTI VIITCIVVAATSGALRDRFDGFQRVGGIIGTSVSAAFLIILGVGNGWVLYKLVKRLRV VLKEQRERRRRVEEGEDLRAEEEQEAMNNLQLEGAGFLARVFRRVFAIVDRPWKMYPL GVLFGLGFDTSSEVALLGIASIQAVQGTSIWLILIFPILFTAGMCMLDTTDGALMMAL YTSKAFSRDVVAILYYSIVLTGITVVVSAFIGVIQILSLAYNVAEPTGRFWDGVEAIG DHYDVIGGCICGLFVVVGLASVIIYRPWRKKMEDRMDAMSILDVESPVASPAAVADGA ISPYADSPVVTPLERVPERIV NEUTE1DRAFT_130058 MAPPQAEDPRPTLVAGNSYLTDPFQDPSSDSASSQGPSRRPSSE NPPSPTVPAPPVVAAPPAVPAPVVPASARAPAAPVALPPPQIQLPVDDITPAPAAPPA GEVPQQEFDEKTGGVLNPAGDVEQGRAAAAPAGADPEKSGSPPRKSRNPFKRGYWAFR NTYCPKEEPGLVFPTGPDDEEKLRYVHTNRIPLYVFGIISFLTLSAGMWLFAVCSPIF AWYGVFVGFLNIYLIISYLVGVVGRDWDYDGHKKIVEEFPINEETAPTVDVYLPCCSE PLEILENTYKHVIKLDWPAAKLKVYVLDDSASDAVRTLAESYGFNYIVRDDRPRLRKA GNLRWAFARTQGDFFAIFDADFCPRPDFLRELVVEHMADDKTAIIQSPQYFRVTDDQT WVEQGAGATQELFYRVVQVNRNRWGASICVGSNAVYRRAALEEVGGTAEIGFSEDVHT GFGAVDRGWKVKYVPLCLATGICPNTPRSFFSQQMRWARGSTTLLTTKHFWTSNLNFM QKLCYLCGLLYYSAVSLGIFISPIPGTLLLAFRPIYFKYYNLAFAIPSIVYGAVLFRF WAKAKYGFNVQHIMVVQSYAYLTAIKDRLFGIELLWAASGDKKAHKSNKYRNMRVLCT LWTILNVGGMITAVVYRVGFHGMEWYNTLPLIILSAYNLYISHYFIFASWKW NEUTE1DRAFT_82814 MAALALQPRLRLSLLARLAVLLAVGLWGQTTAGAYDTTVCGQMG YTIPFNNMTFNADAWNPDVKGFQCISVQDSPPAFAATWSWSSNPEMVHSYPHVKLTAP GLPTPLSNISALVLSAQWSMGPGSKPRPARVVDHDGLTNDGTSANVAFDMFADRDVAK ALNEQTAETEIMIWVGKFGHAEPLGFDANMTKTCFTQTIGDAEFVLYQGHNERGTDVF TWVASANHTTFTAEVSPLLQYLWRNGLVSAKSHLGLVSFGSEAYHSEGTVTFSASEFD MDLVTGAAPKLAVGHLCSPAASTNPPQWLFSSAFIMSATLLYLIS NEUTE1DRAFT_82817 MDANHTHHCCCSRREGPQSPLLTPSPGPLTRSLPSTQSLASALS PGASTPGSWTAHPWSPESANTSPPSTRSGSPELKVRFQTEKVETAPRVDVAEVLPTVT KSVKSICFVGAGFVGGPTAAVIAYHNPDITVNVVDLNEQRIAAWNSSQLPIHEDGLLK VVRTARDGTVDTMVKIPGLPRSFKLDARSPNLVFSTRVNEAIEVADVIFICVNTPTKT YGLGAGSMADISMVESASRTVAQHAKKGAIIVEKSTVPCGTARVIQDIFKYYRPNDEF EILSNPEFLAEGTAVENLMHPDRILIGSARTLAGMKAAESLKNVYAAWVPQERIITVN TFSSELSKLVANTMLAQRISSMNAVSAMCEEIGLGSDVDDVSLALGQDSRIGPKFLQA GVGFGGSCFEKDILNLSYLARELHLDVVADYWLGILKINEYQRERYAHRVVKELNGSL RGKKISVLGFAFKDGTNDTRNSIAVHIIKSLAEEMPLEIGIFDPGCAATEIMEEIEKI GLTKAQLERVKICSNWRESVKQASAVCILTQWKQFRGAQLGRSTKKPVKTPSQPLTKT FVNGKLTEMAILELEAMVARDLNITDEDPLHRLKPEATAEGFEIFGLAASMLEEISQE PVNWAEAASLMQEPRWVFDGRNVVDPIELQSLGFKVRGIGK NEUTE1DRAFT_64138 MDRYPDVRIENIVYDPSHHHKHTTSLPTPLPSPAFGTEFEPHRR HSHSHSHRGHLAPPSPTSPAFSETFLSGTKNNDKMCVKDITYDASARVVNETVFACRP DGLCAVPEIRKHYPSSRSEPRYGGYLPSHLPPTPRESKEPSPIGKRDSGYLHGTRVTD PARSTKHNDDYPNYKYSSSPTDSKHKSDVYTRPSVVLTQTLGVPHEDKTRRRSPHDRE PSPKRSPSIPYREHSKSDRGYDVIVSNPTDEDRRRRRAHKPSDAVSTTATTGIPTSSR PPLSSHNPGRYGSSPNGPSGMESLRRRNSVSSRNDDAGRTPRPRTVSGGSRSRASSVG VRFADEVESKIKQQNAEIASRSNKPTTEQGTNQPELKGILKKPAGAREESKDRSKERN NKGKGAEVDMTVEELRRSVESLGLRGSPTRDRERESNVSSSLTGTAVEGIDYDRLRSR FERDNGGSTARHGRERGPKVWEGDRYSYYH NEUTE1DRAFT_110481 MTIDVMLPAHSLSTLSNDDIGPRGGRRTALTEWTEEEKAEEIAV GVLPAWVVHCMYTPPFETPQQDPASTSKHQQEAPPRHPRLRLLPARTLPILHALSPHF LFRTSHSSRYGHSTCYVGWYGHRSPDKDKVATFKKNQPKRKAEVDAINRSDGGDVVLV QLLEAAFQPGF NEUTE1DRAFT_130062 MAGNLHAISKTADEEGVKPMSTKPFLEERTEEELYIQYSIHPNP LQRLEARWQFPSIVTHLLTPQHLKNITAMIVRDLRRLCLIIGSFLCLISITRIIWAFP SLAGVSGTKILTSLLGDTFGGSDGISGSSSSSSSRNQVPYHGGGSNSSSSSSSKDGTA KSSSSSTSGASNGDSIASTTTTTTTTTTTTTTNLNTHHSHQTIYSVSTPNGAYFRIQF ASMSSSSSDDEHITPAFNPNIIPHPTRPGIFIVVAQKWQDPLPRDPSSPAGSAKSKKP PPPHKFQHVTTSSEVHCLASFVDITLFTSTTTSQSQSQSKHPASQENKQKEKSKILRC LPEHPPYPLLISATPGNNCVGPLLGVLTFNVGPHDARVFYGGDGKPYTIFGSNSQFTC FGMWMQDFRVVVEWWGVQVEAFGAGEGWRVAGELQRPSNLPLGMGDGGGDGKGKGDQK GNEELRAAGVAGEDTGLEGKPKIAPGWSEVEKNWFVFWAPPLPLDSGSSDSSLDSSHH KPQDQQQLQTYIHYDFYPHRSFALLHDDGSATAIPSSFMSAHSDEKCLSHFLPPLPSG EPVSIHQSTNSLRLTLCNRSEPTCVVTEENTYILTMIQYKTFYHFHSEYEPYVVLFSQ KAPGFEVFGVSRKPLWIEGRKREKGEEGQERTDMFYVTSVNWRDYHGDQQGPGYHGYL DDVLIIGFGIEDKESGGIDVLAGELVKGIGICSEVVGDVKEQATTVSVFGGGEKQVEQ GENAPPGSDGFPAQDIPPEIPADFPANFPAAAPAPEPVQADFGLGPGVQMDTPPPQPQ PESQNGI NEUTE1DRAFT_82823 MPGDLDHDLDPEKWHDNRNSCRFPLLRKPIIAIMTALTMPSSTA SGLVNRLTNMFALPSFDAPEKLRPTAYLDGLRGFAAFLVYWHHHELWSHGLVRDAIFE QGYGFDDQHYFSALPFVRNFFGGGHYAVATFFVISGYVLSVKPMRLIHAGEQEKLADN LASALFRRWLRLFMPLIATTFLFMTSWHAFGIWVDSAKPQSNYHDEFWWWYAEVKNFS FIYHLGGEPWLSYNFHLWSIPVEFRGSMVIYTSLLAFSRCTRKARLWCQVALIFYFVY IVDGTHYALFSAGMLLNDLDLLAMKDDLPSFLTQLEPFSKHLFYSLFVVSFYLGGVPV HTKDINDLAKTPGWYYLSFLKPQAVYDYKWFYLFWAGVFLVVSVPRIPWLKRFFETRF CQYLGRISFALYLVHGPILWTIGDRIYTAVGWAREPQITMLANWVNKFPLPMAGPFGL EMAFLLPHIILLPLTLYVAEISTRLFDEPSVTFPNWLYKQVLGSGQQPTRLP NEUTE1DRAFT_117153 MYAEGGVYADIDVEALRPIKRFVPERYWDSLSEIDMVVGIEIDQ PEFRDHPILGSKCMSFCQWTFMCKPRLPVMLNLVENIMTWLISLAAQQQVHLSELHLD FDEVISGTGPSAFTIALLKEMNRISHSPKEITWDNFHAMDESKVVSRVLVLDVEAFAA GQGHSDSGNHNARGALVKHHYHASNWPSKHPRYAHPVYGEVERCNWDLACVQKWDRDV EAYKSLSIEEQNKLKEERRMELEKLREQQLKEQEQAIRLEQFGEAVRKAEEEQRRREE LEREMKERMRVEDQALKPLHPEQAGAEEKKEGEELIMFGGRPVPGQGQDMGANGEGEQ KKVVEQQPVFGQPMLQNDEPFGGL NEUTE1DRAFT_146759 MRPKILMIHGGGTTPDIFRIQARKLDAALSQFFDLHYVSAPIEC PAGPGVLPFFAGMEPFLKWMHDQPPDLEELDTFPALEDMLQVFHSEGPFDGVVAFSQG AKAALHLLRHLERERGGERAVDFVITVCPTCPFQGIKDPQDRRSPYAKACLELGKVQT ESIHVLASGDPYNYESESMIEFFEPSARKVFRIEGGHHMPMDDGFNRKLAAMAARIYN GI NEUTE1DRAFT_110486 MDFDANNNPPAEVVYDSFPDDWITYVGTLPLCVKCNQNHQHLPG ATRCIECLGNRPGLLRRRAMYRIVHEGGVYCSSCYIREAPEPGHSCPRCANSRAANKL QRKLNVLLAAVMRNLEMANSAVEAAGDADSLLVAIKHAMKAVTMIPIVYDILQTAQEI LDDMAELDAAKADAATPNMLSVVELYRDVRGWAKDTFVSAASAWLKQGNLGQAFLQAL SNIDDFLPSTPLQQDAQNHPIQQGDNSSQAPALQHHDGHAMQNENYDAAQAKWNLQPV ASQVHSEDQLHQTNLFYDDIPDDAVPELMSQHPDQNPQH NEUTE1DRAFT_138100 MSTKWKILWQVITTTLLMRLNTPNSLRAPLPTSIKVTISTYWMI GWEKPRPLSRLNLLLSRTARIQRPPSCLPLDDDNLAIDAVLEQQDDVEIYPDDIPFVN EHFLSQQYNLLPQVES NEUTE1DRAFT_138101 MSTVQPSTVNNGYPLATNSPNHSNYYPSPGDSSYLNGGGNHYYN TFNGYATGISNPNTSNHLSNINYASDSNNPGISNGTSNYPAEYLTNGISSGAHYGDSL AGQNGQVHINYHQGPTWDGPNVGLINAPLPITPRPAALPAQVISQPPTVTAGSRRVRL CQGSRKKGVPCIHNPPKRAWKQTGKVRQCTNCLANPPARDVRDGMDARLDAGRVPCSK CFRKDARDGGGLCNTCLNMGKSNKALRKKGQGKRSKKATRNDDSGSNPRGGPSGGPPK GGGNGGQGPPPSAGAVGAVLSESVQWAY NEUTE1DRAFT_41900 DNQIADGFNANSDFLVSDDQRQSENQVQNTLSSAQHSHNVENDD NNVFHNIADVGEQLTNVQGPDIEENPDAVGVHPNLLRDILAVDTMSRAELVAALEVLS ATNLPAEAVCIDVEIVEAVITATVTFLHHNHTQCIHNDKATGERCTAHA NEUTE1DRAFT_42884 MEDQNAYEGIEGNDQHSFLGNEAVAAQSQQFASNNPANPQPGNN NLPNNDNVIGYQPGGALNQAVIVQPQQGDDDIDPEIPLLSDEVRAALIEIANSNPPVD IRTVDPELLRAIIADVARMLHARNGRCRHVDEVTGEQCPARRWKAGARKCMSHLKRHP SVETQLKILMRQMEGHGTCSGNYAWKEREDGRLCDGCRRKDALRRERAGM NEUTE1DRAFT_64150 MSHNTSKNINMGNYHDGTVPTTPIAQNNQDQMADGTHQSSIPPL NVFDFNTPIDRGMHTQSAHQQGQDSNMAPPSYLDDPFNPIHYQHLAHIKTLEDVFDDY PVDFETPLNFEYAGHPAVRNIFNPINTIGNLQGAIDAAAARRNAMYCLTHLGNRPGKD TILEIYRRKALGYGTCSTDFAWMPKETGKRCDRCVEMKRNRMENRAAAAAAAQPAQQS QEDGADTSQATSTDDSMMSGEAIANLHI NEUTE1DRAFT_138105 MSKNTKNRRAAGARQGSLPQNQGQGNHGVASNVMGNGPNLSLLS ENHGHNQGPESDQLPAIPSAPQGNNPTSNQHLPAPGHNGYSIPIISDIPSVPSMHHQP LHHAHNPNHQNMYHNIHPFMPPNFNGPFPGHNVDFGEHFIPSNAFDGNLHSEQEPFLQ QGELSFANLPLNPNFALSTAGAYQPQEMMNHPVTFHQAQIYPLGGHPMGSSQLALSQD APNQQALNQQGVAQQALDQHHPAVNHQQVDLPVDDPPTPHPQANHPAVLTLGTITVNM AEDDVRHIIREAADVLYAGHGQCKRYLPDENGIERRCTARV NEUTE1DRAFT_101234 MKSPADKAAQRPSSKKGTSTSNQSPESALSEDQEGNQEGSQVHQ QSPGQHDTSPREDVNNVVPLPMNVPQHQQQSSSQHGNHSPQALTDPPVNPPPHDHHNP GFDDMYGGELFDDMPSHFDHLLEHDLLIGNGFFEDNDSHVNVHSSAQVQNYQQGEQNV PIASPPAHQSMMNNHGGPHQQPPHLLGAHNLQQPANPQHYAVPNGHPYGAHMQHLSVD QQIHNQVQVPVQHQVIIQQPTQMQHQAHIQQQALMQPLLTSKSSFSTRSLTIIRLLFN IQPRSNTRSRKCLFRFLFPAPRAQRATMAHRHLIQRLIIPL NEUTE1DRAFT_138107 MAQDKQKSRKEARKVNQPDHASVPSQPSQGENVETPIANKKDTS GLGNNNLVSPGSSHQHRAITAQASQYLVSPGARYSNVSHLSPVNNLNTGTQHVFSLPH NNRHPLPDPLSDHNVHSLIAGAPHRHHHGQQNHQQQNHQEQNYQEQNQHPIAIPLDGY TFHPSVAGISHHRDHDQVSLPNRYGSNTVPDVVGALYHQQPGQSAVHQPTNASPSANY GNHPMASEFSHLNQQTANNFQGVAVQHYAYAEELQYMGSVQALPVSQGPNYFPGVPVQ QYQNLQPPQPMGILQGNAAAQPNNFPSAYPNNVSAAHSNGFQFIPAQHHQLSRQPQPG MNMAQGVPAAHLNNIPAAHPNSFPAAYHTNVAAAHQTGFQLVPPEQHHMQLPQQPQPM AMGQGTPAAHANNFQVVYPNHLPAAHPTGIQGAPAQQQQIHVPQQPQPMDMVQPIPAA DPLDLGIPQDQLNPITPGPPHPQANHPAVLALEYIDPDQDINEIIDAAASVLHADHRQ CQHKWVEDDVEHQCTGHA NEUTE1DRAFT_101235 MEVNRLNYLPGRLAVSVPRRPSHLLRLATSLRRMLYCETSRNAS GVALIAVSAGLNRHERVATATRSFSAGLADQNLHRHTETGPIAQMLEARATTRPCLLS AGIAASAAIAASAATLLLVLCVEHSCGFPDHQFNFISSSGRSDGQECEHRRMFSFGMG NDLLNGRNRLLIKKGRMLMGSHLLVGSHLLMGSELLMGSHWSWMLLRSNMLMRKSWLL MEREWLLLISHLVVRSRILVRCRMLVRRIRLLDLVVKSCLMMSHLRQMERVSGKHLVM CSLALRAHRNALMGLGLLMWSVERVVQRRRNTTTEDGPLRRQESTTALR NEUTE1DRAFT_43066 IQNNFDINHSNIYEMDASKVHDARLPPLTDSSSSPQDQQGNSVV SRLNTPHSLTILEEFELWVRSGEWKKSISFGDLVSVGSEEVHKLALPSEPHPITNGPN NPSLISNLYGQGNNSGHLHSNNFYQSQTVMAHSSHLPEQGSNFDHLNTFGQDNNFGQD NNFGQDNSFIPVNDFHAESTALAPAPFTAQADLHHNNTTASQSLHAGPAEVQGRQCSE CNSQPV NEUTE1DRAFT_27976 MSIVEAPLAVLAKRPLRAEHAIRARSESVEPISLHGLTLSRAWA ALSGPSLLDGAQMAHGARRNRSRKGAGRINGKNWGIKGRRHHGLGGRQGLNNELVLGV VVSHWDRRRGVGLGI NEUTE1DRAFT_138111 MADISRSQALLGIDGDPTKEHMAFKTTFGGHNDAYPIELLKDSS YVFPHDTKVDTHSHDIINHDLLDDAEHLTDDTHDFGIDKEKIGAYRLFTDDLIDPILL NWEKLTKTGAFAIGPFPTAISTTGNLHIVNPDPVAGFNAHLSAKPDGTHPHIASHKKN TPKKRSKKGRKGKRPGYCTECDEKQVQIAEWAAQGILTCTDCIRRPQRAPGKLCTHCF KGGKDDPNVLNPIFGASNTTRDDSIQPASTHHIAISTDSNLQVAPAAGSSTLVKCREC KVGTA NEUTE1DRAFT_101237 MASDCRFVYPNGSKVSTLPSSPPHPNLGSSESWRERQHQPSLVH KVFVIILTHHGERQPRMAMTRPNPSVATAIASQSMASPGILDHTLQNDEPFSP NEUTE1DRAFT_138113 MVTTTGEPALDVADPHATPTIEEAPTDHSRDSTHDPNRRSTLLT TSFNTSINIDEFRPVPSPTSRLLHQPILPVLPAPIISAPSGHETSHPEADLAEPSLPD PALPRYLFLHPITLDPLFPELIPRDLPLQDIVVPERGYQETTASADLFAE NEUTE1DRAFT_110498 MYFNLLGGRLAQFGITPTGHEELLEHNPLSNVTEANIQSPIHLA NLANDSSPIRHIPGSISPASRIEVRLSTDSLHYANNHTGGSSTLSDRELSLLNHPTQI GEVAAVPSLSTVVESIAFSTMNPAPSRDDPQLIGADTVIGGSQPHDSELQLPFPSLPP GGWDEQGNPIFCDIPRPEPSLPERARSELAFDEWVSDEQVAPDTAVLPPSLSRFELQQ PRLTVPQPHLDEQGHSNPTPQEPAPDLYAADEVLADFSFFDIFGEPGPAGLASTTPAI AERAEAASRKRATPEPASPEPVSTENAPAQSAPARAPARALSARPAFRARMPIIVERE FRDKYCKVKCLGKSRAQNKRKKEGKPATRAGGGGSKKGDVGGRKKRDGNGKGSGAAGA VLNGRVQKAA NEUTE1DRAFT_138116 MYLSTPDLKMEEQSSTNAAGNTKPASLPLQEHQESNYPNMWGDH GNLTGIVWSSPSMDVASYATGSISLQGNEQNMIMSHGNEPQDMHLSIRHDEAIDRGTP NNGGTHTQNNGVTHTSTDNNGFTYTHQDGSTHIPLNQGAHTHNNGVTYDYNSGFTHIH NNDSTHNHPNGVTYTHHDGVNYNYNNVEVATHTPHNGVAHHQNNGFIHIHNNDTQTHH NGVNHNYNNVATHIPQNGVNHNYNNVATHTYYNGVIQNHHDGVNRNFNNGVTHTPNND SCYTHHNGGTHTPHDGVIHNYNNVATHTPTNGFAHVHNNGVTHNHDNNIANIHQNGII PPNPGLSNNRVQRPPIIITDKCHKCALPA NEUTE1DRAFT_110500 MPLSGNIPVPFFEAGVETIHTDRPVVAGPVYPSELARQEVIKAT NLKGCYVCSRCYRGGLDTQRLCDRCRGVGRTARANREAGNPPQGGTSIEYHRSRTVAD FLGHDQTHGSPKRTSVQGHQMRVIGNASTVRRPVPGAACKLQFLLPEEIPAFLTETSS SPTLPQLRSGPTHRTTKASPTTLMSTTTLPLPGIGAKRVFQGLSSFRNSLHLRISVKD TTIPTGHTDIEVQHPSQPKSITAEKEDTCKGPKNKKTAEGLDDGGNEGGCEGFHGSWS WRHFGVEGITGVKNRDARIWNFGGQPQDSLGQGGEEEEPAQELRRGNLGEKLPGFGMV PNWRREEEQPSRKDLVDREVDE NEUTE1DRAFT_110501 MSFFNDAFIANSARAIHPEPPSSFNSGDASGFLWEQWVNICLGQ TDTNGEFDAGNGLALNPCQVTRRKVAVNTTSPLKTSPRSTSSYHTSSLHTSPYGTSAC PTPSTGTASVARSPYTSSFQGTSPYSISPYRNSTDIGHLEGKDDLRKGHVASLNGNIA MSQHNGGALAHGIPACPHPHNYLFARPREKIGTGRTCHKAIGSSLTGQISIVAATNGF PPLNVDLSRASGYINNFESCRKPCPAHSYSDTHLTQTKGQMKQSGAGGFLNDKGHGFN FHLGNLPSYPDQSMSRHPGSGHESLGCTPGNAKNLRSVGVHDDHRSNRELRHLSEFQN CVLGLDASTMLRTAEQAGFPEHFDLGFESNLSNHGRMKSTEGQGMSTTALTSTPRGYS AENNTAPFTSRSHGIPRNRNDPASGGYSRSMKPTFSPVVLGTSKNCNNHRISKKGSPR HPEPPPKATLHNVSAKSLPFRTAIASKSKRDDRNADKNELENVLTIWETRSDATVVPS LSGATPVAFTPVRAVAIFAVFIKRTLQAVSTPGRGWIEEACCCRCWQAG NEUTE1DRAFT_42238 MQPSYISTYPSINDHRANFEIPPGTAPGDESHFGQDQVASLKDD INTGLDSRNRGRFLGEGNTSHELTTVDTSITTFPQGAFPADGPGSVSGGYAAPSNSID GAVETDNTFTLEEAFNLIRTVNPSMITNTDTAFKRHEASDLNEADKVELGKDHSELSI RPDRDISELDSGSLVRATSSEPSDSAMKQGLGAPIASQLPYSNMKMAPSNQEHVSAPQ DSLELSKDNFDVASNNSQRHSLPLSVSDLSTPASPTSARGTFEQTEEPVFTSYADVEL VVPTLRKAVSPVQPGVSMCLRCLKNRASKRMEELVSWRLQRGLYSCTGCYVGAGPTPN VLDEMCREKQRERRRRLAAEANGETYIPGGGQDAEAGIVPSDAQSTTPLPKRGASAMA GGCDVAEGTAKKARLTKQEADKTLDQIRRPGSPKRRMQGLGQPPGKA NEUTE1DRAFT_101239 MADESHHSFFEEAFFANRSREEPFELLYGDDDNNTNLIDHFGPD HEWGVDEDDHASIQGNSESEPVVDPILPQEDGPGAIENSSFSCPEDVSDIAHNAATQH LVYTPSIRNIPNASPESGADSQDNNLITRDDSGSFMDGASMGLDNPLSVHVPPTNINS NHALETAADLPEPVLASAAHHSHEAKKSQQSLEESNLISKASSSAPFDPSTVAPPSVE VVCQRLASLDINDGSDRPAASESSTTSVPACFRLPIRPSGRCSVIRTINGFRLHCPCP V NEUTE1DRAFT_138123 MSPNKQERTTTPGAGSSAGSGHPSTSYEAEAVEEDWMDFFDFDA YERDINGHSQRSTPSPETAMPSQTSTSFEPPTAPSFSLLTIIEHGTAPTTPVDNSLIP ARNKDVHLKFGVNSLAGQEQESGEDIEVEMGEDAQTSDDIELEDVASAADVLSAAVSR TDTLSPFEPTPADSPGDVPTLSSINEQGGHCCKDSLRGSGDLDEPRKPVAEPPERDHG ISTPQTKASSEVVSGSSESACTSCP NEUTE1DRAFT_101241 MAALASEQSTPDRSMSANLDSWRYTQGTHPLRMAVLLLTLGLLN PSKNRRPISGPVRHCLPAALVTRAAKGVRSANRRIVAPGTDIKTLSLEGSDPIPLGPA QFGGQMFEARTGPGLLGQDSAVFELTNIAARPDERWSSNHWVGIRVVTLRNGSSTS NEUTE1DRAFT_101242 METNKSSGSQRPAENSDQSPSEVDHRLLQEPLTPEELQAIENGA LPETYEELVALSSQFPNIHEMFNRIVIDDNRGYENISVSSMSTASASHQAVVAPEALG PATLGHLSQSVASPAGHSIAQSSTSNQAPCRVQAGPPIVSQETCNGYYGRGGCMALV NEUTE1DRAFT_101243 MNDPVTDDSNEQRNKKQKIGFVLAFCCLISRWVILAFTTVLHLE HSSGYRYGLGLRKRSSTTVLEQTRKIHQHVIFQHQGNPLPMVGPPPPLPVFRAQVRIG NGGITGASSNHSDHKW NEUTE1DRAFT_138128 MAPHLSTTSGDEGEGASASHPIDASIINHGNAIETTSHHIMAAA SPASGQVIGSIIHQGTGSFLNPIEAQAGNFIPSANTTQTIGPVFNDIPAQAASPFMDL PAQEGADPIPEEGAVPVADPFILDFDISVFKKVLGNGIPCGQPA NEUTE1DRAFT_138129 MTLYFNTMRGVVDSITTHNDDSGLLYANIMGFSVLTPENLSYTI SSDSLGDSGIKSTDNMSQNLSTMRGVANSGTIENDDWLDFFDFELYSQETDPAVNMAS GTTTTTNSPVMLVDNPTLATDSAIGNTAYYYEPAPAFSLTPGNARAPGPAPVSGAPIV QPGARTCRKNLKFHMSRETERLVAQRLRNGQYTCSGKYCGPVAAPNTSCAACRQRLRA HRLRKKLALVGNGAPPTGGGAASSA NEUTE1DRAFT_101244 MSNPTPSQPSQTGSRGRGQGGQGPSNITDYPRQPGWREPHCPRC AYRAANVGKALRKLIPTADKVQAFELVGELSCAVSVGEEESGIMCNYCRNDKRAVEFH YPPGRGFEETSGRLWKSFLDLAGHCYNLDAQPNSWEIGLGEVEEASRQFLACWHELYR QYGKDLLQMSAHELTDVGCTSVVTVPGVEKPVAARPTSAGPRAVLESVLDQVQKMGKD FNNFATEFHKHAENTKTEIELLNDTTAQLEADFAQLQIQDKDLATSFEDHSVRLISEV KDLAQYVKAQGRTLTAVKIMVDRLAINFNKVANAALTPDTTGEQRQ NEUTE1DRAFT_138131 MKSQLAFLLTALSTVSAGPCKAATTSTITTTPPPTIAPSCTFTG TQTQWATSGCDLPCDTSIFCIWDAAVTQSCGCSSVPVVTTTVTLCPTRTPCQQCTTGW GIFTYTQSCEATATP NEUTE1DRAFT_43246 MNPAGDELCATEIRSPTGPTGATKRKRDDLDGTDDTETNRASKR QRFHDDIISEVVQEPENFNEQASIVEPHQDITEPNTSTHPPAIVSDETENTITHRLRV DNIQPKEDAIHSESHDGEAHIAFLLPDSVTDYANQAEPNNTGDWEERDWTTLTIEELF SNPDWDYMLDPLFEPQPFEPTQWFSDDDPVLCPTTPYPQPPESDAAIAGLQVDDTGGL EIFDEHLFSPDMSSSSWLMSGRNSLESQTTELTALPSPVCVAPSVEGLQSPSSEEISL QTSYSNAFEPELWQSPAAYDNVGTGEFQDDGPASNFPLQDPRPCISPDGDEIQVKE NEUTE1DRAFT_42789 PSIGHRGDISPTSAPDRRTGGNSSSATGAYSSSSTQVHSQRMPQ HNAPGNAPSPSARDTRMGHPVSSSTGPSPLPAKNGRAPRPHPPERKQSKRKRKKLDDN VGSAELNKETNKSSPDRQQRAKVTTPSVGSSIAFTPINKAFNSQPVPAPSEAYPSVGS SIAFTPINKASNSQPVPASSESYAQAANNGVSKGVRPNKQSSSRGVPAWKMTCNKYAD SGRCTRCGELLPSKNYSSPRCDKCRQNARERKQAKKDKGVTRKSGQKRKRRPKRMLPA QRWQNLTLPARNRRVRKTRQMEWSSQNGSLQMMERMTLIWMGLPRSSWFAYELGHGLR DEEC NEUTE1DRAFT_146762 MYARSSEAIWSHSATGSFLCVISQDPFLPRASLSPPFGFQSFAR AHGTALIASLRLRNQFIGLPSHCSNSSRKSLDFVDQTQLSKKLMRNILTRYRYPAPTL FASASASATASATASRTRALPLITTTTTTNNLTKTVTVTPQQRTFKMTIHKTQEPHIT TITELSPQSSKWITLQQIHYIDQTGKPRLWEVAARKTRSTPTASSAGGIDAVAMCNIL LHPRRPPSTILVIQYRPPMDAYTVEWPAGLIDQGETPEKAAIREFKEETGYDCVVKNV SPIQAADPGLSNTNMVMATVEVNLKEEDGEDQMPEQKTEVGEHIERVVVPMAELYERL VEYSKKERHVVAAKLFHFAMGMHFATTERYGVFGKRE NEUTE1DRAFT_42109 MINGSIYDGLKTTPPCAGCRAERTKVQEGGRRFKAKKKHWQIAG QLPAQKSEL NEUTE1DRAFT_138134 MAADVPGSPLAKNATTEEALAWYKSQYEELEQELKEFQQSSKEL EAELEKDLDAADKRERALQQKAEGLSYEVEEWKRKYKESKSEANAAQSALEKEITALR ETNRTLQLKLRDIEVANDDFERQARNTSSSLEDLESKYNVAIERAVMMEEEIKIGEQE RERLRVEAQRLREELSDLKIEAEILQSKLRKHQARGHLTQITTTIAPAPASPLSTASS PLVSTPPDTKSLSTIDTLSEVQDPPSPPMSDASLGKGLRASRSTPVKQTASRPGGCRT PKTSISKSAAAKSSAQATHKANQSFSENNITPKPKPLSSSTSSQSNRPSNGRFNTNSY PMVRTPSSRPSGARAAERPRAPVHRIPPSNSLTHIRTLTAQMQKLEARVHSARSKLPA TAQTPPKQSPRFSGGIGGLAATVAMRGKKQRGVGASTSSLNLLDDDNASDISGTHSNP DFRSSTLNLSKHIPRLSTSGVSRIAFGPLPIRHPASAAASTTSTSTATATNVDSEVSR PSSRASSSGYGRPISRADSHSTAASGYMPSSSSRPISRTSLPGHGTRTPVGSWPRSSG NLSAYGHGPAHSQASISYSTAEEDELTDDGQRELRSKTMPARRRTGTLSARDAPDHHH TGIPIPGSGGNRRQSGSSSASRSSVTGSLNLRRQSNAAALGHGHGHNAGYGHGHGTVP GGTTVRKVVDLGETY NEUTE1DRAFT_64155 MDPFTALGLASAVLQFVDFGSTVYGEYKRLRNGSLYGNNARALP KSLEAALNDLTNAINFLLDEATDIAEDFLNRLQCDPNRSRWNTLAEAINSIRTESELD RFKEKLDGFKRDLGIHVLAMVNAKVDHYGMQHQYDLAQQREEIIQLRQDIHCLKENIV KIVAFNQSGIKSGSSTPIFDQHARLAAEAKQSGGPSPVIVAAMVTLASGETRFIHPSG NGKAMGMGMGIMNGSGNQYQQIGHFSQRSGSDFFQDGKFDTVMRLHSDPGLMGQPAGA EMEYVPSKDFGTINKAVLDMLHFRQITDRFESVKTPYESTFSWVFANPNNPSTFDNIC KAGSGKSTLMKYIISDRRLRHHLQRWAGPSDDLIFANFFSWHLGADDQRLISGLMRSL LYQVFCARPDLIPTIMPEMCLEAAKSRNGQLETPSGTELRIWFERLLHATATNVGNFR LFIAIDGIDEFQGDPEELLYLIRNTKGDRVKYLVSSRPMQACTKAFGSYPSLRLHDLT EDDIRRYTEAMLGEQLRDRGGEDWFRLVNEIVKRSEGVFLWVALVIRSLQKGLQNHDT LKEMRKRLDQLPSDIEKLYGHMLKKIPPDYKKNAAKLFRIVMASIDIEQQIREHPILA IQLSLAEGVWDEVKLIPEGSLTWDLEEVRVRDIEARVSSRCLGLLEVHTRRDDKTHKP YIDFIHRTAVDFLRDSQVAIDFLYGTLSSDFNPQAYLVRSCLTMARIMPTTTLFDGDV VWDSMRTCLEYARIAEYKKRPVFDGYLDRLDQAMSRHWFAALSSTTPYSSSVDIHRLH HWAQKASWFWTGPKDVDMARVQAEFNQFCYPSLYVNNDPTSSALDEENQRLLREIDLG FLVVAVMYSLPTYLRTCLHRLKETEEEIEATMKRKSTRKTLINKIDKIKGGKQTYVPP KVAHTATRLLFLWAQLTAASSNFCIVPWTKPHARVCRTLLEFGANPMCEFTYSISGKL SAHRNAWELTSQAILVLVHPALRKKNLTELLPNQKVRAVLWITNFFVKNGADRGSKVP SVLLHGAGMKNLVLECPGRAKDEEATLLEWLEPYAKGPATNEVGKKLGMIMRKTHRVL KGVHKTKGWRRRKSRRPNGEGGDDFEEDSDDYGSEDDDDYDDDSDDDGRSVIMPASRD RGEQMIIRKPLRRAGSGDTLLEDAMDDKPLPPIPRENSILSTQPSLGEIVDEDYSRAK TPVMHHQALPDTPPPSAPDEIFFLPTASFVDLPNSTPPSPTGTISPILPRPEPEPEVA PTPPPRPHEPTPEMQPTPRFPDLPRMPLSPLSQRFPLSPLSQRFPLSPVSPRMPLSPL SPRTPVAPSPADFLFPAAFRAVAHHRRQTEPFPGLGINPSQATLVDPNSDDVEDLYPD VNPYNRAPPSPSLSRRSSTETLVEPKAPHYTRESLKKPDMERPVHPLELAMQSGDLSD DSDSDSEAETVRGRQRPGRRDRSNTMTTSTTSATTSTTATTSTTTGNRHREARELKRQ KQKEDKERREREASRAARKLPEPKSGKQGYAKYLGQTGAKAAAVVPQKLFNLAFWVGD WCKRAYGRCSWVVKIRW NEUTE1DRAFT_42617 MSSVLMSKTVTPFLREHIPSLYAPIGKPGNQETARAENPNSKYC YRHHPDSKCRRAADKAKMVMIQSELDKLTSADQQAVTHVWSLFSAAPARHRDLMLQGI LSQLCFPQLSFVSREVNEALKIDFISALPVELAQKVLCYLDTVSLTKAAQVSQRWRTL ADSDAVWVRMCEQHVNRKCTKCGWGLPLLERKKLRNYTRQRQLAKGGPQGRVTELADS HDSQDRSVNQHGKRPAAEAEEEDPIKKRQCMAAAEASKAVTQPKTRSWKAVYRDRWQV SYNWKNSRYKLSVLKGHENGVTCLQLDDNILATGSYDTTIKIWNIETEECIRTLVGHT AGIRALQFDDSKLISGSLDHTIKVWNWHTGECLSTFAAHTDSVISVHFDGHLLASGSS DKTVKIFDFNSKETYCLKGHSDWVNSTHVDIKSRTVFSASDDTTIKLWDLDTRQVIRT YEGHVGHVQQVLILPPEYEPDEEVLNGASQDNQDAMSVSSGGSGSPSMSHAQIERAGS PGSHSSSHNLLPSSMPSGDEDVRHLYGSAFVADESRPLPPRYFMTGGLDSTMRLWDSA TGRCLRTLFGHLEGVWSLAGDTIRVISGANDGMVKTWEPRSGKCDATYTGHCGPVTCV GLSDSLMASGSEDGTIRLHSFKPCRQ NEUTE1DRAFT_110518 MKTNTRRQPFVPALPGGGRPGSVGSRGDINPLSAVIDVVPIACL VDALMRYGKHDTTRDKSIDHRSEIGPLMITKEGAMRNVKLSGRTNTTPIDPKHRRH NEUTE1DRAFT_146766 MATKVNQDPKKRTSAATNLIAGGGAGMMEALVCHPLDTIKVRMQ LSKRGRVPGQAKRGFIRTGVEIVKKETALGLYKGLGAVLTGIVPKMAIRFTSFEWYKQ LLADKQTGIVSGQATFFAGLAAGVTEAVAVVTPMEVIKIRLQAQHHSMADPLDVPKYR NAAHALYTVVKEEGFGALYRGVSLTALRQGSNQAVNFTAYSYFKKWLYDYQPEYVGQN LPSYQTTLIGLVSGAMGPLSNAPIDTIKTRLQKSVAQPGETAFQRITKISAEMFKQEG FHAFYKGITPRIMRVAPGQAVTFTVYEFLKQKLERSGPAALTGGRYEE NEUTE1DRAFT_110520 MLFARLHGVDVDVDAVWMSWNVGDRELAQESVWNQGSEQWVMRE TMSWWSGVLGGCGGWKGLARLLGYLGRVVEVEVEIAVLSNLSKLGLGPVFGLPAISVG ISIGISIGIIVSVIVSISVSVVTNVNLNINITPYHQMSSRVIVKYHWIN NEUTE1DRAFT_117157 MPASSMPASSMRASSMPACSMLACSLCPSIPSNLKTYPTIYPRS PPNSDRPTSGVVAPE NEUTE1DRAFT_122615 MSAQSKKNIKWVEGLRGITSALVISTHLARALDFPLFWPADEKD GPPRLLQIPYLRIPWQGRLGVPIFAFLTGFVCAAKPLKLAYQQGNQSASLMTVARSAF RRPPRLVLPAVIATLISFVLSILGAYTASNRCDSFWVRFDAPDPLPLGANFRRLFRAL LDTWTTTENVYDRHQWAMRPLLIGAFQVYIVLAATIGMRFRYRILVHILLVAYWLLNT GPLTETFGANLALGTLLAELSQHRPTQNFIAKYQRILSYVIVPILLLIGGYVGSYPHE HEDWAPWSLRLHKFLVDPAGDGSRGSFIVPRGSNAGRRTSAFMIQCVAVSIFLSPSLQ NLLSHRLLLWLGHHSFAVYLTHGTILRTLGMWIVYGISGQPWVDPGRNEDGSPKEPNW LHPKSRGHKVASIIIFTAVTYVAAWAWMKWVDTACARATQWLEKKVFDDDEGAEGGKA GVAEKGYANGGPSREHAQPLLNGGEARVPPP NEUTE1DRAFT_138139 MSTSPGSAKKRKASDMADTDSDPHRRPEKIPASPKPRSIMDLPP EIVNQIFDHLIPNLPEIDEPAPEAFDKLVREDPWYNFTRRRSALRNLCLVSHFFADLA RPYLYHTIAITSEEVLVLLFRTITENPDYCGWTRSLSCHLTLTNSRVVRKTKRCLNKL LPTWREGKGLVFITGYLESLQMRRASTDPDEYYEMAQGILGMTITALYSLETLLLQLP AIDHDRDYFELISCLRITMSYFNTEAGEKCRRSYLSILPEDVRSMIPDRLESAALTPF QHLTTLLLQGDPSVEDPTTLDDDDTDDGDPPEVFGVQTRHYHPLFELLPALTTLEVST DDGLFTFLDGEEGLTDVFARPTNSFHLSPTRHIYLHSSVADPRNIGRILRHAPDLETL YMNPRRVDSFHRIPPQDAAQADEDCLDQALSKYGKKLKHLDLNWFDCQGSEASIGVGG RLSTLPQLKEVERLCIQLVMLYGDLPAGEHMMHQGRPVADLLPPNLVELTLEDWWWDS LDDFDTFYRWSDKQKKEHFREKEGYRETVLEMMKGLALVAGRNAKPPGHGESKMHKLK RFRFFIRTLPTWLLPGDERGPDADVQELFESVRELFEKAGVEFVVEVDQPLEMEEEMQ NEUTE1DRAFT_146768 MEPNIPSHDDPKSNYPAQAQTVDSWSSGNGDEMDIDLIDPALDD SYPFDTPNPFDNPNPDDPNPSPSLPPPPPPAPPATQPLQPPQDQPRISLEVMQRIEAN IARVQAALNAMGAAQSQHQNQDAPYNAPSTPPNNQSIAPKTPAEGEQGSKNMIQSSPV VDFDDLLESLKKTLDNLPDDDNDDPCSVTLPRPRPNDILTVHDLFTLLRAAPPKVGCV IPNSERQIWYRPTQADYVELNQTGSVADIVQNYLRKPSYLTTYLSNACGWMLWFMYDK TQWCTCRYDAENTRFVRGNEPPDGNGSGALVVGYRTPWAPICNGCRGDRSVEVRVLDY LARCFSFPVPEGGNILDVIEARVQAERFQQADVAGPWTPNGPPPEPEGEAEIELSANS KALSRKFQSLLQGFSGRALDEANAARKPKRRRYEGEDEAGESHKRQRVEEKRHRLPDG SFLYDPFVDGASVGVPLSGGDPFGGAGDAMMLDEDDDWPPVAAAPPPPPSSPNAPYVA TANNQTNNNPQPPQRPQQLQRSQRSRRPRVANPEGIPPRATAADAHARLAAEGKEYPP WPVDLLPGQHCNNCKSVRMKPAKENTRKCQKCIDTHAKIREINRERGYCTNMGCKQHQ GIPRPLKENGEPFAYCPQCREKSNQKHAAKLKKAKKGQDGGGGGGSEQGDGQAQAA NEUTE1DRAFT_110524 MDARANRNVGGPGAVPRSTAVPRSSHLNKPETDTSKTPVIASTM RPLTSTNTPPNIVPPYPTPVSILAPPRNTDSTVPSRPLAHTSILPVGINPMVYTANTT ALPASTKLPPLQPPPSLIPAPQQQQPHERTPHHQQEQLLQQIRQQQLDHMRQLGQLWL PPPVQQGQLPSQPRHAHGVTQEQHQQLAQQQLHYFYRLQQLGLLQLLQQGQGQGPQRQ QPPRPHAQQKQQQVQPQPKAHPEQPRQQGQPEQQVQQLQQVQQVHPQHPQHQEQQEQQ QNQPQQEEKQGQQGQQGEQREKEQPQQQESQEQQEDQEQQQQLHLMHQVQELVALLQQ NKKLELPQQQQLQNLLQQLRPPQLHPPSSFSAPAFWSPLPSHISSHIPPQTQTQRSSS TSTKTPNPNPSPFSATAIPGITLSGTALPDVPFPSTAIPGVPFPNTAIPGTTIPGVPF PSTAIPSTTLPSVPFPGVAIPNLLPGIHLSPENYDRYRILVHNLHFQHIFRTDPALAL AFHDEFNTRMELQRLLPDSRPPKQFVSGREVQRRPLSREEWVAERETMKRERERREEM RREEIKREEMRREMEREQEKEKRGVSTSRGQVQGQGDAGVGLGAGGSGRGGSATGGSA GVSVSVSASGIPLGSRDMRGEATAANIGARAPAAAAKMAEVGTSVGPPRVASEWINNG SSKRKAAAAATAGPGTETRPEKRSKTEMNTGQMRTVSVQKPTSTSTQKGARGVQKPEG SQKPEGLLKSLLKKGTGSSA NEUTE1DRAFT_101253 MDSVKRALDKGMDKATNPKDEPQVLNKSSSSSSSQSQSHALNIN PNLTDRHPSLTGSGGGGFDQQHHHVVGEMPQPGSGQRSVGSTGAGINTSGMKAPTAGA GL NEUTE1DRAFT_41039 MPINLLHCIQHQASLTIVQAPFVYTIHSETPSFPIKIMASQQQS LTTSFPANVDDVVSDPESLIRLLRAYDPAFDGAQAVRAAYEDALVRHHNDMMDHDLDD TDDHDHSQATFSRGLTGNALVDWVTTHISSDTLLSVDEMDQYAALERAGLLPHLEATL AQSGLKPSDPSKIPPLSDHQIRAATQELMRSTSLITRQTEALREQQDHLDRLVAEHKR DTQARAALEVQQLKGLEERQRDLVQSVVELALFLGDKVDELEAQNEERKADVETLVDG MFHSDDHVLSSLQKLGDQLSRSCSGEDASKAEEDMVKSLRDICARMIKFHVEGIRTRL DRIYLETLHAAVESGAGDHGSTVTVEDVAAVQRELEELYTEILPVGQMSIEHQFLRPA LDDLAAKNGRRLEQSTQAAHYVGYPYLPLVKPS NEUTE1DRAFT_42274 MVAVFVVLSACGCVMPRLEELYQKHLSRATNLLNRHMSIGFTIP FVMICRGPLADARTVGLVIACFVLTGIVNVFATYLLALPIHSLMVRWKRSCTLPRESD NMEVLEGRRERWSWMSTKLIFEPNSMASSFNFGVSCSGEESACEGTRPPTSLENTSPT VVHETPRTGFRGWLSRNPMLILCWFLTFAVGIPLRYAVHRDAPLATFLLFATWFTMLE IQRAVKSAEGLAPWIRITLTGSLNAVLWTSFVMMSYIYIDAAISDRALGKMLDTLQTK TTLSHLLLHGVNGGVDAEGNKLNVSMAAGDIAQSILNAGLVAWGLKLYEYRRQLLSRA GLTVLIVSSILAIGNVALGPLFTRAIGLGPASRNIAYTARSVTIAMGAPVMITMGGDA SLNATMVVISGIIFQMGLGFGLGSWLERTIFSCFVKQQEATENTSPEQSKEKPEGEAK TEPKASQAELLTVPVRRSFDLEAQQAPTTAPIITPPAQGEGMNDPHTVAAGLTIGINS AAMGTAYLYEVGSEAAPYSALSMMALGVMTVGFASIKPLAGWVVASVGGTMP NEUTE1DRAFT_117159 MSNTIETGLPEDWEVRHSQSKNLPYYFNSATKTSRWEPPSGTDV DKLKIYMAKYHSPTSQQQQQQQQQQPQGKIRCAHLLVKHNQSRRPSSWRESEITRTKQ EALTTLQGFEQRIKSGSISLGELALTESDCSSARKRGDLGYFGRGDMQKEFEDAAFAL KPGEISGIVDTASGLHLIERLE NEUTE1DRAFT_110529 MSSPAPSSTGGAKEKKPLEQITFRFCSECSNMLYPREAEDENKL LFTCRTCNFSEPATSTCIYRNILNNAAGETAGVTQDVASDPTVGVVSSVSSAAVGDGV ASPASTLPRPADGSTYIYCQCCGVIELCSNHFAAPPSDSEAGSDCESLYDQMAATTID MNDNSHVWASNNSSEREAMGRVRAEEYFYNLVAFEDYEEEEGSIEFENMELDDTDMDM TASSQLPVQVPAA NEUTE1DRAFT_117160 MIQLKTMLNCIDNSGAALVECAMVVGQKRHASIGDRIVVVVQKQ RGADSAGMAASSAATKVKRGDIRHAVVVRTKQKVQRRDGSVVRFDDNACVLINKAGDP IGSRINGVVGQELRKKKWSKILSMAPMQA NEUTE1DRAFT_82859 MTKPQGTGGKKKNQLTLAQLAAYDDILTDALVDHAYYWTTIPKN RTSYHPSRGIKEEEITKIIQNHLIVDPDIATAEEKLLATDGLKRFCNTLKTPREQNDF KAHLRRYMSIYLPDCPFEVNATNRYTIVTYEASITARRFIQRNETIKYLAGIQVVITP EEELEMSLRKKDFSLIVSSRSKSTSLFMGPARFANHDCNANARLITRGQAGIEIIACR NIEVGEEITVTYSESYFGENNCDCLCATCESNLRNGWRPVDGEAAVQKSIEDEQPTES STPYSFRRKRRYGSTALQASRTPSVTPDMRPRVLRKSQSQMMLGERTSTTDSAAQGQG ADGLSRKRALEMGTPPFTPTKKQKTTQYPVVPIALSTAPSRGSSDNETSKSPLSFSTT NDNVTDATSQGSESPGPIILSPEPTPIKQAIGLLKQEEGVNEVAVQQVPEAFTPPPSQ PTEEEPPMVRPAFERLAARDRMSIANLISGPSSPAPPVVFSVAEVTTHRPKPQTLQVQ KTDQTATISTLQTVTAAVQKEAPVVKTESPVKPIVGQVEKITQVQTTTKSCTPSKPKA QAAALPQHHMPVSTAPRGRVPRDYTLTPLLLSEPETAWIMCTHCASAFVQKNAYLTKS TCPRCERHSKLYGYMWPKTEKYGPNDKEERILDHRMINRFLTAEEEARARGRVYWRER MGSKGKQGSSAPSMKGTPAGEKNEQSAKKEQSQGQYVQERFAVRKKVKVQVRSTVPTP VIMTKKDEVAEAAALGLRRSGRARRVSAKLADCELDF NEUTE1DRAFT_64182 MSESFNEDIIEDSPAAPSGDVEMTESGAVAEGASAEDAPANKDE LPFAEESADSPPPPRVTFLQYLSSPIVTLIVGTGDQETVLTAHQSLLVQSPWFAEACA DFTDDGSPRQLELPNDDIDAMGCFLEFLYTGDYFPKKVPGQRALEKDPSIPEVDLTGE QLLKHARVYTIAEKFGLTNLKNLASSKIHCVNSTAKGEIAYARYVYEFTSKDDTTIRA PVANFWATRSHTLRAEAEDEFRNLCLEFPQFGYDVLTRVLDEKLKRERNEKMHPGTGS ARKRPRHSSQA NEUTE1DRAFT_146775 MKIAITGARGTVGQEVVRLCANQGHATVQINRTPEDPDPSTPKT EMRTADAASDYDAVLKAFAGCDAVIHLAAIPDPVDKDDHKVHSNNVCAAFNGMRAAAE LGIQKFCYASSVNAIGLVYSNQPLEFDYFPIDEEITQKPTDAYALAKEEAEMQARAIA RWFPGMKIACLRIHQVAPKKEVEEDYAGNNEKAVKQLWGWVHPQATARACLAAVQNAD KFEGAEIFNVVSPERCVVGEDAKLSNEELVKKYWPGTKIKGDISGNKGFWSVEKIERV LGWKHEEKE NEUTE1DRAFT_101261 MAPSQAYTTSTDHEQSLSSVSRRRNPHASTNGTNGTNGNPRPSS SSSSSTSDLFTSSSPNDPFTTSSTTSSTSSTTNGTNSTTNGTGTLGGILVTTTTTTTT RNANSPLTPIPRGPVITGPSPSPLSLGSPALQPMSPTRSRESSSARGGSTHHGSNHYS RSSRGVPRGRGHGVIAYSGYASFSDGSTNGFNGVRGSQSVYSSHGSHGSPNGVNGVNG VNGVNGSNGSNNSSGGRGRPRGTASERATAIGPPSYSIFTQRAMAAAAAAAGRGTRTS RGGPGPGPNNNVAPPVVSPSRTVKAGHSYTTDVDPEEDRQALRCMNSSFLDLKTFEAR NPLLNVVK NEUTE1DRAFT_101262 MCFYRTKLYKACGHTEFRRFICNPAPMSNDSTQSDVDIDGKVYI KSRFACGEQPQRENDVERGVCGRCRKLRGQGKGAQRKSEVLEIEQYHQQPGLRISVPV SGRDDKFGNTLDLLMVYVEKSDANKSKDDPEQLMIQSFLPRDATKDWILFKSEIKEAI EECRQIREEYQAAQGQVSRMLKALNIQGEHHPVGISDKVELPPTSIEIEKPEKPQNPK QAAPHLLPSRSSTRPLSHSATKVRRDLLAAKGRVRSMIQTINGNSEAGHTVTPVANTI NRHRGSDHPAKPQPPFVRQKKSTSQPMPSLPCPEPPTLAKSAKLKVLTQHSFHRLPPI PEEPDSKALIVANSAVNTVVLRRAKAIEVRNLNAFHCPVRQLRFGLKRAVLAPPVPRH STPPVAVPCSKFADLGRRRVSELKWRFVV NEUTE1DRAFT_110536 MCTFTRTAFKMCGHTEYRGARCSPPVVQKHNMHELCKKTPIYLQ STKNGICTQCRTKNWEASMAKSSNIPDAKERHGNKGARPDLTVGCKDEVSHHVSTPAT QHDNFQADTQKQDKAAKSNIIGIELNGRPRPSCQLTEHFSSPLREFAPRHQEPKIIRN KRKINANSEFKTSEQREALRGPRVLPGLHPTTKKSWNLIAVTKPLREDEEKRVGLHGP RDLPTTQHTIKEDWNLMTVTNPVRRGDGGKLRLRGPNPFPATSPCPTTNPAVNGFGAR GRTACAPLVLQEVDKPKNRKVQEAAGEQFLHRLQDAIPPLPMSYKPILTGRHPLHRPL PPIPDENDPKPDTDDQPPPVPPHVVLHRRKAITLHAHDESGSPIERYSPAPDAPVGTY FGRETVHYGWQPARCDTVCSSFTE NEUTE1DRAFT_22188 MSRAAGAMPTRLATATATATAAATTTTRAPNNKRNAITKHHDRD NENMIATETTVTEAVIPATILLSTVTSKKEEKGTKAEKQKLERPSWIETKKEATTTNT KRPAKEVEDLAAQKEPRVEDVRPRRAFSFHQTEEKKAVRAEKATHVEEIRFSAPIRKD DEKKGGFVAGLATKFGSTKKAEDMPISKKDIPLQVEIQPTSTSTHRTFLHLPTEEKLN TPPPPLSPPTTEEKKINPIADDYFSHSHWSIRDDSKQESDAELAQRLANTEKIFRQQE QKIQAALDRIRDLERQLASGFTATATGGEQQDDDDEEVQTETGTKTWDNESIAAGGRE SGPHFRQLHGNSSKGPLPCFSGDEPHFHYRVLTVNSHKPQVINNNIREQQRHIVINNS DSDDDNNSRTPSPIAVTFNIPAPPKPAASLNPPSKRQQQLPTKTANFLDPITKSPQSF VLQSLKHEPTTTTTATRGPKKHKPLPLLPTDARAIPLRP NEUTE1DRAFT_138156 MPRHPRLPSPKVHAPSPSGSETLQTSKRAGFTEGIALSDYDAKE IGLTLGVAGETAPEDTDALKAQTTSDQTDLTCGKWVMESLVGFTVLTVDDTNRDHRL NEUTE1DRAFT_101263 MSPPEKSQPSARWAAVLQEAHLELEILSGETFTLPSSPKQAALP FPTSSSTDSDEIPWSVVVKKKGKKGKKKGKNNVSIRDSPAKSPSFSICGSFEKPVSWN TREVMKARTEWKHKKQRVYTYTPLPKDFQVYQDTKALSKGTKRRIIGGHRFHPQHGLE SIDENGELLLLKLRLPRVEPIPHQRLGPLAVVPAPVQAKTLSWSQVATRSVVPVVPAV SVVPAVPKLPVKSSFTRVSVTPPVTKPAVPEVPAAKAPVTLKKEKKAQPQAQRPATQV SPKAVSPATPKLPKPPVDSTLRRQGSKSIEIEKSAPTPTKTLSWSQVAAKSVAPKVPI KR NEUTE1DRAFT_138158 MCFYIHKTFTICGHSTSQEWLCRVGAQKKFKRKFGFIKPAHLNR IITCPRPKKDKKRIEFGFCHRCVEHRKALEKARAEDIVDAEERATTG NEUTE1DRAFT_138159 MTLHTICTHHTRRREPCVFASGPWIETITVPEAPKDIVACFRTP GACNWNLKIVDRIEFGYCSLCLGRMEGRDVKINFWLTYEERQWEGTQETEDVGNVWGC LGGGRRGWISSMLRSFSLAVDRPPIVGQRLNMPPVYHLK NEUTE1DRAFT_110539 MVNGGDSQPWPWDISHRFMSTFNHFDELRTARKEGVQPLHRSDT SDKKPDRFHELRTARREGQPLPQSNTSKKRDGTTEGSHAYPGSRYRRPTPHQVEHRRQ DDVAEAYPKGDSPLIDDLRRRIRSPPPPRTRATTPHPRASARRITKKTVRWADPLEQP TPERIRSERNRRDSEKPKNDGNETLGENRSCTIRRYRPSSIPRPKRRGAGVKTSKPSR FDRRPTPSFTIELVLAPQDAQSLQRPLSPLIPQAMPAPLRISPTNTSTRQSPKTIPSS SSAPAIQAKPPARSFSFEGQPEVITLSPDSRRPEQRPVTLITQEQPSLCQPTSFSYLK EKPRRRGTVPPHLPEARPTSAVVVAENSEPSLPSSSSTSSFQSAKSFWSDISSGSNKN RHSSSSKTSSPIKSDPGPSDATSAVDRASTPPSKTHVSKLPIASSASSSSFHAVKSFW SEISTSSSCGAIIDGEEDVKPPCGPSAISGRVDLCKTSKVADLARYTVNGPAIDRAQN SISRIPVSRPEGGSVGRDRYKSKIPVSSTASYHVTKTQPSRYVPLRAVIGISASGPGA DAGSPVSGTGSASTSSFHTAKSHPSDSSDNNAYASGSKTSILQSNDSVQAEDTPALQA QLWHDVLTLCSSDLASCSPDCGCDDCLWSHHDDLDRCSMVAHVDDGKCRDR NEUTE1DRAFT_41123 MLYRQLSKLAVVGERPESPKANQSRLRHPTPYSIEHYRHEGVRA SKDDSPPADSPRGRSQSSTWTLTRTRAPTPHPSATKSRRRKEEKAVQWTDPLEQESPE QKSIPVPAPSKKKSAMKRSQAIQQEDEKSTQKEEDSLEDFSEAPAKQKSDDTSASQKV KPCTLRRDRRSSIPRPKRTIMTSEKPRQIVIPERPLSPLIPPAVPAPLQLSHRQTRIN AIPSSTPVPAITSKTAARDFSFDHRPEVITVSSRSVQQNRPSAITRNNLSQYESTSYL QTKPKRAGTTRTRPTSPNAERGPAACVDALPGYSSPSKPKALPSSASVSSFHSAKSFW SGLSTSSSCGAIVSGPGPDLSSKPALSMLNSSSSKDKDLSKTSMVSRLSSVLPYHLEV AKRERTASGGCSKSTTSSLQLHIQEHSRDGSSSISSASKSTASSSTSYHTAKSHLSGS NNTSTSNIVTSNPSSMTASCTSMTAEYIPTVQSELWNELMNFCCSDSSSVPPEERYCD CDDCHWSNEKDVAGCSTVATVGESRKCRARRA NEUTE1DRAFT_110541 MPYKNLRGWTTPVDAGEVPDEFLFGNDEDTGTESKGKDEEMLER EELVMLCRFLGGRRSELREPDSELDRRDGRGGRGRRKEAEEKTARKNKARDVIPDNTS DDTSNDTAEDETKYINDNNKDDKVDGQQSANPIKGMKKGINSKEGTIGPSRGKVKESG REEAKDQRVRQSKTQPTSTPIPLPQRRLPGDGNNSHRERLMPSRGNPSPIKKPVEGLK KTEGVKSQPLKRLETQ NEUTE1DRAFT_43385 MSVNRTCSHDALPDLNGIPGLPMGRSVWVIPNWNKSFVGMTACC APNEVHIGGGPGFEECILWCLIPDSILKDKDGKKGETGEVLSAMRQCVMAKGGVESFI SGGQVKDNAASSNVMVGGTKTTKLLGVGVWVLLAVGLLA NEUTE1DRAFT_101267 MADPATILGVFTGTLQIISFTRELISLTQEIAHTGSSDHDLKEK SEDLLSVSQNLQKSLSTLDSESAGVCTEEQQQLQKAAQQCLIAARAMLNELDRIDWRT NTVDSGLRHYLNLAIFRLRKTLGVKKSEASSSSNKDNETSPPLTLRSKKHPMVRALRK LVKRSKLEDLERNMKRAEDTLQTSILQKLLLDSQSAAKDKTGAKTKLKRDEILKSLAF PDLNSRRDHVSESHEKTLGWIFSSEKHGKWDNFVEWLGSSERIYWIEGKPGSGKSTLM KLLVRDERTRQRLSSWSGHPIILSHFLWISGTKDQRSLHKLLCSLVYQLLKEEQGLVD QLIPHCSFLDNRSRQSRADEWSTAELRQVLSKALHILNKRVCVFLDGLDEIDSDDRVE FNRVLKDLLQAEPEIKLCVASRPEVQLEAILKRVLPKLRLQDLNRDDISATVRDFLKD FSLSDTTGVQTSNKEAIREKIINLVIDRSEGVFLWARLVLRRIHNGRETIGTLDEVLR RIEKLPQGVENLYMETWKRHGDDEEDYRVEAAQYFQLVIQWNELYSADSLAMHRRTST DTGSTFSVSRDSLDSNLSLFNIAVASMDSLRRDILFNKGTLVLGELLTAINNTRQRIQ LCCGGLLEIRDTEAPRGWDHVVLEPSFWFRLLLMPLSAFFSPDKGSKEQVLPPARDLI NLWETVFCTQVVFIHRTARDFLLDKPLGKTIMGSCPLTNHQLYTKLVQSLISRYLVYG TWNPNFLEQRVDTLKKATNYFFENEQSELSRLIEEMPSRLLDRYRIITAILHNSILDL RQSTGGGTWIKGIELDVASVQSLILWWFCMRRTIPITFDIVYQLISREIYYVALNIRE PSSLQPEDPDRFSIMLVKIMYECTASGIQHSNKRPNDTESFCAAGWESDGNQNSAIYD YAAFENLTWEFMRTKAVLLDSRINFVVRLSRHSSFGGTVWGCPDYDDVNSNADADEIV IECNIAQLIRFAHCVVKAHSTPADNGKTRMTDKVEGHDCARGYDDARANLERHPVHRK VILITRRHQSSGIDDVSVSPSGSQSEAICSLLENKNGRLLWQGLAVQLDTILQAETSM TSADAHKWLADHRAFPFNWSETGDLYNRMFKQDVAGSIIDELLDRLIGGRQDPEKAA NEUTE1DRAFT_146776 MSSNSKPKSPAQTSRKRPLTRSEEENAENVEEVQKRMRLSTALQ STLLELGDLYKQQEKRIEKAGPIRRCFMALFNTSYIGINADKEGWCGQEGKKRTEQHT SRRRSSRRTTRVNLGRATASRYTSVQLPTTARELAIFTSSTSQPF NEUTE1DRAFT_110545 MLSAPAGTAPLHGPNKSAFAALKSTLWPERTFASFVDGKEIEFC LDIEMVLTRQNEGIDNSVYCTPTAIGASSAKGGGVLIDARLAFLIGDPCAMRGAPGRA DSPTVSWTWGRCSKPAESEEETNGLFHELDIDFTALVRYLPNSLNSSTPGHTSERDNN IRATTTSAAF NEUTE1DRAFT_82868 MADTTASDAVVQASYAAKHNLAPHFIGGNRLENAPPSKVKDFVA SHDGHTVITNVLIANNGIAAVKEIRSVRKWAYETFGDERAIKFTVMATPEDLQANADY IRMADHYVEVPGGTNNHNYANVELIVDIAERMDVHAVWAGWGHASENPKLPESLAASP KKIVFIGPPGSAMRSLGDKISSTIVAQHADVPCIPWSGTGVSEVTVDDNGIVTVPDDV YLKGCVSSWQEGLEKAREIGFPVMIKASEGGGGKGIRKVLNEDNFESLYNAAASEIPG SPIFIMKLADSARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVTIAKPMTF KAMEEAAVRLGRLVGYVSAGTVEYLYSHADDKFYFLELNPRLQVEHPTTEMVSGVNLP AAQLQVAMGIPLHRIRDIRLLYGVDPRTASEIDFEFKNPESEKTQRRPTPKGHTTACR ITSEDPGEGFKPSNGVLHDLNFRSSSNVWGYFSVGSAGGIHSFSDSQFGHIFAYGENR AASRKHMVVALKELSIRGDFRTTVEYLIKLLETEAFEENTITTGWLDELISKKLTAER PDPILAVVCGAVTKAHIASEGCMTEYRAGLEKGQVPSKDILKTVFPVDFIYEGYRYKF TVTRSSADSYHLFINGSKCTVGVRALSDGGLLILLNGRSHNVYWKEEVAATRMSVDSK TCLLEQENDPTQLRTPSPGKLVKYTVENGEHVSAGQTFAEVEVMKMYMPLIAQEDGIV QLIKQPGATLEAGDILGILALDDPSRVKQAQPFLGQLPEFGAPVIVGSKPAQRFRLLY DTLQNILMGYDNQIIMQQTLKDLIEVLRDPKLPYSEFTAQFSALHARMPQKLDAQLTQ VLEKASSRSAEFPARNLGKVFQKFLDENVASKVDAELLKTTLAPLTTVIDQYSEGQKV HELNVIRDLLTSYVEVERLFSGRRLQDEEVILKLRDENKEDIKKVTQTVLSHSRVAAK NSLILAILDEYRPNKPNVGNVSKYLRPVLRKLAELESRQTAKVSLKAREILIQCALPS LEERTAQMEHILRSSVVESRYGETGWDHREPSLDIIKEVVDSKYTVFDVLTLFFAHED PWVSLAALEVYVRRAYRAYVLKKIEYHTDETETPSFLSWDFSLRKLGHSEFGLPIQSA APSTPGTPVDSTFKRISSISDMSYLSHKTQDEPTRKGVIIPCKFLDDADELLSRALEK LPVLGARKRNSVIPDLNEKRRPPLQRLETFDELSAVVNVAVRDAEGRSDEEILKDILP LVHQHREDLFARRVRRITFVCGRNDGSYPGYFTFRGPEYVEDDSIRHSEPALAFQLEL GRLSKFKIKPVFTENKNIHVYEAIGKGVETDKRYFTRAVIRPGRLRDEIPTAEYLISE ADRVINDIFDALEIIGNNNSDLNHMFLNFTPVFQLQPEEVEHSLQGFLDRFGPRGWRL RVAQVEIRIICTDPATGMPYPLRVIITNTSGYVIQVELYAERKSEKGEWVFHSIGGTT KIGSMHLLPVNTPYPTKNWLQPKRYKAHLMGTQYVYDFPELFRQAIQNSWAKAVRMDS SLADKQPPVGECIEFSELVLDDHDNLIEVSREPGTNTCGMVGWLIRARTPEYPNGRKF VVVANDITFNIGSFGPKEDNFFFKCTELARKLGIPRIYLSANSGARLGLATELMPHFS VAWNDPSKPEAGFKYLYLDDAGKKRFENTVITEEITEGDEKRHKIVTIVGAEDGLGVE CLRGSGLIAGATSRAYNDIFTCTLVTCRSVGIGAYLVRLGQRAVQIEGQPIILTGAPA LNNVLGRQVYTSNLQLGGTQIMYRNGVSHLTANDDFAGVSKIVEWMSFVPDKRNNPVP ISVSVDTWDRDVVYTPPQKQPYDVRWMIGGKEDENGYQPGLFDKDSFVETLGGWARTV VVGRARLGGIPMGVIAVETRSVENITPADPANPDSIEQVANEAGGVWYPNSAFKTAQA INDFNNGEQLPLMILANWRGFSGGQRDMYNEVLKYGSFIVDALVKFEQPVFIYIPPFG ELRGGSWVVVDPTINPVAMEMYADVDARGGVLEPEGIIGIKYRKDKQLETMARLDPVY ADLKRQSADASLPKEESDAIKQKMTEREQQLLPVYAQISVQFADLHDRAGRMKAKGVI REVLEWQNARRFFYWRVRRRLNEEYILRRIISATTPGGAPSKALTANSTKERARHLQL LQAWSGIEKFDTADREVAVWYEENRHLVQTKIDALKAEAITSEMRDLIRAASKGSDDA AWKGVRDILSVMPVEEREKVVKYLTTL NEUTE1DRAFT_138166 MSHPITLSPARAELNFLLHSHAQKKKVKIIEAPHTIRSSRHREL SSHSLLVERYRCEGIITMHPKDDNKSHALLEQVHVPRESNFLPLVRAPTPYYPREVEE QQQQQDGKKKKGVRWTDPLERPATGKGFPGVRVRSVLKKTDAVVGGKSRKWWYLR NEUTE1DRAFT_42972 MEKLPQEVIDQVAHHLFPVLFTAWDNIATLNARQQEATWRQRDS VSAAAYATISLPWQRAIEKKMFTLVKIRSPNDELKLRQIMARNPHRRNSVRYIKCNIN LDYLDRATHTPFPVVSDRGVISTIGSILRLVNLIRPSSLASPMPEAPLFLQINIVNLR PLLGAERGNWGAPSDINNLPTCPGVTHLQITHDEDINLRLTRPRKHVFLEPTEVPPVW MSDILTKFPTVDDVVWELHEFLDQGQQRTKHRTGEREETLNVAIRTLSQQLVSLQIIG IFTVTPDFNNLMVAMAQGMLNMPKLQQLDISFRFPPFNTGKPIDKRRFKDLNGDDSTS LLFHVIRYRRASSEWLELADCKEAKELYCNLDLFDSKRLYSRLRQEFGKFHLLPVYWR PFPEQAVRNWNILHRRIKGVIPLLEWRSNYANPEPCKVEPRGGIWPYAERERRRRSRS AVP NEUTE1DRAFT_42033 MSTSTSGGASFVVQPPEFILTKQNIKILAVVRYGPLPSTLLPED LHAFFCLGDPTTNKPLADISVRPVQTGQGLAVTGVALPGEEDGHGAPYAFFLFHNAKF LKEGTYKMGVSINEDKIDVTNLAQLWSREFTVGEVAGDMRRSPDEQRIIDKLKDAGVF GAN NEUTE1DRAFT_42402 MCHQLCQLHSVCGHVTKREIPCEVTVSTPTKAPPQPWPSTIDTG SKVKDAFLTWCLKSLKPVAHQQRSTRSSCRPPLTLLHEVVYGFCPKCRNYYEEYASKL IMLQRVMKTIGMDMGDGGSALRLEIVKEMRRRTLEWAEEGDSAREKAEIERNVDMEKA EEKKREKERLKQEKLQQKKLQRERLEQERLKEKKIKREKRLEAERFEEERKARKERRL EIRKWLEEKKNFEDDLKKVQKEEKRQKSGKDKVKVEIEDIEGKTLIECEHRKSEMEVR VRMSSRPQPASRGLHLGASHHHEGVSGNDDKLDEKPKVYRSRGVSDLVKFYESQSHET KTKKEGVRSALSESPAGKTLPQNCQKKAPEVTEKSTENRVSPKPIYRRSEVQEVAKTN MSTAGLRQQPQPVEPKALEHQHQPSLPISKSKRPTFHPPPGTPQLHPSTYDKVKAGSS VDKHPAVALKCRPQLPAKPKDNDHAANQENSKKKLSPAEQKNEEEVAKSEKNDSERPP SIRRTGTSTSKGTNTVPVHHDLSETPSALKTDNKREISQTEKKEGHQQTQSCRMVPMR LKKIPEIPAQTEGREEPPVTLSKQEPLQPKPLQPKPPQPKPPQPEASKPDPAKETKPQ QTPHATRVSREKDKSSTPPIDPPTGNTPFNPSIGSMTVEYDPIEQMDSNHSISSMVVN CDSTGSKVSNPSIDSMVVQCDPDDGRLSITSVGSMVVEDNSTDSTPSDVSISSMAVEC DPLESIRSIASNGSMVVEYEPTTSTVSNPSIDSMKAELDPIEQSSLWEDIRRHLGSND GSILAHPSYCMCAKCIRAR NEUTE1DRAFT_122623 MAPVDHAGTLLVALVATAVIAQNTTVSNGLQDAVGWVNNPSQRG TLMLLLECLTTIFACTWTVLHLNFPIPADSKWTRFLRKVKWMFITILFPEFIFAKGIC EFCFALHILHLMAEMMESHPEWFESSSTYTSPKLHEHIVTRRWKAAYSPWMQLLHKWV VLTLWPPNIAENNAEEDNNNDPPARRSSTSSTTRAGVSILQGSPEYEEIQYWTINHAY YANMGGLRGLRFTTNDALEYSILRGDHLATWDLRKWRQGHPLQELRLSAAEIDDKMSP LIPLAFTYFLSRLGTRRLSRHQIEARKETLTKLSSLQRLPDAWVQLLHFNIDDPSLRP VFGEDKYEDTRRKITEHVTSLLEFRTLLSNLEDEKNRQVNLLENIWNCMLQLKDTYES LDGKTWHQYEEQVTLVARTMGIPGLENHEPRVLKTLHECFLEVSLDKWSHRSQYQRSK RRLESGIGRYARYINIFFGTIYAISRVALVVLMFSSLREVPKGVYEVAGWTRFLPSFS NEUTE1DRAFT_82871 MHSLQGHHPTIIDGYWIWEIGNVPSSPASLDIRLDMLLFDPSSD DLPQHQPTEIQIDQYLRDKTSPSYKHLRARYWQLILPLVRAKYESTRKRYAQKRINQQ DTAFIVFEGLLLSTWRCFSHDLVPGNTRNFGHVQYMKVMYGGAI NEUTE1DRAFT_110552 MGPSNPRPLIGRAGAFPCLPQDSSRPAGILNPPLLPTTMTATTT ATPTPHHPNHDTQKDAAPNTILDSGFHRRDESGPRKFAPSSFCNVGVVHYQAWQCLGG GWAFLSPPRQDDAESWAWLVSRPCLIFKPKVSEEPSWVLGLGIDLYQGQGCADSMANK TEKLFVQVTDLVKHYPALQCAVFALLSHCLFRHRASIPKLNGFGVADAPKCLDPHLSA NGVVPLV NEUTE1DRAFT_64192 MTSNFNFFKGRSDEKTTPAYGQDDGIERGARYSSENGQVVDEDG DGDGTDDLHRAMKPRQLNMMAIAGAIGTGLIIGTGTSLKLGPGSLLIGYLIMGICVYI VMVALGEMGAWLPHKKSFSGYATRFVDPAFGFATGWNYFFKYVIVLPNNLTATGIILQ YWRPDINVSVWITVFGVAIIAVNLIHVAFFGEAEFWMSLIKALIIVMLILLCFIIALG GGPNHVRTGFRFWRDPGAFAQYSASAGDDKVVIPGATGRFLGVWACMVQATFAYLGTE LVGVAFGETPNPRKNVPRAVSQTLMRIVFFYLGGILVLGMAVKYTDPLLGAVKKTSGL ASPFVIAAKNAGINKLDDAVNGLLLIFTVSAANSDIYLASRTLWALAKDGQAPGIMNK TNKRGVPVPAVILSSLFILLGYMNASKGSSTVFGYFVSLVTVFGALNWVAILVSYLFM IRAMKVQGVPREVMPYRNILLPWGAPIALFLTILIIIFNGFGAFFPHFQVDKFLTSYI GIPVFLINIGWWKVFKKTKRVRPEDADLVTGRRTF NEUTE1DRAFT_117165 MQDAGERIWRFAMRCDDATRNRTQTVTRAQSCPSVTAQTGDTNT LQSIFMTFSV NEUTE1DRAFT_82875 MPRPQYTTFEASDGALITLKSSLPITNPDPSFIPDAPEGPNYSR LLLLLHGFSGSSDYFDRNFDELAKNHWVVAWDMRGHGRSGLTYEGGYHVARLATDLHN LLTFLKTSRLGPGGPSPQSQSLPQSLPQPPLEVIGIGCSIGAAILWTYIELFTDSDFS GFIFVDQAPLQDRSLFDSWDSTKAHKGCYDEATMLGAQAAWNKPANERHATHLGLVDE CLGYRHKPLPTDKISEEEKKKDKEFFTGISALCPSGEWLAKLIADHTRYDHRDACEGI SKPVMVMGGTRSGCFSLEGMEEVVKRAKKGGNERAETSWYESGHWLFWEEAERFNGEV LEFAKRCWSE NEUTE1DRAFT_82879 MAPTLCSKCSTFRFYDLFNDDVVFHSDLNSLVQLAKKCESCSLW WSQVQRTCTKEDIAACLAKKLPANAVKSSDNGDFKIYLRGEWHPAGTASVPDQIWLSC GVVVSAVGHDAPSKLQTNLVVFADPVAAGADPATTAGGLFAGRYSTSAVHPEYHATFA KNTLANCRTGHGVCNQACPPGTRQMPTRVIDVGDQTLPAAKRVTRIVLTKDLKQPQPY TALSYCWGSGTQAMLNDTNLADFLKLLPESQLSKTHVEAIDLTRLLGIRYLWIDALCI IQKNQKDWEAESKRMSSVYGNAELTIIAGRSPDSGLGFLTTKKHPKPVPMRAGPNPHT GEGPDLGTVYLTMQRGKSVGPVDTRGWCFQEALLSNRALVFGEDQVFYQCRQHTRYED GCVDVDVSQRGQALTSLHPGTKPEVLRRWYQMLVSFTPRALTEPHDIFACIVSVALIT HGVLKSRYLAGLWECDMPRGLLWKSRWSYVSRKHFLSIEKPLASPFTKRPGEVPGKPV IRAPSWSWAYVTGPVFWDTNPRIESRWIDAKNICIRPRDSKGLWTKQSGAGNPDVLFM PALELQIFGKAVEVRCTKVMKKHTPLTPEGIMLEPVKSASSGPAKSSYIALGYLDFPS DPMPANIWCLLVVAHEGLLLTRDGKGKFHRVGWFAVQDGKQFEAVKETAVDLV NEUTE1DRAFT_146783 MPKPPSHPRYQAVARSEPYPSSANRNGGGHNNHDGGHGHSGRHG STGMMYHHAAGSMNTTVGVTPGVPPPAHQQSAAPPNYGYGGNPGIGAGSGPGAGTGMM NPQYATATITSTPRTIPRNFGGQQSSPSSSTSTYGQQPSFESLQGPNQPHNSSPSSAP NFQLTGYQHQNHALQQQHSQHQQHHQGPTIVNQNAAHPTHFPPQPQPQQQQGYQPPNH HHQPPQTQVAHPTQSPIPNPSSSYNPRPTPPSPSLHNPTTSWTPREDALLLSSRASQL PWSELQRLHFPGKTANACRKRHERLIEKRQAEEEVDEDRLARIAGEYVKMRGELWQGL AERIGMDVKEVEERCLGMGVGRLKVAGRRGGRRRASYPVVATGMAGMGPVSTVMPMMF SQGQGQGQGQGDAEEGRNYTHSPVQIGSTGAGQSMIGPGPGHGMGMGMLPPQTAPPLT TLVPIPPPTTAGHGYNVNTSALPTSSTPGIPPPPLLPLMQQQQQQQQQPRVAFGGYLN GRSSSRRPTHPLPYSSAPPLASASASVSASTPVGVSANTNPPGRFDQGQRQGRGQGQQ WPRQGGVNPAGSVGMGMGMTVPAASTTGPPTPQTWHSGGGGGGGGGGGYPPSSFG NEUTE1DRAFT_82881 MAHQTSPPGEGCYVPSHTTDHPYQYAQRQPGPDESPNWADGLEV VPYEQLKDTQHWPEVRQEEVGKEVTPDTALANNWKWKDERDQYNAGRAPEIADTQPSA KPNRKRLCIILGSVLAVILIVAAIVGGVVGSKAARNSKSSTAPVLDSGGDGGSSTTIP TPSQTTTGGGAVSTTAAANAGAIRSGSPFAVASWRNDKGNVALYLFYQDKSNDVYYIK HDGATWGKPVNIITGLRRNTRLTATIILNGFGNPARPHVILTYIGAGSTVLGMAINEN NNPAVSDDPNIKKMSLEAMANSSTASYYPATVYQAASGELGQARIFMLQWLNRMTGIM ALPGTSLAMVPISTRWANYSTSGGGYGLIYQKPDGRMAAAVPNLGPDARTNAVSPWFN SSIFPSDITPPNGAPIAAWITSRPSSPSHFPNTYILYLDSDSNIQMVYTSFSESGDGS PSWKTSAPEALKGLDKSTEIGCVLMASIDKDQNENEIPIEEDSEEVNRCFFQKGGKLV EARMSGSAGTGDLEWKIVGEVPLPL NEUTE1DRAFT_82884 MRSLTTTFLLFLLFGLLVTLSAAQSSAKPESSTQPTSTAAATSV SMSIPPTSSSPRASSSSTSPRKTTSSKPSATATVPGPSGTNYGPKGPPDVLLHIPELH VGRIELDVNNLRADIALAAEVASLVQLNAGVQVGIDKVNITIADVDANLDLVIRLGNL VKVVNRTLSTLDLNPALINLIDGVVDAVDGVIGAVDGLLGSVVQGDSKVNFLIDNLGN IVQEVVGGGSTIVGNYLKNMTFTGTVKDLGKGLTQKTYKYEALGSLVNVVTNALGQVV QAVVVGAGGGGGGGSSSSSSTVKPTSSTTASVVPTSTSTA NEUTE1DRAFT_130091 MPLSLLRTSALLLTLSRLPLGFTQDTSSSKDQEPKEDGYIGYRL THRGDPESAIYETANTSDEDLILPEDPDVYLNASVSVGLIDIEVDNITAKVNLDAKVL SLLHFSAGVDASIDRVKLRIENVSAKVELEARLENVVAMVDDVLRSIDLNPIIATLGE GVGKVLDGVGDVVGGGGGESGDAAGKAGNNLPVRDDPLGARGASGDEHDMAKRSHATG HGHGSGADNDPFNYNLEHNILYSVNNYQGNRHRNRRLDQNGDIFDEYLDNDGNEQSRQ VVGYYKDDMTFNGHNRSIEVDGKVKEFELQYEYKPFPGIEAMCWIYVDTEGKVVRTKV IFGGAGRRDKYG NEUTE1DRAFT_138181 MDAESTSDGALHACYYLPGFNVGLSSFPAFGKKGQPQVDPGKFD KAWFLPHIPSQGGLQGDTSIHEL NEUTE1DRAFT_41272 MTSRSSCAAFSFTLSLILWHCVLISAEDTTAPLPASTLLPHSNQ QATVGWVNNPKRRGTLTIIIDCLTTIFACTWTVLHLNIPAIEDSPTTRILRKLKWMAI AIVFPQIIFVKAVCELRFALFTLHMMYRSVKESPEKFETEPTKVYGNGRLVSVTQIWT VEFGLLKIWLYKLLLRQWPSVESTAKSTHPEEWYYLPGPFFASKQVQKWTLTHAYYVN MGGIVAQKQNLGVRETPKGEALQPVIDYSVVRGDHFADADLEAWSSGHPLQQLRLSVK DIKDKSKADWIVKTIAIIQIGRLILDLITRTWSFRFPTRVEQLAWQAAALVSTILPMI AWAGLFLPYLYRSPGLKRKLAEAVAEKVDSFGPELLNADDDWWNTFTTALRGLLRDLW RSQQQGRSGEQERIISTIWDIENDLKVSGSTTYALRDQYETWIKGQDAFLYGTLPEDP ELSAPYKAWYYFRYREPASDPGLFRWIFLRCEIDMGTSGAQYRKKWRNFYILVQSFNM VAAILYTAARLIILAIMFSSLRAAPDGVYDTPDWTRFMPSFS NEUTE1DRAFT_82888 MYEDDLARRPSLGRKRSNSATSTPTTLTTPSDVKSTQYRDPRYK KLLAAMGVFMDLQPDHGITVESKTCYMTLLTSEQTFPTDSLFRDDRFEETCRKVANKN EARVLRDISPLIVPSAENLATCGSAGLGCLVESVNEPWSSSIPIITPRPQPDYSVGFL QTAFTSEQVAKLKPFVGDPFDGDQSFFMATKDIYFPFLTCEVKCGLRSSDLDVADCQN AHSMALAARGIVKLFRNVGRENEVHRQIVSFSVSHDHHFVRLDGYYPVIDGKNTRYYR HPIYEFSFTTMDGKEKWTAYRFVKNIYDKWMPDHFKRICSAIDQLPDLDLEVPALSNP NGLSEDLGNQVQLSEADSESPIRMDRDTMPAPAKKRREKSG NEUTE1DRAFT_101282 MDRLPQEITDSIVEAFVQDLGKAPPTRLSKYRTVSKNWRRAIER RLFTKIDGIASGSFQLSFFKNILAGEGNRHRRESVRSFTYTTYLAFRGQQDMLDFVRE SQLRLLDEFSQLFTVINDIWPTDQGTNSTSPQPLALTVSIVGIGHWKSEYDESTFTSH PQAAASLPLTPAIISLEIVFDQAWNKGRRPRRFKQSGGGNQVPPSWILQPLMAKLPSV GKLSYEMFNFLGQEQFWDRLFTDTFKFLSGPMTANLTELYLHIGPFGLNTFNQTPSEL WVSMMARNSDEDDHNPRFNAALRQLSQQLSVLHVSGLFAVHPELFYPKELAKQHDRVQ EARLREQSVGRGSTIDRFLLREPQELNHLAVSLTRGMLCMPKLESVEIAFRVMVNPQK LAVGLYRRGDYDLPGRCERIRYQRKWLAEALEVLDTSSTGDSHEEGDSGAHFYTCYLL NDVELYENDALRSEISDEVEENLVELRRRLRGVGLSRFPYW NEUTE1DRAFT_101283 MDRIMGHLFPYLAFGGKYNHFQESLGPAEFATISKTWQRTIEAM TFRRLGVLSYDDIPDAKYLLGRDPHRLASVERIDFLIKADDILAKSPYIRDQKLVFTT FTQELSSLTQLLLCPVVTSLAIYFKEELRRDFLPRDESFAPRKSREAGTALESGRKVP PAWIMNVLAKFPLAQQVDYEIFNFIMCRRGQLRTNMQQELCTSLGGPAFTHLSNLSLW FGPNNFLSAEVQSFLSHKMIQPPPSMSRGTHATDSLNSALRTLSQQLQELHIHGLFSL SPDLFINRADDDDDGNIQPFWPRLRVLRVTTTLLTPCGSKWLIPPHRFGYPRRWKPTF TINATLNSATIEFNKLMTALSHGMLGMPRLQLLTLDFLVGPDEPNWDNEDVDWTGNWT GNGQVISNNAYVPSKTYELVRYVREDNERREMGQWKGGITEKPAEGYRFCTLAVFNDW FVAGNKNLYWSPFPEEAVENWNELHKRIKGLTDLEEWRRM NEUTE1DRAFT_25396 SPSSTIPTRLLLLSDTHIRSRSKNPLPFPIPSTPVDIVIHAGDI TDSSTLSEFRLCLSYLRQLNAPLKLIIAGNHDYTLDLPASTQFIPSQSHSQKRKNDLG HPGEAHHLLSSFQSEGIYYLQEGTHTFTLSNNAKLTVYASPATPAFGSQGFQYTQQEG HVFDIPPETDIVISHGPPRGILDVSRLTRASCGSLELWEAVKRTKPKLHVFGHIHEAW GAALVKWKDN NEUTE1DRAFT_117167 MAAVVKALNAKIRSNPVANYLCSTHFWGPASNFGIPIAAVLDTQ KSPELISGQMTGALTIYAFTFMRYALAVTPRNYLLFGCHFVNAGAQLTQGYRYLDWHY WGGKEKAVQKAVTAPVAAAAVAK NEUTE1DRAFT_146791 MSPPPHGPRHRGYHAYNNNPDPSNSNCSAPPTPPGSIPSPSMSF PGSGSAPNSSTTTITSTNPSNSNTSLNNMTHHPNLHVPSTHSQSQSTTTTTTTTTTTT SGTDRTATAHAATHLLTTLFPPYSQTNQLIASLSAELDALKARQRIWKRLAHAAVLFS SDHELRYSLLDKCNDLAECMKMYPRLREEVAKMNEVELQLFSISSTAKQLVGDVEWVR AEDVRGFVEGVRRGQLA NEUTE1DRAFT_64214 MPTDASDTNRRPSAKRWSTTESLRRGRGPPTCRVPQCRDTAVPI AGAFDNEILLSLYCKRHTCRWEYPPYDRSCCPDPVTSGQDLCDHHEQLLSCACTTEPC LQKRDYEEVADSVDPTAPWFCSTHRCGQDRCDKEGTGSDQHNRRCDKHKRCDVPDCMS PPDVQNDSFYCKRHTCRSAHCPNVAKKNNLCTEHQRCVREGCNKPRYWPDTGLDDLDA PPLKDGCPNPLVNGSSTGTGIFCPQHTCKFPSCQQSIAKANSSHCEIHSCSKPDCPNP ADIIGSSKTSGKPVPTLCKLHKCKIASCPEEAIPISDTTAGYCHVKHECIEDACQKGR LYDDQGTPFERCADHERQRWKDAGRRDAEEAMEAEDAARKKNELKKDRQHAEEKDALK DEIRQLRDIIHGPEGIETFRQELEDLRAETARLRAENEGLRAAHDAHQARLRKGRRPS MAATSPGFYPNGIPTTAPGPGDYLPFRPPDGHPLAGPGPMPIPGMNGSKPGRESPVSR SFRGSNVWDTSRDSLLSHQMYEDHEYPYYSEEDRGGTPTDISFDHNSELDPTQQPQRG RIRDVPVDWRDSPTPGREPRGREAREMRDKSRGRVRGGTTDTIKEGEVVVGSPRDKSK ARRTKSKHRTSGGYGAYDAMRRRSGAGLSHQWHGEATQVPQPPPLSSRKSKTFGTGKP RLVSMAGSVPDGLYAGGGYAGHAAPGHMGYWGPRGHGEYYDSEE NEUTE1DRAFT_64217 MQRLALHYPPLDPAAEPPTEHILQQSNPTMSNIISQAGQKAKEA LTSAPSSKKVDDLKNEFKETDKNARLTTDYGVKQTTADDWLRIVSDDKIGPSLLEDPF ARERIMRFDHERIPERVVHARGSGAFGKFTVYESASDLTMAPVLTDTSRETPVFVRFS TVLGSRGSADTVRDVRGFAVKFYTEEGNWDLVGNNIPVFFIQDAIKFPDVIHAGKPEP HNEVPQAQSAHNNFWDFQFNHTEATHMFTWAMSDRAIPRSLRMMQGFGVNTYTLINAQ GKRHFVKFHWTPELGVHSLVWDEALKLAGQDPDFHRKDLWEAIENGAYPKWKFGIQAI AEEDEHKFDFDILDATKIWPEDLVPVRYIGEMELNRNPDEFFPQTEQIAFCTSHVVNG IGFSDDPLLQGRNFSYFDTQISRLGVNFQELPINRPVCPVMNFNRDGAMRHTISRGTV NYYPNRFDACPPASLKEGGYLEYAEKVAGIKARARSAKFKEHFSQAQLFYNSMSPIEK QHMINAFGFELDHCEDPVVYGRMVQRLADIDLGLAQTIAEMVGGEAPTTTNHPNHGRK TINLSQTEFPPATPTIKSRRVAIIIADGYDNVAYDAAYAAISANQAIPLVIGPRRSKV TAANGSTVQPHHHLEGFRSTMVDAIFIPGGAKAAETLSKNGRALHWIREAFGHLKAIG ATGEAVDLVAKAIALPQVTVSSEAEVHESYGVVTLNKVKPESFTDAVKIAKGAAGFLG EFFYAIAQHRNWDRELDGLHSMVAY NEUTE1DRAFT_101288 MAWLTRALLLGLFAQLAISKTSNKDEPQPAPVPNAKDGKNLTNE QMDKSQVVYTSNTTFSDSNSIPPTNGWWSSNICSQQTYCIYTNLGLGHGRGIVLLTKY ADFQNIARLDQHLDEAEDRIEAFTTRTTTPERAEATGAKGKEDRQREEEKPFSESFIL SKGPGLTATIPLRRGKPLMSAAPALLVHKDFFADIWRKSERNKLLEKAVSFLPPATRE AFDKQRTTLLSPDQKERTIEQILLASPFEIDLGSNSYTPLGQESLQANHSKHYINYPS MSLFTHSCRPNIAFHIDRTLALRTTVARKVAPGEELSIAYIDPLLPRKERQEWVGKYR PSSSSSPSPSPSPYCPCPSCTGHSPSLSKPHGNPHPSSPMSPSQELSTSSARLASLHR IRSLLRNHDSPAVPPETIEYFLKLHLEEGLESKMAEAYELAATNYNYLGQEDDKKAKK YAELAVQAARIEHGKDANEVVAMRIMAGDVRGHWSWGYKVRGVECAKSPRGQAFD NEUTE1DRAFT_41308 MAFNPPHTPQGARPSRFIYPPEVLRSRERAQATLESIVTPFFNR LDAMYWGRPAYMGWDAIRQTLNRIQADYMRHLTRHFFISPIAEHPLALYILRHQMRWL ENTFEGDLIDIEVDPFNRDIFPTEAIRANARAEKHESRWQQVIRTMVRDGSWRRDWGE MRLENFVRMMMNHMVLLVSLELEMVERARYMRKGGERRRRASF NEUTE1DRAFT_43348 MPRLRSKRPREGDPSPPPRVYRATRATRGHKPGQSHTQVQISPS PSPPGPPRIPRAKRARTGPSPSLLQDKHPVDRLSIKEEEHGHIAAAHQLDLEPKTDHP QTIPPAPRGTDTRLTRENLAKLNRMSSNLASHDTFRSSGRGSGLTNPTSVASTGSHRS SSAYTNGFPSILEDSGIHIHGDPSMLADLQELRRERSVRPSLAPFEFPDSRIERLIRV NSRAVSEADVERNVVTAIIGFGGHLDIPNSSNIAWSNLRSMTGKRTVDPRPDLFYGAR TTDIAREIRDEIGHLIQPSILLNAPAAPHFVMEVKGPSGRLDILKRQAAYSGAAAARA VFTLENFGVDDPKYDDTAKAHAWTFSAYGDLTQYAVRVGRPTPGSPEPSYHLTHIKTH HIMESVDQFRRGVSAFRHCRDESHAKNEARLAEAHERLRRRSQQTTQQTSHPVPGSTL PPGPLPESHPGPPELSPSVENDTPLQQDCEARSPEEEEEEEEEAAPGPGSPSTTTTAA AAAAVMTTSMPPASAPPNVTKATPRNGAPSKKKKKKMSMSNRPSSPRPQRTRRRPKRF GTLDDS NEUTE1DRAFT_82900 MTAHNQGEGGAPPPLTQASPSPSNADKPPAKLYHEHRGEPLEGA ALQECIEALVDGWTTCVDELSQLHTKAESASKPIRGLYTLLKVQQRTLDKVKAKKASQ PSPPPPPSTDEHGTEPKPLFTPAQYFGMRSCCWDDRWSVIKKCRGLVAINKEFARSPK QPVPAGKGWLAYKDNPLLERPIVVDAVIDSGATWLKFVSISPKTLAYQIAVDGWETDE EEEDDDPAYSDDGEDQYNGNGNGVAGRNGIQEALGNTEFAESIKKVILAARWNHCHHV HLLLPGLRDGESEPADRMLRYIREKIGGDDVSVTVSCANSPLLADTPPPPLDTALAAL IDERDPLVADDCGRITSTANLDPSVLVSLVTDLHHGLVDLQPEFQQRVVARSVLDHTT DSNELVPREDILAKVLYPALRGRKLVTTRLAAQYFRQLIASISTHSEEVRASFILPPD APPALLSPEGSSEPLSTTSLTPEQRRAELQRWSAVPIPDDLHLPVEIVDDIELEQVSP LIAEGKLPPMALGVAQDLSRLNRSVYLYGWINRLTTITGHRGIERQIYLSLATHWTPP ATGNYRASESDAKNNEIPPDIWHRHLGGYLIHRDKPRDWKALIAEYEECKDGKIPSEV QRWTNPWTTWGRGISTYGLPDTKTWEGVGHADKQSFGRKLQTREARGQRNPGGRDVDD QEGEEQDMDEEEEEEQS NEUTE1DRAFT_117169 MVVGTSFNMANNSWIPKSAVNDLHVAAQPLAIMKIPRSGNQICQ ISTIVWFDFGNLSGEGQFEGNLVFFLLANKLR NEUTE1DRAFT_138196 MSAEQDQRPKSAASSKKSEDQQRGHQLTDDGPVAAGHQDAYHQL SDDQPEAGAADGEQEQQQKADKPKKENPLKKFMASLKSLNCAGKPSGDKKSKKNGASQ EGGEDDDDNNTPNARPVSQSSLDSKKVAAEKNAPQIDVSPAVEDSHHQTGEHEGHKED HGKEGEEKEQDNEKIASPVDAHPPALTTTAISATPPTL NEUTE1DRAFT_42477 MAGLHSRLTTFLLLLLSALPFIAAAAPSSGCGKGPTLYNGQTVT TNINGKSRRYTVRLPDNYNQNNPYRLIFLWHPLGSSMQKIIQGEDPNRGGVLPYYGLP PLDTSKSAIYVVPDGLNAGWANQNGEDVSFFDNILQTVSDGLCIDTNLVFSTGFSYGG GMSFSLACSRANKVRAVAVISGAQLSGCAGGNDPVAYYAQHGTSDSVLNVAMGRQLRD RFVRNNGCQPANGEVQPGSGGRSTRVEYQGCQQGKDVVWVVHGGDHNPSQRDPGQNDP FAPRNTWEFFSRFN NEUTE1DRAFT_110575 MHTSSYPTINPALNPLLSQAGKTVLITGATAGIGFAMAKSFVTA SASKVVITGRRQERLDEAVGLLRQHAKELGKQTEVVSEKSDAANMEEIDALWKKLGEE GEVVDVLILNAVGEMAAGGLCEEGGRTTVEIWAKLEANLRGPMRYTELFMKQGKDRQK FLINISTASAHISFPEYSPALSSSPEYGFTKATAGLFFRYIAQRSDPEKMQVVSIHPG TIYSELWQRLGVEKSVLPFDDISLPADFVVWAATKEARFIHGRFVWANWDVEELKAKY AERFKQDAELFRFGVCGLAGSNLHVIQ NEUTE1DRAFT_42268 FRPAPEADIYLTARPDPYIHTEVAAGGLPEWTLRVKDPLRENGT EWLCSIESYLYTRENIADSQTTNGRPVVMVQVENKYVIYNRKSTTPT NEUTE1DRAFT_122640 MGSVSLTFSTLPAGVKVQPTPFRINVAESDLAQFRSLIQQAIIP PEQFYNQHANAATGKFGITRDWLIQARDYWLNTYDWRAQETFINSFPQYKQTVVGPTS GQTFDLHFAALFSLREDAIPIIFMHGWPGSFLEFVPMLDILRSRYTPETLPYHVIVPS IPDYGFSSRPNDSALQELNMEFAAEAMNELMLSLGFGSTGYVAQGGDVGYALARAMAN NHAACKTSHLNMFMFTPDQFAACQQEPLTEREEKLLTGTNAWIKQGSAYAYEHGTRPS TIALTLSTNPVAMLAWMGEKFIEWSDNRKDGGSRPLSLDTILDGVSLYWFSGCFPRTM WSYRSLVPAIGATAVVQESLSVQKPFGYSAFPVEIGTLPRTWGKKLFGDRLAYYKEHE VGGHFAALQEPENFLDDIEEFVRFIAPKVGLAKGGKSA NEUTE1DRAFT_41518 MTTAARMDFETTLKGKYPAKRHAQRVADYIRNKVPGASGVLYLE GRATKLLEDNDEAEPFRQRRYFYYLTGCPLADCHYMYDLDADKSTLFIPPIDPDSVIW SGLPVSVDEAKQRWDVDDVKYTSDVNATLAHVGSSKPKGSSVFAIANQVSDKVTFLEF DNKNFSILKEAIEVTRVVKDEYELAIMAKANEISSDGHKMVMQKVKHVQNERELEAVF LGHCIAKGARNQAYHSIVASGRAAATLHYVPNNADMAGKLNLLLDAGGEWDCYASDIT RTFPINGKFTKESREIYDIVLKMQNECIAALKEGVLWDDVHLLAHKIAIDGLLQIGIL QGDKDEILEARTSVAFFPHGLGHYLGMDTHDTGGNPNYADKDTMFRYLRVRGRLPAGS VITVEPGIYFCNFIIEPFLKDPKHSKYINADVLEKYWDVGGVRIEDNLVITKDGTYNL TTAPKDPEEMEKIIQQS NEUTE1DRAFT_41284 MSATSGIHSSKPNRAPREFDGKNIMPASYCPTAKDRVVFPAKNG KVEASHICIGAWPWGDKSTWHYKEEEFPAIEAAWRELYDAGINFIDTAQAYGSGESER LVGKLVKGLPRDSYVIQTKWLGTALDAKNYVHASDAPYKTLKSSLERLGLEYVDIYLV HGPTHPQSVKTVAEGLAKCVNEGLTKAVGVANYGNDKVLEMKAALAEHGIPLATNQVE YSILRRYPEIHGEIKMCLDNGMVFQSYSSLAQGRLTGKYTVEHPPPKTYRFSSYPMEE IKETLAVLEKIAKARGKAMASVALNYNISKGALPLVGIRNPEQARQAIDALGWRLTEQ EIIELDRVSVEGTKTVLWQQG NEUTE1DRAFT_101299 MMISGQGFFELEACSSTTEAVRRRMAVTAVFAFDHPGPDVDPTY KDRQHYKIEIMWTLNQDTSMDDIDEEHPVWYPGTMNTRFQIPEDRFRLLFAEATLCFA FHNTFSITASSAKLLN NEUTE1DRAFT_138203 MRHKALFSLLWAIILAALTSAAPSHFGLNSDNTIALAQRTTLTS SKLDARQVANDFNCVTWTDSHGDLKCNEFSIILGHPNLAQIITKNYLYIYMLNVTNDF LYTSVVNATNILEIKSALNCYGDQWKSFYSVLPWTIDMHGGNACNENAYNGPYDNTWI NYAGQHLNVPDDGRCRWMNRVGFRCVVGR NEUTE1DRAFT_117170 MPYTPPSHRSPASSAPSSPSQSRRSSIHHHQQQHNGGPTSPTSS TKPALPRSASYLMKHRRTPSAPAPAQHNELSPSATVEDLKIMALNSIVRQSPPPITGE RQGMPAGAVISPPDSASEDEGQLEMKKEDTQRGRTIENLKELKDAISQIPVHRSCSPN NRTDIPEPIIAEMKEKTRPDAGDLLVLPSQAKAIADMQQKQDATNGVTNRRFSHNRSA TESNIVLNKSMETSLTGSDEDTDEERQRKPPMVRKKSGELVRPALRPPSRRRPSSMPG TPTFKAVHFDSHLEHVRHFLQVDRPLAVSAGSSPVDSYDSDTEYPFNGNGNANDQSQA RQPPFEWELVLSNFPADTPLRRAQPVRLERVWLSSDQKCLIGSVAVVNLAFHKSVTCR FTLDYWKTTSEVSAEYMSQIVPSESPLGQDRFNFTIKLSDMANLESKTLYFCIRYNVN GQEFWDNNNGMNFQIDFRKKALPTNGKKGVIGAASRTVNGLPKSNRRSNHSSAAPKPK FAPIGADDFGSRSKLSFDSSLDDYDDDALPAGLRLRGVKSTTSIPSDNLPSRLSAPSG QAFANRYDFGASLATAIQTAKDNLPKKDDGGLYMKSSRKPAAANSSIQRRGHPTLTTP VASAPAPALSAPAPAAAPKKAPAPAPAPSTAAPATTSAKPSSLNIPGVSTTGSIASSS YEELVNKYCFFGSTKQSSPQIKDGSLRNSRFDSASDLPQLASNSSSSNSSYEGSPVHN NFYGMGSTAQHHSLHPRDPNPYFSHGGFMSFVGGSPAESPLKLGFPSPQNPGDPSSTG PRTGPGTNSFGSGYGTGPSYGSHSTAIRG NEUTE1DRAFT_101302 MFDIEEQTHGALDIVTHLPSGNLPCCLAVTRVQFPQGFNLVESA FGAHRTVAIAVLTRYAKGTGQQGDKSPAVPFLQKRRTNHG NEUTE1DRAFT_101303 MSNARSSTPILAKLVITPCSQVQTESRNTKPGTSQYRAGEGRHA GISQEQEIPFTELDLSETIERSRLASFVPPAFPTPECRTTQNGSARPVFPQSVPRAWP PPPHVHAVGPQIATPSGPRASPGLSPMLLARLAPATSRPDCGHGRWVGTW NEUTE1DRAFT_82910 MPVPTLNDDMPMLEKYRQRSKFEPIAMVTLNPSQPHACPPTLSP TPPAVCKDGTASVELHRNLWEQPETTFQFNLEWDGFGSWLTG NEUTE1DRAFT_110582 MEDAAYSLPVGGHVQGHYSNPLFPSALVERLQEVHLGRNLNFGR TQQLGANPLRTTKRHGMLGILLPKFDISWWWRSEPNNDRFEIRSGNPRPISPSVAEAC VQRDWTSVYDTADNTVAV NEUTE1DRAFT_101306 MRDCDPFSHCHPVSEGSYVIDQNQNQRQRLSREKRGQGGIATRT SSEREMVTAVGDAGDSAVMPCNSSISGVYSSQRVKGGVIVSPYKPGLEP NEUTE1DRAFT_82913 MEWLSEFHTHLWKRAAEELNKNIKFINESDDPRIGSGRDGASGG TLSHSSGDNKDSASLSALGSTFVPVLVYAAICLLIFFTFRRISTRTYAPRTIAQLREP EHPAQTLPNGWIDWVKPFWKIDDDYILNNCSLDGYLFLRFLKILSVICFAGLAISWPI LLPINATGGNLQRQLDKLTMGNIKLPSKYYAHVVVAWLFFGFVLFMVCRECIYYINLR QAYLLSPNMSNRLSARTVLFTCIPKPYLDEAKLRKLFGDSVKNIWIPKNTNYLRGLVE DRDDVAERLEKAEIELIKKANTVRMKNIREGKGAPPFAGEPATDDPQSSKTSSFSLHQ SNESAQDGDLEKGTKPNPVRIRERRTEAPPIPVDETETPGLPGEDPGAPKKSQADDLG PEYQHPYGYPASLPDVRGSVAALFVRANDRPHHRPLHNFGRRVDTIRWTRARLKVLNR DIWKLRRKFRAGDGHPLSSAFVEFDSQASAEAAYQILSHHQPMHMSPRYIGVRPEQVI WSSLRIRWWEQIMRQFLMLALVVVAIIFWSIPSAAVGIISNIDFLSEKVPFLFWIPLL PKVILGVIKGLLPAVALSMLMAIVPAGLRVCARVAGCPSHALVELYCQSAYFAFQVIQ VFLVTTITSAASAAIIDVIKQPMSAPNLLAQNLPKASNFYLSYILVQCLGAGAGKLAN MSDLLRHYVATSFMVDPRKAYHRWRKLTPIHWGAVYPRFTNMGVIALAYSCISPLILV FAGFGMLFVSLVYRYNLIYIHDDSELDTKGLLYPRALLHLMVGLYIAEICLIGLFALK KAFGPMLLMILFFGFCAITHYSLSSALSPLLASLPQTLALEKQHGQIAEDGDGDDHDI NPVSQPTPSDPTSAQGGGGLAADYYNDTSMSTPGADIPAEVIGGSAADYYASNSFDYS DPSTDDPEPPGLYDDDFDSDGPQSQRMRGLEGSSSIRHSITEFAKTTLKSQLTNLKAS ANGTGPPGRLSPFTRFLYRIHRFLTPDPTIRNPNLIQRWLHPSVYADFRTLAKKVGNG PGPDGWELPKGYENKRGYYPPEMWKPAPRLWIPRDNGRVSRQEVRHNRDAGVWCTDVG CWLDDGVGEAPWEDGKDKRESTSSEDSEKGVEGESVKGAEAEPESKEGKAMDLEDRKK VNKKGIWKGVGKEAKKATGRVKPGRVRVRFNVEEGPMGEERWLF NEUTE1DRAFT_122647 MEIVAILKYGKRNLLPRLTQSFSRSIRTATATLSLLTKHNTNNQ TPAFDSAPTTITPQNHQLLPRDSAPLSSTALLGAVLGSICGVIFFVICCFFYIRFRAW SDTTMTASSRRNRWRRAHYYHDSSSSSSTSDSSTSASSRTIPRDVEKGEAWWPGAGVA GGAQRGYGYGQSNMYGRAQTQAQMGYGVGFEGGATGGNVPLGFGNVATGYGPGVAQAP RVPPVATTKWSPHFLHVRS NEUTE1DRAFT_101309 MSTLSSFFLLLVLSLATTCSAMPGASNSTGFNNYTMSLGQLSAQ QALCSCDDTPCPEKNTVCMNGACTCIHHEGCRADSDCNQYHVHCPKGQSMICQQMDPF WRNNVCICVKKPEGGCCAPAIAQNKRVDCRKVLTHCPYATTPYCSLDDEVKFPDGQCI CQTTMCDCSRVSCPDDGYLQCKEPGKCKCWT NEUTE1DRAFT_82915 MKFSTTLVATFASLSMAAPAHKRQADDTTSTDAVISDDTTTSSS SADAVISDVLPGITGEEPIEDTTTSSSSADALATADPYDIYRVPAVTPTFPMEQNDFT GIQGVQSISEDNDDDDDLVDAAISSLTGVTPPLTTGTATTTRLDADADANNDRALSVD DAFVSDAQAQAMIDAAIRYAQQLPNSVVPMPLSTGTPQIFVDPYLGNTTTLFSNSSTS AVNPAATTTTDGTDLVASLQALNDALASYQDLMNATATAADNVTTGGADASKRKIKML GDDMLSGIQQMAKRAEMAAAEQVQNKTMKSVLRQRGMMANSTMFANKTEAITSKTVMD KMGRNGVLERYVKMVAGEN NEUTE1DRAFT_82917 MSPNPEHGAPAPTDPPAADTEQKSDSSAPATPPPAPVPSADPKL FRQITQSHTGESDQDVDDIYKVSPLAALKLLSAGIETLVSFTGDIPPTPPPRSPTIPH MRGMDAEKQSIVRSNSEKNLARLLQQKSATNSPRRPFSPAPQRHPHPPPSREGYKQSQ SIDGVQLRTPNPTPPPGLSSSDAKSALEPYIIVGENSQPLNTQHSAITRKFYSRLPPP ISITDYLLRIHQYCPMSTAVYLAASLYIHRLAIIERAIVVTKRNAHRLLLAGIRVAMK ALEDLSYPHSKFAKVGGVSETELARLEISFCFLVGFELRVDEEALRGQWEMLKSGVEA WEGVEHDLYGKREVITLRNPPPGREGMQAEAAAEA NEUTE1DRAFT_64239 MSSQPPPLTQPTTSSSSSSDMYTTSFAFFEALFAAGVSHVFVNL GSDHPSIIEAMVRGKASPSTFPRIITCPNEMVAMSMADGYARLTGQPQAIIVHVDVGT QGLGAAVHNSSAGRAPVLVFAGISPITIEGELRGSRTEYIHWIQDVPDQKQIVGQYCR YAAELKTGVNVKQMVNRALQFAKSQPQGPVYLCGSREVMEMEIPKYELNQAHWKPVEL GGLSKGAVQEMAGALAGAKKPLVVTGYAGRNHAIPPALVELANTVKGLRVLDTGGSDM CFPADHPGWLGLRFGNDQSVAEADVILVLDCDVPWIPTQMKPSKDARVFHVDVDPLKQ VMPVFYLEAEARYRADPLTAVEQLTEKLKTDEELKKQLQSEEREKRWKELQAQHKQKL EEIAERAKPLENGEYGTGHLCRRLRALCPEDTIWAIEAVTNTLFVHDNIQPTLPGSWI NCGGGGLGWSGGGALGIKLATEVEAKAKGGKSKLVVQIVGDGTFLFSVPGSVYWISKR YDIPVLTIVLNNKGWNAPRRSLLLVHPDGLGSKASNADINIEFSPSPDYAGIAKAAAG GDLFAARVDNTADLDRVLKEAIAAVEGGQSAVVDCKVAMGS NEUTE1DRAFT_82922 MSSANFSNEAPSGQVNDPSYKTKGTEAVPVIDDNAPVEDGLLPE EADSDRQLAKDDTEAIDESNIIEEKTRHAKPKGTYREPSDEELGLNE NEUTE1DRAFT_82928 MRAWTLTSAGLTLSPSHPLPGSSPSIAPSATTQAQPTITDNNLL LKITHAALNPVDLHILRLFPHWLPFRRNPTPGFDFCGRVIGAGPDVDANEFTVGDVVC GALGAGDVFWGKGSLAEYLLVSSSLVAKKPKTWGGLNGRNEVSGEEAVGLFGIAGQTA VLMAQTAGVASDADWKGKRCLVNGASGGVGSILTQILKGKGAKVWGTTGSAEGEELVT QCGGKVVNYKDHHPVTAHLAELFGEDKLDFIFDCAGSQDMFSQSPGYLKKEGKFISIA GGPSQGVVPYVKNKLRPVWLGRTPREFELLLLIPGKKTVREVGRLVEEGVIERGLVDG GVWEMEELVKAYERLGSGRAKGKVVIRVGE NEUTE1DRAFT_64249 MILLQMINQGFVSEIHGAISTGKEANVYGAILHPEDGSAPIHKA IKIYKTAILVFKDREKYITGEHRFKSGSEKGNNRKMVKLWAEKEFRNLRRLYTAGIPC PEPIKLKLHVLVMSFLGDRKGWAYPRLRDAPISGDDADEQWRDLYIQLLGLMRKLYQV CRLVHADLSEYNILYNNKKLYIIDVSQSVEHDHPHSLEFLRMDIKNVGDFFRRKGVDT LSDRTIFDFIVNPKGPVEEPGMKETVEQLYQTRVEVEDTEEARAEQEVDNEVFRNQYI PKTLNEVYDIEKDVAKVNEGQGEDLVYKNLLADQVVHKTAEDQEEEESEEEEEGSGDE EEGSDDEDDESRFEKGTPRGKRFIDKDEKKQHKAAVKEAKREKRKEKIPKAMKKKLVN STSRRKH NEUTE1DRAFT_64252 MTLVLRANDLATSARQMHQEFVILSAQTAFLRHGILQELHILHQ LRRGMARAYDNGTRDFKHIIRTLDTADGRLEKIMQVLRKTTVENVFRQPGEEPKCLLD FVDPKIVEQVRDALKESIHELSAAKTSFDGDLLRFDTDLRTLTDAMAAAASLANPTTT APDGCPPDQRSIPNLLSALSEGSHLMAEHLSSLTRHFDMCVTAVRTTEGGAALARRRA AEATSSDEDQAPVSISGVISAQESESGPSAFEPMDPLERQELLHVVMRDAAEVDDVVA DIHNVLQQMEMDHASLHSLFSASRASHAATLTCFSLLVEVGARASSYVAAEAEFVQRW EDEKETIDENVSKMDELKKFYEGFLNAYGGLLLEVERRRAVEGKIDSIWRKAREQVDK LVEADKREREHFRLEVGDYVPADLWGVVDRPLGRWAVVPLEDTREGSYELEAHGEPEN EGKVESAYERETEPSTPTPRKMPLNGPGSAGERPF NEUTE1DRAFT_110592 MRLWAADEGKGEACESTNDTITLPLAGCETSYGYTLEAPMRGGY DYEHPSFDASNGWNKYVNSFPPGGLHVDPEPHYSFTLEWISDLHRWMEGEIPASHLPD VQDDDSDNDSIKVSFSQLISGLIRAWKKSHASRGYGLYIYWPGQTIVTVFTWEKKDMD ICKLLWEVQRGKIPRVKIQRG NEUTE1DRAFT_138219 MKFSILPSIVFLAFTTGALAAPKPILINARQNSQPPLTNPNPNA SLQPFLSSTSCSGPPSQVVIPVSYATLSPDTTLSQGCYEFIDSGVSTPVQSVRITGVW PEANKCLLRFYEEAGCAAESAFGTVAPNTCGSFVGGRGIGSYQVVCQST NEUTE1DRAFT_82937 MSFPLRLSTTWPADTNLWDNERVIMSTIWRELPIELVQKIFNHL IESFINECFFNDECEFDTEGNLVAISPDIGIKNGISFKTWNLRRESLFRRQRHLIERH FLDEWLTRVTLYLCLDAEFDTPFLRYFHFPESEDHHALTRLGPDNMVTFFLYKPEENG FVAAGDITIEEMMDDLLDAWDDYVADRTSSYLIVGVRARTWQQNVIQFHRGLDMYSLR KGSVDLEGLEVSEDGRYIRFKWEDFITGMVNWFLYETEETRL NEUTE1DRAFT_122659 MSWALTLELPSELIQQVLNHFIDDLLGDHDIDWISEDDIPRGEL PQLLDPWTKYRHIPLFSSQKRRIERHYRDHWLSRVMIYLYLDPNMYNTSRRMLYCRYL SCPEGKDLAMALPEVEVKEGGDGGAEADAEEKVTFFLRGAVRSARENSYGETSKREFG NVPEILPRVENAWAKVLKGKTERLGLALRTKEQTLESGPEDNLWTSESEVVLKGLEVL DHGRRVQFNWKKLMSKVLLKFGLYNGYTYVPEPGVEVSEDEDDWDESD NEUTE1DRAFT_82939 MQTYSRGYNVPPLPIRRKPTPLDAAPTTPHATHRRPQAYAPFTP QQHPYLDPPPPYAGPTQAQGQSPGSAFELIDLIDDYLDDFDDAPAHSLTAAGSGSHLR QPSAVPQPITFHKEQTTAFTTREVLVKDEKPPLLPPKVPERQQRPGTPNGQGTQGQTF QAYWPAANNPPSSLNLGEQATGRPVSSGTRGSETPRHEHPSVLQPGGGRGLSSPFHGR PRTAQDAHYGDGHHQHYQQQHQGHGYAYGLAYGNGGHQWPTMMDIPPERQPQGDYHSP HTQQHFRNHNNLKVQTSMPTLRPGPPLSSSSHLSPYPTPSPSAYAFEPPSAAPRSPSG PVPPLPQRAATTGHGGRFGPPPGLGNDEYRQQPHTPLTPHSPYPPSYNMSASSPNRCG SALPSPGPPEDPGTFGSGQAAWNGASGAGPTFDGLGFPGPPPGPPPPRAATTTAAIPF PPPPPPRLPGPPPQTLGFPGHHPHPQLAQAFPPPPPPPPPPQCLPERPHPHLQHAKTF AIAKPPHPQQQPQLHHSQSLPQLQLQQSESSKKEETKGFWSNALSETAYLASGLISHP FESTKHYTILRHSPAVIWHHGPKTTVTISIFSSPKYPLPALPSLPPAAGVSSDVARNG NGWSLWIQQRGFSGDSGMKIKNWLGGREDWVCVTPASKVLAEELESGSAGKTGGQGLL GLGGATEERGWKRDIKRTEKKLKKEFGDKKGHVARLTAVVRVPEGCEDGYFRVHLCVN PDGSEMDDEKRKKSEKDPQPLLEEQRKRTKVLCSSPIFRLASTSTDPSSIRGASLSTM PLEMGLSLTSFIASEMVASKIAPIKDPITKIIDPYRAHPIVEELGIIATEEVKAAREE AEEEEQERLRELFGAMCVVAGAGSAAGMATRRGPEGSQQGSGMPGVVGPDEGPLAPLP IRFGGKVVPGTGRSTAEMGIPTANLKDLPEEIKHYFKGVYFGYARIIPGKGGPIGPGG PMEEVWYEAIISSGPVPYAKKTAVIVEQQTTVHLITDFGAGVTFFGSKVEVLVMGFLH PVLDYRETGPQYRLDAAHQDVVLALASLNRPKWTAQSTLQRMEAAETNHDGERGLIGG FKKTKVVEKGQEVKEKISEAKDKVAKQIDRIPVHKVGIRKDDGKARDRYYGTGGYWIH RC NEUTE1DRAFT_64260 MSGAQVVDHGGLEDGIGVQTCQTPQILQCLQKFPSLEPHLITIT ATFLLLRLSTPASVSSSDNCSTYFRLFPISSTPSPLPPPPPVHLRYRVTISSPITYSS RIPNPADYLVAMGRWKELDTDADRLPENMTRIGYDADTQVYTYRDNTDGTLWEGAPGA TYGKLFPVKPPTPLPSVEVPEINNGTEPGYVLEEGPDHDPFGDHNAVRRDDEKPKRGY STRKRVDSVMDRIASIREKPDRRPSRREGRREKADAGYGDEKGVERRNTTKKERDGHR YSEKETLPRSNTTRTSRAASTPSWDPRPSPPQEQDGSSKLKREGTLSRISRFLTGKRK EADPDYDVTPGTGGASNGGNANGSRVHRWATGRRQRATTFDDILAGIPGQH NEUTE1DRAFT_64261 MAAGKQNQAAAKKGVAPRQQHYEFGGPIGAFGITFGLPILVHVF NLFCNDISGCPAPSLLHPKSLDLAQLKREIGWPDNGVFGLFSWSATLWTLAYYALSLV QYRFLPGHHVEGTELSTGGRLKYKLNAFNSAMCTLAILAAGTIAQGAEFPVWTFISDN FAQIISANILFAFALAIFVYVRSFEVKPGNKDMRQLAAGGVTGSLIYDFYIGRELNPR ITLPLIGQVDIKEFMEMRPGLLGWIILNCAFIAKQYRLYGYVTDSILFITAIQAFYVF DGIYMEPAVLTTMDITTDGFGFMLSFGDVVWVPFMYSTQTRYLAVHPQQLGAFGLIAV GAVLAAGYSIFRLSNSQKNNFRRNPEDPSVKHLTYLQTKTGSRLITSGWWGIARHINY LGDWLQSWPYSLPTGIAGYQILSAGSNAPGAITMLDGREVVQGEARGWGIVFTYFYIL YFAILLIHRDLRDDEKCSKKYGEAWEKYKKLVKWRIVPGIY NEUTE1DRAFT_146815 MHPPSPNRLLSSLVAFCALTGAAHASDDESSSASPSPSTTATTT STVTPCVATSTNGAFYDLRPDIAVVPEKGVKLARGTHTQDYQARGYDYGYNITLNICA PVVKGVEDVVGLTSEAWSKVGAWYEKGGKVHSLGQESGALKPRGRKLVLQYTGGSPCE PPDDHKDDKRKRSTGVHQGAAYSKYSDDQDEDRDDDQTDSDSDKRKHSNASKKKIRRK SATISFLCDRSPDTSTAVSFVGTDPDECSYFFEVRSQHACAGAEPHKPGSVGPGGVFA IIFFIALAVYVIGGIFYQRTVVKQRGWRQLPNYTLWAGIWSFLKDIFVILTSSCARFL PLRRGYRSLNTSSRGRYGNNDDENRLIDQLDEEWDD NEUTE1DRAFT_95082 MGLAIPPNTGHRSNDAVDRQSGQTKKGPASSRREALEEQILRDQ KKEETSHKHTYPTSTTTT NEUTE1DRAFT_82948 MRSTLLTGLIAGLLSQQAAAHATFQALWVDGADYGSQCARVPPS NSPVTDVTSNAMRCNAGTSPVAKKCPVKAGSTVTVEMHQSYPPVPTLTYKQQANDRSC SSEAIGGAHYGPVLVYMSKVSDAASADGSSGWFKIFEDTWAKKPSSSSGDDDFWGVKD LNSCCGKMQVKIPSDIPAGDYLLRAEVIALHTAASAGGAQLYMTCYQISVTGGGSATP ATVSFPGAYKSSDPGILVDIHSAMSTYVAPGPAVYSGGSSKKAGSGCVGCESTCKVGS GPTGTASAVPVASTSAAAGGGGGGGSGGCSVAKYQQCGGTGYTGCTSCASGSTCSAVS PPYYYQCV NEUTE1DRAFT_41133 MCRVGYTYKYCLNGENCHSDCGWQGRNGGLYPRGGYSVATERLV KCGPTNRPPCIRSRWRHDTYLDGRTSDEYCPACLRVGETSGDRWYYHR NEUTE1DRAFT_41847 MCQIWYKFHFCSQGASCVADNGWVNRAGETYVRPYAREIMLRRE CSGLRYRGCSGQEYVGGDTNESDNMCPQCESASRSHGRRRRR NEUTE1DRAFT_43041 QYLTVAPLQHKTHHRGTEANYCAQGPRCRGGYGWVNSQGQSYAK SYAQFVRIRRCSNFERGYDCRQRRSLGPGSETKTSEETCLICQLW NEUTE1DRAFT_110599 MAEKKRSQFEVALQLRYLGFILSVVTEKYSSSKNERLIDVLESA PHCTSHPLSATAQTLTMLHGKTTTITLPLNHLRKQYNKDGEQTTMKHANMSSPGKPRI QQNSK NEUTE1DRAFT_110600 MNSLPSIAANVPSTQQKQLISRLLAVKPCPNGPPYTSSLQVSRD DPYPVPGPNDVCIRIRAVGLNHIDWKNLEHETMVKKWPEILGFEIAGVVELVGMGVQE NELRVGQAVFGLSGPVGMRRGPPEKEGPEEHGPGEKGKLEKKGFWLGRSGGFQDVTCV HKSWATRKPASWPFQWAAGVPLAYMTACSAVVCGLGIRLPFLANSEPARQGSASLLNL ESPTIDTTSPKDPSADELKDHPANESGVKSVLVLGGSSGVVSSAIQLLRMALGPKAVI IAATNSKKYYRELHERGATAHLRGEFEGLIEKVHCLTGGKGVDAILDCVLGAACLDDG PFTPSGPPIPSSSDTDETTITNDLDSNTTVKDEKNLKAMPFWGVFRKDGPKLYAEVAT GRKVAVPTDVGIKATLIFSRMILDQPDGERALQELPALIESGKFVFPHPGGVKVVGKG LESVAPGLAELRESSGGMKFIVEL NEUTE1DRAFT_101331 MRFHLLSGLIAFAGGLGVQSVHAAGLAVVAVQPSAVVIPTDARP TDTGPLQPTIVTSNTFLTSTVSDTESGSTLTIPWPISPIPSSSLSVVTLSPSASATLV SCPRDNNTFWTDHATNNVYQLQCGIDHYDQAANWNFNAGMRHSWEECAKWCAEYAQCL GFSYIAGIALKPNCWLKNSLRGQTINENSWAGMLVTGGKSVATNTVAGPVKETSTVLA VAVDTTTMFVEGTAVTTAVTTVVTTELVKPVAPATTLSVSQASSTLPNPTAIAVSCNN ETWWADEKASWRAIDYFCKGGHDGNFGSYAVDKMLHGENSIAAAYWPYNGTTVITSVV AKAGCTVRLDKNLCLQRFRAPMYGCDADEKHQKRGGSVSDGCSDWTIHMQSWPSVGIC QDQYDQDMGGAGLCATWSTGYSETAVGDRLKIDWYPRG NEUTE1DRAFT_82952 MHFRYALPWLSIQTVLAVSLPFADIDPSTQLNKRSLAYSEPHYP SPWMDPKAIGWEEAYEKAKAFVSQLTLLEKVNLTTGIGWGAEQCVGQTGAIPRLGLKS MCMQDAPLAIRGTDYNSVFPAGVTTAATFDRGLMYKRGYALGQEAKGKGVTVLLGPVA GPLGRAPEGGRNWEGFSTDPVLTGIAMAETIKGTQDAGVVACAKHFIGNEQEHFRQVG ESQDYGYNISETLSSNIDDKTMHEMYLWPFVDAIRAGVGSFMCAYTQANNSYSCQNSK LLNNLLKQENGFQGFVMSDWQAHHSGVASAAAGLDMSMPGDTLFNSGRSYWGTNLTLA VLNGTVPQWRIDDMAMRIMAAFFKVGQTVEDQETINFSFWTLDTYGPLHWAARKDYQQ INWHVNVQGDHGSLIREIAARGTVLLKNTGSLPLKKPKFLAVIGEDAGPNPLGPNGCA DNRCNNGTLGIGWGSGTGNFPYLVTPDQALQARAVQDGSRYESVLRNHAPTEIKALVS QQDATAIVFVNANSGEGFIEIDGNKGDRLNLTLWNEGDALVKNVSSWCNNTIVVLHTP GPVLLTEWHDNPNITAILWAGMPGQESGNSITDVLYGRVNPSGRTPFTWGATRESYGT DVLYEPNNGNEAPQLDYTEGVFIDYRHFDKANASVLYEFGFGLSYTTFEYSNLKIEKH QVGEYTPTTGQTEAAPTFGNFSESVEDYVFPAAEFPYVYQFIYPYLNSTDMSASSGDA QYGQTAEEFLPPKANDGSAQPLLRSSGLHHPGGNPALYDIMYTVTADITNTGKVAGDE VPQLYVSLGGPEDPKVVLRGFDRLRVEPEEKVQFKAVLTRRDVSSWDTVKQDWVITEY AKKVYVGPSSRKLDLEEVLP NEUTE1DRAFT_101333 MANAIKKEQVTYEDNDISPQPLPLGPPSFLGSLPDSTVKVIHEK DYTSHWFVPHHGKWRELNRGPMESLLLGLQDLVSSDAEYLFMDFVPR NEUTE1DRAFT_138231 MLPSNLIGIYAEYKRDTNAIASWLASSARICGYPTEKLSLGSWN SSPSTEAAKPTGRLKRKAREQAQQATSFTATSITPPKPYTLAVADFVPLAEFIVRSKR PAISVSPSVISILNRAISARSNFGQLLGEQQDTILDPQSDATHQHFVEVLEKVRKILL SKMPPAIPTADEVNGAQHLSNQFGLLRIQQPSLNVVDADPSIPKTEKKDNHPAAVQED KTEYIAEEPSSRSDAVAAYCMLFQDITIIRGAVMDIWAGVRDGTTDYVAASIATDTAI GMIRDIADTMTSVMKPHEGIIHIMRLFHIVRCRTRGIDMAAVRFEDRENFLHDTYELA LHNLMLPSMTLSTLIESSTTDRVGCCLSLLEYSDEDAIYGVGWDKDTRWLNLCVSECT VLAEGFEDDERLYPVRDMFVQGVQETMNTKVVPFYAIIAGQLNLDIHHVLGKKTKDVA REALRELSEIRKDVPSILDCHGEDSYLEHQLKLLNYVLSDPVKQVKDWSLEPGLPVAK AHQTLELLPVLTGQLVHHYRVAIYRFAIRIATVMGSIQYATQLYHALRTESLVKAEWP DLDHARILLGESSFYVGSLPKNIPEYMLKFSLQAGLSPAAKARQGRSKKKSKRQAREA DRSQYTTAGPRMIADGDDRLPFSKKFIKLHESKWKKELEAWSRELLRDIIATVNVEPI TLSKSEGNSWSSNSQEEESDSRLRCVLLKLAETLHNESRSLRFPLLKVHQSSWELLTT ARDKCGPMLRAYFREPDFEDDELTWFITGYIFSAACRAHDKNRIGLPLKQSPDLRPLK MAGEVCERLLTTRRDFGTRALRWMEGGGQPPQQVVEDAVVGHEAIEDTCLNGTGVEDK GPGVKCHEITGPSIMPTKQGLRFRIFTGQVLGRRRLIQVNQTVPLDRDVEYEYVRWSP LSAKMDA NEUTE1DRAFT_138232 MALVRYLTPHLAAGLIVTGAVLASPFSNAVHDAHIATGFVSELT QNITAVHQEHQQIHRHALVCSQSSSLTVDVGYAKYQGQQNFATGVNVWKGIRYATAAR WQPPRLPPPRPNAPITQATKQSAQCPNSSPSVGGSPQIEDDENCLFLNVYAPAKAQNL PVLVWIHGGGYGLGNNVQDMTEIINANNQGFLVVSIQYRLGAFGFLASQEVKNTGVVN AGLLDQAFALAWVKLFICKFGGNPLAVTIAGESAGAGSVMYHNLAVNGTLGPLLFDKS IANSPYLPFQYNYNDAIPTSRYYAFAQAAGCPSSGDVFACLQSKDSATLQQANYVVNK QAPHGYWAFYPVTDHAYIMSLASQQLADKQVNGMKLLVGFNANEGPLFVPNNIDTESQ LAEWLKVEFPKLSATQVNSILIANPNSSPTNRAGPFFETNGLSGANALNMSGGANGQQ QRGYNIYAEATFVCPAYWFASAFIGSSAKKAYTYQYSVPFARHGTDIAGYFGPQTENQ SNDFVLAFRRIWGNFIMTGNPSITSLLANGQSTGDTSAHPVAAWPAWTESAPKLVNLN ETGGLQYQAPTQWGFNVTQSKEPGLKNAMEVVDADSWEGGRGQRCTFWKQLTPNIPA NEUTE1DRAFT_117179 MQANLFKLLAIAAAFSAVHSAPVETAEVTKAQVNGTQVNGLQIN GTQINDSFRVAGSRKPAIRGTSLISAKEERREIFSSGLAGAKPAGTTISALLGDVGSA ICTLTPAATHGLLAGSVEV NEUTE1DRAFT_117180 MAGEPASSMTTPQVATDQQPVSDDKSLSDIRRSMKRDGQGISAL GLDGVFRSFDAERNVLDAVGLNPAQIREYYDGLPLPERLRTADGRNVSRWDMFHPDAE NVPKIFTEEEKARMLAHNEEMVKRGVTCATCVPGKSVDERGLKA NEUTE1DRAFT_146820 MTANNQQRLYDIVPIPNKGTGVIATQDIAKGTRIMAEKPLFIVP TNVMERGNQVVEKHLTEKLKTLDKDQQRAFLSLHNCHGTTFSPFLAICKTNMLGLGSP PVGGGLFIEASRINHACNPNTQNSWNSRISRETIHAVRDIKKGEEITISYIGHFAAYV ERQSILKIKFNFDCACELCSLPPDQRRASDERLATIHRLDQAILSAGSNVKLGLGMVR RMLSLLDDEGTYNSQVYRAYYDAFQMMAATDDKARAGELIRMALEHARTVEGNDSETV KNFERLAANPTRHMAWGLYGRKKTQETAPADKDSRAFKTWLWME NEUTE1DRAFT_122669 MTHLPPYGARDVHRPVVPQTKLQEDFFTWNEAEIASVSPPVFLP PTPLSPPIIDPFPLLSSDLTPRKLKRLLQAPEINRPSHFRHLHPSRSQELTQQPSRSY FSRFGSKSFGRRLDPPASSSYHSSLNPTGVAPLLIGFTRNWPLLLQCVSSYIAAGWPA EEIYVIENTGTMDANERGLLGLQNPFFMNSTQLGMLGVTVISTPTLLTFAQLQNFFAW TALQRGWTEYFWSHQDLIVFSYEHRRPSYSPAAAAKPDKSSNTFENNEPEEYIYRSLY ANALHTLRQYRDPSHPKWAHHFFSYDHLTLVHRDAILSVGGWDTHIPFYGTNCDMYTR LMWAGYTQNESTAPATEAGHILDVSTVLDDIGALFRIPGIKASFPGDPDPPARNQKAE ATSAAAVMTKDTSEITEAETHANLLSTACRMERAKYARGNGYEGRTKSWQMKQQGGQG EPFYRDAEGFGTGVEMWIETGRAVYSQKWGHRGCDLAKKWAAGKDKGNGNGLNDAWRV ERDWDVEEEGNGGTGGGW NEUTE1DRAFT_82959 MPWQPLPRIAFAVATYPFTASSAADLPLEIGDELYIIEETPDGN WLRGYLVAPPSLLAGLTSVNGQTLEARVFSGIFPRSCVEVREVLGESDETDDSEPDDG EPNGEKKKRRSERVTKEGRLSVPVQRDPNAPRPPAPVPMLKIGDETPTSAAEPLIDEI ASCLREWHSTNLHGLLLSRQYETLDTLSKLITSLNLSRQQFLHNVLTTWEYDNLREKT VWDLVRVNKLCGGEVIVRDPNARGRVMTGDDSVVEITQLQSVMSLLDEPPTTTPELTA LHHLMVDIKMFAGASNESTTLVLYLATKAPGGRVTPLSESYIVDVPAGGQLTNLARTS QMRTLFSDLSSADVGDVQSSKSELLLVVKIRAPQSVVAAKPSSRSGAPSNSSPQISAK ESKPPLTSGNKALRRSMVWANKNTRGAFTRKNSDLEALSEQAEEQQTSNEALESRDGG PPSTANSNRAGRSSMDGQSPPTTADRTVGVGVLKLNSIMKQQGEEVEHVVNIWAPCSK FPSDRHGDSEEWDPLIKEICDIRSGHHERSRRAERLQIRLRSFNNPDADALIKMTPTV LSGICKTNKMGFSGAPTKPRSDIYVTIDEAILTRQTLLSRYGASATALPSSIHSTNLQ ITLEVRRANGERIDYCIYPSSKDEGLSTWRSTAVDRGDPWKQIVKLVLAPQDVHQSHV VMYLADAPNPPFAVAYLPLWDQQAFIHDGSHNLLLYKLDENTSNAQAPAGGKGGYLSL PFASNFCDEHAEVTGPLAVLRIDTYLCSTRFSQDKVVLGLLKGKDLPQEEVPDILKAF IFVPEIEVVKLLNDVLDALFGILVHYTGHDDLEDLVFTALVRVLDIVHDRRFNLAPLV DQYAETRFNYPFATPCLVRSFTRLLSKPTEPETSRKLRATFKVVRHILKFITHARGQQ KEKEAGIGITTSNPGFTRHLRTIFKALDALMRNQAPVLVGSQTLAVQHFHTWLPELTG LLTTEEILHIAIDFMDSCSSVKGKLVLYKLVLIINYSKLDIFSHPEQRSALSANTVRW IAPHWGHTDEVTEQWREQVRLCCSVLASQVEHLGPEIPDYIPKIIQSYLAIQAAEKTP KNRLSLLFPTSYPFPAKPTAEEVVFDEALIELSAVLSALSNSPSGMQLELAEDDLNIL VESCLRVLMSILQGETFPPNWLSVHIFHHKSTMRMLQYLATLLLESFLPHPDEAENFD TELWKMFFTTLLKLVGSPSLALETFPEQKRRAVWKIAGDVREHGADLLRKTWEAIGWE TSLEERARYGLSKMGGYQVQYVPTLVGPIVELCLSVHEGLRRMAVEVLQTMIVSEWTL SEDLSVIQMEIIDCLDGYFKEKPLTESILQKLFVSELLDRFEPLSKIPDEPLYTAIRK LMGTVDEFLDLLVAVHGGDGSGETSNLVHRLRLMEFLRDMQKEEIFIRYVHQLASLQA SARNYTEAGLALRLHADLYDWDPLKTTPALDDPCFPAQSHFERKERIYFDMIRHFEDG HAWSCALAAYKELQAQYESNIFDFAKLARTQRAIATIYETIAHKTDKLIPKYFKVVYK GLGFPPSVRDKAFVFEGASPTERTAGFTDRMQEMYPSAQIITPSYDRDTAEYDVEGQF LIISAISPHRDLTHTVFQRARVPQIIRDYLISSSPQTFSVSTKRDTNGDVRDHYAEKT LYTTAEPFPTILKRSEIVEIKHVRLTAKETALERIVRKTGEMTAVEKKVAEGQAQGGE GSDEATVMLLVDAVSISVNPESEASVSRYRELIPGMASTTPITIRCGSDEDEDEEDAP PEIDAQENAIKMALVDHAIMIKRCLATFAKSPREMVRKHGQDLQKFFERTFAPEIAIF TPPPARAPHPSPGSERTGGITPSPTWPRSPGPSAFNSATGQHKHHLSATASLANGHGL GISSNAQGHAHTASETTDVLGEIVVSTGISQPVSLRQGRGARLSFLGGRRKDGNSSTA HQLSSTGSIPHLLNGSEHNLASRSKSHEDMHTGSASATVMNTPTTNGDRSPSAPSNVI QSRKEQQQQPTDNTQEGYGSIGKANRRSFLRGFSSSDKVHLINAINGVADSVGGNTDW QTEYSSPSISQPNLDSPLQSQSDQLDRERERGAAAAALNGVSVSGYGKKEKKSKKDKE DKEEDRDLKELKDKFHNGSASVKKRLSMLKLGNMKKSGKGDGKMGALDEE NEUTE1DRAFT_117181 MDSTSHLKDLEEVAWQFRTDERTTVTRNWQWFFSSWDSGWDRVS YCRGGVCRGFGRRASMSCPVLGSLSQM NEUTE1DRAFT_110609 MSSLLSSGYAPFLDSVTLQQQTPEKHTPNSSTGGNLHLPPPPEH EQSKKQRPSDRWKSYDDWKDNGPMRNHLERFMSSINKDGLKSHVEQLLHSPVRLSDRF PAGQHWCCFEFSTSDERRSVIARVRLPKHPGWRQKTDHCQ NEUTE1DRAFT_64282 MLPKTLLGLALTAATGLCASLQQVTNWGSNPTNIQMYTYVPDKL ATKPAIIVALHGCGGTAPSWYSGTRLPSYADQYGFILIYPGTPNMSNCWGVNDPASLT HGAGGDSLGIVAMVNYTIAKYNADASRVYVMGTSSGGMMTNVMAATYPEVFEAGAAYS GVAHACFAGAASATPFSPNQTCARGLQHTAEEWGNFVRNSYPGYTGRRPRMQIYHGLA DNLVYPRCAMEALKQWSNVLGVEFSRNVSGVPSQAYTQIVYGDGSKLVGYMGAGVGHV APTNEQVMLKFFGLIN NEUTE1DRAFT_110611 MSSLENSSSKPSPGGTRIGWPPQWTLSRSRKLARLYVYTTLSIG RIIKVLGDDLFAPRKNSAQKTVHKMLDHDPRHLRPESRVEMDQRIDSLSKSTIRKRTP GNITSRLHPTSEVQRRISDCSTLYAEKVCTLLDNFTIASGSDLEHIQRHSWLAESCEF PVTDIGAVGVSPTPGFAVPGDFLTAHTLNCAELRASGHGSGTCWCAIVAETTREEGSW LIPTGEIRDKVSDLVRNPSPEAGNALGFTNTANQTFLHVLSPEWFTNVPSASSSLQRL LDVVRPSNPDIFHVTDVYGRTFFHRAASLVADREVLTDILAYCNIPILLSRDAFGFDP VADVQPDPTVLQPESTIHRSPTSYSPRQLPIGSSMPYRVPSPSVSVSGIPSRADEGPF LAYHARLIRIIHSAYPNPLVEDSEGRNGLHCLAEAIINQQSMDKQRSALSTTSSSRPH RRSTHSSLSSELTPIHIIQSTGHTSHPSPVTSTFEIVTENEDPLPSRLRHLRSLLHPS SEHNAVGVSPNAYDKSGFTPLMAFMQHIPDHEDDKSRTLQSILETLIRGGASIEARNR RGETPLLMAARLGRKTALSVLLEQGANMRAKDRWGRGVLEVLDWGVLECNGSASKTQE ESLTGREKGIKEREELALYARLEACRDLLTRRMVRGVGYVSEKEEDGMGVVGEWRVQG RLETDAEEREQQIIIHNRTTPSAYWSGPHYRYHTVWVYFHSTVLCGVYAQFVSMYCRA MIH NEUTE1DRAFT_138240 MSPELLYLCKPAAPAQSGSMFNGTRTVRQYNVSQSGCDASGSQA VKNTFDDGSLQHQRLAMFESRHRLDDGALYVRFQDSTLPTTANSDEVARIAFHQNRAA EAIHQFDNTFDSNK NEUTE1DRAFT_45744 MARSEEAQAFFHAVYSAVQEIPHGKVTSYGHIAKLVGTPQRPRQ VGVCLKHLPIDPSARFNNENVPWQRVINAKGVISPRSQPEGSRSQATALEAEGVVVTT GALGELMVDFAEYGWFPRILPSEEGEELESTDSEEGESDEE NEUTE1DRAFT_64286 MRLFLVRHGETVDNVAGLYAGIRDSPLTTHGVLQARRLASHFAS RSSSIGPVTHIFSSDLQRAVSTAQAIVDAQVTKATEKEDTDHSKLQLVQVVELRERDF RSAEGKRFGTPHSDAETHDEMRARATDFVQVHLDPLLDSISNGDNCKTNTTVVVVAHG LILNSLLRSLLARYAPEELTRLANAKLSSGSVAGRSEFLAAWSNTGYLEMKVDAIASS EETEKSTVQLTVVRVNVLDHLHGLKRTRGGIGSAKFDSKQKTMDLFFKPAAKKRRVG NEUTE1DRAFT_64288 MPKRKVAALEKVDADLVSLQYKIRRDPKSYAQEFYDQWLAYDAQ RQIFISSPSSASSEDNKKFHDLVDLVAHVADLYPDVTAPYFDHLKELLTQHHAVLNPD LREKVVGSLSLLRRKDVIDSTSLLTTLFPILISTPSKSLRSLLYTKIVSDLRESNSKA TNHKLNRTIQTVLHNLVTSDRTSTKGMWACRITRELWRRQIWTDARPCDVMKEACLSD NEKVVVGGVRFFLGGDKEREDMEDDSSDEEIDIKKVKHQGTINKKTKKRQKAMEKAME KIKKQERKKHAPHPLNFSALHLIHDPQGFAEQLFQKHLQNTKCKFSLDNKLLVLQLVT RLVGLHKLTIISLYPWFTKYLTPKQPSVTSFLASLAQATHNHVPPDAIEPLVVKIANE FVSEASAAEVASAGINSIREVALRQPLCMSETLLQDLVMYQKSKDKGVVMAAKGLQSL YREVYPELLQKKFRGKEATMGLKSGEVKRLKFGEEQVESGIEGIELLEKYKEEQRLKK LAEKGEDADGDAKMKDDDDDEDGFNSDEWEVASNDSDSSTGWINVEHSDDEDEGPAAK KQRRDSSTAPDLISDEAMAAAAEANRISKLAMTTILTPADLAKLAELRREAQLDKMTG TVSKRRQELIAKHIEDGVTADDIELPAQIGKKSTKEERVAMAKDGKPAREEHKSTQAI RKSKKEAEGKSSTNKEKARKKNFLMTVGKARAKHKRSLVDTKKRLTAHIARSKSGGRR RNGM NEUTE1DRAFT_138244 MKSHVFLTLSAGLTQGLAAPYIERSTRELTGHKTITTTASAEFH IDGLPGLPKPIFSTHTHQGHAHATETAAVAVPTGGYGASSTATEFDFETESIVTEVET DIKTVTIAASADAITASGFTNSTAAFVPKATVTVTPVVVTVSADPTSHSSTYGETSSN IVRPRGLQVEQQAAPEKQYTTLTFTFGTMATTYTLLLPNSGEVTDTPAPVIRPTRSYP LAPPSGTWTEQNTMVTSTILGVHRRSAPSSPVLPIETSVSIAPGYHVLPIPAPAPNPL EEAALSVTTPAPSMPRPKEQVRTTILNGGEGAVERISKVGKGVQAKHLEHEGVQAGKQ NDANDEPESTHDLSWYFTASYTDDSVSLTMQPCYYDWQWWPEPSSSNTEVFLPGVDGG RVAGPTQI NEUTE1DRAFT_82972 MGDNFQPPSPAPAQAPEPQQKPTPQNATLLQAFEWYTPPDHAHF LRLSSQIPQLSQHGISSLWIPPSCRATSPQSNGYDIYDLYDLGEFDQKGSVATKWGTK AQLLELARNGKEYGVGLYWDAVLNHRFGADHRERCKAVEVDANDRRVRVSGEYEIDAW VGFDFPGRGDQESTMKYHWYHFSGVDFNADDPGKAGTIYQILGEQSQGWAKSPEDVDG EKGNYDYLMGCDVDYSHPEVVDDVLNWGRWLAKEVSIKGIRFDAVKHFSESFLKKFVK MLDGEFGEGWFLVGEFWKDSLQSMTDYLDRMDHKFSLFDAPLVYNFGEISTSVSADLR KVFDDTLVQKAPVCAVTLVQNHDTQPLQALHVPITPWFLPLGYALILLREAGYPCVFY GDLYGLCTPTSDNPSPTRPTSGPVTIIPKLLLARKLYAYGLQTDYFDYSTCIGWVRHG TWDRKDKCAVVMSNAGEGWKWMFVGVECAGQQWTDVLEWREEVVTIGENGWAEFRCGG CSVSVWVWEGAKGRDEFETQFDFDIYAASTAK NEUTE1DRAFT_82975 MARVSTLSPLRIFLSAIFLFSAHVFAVSAVLGVDLGTEYIKAAL VKPGIPLEIVLTKDSRRKEISAVAFKPSPNGPKKGAYPEREYGSDAMAIAPRFPGDVY PNLKAILGLPTGSAQVREYAERHPSLKLEAHKAKGSAAFKSAGAFTEEEEAWLVEELL AMELQSVRANAESLAGPGSSVRSVVITVPPFYTTEEKRAVELSAELAGLKVLSLVSDG LAVGLNYATTRQFPNVNKGAKAEHHLVFDMGAGSTKATVLSMQSRTVKDVGKFNKTVQ EVSVLGSGWDRTLGGDALNYLIVDDMIRQFVESPTAKKAGVTLEAVKSHGRTIAKLTK EAERLRHVLSANQNTQASFEGLYDDVDFKYKITRAEFEEMAATHAQRVSAAVKNALSM ASLQIKDLDSVILHGGATRTPFVQKELESFLGGADKIRTNVNSDEAAVFGAGFRAAEL SPSFRVKEIKITDIAYYPAGMRWKNDEGKPKHQRLWTATSPLGAPAKEVTFNNVQDLS VSFYQLVDGAELDTKVFTTKNLTASVEALVEKHKCEKADIKFKVGVRLLSENGEVDVT KAAVECEADEPEKDGFVDGVKNLFGFGKKDKTEGEEDSASASTESSTSTSFSAAASAS AETKPSEPKKKQLVQINVDFTLTPTGPTSLLSKASIQALKDRLKSFAASDRTRQLREE ALNQLEAYTYKISDILDRESFIAHSTASEREALQQKKDDVSDWLYGDGADATREEFKA KLNALQNIVDPVLKRAEEAEKRPEILKGLQDALDNTNKFVKDIREKIDAYDAFHASAS ASASASSSIATSSFSTTAPASSATGDFDGLEDDASTTTATREDPMKFLEKELGPVPPL YTLEDLKESEDLYTSISTWLKSKIAEQEKLGPTDDPVLTVKDLLERREKLDKAGMALA MKGVKNFEKTQAKGKGGKTNGKAKASGSKAGGKKNGKGTKAGEKPAKETVSDEEIEEM LRKVMADEKAKEEAKAQKGEKESKEEPVKHEEL NEUTE1DRAFT_138247 MEFSIFISALVFLAAHSLAAVVSPGLPHSQHHHSRRDPKSAASF SLAAHYNAAFHSAHHDQPSNHGLASYYRSLSRLPNINLDSIPLGLKRVVSGKPKGSSS SLPATPFWEEREYLTPLTVGTPPQTVIVNIDTGSISLWLLSSETFIANKTLRVLYDIG KSTTAEKVVNASWATHYEDGSYAAGNVYHDTLCLGNVELPKAVIQSALEVSLDLASDL AISGIMGLAYNMSSDVHPLGTETTVLEQIFERLDQPLMTIDLRTHANGTYTFGSSPAS IPATAGEKGRAPYKGEINYYPLSPSPYWQTTYAFFSIANSTYQSPWMNAGGLEDADTE PSTRLTPTSSTSPPTTTPTDSLPDPNVPATQPLLAREAIIDTGTSNIMLPDAIVKSYY AHVPGAVFRTEYNTWTFPCDLKVPVHTRSGPPPTSADSSDEEKMKPEPVLPDLKIAFG REKGGWHATIPGALFNYSVIEVKEGHGGSSSTTAARPASLERFVGGDGRDDMAEKEDD NEPKMITTCMGGIQNNMDMDISVLGDIFLKAVFVVLDMRGRVGFADKEL NEUTE1DRAFT_64296 MSSTTATTTTTTHNNMTDEELDRDWKPNGRRPQSTIARNFSQEL MDIFRIDKDITDLDDQVDKRSVSANANAKASATIDIDLDTRKQEVDKKTSELEALEAR IREMEEKLKSQYPAAAVGSSAQPSQIHTQTQHVKSRPGTMRQSQQAPGSGAMPPTPIG SEDGDRERGH NEUTE1DRAFT_130129 MPVGDATPKIVAPIHLLPQRRDSPLDLRRGGVWGMTTTSRDAYT PLESLFLLRGIAKHGLDQQAFHRIADLLQQNHLIKLAPSYDAARLRPEPLQELFLHLL WDELKQEHDTPGPKPDGDLSPNSKRRRLQPPPLPTLREAHQYVPKIESLYRKLEQTYV NQTLQEIDQYERHYDRLQTEVRVLEQAVARDEEQERRQKISDGVPAQDGPVAHKQSPA LGSVPSPVAVQGPGARLPNGASHGPSPVASPRIASPRLPPPQSQSPRTPSTPQLPIPT PPPPPPPPPPPPPPPPIPPQLPPQVSAHIPPRPEVARHASVPSPTTQLPPVRSSPAPL PPTTLPPTLPPTTTAALQPQLVSSPRLGHHNIPRGPITSGPGSPRPMTAGSGIPHVLQ PPASFSQAPPQPPQPPKPSTPTGGLPRLDSIAGPSRQSPVLQTAHHPATTLPQPQPLI PGQAQGGQLKWEPPYQPQAGHRSILGPGQQHPHYSPVSQPAQPLHSPRLPSHPPPQQV QQHPVVTRPPQPPPMKPQVLIPPQTGQHYAPPLQPAPNRAMSDSPGPSPVRQPVASNA ATGIPRANYQQHPSPYPPYHLPQHLQQGAAVSSPPQSPSAIPPRLTHGLAAPQQQRSP PPLQPQQQQSPQHQPQALQAPSPFSQPVTTSMAPSPSLAPIQSEVQRAYPSPYPPQLP QPSHPPQAHPPQAPHPPQVPHQHPPQAHQVPQVPQASQAPQPHVPRAPQVHPTPHLPH PPQAPLPQVTQAQSPRIPPITHAPQLPVTHAPHVPHPPQQQQQQQQQQQQQQPQQPQQ PQPPIPQHRPRSHSAATTYSGPRFSPITSVTTTLVPPAKLGLGTGTKWVSAASASTPK LPDVRSGGDEIRPPAFEPLSPVQQPAVLPTARQDAARPSPARQPTNETSRARGSIPLV GPQPTPASQARQQAAQKVSRPLDKPTASEQPSTVKEIARESAKEPEPATSVKNEVDTP RATMDTGDTTADESVAGKRQAARQAKRKRDELSPTPAATPAEATPNGNATPANEKSQQ ETLATASATPPDAHRVLWSRGFHKVSASAMEQIVRHRSANMFAAPIREKDAPGYHKVI LQPQDLKSIRAAIAHGNRSATQAAAALPGGDPGHMLVWLPQMEELVPPRGIINTAQLD RELAHMFSNAIMYNPDRWHGPGSSFLAEREERTDDNNQAGGHGHGHPGDVLGYKVDEF GVVKDARAMFSEADSLLADLRVAEDGRVKSMMEDGTLQKMPSVNGSQNHSRQASKGGE KAEEEQKEKDKPSGDGDKEKDKDGDVSMIGDKEEEAQVDGKVDEEADGEGTATETETA GGSNGNPSKRRRVTRGEKG NEUTE1DRAFT_82979 MEASSGLPDSPKEPPVIGGGDDHSVNLLTISPPDPLQPISHNQQ REGPSLLSPKDGRDNSVAEKVSQFNSMALHAKQQERKTTDAALQRAVLGREEAEAEVR RLREEVKVLRQQVEEGKEREKKVSHRLEVVMEDYGRAKESLAHTQAIWEKEIRKARKE AFKAQSDIVELQKQLKSTRTALKTAETELHTSRAAQKAAEEELEQQKGRTQAREQEAI EARNVLAGLQEQLNKALEHINTLEMERDAFKALAKSEELARIAAEGKIPLPESKDVEM GGMEPPQPEDDFAALKSYIHHTADLSHSATSESEIEELKELWQWEKQRADRALELIAF MEVECNLKMCAGARAASKRPSIGGMDGAKRKWSEISPHKVTDAGDLVILRDASPSPCG DKVSPPSAKRTKTEQLRAESAKKSGQKSKVFLPEQGIFRTVSQEELDKLQVPAVPVNG AKEREGLYKRQRHQRTTDQSSRMADSRMGESQAWSEMQDSVMSRHRRTPDSDGVPDYV LDSERASLQSLLTAPHKRYAESISSDVMGNIPTIPASSVISSVMSGSVQTITASTATA TTSIQQSHLNWEEPVLHSNSQEQKENVPPPQPRENEGAEGDRANSRSSHDSQKSQPQP PNHTPGPASRHIDHLSESKLGYSPSSLAHASMFGSTMNSSTITTKVPLKEASTNADLG MIFRTPSKGSVASATSENAMDIDGDINITKSRSESRGELPVSREEALAMIRERRERGR SREIKSRPQSPEKRPLSPVKRPASPEKQRPDSPEKRDRDRDPTPKKRDASPNKSGRAP SPNKRPESPSKRAPSPNKQRNASPTRRPLSPSKRTRSPSKARVISNDLSYAGVLKKST DVMTTPGEGTRSFSAPTAATEARAAGKENQRGLKS NEUTE1DRAFT_64300 MADTDTVRRRKPESKTPATNEPGHDTASATEDSDVEEIIRPGFP PHSESRNGGKKKKAPKRRIEDEDESNPWLLDILRVISFLFLASCGLSYLISNGESFFW GMSHPPNYLQLEWWKSQLRGPIYLTPAELAAFDGTDESKPIYLAINGTIYDVSANRRT YGPGGSYHVFAGVDASRAYVTGCFAEDRTPDMRGVEEMFLPLDDPAVDNKYWTPEELK QLKRKEMEEALKKVNDALKHWANFFGKSKKYRFVGYVKRDKDWLKKEPVPKLCEAAGR GRTPRSPPEEEKKKH NEUTE1DRAFT_82983 MHYTNLLFAGLATLSSTMTVTAQKTHVVSVGYNGTLVFSPNKIS AEPGEAIQFQFVAGNHTVTQSTFDNPCQPIAMHSNVTGINSGFMPVAAGLAAASAKDG KSMNGTTSGHGGNVPVYTVMVKNKNPMWLYCAQGKHCQNGMVMVVNENPSSNATKSLQ NYKALAAKATTIVPSGSGSDSGSGSGSGTGSGTGSGSTNGSTTTDPSSGTTPSNSTSP SGSSTAVPVTAGAGVLSAAGTTSMFALVAGGAVAFLFL NEUTE1DRAFT_82987 MPPKSTSTASSSRRGKPSISRTPAGAKRGSTSADPSSSKRRRET TDTIELASVQDDEDLDMFPHSEINNDVTMLGSDEVEDEEQPETDTNGLQRRRQMQRNN RNDSEDADDSDRYQPQQESDAEEQQEEAEEDSDEEERPTVPSELLTRLLYEFFESDKT KITKDANEAVARYVDIFVREAIARSVVEREGGNGTTSGGGGFLEVEDLEKIAPQLLLD L NEUTE1DRAFT_130134 MAEQQKVLGMPPFVADFLMGGVSAAVSKTAAAPIERIKLLVQNQ DEMIRAGRLDRRYDGIIDCFKRTTADEGVMALWRGNTANVIRYFPTQALNFAFRDKFK KMFGYKKEVDGYWKWMAGNLASGGAAGATSLLFVYSLDYARTRLANDAKSAKKGGERQ FNGLVDVYRKTIASDGIAGLYRGFGPSVAGIVVYRGLYFGLYDSIKPVLLVGDLKNNF LASFALGWCVTTAAGIASYPLDTIRRRMMMTSGEAVKYKSSFDAASQIVAKEGVKSLF KGAGANILRGVAGAGVLSIYDQLQVLLFGKAFKGGSG NEUTE1DRAFT_95095 MAPAASGAKKQKKKWSKGKVKDKAQHAVILDKSTSDKLYKDVQS YRLVTVATLVDRLKINGSLARRCLKDLEEKGQIKQVVGHSKMKIYTRAIGADE NEUTE1DRAFT_117193 MSEGEVDVAAVSQYEVLPKEVLAEVGSVKLFNRWSYEDVEIRDI SLTDYIQIRSPVYLPHSAGRYAVKRFRKANCPIIERLTNSLMMHGRNNGKKLMAVRIV AHAFEIIHLMTDQNPIQIAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQAI ALLTTGAREASFRNVKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR NEUTE1DRAFT_146837 MGTPFIATLEPPGLALSPWNASLPPSQQSSSTTSTIPKTFLDAM TIRESVFINEQQIPAENEFDANDARSVHFVIYASINQVVQQEERDANSGRVMRPRKSE TRSVPIGTVRIVPFPHAPHPVKGGKYLADELVNLEELDSETKKALEVVQRGGKDRKTT LHDGEEVYMKLGRLAVLKEFRGRGIAGQLIRRALDWMKEHPRYFSPSVKERGFEALGI DPERDARMMPKWRGLFCVHAQVSAVGAWQRAGFVVDEEMGRWWEEGIEHVGMFCRVDA RA NEUTE1DRAFT_146838 MAQVDNEVKGKLVLITGASGGIGAAVARRLWSLGAHLALTYSSS PEKLQALEQELYTAHYPALSLNRTTANPNPLPFSTHKCDLSSPADIQLLFTQLQDLHG QSGPDILISNAGYGKRIPSILDISLDEFDKMLNINLRSSFLLCKLSLPHMIQHNWGRI IFVSSIAAQGGGINGCHYAASKAGLQGLMKNLARKHAGQGITVNDVAPAMIGETGMIP DAKFVEGTPGDVKNIPVGRLGTTDEVGNVVEMLVKTGYMTGQSVLLSGGLM NEUTE1DRAFT_122689 MSFTLPRFKLGKSAKKKTDRDGNGSGSHDGKHHSIDVLLRHDLK QLSKLRAEQLEGYSKEDLESLPLDRRQVLPAEVLSTLSPRALNQLPGKTIAKLSTAAQ ITLSPWALARIPISSLATIDSEVLFCALPVLGSDDLRVLKKAIHRIGHDKLRQLPPDV IRRLPFELPSEPLQLPLQWRESSMISPEKSLPPDPPQTSTHSLEVPSEYLYSDARHDQ PSYEQPSVVPPNAHYNPANVDTSIFSSSADRSYTSPPSPAHTVPGRSSPNTVRSSATV QATAYPPRASDHRLSYAPRRNSSVSRGSHIRARSSSRGPRPDRNQEYQAYTPGSVKFA ELGPIEFADLSRDVSELGPSTPPPRSSSRLDSLSVSEHIKRAESWNDKPQEFPRTEAP KESREIIPKEDSRSMSPTSTSTSSSPDVASFAKRKLRAAQGNISSSANDDADPNYKHQ VIQDHTISELKAENKRLNDHLIDVKADHKALLNRLTNYLDDKGHSKRHTGDPIGVVIA YCEELCKERKKIAKENKDWEDTHLREKMHRQEVEDLVDEKSRQLRAAEDDLLGLQSKV KEFSRRATELEARESSLRNDLSRLEREKKELHKRCENQKELSDAAIKRIQRESDQTAA DHVSQLRAQKEGYAKKMQDQRMYYEGQVKSLHQQLADQSQSHETQLAQATKDLQDTIT NLRSQHTEELNRMESAFSEQVAGLQTAHSQQIAAQQKKHEQDIQRLNKKHARNVVDLR ERVQSLENDLVGNNDDFRPATDDSLKIQYRQLKLCIDMVTEPINLGITGVPRNLGELD PTRFLEREGKNQLRFLLRSVVWQKIVEGFFSEPFGFGALGKGQGREVLRGVVEGWMGL CGYDDGPLSNGKMDTDLLTPFFHSREANKWRSATFQSILMTVAPPSSSMSGSKSNSRK GQQAGAPSPRGTPGPPEHPTATPYYTNINQVQSQIVALLSSICSEPLSSEVQSKVSEL ARQAGELALQFGVQRAQLGLEVPKRGEQVKIGTESGWVDCEDGESFGGRRGVEVEVDL CVSPKMYRVGDMDGRNMGDKKGAKVKAIVAGEVYPRRS NEUTE1DRAFT_130139 MASLPTRPPPPPPISISVSPAFPGTPKREVSPISTRRSEDDVDE WDAYDDPQDALLNEAATPSRTDSPPRFPNFSSANISTPETRMVVALDYGTTFSGIAYL SVASRQKDQNLDALADDIRVLQGWPTHESEKVPSEISYSPSPKGCRQWGYDIDDNSRV LKWTKLELEETRSRSSELRTLAETLWGMRLLDLSEDAVIRNDIPRHLAKEPEDIVKDY LDNIAEQTLEEICTQVGRRVADNIPIDMVITHPAKWSDKALNSTYRAVRAAFKADLFP KIRNFSFVSEPEACAHYTLREALREDRVNFRKNDCFIVVDAGGGTVDLASYKVVSLDL DQKQFKLEQIGYPIGDNCGATCIDRAFEQFIEQKLGPEDWERLIETDAQDQATGGHSI IKPKLRMLHGRFEGIKHQFDGKDQKLGFPIQLPRGIGTTDDEERGIMNGAIKITVDDL KTMFKHSVDKTLVLISQAATHIQVIQKLKIRKIFLSGGFGRSPYLYERVKAWGMTSSI QVDRGDDCWSAVTRGAIIKSLGVHTSLPPIIRPCPRHYGIKVRTQYAPYNCHRPSEVD VDVEGVKWATDQIRWFINKGDALFPGKPMVSTYDCHWSMRATHFPAQNGTGRAPAQNP GSVSQRFDKRGGGNIMPPPPPPEVFREVVFVASSADEPPTRFAAVNKGRDTVVTLKCN LTKIPDTNRSEFTNKEAGKFYKFWVKVEIHVTEKCEVKITSGGKVLASAEVLLGESDI SA NEUTE1DRAFT_64329 MYVHCQLTRMCAEPGRIRGKADSLQDEVVVMILMVVVFCKSAQG QECCAKNTVMGGTEKLRKVFGLKPKSSSANLQPQPITPDNFNDNDLNANGIYSTNGGY QSYTPNGSSAFASNNYGQTPGLSSISELPANDYGNGYTHHSPSHQGHGHQHRKSLPAQ ARPGPNPQYPHDPNAYYQHHDRSSGNLSPQDAVHSQNGSSNRDRRSASLGSRLSLSNF GSTSSNSSLGRSSVTTMSTMSSAATSPGPASPHHLSQSQHPHCLSPGYQPGGSQTQQP NSPRYPGQPVHQDPQAQQQQQQQHDQAKHRNPLSSSRHRTTSQQYPPQHNVLHKLMPS VQPPYPVSERGSPPPPPHSRSSHSTSTSTSTSNPLHNLHSFANRTSSHPSHPHPSPLQ PLNPLHPAQPQQQSPSAADIRRTTKLLRRMFELRLEQWALTHSHESDQHLLHEKQSQV DAVLSDILGNVRGWGIERGRNEKNWTDDEWEDICLVRDVLAEMSAPSGGGGQMGMGME MGMGMGMGMGYGIGGRRVQVEVGG NEUTE1DRAFT_83009 MTVLAAVVSSALPRPASPRVISILSLPTRRLSRRDRSLRQRRML MTGTPSLRVWMTEARLSRVDKARLRAWRRPDRDRRLTPKPKLVGSRRRVVTRSRRRRV LRRGQYPAER NEUTE1DRAFT_117196 MREIISLNVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAAD PDHGFSTFFSETGNGKYVPRTIYADLEPNVIDEVRTGAYRGLFHPEHMISGKEDASNN YARGHYTVGKELIDQVLDKVRRVADNCSGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQTATSVVEPYNSILTTHTTLEHADCSFMVDNEAIYDICRRNLG LERPNYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPVI SAAKAAHEANSVQEMTMSCFEPNNQMVKCDPRHGKYMATCLLYRGDVVPNDAHAAVAT LKTKRTIQFVDWCPTGFKLGICYQPPHQVPNGDLAKVNRAVCMLSNTTAIAEAWSALS SKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVAADSMEGEDVEAEY NEUTE1DRAFT_110635 MSSDQIDHAKVVSQLFHDITAHTSYEKLKGIFDQNAELRDKVKK LTTTLEVNLESLHNAKAKLDKSCQELQKKDEQVQSLQKEADHLGSILTAKTHELKAGA QNITKTEDALKRAELEIEDLRKSLEEERKKTQDREAIEQELEFTRHELDHYRQEIKKL ENFSVPLKPACTRVEEITSRFTKIFDLACDLAKEFFGADLPESSLANATLWDQLKKYS IVHQARIPLPLSNTAAAKQMRSVAALAVLYAELKKHVFQPTYLFEDPRANDEFSRLLS TMDPAKEAYLRSVLLGSIDKGVWKEKVKAKIETLKASVDDCIGPLLSQSERNRFKDHL DRFCKKACHHWHYLQKLEDRVHYSTQDWVVAGSEAYRLLPLSTMVVVSEELPDETYPG EYPDSPRQNYLLMGNGQSSPVMSCSNVTFTQDIDKLSTSPSPSRSRSRTFDKTSSAAA ALTTAAGPSEVLAVLWPAFYIDSCTAEEDTSIISPGYGVCDSQLKTAREEEAHSTGTH RAARQSRRCRDMSSAARNRSLGIAGYGVSDGEDQQDREFEHGCESTLSSFLNAGQSEL SNGSRRGW NEUTE1DRAFT_138265 MLTIREDKWAGYPPQKRKLRASRVDPSPKAADKSMMPAVPASAF DLVWSIHAGRVFGAAAEAANRCRSPNDDVNCDMVNWTLDQPAFFDSRSTIAL NEUTE1DRAFT_122693 MYIITSSDAGQDPSTAIRTLYIDRDPVTFKDISLHLQGYHVRPR DATHLVRLFADAQFYSLPKLMSQLYEEPIHITIGSTPFQIPREIFSLSGPGNTPNFFT LGFAIFFSNPEDLFPGLDKEGLIRPPSIVAPNVPNRSAEVFQELLHMLRGYPVKIRDE RHRQELLRDCRYFNFKGLEQRLIPHEKGFNQVRGREEIVLGLRDIMKSGISVANEPVE GDPLAGWVNYARPYADDKAMELVLEIGEEATRLHIGPGGKDVRAEFFTDIKAKMAKLF EVIATKLNLPSSTQLSGLLMAKGGMGSEPPTSRDTLLSEDLIRVILDPEASITLDGQP WTADDSDQTAHPSLPAHETVNSTPGTTFPSASSSGGPSTAETPNSINLSTNPSSSQQP NHQQSSPLDENPNPRKRRRLDSSPPYGVTTTVPTSPLGGEQWIVKTGQWRLRIQSVNN NKNASSPLTSNPAKASSVVECCLVAVKLDALSSERRRNERRGFLGG NEUTE1DRAFT_64338 MSDEEYSIYDEVEIEDMTYDPALQTYSYPCPCGDKFEIALADLQ DGQDIAVCPSCSLMVRVIFEVDNLPKAPDAAAS NEUTE1DRAFT_101368 MRSSTLLAVLGTASLAAAQTTITSIYVSTPTSTSSTPPRQNESL QSCLASCMIQFPALPRVTGGGGVIPPAPAAKGCRGRTDRLDRVARDVGPCHPHQPPPP LLPVTFPPFPPPKAPIPIQTPRPVPVDSLSPDPDSAGFVPENEDEQTYEDPPPLPDDP PVASLPPLGI NEUTE1DRAFT_83020 MADTAAVAKGVENLSVSASKTKELKGTEKRDTLIEIEKKYQQKW EQEGVFEVDAPSTAEFPLDAITPDELRQKHPKFFGTIAYPYMNGRLHAGHAFSFSKIE YHTGFARMQGKRALFPQGYHCTGLPIKASADKLVKEIEMFGQEFERYKEDEVVEGAAP AAAAPAAAPKTKEDLTKFNAKKGKTVAKTGGAKYQFQILKSLGIPVSEIHKFADPQYW LHYFPPECKKDLTNFGARIDWRRQFVTTDANPYYDAFVRWQMNRLLELNKIKFGKRYT IYSIKDGQPCMDHDRSEGEGVLPQEYTALKLKVTEWAPKAAEALKGKLPEGVNVYLCP ATLRPETMYGQVCCFVGPALKYGVFKAAENEYFVITERAAKNMAYQGIFEKEGVIEKA ADIVGSDLIGTLVNAPLSVHKEVYVLPMDTVLATKGTGVVTSVPSDSPDDCAMMTELA KKPEFYGIQKEWAEKEIVSVIKTPTSDLLAPYLVKKLKINSPKDAKQLLEAKELAYKE GFYQGIMNYGDFKGEKVETAKPKVRQQLIDAGDAFAYSEPENKVVSRSGDECSVALMD QWYIDYGEDSWRTILYDYVENKDGKGINTYYADTQHAFKGVIGWLKQWACARTYGLGS KLPWDPNFLVESLSDSTVYMAYYTVAHWLHRDLFGREKGKGNIGADQMIDEVWDYIFC RTELSDHLVTKSGIPKETLDSMRREFQYFYPLDIRVSGKDLIPNHLTFWLYNHIALFP REYWPKSVRANGHLQLNGEKMSKSTGNFMTLDDVVKKYGADAARVALADAGDGISDSN FVEDVADNTILRFYTNKEWIEETLKDESLRTGELNSFQDALFDNEMNALVNEARKHYE ETSYKLALKAAHYDFLNARDMYREACAAAGIPLHKDLVTKYIRLQALVITPIAPHWAD YVWQECLGEPKSIQFARWPEVPAANPALTAARDYVRTTSSAINSAEAAQLKKMAKGRQ SDFDPKKPKKLTIFATENFPTWQAKYIDLLSEVWDAATGTQKIDDKELNGRIAKMGEM KKAMPFVQALRKRLKDGEPAEQILSRKLSFDEKATLLAMIPGLKRTAGLESVQVVLVE EGSKTGKDLTNGGAEIEVTAPMAEAALPGQPSFFFTNV NEUTE1DRAFT_146847 MFRTAIRQAAQKASTSTASNSSELPQAFVKLPHISPALLTQYPA KKTWPPDFKTMSPQEQLKYEKRYKRKIHHIAQRPRWNKMVQLAQLGTISFVLIYCLLF ADWKDERQPFYEFRQWFWNSLGFEYEAPKPVARIQSQESQASVQGGSRPRQM NEUTE1DRAFT_83024 MINAFLVFNGQGQPRLTKFYTQLDTSIQQRLISEIFTLVANRPK GSCNFLPLPPLLAASSTSTSSSEPHNDVPSLVTYRNYATLYFIIISTSTESPLALIDL IQVYVESLDRLFENVCELDLIFNFETLHATLGEMIVGGVVIETNMEKIVQGVRAQGTV AKRPVNESRGGGMGLGSGLGAGLGMGGNFVWSGR NEUTE1DRAFT_117198 MSRTIVTKVARATLRSLQGISRNTRITSTPFAAASLLPRATVPR FYSTAGPNGNMITPDKQPVQPKEEAAPTVVRAATELTDDEYHKLADQYMDRLVTHLEE LQDEREDIDVEYSAGVLTVSFDDGIGTYVINKQPPNKQIWLSSPKSGPKRYDYVALGD GQNEKEGTAKGEWVYLRDNSTINQLFRDELQIDLSMPVGHYGEEEA NEUTE1DRAFT_64351 MITLGLVYTLIILTLLAFAIYEHIHAQSLSLPISPGLTILTILL PILSALNTAYLYRVTTTTSPNQQNPPKFITAHSSSTITPNPSNPSQQLTHLRPAHAPR RPQQSSTSPGTLRSLLPTLSQTLQTTQLVLSLILLSVATSSLTSSPFSSFPNSGPGSI EHCALEQTWSHFFRAHDADTIRKIQDILGCCGFRSPKHMSWPFPSAGKGTEQCGQMWP GRREGCAGKWEGEFRGVMGGEIGVVAGVLVVQILGWGVGRWMATRRARTGATRRRGGR DGRATMGGRGREGSGMVNGEGRDGQGGDGNNSWWNKLLQSFGVPHGDEENGYRGLEDG EAQRRPLLGAGQNGEGHVGIEEVDDDEDGEGRDVERGGSDEESRSGSERRGGNGYGSA TSRVQPSGIHVADPWAEGN NEUTE1DRAFT_83030 MYNRYIPPPKPAGGAAAPVSSQPVSQAPAQPARIVFGDDDFVAN TQSKTVAEEPVQQVEEPKSKSKKSKKQRETDDAEEPPRKKTKTDDNDDEQQQQKDEAQ PETTTTDTSPIKKEPKKPKREKKKKESVLEKPADLEDDHIRQRHRSVFEKVEKALKLK ELQGDEKEDTPEPEEPVYDLGPLPQPAPVVVDSSKLTYETLPPWLANPIRVTTETRKP FTELGISVEAAKILAIKGFKDAFAVQTAALPLLLPNPDLQGDVVVAAPTGSGKTLAYV LPMVQDIALSQTTKLRGVIVLPTRDLVQQVQQACEACAAAFAGSSGGKRVKVGTAMGN RPFKEEQGRPLPYHVIQHVPKVDILICTPGRLVEHITKTKGFTLDYVRWLVVDEADKL LAQDFQQWLDVVNEKLAVSKPGARDFAANNKTGPRKVILSATMTRDITLLNGLKLSRP KLVVLEGAKAGDLAIPATLKEYAIKITEPSLKPLYLVDLLQSKYMAAAFPTTALIFTA SNQSALRLSRLLSLLLPPSFAPLIGTLTSSTKTSVRLRTLRAFTSGKLRILVASDLVS RGIDLSNLDHVINYDLPLSETSYVHRVGRTARAGREGKAWTLVEFAEARRFWREFVGE GSGAVCNVKRAEGRTVERVRVTEGMDEEGEKKKNGGFGEERVKELEKALEVLRVEATK RG NEUTE1DRAFT_146852 MAPTYRIAMVCDFFFPQPGGIESHIYQLSTKLIDRGHKVIIITH AYEGRTGVRYLTNGLKVYHVPFLVIYRSATFPTVFSFFPVFRNIVLRERIDIVHGHAS LSSLCHEAILHARTMGLRTVFTDHSLFGFADAASILTNKLLKFALSDVDHVICVSHTC KENTVLRASLDPLMVSVIPNAVVADNFCPLGYPTTTSTPPSSISSPIPGLPPNPSSSL SSSLTPPRRILGPHDPITIVVISRLFYNKGTDLLTAAIPRILAAHPNTRFIIAGSGPK SIDLEQMIEQNVLQDRVEMLGPIRHSEVRDVMVRGHIYLHPSLTEAFGTVIVEAASCG LYVVCTQVGGIPEVLPGHMTVFAKPEEDDLVAATGKAIAALRRNEVRTELFHEQVKNM YSWTNVAERTERVYNGISGAISEAEFYGYDHLKDHRNGSNGSWTAVTATRGMRSGVQS FALIDRLKRYYGCGVWAGKLFCVCVIIDYLIFLFLELVFPRESIDICPDWPRKKKVVD TRREGGGLEWGDVEEYRRRSRPSRLR NEUTE1DRAFT_83037 MASQSSRPMRSSLQVAPLTIQKADSASEPYNNNGFNRGSTRAST RSTQSEMTSQSENFIDSHVNNPPPIFHNFLQAVYPFHPEYVMTDSAVTLPLKEKDIVL VHSVHTNGWADGTLLKDGSRGWLPTNYCVPYNPEEMRILLGALVSFWDLMRCACIDSR ELFNGNKFMKGIAGGVRYLLEHTGCLQKDSSILQRHVELRESRRTINDELRALAQTGR RLDRKLKASHRVLRATEHQINELVDNLILRAFKIALRAAEFFDMIQNDKSLRLTSVMI METVMEEEDLTPNTDPVVLARSSDAIDARSRADSNGSATGPTSSETSQAPTNGRLSPP YQPSTNANRLSHGNSLEISNRLSSATICHRVSLAGSSPLSQAHKLVSERLTKSQDILV SHLACLMGRLQLECVSRPQLALGVKQAITTGGDLLLVIDVVSARNSLSIETLAPLRAV MYDRIENLYQTAREVLTQNMEDAIVPKEGRRLHVAAVGCVKVSGTCYDKTKWVIGQIG DFEFEFEDNSLDLAFDFSAFDAVLDAKDTRAADSVSVADSSRSEALTMSTTVTTASTP APRPASLELNKPLPDIPLVSTPAVETSQEATEDSAPAENTSSVSSPRPSLPSLPKLST EIPLAHDYSPTEASAAQDGEFHSFRAESMTASSSASATTYISRTSESSMISQTSTRAT TPDLTHAPGNHHPSASNVSLSDSTTLSEDSDDAEFKLLEKTYAHELIFNKEGQVTGGS LPALVERLTTHESTPDAMFVSTFYLTFRLFCTPLKFAEALIDRFNYVGEAPHVAAPVK LRTYNVFKSWMESHWQEETDAEALPVIKSFAESKLTELLPSAGKRLLDLAEKVANSGS TLVPRLAASISKTGTSGSQFISAETPLPSPNLSRGQVHALTNWKAGGVSPSIMDFDPL EIARQITIKQIGLFCSITPKELLGSKWTKNKGVGAPNVKAMSTFTTGLSNLVVDTILQ FDEIKKRATIIKHWIKIGSQFLALNNYDGLMAVTCALNDSSIKRLRMTWDTISSRRKE TLKSLQAIVEIGQNHKALRARLADQVPPCLPYVGMFLTDLTFIDAGNPAKKTTDTGLT VVNFDKQMKTAKCIGELQRFQMPYRLTEVPDFQEWIVSQIERAREIEKTGRTPAQVLH YRQSLLLEPKEQLQSPVEGPSSGSNMFSWIRHNHSTHGLPTSAQV NEUTE1DRAFT_83038 MKFTAATILAQGALAAAATSGDFTILTMNVAGLPEVLNPNGVPG GKEASAKTIGSRFAQYGFDVIHVQEDFNYHAALYSTDTHPYRTPTSGGVPFGSGLNTL SNFPWLSGSFQRQKWAKSSCASEFDCLTPKGFTFMRVALSSDATDAVYVDFYNMHTDA GTETADLEARNDNLRQVGEWIQTHSQGNAVLVFGDTNSRYSRSGDTGIRSLLASENPT GAAGLQDIWVELHRNGVVPNDAGVCGNPAEDAACEIVDKVLYRSSPLVQLTPTALEYA SPRFLADDGVSVLSDHNPVLVNLTWSAADRRFRQSGFWGGAAYGTWFNDVSVLDSTTG SIKAQKITFRGENRLDSVGLTVSSSSGQGTTELVHGGQGGSEVSLTLADGESWVKSEL CQGQKSDKTRNFYIKATTSKGRTLEAGTRTSDCKVFEAEEGWQVVGFLGESGDEVDLL GFIYGKI NEUTE1DRAFT_130153 MPQSQHPDGFTRALAKFKKGLDPRIAHDFPISTLEDVRDLADKI QLEQGPQGKLRHLQRLEPFIEAMTQLGAVIEVFTNASELICFIWGPIKFLLMLAKTHL DSLNKLLEAYGKIASSISGLERYKEAFQSHPPLAKVLEDYYSDILNFHERAIEVFTRP NWKMLFDAAWKRFDSKFGPILQSLDSRRALLDSEKASATLYEIHQALEEIKENGREQA DMKRLVEEMKHEQLKELIKKRLDPPNYLQHHEQLLRKKAADSGQWIFADPRYRVWHNS NLSGSRILYVHGKPGGGKSTLMSTVIERLLGNKTAGAAVAFFYFRQLQEDKNTSRGNL DNLLRALLYQLIDQDPDLTDSITNMSRNDQLRLSSTKEWKDLVCKAAGTQATLYLVLD GLDECQSEEEEDIIEWLLGLSQFVLGLRVIVAGQRDNVTDRLLSSQPSISLDTSTEHH RDITAYCQKGSKKICRDFDAEPSLENTIIELVSRGAKGMFLYAKIVLEHLLDQTSVHD LEEQLKPGVFPDSLEEAYKKVDERVFEKSARPRSAAASEILSYVISCQRTLFWREIQA FFCIDASKGEVVHKKLMIKSYKELCSSFLDSNSLNKNYTGPEDEVVLVHETAREFLIR KNRVNIHGLNSRLSMFCFQYLTSPPFTLGVSDEDVLSHAKKGYYALQDYTVQYWFDHV LECINSFDPISDRDKCQEVFNLARIFLKSYGNREKMAELLQAETYTEFTDAMTQYLPA HGRERNSFLCIEIRTESLRQKIAKLDFETFTVEEQRVFGNLHGSRTLYKCSKPWCELF KGGLASEANRRKHIDEHEFPHRCAYHDCMGFKLGFSTEDELQRHNTKWHTQKDDTNSF PIFPKLKLSSSSAEDSMSSDMESSLHEACRRGNIERVRQLLNSGTGKKEIDSGEKTGY PWRRPLDIAAEMGRKEICDLLISNGADVDISHGAPLREAAKEGHLAVCKLLISNGASV NVDDGRPLREAARKGHLEICEFLISKGAFVNIGYEEPFRAAAGNGQLAICELLIQRGA DIKSMGWIQSLHDAAQNGHINIIDLLLHREGIKPNKSLQSPTAAEAAAARGQIPVLRF MQASGKVDMSMSVNLLRSACQNISTSSDTARYVLSNGHADQADEKCIWMTLDAVTGSR TKEFIDEVEFTFDMLLSTANPVLSDVLRLRKELGYKREIRLKKSKFGSPRRHKTRRDQ PRQQRLREKRRPFLISIMEYPKWRINDYTWFRFMKTRKDFEMELDDELKEVVNKFVKR IEDNRSTKIFTDEPEVEGKGGPMETTRETDENMDEEMDEKDDLS NEUTE1DRAFT_122706 MSVNVFGPQDKAVEDDAADKLGNVSAFLQHPRELSREVVYSNPQ WLALPGRDRNMNHLIGTYINDDPLWAVRARIANEVNDILDSLDEVPLDPALPFDPPSG LKSTLKRHQVQAVIFILKREPGNRFSQASNASVNTSIRSGISQKNHGGLIADVMGMGK SLIILTTILCTIGDARNFPHFPFQSQNVAEADRKTPTQATLIVVPSAQLMHNWAAEIA SHMPGALNLILFHGQGRHKNPESLASTDVVLTTYRTLAADHRKARLLQKMDWYRVVLD EAHWIRNPSSQQFRAATSLSTNRRWCLTGTPIQNKLDDLASLAHFLRVPPYPDKTMFR RYVLVPLEKGDQKCTNPLRFYLRQHCLRRTNKCLNLPNLSEKILYLQLSIEEQEAYDK ILSTAKHALDNIVSSADKTKQVKKEKVIVVFRTLTSLRRLYDLGTLPPIQSLPGDPWQ PTEDADMLCKLCSSQDADESLLLKDHQFCPECSRPLRSQISASNTGYLTPASLPGTVS DRAMSPLILSMDNGHSTKLLKIRDNTSTLHYLAQLIQQAGIPHAQIDGRTNNAERLRH IKAFQEDSQVPVLLISIGTGAIGLTLTAASHVHIIEPQWNPSVEEQAIGRARRIGQTK EVVVTRYIMTGTVERSILSLQQRKKNIARFTFGTASSDAVNERLDDFKFILDANLS NEUTE1DRAFT_117202 MKTCTTSQPGQSEREPRLYRSYEELERLAGGARLSREIDRLFWE FKGAFSSTISVMANRRGAKGHLEPFFFFQEPADRRPE NEUTE1DRAFT_83045 MDPKKDEAKAEPEPEPEQPLVPLTKITDPNNVFYTDENGVKYHV CLPRSGEHLKTADANFMSYTDDKGVYKEIYLPQGTVKTAWDHLENERWDELAKFEPYT DQGYTEEDFKAFEERLERRRREGREK NEUTE1DRAFT_64368 MSSSRAPPAPTPTTLLNQKRASPTLMEPDSLITPPASISKSIAS RYSQIHNQENTSIRTAGTRLQIQVDFNRGLWNFCLANKQVVGNCWMPGACFDQDKCED GCGFLDRKDLSTALCSSQDSSGNTIRASICVNAYLTLDNGLGPFQRIDCGWTTGISHY TASQADAIRNPAVATTTSSSSSGSSSSTPPTPSQSSGNGGGNNNSANIGAIVGGVIGG LAIICAFGFAAYLVWAYRVRDRRRKQAAHNASEPLNGGSNGDGSDRSSSFSSASEDDD TPSMTTNLPLRANLPLSHSPDTGGQPYQRPPEMAQHVRDAEGGGGGWGPREMESGFER GGYAHEQQGLHSDGSHEVLSVEKVVEMPVKIEPVEMSSGWEDQPPGIRRYGREGGGGY GHEMS NEUTE1DRAFT_83047 MSARKSILITGAGGLVGPLLATRLLCDSNYSQHTIYLTDLAPPI VPSASSSIPADRLKDVIPIQGDITSPSFVSSLISATLQDGANLSAVFIFHGIMSAGSE ANFELSLKVNVDSVRLLLDEIRTQAPGTRVIYSSSQAIYGQPLPAVVTDDVTPTPQST YGAHKLMMETYINDLHRKGYIEGFVVRFPTISVRPGKPTAAASSFLSGIIREPLAGKE CVVPIEDRGFRSYLSSPSTIAENLVRVLEMDSDKLPEHRRSVNFPGISVSVQELLDAL EKFGGKEKLGLVKEKRDKGLEKVLRSWPTDFDTSRAVGELGLVVDEGVDGLVGEYVES LKRKE NEUTE1DRAFT_101385 MAIGFPDDRQLKDRPEPRDKVNSPETSSDHDTHHDMNSKTRVAS DSPRSSLSMTLSFLTTIRTLFTTLPSLPLSSPIWTFLEHLYHLPNPPPPRVRDPSRPM RIICVGFPRTGTESLAQALSHLGYSHVYHGWDIVYDPSDLCYSPGWVRLARKKFYPVQ GDHSQSQPVITRAEFEELLGHCQAVTDAPASVFAAELIAAYPEAKVVLNMRRDEEQWQ ESLVKTIIKANESWGFWIASWLDRECFWAWHVYERFLWPSLFRCVGGYGELSRVSIAT NEUTE1DRAFT_64374 MKFTLLSGLVAKALSVSAGSILWDGRFNDLTSATDLSKWSWSSQ VGPYQYYIHGPSEVTSYVNLSPSFKNPADSGSSQGAKITLDKTAFWNGQTMRRTELIP QTTAAINKGKVFYHFSLMRKDTNAPALTREHQIAFFESHFTELKSGWQSGASGTSDPL LRWCIGGQTKWSVNWDADVWHNVAYEIDFDANTVGFWHSTGSDALTQVIAPQAAGTSS NGADWHVGVLELPRDGYADATEDFYFSGVYIESGSITTSVAGPGTAAPVKPSTSSASS STTVAAVTSASAAPAVTTSKAGTKTCTRKSSAAPAATTSAGSCTAARYAQCGGKGFSG CTACASPYKCNLVNDWYSQCY NEUTE1DRAFT_110654 MPYTSLVLTFLLAASHICHGKPLPTPDDRPYHQGFPPYSEGYPT GAGSIATTTVDITALAEETIYPVLYTSNTEDVPSTSAEATIATMVAESVTVPATAFEV ETAEVPAATTSEEHAALSDDEAPSNDADSSGDEASSGDEASSDKEDGSPDEALPTDSS PEPQNQDHPCGRFDPWTIHSLSRNCDDTDTVCDWSFIIDTNCPPLAPTPCSFSIKSLG PDVPASRSPKHEKSEDDLCGPYEVHSSWSGQFGPGEGFTTLSVIDDGNKLVAYPAYRD DLFGKEDATVPDVEVAVYALG NEUTE1DRAFT_44754 MSLLSPKESRRHTLRRDFLQVMSTRLFMCGRCGHIAKFCHDHLR HLRRQHPCPQMKEPKMYECKTHGPTSLDMEEHITHLRDSSLHPWVQDDE NEUTE1DRAFT_83055 MATSPAPEKVESNGHEQHETKSGAGSVQAVSRTTTHDGEDILGL QDLDPALNRKMHLVNNVRTAMFPVHHPMWSCANNLDSRQAIDEIGWTPYHTKLFILNG FGYAVDSLVLLLQGVIAGPAFREFGQHGYDNAMTIAGYVGMLIGALFWGLGADIIGRR FAFNVSLLLCSVSVIIAGAMPNWPSLGLWIALIGFGAGGNLVLDTTVFLEYLPGNKQW VLTLMAGWWGLGQAVTGFVAWGFLVPEKWNCPSVEACTRQNNMGWRYVMFTSGALVFV MSILRVTVIRLRETPKYLLALGEDAQVVETFQFLASKYNRHCSLTVDKLDACGVVLGT HSKNRFSFAETLVHLRGLFSTPKIALSTSLIWVSWAMMGLAYPLFYVFLPSYLASRGA NLDVSPFETWRNYTLTNISSIFGPLLAGWMCNIPFLGRRYTMLIGTLMTAAFFFAYTS VRNGAQDVGFSCSIAFCLNIYYGTLYAYTPEVLPSAHRATGNGVAVACNRVMGILSAV IATVSNTSTSAPLYICGALLVAMGVLSAFFPFEPYGRRSS NEUTE1DRAFT_27166 MTGKDLKTLGQELRRMERYQELTRMDQDSRETNPWANALHQDVH DNSSPNPKDTTNNNTPCRRHASELARSSGALPTPPDTPPPSSSSCCPPPPPPAYTPPP TAQARARARLGYVGAKSSLPNTFSPWGEGTAGTDIYSLDIDRPAQDRPRQPPPYIFHA RD NEUTE1DRAFT_64379 MASPIASAALRARVKRPSMLKKLCNPEDMLQHFPNGAYIGWSGF TGVGYPKKVPTMLADHVEKNGLQGQLKYSLFVGASAGAETENRWAALDMIARRAPHQV GKNIAKGINEGRINFFDKHLSMFPVDLVYGYYTKDRQNKNLDVVCVEATEIKEDGSIV LGASVGATPELIQMADKVIIEVNTAIPSFDGLHDITFSDLPPNRKPYLIQQCRDRIGT TSVPVDPEKVVGIIECTTPDQTLPNSPADETATAIAGHLIEFFEHEVAHGRLPKNLLP LQSGIGNIANAVIGGLETSNFKNLNVWTEVIQDTFLDLFDSGKLDFATATSIRFSPTG FERFYKNWDNYYDKLLLRSQSVSNAPEIIRRLGVIGMNTPVEVDIYAHANSTNVMGSR MLNGLGGSADFLRNSKYSIMHTPSTRPSKTDAHGVSCIVPMCTHVDQTEHDLDVIVTE NGLADVRGLSPRERARVIIDKCAHDVYKPILKAYFEKAEFECLRKGMGHEPHLLFNSF DMHKALVEEGSMAKVKF NEUTE1DRAFT_122715 MADEKHSAVAPAVDATHNEASEVPSKPGSHQDITIPPPRPPGWM YKGFKVFGHELWYASPLFQLLLVAVVCFMCPGMFNALSGLGGGGQVDHTAQDDANTAL YSTFAVVAFFSGSIANKLGVRLTLSFGGLGYVIYIASFFSYNHNQNHGFVVFAGAFLG VCAGLLWTAQGTIMMSYPTEDMKGRYISWFWIIFNLGAVIGSLIPLGQNINKVAGPVT DGTYVGFLVLTLIGAFLALMICDVDKVLREDGSRVIMMKNPSWITEFRGLFETITSEP WVVLLFPMFFASNTFYTYQSNDMNGSQFNTRTKALNNTLYWLAQIFGAIIVGYALDFA GARRSIRAKGSFVMLIVLTMAIWGGGYAWQKDQPTREQTSEEGFVTVDWTDGAKKYVG PMFLYMFYGFFDAAWQTCIYWYMGALSNSGRKAANLAGFYKGLQSAGAAVFWRLDGLK KPFDTIFGATWGLLGGALLIAAPVIWMKIQDTVALEEDLRFSDETAADVAGAEGAAAG QAAQPETVAVGAHGGGSETAKAVV NEUTE1DRAFT_110659 MSQQLHNTEDGEDDKGQEQSCPAPPQQIPAATAMSATRMPVPAA PATGSADTEMPASMDNTTTSSSMRVQSQKQNLYHSVPLLRGQIRLLQLLPHEDEAAAI HCRLFRTTLDSRGTRLYEALSYVWGSGDKPRSIFVNGRELAVGGNLYAALLHLRDPSI ERTIWIDAICINQEDPEEKRQQVQSMAKIYAQATRVIVWLGEEAAGSSQALEEIRTAA GNDQPLEDIQTAAEPSTGQSDDKVEILALLQRPWFQRIWVLQEVAAARHILIKCGSAE VDGYAFCLGLNALNLFDELRADLRARILSVAYLIRGAIFRPNYATTCQTDNFSLDIRP LGELIEMYHTREATDRRDKVYALLGMSSDNPIAAGLSADYTISWKQLFNKLIQFSLSQ LVSVGLWDDKEIAVIEGKGCIIGEVSWVEQNATWKDRQDVTIIWRRAPIAYEFRCILP TTPKSIRWGDVVCLLQGASRPTILRPCNDHWAVIMIAVSPAHDSFHTIFSLYGIGI NEUTE1DRAFT_45946 IYTSTLPVSRSSLTAASCLQYAAKCSAVRPSLSCLFVSTLPESR SSLTTASCPSFAAKCSAVCPELSCSFASTLPESRSSLTTASCPSFAAKCSAVCPELSC SFASTLPVSRSSLRDVLSPVNAAKCSSVPPQSFLRFTSTLPVSRSSLTIASC NEUTE1DRAFT_64387 MEDGNNMAHNNSEIDDKTLSWPPEKVAADFNGIDEKHPDGPASV DPESLEGETGPLETAEDIVTHVIAVDDDPSLSPWTFRMFFVGVGLSVFGGVLQEIMYF KPQVVYVSVMFLTVLAQALGTALSQLIPRKGAIGRFLNPFPWNRKEHTAAVLMASAAS VSALSTEALSVQELWYGGYPSTAAGVFITLSSQLIGYGAAGMMRSVLLYPTKMLYPAN LPITTVMETLHKPKAETAKRFKVFWIIFVAIFCWEWFPEYIFPILSAVSIFCLADQNN AVFTNLFGGSQGNEGMGFLNICFDWNYIAGFGSPLWMPLQTLTNSFIGYLGGIILSMG LYYSNIWRGMDFPFMSQLLYDGSSNSTNYVVYNETLIMNSDFTIDTDAVDKVGVPYLT ATYVNYLITTNASLTATLVHMLLWNYDDVSKAWSWITLDKLKSLLKPSTYFFWKSTGA RTEAEKEAIRQDPTIDPHYRLMVDYDEVPSSWYFVVFVISIIVGIVCLYVMKSTLPWW GFIIGTLLLIVLIIFFGAQSAITGFTVNMQPVMQMLAGYMFPGKPLANMYFTTYTYNG LSQGILLLRDLKLAQQNKLSPRATFTTQMIGCVIGALLNYVMMISIVKNQAPLLKSAE GSNVWSGAQVQQFNTLAIAWAIAPKMFSIGARYQWVTAAYLVGFLAPLPFYIMHRFVF PRQRIWSYLNTSIILWYLGELFVGLNASITSYYILGAFGQFYLRRYRPQWFVKWNYLV SAALDGGTQVMIFIATFAVFGGSGKAVPFPEWAGNHPNNLDYCKYNSS NEUTE1DRAFT_83070 MYLVGSLLLGLACLTEALSTTIPGPHARASGRSPGCGSAPTITS GVKSLTVNGKQRQFTIRVPQNYQKDKGYKVIFGLHWVGGTMDQVAGGGTTGEPWAYYG MQKMANESAILVAPQGLNNGWANAGGEDIQFIDVINKYIDSGLCIDQAQRFSMGFSYG GAMTYAIACARAKDFRAVVVIAGGQLSGCSGGNDPVAYFGIHGISDGTLNVAGGRSMR DRFVRNNGCASMSGAPEPRAGSRTHITTAATGCKAGYPVVWAAHDGGHGPAPADAPVP SSDDGLRTWMPAAVWAFYTQPQLAMF NEUTE1DRAFT_117207 MSPSTLRQSTCALLALGLVTTATAGPCDLYDAAGYPCVAAHSTT RALYSAYSGPLYQVQRTDGTTTDIAPLSAGGVANASTQDSFCAGTTCVISIIYDQSSR GNHLTRAPPGSAGSGPAPGGYDNLADATAAPVYLNGHKVYGVKVAPGTGYRNNDAKGT ATGNAAEGMYAVLDGTHYNGGCCFDYGNAETNSVDTGAGHMEAIYFGNCNVWGTGQGS GPWIMGDLENGLFSGQNAKYNGGDPAINYRFVTAVVKGDSSNLWAIRGGNAASGSLST YYSGPRPSGYYPMKKEGAIILGIGGDNSHGAQGTFYEGVMTTGYPSDAVEDKVQADVV AAGYATSS NEUTE1DRAFT_83075 MSQPISSAQKLPTVPSEITPEWLSSKLGHKIKSLKHTRSIWGTG SKLFYTITYEDEDDNHPSRPTHICIKGVFDPTMLASQPWTLPLAQREADFFSHLAPDL LLADKNTPINFPQTWWAGTNNSQGISIIDDLYTSGCTFPPELASYPLSTVLEGIDQLA ALHARYWGQSEATHPWISNTYDTALKFLCSPSTFNETVRDPDRPKLPTYLQDGERFNL ALDLYFSTRNPKFRTLLHGDTHIGNIFFSPSMSPSPSPSPEGKIGFLDWSALHFGSCF HDVVYFVTAMMTVQDRRKYEWEILDRYLETLHQLGGPEFDRRDKQVMNEYRRSFMTGA IWLVCPFDLQSKERVGELCKRAVAAFGDHGVLELILGEHKGEDKEGSCVVL NEUTE1DRAFT_45013 MPTCRRCVDTPTACKQCRDRHVRCDQQQPCGICRRRNIRCSHGD DILFTALEWKPDTGPAWEQRPMGFVDETLQVSQLYHVLPCDATHNNLGVSKTNNKGHV FSPASRTEVAAPITNEKDAFYMARYTDIIGPRFDMFDSTSRYFSLALPRMALSNRLVL LSCLACAARQYSLVTDRGHHDALVYYNEALKTLYERLNDSGHEAATFASCLLIAHCEM VESKASDWNLHLKGTGELVMMHHWNGRSGGLAQASFWIYYRMIILASLASGMSAAVNL GQLLPLGYFPSPPTGEWTLDAWQSKVVHLLGSTHRFWARTRNHHHLHHHHHQDDTDTV LDKLTAEWTSLGDQLLRHQAQAPAMCQALSVIPAANDNDDYSPFETVRYVNGPVSAAW QMLHTAYLVHTLSQPTPRAARLTLLSSPEVANKALEYARMIVANSIANRCTIAWANAV QLLTVAGQCLVEVKERQACLRALEDIQHHTGWNTRVNMETLSATWRRATVDGGGVSDL GRLLYITWMGDEVVVS NEUTE1DRAFT_130165 MPSATNLSWGAPLSLKVIGHNAGTSMDGVDLVHVHFTQDSPTSP LNMQLLHYGEYPMPQKVKRRVMKLIKENKTTPEEMAIVNIQLGEVIADAVNSFARDQG FDLAKDVDLIGGQGQTIWHLPLPELFEGDQMRAHLDMAEIAIIAANTGVTSLGNFRVS DMALGRQGCPLFAALDSLLLNHPTLNRAVQNIGGIANFSILPKGNVQGCYDFDTGPGN VFIDAAVRYFTDGQQEYDKDGAMGAKGRVDQAIVDEILAGPYFVHDIPKTTGRETFGD RMAEDICDRMLANGATPEDCVATITRITAQSLADAYERWGPEGGVDEIYMGGGGSYNP NIVNYLKQRLPNTRITYIDETGIPVGAKEALGFALLTHECFVGRPMIVPTNTESDNPG VVGQIQPGKNMHRIRQAVAQFWGDFPEEDIRCTTKMNLLPSLCN NEUTE1DRAFT_44249 MFKLSPYTTTMGLSGLPLNFAVAGIAAMAFWLFGYDMSVMGGVI TESPFTSVFPSMENPNIQGIVIAAFELGALVGALACLDIGDRLGRRSTVWFGMLFMLV GGILQCAAWHVGQLATGRVISGIGLGLQVATVPSWQAECAKPHSRGRWVMIEGGLQTF GVACGQLIGYGFFFVKGQAQWRVPVGIQLIPAFIVFIFINFLPESPRWLIKHGMIDEA THNLAKLRGLAPDDPLLLAERDAIIASYEAQSQMAVFSYKELFDNGPTKTLYRVAIGT FFQAAQQLSGINMVSTYANKILQESFNLSPSMSHLIAAMGGLEYALCSLLSVLLIEGL GRRRSFIITAAGMAVCFAIIAGLTSTADRTCQLVAAGFLFLFNTFFGLAWVGGPFLYS AEIAPLRCRSQANAIASAGNWLFCFIVVMIIPPALANIGWKTYIIFAVFNFLFVPIIY FFCVETKKRSLEELDVIFAAGGNPVKQEKSMPHNMTIEESRRVLGLGDRTADVVVDVF EHDSSKSEKEAV NEUTE1DRAFT_146869 MASNNPRERRLKYRFRQLINGEKRVTDSHDAQLFIEAIQTYEPP STCVEMIVSSPVGLDALRDSVRANLSAAFILSHTFPLLRYFSDPGVKALADGQLLHRI LISLGKPLTLWKALIKLFHDHQIPDDQLVPFVWLGLGLLSLPKDADVNITDDVKILVE GTRLSQAEDHDTRDLFYRLKNVLELQSSLAEDTEQNGAGGRHDNDFASFRDILIYPTE DEFLSTHQPFYRTAKEVADAPAETRAAVHLDNQFRLLREDMLAELREDLQVTVGKKKG TRQSLVLSDLSPVGLDFGDEDRGRYKKCCLLLRCHQGLQFLENLEEQDRRKFLRNNPS FLRHQSFGALSRGKDVIGFAFLDRDVDYLTKWPPIIPLQFTDSRSLGRTLLALRLTNP ACIQFTPVDTPVFAYEPVLRGLQATTELPLQEFLVNPTTKNLDFEQVLELQDFIETAT AIYSNMSDNGSASLGGDVRVDKSQLDSLIHALTRPISLIQGPPGTGKSFIGAQIAKYM FAAKRRILVISFTNHALDQFLEDLIDNAHIPEDKIVRLGTWSKCTSRTEPLLLSKQQG SYRRSKDAWNIINNLRSTIDDSIEDLSQAFKSYQASNITWDDIAEFLEFSDEYTPFHK ALLVPTADEKDWERVGKRAGKRQKQVKKDYLYDRWSTGKNPGIFTQDIPVECRPIWDI QQADRENYLNKWVKALIGERLQTVEEQVRQFNNRQDQIETQFNEADAHIVRQKMVIGC TTTAAAKHSGLIRAAMPDVVLVEEAGEILESHVLTALTSSVKQLVLIGDHKQLRPKIN NYALSVERGDGFDLNRSLFERLILEGAPHTTLRKQHRMVPEISLFPRELTYPDLLDGP GTSGRPHVQGLQDRVIFVNHDKPEDSDRSLRDRRDPGMKESKKNSHEAHIILSYLKYL GQQGYSADQIVILTPYLGQLRVLQDLLRKHQHDPVLSEMDNREMIRHGLMSEAAAKVD KKPLRISTVDNYQGEESDIIIVSLTRSNNNGDIGFLSAPERLNVLITRARDCLIMIGN METFMNSKKGATTWRPFFELLKTHKHLYDGLPVKCERHPERTAILREPEDFEKTCPDG GCTEPCNAFLKCGVHKCASRCHRVTDHSRSECSEVVAKVCTRQHRYKVRCMQRNEGCR DCAREDKEHERRLRRDLQLEEDRVRQQLAYHKQLQDLKDDLDRQRRINKYKEDELAMK QTLDQHRKELAALKESQVRTEQQQKQQAEIALRVAEKARIRTEKNSQATNGAHPHDSL SDLPDTAEAEWNHLKKFEMASSKPMDTLMSMIGLEDVKQEFLSIKSKVDTAVRQGISL TEERFSCSMLGNPGTGKTTVARLYAEFLTDVGVIPGKHFAETTGAGLAHGGVSGCKKL IDNILNDGGGVLFIDEAYQLTSGNNPGGGSVLDYLLAEVENLRGKVVFVLAGYSKQME SFFAHNPGLPSRFPIDMSFADYTDDELLRIFELKVNKKYKNAMDCEDGLRGLYCRIIA RRIGRGRGKEGFGNARTVENNLDIISRRQANRIRRERKAGKADIDDLFFTKEDLIGPE PAEALNKCQAWKKLQQLIGLASVKEAVKALVDSIQQNYKRELAEQPPIQYSLNKVFLG NPGTGKTTVAKLYGEILVTLGLLSKGEVVVKNPSDFVGGALGQSEQQTKGILAATLGK VLVIDEAYGLYGGASTSDPYKTAVIDTIVAEVQSVPGDDRCVLLLGYKDQMENMFQNV NPGLARRFPIASGFNFEDFSDEELRKIFDLKIKQQGYLASLKATAVAMDVLKRARNRP NFGNAGEIDILLDATKARHQRRFSKGQAQPETLEPQDFDPDFDRASRSETNIRKLFEG SVGSEDVVTLLERYQETVRTFKSLDMDPKENIPFNFLFRGPPGTGKTTTAKKMGKVFY DMGFLSTAEVVECSATDMIGQYVGQTGPKVTSLLDKALGRVLFIDEAYRLADGPFAKE AMDELVDSVTKDRYCKKLIIILAGYEADINRLMSVNTGLTSRFPEVVNFRALSPKECI QLLSKLLEKQKDVLKSKGKELDLSCLTNPKLAFKKSMVSYFAELTTQSNWASARDVQS LAKNMFNRVLRCKEDLAEGRIVLTEEIIRQELEAVMTERASRSNAAAAASGAPTGLPD ALQQFFQPPPQTRPPPFNISTATSTSFAQEQSRVVEEIFSEDEEEDQEPAPAPVKMRK EAADSNSKYGAQRDAGVSDAVWEQLQRDRKAEEEREEEYERLKEEAKKASDAARDRIV KRLLEEEARRKKEQEMQMKLKIMGACPAGFNWIKQSSGYRCAGGSHWMSDADLGMK NEUTE1DRAFT_146870 MSSYWARKAQSYNSSGKQSRYFSKQTRPSTPATPPPPLGPLLKS LRVNDLDNDPNNQHSATIQDCKANPPLWSPPPNPPPQLREDSGTYHRDRNAARYPQHP LEPTLITCLRTTGNLNPSLISSLDIVACSSTLGNLLRFVRGQDKTFRILVEKVGTTVF FVRRENSPTETIPDVRGYGHAFPEAYTMWEREAKGSSSHQRVITYRFGGLKLLVRFEA DGYMRDEVGGADGIGTVKVGEDESDNQDVDGLFSSLKISASSSSSNSDSSAISQVNSK ASSAPTLTIKESPNSLVPQSQIFDLKTRSIRARGVKDTLSEELPRLWVSQIPRFILAY HTRGLFQREDMEIKDVREDVKRWEKEQKQALTKLVGLLKWIREVVDEIEGKKMEVVHR EDAIGILEIREQGWPAEKLSLDGWKDGSDDEEGKKKKTDIIKGDKVMSDAIRTEWINA QDGPADEKSDIREKKRNTKIYIEEEEEEDVDTQEKGRQYDGNTNDDDDKSNSWDNPMK NNYFFRHDLAREKHATDSDGEEDDPWEFDDTEQDFTACSEKCGYCGRC NEUTE1DRAFT_130168 MAKLYPAIVTGALHTFQQNEEHRCIRTYLSNTSMPVPNDLDHLA VILGTSWVIRTLPFLDIGKQIPKTTSSDESLSWAIQQINHCRDSHQHCNSYLSAAILP KRVVFVGTTAEPALRLYESQGERDFYICLSYCWGRRPFLRTLFDNLESHKRCINPDDL PPTMHDAIEYTRQLGVHYIWIDSLCIIQDSVLDWREEAGKMASIYQRSYLVLSATSSA DAYGGMHVSLPSDYQTFALTVNTKTNEICDSNIADQANFPMTTNTTETFYVRRTYDHV NRGTSSYAGRIPMLPTNKRGWIFQERFLSSRVLHFGPHELYFECLEDSACQCTDHSNS SLDQESVLGSKGGGVNETTPQQSHTDNFQQHSSMRKTIAKAYYGPVVWRIMTRDELMY AWHNMVHDFTRRDLTYAKDLFPAISGLAREMSVARAALHGNADKAYGTGYYAGLWKDS LVRDLCWKVGFENIATDDEDEVKRIKAWTDRALGWRAPTWSWGSVNAPVKFLGQEHGT KDFFTPLCEVLDVSSTAAAGSDEMGELITGRLVLRGQLLPARVTHPRLKTCRQDGLRL PWQILSLEFGVLVENFVQHLFVDDGCQDLLGLLDEMDAGGDPPVVYCFLLGVVRKGGS PVFLLLKKVKGQSEEEVGQDVYHRFGRLQLTGPPRRPKNDRTPWKERFGVLAQAKEEV VTII NEUTE1DRAFT_130169 MSAQDYYNQGQQGGNGGYGQQPPQNNPYGYSHGQPTPQYQSQQQ GGYPPYGGQQDAPYPPHNSYSSPPPQGGYHQAPPQYHGQSQDYSHQSYGNAPPPHNPQ APYGQPQYGQDGQRQYHDQSQQSYGHQQGYPPQHQQYPGQGGPGGPVGPDGERGLGAT LAGGGMGAMLAHAGGAGTLGGVVSAVGGAIAANLLEDKLQKKKKDKKDKKDKHHKKDK HHKKDKHRGGGSSSDSSDSD NEUTE1DRAFT_146872 MAPPTHRSEKPEPEEENYIADPGSKNIITFEQPGLVGGTYTIQV QQTISEDKSEDDNRKETDSTTCDPSTPPGKQQLKVLAPKFHLPADSVYSIYPEQGHEA THDTLPHIVLNNSTLPWERIGSRQAEGHRTDDYSKHAVPWMALLIFSPDELHLDDVEK AGIFAHTSLNAPPPKQSESMAVRVPLGDLVKLKEGTASVPFDDQIDLDQATTPDTNLI FPKASLFTRLFAAYDDNGEPQPGTPDISRHRFLAHVVRMNTRGTDSDKVDTPREFSVV IANRIGPLDGDEASQMIVHLVSLENVESLNPVPLPEVVPGSSSPEQPARVGLVSLYSW SYTCLPPNSLSVKQTFENLARTASMLKPIIPPHSEHLDDAAKRMLNRFEEGYTIVRSM TPTGEPTAALIRGPLTPNFIAPLERDFLSNSGADLKIFDEKLDMMDITYSSAWSLGRS LALANRAFTVSLTRVRHEILHPGTSERGGWKTTYDDLTDPSSSDWSIVLRFVLDLYHL VNVPSRYLLPGQSMLPRESLRFFFVDGNWIDALVDGALSVGYMYQGGLEGSPVEDDDV VRRALKKSINRFMFTDPTTVHHYRSAAVPRYGFFLRSSVIAAAQFPDLKVSVVEPSGG DPNLKVLLRHDIVAEETMLGFFSEAPLKGELYQMRFEVPAHQQFFSLGSVTDQDLEVV YKRQYTTTKPKVEDRSRNVPVAMVKWPRDGKGKSMSTNDNDTRERSQVFIWGSKSGLN DVRLVLVEKLAADVHATLVKEMAELGSDWYEETTATSAMMAYQLSSRSWHLQIG NEUTE1DRAFT_122725 MDRNNDNDLTQAARKLEVFSIQESLTFCDPALSPPSSASPSPSP SASASPSPAPAQQPRPTAASDSDSSAIDERQSICPTCLNLNYSLFRPFDSHPPILNSY SLSVSPSHNHFPSSVSTALPPRYLLIEYQDLLQTSTSTSTPNSNSNSNSNSNSNSNSN SESNDNGNTPACPYCPLLHKAITSFWDDDPSSYYRYKAPVADARSFRRILCLTQQPAV TSRDGFGDGDEGTGAGGGETGGGGGTLRLFKALLYDDYPDATFPHALTVRDSTSRIEF YHHPWDLVDLKKAEEEGKEGKEGNGVREEDKEPDPEKERGEGISMDEVGNGRATKELN KSRKSEELGRENGKNEGQGEREGRKDNEKGHKEGIKEGNQRWTSLPHPAIGFANHVPY AITLNRVVRLATRWLSACDSSHPKCAPEPHQLPKRLLDLRGGVKLIDTTTTSPSFTSF ASTNPSSSSPKPPTYMTLSHCWGLTHPLLTTTTTTLDSHLSQIPWSSLPILFRDAILL VRELGCRYLWIDSLCILQDSETDWLTESANMSSIYAHATLNIAATAQRDASVEYFRTL KEPILGRAWVFQERLLSRRTLHFGSSEVLWECRSGCFCECGGIERGHVLSVRNLNNNA AASWRAPGTGTGSGNNDRGGRDNMESSGLLSPPLPLSRSSTFETAGSSLSHGTIPRKV LFANLTSGSSRPGQMKDSSSRLLHDFFLRCVEEYSFLCLTKEHDRSFALAGIAKRIRS LLSPDDRYLAGLWLHDLPRALLWQPYRHKKVCRAGSNIPTWSWMSRSCYPVENLQAEP GKRKGNPSASKCSVRYKHITRSGYEFELDDRLQVDTDPAKTWCEFEGDNEFGKLRGGQ ITLTAAYRWGIVWTMGTKRSSNEWTGRNNLVIAIQGNEEGNGDGEEGVLLIPMAPDCP RQEDPKSVALLEKVLVVLFGGRKLDKETGGDVDGPQFFLALKEVEGREGVFQRVGFLE SESRVDVFENAEMSHNNTTKKQAPANSLVWLVTGCSSGFGLEFYLNIKARGDYIIATA RDLSNPNMRHYIQVSQTEDNVSVLQLDVTASQESLNGIIAEAIKIYGRIDVLVNNAGY VALGGWEDLGYDGFVKQFETNVFGLLKVTNAVLPHMRERRSGTLVFMGSLSGWKGNEF CGAYAGSKFAVEGMVEALQKEVEQFGIRTLLFEPGQFRTNLLSPSHVVANVSKIDDYK EASEMTLRSYADVDSKQRGDPAKAVEIIMDVVRNETFYRYIEEGDVTYAFRNAKYGTM KFRLPLGQDAFDAIKTKCDLTLEVLDAWERLITSTDHNDVRHSDVGETSEEVST NEUTE1DRAFT_117210 MRPGAKNGLQTFVVLGYKEISLGFLHDCYEPCRPCLCDHDENHA YGEDLPTGCTWSEVDNPSHQRHVTCRRFFFYLFLLFELFLCLICIRFT NEUTE1DRAFT_83087 MAAPQQPDINSILQLLNATQRPASSTPTQSQPGLPAPAQPPMPP AAYAHPPQQPPAYPPQQPPYQQAPGYYPPPAASGGIDLSAVRPVNSGTVDIQDAVAKA RAMAAENGLVPYEQSSRPYPPHDSRPHDSRAPDNRPYQRARSRSPRGRESYRDNVNPY RDERRGGDHGHHGGQSRDYGRERSFSPGRGRQGFSPRGGHGGGRDRSPLRGKEGDTET IQIESSLVGLIIGRQGENLRRVEGESRCRVQFVPPSSPTEQYRPCKITGPRAQREEAK EMINRIIRDSGMRGSAPADRPAPRDSGRGGSAAPPPLKEGEDSLQIMVPDRTVGLIIG RGGETIRDLQERSGCHINIVGENKSVNGLRPVNLIGTPAAAKTAKELILEIVDSDSRN ASNPGGNRPPRGDNMGGGGGGGYDKQNDSIFVPSEAVGMIIGKGGETIREMQNTTGCK INVSQSSGAGETEREIGLVGTREAINRAKRAIEDKVDAAKQKSSGGAPPRRGQHRDYD NPNYGQPSNNNSVPQQSLPAGNAAAPAGAGAQGDPYAMYGGYDNYVALWWQSQLAAAQ GQGAAGQAPGTS NEUTE1DRAFT_83089 MLPTLGTMAYGLYSLLLTSTILVGAASINLTPTERYLQDSAPKL AGIKYTVPKAVLGSHPNTRKLEVAHSFQTLQGVSHSIGGSSSGTTPRAVNRRSAASIL GQHQRQGSAYGYENVTVTTAYGTQYAAEVHWNSVPLLLLLDTGSSDTWAITHNFSCLD YLGNDVPQKTCGFGPAYKPDLWNPYGPTDPQTHMFIQYGDGEIVTGPMGFADITVGNI TVRKQQVALAERTFWFGNNLTSGLMGLAFPSLTNAGLGGGDGHESYNQLYYPPLFTSM VNQGSVPPLFSITIDRNASSGLLAWGGLPPATGLEKGKDVELDMIITNLIDVPETAYD YSFYTVIPDGWQFGPNTNTRKFPYIVDSGTTLCYLPSAEAQQINTAFNPPAIYLWMYG AYFTSCDAIVPRVGVILGGKTFYLNPVDLINQDMVDPLTGLCMTAIADGGAGPYILGD VFMQNALTVFDVGRGKMRFLGREFY NEUTE1DRAFT_83093 MASELSKKRKLKDAKIATEDGAATDKKTKKVKKDKKEKKAAEEV VEDTTPEEENDAQKAEEQQDGDEVIPTGNEDKEDSDDKDAEAGDELTKTNDSLIAPSI ATNATDFSELNLSDKTMKAIAEMGFTKMTEIQRRGIPPLLAGKDVLGAAKTGSGKTLA FLIPAIEMLSSLRFKPRNGTGAIVVTPTRELALQIFGVARELMKNHSQTYGVVIGGAN RRAEAEKLGKGVNLLIATPGRLLDHLQNTPFVFKNMRSLIIDEADRILEIGFEDEMRQ IIKILPKEDRQTMLFSATQTTKVEDLARISLRPGPLYVNVDEEKQFSTVEGLDQGYVV VDADKRFLLLFSFLKKMQKKKVIVFFSSCNSVKYYSELLQYIDLQVLDLHGKQKQQKR TNTFFEFCNAKQGTLICTDVAARGLDIPAVDWIVQFDPPDDPRDYIHRVGRTARGNNT KGRSLLFLQPNELGFLAHLKAAKVPVVEYDFPKSKILNVQSQLEKLIGQNYYLNQSAK DGYRSYLHAYASHSLRSVFDIHKLDLVKVAKSFGFSTPPRVDITLASSMSRDKKQTSR RAYGSQPKQNRH NEUTE1DRAFT_83096 MAPRTNGIGVQVENTRICVVMVGLPARGKSYIAQKAQRYLAWLS IPAATFNVGNYRRTDAPQPSADFFEPNNPEGERKRRAAAEAAVADMLRWFKKGGVVGI LDATNSTKERRKWVLDLMTQNNIEVIFVESKCDDENMIMANIRDVKTTSPDYQGQDPE TAALDFRNRIRNYEKVYKSLNQDGDEEDLTFLKIMNVGKQVIINRIRDYLQSRIVYYL MNLHIRPRTVWLSRHGESMLNLEGRIGGDAELSHRGEEYARKLPELVRESVGSDRPLT VWTSTLKRTIATARHLPKHYNQLQWKALDELDAGVCDGMTYQEIADMYPDDFQARDED KYNYRYRGGESYRDVVIRLEPIIMELERSEDILIISHQAVIRCIYAYFMQKPQEESPW VPVPLHTLIKLTPRAYGTEVQTYKANIQAVSTWRGKGSTAKHEDPSPEKSLFQTH NEUTE1DRAFT_130177 MSLSKSSKEPTPPPTPPNEPQATDIPLPESPMVLPPAESPFWTV VAQEARDLARTLRAINNDDDKIRENPDSVSEMDADNTSIPKRDSATEKRSVTPLSEAS EEDQTVELGDGTIQGNLDSVSDTGHTNSLKRNPTSTSEERSITPLPDQTMDEQGEPSE LPEPLRQLPKIPEMLAKLSQRITDFEAAEEAENNGTCSTLLERQQKLHDATSSLLLDA LAVAPVVMAEGHSDSSIDPSLIPLPSDDEDEDDLESEESDDYTPSLSLLSFTRGIGGG VGAGQHQDDVHEDDALLGLGPTDIPFPPSLTVSEYDSELEEQETPSLVPQFIADNLKA QGKDHHLPDTIPTCTAYDDIDWTDLLPAPVPQDSSSTKAVPSSNSDTKSSLAVQVDPA DVPLPEDDPFDVPLSVNEDDGSGLPDYSNFEALGDHGYDNHGDQENESDSSQLFVENR SPLFDRRQLVISTSSEDEREANLEESQPKGDAEADADDIQPESLLEETVVAEEKRPSK EEKGKGKALPPTHNLSQQLVNTSNEVQKQHEQQPEQMLSPRSPVSPYSSVEHPVALAP PIAPVAPMVSVAPFSRDQHMRADQLSPSQSVVIPEQQPEPAPFPRGTQGQPTTPPTAH VPVYVGKATEPRVDRYSPLPAPSSPLLSRSSPYRAYKSSQPRPRESLLHSVPYTPAVV PWDEQKDLRGLPPLPDPERLPTYTRISKTTDNSRVMPMWFEGFNAQEWLLIEPSANIM YHRIRNQWHPSLPQWPPCIIGPTPLIMELSQIGKDGLAGEINILLHCERYSPPGFLPC PQLRSFTNFIRDCLALQNIRGITVPDFQTVHECKTWLETVTKNGRMLSFMALHCHVER LRSGVLPGTHEFLDAIRDMGCQLGWNENVYFSKTLVLQEFRHVPKYDSTSTPFSLRGN QQTQNLGQYFGYGQGKATDYCIALRDDHPHLNIRVTLAALNTLNFEWLKWVGPPDAWV KTWDPCDEVVGPQSRTRLAQIRLRRKDLYDEFTALNGRVDGWFEDHPEPPRTALIAGG MASRLCACRQCHYAICHQQHHDDESVCECDDETPSSSGNSSPGRTRPGGDGLQPFPKS RPLPDPPRPGFSSLAYADGESLLTKEELTSVRRAEEMMDRMDRKFDKNLSHLNPPKRR KTEAAAERAEAIDAEAEEANKKTAEPDTRTEAQKERQRRRNKKKKEQEKEAKKKKAAR TEVVAESKAQILESLKTGGFDREAIKNVVNETMAKIGPKPGAPEDKSRGQALANKGNL STEEVAHYRNYTKSLYADHLKRKEAGESTKLFGKFEVPADISEEGFFDFFLNNLGIVE TDDRGAFAIIEEGTGGQDLLDSKCGGCIPEDAHQDAVDNELGQASIKPSPVTEQEVPA ETVKHQPKNVHLTAGPSTHDVPDHDTDGIVQPKQLDNIASADITQPSPEQPASPEATP KAAPNDTTDATPTIAPTDTSTPSHDLPNHDTDCTPHPPPLDRSKEASKAKKERRKLRK RLDREKEEARLREQAAAREELRRQAEARHQAELDQKVAEAQRKLDEEKARRLEQHQKE EIARLQRVKEEEAHLQKVKEEEANRKEEDMRKREEEERLAEEEKKRQEEERRKDEERQ KEEERIAEEKRRQFEEEARLQAEKEWYAKEEQRKLKEANQKEEARRIALEAKQKAELE ARQKAKLEAIRLAEMEAKRKAEEEREAEQKRKAAEAKRKADEAKKAAEEVKKKAEEVR RQAEEEVRLKQEEEAKRKAEEKKRQAEVKRLAEEKRKAEAARQAEEQQKAELKRQAEV KRLAEKKRKADEAARQAEAELHAELQRQADEAERRAQEAAQKARAALQAELQQEARQR KAAEAKKRAAEAKKKAVEEVERRRQADLEAAARRAKEEEQRRLREEAERLKREAQAVR KAEEEAIRRAMEEARVRREEEARIRREKEEAARLKEEAGQEKKEAEQLKKEVEEARER AEREEAMKKAANEVKRRKKAQRKAEAEAKRKAEAEAAQVKAEGEAKLRQMLGIQQVDN SLTLAEQEATPFHEQQIFLQEAWHQIDESLILSQQAHNSLLRAQHHNSEAFRQLGEAD LLLSLSQDVLQICQNTQDSPNLILARLRFDEAILQAQEGYRLLQEAWDYHDSSTRTAE QGHRLLVEVSHDGEYERSAAQYSLVEARHQNDEAFRRAEEGRSVLEKVFAEMGIPLHH DKKEGQPHADCGTHIPEQEPDTIPITAPPATHHQLSDPEADADTETVSSSFESMSTTT SGDQSEAPTDNRPYEERLAAFINNQLLTYGVWDPKSFYVRYDPRNPNGPRAHEMPVMS ERERLGDAAGSGAMRAKEAWW NEUTE1DRAFT_117213 MSTDKITFLANWHATPYHAPLYLAQAKGFFKEQGIQVALLEPND PSDVTEIIGSGKVDLGFKAMIHTLAAKARNYPVLSIGSLLDEPFTGVVYLKESGITTD FRSLKGKRIGYVGEFGKIQIDELTSHYGLTPADYTAVRCGMNVSKAIIKGEIDAGIGL ENVQMVELEEWLASQGRDKADVQMLRIDELAELGCCCFCSILYIGNESFIAQNPEKVR AFMRAVKKATDFVLANPDAAWKEYVDFKPVMGTELNRKIFERSYAYFSEDLKNVERDW SKVTKYGQRLGVLSPDFTPNYTNEFLDWALQPESSDPTGDQKRMVELQKNVACCGGFH RLPLGIKA NEUTE1DRAFT_138309 MRSLLAAYLPVSVPAATPAARYVSGGPLFAQVLTSPPRPAVVHA SRPADVPPRPAALPAPDGPKQASLTQFGVHGGYPCPIHGTLSCP NEUTE1DRAFT_83104 MASTIKTVTKDSSPLSFMTRLSQHVYLYRPSSSTTLSPTTTTTT TTTTTTPGNRPPPKLILLCSWMGARDPHIAKYITPYQALFPSTPILLIKSEMQHVFQP KSSWPDMQVTVPVLREIFGSEMLQPGSDNFGSSTTALTSSSPSTPLNDEEDQPTLLIH LFSNGGSAQLLSLHHYLLQSESRLRLPPHLTIFDSAPGQIAYFPTYRALSHVLLPPVS RAGSSSLWDTLRRLIISPLLHMWVSLLWTSNFLSRLLKLRFLESPLERAAREQNDRTP GKGRAERERGRMYFYSEEDELVGYRDVEAHMEGARRGAKGVRGEKFAGTGHVGHARGE ENAGRYWSCVRGFWEGEGWM NEUTE1DRAFT_122734 MPFSWACRVPDRVRLLPWLSLFLPVLLGIAGLAGNVTIRSLAIG HPVSNWSSWADPQMLVSGIGTVCVAVLTFGFKGGAKTSFWVRARKGMPLSDVHYAWES TTSVAEAAKAIWRGKSLSVAWLTILFVVAIPGNAWLWAHFLYQDDVALSYNGTANIHI TPKILPPFPLALTINRPIMTAYREYLANTAVQFPFNVCDKCSVDTLMNEANKFRSMDD LMFSHYQDFLGSSFLPLPRQPNLYEGCLELFTKLGQSLYGTRFGAMRDKYWWHYEDDA FGMGYQSHIAAAYARRPDIQSSSTREHPCDLDFLSPMDDILDMYRQLAVRVSVIVAVN TNETQYVPFIGERTERQWLANKERAQLCYHAAMITHIVGILLVIFMHWGFWKLDRGYS MSPLELMNAVAHCSDESARSLLHILREAEDNASVSRLKGCFCEDLYGEFTL NEUTE1DRAFT_138312 MKFILTVAALTVAALTGAALGGLVAIPVDLSKYNLSNCTKAYLP YTANKMTGFEVNEERKYAYCVVTTRNSTFFDYKCLCRGGDGEGSAIIDAALLDGAVWL ECGWDYSFNVVFPETRNFCRTLLNEDAATDHGQLLERYVPT NEUTE1DRAFT_43859 MKFFFPGWKLSWPAWVPDWLRLFPWVSFCSILVGIAGFIANIIL RAKAVGHPVAEWPYPLEPQMLVSGISMISVASLTFGFKNGGIIGFWVRARRGMSLSEV HYVRESTTSMAEAMKAVKRGKALWVAWMSIIFCVVACGNAWFWSHSMYQGDVTIRNNG TLDVHITPDIMSFHIYILSFHVYIMSFHVAKHLSQSTSCRASWSWMRKEANIFCSEYF PLFSQSRFSYEFFPNLFFLPLEPRPFHVVQHDVRLEILTKMVRDLYNTKFGTIHRQWH WRFSDDVIDMGSSYRSHIAAGYAIKPEIQKQGVLPCRMSFLSPMTDIIETYQELALRI SVLAAVDTGKTQPVQYWSEKAQRQWLDERAQFCFLMALVTSASAIFIVILTHWGFWEL PRGFTMSPIELLNSVAHRPNSPQNILGVLAKAKDDASAWKLKKFAYEWDEINEKLFIY RKGRDEKWGFEVQMEEHELEEQL NEUTE1DRAFT_138314 MCRHIVFSGTCPHCTEHFVWEDLSQELSCLEAKNTGVFGQCAFG VQIEEHEFDQECEPCAAENERDEGYGAAEGIDNELVVPPLGPGGEFIIPDPTMKHLLA GQKHADAGGQQEQEEEDGGGGSGKSKTKKQRVV NEUTE1DRAFT_83106 MVYNEKNGGGIPPVPLSLNTATPWQNVNLHNDQQTEPQLKSHPD TDPRITPYLGLRARLSQLWFNRWTILLILVLIRVILLTANLKENLGDAKAKALSACTK VEDVGSAMASMPYYMSKGVNVMAAGSMQKAVEAMASVLKMILTGVQAIIMFVINMYIG TFACLVAAFIHGGLHVATAVVEGATKVMNDAISSITKGITDDMKSFQSAIDKARDFIN SGIGLISKDIQLPTINIDSHIRDLQGIKINASGVVNGLDVLDQKIPTFDEAKNLTESA LAIPFNLVKNKIDVAFSEFTIEPTIFPTAEKQALSFCSNNSFLNDFFESLVTLVYKAK IAFLVVIIILAVLAIFVMGYIEYRDFKRERERAARMDANAFNSQDAIYIASRRWTADG GMRLAKWWTKDTDSKNYLLIRWAFAYATSLPALFVLSLAVAGILSCLFQWVLLRQIEK KAPELAAQVGDFAGDVVGTLKQVSNNWANSSNAVVANMESDINSDLFGWVREATESVN NTLTVLDDQIDHALVAVFNGTVLLDTARDVVGCLIGRKIDAVQDGLTWVHDHAKVTLP RFDDDIFSAGAAQSMGSDGDLSSFLAKPGAVTTDEINEAVGKVIRSLRNGVIQEALIA LGLLLTYVIVVLIGVMGALIGWATPGKTRGEGGQQFGGRPPSFHNHNGFDPALAPSNA MVGNPASPHYQNEKFGGVGDMHDVASPAYEEVVYAGRVPVGKTRELITRYPSHQRTSS YPTVESPDPMPHGDEKVPGYFTPI NEUTE1DRAFT_130182 MASHDSERDLPIPLPSPLQSHPPDFPIDSPTDTDPAPVSPISSI FPMSTVPTWPGFGAGLRMVSPSPPPTAVYPRPLPSLPSLDTSPEAARQEPAYVTRTRL RVERRIRYLPEWETPRNPPKRSKLQQTRNLLSSIPFFEARKQPSFVKKQHKPRSMSIP SLFSLMDPPVAEEKDEAMPPNDQHGANNSGWRKSLGFGYGKNSSAGLDLERHGEGGIV PTHTVTTLGSIPNPPPPPRLTEKERMRSWVNQRLLPPEKRYPFGLDRRRFCLFVLLPL LLLLLLGLALGLGLGLGLKHNDDDSDLPIPPPLGEDPPMAIHQATFNHYSPSNGTGAC GYNITNDEVVVAISYKIWDEAAKRATDLLPQELQDSSLNSDPNTNPLCGKVIWLGRND DFENRDNWIQARVADRCAPERCPESEDVDLTAGAFEAVYDSDFGSANSDVGEEQDGGR EGWWVWDAEADARE NEUTE1DRAFT_122737 MSQPTLDGLETHQLEALLHQSQEQARAAENQARAIENQLKARSS VLTPTFSDFDTVPGNYRVSSSGSPAASNVRSRSNTIPRSVGGVGMVPTLSLNGNHAPQ PPLEQSRPMKRSKTTHPTTPATAATTVRMARSRSSASAQPAYRPHNPFAGSGPVTPPY PRPARNSLPATHPSSTILETAFNLNQLQRPTATAFLYGNPSFHAQLPQRPLPMGSLAE TGMEMNPADFISMWDQEEMAMTSPLHTQPIGIPTARHIGHFQYPGDSGNPSVCGSLTS APTLETVPMTRSNSNLNDNMPNHFAEMVRIESQQPSPRNRRESYSQPQPFTTSLLGKR SASDPDFLDADTNGLPVSFNSHLYSASAPANTLSLSNQYQMGNLVLQSTSESPAEDDI EQELDQHLSMERSESKDSEKSNQSQSLKHRAKEALVRQNVNASKSRHLQPKPATDATK MESSEPAGVAGKDGKAVITKTKYERPKHPKVKCLQCNENPEGFRGEHELRRHTEAKHN MLVKKWVCRDPALDGIPHSEKAVKPLADCKQCSQGKQYGAYYNAAAHLRRTHFKVKQS RKGANSKGAKSGAGQASKAEEEKRGGKGGGDWPPMSELKHWMVEKLVPMDQEGAFNQD GADSDGGVDPEDTENGLFDAQYVQAGFGLAPRTGVFDVAAFAGVGGSFQDFDALNATA FPNVNGELGLPSSGLLMDAGLYVHQPIHSMPIASGFDFGTAPDAPQQQQHAMPPAMTS IDNHNYTSPVSSTTTITQVGLSAEHQFLMAPAMQASQNDVPDMSFDLMFTAGAQ NEUTE1DRAFT_45608 LLAEPGVLLLLLQVLHQGFVRCGRAHATPPSICTFLRPSTVLTM PACIRLLFGCRIDVETRWQITLGHFQGTAQASQPASRPTMPTNPTTKQAYPQIGDKIS FSLSLSDHLFSARSIIAPIFHKTFRDRNPPCSRIPLILGPNRHHLAQRAGVGVGTT NEUTE1DRAFT_83111 MRRPSLALLALSSLPFGSARQPASFSIHQDLLAHPQFEVIFSDS YISEADALALLEAANKTPKPTPASDGAHDGSTTRTDLTSAIRESATANADTDNGDDSI GGTSPLRETYELIAHPPMRYLCSIPIIAPPPALNKTATELAKAEEAREVTRAYNKGWE LMRGLENQCLHFVSGWWSYQYCYGKSIVQYHAVPNPKGGPPLRDKNSQEYILGTSLPP SSHSHKGKQIEVPNNEQKQLSPPPNTELQAKDNQRYLVQRLDGGTICDLTGRPRTIEI QYHCNPALSGDRIGWIKEVTTCAYLMVIHTPRLCADVAFLPPKETKAHPITCRQIISS DEEALSFNQRRKNTIDSAAAAAATTEDQKQGSESGSAEKLSYQGLTVAGIPIGARRIL PSTHVLPLPRHLQQQRQEAQQGNLLEALTKAAFKADVFGDYGDDNNNNHPKPGKGRKA AGAGKGQSGQKEIKKMRISERDIDKLGLDQQTLDALREEIRAAGLDPDRNLNDEREAG GEIVWEFYADVSGDEEDGVAEEGKEVFVWYEDEDEGGEQAEAGKDHKGRKKGGNGEGS GSGSEEGSKEEYYRDEL NEUTE1DRAFT_44696 MMFMWMGAHGRRRGNLAILPGLQLRGSSSPEAQIVRLKTEPGTS TKQSPRPSSSSNATSAAGSSNRPVQPPKVCDKCRLRRIRCDYQSPCQPCIDAKLQCTR NHVPRKRGPRPGRGRLINRLREREAGKKEEQRPSSSGDPEVSDGARSNNTDIFPQNAS VSSTWASDVSTAPETTGLWANEHIFPSRGAFLYLIPKCVELFYQHLYPVMPVLYMPEI QRMDPRVCDLPEKNLLFSLSALTCFRMSGHSLGAEDSPEFWDQAGRFLLNDCLDVRKQ YDLYDNISLSTVVSSMFLASSFFETNQSTKAWVYLREALTFAQELGLEDESTYAGLSP EEALCRQRVFWLLYVMERSFAILRNKPLMLRRTPKLPMTLHAYESRGIHTGFLQLLGI YIPLRDSIIEAWTYGSNSTVDVNTYLALQNQLARPAGSSGGLSIPHPFFPSSPNAAVS SIQMPVSQSCGFSDLSLSSSSISSSPEDPVAPVVEPTPSQTAELLVTQQWLRLIIWLS SLRQGYLSWAAENESMHFALPLTIARQTGLVLRSLMQIGGLEATGVAALEVNGMGIFE KIFEIGAWCMNVLDSYDKASLEGQFLTLPNFGRRHSAASGKNKQAARSATSSPADQTM DYEDDEDVDLLDVFMRALSATPTSRKQFAEPLYMWATTRPGGMRIGPSSVLHLNTGGG AGAFGMAQAQPGLTEQIPGMGLSQGLDLGQASGIGAAQGHDASSMMHQQQQPGIWNAA SAYAHGQAPLPGSATSSGAGLSCPDGPGPGGGQGQGMEDVVMGDQASHQGQGFNIDPR LGAGSNVPRGLQHASFGRQPLRPNAPHLPSPLPGQFGGPPTSLYDVPGWSPIDPGGLT RARSRTMGMGQLRGLMAMVQGGPGTPGSSGPSVSQAGS NEUTE1DRAFT_110690 MDYTAPHQPPIGGYSTSAISPATYNPTPYPHPPPPHPPPPPPPS RPRPNYIYKIHYRVSCSKTGARYHLIRTGPNYLVTLQQAKSYVAQCHANWFEDWWNEC VLNGPPRTTTVQAVLATVVGAERPQTQICRPGEPVGLEGVRLERICLGKYGGIKWGRS S NEUTE1DRAFT_43904 MQFLPIMIALVTSLVGALDSSDSSDDHHPVCKPKVPDFSQVPAC ATTCIFGSASASTPCATIPPDYKCWCTPVNFAAIIQAGTACTVASCGEDVANKTEENL DGYRWRRGLINKGLFCVCSMINAWLP NEUTE1DRAFT_138323 MKSLLTLLTLAAGLVAAQDLSEIPACAQPCIVDAVSSATTCSLS DYKCWCTAENEPAIVQAGTACVLANCGTDVAVNEVLPAVETFCEEVNAS NEUTE1DRAFT_146887 MPAEEKGLAEKPPAAPETPVAETAVATPPPPAPPKEPLTKKLFG YVRIFFSADPTWTDYLLLLIGTISALAAGVPFPLMGIVFGQLVDDMNGASCAAEQHDA NPFRYEHDINDKVLIIVYIAIAAFCLIYIYVLSWSLISQRLAARLRNKYVSALLRQPP AFFDTRAAGGEVSTRLHGDMAALQAGTSEKVGVLLASISFFITAYVVAFIKQAKLAGI LISMIPAYLAMGLVGGAYFQKYMIKAAGAIASASSIASEALKNVIVVQAFGAAPRLEA KFADHMATARDAGIKRGMSAAVQAGLLYFIAYAGNALAFWEGSRMVADAMAGKGTETI GQIYTVIFILVDACVVLGNISPLLPLLGGAQAAFDRLMKDIEEPSLIDGTSDKGVVLP FETRGEIRFENVSFSYPSRPDQLVLKNVDLAFPAGKHTAIVGLSGSGKSTVAAMISRL QDPNSGRITMDGYDLKELNVRSLRSFISLVQQEPSLLDRSILENIALGLINSPKPEHQ RLKDILHSHELADLAKKGKDSIDPAIVSSFGPLVQEIINLIQHAATEADAAGFIERLE KGYGTYAGPSGSMVSGGQRQRVALARALIRDPKILLLDEATSALDSASERRIQEAVDR AAKSRTVISIAHRLSTIRNADKIVVMEAGKVIEEGSYDELMAKEDGAFAKMANLQTLG IAHKAEAGSSVSGESIESSSSATGTSVEKPSASEVAVTPAPAPAAAEAATPSGDEIDG IDTKRPLSSVVRSLGRFLRPSLGWLVIAIFAAVIVGGTFSGSGVLFGFTISSLNPCSG SMDKVLYYGKFFGGFLFMLACVEFFANFAAWSCFALIAEKLLYAMRVLSFRSLMEQGV QWHQSEGRSPSSLLTIITKDSASVGAFSGSTIGTVFSICVNFIAAIILSHIFAWKIAI VCLSIVPILLGTGLMQLRMLARYEERHAEAFNRATSVAVEAVQTIKTVAALSLEHEVL GSYQRLLKNNRDEMVKAAAFTNIWLALANSISFLVYAFAYWWGSQRIMHGEYSQRDFF IVLVAMLVSAQLWGQMFSLAPEFSRARLAISRILGVIGLGSNDHVHDSSSSSPSTDPE ATGESSASRLSPQKKSGVQITFSDVSFAYPSRPDHPVLDSVSFTIQPGQFVGLVGPSG AGKSTIMNLVQRLYSPTSGSITIDGVDISSTQSSNTFRDSIALVPQEPALFDGSVKFN VGLGARPGHEASDAEIEEACRLASIHDVIASLPEGYETECGASASRLSGGQRQRLAIA RALVRKPRLLLLDESTSALDAASEAALQEGLEKVARDTTVLAITHRLHTVQKADVIFV VEGGKVVDRGTHSELMARRESYRVNAMQQMLQ NEUTE1DRAFT_83116 MPFAVLPALIPDIKPLYDTYFSAFTADPDGRKILEILFPDGYTS DEFRKAHTEGTLAWLHKAESQYTWKCVDVATGEIVGMALCDVFIQPKATKEERAYPGI PWLAGEQRERADQILGPLCEAREKVMGGGAYICKFLGSGNDECWKDVHAIAVDPKHQG RGAGAMIVKQLVNLSNDSNLPIYLESSASAEGLYKKMGFVRVPKEKASVVHSKEVLGT ETDVEVPLMIKTPARTGL NEUTE1DRAFT_64441 MQQVVQGALSFLGLNGQSSNTTSHLFDTLGLETISRPLSIPTQN GVSLLDASLLAWLLLLQRERGEDDRVENFNWGRRTADGAETSSQFSVKAVLGSEGLDQ TVTVKNVLEALKKVTGQEVTEENRTLFFNDGKEAPEKIKEEGYETAQRPNWKFQLELF PSEDAEQHDLALQVYWFKNVLDALTTSQVTIRLNAFTELLNLILSSPETTSISSLLAP LPCDLNQIWAFNAVVPPLIDRTMQDIISEQAAANPSKPAVSSWDGEFTYAEVESKSDL LARHLVSRGVKLGGVAPLMFEKSRWTIVALLAVMKAGSAFALTDPTSQPEGRLRVMVE QTGGDIIVASASQTELAEVCLPSPSGGSKGQVITVSDELFTILSQTPELTTVTLPVIP TETTPLYIQFTSGSTGKPKGVVISHANYTSGALPRAEAVGYKSSSRCFEFASYAFDVS IDCMLCTLAVGGTICIPSDADRMNDLGGAILKSGANMAHMTPSVARVLDPEVIKGLDV LGLGGEAVSAADAAAWSRGKTSVIVAYGPSECTVGCTVNNVFARDEEAKKKGFTTGNI GTGVGGCGWVVDPNDHDRLVPVGAVGELLMEGPVVGLGYLGEEEKTKEVFIEDPKWLI AGHGDAHPGRKGRLYKTGDLVRYDADGSGAFVFVGRKDAQVKLRGQRVELVEIEHHLR AKLPSGVKIAAEVIKPSGGDPTLVAFLAETSSTGISAKDALQSVVEGEVSFSPELQAA LDGIEEVLGIDLPRYMVPNAYIPLREMPSLVSGKIDRKTLRAMGAAMTREQVSGSAKR AKQCGDGGAPTTEMEIALHKVWKQLLGTEQEIAAGDSFFGVGGDSLRAMRLIAAARAE GVKLTVADVFRYPVLRDMAVVATKVDTQDADGKDSNEVAPFSLLESDWAIEDVKAEAS KHCDIDKDAVEDVYPCTPLQEALMALSAKVKEAYVAQRVLKMEGQAAADKLKAAFEAI NVDCAILRTRIIHSPRGLMQVVVKEPLHWHSAPTLAEYLETDRNEEMDLGKPLVRYGL IQDGDAYHFVLTMHHALYDGWSMPLVVDRVNQAYQGLVPRKPAAEFKHFINYLNNTLD RAACDAYWKDQLAGATGSQFPALPFEGYQTRADSLWELYVSLDGRKPPSSPNTTITLA TIVRAAWALVASQYTAGNNDIVFGETLTGRNAPIVGADEIEGPMITTVPVRVCVDREQ TVAEYLQQVAEQMITQIPYEHAGLQHIRRLSDDALQACELRTGIVLHPAAGEVGVTEN TPANGLVPAGDTEAAQEALKFNTYALMLVCSLEADGFLVMASFDSKTVEMGVVKKALD QLQVVVRQLCEEGSGEKKVGQLECLTEKDQEEVKKLVGVTNLTAESDPFVGGITAEDV EGVWIVDAADAGRLVPVGAAGELVVETIKELAAPVTLLAEKPAWYEGSKKLYKTGQLA KFKVDGESASLCILKATEPKTEVAKKKVASSVAPFAVAATSAKQKTLRKIWAKLLKVK EDSIYLGDSFFNRGGDSITAMKLVSEARQQGLKISVAQVFANRTLFDMANVMQTTGAV VDASEKVETEYKPFSLLEENNKASIMKVVKNSLVDKSWTIADILPTRPLQEIAVRGTV ELPRFSLRYELMHFNGIVDKAALFRACHEVVARNEILRTVFVRDASNTCYGAVIDNLI TSIAEYEIDADADVKDFASQVCRLDSQTRMPYGSSFVKWFFITNGTSQCSLAFRLSHA QYDEICLPIMLHQFHQLYLSSPESSIPPSYPFSHFIAHTLRDSIPAAIPYWRNLLSGS SGITRFRPSTPITSRKHFAIHRAVNISSRSRDVTIATLPSAAWALALARHVGSPDVLF GEVSSGRSVDVPGIKDTNAVTGPTWQYVPARIQFNNASKPLRTGHDLLVEMQTQHMAS SAYDCMGLEEIVKTCTDWKLEKDMWFDTVVHQDVAHVEKLEFSVSGDGGEEKKTGAKA EFETMYCFEEPLREWKVQAFRDEKGEEMVLEIVTMECWKEEAEVVLGEVCEALKQLVE RPWEELDVARLEE NEUTE1DRAFT_138327 MSSLRSLLFLSLFSLLQYHASLALALPTTTTTTTTNNDILTPSL NTPNDPNFDLNNPVLNSNYKPNVTEFSTTDHLLDDVTLICPSPRAPQFGSTSGAWKVL NHLKTLDNNGPPLPGVFEHADGCRRMGCEEGLGVFWCVGDVAWTYQNAPTYKTLAEQA QMVLDLEKCRTTDATNTRPLVAGEAVYGDHAWSVVLKGVDCKAGQE NEUTE1DRAFT_130190 MAAAKNGQGMLYVTMQPQEGLSLDQFHEWYNNEHGPTRLRLPQI FSNGLRYQATDGQQPHFLAAYDVTDMAHLLTPTYLDLRANRSSREAETIGQVKVDRFF FDLIWTQEAPSFKPAEQCTDAEAEGRVLVAVDVSLNLTQEPDAAEKVIQWYQEEHIPI LSKIPGWLRSRVLRMPSSIEGSNAGETKIITLHEYAAENGLGGPEHKASMDTPWRTEV FNKYITTKGRRTYSLFYVFGPAPRDLQHLAALPASAAFSAVSPRLTTTPGTSVDSPII SSYITTPHDGLIIPYQLEGNPSPSAPVIAFSNSLLTSLHMWDPLVKILKTSRPDLRIL RYDTRGRHSIPSPPQPATLDLLADDLASLLDALRIDKLQTLVGVSMGGATTLNFAIRH PSRLQKFVACDFNAASSEANTQAWKDRIAVAEADEGRGLKEQLAGVTVERWFHPNTME KKKEVVQWMTEMVAGNSVEGFRWSCQALWGYDLREKMREVKGVEGLFVVGEGDGKGAL VRAMDGFRGLLGEKGGELKVVKEAGHLPMCECPEGFWEVVKDFI NEUTE1DRAFT_64449 MEVFINKLPRDLSERSLTNHLKPFMDNLGIRHYDCNKRSNKHFG KVIFVTVKEADSFLKTHPRLSIMNQQAECRVSKPQPKDLKNLVNGILYEAEEEERRKK AWEENTSNNARPARTPTLALDADVLDCGHWSYIDGNLTFITEWSSPLRVSAKFAKHDL VITSLVGSQVCIPYRSIQELVWSNDGHVAVTLTYAPTFLSPEIPSDRFGQRMRLEACD SNHAKVSKFCLVYHFKVSDKHLKQNQGSYRGSDFRTAINGLNEQDMFWITHYTFAIQT TSPQDAYPVAVDRLRAALLEYEGRDTLPYSLLFNLQALVYMSYLHPTTVLKLAKRLAD MFETARRSGQRQDPISVDAFKDLFKTIDWPSPSLSSAEALAQFEVEGIIEHLKKTEKR MREGYTLRLNEEIPPGLTKIYRALVTPTRIELHGPELEAKNRILRKFPEHQDHFLRVQ FGEEDGQDLFFNSAVSMDAIYQRFKDVLTNGISVGGRVYRFLGFSHSSLRAHSLWLAA PFIYNGRLQLANNIIEGLGDFGNIKSPARRAARIGQAFSETPYSVSLDTHGIDVIRQR DIKRNERVFSDGVGIISQGALEVIHREIPESKGYPNCLQVRWAGAKGMLALDARLPGR QICIRDSMEKFRSRDEEHLEICDMASKPIPLMLNRQMIKILEDMGAPAKWFMKLQEKE LQRLRAITDNVQNVATFLKLQCVGDSVHLSQFLKDLDKMNIDYRRDQFLRGIVEAVVL RELRLLKHKARIPVPYGVTLFGVMDETGLLREGEVYVTFETVDGRFKGPPTAGPVVVT RSPALHPGDIQIAYNVIPPAGHPLRELKNCIVFSQNGERDLPSQLSGGDLDGDTFNVI WDQRIVSTLRTFPAADYPRVEPLKLNREVESKDMADFFVEFMKADHLGVIAVRHMILA DERDEGTLDADCLKLAALHSKAVDFSKSGIHVDITELPRPPMYRPDFLVNGPDIKIHD KSTIDMEEQYLKQDDDDGDDTPRYKYYKSEKILGHLFRAVDEKKIWTKNIKLEVPSGG VPFWKEVESSLLKRIRGIGQVRWQHRLDEARRICESYEDGIRDSMVEFADSPTQPLKE LEVVMGFILNKKGIQSRRQRDKSSKLSDAFARITKMVTNVMRPSTPPEEATSELHALE LCLACFYVAGEKKSRPQESWKRQVATDLESFRLVTSSALLLEIKAQEQKIRLRHAARS GGFVGVRGGSRVAGRGGRGGHRQPARVDVNTAAEAATLQTADTGAAFDSGSPSSTSAP TTPSSGSNYTPSSGSDTRDGIFNSPTGLYPDYQPELVHAQAAARTAGTSGGQVPSAAP ANMPVRLTPADLVDHIAAQYAQMQLHQRNW NEUTE1DRAFT_117220 MAPFRAEHMGSLLRPQNLLDAREAIRDKGLSPEEAGLPAIEKEA VGNVVKLQQELGFKAVNSGEFNRTRFWGLMWDEFEGSVALQEAEASMFRLYHPDVVSL IEKDRKVMPGDSVIAGAKLRHNREKSKSNLHELKLVQQFTPKEEWANIKLTMITPAWF HMRYKQGKAYAEGVYANDEEYFADVAKVYQEELKMLYEAGLRNVQFDDPGLAYFCSEE FRAGYASDPDNTVSIDTLLDSYIKLYNDSLSLLPADFHTGVHLCRGNFIGGRHFASGA YDVIAQKLFQELNVNTFYLEYDTARAGGFEPLQYLPKNKNVVLGVVSTKLRELESKEE VKRRVEEAASWVAKGTGESREEALKRVMVSPQCGFSTHESGYPLSEDDQRAKLRLVRE VADEIWGEA NEUTE1DRAFT_83131 MFSPPNCTAIPPSAPSDAGIAGAGVLISSSLTATIALLLSASLI LQSTFSSNSRPSTIRRKLLASYSDQQILIGITLQSLGLARSSHIIPYHFFIIWMLSLL SMATHNATLLALVQDFKRDWVLRWMRQGLMFVNLALSCVYGVVVLEGKRKGWEGTMPV GCIWAVDSVKGEDNNNGKVVAGGLDYVGTIVTIAGNVVVFGLATWYLQSRRQKWYRIV QLVGMLLMMGIAVGAAVRVFLLSQAFGKPDVQLSDQGEKQLGFGSLLGLLMMALPIIS IIEIKRGEVMVAPPLRDDVSDDEKPLV NEUTE1DRAFT_146894 MRLSQLAATLLAVVGNTNTAFAKPVQSRDTVSAEYCDASTDICY SEFISPEKIAYRFAIPDNATAGNFDILLQIVAPKTVGWAGLAWGGVMVNNPLLVSWPY QSTIVVSSRKASARTYPQVSNDVSYKVLAGSGTNATHWTLNALAQGASAWGTTKLDPS SNAVPFAYAQSASSPTNPADAASRFSMHQSKGRWSHDLASGRIANFASAVEQLEKPEE EEEAK NEUTE1DRAFT_138333 MASSMIVFHLIATICMSLRFYSKRLSKTKYFLDDWVLLFAWVIA TAYIVLALYDTKYGLGFHTSDIKSLGKQIYLPMFDKNHMIQLPLLFTGITICWVSKLS FFITLLRLVRNKTQKTVLWIAMTTSSVFLFSLSIVQPFAQCGSVLVALLNEDDSKHCV PHNITVPMTLAACALAALTDFLLSMVPTLVVWKLQMQRQQKIAIICAMSTGCLAGIVA ILKVIKTYETFFLGQQELYAAGLGIALNSVEVSCTVIGASIPFIRLLVPKLTREKKKK RHGGEIVAMEVLQDDNNQQQRKEVPVRSWYGGSSGGSTLNGLYDTRYSKNDDSVAILE VERGM NEUTE1DRAFT_43927 VSYNALTHNSLVHFRSQTSDLNYLHRRYELRPNTCARDKTAAVS PPSSVR NEUTE1DRAFT_44759 MACMAPKKAVIFFSFCGHYTASIISGAMEGERGFRNLPSSRGSF LAEKASTSRLI NEUTE1DRAFT_44497 MSKTMIPALFAATIPFLILSIVFACLRFYCKRPKQAKFFVDDYL LIISWFLLFGQTVIILWSTQYGLGIPPAKLDMKKVPTLVAWTPTALFLALNAAGLSKL SFFITLIRISSKRWQKIALWVVAIHSTILVVGTSVLGFVDCNFYRRKDPLHHDHCVPE KVGKILALTVLMHGAIVEFCLSFVPAMLLWNLEMKRREKIGLICAMSLGFISSILAAL KTKDHWKAVFGGTGMPNTYILGREAVFGITEVCMTIIAACIPFLRPLLHKFVDGKGRV EMPLALKNMATDSSSNGASDMGHPHTRLGSSSTFTTTKHGGAREEDAVAILEAESVAD HDSQDAESNESGTIVCKTNVSVQYRPREVHEGECRGHCEVGVSGPGEN NEUTE1DRAFT_83139 MEPSKDELDLVSPTKSNNLNPTSLNDPWTAKFTSASPEWHQHTN KTLLRKIDLHLLPFLILMYLLNFLDRSNLAQARQGTLETDLGMSGTDFNLATSIFFVA YLLMQLPSNMLITRLRPSIYLSSAMALWGAVSACNAASQKFTHLLVVRICLGIVEAPF FPGAVFLMSSWYSRAELTKRMAYFYSGNALANMFGGVIGAGVLGNLEGARGVSGWRWL FIIEGTITVAVAFAAAFVLPDYPNTTRWLSEEERAYASWRLLADINESDDQNSRSVWD GLKLALRDYRLYLFVLLQHLSLLSQTFQYFFPSIVGTLGYGTIETLWLTAPVWFATFL ISVCVTWTSSKTGDRSLHIFFLMLLAAVGNAVATGTTKVGARFFAMFLMPMGAVSSYQ IILSWVANSFPRPMVKRSAAVAIANMFGNTASIYGSYMYPASAAPQYIPGGSANSVIC LLVGLLALFLRYLHKWENKKLEKAEAEEAENPETAAVAASGDRRAAGFRYIY NEUTE1DRAFT_130196 MSDFEDEMDIDVPVSKDVMFAATNTAKGKRSAGNLPVEAEDSLP WVEKYRPVSLSDVSGQQDILATINKFVDTNRLPHLLFYGPPGTGKTSTVLALARQIYG TANMRQMVLELNASDDRGIDVVREQIKTFASTKQIFSLGSSSSRSGLAGFKLIILDEA DAMTSTAQMALRRIMEKYTANTRFCIIANYSHKLSPALLSRCTRFRFSPLKEKDIRVL VDKVIDEENVKILPDATDALVRLSKGDMRRALNVLQACHASSTPLQPKNAPKIAEKDI VRETITIRTIYDCVAAPPPDAIKKILSTLLSTSDVTTCLSTINTLKVAQGLALADIIT ALSEEIIKLEVKPQVMITWLDELAKIEHRVASGAGEVIQTGAVVGAIRNGVELMG NEUTE1DRAFT_122749 MPLTYTPRDRDYIPPPDTPRRAWNRPLDLPPEDATLGLCARHRL MDLRLADFGPRPFTQQLPPEWHLGTYDEVAARRSWCKLCQLIAEVCKDIAWTDQADIV MSWVGDVRWKKKASPNHDLSDGVGGMETWETLRLTVRSIMIGRAPAFTPFDLVPIGKK GELFQGRLLNQGQIDVDLVRTWLKSCREWHGAECSHWMRQQSALPTFYPFIRLIDLEE NCLVERNDLVPYVALSYVWGRVKVFKTCEKDIDALRLPGGIEKKKSLFPRSIRDAMTL AQRSGYRYIWIDSICIIQDDDTDTYKNLDKRHQIGQMGKIYQYADLTVVAASAEDANA GLPGVEAGTREARQKEVKISEDLGIVNRSVAWPDALLKTTWEERGWTYQERILSLRYM YFVDDTVHFQCQRATWSEDFAAECRDLDLTAADQVVNFSIVPSEVPPTVELRPNLKFQ LKRYPELVGQYTCRNMTFITDRVNGIQGILNVIKDHFFSQNVEFVHGMPTGELLHPGL LWRPRREPKRIHVDERKARPLWPSWAWAGWIIPVYYDSGADFSANSSGRYQTQISLGP DPYFLHLKARVARFRLMIEDRGNEDAVPPLPRVRPTRLTRYAITHVTNPEGGDHEWIG SIWLSWSHRKKFVERRLSLISKVTHEFILLSDADKFEQEELVQAATAEKRAVVNVMMM ERKDDPGTDGRVRIERAGVGRMYRDAWDRVEEKWERFELG NEUTE1DRAFT_110707 MNGFRHLAHEAAGLPTSNLDLDHNSDPDTWPPILSFNNSEPLIQ EFGIVSWPDSTFTSWGISTIPQSLLKSRALEDLLTKPTPLSPLPPSTSGSSSLPSPDF DPRPPSLSSTTTTSADLKPNPKPKAFLRLLHIPHSPHRSSSLLIPPPLLLLLFRHLNL DPHALYLHHTHTPGFHVLNCHSHSSTSSSSSSSQGKLGPSDEQTQRFYMRSNDVTTIW SYNVLRRVTLGICLVDERMKYKFAVVKHHIWGFRDVLASAGGCVVVVADMARGVVWRG DVSGQGGREENGREMKKKKKGRDGEEEQGAKASDEIYTDAMELSPVLMETLSRRCREL GRVVTQAQEAKSALRLALRMARQLKGRKLWRRLTFGADAVDPVTEEANAEIRLVVREF IIPQLKARIFGWTCRQKEAVVWTNVLMARISRTDASTNHREASSMRVIAVMTMAFLPA TFFATLFALPAFRWEAVDGYDSPGRGGGEGGGGGGGRGEGDGVIQKSFWIWVAFSVPM TVVVFLVCSVTSSTWWLEWRKEWWRKLRPRRRRW NEUTE1DRAFT_83144 MPPEQPTTPTITTRLLSLTGLPSLLSTPHDALLIILNRTTRMLS YGASSLILALFFSSLHFSDTQIGLFMTLTLVGDVLLSLLLTLIADRLGRRRTLLIGAT MMICSGVVFATCENYFVLLAAAVVGVISATGGDFGPFRAIEESMVSELTEAETRAEVL GWYVAMSSAGSCAGTAVAGWVVQFLVEGRKWEGREAYHAVFWGYVVMGVVNVAGVWGM TDRCELGGGKGRKGKGKGMGVQVQVLEPETDATRETEPLLAGPSAISRSTLSVMLILW ALLMVDNLADGMVSMSWTTYYMDQKFHLPKSMLGDFLSVAYFLAALSSVFAGPMARHI GLVNTMVFTHIPSSAAVLFFPLPKSVTATFALLLVRVGLNNMDQAPRAALIAAVVKPE ERTAVMGITGTLRTLASTMGPSVTGVLADGGRFWVAFVVAGALRLTYDLGIFTMFINI KLNRHEEGEQQVEGREGDEEEVERRRE NEUTE1DRAFT_122751 MKPCDFQALLSFFSARVLHKGPRLLLQLIVGSTLVLATLLVISR GVGEDLSSNDLFRWSDNQSEDNKDEKAHYEFQSQQHPGGLRVVVFGEDDVATPAWTRG EKEMRSPGWTELMCRELNCSHYLSFIPPNLHPPAHPLISKDIYVEAVRQTIKNTTKLK KSQGPGYDYTFQPRLFPVSKALPDLSAQVTAFLELQNTTTLPKANETLWVFNLGQWDV WSLATLPIATGKAVIDQLTDHVIEQMERLYESTITKDTIAVSTNPNHLSRKTNAGPIK IIDPEVYKAKSGEATKPVSGSAQKAQTQNSSSKPETFRVFFPSLFDISMAPGWNSNRP ETPHPHSKAEQMRNAAKLTDTWNQVMGDKLYAWVDKSDVIGPDEFYLNTEEDEVVDTS LDGQNSEDEDGNGTKRSSTSGKKTTKSAENIAVNPNTNANAKPKNQPPPVRDFITYDM VDYITSALLEGQLRSSGLSDGNGLGQKPMTQWYKKVWEPCVKPVKSETELVLDLEDEG LVMQQTTDSQTETESATELMKAFAEAAAEAAASKQAKAEGVGSKRRRRRRMRRQEVTT TAEPVAAAEEVGKEAEEERTETVCNVPDDYLFYTPFTLGSRPIREIAKEAAEKVRRGE SYRTEEEGKDDEGLWASV NEUTE1DRAFT_83149 MLGKILLTIDALILLFAAPAADYNETHIFNPAWKPHAKFHCGQT ITLSVVLGLATLFYTWLPSGRVPKSDPVPTPAVQVERRERVKDSLRTAGFLGSVYWFA GLAAILYPNTAGTDPEFGDITDFPQGKMFPVFAGLAILGAWLETRRLR NEUTE1DRAFT_130199 MATEAPTAAPDAPVADAPKSDAAAATATAAADATGDAAPTPDAP VKDVADGDYQKETEVNGHDDAVSPKALVPETKTDSLPTPKNEPSLEEAQTTPAKKEED APKAEESKEGERKSDEQAQKEDAKPAPVEEEKKAEEPAKEEEGPKDEGDKMEIDAPEV PAGDAQKEPVASPGEDKVPEPAAEEKPKEEEKPAAAEEAKEDKPAEPKADVATEAKSE AKSDDGPAAKEKEEAAAPAATETPATETPAAETASKPPASPAKQQEDVEMADAPATVE EPAKSEQAKPAEATPTATQQETQDTAVSDVPPPTPAEDEKKEKDAPRPSPAAPVTADT SMSDAPPPSSKPYREREEDSEDEPVAKRAKVSPIADQANAKNEAKREDKMDVDSKPAA SSASTSVHDRSEPKSLADDSLNHLPISDYMNRQIRQVLAGVKKTKAGYHFRLPVSELW PGLWNEYSAKVKDPTDIQTMEKRLRGNLAKYHTLGDFKRDLEKLVQNSIAFNGEYHDV TAAARLCRDTILARLGGCPAFEPSRPEKKDFAKQHPTRHAEPRAASHLSSPSAPLPQR PQQPRVNTAAVPAPSSSKSIESPAFAIPANNNGMPLIRRDSTKPDSRAKRPVKPTHSK DLVYDTKRKKKLIPELRFCEEVLTELRKQRYYEFNEAFQKPVDPVALNIPTYHKIIKK PMDLSTMQSKLNAGDYASAKEFERDFDLIIKNCRLFNGEQHIVYEQALRLQSLYRREM SKKDEWLAKHAPAPAPHLSSNNSPRLKDESDWEEPESEGEPEVDEELKGAQQRLASYM KRLEEEQKKINDIMLEMNPNMADVEIAQSVVAMLQKQIITERSKIASMQPTKKAAPAK SHHANKPSVSKPKKAMPAGGSSHHAGGHVAKKASVSHSSMGGHGGGMGGMPKKAAARK PAPKRKMGTVEKEVIAAGIAELEGAQLERAIEIIKSDTGTGENDSGELELDIDQLSQE ALTQLYDLAIKAFPHLQREKERTMAAQAPPPPPAAQRSKPVQKTKKNKPMSKMEQERR LQQLNELRAQAGRQGSGSQEPLESIEGTGRISAEPAPQHHREEDSEDEESSEEE NEUTE1DRAFT_122754 MSTKRKALSLAKPVVKPSAKVATKSKIDETRTAVSTGPAPKSAT KATAPETIEISSDSSSHYSGSDNEEDVNSDNEEEAVDAAPEKKQTTTTTEPTAQQDQE NNDNDDDDDASPTFGDLARGNATIDVASALAAQQEASSAAAATKNNTITVQRPNGTAT TISATSLGTVLNQALRTDDSDLLESCLQTSDPKIITNTITRMDSALAGVLLSKLAARM HRRPGRAFGLMKWIQTTLVAHGGSLVAQPEVVARLGELNRVLEERARGLPALLALKGK LDMLDQQMRWRKFVKQGGAAKEEQHQENEEEEEEEDVDEPGVVYVEGDEETGTVNGTM GNEEDFALIDVGADGESDEEEGDEDEEEDDEDDEEFDDGESLDEDEVDHDDVEESGDE DDEDEDDEEEEEDDAPPAKVQKTSSKFAKRK NEUTE1DRAFT_122755 MALKHSDNDIQDSQGHHNVDDNVEPQGPTSTLENNTPTTTTTTI TPSVEVQQVSSPAQSPRSPALSQATAPFSTATPITMATTPSSSVADQTCAAPLGANTV PGDHDGNQQQLGLERDPPIRLLSPAGITRRASSTSSIRTDGSSASNTPLTAGAQAAVP LPIPALAELAARGATTVSYMVQSYEALRAARIAAGYDMVQQVSSKASGSQLGVSPSPS PSPSPSGSRSPSIVQIPNQQDNDNDSDNTRSTAAIVPGDDYNNNRQDSGHDGAAANNK QYDIDDPSPDSNERGSQLRPVPQQQQPTAAAVTTGDHSFSRSISDNHQHEHDLSAPLN SFSLPPPPPSDPSAVVGATAVANTTVVRPTPESPKVQRSRSSTVALQQTPPPRTSSTA SIPLSHPTPDTSKRSRSGAYVGNIAALEATAERFSLTSSIEEAIRNEHNELKRSDSRR SAILQATKSPTSDTASSPIRSRVSSSRTNSIRALNSAARLGGYSPGGYVMTPNHSLSG GAHSGSKPGSIGESSPKSPPAETAANAQNPDFIGAGTGEEFPFARHGPGKSSVRDASR KPDSLAQIAELDHPITITQDAIDEADRAAATGAALDDDEAIAARAHQQIEPQYADEMD DVDLNGPDEPVRQPILDNTFFDQPGPRLQLHQPVDYPPYEDHDAHQQYHGRPLTAASR TTLQQANDAFGDFDGVHCDPDVDHFAGLPQPAERAPEPRRRRETLQPRPKSYFDPSTG QEMLYYPAPVPAMLNLPPKLSKNTNKAGGARNMRRSQVLSVMQQQSSVYGGSRDNLPM MSGGAGEAPPQLPQIDGLPYADGPAETAGAFPAQSGREIRRPQRLTETDRRKSQATML NGLPPQLRASAFFDLPTSTTPHIQLKDGSATATLETILDASATAPVSAFTDHAYGGKL GPEVYGTDKKKIQKSVTSADIPTAPAKKTRKKLIKRNSSSGLLDVKANKDSDEDEGWT SVGLDADEPGSSRRRSPEMGQEGGEEEEELVDGEPVFNGPPTTLLAELQMRKQKDKML RRPAAAGNPYGMHATLLELDAVAQVQRKQRITKRVNLAWEDPAQNPTPESDDEDVPLG MLYAAKAAGVKDPHTADIAVLMSEVNRPLGLMERRELEENEPLSQRRHRLKGSESLPS MSLDQMQRRMSHMTLTPSGGPNALGNRSQSKLTLPLPRGLAGGSNPALMAGGLDAEGS DAEGEGETLAARKARLTAENPLPRARPVSAGFSSELLSEFGVTPNEEEKRPTSKDSKG KAPASGVPEEEETLGQRRKRLQAEREAREREMAAGALPGNATQIATNPNMLAAPPQPR DRRDSATLIPFDPNNVNPGPPSRRVSLSDVLNAHPVDSTGLGNPHISPLEQERLRREA EGLRQQRAQEAKMAAIRAQMPQTLAAPAIGARTGGFMGGIYNGGGAGANRSNPALGQG LGIGFDPNANAIQPRGLLAQQHEMEKPMARRASTMTVGGGAAGAQYGMGMGMPMMGAV SNYGAYGAPMQFQTGPGQMMRPQMGMMYPHQQQQQQLPQQPGGVDMVERWRQSVMP NEUTE1DRAFT_44038 EEKVHRSFESESDLCGRQSSTVVFPGRRRRWMEEHKGSWAMKEE EYVTSGTETESDSQEKASGCLLHTTWQVTGTDDDRPPSPI NEUTE1DRAFT_64480 MLWSVLLLAAGASAHVKSSLPSVPSGWKKVRAASADESVSLKIA LPAHQSDALETAILRVSDPNHHEYGMHLSSEEVRSLVAPSDETTDAVTTWLNRNGIKG KVDNDWISFTTSVAKANNLLNTTFDWYQQDGDKTGPKLRTLQYSVPDELDVHVDMIQP TTRFGKLAAKASTIFEIFDEPEPKSIANVKVGGDHPTCTGCIYPDEIRSLYNIKYKPS ASDKNTIAFASYLEQYSNYDDFTSFAKAFIPDAADRNYTVKLVKGGLHDQSPDKIGVE ANLDLQYILAISNPIPIREYSIGGRGPLVPTANQPGPEISNEPYLDFFQYLLSLKNSE LPATLSTSYGEEEQSVPREYALKVCSMIGQLGARGVSVIFSSGDSGPGDACIRNDGTN NTYFEPTFPGACPWVTSVGGTYQTGPEKAVDFSSGGFSMYHKRPVYQERVVKKYLDKI GDTYSEFFDEQGRGFPDVSAQASRYAVYVDGRLVGVSGTSASAPMFAGLVALLNAARK SHGLPSLGFINPLLYADASKGAFTDIVDGAGTGCRGRPEFAGDVGGTAKWNATEGWDP VTGLGTPKFDKLLALAAPGVKNA NEUTE1DRAFT_64481 MDPRHQQHQQQQQPAPQLPFSRNGNAAPASAQNANTTTTTAFGR PPFPPASSQPPAPPQPSLQPHAQHPHPHSHHLQQQQHPQQHQPQLPPSHRSPPQPAHQ QPPPPQSQRYSPSAHPSHSTFHADLHTRKLSDPIQYYAGPPRHLRHESGPMMPPAHSR HPSASSITSGPGPVNRAMPPPPTSPPQQGPPPQGPPPQAHPQQQHPQQHAQQQQQHPG SHQQQQQQQPPQPPHPSQQGPPPNAHQMGGSYGIPPPRAPPVAIGPPSAFPRDRQLPA LETLPRTGSGSSMSISSMLGGPPPARDPGVPAGPYPPGPPSGVSGPPYNQPMHASPRM HTMGGPGPDFGFRRPQTPDRHRLFDGRDPRDPRDVRDPRDPRDPRDPRGDPRDPRTGI AVSPSGGYTPEVQRYGTPQVYSNRGPPLTAAEQAREQARMAGTGVPPRPNSQPKSFPN APPPRPMEMGRPPSGDMYGHREDRRTVEEYNPERPIRGPNYEEQRFMTERERQERERQ EREFQEMRERERRERTMSGSDAGRPHPMQQQAEYARQMEHQRAPPQFGRPPDPREQGH WHRPSFDQARGPYDQPGHMARHPHEHPVTTGPPYNGHPSYATGPPPDRYPPSSLPPHQ QHSMATHGPGPMQFESPEQRQRMNMMHMEQRQQQQQQQQQQQHQHPYYMQHQQLPPRS REDQPVPPPSVAYSGVGTGSLYGSPRSRHMEELAPSGHQRNLLGIQEINRKGRLSPLP QAVQGAQPQLAGPTGEPGIKSEFGRMFSGIGTGVGAMSPIPTGMQQLPFTGASLMRRE DSDGVPQPQELAEPGPKGPGRGKRRKLKDDESRMDEDSTGRLTPVGGRGKRTKTHHTH HHHHHHHHHHRHDLQQGPGPALMGNTPFKNVTGATSVPPPNAMLAKDLPTTHHHHHVV PRSMPHPHNGLVKAAPVQPPSPPPVQLPKPKHEVNSKEVMKSVADLPRSHLGEVVYDP KLSPSRRQDSRTKRPPRQPFKSTPRPLPWDLIQGKENCTLTVKISKTHLTPEAREEIT SRRAVWGTDIYTDDSDVIAACIHADEAGSEVYSEPPRNGPMAVPANRDLHVTLVILPK LEKYASTTRFGIKSREWGSVLEEGDGVYSRSSHDGLSFAILDIRWLVNGAQPVGRLRG KARRDRINKALREIAQSPPFSSFNKLGQIRLSGTPQEQREKESDEGQQSSKWWPSAGA GRKAAADEDKENHQPGESVAEPKGKEKEGEKEPEKEVEEQREEDQDGDVTMELEPEAQ PAQTRDKSQEKEQEKKKPDGEKEDVEMTDKPVEKEASEEAMEEDMEVEKTGQPEPEKE KRQENGPEKRVEQEKVTSPEPKAKEAEPEPEPVKETEPVPGTPPVSNLDAPAKPPAEV EKTPVPETETETADDNDDTIVEEPEEAAEEPSKPAEEVVEAAKRAAAEPDAVKAVVTE TTTEVREDTVPPTVASEESAKAVASVSPASPAAPAAAAADHDAADAAAAAIITTITST TTTATVTASPAAAPASASATEEAKDEDKDKEEA NEUTE1DRAFT_83163 MSRRLLTLTRPLSGLSSLSSLPKTTTRFSSSFLQRGPAPPRLPA DQQAEFERLQAAAEAALYTHVQVPPSTTTSTTPSSPENNSKHVTEPAAIKENNNTVTV AGVEAPVVIEDASQTFSGGIRKGAPPEFEGDKNPKTGEIGGPKNEPLRWGAGGDWSYN GRVTDF NEUTE1DRAFT_83166 MEESYEYQDSGRHQRLGFWLLPFNKAAAALVSCHPHNLERYSYD QDTKGIWIDFSNPEKQEYTVGCSNSVDIYLPQVWNSTGSSGISERHASFSAVTDTGPI LLCDESEYLSTEPFSHGSHSYTVNFRREGERSVLVAQGINSLVAFGHGRFYQFELRWE NDGLDEFRMAPPVLYALGPSRTKAKRYVLGAKVGGGTFGNVYRAMDVTTGSVMAVKKC HRLTSKRLDFASREIATLLKINSTDESTPCDHIIQILGYTTGPDWAEIYLPLKSGNLK TLVSKILPPSSHFHVSNLVLHQMLLALHHLDQHNIIHRDLNPENILWEHSPFGTGDYH FTLADFGLSMCTSSQAPRAKEVAGTTPFMAPEMYHTPLKQQTSKVDIWSLFATVVWVR DRRFRKGCELVGPHLVHVWLNRIAGDKKGGEGGYEAIRRMACFDPGRRPSAEEQLEIL EMVEMEEEMPYYWQDKPPPMTGAIGELWMGWDW NEUTE1DRAFT_83167 MVVAVLPALIPDIRRVYESYFTAFKGERMGEIMLQILFPGIDTD APDFRAAHAKGTLEYWHTSDTQYTYKAVDMADGEILGMGLVDIYVRERSEEERRNHGV PWLEGEQRERAEKVLNPLHEMREQLFGGKPYIYAHVIAVDPKHQGRKGGMALAKYGFE MSERCQLPVYFEASPSSIGLYTKAGYEVLKETIVHKAETLGTEEDVVVPLVVRMPSSA NGMSFYEWKEKGYPNFNAPSAKTKL NEUTE1DRAFT_45259 MQLTKILILFGITAAAASPAMAAYTGPCSVTQCGASGVACPRGY LCVPWPSFDPALREGCTCSYA NEUTE1DRAFT_64492 MLTTGVSAAPAGNGKVPKGFVTTEGDHFKLDGKDFYFAGSNAYY FPFNDQPDIEKGMTAARAAGLTVFRTWGFNDKNRTYIPDGLPQYGNEGAGDPTNTVFQ WFEADGTQTIDVSPFDKVVDSASKTGIKLIVALTNNWADYGGMDVYTVNLGGKYHDDF YTVPKVKEAFKRYVKAMVTRYRDSEAILAWELANEARCGADEKRNLPRSEKGCTTETV TGWIEEMSAYVKSLDGNHLVTWGGEGGFNRIGGDGFYNGADGGDFDRELGLRNVDFGT MHLYPDWWSKSVEWSNQWIRDHAASGRAAGKPVVLEEYGWMTDKGRLDQLGQVKNETR LEVIGGWQKIAIQEKLAGDLYWQFGYGGYSYGRNHDDSFTIYLEDDEAKELVYKHAEE VQKLNGRGH NEUTE1DRAFT_138349 MSGLAKMQYTTIHITLLSSIAFISARPQTSPPPVASCPITAQMP CVAQNYGAALAPVVGQVVNAICEQCVTKA NEUTE1DRAFT_83174 MLSSVRLVTRRAAVRSAFASPGRSLLAVRAASFWANVPQGPPVS ITEAFKADTFDKKINLGVGAYRDDKGKPYVLPSVRKAEDKVIHSRMNKEYAGITGVPE FTKAAAVLAYGKDSSALDRLVITQSISGTGALRIGGEFLNRFFPGAKKIYIPTPSWAN HGAVFRDSGLEVAQYAYYDKNTIGLDFEGLIRDLKAAPNSSIFLFHACAHNPTGVDPT PEQWKEIEAVVKDKGHYSFFDMAYQGFASGDIHKDAFAVRYFVEQGHNICLAQSFAKN MGLYGERVGAFSIVCADADEKKRVDSQVKILVRPLYSNPPIHGARIAAEILNTPELYE QWLTEVKEMADRIIKMRALLKENLEKLGSKHDWSHITSQIGMFAYTGLTPEQMEKLAK EHSVYATKDGRISVAGITSENVGRLAEAIFKVKG NEUTE1DRAFT_146910 MSSFLPRPCLRASTLGHQLRTLTTTTPHFYHQPRVPSSSIPIPN VSGRVPLPDSTSVPEDFKIEIPSYPYGPRRVYHQSNTGLYGSALIRFGNNVSKRNEIK TRRKWRPNVQQKRLWSKSLGVFVRTRVTTRVLRTIDKVGGLDEYLLGHKAARVKELGP WGWMLRWRIMQTPEIRERFAKEREALGLSPQAKEEEKSLEEQLKEFQVAGIQFAEGKE PKSKGQLKEEADRLINKAETEEFGLGEEEDLFMKEEPKPTKMA NEUTE1DRAFT_44318 MLPPIDSSVLKNNPQFANLYKGLTELILNDDGSTKLHPQNPVAQ ERKAELNKHRLAATTEHLLIHALSTTSPDPIPISAEQELLFLSRNPPSRLRLPLTNPN LPSPFPSSYPLTVPRNPTSTPPLTIPTLPTIISSLTTSTLNLHSSILHSRLNTAEAVR DLLSQYSTAFTLLIKTLEAKHGPIARSLEFKAGETALLAQKVEKESELAVLGVRREVY TPEVKRALRECKEWLVGEQQGLRDKIRRGEEVLGGYEGEEKRGDAEKEKVMREIARVY GEMEREVDVVRRDLERLGLKGVSDSG NEUTE1DRAFT_64505 MEGIKQTFQRCKAQNRAALVTYVTAGFPHPEQTPDILLAMEKGG ADVIELGVPFTDPIADGPTIQTANTIALQHGVTLQSTLQMVRDARQRGLKAPVMLMGY YNPLLSYGEERLLNDCKEAGVNGFIIVDLPPEEAVSFRQLCTRGGLSYVPLIAPATSD ARMRVLCQLADSFIYVVSRQGVTGASGTLNANLPELLARVKKYSGNKPAAVGFGVSTH DHFTSVGAIADGVVVGSMIITTLQKAAKGEEVKAVQEYCSYLCGRNFEQSAHELNMGE ALEAAKEPVGTATVDGVITEADIDAQLAALHGTIPKRFGEFGGQYVPEALMDCLSELE EGFNKIKDDPAFWEEYRSYYPWMGRPGQLHKAERLTEYAGGANIWLKREDLNHTGSHK INNALGQLLLARRLGKKKIIAETGAGQHGVATATVCAKFGMECTVFMGAEDVRRQALN VFRMKLLGAKVVAVEAGSRTLRDAVNEALRYWVVNLADTHYIIGSAIGPHPFPTIVRT FQSVIGNETKQQMLEKRGKLPDAVVACVGGGSNAVGMFYPFSNDPSVKLLGVEAGGDG VDTPRHSATLTAGSKGVLHGVRTYILQNQYGQIEDTHSISAGLDYPGVGPELSNWKDT ERAKFVAATDAQAFEGFRLMSQLEGIIPALESSHGIWGALELAKTMKPDEDVVICLSG RGDKDVQSVADELPIIGPKIGWDLRF NEUTE1DRAFT_83188 MNRSPSSSGLLPLPGAPSSSWALYRARLSSLLQSVDTAVFIAFW LFGLINNVLYVIILSAAQDLVGNGIPKGVVLLADVMPSFLTKLVAPYFIHRIPYATRI FIFVALSSAGMLLIAFTPPSRSVAVKLIGVVLSSISSGGGELSFLGLTHYYGHMSLAA WGSGTGGAGLIGSLLYVMLTDWIGLSVKTSLLASAFLPIIMLVSFFLILPHGPLRASA RKTYEPIANRDSFQEEREGAENNFDDIPTSTASSSLLAPGPAVAATAYSSHPTEDAQK DSLAAKIQRSKSLFFPYMLPLLLVYIAEYTINQGVSPTLLFPLDSSPFAEFRSFYPFY GFLYQVGVFISRSSIAFIRIHHLYLPSLLQVANLVLLTLHALLNFIPSVYIVFVIIFW EGLLGGCVYVNTFAEIMEHVPAEDREFSLGATSVSDSGGICVASFLSMAMEIWLCNWQ VDHGRDWCRRIKAG NEUTE1DRAFT_130212 MRFPMTTSTLSLLMLLQLHAAEAALSISSLSSLPSLATEIQTPN QNLLAYLSTTHDTSPLNSSALCTTILSRDKTALFLPNARIPLSSAGFIGCFLEKPQGP QAEEDNQHQQLVFTGIPAGWQFSITEITVGGWLDLEKGSFVERVSLSLIYPVATFTEK IAGGGDGDGSSTEHDMHNSQDEEVERDKGWKWDLIKRREPGKTSKATPGTKSQSQALV DIATALTPYGRFGTGYQGGFNLSIPVKPSSGASKKGKRKRRLAVSSCSTGTEMQVRLG AELWFSLSSEQIEFDDTVAHRGMLGGELGEPGELPGEGDGRHQGWEACEKTLRVGMRG EWKRC NEUTE1DRAFT_130213 MASSSPLPPPAPPRSPSPSGEKKSDPERQDELYTKPDDLEDGHQ QNPAASSSTLEILDPKAETALLHKLDSVFVPIIMLVYLSCFLDRSNIGNVKVAGMPED INATPAQFSTAVSIFYATYVAFEAPWAILLKRLTPRVTLTTLCVVWSLTTIFSGFITN IGGLYAARLVLGACEGGLFPGLNLYLTMVYKREEQARRVSYLFVCTAIAGAFGGLLAY LILKMDGVGGQAGWRWVYIIEGIFSVLIGALVWFALPNDPTEAYFLNEEEKRMMRVRA RQRAQYMGSEDFSWEEIRIALKDTKLWLSGAIQFCQDILLFGFSTFLPSIIQSMGHTS IEAQYLTIPVYITGGLFFMGLAFLSDKIAVRGPLVAFANIFGILGYILIICPTSNGVK FFGTFLCAAAVYSGPGLNLTWLNVNVAPHYRRAAAIGFQQTMANTAGIVAGQIYREKP YLLGNAFSLGALVVSEFLIAGLWLYIRSCNRKKERITKGEIEDTRKVKTGDGALDFKY HL NEUTE1DRAFT_101452 MATTTKASSKFNVTPEQQASLPHFFYQQLTCKPKPVTGANLKGK TAIVTGSNTGIGLETSRQLLDLGVSKLILAVRNETKGEAAAANLTKGLRDANKQVTSR TSTIEVWNLDLSAYNSILAFCARAEKELSTLDIAVLNAGIGPAARCFNPHTGHDEVIQ VNYLSTALLAILLLPVIQSKRQYQFGPSRMIIVNSEVSGWTNFTEVMGKTNNKPGTPP VSILAALDADDKDGEPVDMVDRMFVSKLLGQFFLFEIAKVVDPSLAIINATSPSWVLE TEFNRDRDGSSVLFTIGKFVLSRVVANTAAVGARMVTDAAVNHGEETHGEMLSFQQLV P NEUTE1DRAFT_83195 MDLETADVDTLKTMIELSLQDLNELQTTTTGKGKRRDGEQTDLE VAIATYIVELSGFNQLLLDRTMCASIADAVRQDAAAISEFVRTEEQARNDHHMASALN EEADNGAQPEVRPSKPTDGFTESQDDDFLDKLSAIYISDPEKEGAAESSAWAASRPRT TSPCPGPKVVAAMRECVICTDQYRFFEVATFPCQHHMCQTCLTKLFTDLLKDQTLFPP RCCHQPISLDKCRFLLEPELVGRFLAKKLEYETVNQTYCSRPTCSLFIPQQAITLDVG TCVKCRQRTCVVCKGQAHVGTDCPEDSATKEVLQLAGTEGWMRCYSCKRMVDLVQGCN HITCPCGAQFCYACGQAWKKCNCEVWSEEMLAAQANRHVNRDAGGRRLPEPVRQQRVA AAARHLIVNHQCHHRDWGMLEGQHQCELCRDFLPAYLYECRQCRLAVCRSCRFQRL NEUTE1DRAFT_101454 MASPYDTLLSYAQATSQLRSKTASGLDCSQQQQVLDSFRVSYTS LSAFIQPSSKPALSTPDLSTVLTHGSLRDFANNFALPSKALDVQQRGKLTKPIVCIAL TNGPVLAAVCLAVANTCIASPVNCDKAVGAEQFRADVRQTGASVILTFAKDAERLGLT GPSSWTALEGLTVLLVESDLAQRGQPTRISIRDLTGQLVTPQAAGCSQPAPNGTDDVA IILFTSGTSGTKKLVPITIQNIAAGVAFVIDSWGLTPDDVCLNMMPLFHIGGLIRNIF SPIFSGGSVICCSAFDPTLFWDVVQDHGATWYYASPSMHQMILDQAEDRPEALAKSRV RLVCNAAGGLLPALAVKLKETFDGAIVLPSYGMTECMPISTPPTNYKLDKPGTSGIAV GPELAILDWNNIHQPSETVGRICVRGEPVFPGYLTAEGQYDSSTFTPDGWFDTGDLGR LSSDGYLFITGRSKEVINRGGEIISPFEVENAIIAAAQDPESPIFNRVSRALCFSVRH DVLQEVVGVVLVTPPGAQRTDTRQLHQALKKSLQQAKWPSLIVYMEDVPKSNNKVLRI KLADRLGLPELTDASRYCDVHYEATCPPPNTPLSVSISSLLCSIDETTIYCSITALLP SEIVHRVHQNPQSGGFEVYIAPKEEISDVSELYKVIDSLKSKLPSLVPGYLVPHRFHI LQSPLPAKLGTTPTREALESLLYEQNNRPGTGDSSASGNTASQVTRIMASILGLSVHE ITADADFFDLGGDSLRAGRLLSALRSEFGLSLPIDLVFRGGSVDALSAFIDEKLASRP SSAYTSYSDTTLCDSDAEQQARCEGLPTPIKTHSSTNPFLMVLQLVPMAILYPLRRGA HWAIFLLTLAHMERWSTSRWPFGRLVNIVTTLLFAQLVMSVVRPIFGILAKWLIMGRY KEGVYPMWGWYHTRWWLTQKVIALTGQGIFRHHNYTIVWYYRLLGAKIGSGVELHDCQ LGEYDLLTIGPNAFLDKCIVRPFGAEANTSMYLGKITIGANASVGLAANVAPGTQVPD GACIGPNSCSWELNDATEANRDLSVHRTPRPHWALTLFLTIPLYGLVSLVAGGPWLLG MVGLVEGRPPRAVAPLPDIITWWAGNDRIGYHYLAVGLGVMFGPFFEFAAVMIIKTLL DLMFGKLKPSSAKGRGQIERWRLDLMRTIYPNRSLKKLTGLFGQHYEMTSVVLRMLGA KIGKRVYWPGTGPGITDYHLLEVGNDVVFGSRSYLVTSDGLGSEPIKIRDRAMIADRV VCLPGVTVGEDTVLGSGALTRRNKEYVANGVYVGSKGGDSLCLSTGAAPKGGDKSRVS RRNARAAQHPAQTQEEKPSIESVPYPQGTNDPEEGRSTPSIPITRSVSDIPGDFTHSG SETEAEDPASDPAVKSTPFGRAFYLGLAPYRVYSPLTITAYSIFNRLFTALYWTAPSI STIQIINVFSARHPDHPVSHAILSQPMALFGLLTAVFAVFNTLLAFLSLLITIAAKWI LLGRRQPGTHSWDLSPYCQRWQLLLSIEQLRRSCFAGQGVLGMLTGTCFTVTYFRALG AKIGKDCALFVNGRPSLVFTEPELLVLGDRVTVDDASLVAHINTRGKFDLNRLKVGDG AVLRTGSRLLSGAEMQRDACLLEHTLVMGGETVKEGVTVQGWPGEEFRRVGRSKKKKK KKKKKKKKKKKKKKKKKKKK NEUTE1DRAFT_64521 MPATPSTSRSGRHSQSSIVSDVSAVNVVVGPPEIANTSTTGITT KTKVKATVLYEDDAGADGLEPLVDLTQAIPLHSKKTDRKQKRRDKKEAKRASTVPPRG MLDLPYELLYSIITLVEPRELFVLCRVNKSLRNFILSQEHLITRDIIAWRYPSLSKCF RLPVLAQDLDENARRALNEAYGDALSPRRVNANYKHVQSPDPSLVCFCYTCRLRWIFL CIIVDFAHWQHHLDNGLPIPTIPRGADPDWNKKLVAEHAQVVVKALHSQLWYVRLLQA HLTSITRSIRRNTANQGNRRSRFRMTPSDVASGTDAFLDRSGPPTVDFPYNRDNYDML EAFMSSRSWIKDDGKWVYVPADQHERELRLLERQRQEAPSSTAVSQTPPAALVPRSMI F NEUTE1DRAFT_83201 MSGTDYKFEGWLGFDKSSAHGNMQWGEFEPKPWEETDIDIKIEC CGVCGSDIHTLRSGWGPTNYPCCVGHEVVGTVVRAGSKVEGGIKVGDRVGVGAQSDAC RNRTDKHCKICADGNYNYCRVHHVGTYNGVYMNGGKSYGGYATYQRVPSFFAVKIPES MPSSHAAPMLCAGVTTYAPLKFHGVGPGKRVGVIGLGGLGHFGVMWAKALGAEEVVVI SRSSSKKADAMAMGATGFIATGEDPEWLNKNRSSLDIILCTASSADMPFNDYLFLLDL DGVFIQVGAPDDDLPRIKQMALIFNRIKLTGSLIGSPDDIREMFQLAVDKKVQPWISE IPMKEANKAIVDFEDGKPRYRFVLKNEDYTPVPAQA NEUTE1DRAFT_146918 MMWHTSLGAAAAVFSLLTSQVVGREDVQIEPQTAQIVSTKGVIL DTILDWNDAARLDHTTYNGQKYGCKCYPGRPCWPSANKWNSLNRTVDGTLHVNVPAGA VCHNTFDGPFGTIQTYDEAACADAKENFVNEQWTVEKPADGLWTYFTNDTCRPTSNPS DPCTLGSYGVYVIMATKASHIQAGVNFARQNNLRLIVRNTGHDFLGRSVGYGSLIINT HSFQSLKWTDKYTGPGSYRGPAVTMGAGVQGGDILKAGHALNPPMALVTGECATVGLS GGFIQGGGHGPWTTLKGLAVDNVLNFEVITASGLIVNANEWQNPDLFIALRGGGPASY GVILSTTVKTFPDLPAAGATLYLNATHTSDNEVIWVGTKIFHKYANHFVDNGLYVYFE IFPNQFRVRPFVAIGKSQVQLQDILRPMLDEFAAARIPYEAAFMEFPTFYDLYIDLFE AEKAGQTALTGGWMFNHDDVANRNDEIIAAMKNVVSPAGRPDLFGGMVGHLFNPGHNV PVSTSAAHPAWRNATDFVIAVLPSPEHPSLAVKKDLQNVLTNNMDEGFRNASRSGATY VNEADPFQPNWQSHFWGSNYPRLKQLRKKWDPLGVFYAVSTPGTEDWEEIEFNTRLCK KL NEUTE1DRAFT_122771 MAFSQFQPIGALTGVSFGISSSKVLRLADENGPEPPKDSTVLLP TMTTIVKIMFDILIIGAGPSGLCAAKTFLQHNPTSNIVILDSHSTIGGVWSKERLYPT LRTNNLYPTMDFSDFPMKGVGIGRPGHHITGEEMHAYLTACAHHFDLMKRIRFNTRAV RVHQVQEAAPTSDDGWKVDVHELGNPTTTTTLKAKKLVMATGILGVPNMPSLKGSETF DAPLLHSSALGPRYQDVLQNPHINRVAVLGGSKSAYDTVYLAATTGHKVDWIIRKSGR GPSWVFPSHTKIGPFKAWREKLVTRRIFTFMSPCVLPDHSGKHISWIRDFLHTNKVGK AITKRFWKILHADTIRDCRYREEKEDKNFSVLEPDHSPFWYGTSSGTLNYEQDFKALV TSGQVTVHRQDISHLSPHTIHFFADNNETTSLTAIDALICATGYSPQPPIDFFPPELH FQLGIPTTTDSLSDEEKAIWATYDQEADEVITKRFPQLIPPPPSIPTLTPTSVLCSPK TQESKQYTPWRLHRGIAPPTLTTSHTRNSLVFLSMHSNITNIPRIELQCLWSLAYFND KLKVSPLQQVLYKDTALFQRWCQLRSPLGHGRQYPDLNFDQLPYWDWLVADLGLKVRR KKSVWRELVSPYGSEDYRGLVGEWIEKYGIDKGEGSDQNRREGEKRL NEUTE1DRAFT_122772 MAADETTPLLPNGTAEDNSRQSSSPSLSSTTNSENTTSDNHDNL HHVNKEKQWSLNPLTLLTRLHVETRILLAAFLITTSFSFTQVPIFYVFYLMECDDFYT RHPPYAGSGDRCSRNEIAAGTATDFSFLAMSTTICGTVNLFFAGWLVKKIGPRLALMA QVLVPAIRVLTQILGVLAGGRSGIVIFQATQLITVLGGPVGYILIINIIVGEVVSPAR RTPVFGMLQGCFMLGQAVGYLTGGMIGDAWGIRRPFEVAFVAFLISTAYVQLALPYVS PESMAGGKKPGSKAKGVAAGFFAPLKVLAPQRVRLRSGKEIKHFGVLILCTGVFLGVL ATDFAAMLIQMYATAVFGFHQSDNGWLMSEFALMRAVFLILLFPRIISSGRKWYAKRQ SQRQPRRDSISDERDPATQGLLIRPEQLEAPMGTYAEEEPMVTQPPTETEDTHFDLFF LRWSLVADGLLTSCAALATKKWHIYLAAAMLPFASGTAPAAKGVMTEMCSPSQRADAL NALTLVENIGRLATQGFFGFVFAALAEAGKPHLTFYCNAILAMIAASVLIFSRFPPNG SELIEADDAEEHVDGST NEUTE1DRAFT_110733 MVLKSFASPEWRLDKPIHTERPVRVICIGAGASGLQLAYKLQKH ISGFSLIVYEKNQEVSGTWYENKYPGCACDVASHNYNWSFEPKTDWSGLYGTSQEIYT YFNDFADKYGLRQYIKTQHQVVGAKWTGSGWNVSIKDLTTGQTVIDTCDILVNAGGIL NNWKWPDIPGLNKYKGTLLHTARWDESVDLKGKTVGLIGNGSSGIQLLPAIQPLAEKV TVFMRGPAWVSPWPGLEGRPYTDEEKRRFAEEPGHLLAYRRSVERGNNSILPVFFKGT DANNKARDVMLKMMKEKLAGHKELEEGLIPTSFALGCRRFTPGSGYLEALVQSNVQVV FSGVAEVTEQGCKCGDGSEHAVPDVLICATGFDASYRPRFPIVAGTKNLQDVWAQDPE SYLGLAAADFPNYLTILGPNSPIGTGPLLIGVEAQADYIVKMIDHWQTHNIRSFAPDA AAVRDFVAYKDQFMSKTVWADPCRSWYKSGSSRDKITALWPGSTLHYLEAIRQVRFED WKFEYEGGNRFTYLGNGQSQTETNPDADLAYYVREEDDDLPLARNKMTRIINKTGTVV GAEGTGEG NEUTE1DRAFT_130221 MKFSIISVALASAITVNAHGYLTIPFSRTRLGAEAGLDTCPECS ILEPVTAWPNVTEAKVGRSGPCGYNARVSIDYNQPASNWGNSPVVTYTAGDTVDVQWC VDHNGDHGGMFSYRICQDQELVNKFLTPGYLPTEAEKQAAEDCFEKGTLPCTDVNGQA CDFSPDCQQGQACWRNDWFTCNAFQADSRRGCQGVDNAALGSCFTTIAGGYTVTKKIK IPNYISGHTLLSFRWNSFQTAQVYLSCADIAIVGGGETKPSTTKTTATATTLVTSSKT PSASCTPAATVAVTFNHLASTSYGESIKLVGSISQLGSWSASSGVALSASQYTTSNPL WTATVSLPAGTKFEYKFVKVSSSNGGGSAVTWESDPNRSYTVPQSCVESVTVDSSWK NEUTE1DRAFT_138367 MHYSSVLEGLFLSFSIFSLGSTASISPLQTSDGQLHEAREVKKA GFSKKCWDYKWNKETCVLRATCKVDKKKTEYSSEIDVNTCLANFVPRDATAENEDSQG NFNNGLLNWQFAPMEVYRLKKASGKAILKNACQDRHHCYIADEDLKGKKGHEPVLQCA KQRNKRPVIIPLDIGIGLDDKGQLGCLPHPED NEUTE1DRAFT_122775 MHTIQPQATVLRVPGGGGCISPPNPEGPRYKRFVRVADGFHLNR QQPPTPITGHSDMNVTNSVNKTVNPSELFLDANQPGTAWDQSPYHSDLLFAGLGFDNS FAIGQHANDAFSALENTKRLTANFPTQPSLVTQSQVLEAQPTGNGPTYLDSIQHSGPN FDWAGDNSINSINFSIPTPFTIPQQPVSGWNTTPATTPLAPRQHRIPGPGSGPGRNFG LANEQANHLSEKDKYLAITHRQTDQYHRIEKRTKLQRLVNNMKTLPVVIEDRPCEFPK LSKRGKHRDEDDLDEDERVLKGEEAKGMTSAQRRQLRNKVSARNFRARKKDYINDLEE KCKEQHRTILQLEANMRAQITDNERCKALIVELLGLPEMANVLGHYEKKISAMAQTIA PAQSQINMDSSISDSIGMPSSQSQSQSMFSTSTWAQQLGDESADVDDFSDEIGIDPAG LDFPR NEUTE1DRAFT_83214 MGLSPQITNLIIILGMMQVAKKIPFEDPNVLNLCRALYALSNVI ILCIHLYIMNTINKKKDLTTLKYVEPAPMGSTEEGKLVTTTIHAYDLAQSKQLLRSQM MGVFMMGVMHLYFKYTNPLLIQSIIPLKGAFESNLAKIHIFGQPASGDLKRPFKQSAG LMAGLQGGAAQSDKKAVEAAERAGRGGAKEE NEUTE1DRAFT_122777 MERASTPPAQARRSTRSTTAARPPSPPTPAADRRRIEESRLRVK DLRTQREADHRAAGIPLPSDSLPRTASGIAATDDIQLAGAAGRAAAAAASRKRPFTSI SRNDIPASNRDARTGTGTNERKDGNNGNGNSEGGSLPPISRKFTKFVDYNFSAMTDTK GGFLSLEDDPFNKHLSVPTKPGQPSEQEQKPAHMTAAEWERMQLIRKLQRTKAGPYEP GLSVLTDIKETKKCRECGSLEIDFVWAETFGCAVCGKCKERWPEKYSLLTKTEAKEDY LLTDPELKDPELLPHLSKPNPHKSHWHDMMLFLRYQVEEYAFNVKWGSAEALDAEFEK REQDKKRRKEAKFKEKLLDLKRKTRTEAFRRNTGKLGGIAGGDGGGDGTSTGRRGKAT KFGDTINNGGKHVHEWGRTVENEEGMTVKTCTTCGMEVEELEF NEUTE1DRAFT_64543 MSTNGVHVSFGAGHEMGGVMGNGSGQPPATEYTLQGVMRFLQTE WHRHERDRNAWEIEKQEMRARIANLEGQARRADATQKALKRYVSILEKKVKEQSAALK STGKEKGAEDDAEAEANKSKLDRSALIQEKLRPNTKLSGAPNEAENIEIGPLDDESAR NELKTFLDQCQAEFTYLMVTPANPQPPRESPPLPILDDFREGEAMNQQLEQSYQRSQQ SQIRDLLAQQAAAANATTTAAALQNQQQQQQQQQQQQQQQQQQHVAGPRPQTNQPPKG YGELQGAPMERSSRGQQLHDILKSGETPDWSASMQSQPAEEPTSALSKAPPMVRGDGR EPAAPKSASTTDAWDFNESNFQDPTAMTQPTPQQSFSSRPDTDAFPSAENLTKSPNRG ALSHRRKSSSGMARRRSAEHELSLGSLNQKAEGAMFKFRFGLRGHLDVVRTVIFSGGG SPGEPEICTAGDDGLVKRFHIPDRHIGQRNADGDLDVAADFTHRGHSGAVLSLTSWSP SPNFSTGGRAQGDGWIFSGGQDATIRVWERGRVDPKATLDGHTDAVWALCVLPTNLGA IFGQTNSHGPPDRILLVSGAADGTVKVWAVSAPPQLTSPQPGPGRRGPGGRVRGNSMS SGSAFPSSPQPTTASNSPFHHTLVHTIKRANSEASPTCITALSPNGETFAVSYADAAI IVYDTRSGEEIGTMASLDTYDGTINTAVNAVVATTVGLDQTQGPGGEDETGGGPTGGG RSMAGSGVEGTIISGHEDRYIRFFDANSAHPGSVSSLSLSPDGRELVSAGHDMSLRFW SLETRSCTQEMTCHRSMRGEGVCAVVWSQDGKWVVSSGGDGMVKVYAR NEUTE1DRAFT_130226 MATAEIVAADASSNYDLEKHNTASTTQKHIPIEDDYEGKPTQEE LDTLRRVPGSLPIIAYMICIIEFCERASYYGVQPLISNYVNRPLPQGGNGWGAPARGT QQTAGALGMGQSTSNAVTQSFSMLAYALPLLFGWLADAKTGRFKLICWGVIVFGIAHV LLIVAGAKNLLADGSSKAPFFIAVYILAFGAGMFKPNVSPLLLDQVTNTKPMIKVLKT GERVIEDPEATTERVMLWFYLMINIGGFMGVATAYSEKYIGWWLAFLIPLVLYLPLPL LLWFLRKRLILHPPGGSDLPNVFRVLGVCLRRGGIKKIGRHGFWELAKPSNIAAAGLE GTYQTQWSDQFVEDVRRTFQGTGIFCFFPIQYLNDNGLGSAANFLSTMLRTDGVPNDV ISNFNSLSIIATAPVLNYGLYPALRRLGIHYGPIARITTGLALSTLGGVGYTLLNYYA YKLSPCGEYGSSDCTIGTGVANISIWYLAIPFAIGGISELFVNVPAYGIAYSRAPVNM RGLVSAINLFSTAVAYIIGLACSSVVTDPYLTWDFGGPAIAGGVLTIIFYITFRHIDK EETVFSQRETIEATPVEDSSSQVGEKPSPVYSEKK NEUTE1DRAFT_117232 MKHRSDFPLARLAAPILEAGGGEGASRFVCFASTQALIMDKVAF SPTISRLVFGPVPPN NEUTE1DRAFT_130227 MVALTNLLLTTLLASAGLGSALPPRIGNTVIEAREPELPVSGRK ITLPQQKNPRFHKFNGALSVYKTYLKYGAPVPDHLATAVANHLGISVEEVLNYANTTA NARRDQGSATAAPIDQSDSAYTTPVSIGTPAQTLNLDFDTGSSDLWVFSNSLPSSQRA GHEIYNPSKSSTAKRLNGASWDISYGDGSSSKGQVYLDKVTIGGLVVSNQAVETAQQV SQSFTAETSIDGLVGLAFGSLNTVRPKQQKTWFENAIGQLDQPLFAADLKHEASGTYD FGFIDPAKYTGDITYVPVNPNPGYWTWTSTGYQVGSSPFVSQSITNIADTGTTLMYVP DSILQAYYGQIRGATNSQSYGGYVFPCSTEAPDFTFGVTDEATITIPGRFINYGPVTD DGETCFGGLQTSSDVGINIFGDVALKAAYVVFKGGDSPSLGWASKQL NEUTE1DRAFT_122781 MTSGLWPKEVNKLCPDCGHLFPPSGRWPWDGDTIGKFVDTKGNV REPSVEELDASATSTGCHLCRALLECILPGSLKFNRQDYPAWFDLNKEFRYSVSLHAA QHDSNQHLRSISLKLTAMGERRWMGMQLRGLIFYRARPVLLSDRNCSEEPNVRPRRWV FKEVDPPGHYEGIGDAGLMEVDIVQPTSATTFDPLAFEQLRRWVDECTQKHELCKTAT PGKNSIFGFEQTVRLVDVGLNDSSPIRLVEGFRVDQVRYNTLSYQWTADTSKTSLRKH NRAAYCHAIPTEAWPKVYKDVVIVCRALGVRYVWIDSLCIVQDDLEDWKVQSSMMQDV YSYGHLNLANVLGKHADGLEVSRYPTATSPCILSRTLEDGSTEHWGCMEDGSWREHIQ DAPLYTRAWCYQERFLSARTVQFGQQLYWECREQFASESVPFGKAFYNIKHPDLITTS AKGKESASETMSPAEIWETIVKIYTTTQLTQQSDKLVALRGVFNRFWERFEVEAEARK QESSQQVQHQQNIDWCIAGLWKRDLIRQLLWRRDTYHLDAIPKPEWWPEEDAQQNSFN ARATEVLKLYPSWSWAACPSYHGFGICFTTQPTDKVEDMIVIEQIKPLNYNPQGTYTD YTAFDSSVLILRGLLYSGITTIDLSQVFAEWRTKSESSGTVKHHARLMRRSAWWIYFD RPLLTPLRPESVGVLMVRLVGLGEVRQTRYMHGLLVEKLGTGMGEDMMDGLPTYRRMG FFLLRSKRGVIPGVEWPERFRTVEEAEAAAREVRERRRTWPLLRLV NEUTE1DRAFT_146930 MPRDDMLERSQSLLLRSATSRRHLTDFFSRALSAFQSSTDSPQN FQVVCTAPPASSSNASASAAAAHDAQGKLLHPQSPVPIPPTKRPHTLIVLDSSFNPPT LAHLRMATSAVHDVIKKKRKGEDEKDMSGLRLLLLLAVVNADKAPKPAAFDQRLAMMW AFAHDVQRALRGEAGESTNEVSPASGPGPKPEKPQIHDSSQLEAAEEEQQKQGLPVDI SVDIGLTSKPYFHEKSRVIAESKFYKPPKEEGEEDTMTQVILVGFDTLIRIFDPKYYG PATQVTAHAHDQSRKGSPIQQALDPMFKRAKLRVTLRTNDEWGGKQEQMAYLESLLSE EGLAKIGGSKEWAERIELVEGRRDGEEIVSSTYARKAAEREEWGKLRRLVSPEVGKWI EGERLYVVDVKPGAC NEUTE1DRAFT_130230 MKLCAFAFSFLFTSLSSLVTPEAPAQQSQIVIETTGTGAIGLTI TTVTGIAGTFSRTITGPDGTILVPPPIPVHPGCELSPQMAKAVKDYAIDHCCDYVKAK NLLRDKIVAKVLENTQEKLMELLQNELDRISSEEEDDDLDLDSDMEEGDRTLEG NEUTE1DRAFT_83233 MNSWLKQSGAVGLDSLELADFPDTGRGVKTLRPFKEGEKILTIP AGILWTVKHAYADPLLGPALRSAQPPLSVEDTLATYILFVKSRESGYDGQRSHIAALP TSYSSSILFAEDDLEACAGTSLYTITKQLEQSIEDDHRALVVRLFVQHPDLFPLDKFT VEDYKWALCTVWSRAMDFVLADGNSIRLLAPFADMLNHTSEVKQCHVYDPSSGNLSVL AGKDYEAGDQVFINYGPVPNSRLLRLYGFVIPGNPNDSYDLVLSTHPQAPFFEQKQKL WVSAGLDSTATIPLTLTDPLPKKVLRYLRIQRLDASGLAVIARQQTDATDGKISDSNE VEILRFLVESFNYLLDGFGTPLEKLEQQLAEGVYSPGGNAWAAAHVSLGEQRVLRLAR KRAEELLSAVESGSGNVRNRCANCGKGSAQLSLCGRCKAVAYCGRACQVAHYKQHKAR CQATASKSG NEUTE1DRAFT_122784 MSAMDIVSTVTILINLGMEIKASAADYQSLLLKVFKDPVNEDII QANASEFIIILNILESIGQSCKECAKALDIELAGASIATKTESGGKKFFKRIWAFNKI PDLLADIQRKAEQHQRAYSAVSIVFLQHIKVQQGRTSGKEIVESSTVVRKPTNHENLL DLDVSTNFANIDRIVGSLMKECENLRRRLQEATLFPDPSVVQDYQAQNPEAASFWKDR FQKEQLNASNLRYETLYVFWVRFVYEVDTLFVLNKIPTGVFYPGDIDLVRQQGSYYWI DQGGTRRLSTIRPFWLPALRSALDPLHKGYVKPHDYFNLLQDSSLSDTLRRLALENAG YGTLVECERASGDLPLPAAIESPSDHVGWISAQIVAVPTPEELGIVTERQVLDSSSDA LFAYFNNTTQDVHIYVRYLQTGQIERKSLSKQVRPVGGISLGATLSIRYELESGDHGW SGDLQITEFKACVGSNAIVFSTRPVKDSFSKMLRDDDNPSSSIIPGFECTLLGPSTAF SHPPKVGEKVQVEYDGLWYDSRVTVVDGDEIEFVDWDSALEQGPIDATETQDDPGSDD EYGSDGFFFPEEQLTELGKGMRRLWRPWRRNIQRYDVRPYRCFHIGDTVEAPVMYPDF RYHYHVTDNSELYLPARIVDVQSDYWWLGRIPKGEQIDLVPGSGIKVENPFDFNRVTV GMDRVRPFSPGPRPVLGIQSAKPAGWSSFQGVRLCNLEDLLERSLWDNL NEUTE1DRAFT_146934 MDGIPLQVSPSEGDASKKLWQLRPPGEEPDWTGEKFFHSKNTKE MLENSVIGAGCHLCTQVRFENNIASAGDDHRVMIECSRSTALEGDGGDIPVVSFSATS CAEWDDSWASLPDPVKDEEPQGDPETIPLMTFKLERTDGSGGGPKSLLPQRLPDGSIL TTKKIEDWQKSEEGIPTDGLTQTFLDAMQITQQLGYRYIWIDSLCIMQDSPEDWNREA QTMAAVYGNSLLTIFASGMGMEGGQGATADTCFSVRSSPLEVYAPIITSPLPFTDGKM PDVRAQSQKENDIKPADCGAASFYAIREKRLLSPRIIYYGADELYWECRLHAVSESCP FETALKGKDAEASQSIWTANAKSVYKDLVQVDSLPASLGDAGVDVSNDKEDAQHLLEL AACWTTLLTMVNVIAETKGWTYTFGGSWKELWPFDLLWGYSSVLNTEMFNPGRYPTAD NSEEKRRQDRLELDEKLLARKSYPESQPSTKLGLPSWSWAATEATKDFWFHSTAEPPA WKCRVILLSETSSPGGQQAGSESGILALKMYTKQLYDMPPIDLTLMWDDDENFYLKEE VCCLLVLDHLTRGGRRALQVGLMVVEVQMTEDAPSYELSEGRRRCYCRRVGKWENT NEUTE1DRAFT_138380 MDLEGRYELTNDVFITITAEQRGLILRFYGTGYGYLLRELREDV PLRPISPPNREPSPRWLRVIVKDVEAVERTSHHSTHIRSPLPTFGPEFEQQQQQQQQQ QQQQQQQQQQQQQQQQQQSSSTPLHPSLYKSLSSHCISERLIRR NEUTE1DRAFT_110749 MSTPSPSGAPKPPPPAIIPVTPLPDSIFVLPPPSTTAQSAKRAR IADEEARYAYNTTASLTAPGPITSFAETVISKAFAKAEIYRSFTATLNIKFARFSVVS PAYKSSTPPPSPTPDPTALVGKNISTSPIRKGKGPIAFAKTAARGTDSTSTSRSASNS YSNLTRKATVP NEUTE1DRAFT_138382 MARDNTEAAQQRHVHFDPNVLRKHAPKAGKLPPSEVRRKSLDPN DFVGPDFQGAASHTVGQDDDDDEPEDLDLSNLAPEELYKSA NEUTE1DRAFT_83238 MTIQIVPGFSPTNRILLYASLALSPAQFVSGLGNNCPSNLGFLA YNLYTQVQWYQAMTGSSDDIHALSLILPHFNFLYMLSYLGGVSSGNVAMGALLGLGTA AVIVLNTVSAWTAWAVYQPQGYGVYQFFFFGWRTLDEGWHKWLFMLWQVSDSGLALAG MVAAIVIVVWLAVKEHVGDGFAKRVKWWYTYAAVPAGGLVMLFVGWPLILWTELIISR NELESETDMVAVWLFVAQAVTMLLPSCGLSLSCFRCGLGRQKSSSVV NEUTE1DRAFT_117234 MESRLCLRFIHPSALARVDVEARGKRVGEVEAHWKVNFLIGDTK LGWWGEETGRIGVLVSRGREKSGYGETQD NEUTE1DRAFT_110752 MVTLPSLRLPVAWLARVIRLVRNSSRAKAEWAERWAPKEDIPKE DIPIPQGEAREPTPGEKERWLEATRWHKTCYIDYYPEGNPRPIVFCSVAFLNKDNNIK KNKSTELYCYNKSEAEKKLNLFTNNKRRYGRYFRGGGYNSYEVFGFAGLFILFGYYYY YYSLFPTIYSYACNGPLHSEGVDSEVYRYEVILVRPFEGYKLTPSTN NEUTE1DRAFT_44798 MPSSSPYHHTRKRRRVLGELAGQDLNSPRPLTKRAPITLDQENV DEVHEGLYYGIYDIGEIFAVTNGTWAAPAQSAQAQRLQEEQSDDEDFPSPVGDGPASD IELQDEDHNDNNNDLEGEDFHEGLDEGMQEAYDHQEDEHNGVDEHGDEYGFDEDGNRI EPDEDHEETEQLQHSMFDPSAIGLREINNLAHFGVSSHKPGNGVEELLTEDLDKYWQS DGQQPHLLTIHFLRRVEIRAIRFYVDYNLDESYTPTNIVWYAGTGHHDLIEFADVKLT NPVGWQDVPIANCGGGPDGHSLCCWIVQAHIKENHQNGKDTHIRGIKIFAIDENTVGG AVAAGGDAIHEMGVRIDEEAERIEAAALANNNTEENDYDEASLLELLRHIDREAESAE AGSRSRYRPGEGGFSDLPDFMRDPVIR NEUTE1DRAFT_110754 MDETPPTNLADLVDVTRGPRRARQRLRFEQPQQTPQRTTRSSQA AALEEARRAAMRSVGSGFTPEPQPSSTSTPVHPREQSSAMPLPWYFAQSKGPWSLFTF EGPCVHFPFLEPHLASLRRWPVLALSLTRPQPWFMLPLYFQKANSSCLNVFLYFDTTS PVELFHPFIPSQQLLAVRLVPSVTAAMACSMGHPLG NEUTE1DRAFT_146938 MPRSEADTIRILVSTDNHVGYAERHPVRKDDSWRTFDEIMQIAK KQDVDMVLLGGDLFHENKPSRKSMYQVMRSLRKHCLGMKPCELEFLSDAAEVFEGAFP FVNYEDPDINIAIPVFSIHGNHDDPSGDGHYCSLDLLQAAGLVNYFGRVPEADNIHVK PILLQKGRTKMALYGLSNVRDERMHRTFRDNKVRFYRPNQQKNDWFNLLALHQNHYAH TRTSYVAENMLPDFMDLVIWGHEHECLIDPVRNPETGFHVMQPGSSVATSLVPGEAVP KHVAILNITGKKFEVEKIPLKTVRPFVTREIVLASDKRFKGLDKQNNRHEITKRLMVI VNEMIEEANAEWRAVHAEDDDMDEDMEPPLPLVRLKVDYTAPDGAKYEVENPHRFSNR FTGKVANHNDVVRFHCNTKGTKNAATGPGVREGIAEILESADSIKVDNLVQEFFAQQS LKILPQAPFSDAVNQFVSKDDKHAVEMFVIESLSTQVKELLQLDDDKIVDLDAHIQDF RQVMEKSFDSGQHKQAQRTKRFKRKPDGWDSDLDGHWINQPQALEDIPAEVEPKGNDR PTKRVPTSGVTFSDEDEDMDIDNQPVPIRAAPKRGAAAKKAAPAKKAAPAKKAAPAKK APARGRKKKTPFVDSDEEEEEDYPEDDDEEEAEEEDEEEEDVIMEDDEEDPPAPPPKP KATSRAASTRASARATPVRVTPARATQARAAPAKATQTRTTRAAAGMKQTTLNFSQSQ RAKGSQQQTIEISDDEISDDDDAFESMPASRSRRR NEUTE1DRAFT_83242 MSTIPPPQGNGLPSQPPAGATAPAAAAAPAAADAATTEEQAAAD SATTAVAAAGGDATANNTTTTTAPAAANDDERPNMDIPSLPEPKLPTRKDVSLKELLS KMDEYAPIIPDAVTSYYMTRAGLPPPPQTDQRLARLLALATQKFIADIAADAYQYSRI RASNTNANNPMGSLGAAAGFPIPGQPTNQAAGAKDQGRGGPLGIQRPGYGGGGQGGSQ NRTVLTMEDLGMAAGEFGVNVKRSEFYR NEUTE1DRAFT_64568 MQPTRVFFKRSVWKGPHIVPLPIQRPEPGKKIAPIRTQARSATI LPNFVGLKFQVHNGKDYIDLTVTEEMVGHKLGEFSATRKPFIWGKKK NEUTE1DRAFT_101478 MRAAPVNASTPVHSALPDVHHHTTTTTTLRSYLSGSPTVFTSEH GLALQLRHRPIPAFMRREQVTGPACRHGRFKPSSGQHHYYDSPARIRRNIACIRRVTY STLRPKGCRCRPTPISYISAGMGNENIHV NEUTE1DRAFT_146941 MPRQHLTPNACLVCRKKRTKCDGQMPCRRCRSRGEECAYEDKKW RTKDHLRSEIERLRNEQRQGHAVIRALINDEQDWESFLSRIRGDESPEAIADWIRSIR NLFEPLQAASSQSMGGLGAPPTLLSPSQPTASESSQLHRAASFAGIGSYNFGQGRIPF DQSTPRSSFSSDLSPTTPFSFREQADFIHAPQPMYPSSRRFSSSSLPSLPLRHSSQPL VPGIFNEPLPHTWTSITSDTQLVQRLLSRFFSAPCSLLCFIPQSSFMKAFREGDSRYC SEALVNAILGKACKSYGTASNIVSRMAFGDAFIGEAKRLLATEPNHTNLPSTQALAVL ALAEISEGKDDEAWDLAWASVRAAITSEQSFHVDQEFATARAVSYCGGFTLIHMLRLL TGRLDLNNSPFFMRLYQGSEETPEDEPQNRIERGFALHMQFLAELEHCPPLPRFVFEI TTAVHTFASYNFSNAATAEELEDAYGKCLDAYKRFEETFCLDMDTTPDLLFAQIWYHY CLLALLRPFVKSTASLRDSAMTTPRLRNDANPSDICQRSSEAIIFLTSTYQTRFSLGN PPELLPHMLFAAVLYQVTLTPDPEHLSTIANDIKPELSESPVMMPSQASFGAHGNSNL VPPPPMPFNNHGSYFPQPLSPVLKLEVRQAAPRRESSISLSSTFDSCGNRRPSDSFTS STLTSHDASERESSTSDTQSDFLPFFTSEPADLVTIGSLQLASMQHHGAVEATRLLRS LGTVKDLVGSTLDLETLAEALPFPMGDLNTAVLYTGLGLQRAPVELQVTGP NEUTE1DRAFT_110759 MYASVPRGRAGSTSTAGPSNANNIYVSRSDLCDKFDVMRTLVSF FGLSAKCQKLPKLRHRDRWPVHTYPDSLWGKAPSQAENGYNNAVKQLFDVLWRTLAAW EVTIERHLLDYITETNLQPPVTVNSIGMHA NEUTE1DRAFT_122790 MPSRQELAAPSSAPAPAPAPSKKKKPKKITGPPPRSRPFKRPYH LSKDRPMSWYRSDANRLSLWSPLCEAFPILDKLPKLQQRPYGDDDEPIAVNGFDATKK HFYAAQARWERAMEIMEEEGRRMVYHGETMREEAQACHKWVGQVGKLTWEGHHGRMKN YDAFQEALDKVCKMNKKAVETVKEVQEPTKKWPRKTRPTTMKRTKLKRWLLCSFLGFK ATTS NEUTE1DRAFT_45909 MQPLHFLVFIFTTTVAASNSSCFDDGNFDQSCDHKTFKFTPHLG LSAVCRRADGKSNFTTTLGLSKCIAYNTKTGRVEWKIPPSCTNSTTADEKKMDSTQGN LSVLAS NEUTE1DRAFT_101482 MHFPLLPPTLAHILTTLIPTISATTIANTDPNQKPMIDTFRKSC VNWQVDSKACALSGICKLTDDSHEASHPKLHGWRETNLDLNQCIWFDAKESILKWFEE KDGKVEDLSGHKRDTWSSLNLTERIANGGGHFECFGRKGN NEUTE1DRAFT_122791 MTLDGGVIPHVLCHRCSRIIHSDWLQERLARLKPWTGTSTWALD GDAGQEEKLRFFHSESLEKLEASAAAGCHLCTLISKKDQYFEWFKDQEHTGVVQVVAC AGHSSVWLETSRIQELEIEEDMPHDLPGLDGWLEERYNQSLDFSGAWIMDDFVTVQLR KVQSDTYSPAGPDFNPLLSASSDAPQVLESIQYWLKDCVDNHPECSRYSSTQKDPTAI WLPTRLIDVGEVPGKLAPRLVISAAEGLAQKKAHYLTLSHSWSISTKPHNLKLETENM TQLQDRIPLNDRLSKTFRDAMKITQQLGCRYIWIDSLCIIQSGTEAKKDWQREGLTMS DVYGHSRCNVTALGTGGNDACYTPRNPLQTTPCHIKVCDDKSAIYAVNSLLETTFTEN VEQSPLFKRGWVLQESVLSSRAVYFGAPQLFWECRRQAFAESWPFKTGTPRVQQRLTE PTLRATGDTPFSSKTVFEALCNAEEQQTDGSHEQKDREKHLIEHTFPSFLCWEKIVKT FIGTSLTFTSDRLFAMAGIARAIEHFRSFTYVTGTWRELYPLDLLWRFTRELGERKNV DSGSNAPSWSWAAKELDVQASLKNIARSGKNTQRPAHWDGNKRFLVESVTSVAYLSQL VKFPNPSFESKYRPDKEGGITIDLKGRVCKGLVKTELDIQGFPQDRLYVAGKDKAPVI VHMDDDTPADGLEVVLVMLMEWEGLVGNYWKRQFQAGLVLVPAKDEGDKGGSTIYRRV GAFRTGELSECPVNAFDGSEMEEVLGIC NEUTE1DRAFT_117238 MTSLLCSIFSVTRRNKRPRWTWQIGAGLFVSSGLATSADLGKDK AFGSPHWFQTTPSQVQEQQSKVFRTLVTRTYFIQSGQ NEUTE1DRAFT_117239 MVNFTRAAAALALAATATAAPTNATTSLTWDVKDFDFHADYVFT TPAHQNSYGHVSFSLTSEKTGAVYSCGAQSSQIPDFFYDFNDFYPCSAPKGAVEGTES WASFKYNREDGKVTVRETLYAPDNKSITATGSTIVKTTCTDESTGPNPNWTIGQNYSE RHIVCDKTDFTVTGTVSA NEUTE1DRAFT_146945 MPGLKSWLAVATAIGICLGASLSTPATKDIKLLKENCPPNDVLF PVLPCGTFKLEEATIDDMQAAMNNGTLTSVQLVGCYVLRTFQTDLYINSLLQYNPDAL SIAAQMDAERAAAKIRGPLHGIPFTVKDNIATKDQLETTAGSWALVGSLVPRDAHVVA KLREAGAVLFGKATLSEWADMRSNNYSEGYSARGGQCRSAYNLTVNPGGSSSGSAVGV AANAIAFSLGTETDGSVINPAMRNNMVGLKPTVGLTSRAGVIPESEHQDTVGTFGRTV RDAVYALDAIYGIDQRDEYTLAQEGKTPEDGYASYLATKAALKDAVFGIPWNSFWRYA DPEQVRQLTALIKLIEDAGATIINGTEITDHERIVSPYGWDWDYGTNRGYPNESEYTV VKVDFYNNIKTYLSELENTNIRSLEDIVQFNYDNDDTEGGRPWPLGHPAFYSGQDGFL ASLATKGIKDETYYQALNFTQSSTRNGIDDALTYKARKISGLLVPPDVAQAPQIAAQA GYPMITLPAGIHSVSGMGIGLGIMQTAYGEPELVKWGSAIEDLQKSTNTPYKRSLPQW RDCLKRNIPVYTVYEGSD NEUTE1DRAFT_44713 MSGTTSTDPRITAAIEAGRIPKGISIAWLEQSRDKPATGAIIFV TLLTGVFVLCRIASRAFVLRRTGLDDWLALLGLALLIAFVVLDIQSIDIGSGRHYDYI IYVLTPEEVNRSEIVDFAAHIVYTVALLICRVSGLTFYHRICSIHRGFRLAIQVLMGV LVAGFLPQLFLIIFHCTPVTGLWPYTQTNNWQEGVEDYECLPWGLVYSVNSAVSLFCD FLLFGIPLAMIRMLDLPRKRRLQLACILLPGVLVTCISIARLVLVIEGQWNKDMSWTY NALLAVEVSEIGATLISLSIPGIKPMWDKYIRRRGHDSSSGGRSGHSTKGGGTKGTSI TLNNLSLRPQHLNKLGSTSDCTYNDTKSRNRDKDDDDGTASTEGIMVRVDFDMTTESE TNSQYRRSKAIEAQVV NEUTE1DRAFT_45543 MDLQTPTPAAAPTDRLSLASLPNEILDHIFSLLPVPTARLCRLL SHRFLPSSTRASFPVLVVSPLLSDAHHLQLLANSPAISPCLRSIYYITDTLASPRWRR LESSSTLDEEIAPEDFTWSPTDHPRNWLPHYVHHPQGSGRIDLLSAEWYQHRYRPLIS HHRRSADQIALDRQRYEDLLLDQAQFLAEDHSPNRDYDGIVMCWEQFPALEHITIEAC RELSLAAPLNSVALHSDRNPFRYAPWRTAFPSISTPETEERVLRSLVRYLEIQSVVGK GKAQRLKTLRIKNLSWCVLDDPGIFFSPIVEGALANLTTLEIQIRHGNPIHMAAKECI PPMSQARLSHVMSSGNVRAFLKSLTNLRHLTFERDRIWYREEHMIPEGDGYRWSLGDI IDPESRWPHLQSLSLSHFNDCEREDIEQIMDNHADTLWKVSLGDANLRTTLWVNLVDY FYQENQRRIAGYDGKPLYHLELWGCLRGTREKDITGQQQPGTPDHTGEREKWAFWRGD PHSWELARYVYGIEFQESKFAWEHRALYARIIVGEREEGLGGLEN NEUTE1DRAFT_43964 MAVLNWASSRAATLSSCPRTAALVVITTWLLYVIGLAVYRLYFH PLARFPGRKMAAVTTWYEFYYNFWYGGKYLFEIEKMHKEFGPIVRINPHELSIHDPEY YNELYVGSSKRRTNFWPLFQGCTDDTDVNHFMTIDHDLHRQRRKPFDPFFSRMAINTR YWPMLAEKAVFLESRIREYKGQGKAVRLDRAFSAFSADCIERICTDDLEPGDGFLDQP DFGPEWYDGMLGLIRNAPILTKFPKALSSLGYVPQRLLLWLFPQGRIANKYDERTRTQ IHKAVTKQHLFKDTTSSEHTTLFRALAQSNNLSPADKTKERLVREAKLIFLGGTISTG RTLSFVSYYILSRPDIKIRLEDELRDVMAAWPEVVPTWTELEKLPYLQAVIKEALRLS YGFMRRLPRVSPDVAIQYKEYTIPPGTPVGMSAYLMHSNPEVYQDPDQFVPERWLGGD TRVMQRSYVPFTRGSRSCLGQNLSMAEISLVLAMLFRPDGPRMELFETDESDVKHVHD FVVPLPKLDSLGVRVMVR NEUTE1DRAFT_146946 MGDLEKEVALHHEQTPKDAASSSSTSLPELSWTDAEETAIRRKI DWHCVPIVTLLYMLCFLDRVNIGNARIQGLATDLHLEGTQFNWALSIFYIIYLLFEVP SNVLLKALGPRFYLPLLVCGFGLVSLCTAFVTNFGGLMGARAVLGVFEGGAMPGMAFF LSTFYKREELLFRIGIYVSAASIAGAFGGLLATGLSRIPEWGVAGHTIHTWKNIFFFE GLITILIGLAAPVWMPTDPRTAWFLNEREKSIAAERLVREHRTDPAQKVEWRHVKLAV MNVHNYTCGIGFFLINITVQGLSVFLPTILKDLGWQNTKAQLYSVPPYVCACLVAIAV AYGSDKSRQRGIWLAAFSVLALIGFAILRWVSQPNVRYMAVFFVTVGAFPGGPGFLSW AINNSAGPAVRAVTSGYVVTLGTIGGIVATWTYVPSDAPKYHTGHTINLGGQIGVVCL SIFGILYCAWENRARAAGKRDHRLEGLTEEEQEHLGNRHPRFHLWT NEUTE1DRAFT_101490 MTPSISFSSNRMNEAFWRRFGKCILVMVPRGEKVKAKVRQAVRD QIGGLVVDVSTERMAGPRGHLEEELESAGGGNRGNPPLMSSAALRSVLFTHLQTGRPL GEGIIVSASAANIHGNHNGILGKPITAQGQTEQRTGTRIQKGWVSPWAK NEUTE1DRAFT_117240 MLNRGGVVTWEDAAHVIQHTSQLSLDFHVDTSEQRALFVLHAPV YLKVSTPNCLVFRLIMYPENIRSIEFDPRTRPPTPGMDNAPNKFMGLRFLMTQPPSLA VPKDRPLEPKPRSRDLFEALKSLATVQELNIYLDMFCHVPEVRDQLSLLPTVFSSTYL GDRPKTDSNRSNLQVLHKGLGAVILDMGATAAGPDATLANTAAKQVVHETIEEVAPAY TKDDSLQSPAQVITPSDRKRRRTSESLSPSTTTDKRILTAFAQLAKRHADLQSRVAHL EKMVADSRCDHTPCRCDTEEIENIIEHVNDKIGNDMDDVRWELEDKVFGETRELVMEK TEEQQSQLWADMREGLMDEMRQEIKEELKAELRQELAAEIKTELFKDMAQAMMRAACG DVVKSGAGMSQSTQSTDSTQ NEUTE1DRAFT_122796 MLCTRPLRAVRTIASNPISTLSARSLSHSSRVYSAADNSSNPLK SELDAKNNNTNHEPTTSDPKPTDSQNDTTTPPTSKDAPPPPGTEEEQGPMTRRLAQAT EDALLTGGRAGLRAIEEAGFDENLRDRLLAKVASAKFASEHASALSEAGITSSGHSRI PGSAGQGTRSIASSQAWTGEESTEDAVLRMLNDAHKPLGRETRGKPKIPSPVVDMRIK RQPQMSAGRRVASAREKAQAYVDMELPKAESMGLTEEEREELRREFRERFTPVARAMP NTVSGLAALANERIENAIARGQFKNIPRGKGVERDTRADNPFIDTTEYIMNKMIKRQE IVPPWIEKQQELIKTAESFRKRLRNDWLRHAARMISSRGGTLEDQIRRASEYARAEEI HNPRRRNVDQISVPTNSTEDPVMVKIRQQTPDVSATSESTSQEPAVAATAAAAVETPT PKTQEPEISFTKPFRDPSWEAAERSFLELSITNLNAITRSYNLMAPELAKKPYFNLDR ELNNCFADVAPLIAQTIKERATRPAGGNIADAMAYKKPDGILGRFTGEGKGQRVYERK EPQYGFREFWRDLWRKDK NEUTE1DRAFT_101493 MEGKEQKRRDGNDDGLVRLEIKVRNTLHLFVLCKAPPHPICVAT KAVQMDVGYSRECCRQSNIGSQPSAIGGGNNEYKEPTGAAGWSWMAGVLQCLPTVQSA KAGSKPTPRNRHNQACGNSITLHLTDPTLPSTSPPNRNIVKKHVNLEKGKLFSPGHSS LSLKHLGGLRYGRFVKVKPPKPLFTFRGNMDVYHPQSPSTITVTPRPWCSLISRFWKP IAALSVSDSHGFANHCHIGWARWLIRTPIFRFIRSTVGGKS NEUTE1DRAFT_83267 MATSRERPRRKFTPIPVETTFERYRKAMPNGELTPSPSPRSPSP PPREKRRFAPQLVESSVRRSRRVGDEGPATKPTDKTDITPYTNHIYAPKAKAKRRLGQ GTSIASPTPPAQRKKGHSRRESCDDEIADGFFDLVARDAQAQKMQEMAMSAFPNSSER IGGAEHFYVREGSEDDEMATRGRPLTRGPWATYLSRRNSSEEDISWAFKEMQEHAEML QNEAKRDFEPERVSTLELDNMSFDEPLNDVMNLTSAPRSPLTGSPLWASRPSPANRGP IGESHMPLMRSESPLPVIGESAMPYIPPESPPRRPIGESFMPYIPSAPLGKAADMPYA SPAQIPPDTAFGSHGYAFQPYAEPERDMSLERARNQHRQRVKASPPMLGQDLVFRMCQ SPKHTKLEPDHLWDLEKETTKEDENRDPSEQRGLWRGYCFAHDKDEHIIPAERPQYLS TPYPSHSPRDPFAQAFGQSTPPELSAEGTPSDGFTRNTSLQIPPWSHPEHRTKNNERK GLHMLPLQGLEERLKKEKAAADLEEKIAAEFDDHFVTQVYNYLSLGYPALARQYDEEL GKISRIPVEELGRDDYAIMENLWGGDDRRTNGDARVTPGGTAKATGHIMLDSEEKDDT PEENRCPRWKALKKYIYEWARQHPDLDAISPLAWGVRERRGSWGL NEUTE1DRAFT_146950 MEQSPLTQQPRPEAFQAKIVQLYQELFKDHDHDEDLDSHYHSPT QTEGFWTEFFILKPARSSLRQILDPISASDLLHLYSAQTQQLFSRAVSALKPTRPSSS ASASSQTQSQNKQANALDTLSVFLVSILGKKYTNPSSDIIEVLAGLDSVDHVFGEFVG ALDGIVRGGSGNAGATSIEVRRKAVEVAMAVTAGAWGTGLGSYFIQRDMFPALMKLIQ DSETTQDIVRPFTLVGLLANYNKFEFQNPYQMRLNDFVNEQTIQKIIRAVGEACLTLR GQYVAVQEDLPEGWSIAGTLSMIGLVRLNKHKKPVYDAETQKRMFSALPGKEAAVLLA TYDFTHANKLFCHNLVTLPPLAKGDEPPFSSFLSITSYMLQHAHLSQRTTLYSHLNLM IIRLLIEDPVLCKRLCSSEPEHKHPVRLCRQRSPYLPHVAGDRVLATAVLDTMIDAVN HNLRRRLDVGLYTLCVGILLRLISYMSRSRSRLEYHWHELFRSLLSLIRFLTTYASDL KTLLGGQQGQLDTLLDLVVNTLALGLSSGESFLPTSAAYDDLFYKIVEMGDVLVKFRD VYGLKKRQSNGIDTLVNVSAHYKDMLASGASSKEKLTSVQVAEVIKQGYETLSIQAKE GLDSWERFREADERGLLKKMAREAVGDVRALVGGE NEUTE1DRAFT_130247 MNAQRILSRRTLSSALCNSNRTVAHAQRHQFSTTPSPAVTAFQS YTLPSHPPSPPRSGNDSMAFPVQPPMPRVHETRPHKIASPPKQQQQQQLNNFAQTSPS TSSSPSQPTPVAPKQEQSKAAAAAAQPSSAPTQPTPSKPAPRASRLRAPRKAAINLTS AAVEHLRAMLDQPEPKLIKVGVRNRGCSGLAYHLEYVDKAGAFDETVEQDGVKVLIDS KALFSIIGSEMDWVEDKLSQRFVFRNPNIKEQCGCGESFMV NEUTE1DRAFT_83275 MAVTCPICNQAVKGLDKINSHIDSGCQSFLETAAESATTQAEPQ SSGQQSTQNGNLPPSSTQKKRSAADFFQTPAKRLAVSKIPIASTPLPVHGSAAASTAA QKPRTGTKRRFDEGPGAETPQQNVTPGEGGVGTGGREAQPSPPIQPLVKRTKPNNRSA PLAERMRPGSLDDVFGQDLVGPNGVLRALIETDRVPSMILWGGSGTGKTTIARCIAQR TGSRFIELNATSSGVAECKKYFGEAANELHLTGRRTIIFCDEIHRFTKAQQDIFLKPV EAGTITLIGATTENPSFKVVPALLSRCRTFTLQPLSRDDLQRILLRALKQEITDQHLP LSPLIDDELLSYLCAFADGDARTALNLLELALSLTTTSPPSDNQPLTKESIKASLTKT LVYDRASDQHYDTISAFHKSIRGSDPDASLYYLARMLQSGEDPLFIARRLVVIASEDV GLADNSLLPLATATYTATQQIGMPEARIPLAHCTVALCLAPKSTRAYRGLNNAFSALR EPGVAALPVPLHLRNAPTRLMKEMGYGAEYKYPPNYRNGRVRQEYLPGELVGRKFLEE RDLGTEVDPDVEMGEGEIDGEGEINGEERLNGAT NEUTE1DRAFT_130249 MEPVGFAVGVIGLAGVFKSCVELFGYFSTYRSYGHDYNTLDTKL HVEKAVLLQWADRVRLLHEDYDRRLDNPTIREAVSHILSSITHLLSETSSLQQRYGVE QRDGPHSQLLVSQSSAIVSSLMEKFKNDYNAMQLRIHHRKVTTPVSDKLRWMIVDKDK FNTLIAELSHFTSKLDALLPGDSSERTVSLLASLIRNEVLSVYKENAKLLPQQAADGR LEGNDILQEARDQDRALKSLWFRCMDDRKDSVSPAHVKTLQWALKPACEREGCEAEWD DLSEWLRSGTGVYWICGKAGSGKSTLMKYLHDNPDTRAPLQAWAGDLPLTVGSFFFWG LGTQEQKSLEGLSRAILYRLLEAESSYLPSALPRLWQEVRVNAHKEPDPPSSGELRAA SEFMTKKFQPKHRFCLFIDGLDEFQGNFHDAIKLIRGLCSNPAIKVIVSSRPIAICYN AFSCVPQLHMESLTSNDIKEYIDDVIGSHDYMEVLVDSGEQSPDIITHKLIEKASGVF LWVILACRSILDGFAASDTVAELCQRVDDLPPELEDLFVHMLNSVDRRYHEQMAKTLK ILYTQVASTYSSEGLETIKLASFDRNGMDCASNHPLENFPVHEAPKICSAMVARLRSR CGGLLQVEPRFDWGKQSRSDADSNLDICWCPSRETCPWIEQEDHNSSLLTHKSGGFPE HSNIDFIHRTVFEFLDNPKVWDLAPLRISDPAFSVACALAGMELQLSYLYCQNGARLS GSFKELLAIDHEPLESVLPVLAKLADCLSLTIPREHYATNEAALQSCFSRFLDPAYNR SSLQLSLLLAVEAGLVNTVGRLLQAVRLRPPSAPFSPFPLLYHALILPFLYDYDHLGL VILRPLHELLEYLLSQDFSPNEVFVNERGLSTTPWRELVLKGQRHFESFHADSALFMV MITFKAMKPLVEHGAELDNVLPGIPIMEKFLDDAFARFRIDRYRKAEHNKRNLEVKDS LMAAIANRRRVMAAEALASSTASKQIKETDTELDDSLGAQIDEKLDSNSNKADTESSP KLDIGRKVHLKPLQEHMWWLLLFAILALSIHMKPGLLSHAGS NEUTE1DRAFT_95146 MDMSIQPNSYQMRIASTSRQCTLQHSYHPSSSVLALSLPCPLSL KGSVKVSNRNLFYRTVCYDIMVVSLLSTPLLRS NEUTE1DRAFT_130250 MASFPQHHHGGNHHNSDNHSDHDSDNDTKIKYTLSKSGRPYTLS HKIYFLRTSSSSSSSSSSSSSSSSSSSSSDDNNDDGKHPKTIPISPFHDIPLFHSRHQ EIYNMIVEIPRWSQAKFEISRSLPLNPIVQDVLSAHPNQPRFVPNLFPYKGYLWNYGC LPQTWESPHYKGPDADAAEGARGDNDPIDACEIGTRVAYTGEVKQVKVLGVLGLVDAG EMDWKVLVVDVRDKLAQKVDDVKDVERECPGLLEATRDWFTWYGVPEGRKKNRFALGG EWKGREYAVGVIKECEGMWEELVRGEVEGSEDVCLKNTTLDGTPGKVDPESVKLPPNE DLPPAEVEQDLEEVAYVDRKKGDEDEDEVGTEDKGTNYEEDSMTHDDEWDDLANDSAL DDLKMLNVNLNFW NEUTE1DRAFT_122803 MQQLPCGCCRVGRRNCIEPNCPRESEISQNNLFFCPVARERNLH RTGEPELCPVELPAASYTQIAHTGRCAKHRKEMEDNLQREKRREQKEKEKRRRELEQE REKEERARRRVSNLGSIREGVVETGHGRGSGSGMDANELLHLNAVLDARERARSTQGV EVERGVEVVRESGLGWVDEGLENLTLDDEREKTVVAQEEQGKGEEKEKEEKEEKEEEE QLAKWNHRTKEDREKRYKEKSKEEREMMERARKRYTGIDALGKVKAATTMLEEMVAKE WDEDASDGNEGMPEAQRVSEALRRRKNETNRELSSLMANRSVSGNSMLRPAGDRPAGD GARRVSGPLRRHGNETDPNISSVVTGHSSRSVSGNSVARLAGGARPAGGAYEDVDDEV NRRVDEDGGNLCDMD NEUTE1DRAFT_64610 MDAINSSAKEFEVFALQPALGQNIQLGMLYDVRSQQFFSGISLW KDSEVNATQAVDDKKVQSADYRFTYSLDEARNDNSLSIEGSLALDLKAFSAEGSAKYL SEKKSSKHEARLNVSCTIERRTRRIPMEVLSKMTYEKQLDSPFYTHFVSEVVEGASAT LTFARSCSSEEEAKEITGKLKIKVVSIPVSGSAKVEFKEGSEKLMENVKISYSGAMAE NVASLEDAQRVAKEMPSKLMTQMNTLKYKLLPLSLLDSKANKLIRSIDTGLITKTAEA LNAGNEATLSLKEIAADEVFQKTFPSIRKQISNFHNEFAAAETNFTSQVRQLLPELRD GNTDANKKIAELERVVGLHSRRTEIAQQFVTAKQDEARILRETVAALLADKFEDHLGG LKSGSLVDAAPPRLLLSIGGGAIGVPTHPLQTSLASASLDNLDSGDDADLPVEEWFEN SQTRANLAKSCNDIREQRKMAIPGVDVSFGVASIAVATRGGKKAKTNIGDVILQKDGV LSIVTGMLPKAPSRPTLTVKDQTLTVKWSSNRAAEEETAIPTTGFALRYRRVLNSKRD GPFPRAGKNELFRDVRCPATDDTIELEDLSDDCDYQVELSVQTCVGSSPWSPKAVERT QRHMTEPGNMLDFFFSNKAKLTATPTSNSSKPWDFDKDRNALFLGYTECLERKTNVKG FQDEVAVRIVDVATEYKPEIQFPALEKINETIVAVFIGPSGAGKSTQINAFVSYLLGG GVDDPARVLLIDDRKFKQSASVTQLVTCFRIRPFAPVFENKTLMIVDTPGYGGSRGVE GDAFVTAAMSEFFGTVSHVNSVIFVCKANEMRTTILSPVTTYVFSLFAKDVRKCLRTL YTFSDDGKVPAHDTLEELQWPVENGEVQVNNSAFGLDLQGREVDRNIRSQWIDSIKGQ FRLQEMLLKMTPVPTAGSAEVTKKRMQLEEKCQLAEGKIMKTANDAQLIIAQLKSLAL TIEAAPNQQIAHKYIETSTRDIPSGKHATLCTICNYTCHELCDCSNDDNTYYCASMNN GECTMCPNKCNRTKHHKAKFIIFAEAKTRYITPQELIDKWNEANNMQEGALIGLLDRY LSAQAILRQDMLNLADLNDRLKATALMHDPSGLMNYVDLLIISAKAGVKEGSANETLI TQLTTARKTLQLLNELKRKDRQIGGDLVILVDVLDLVRGEMMRRMALSANDRVAEEKK PCSLYNDLLLKLPLDLMKKAPPPLRTQSMMSKGALYEENLKAVVSLVELVLKDGGVVA ALVATPAS NEUTE1DRAFT_64611 MESAIDICSHDRPIRPLSSLRPASRESISASSTRTRTSNPNGNH QTQAQPPQDRPRSSRPPSSSKQHKVSFSRDRVDILALPPMSEYLQERIERTRKMEADR ACGKQPIERPKTSVGFTSPIASPARPTTGTKSRLPTIASEISTKKNEQQAPCMKDAQE LMSKLHKQNFDLKLELFHRREKQTALEELIEKLELEKDQLRREQRETQDLNDVLLEEL EKKDKAVEEAVAMIVTLESRIENLLKEREMVRQVEAEGLYKSHHDASDSVSSRNETPD TLRAGEQKALHRMPSFLTEPTENTETLRNVYLESRASGLGLGQLSEEESPETNRSELI KVGSPAFSLLSESSFLSIYGQNEASSSLRPQSPPHVDGAEEHLTSMPNRNSLMPEATP TKSSRRPLSPIATNRSSSFRKSQLGLGIDKAADQVLDEPRTASLADKSGSRHKLDQET GAASVKSQADSTSRGTNRTSVQKVYTHGSSVRDFSRVHSGLPPTPDTISTSTLRVLQI SDDSLSKGQSSGNGPANIASSGETASVHSGDEDEDHLQMSRRQQSQPASITAFNSLRG LESEGAMLDFCYTTSESERPPSASNARRSEEHGGRKSWSSEEDEYDVVADGRTKSFAS SIDLWLRESQKPNKIVPPLDPLSSVSQVGGRETDHGRVSPDLFSFPTGSQGWMSDAIY GKLDGTGYKCAGGVRVASGSSNADSAFGGRSYMGDLLPTPIFGSGLAGLHEVRNMAPP PPERGSSLRATMGHHIPGLDGSLSGSASSLPASPTIRQFQTPLRDPQGRKSNRDSPRT TMTTIRSNSIGQRSEIDPSSDLSFDIDHRAATVPPKTLFNPPPKEGKEKSSKRHYPPM ASHPARSKLNSLFRRSTGSVEPSSSQAHSSNPAPSFVSASFSLSSRDRPSDFGHSSWG RRNSEMAADGDHTGATPPPIKRIRPTKKNDAVADRADDEAGGVPLYQSSFGDYRDGRH SAEPPQRPVTSGGDGEGGSLLSSIQNSFSKSLGSGDGPPQSQGGRRESAAGGKRRWFA NLGRVGSMRKGGN NEUTE1DRAFT_110779 MVMLATRGQWQGDDWDKTPGSMEPRRWERVRNSEATRGHLPGLA SFHSVPVDIACLAGGLTAIWGSTAEVHSIQCRSKQVQCKVLSVTTALRLSPLLVTPLL TNQNPIQGAALLQAQNMANPVIRPLHTIHFRDILHFREPLGNLSPFFLSLRIPSVIIT IIPSNYGLPSRPYPTASSQSRRRTIPPRPPFIANWSA NEUTE1DRAFT_44316 MSTKLSQRLARTATLSPTSLVPRSSRLIPIISSAAVRSSSAIPI RRPFSTTEARHLANVQAGMDKIRDAIAENFGGPAHNIGTTSFSLDDTPDLSGKVAVVT GGSEGIGYGVAYTLIKHNLSKLFILSRKREVFDGALASIASELGQDKADRVHWIQCDL EDWAQTAVVAEQIKKDTDRLDILVNNSGRGIMTAGLTSYGVDKHMATNHMGHVVLTSH LLPLLQKTAEETGETVRISNQSSNLHSAAPKGTQFKSLEEINEDVGPNGQYGRSKLAG ILYARYFDREVTRKMDTGKKGRVVMNATHPGIVYPISGYAVSHLAEPFKKDQFEGAVP TVYAVTMANEGGQWICAPAKAEAGSDLAQSDELADNLMELTRKIISEKTWPKSVAKGC PMDDVVVHV NEUTE1DRAFT_43839 EATLELDTKSHKVSSFTSLFISELFISTSRYPTDLSSISKPQRG PQLFDTYNEPTTCISAEPHEQAVKDPVQHYFGCIEICQRPDFFKESNNVWVNEREMER KKLRMAMDNASRPLLTDDMNAMLNETMTKRMLSVAIAIEARYRRTEVMRSAIMKPRSS GGQPRLVYNMEKSRNKWMNSQKFKDGIPMVRDWRKGAQGGHRPVDLVNAGEFTLSDRI QTGRQEVQLFIRQHGINKEQNADLSGIEYSIERDVHAHFIEFTVQEANIQTTPGAESS SAVRQFLKPANDELADIGVKGTFPDQRISMSRLLNNGRDLMGGQCDPKDDWNILRRDR NEGFAKPRRIRYLHVPSNNMAKVIANYYADSNPDVRSKVQSPGIQSSTQLLLRPQYWH GQQQETRSGIVHTRHMRALCEIVSSNTDIIEQSPKNIVLFRINSEIDKAKQLRLQRLS AARPLTKHYAYDDHYGCEECRQNIMQVQRLIMVDQIWMWILDEQTIITSFPQSWRKNA RQTVNGIHESIRARLRPLATTQIDHQIQSVYELGLLILGECSTLSDLSSLRLRLLDNT EEEKLQQELRQVLIDLDVMLDVQKQQDTFVRRFCQHAEKNLSGNQNKDKRLRFRGQSQ NLLQKLGDQLSELERLQKWTKRTAAVINDLVNLKQQHASLIKAQESMRQADESTSQGR AVMMFTVVTIIFVPLSFISSIFGMNNIEFSGENPMSLEQQFGIIATLPLLLASTVVYL FTVVTVRLGLLGFWLQFHNKEHFQVNTLRNRIESEARQMRRVAENEARRKIREKEFAK RIEHKFEDARMDERPSRIDLILRRYPSLLEDDAGAFALEMGPVDAGRRIIDRRARGER AHAAIEEEERSRASQDVVQDRVH NEUTE1DRAFT_29386 DPEDEPTSCLSTEPHDTTVEDAVQHYFGCIEIRDRAEFLKPSHP HWEHERKTAQEQLKKAGHAVPDGEPLTIESVSQARANEWLEKRMGSVAIAIEAQYKRI EILRTVLSKTPARQSRLFSDQQPKVEKEDSVVSQLGDSRDKWKRSDRFKEGIKWVRQW RKEMKDGSEDDDNKGSDEPGRQEVKDLAKKQRTKKNTQSENGDNEKDCTEYHLERDVN AYLIQFSTEYDTDRPSQDSESFLTPIDEPLTDGRFKGTFPDQRISMSFLLDNGVTCEP KTTDNPELSTHDPEGDWNILSRNRCMNEGGSGPPKRIRYFHIPSNNMATPTHMLLSPQ YWRGQQQGTRSGVVHARHMRSLCEVVSTETNEIEKHPKNIVLFMPYLHWETDRRRETI SRLIDIESDKFRQKQRKEKQDKKKTRQEERVKLTNLSKPDIQRRSIKHPKEGAEDPNN QNKLLQTVDLALHQFFRRRRDVQRSERSYIDDSGRLRVTSALGQYLVDAARLYEAMST FRDQRMLEKYLFHDPPLHPRRTLDQSYYWTLRTTKARDRDQVIYRDTKLDFIHQLQEA EKPKHSWIKRPKLVHELEDQDSAQRVEGDVSPPMKWTHHSETTDEHGCDQCKSDIKKV SQLIMVDQLWMWVLDEHTIITSFPRRYGSNKHDLSGVHRSIRARLKSFRKNQVRSVYD LALIILDECSNTFFDRTRADTNLHTISFQHVWHWTQEASKIYRARSKYVNSSDLHVSL LDINPEGKLQREVKDILDELDIMLHVHKRQRDIMKRYRRHVEHILDPKNRLSGDGFVE EDTSDPSRKFKSDEQLETDEEETRRREQLGWFRAQYQELLSEVNDRIDELEGLRAGAK STADSVS NEUTE1DRAFT_122806 MEHRQQEVVVAVWSMTLLSLSFMFLRLYTRIHIVKFIGTEDYVY VLTGLFLLLFATFLHVSVHFGMGASLWSLSLENTSRSIFWSYVANSFAITGNAMAKLS MGFFLLRVVQLRGQKMALWALIVVTAGTSFALVVMLWNQTTPRKASWDPLRTPGKWHI KIQPMSVGLGVWSSVCDFIFAIFPWLFIWSLRMPRREKMMLASGMSLGVIAGACGITR TVVLSHLNIWDYTYNFAPYFIWAGAEIAVAMVCLGIPTLRPLYLRRRGMTEDHEYHPP NQNNLEMPEFTIVEQHKQEQQQKSSGDHKAPTAGAGSKVERSPSPPPPAYVRDSASSH TIVDMESLRDIDTFQTPLSPASMQRKNRRRRGNDSVDDILGLYNAERSRSRGTTAHSQ GSRITMSAHDSSSTTHITALKSLTSTTIVSTECNAAKTAGAIMVKNEIWIGVERDEEN WPLRC NEUTE1DRAFT_117243 MHRHHGSETGGYGFFWADMTRSVLGSGSSNYLGKPTVDDLLKTL AIVPNTNNLLGTSTKPLSASGWLDKVTANPLPKTHAFFMTVAFLILFPLGVILIRSSG NAFQKHWLVQALASIFTLAGAGVGLYMTGRHIPSTVHQWLGLAVTFLLVVQAILGWRH HMDFLRIRRRTWISHGHIWLGRWAVVAGWVNVVLGLLLSGHSRVDVWGVGIFMGMEAV VVAWWVWRAAQRKAMAGENGGQNGKGTMEDGEVESHALMARNGGAGADGEYFRLSMSE DDLSSSEDEEEGKRGNGVRGGR NEUTE1DRAFT_64623 MSRHHTGPLSATTLGDGVSHGRQQQQPQQQTQQHLTAPQPKWHS PQPTYQHQHQHQHQHQHQHSQPHHHHHPNLASLVKPEHHTPMNSHHHHSHSEAAWSAR KSSGGSSMVSAATSASSRSPSPGTHSDLGSNCYAASISSWVTSNPPSTATECNFPEGD LEPSESERVGMGMGNKWNDETLSSRSPITNTTPVSRFTVTGGRRPAKKGRYNAPNGIN GGGGGPGGGGSSSSNSSSNSTSGTRSPSRPSSLRNALFAVDSSAPGSGSGGGHGHGHG PHARSAEAKDMMSDDDDDDDADSGESEDDEDDMDIMGDYLDDNFSEEEEEEEDDEEEE DDNDWSGQNGNLESAVIEAVNGDLPLAAYLIPILHRDYNLAVKNKVESWQFGNNTGSG TTSGAAHGGGGNNLRERGNSDASRGGKSSYTDSSPPGGGSGANGNGNSRKRRRRSNSD GGGREGRGFGGGGGGAGGGRGSGEWGGGGGGGGGGGGGGGGGGDGDDGDEEEEKNIDT GSGPGVAGNEESQPMLACPFHKRDPEKYGIQQTNSANGKKHKYRACTGPGFKSIQRLK EHLKRVHSPVQCNRCYKIFPGTDRATCLANLSEHQKESDACELGDASKKEGIDAVQWA ALERQNRKKNQEAHKLEKWFEIWDVLFPGAKRPETPWHDIKPRITPFSPSKDGDAFSK LFLDILDHKIKLQDIDFASGTDIVRERLKSVVQQTFKAYVSLHGHLSTETSSSELSNG PGGRNRLSIVGGSSTHLSAPATAASHQMSNTTTGTAPTSLGTGTRNNNPQQQQQQINP YAQHGIPTSPFHSHSHSHSHSHYGHPSPATTHQPQFMSHSPLTGNGPMAVSPHAAAAM SAVNGYTMTAEDPSVASAGPAANYFYTSYAMFPPPPHHPAATGTHAAWGAPGQFVAAH HPAQFGMAPHPAAAAQQQQQIGSVGPHPGSVVGNGVGQVTPDHMDVGAYQFEVEAGAF D NEUTE1DRAFT_64625 MLSRLHLRRPPSTPSSPVPGQASSLDLDTASQPPTAPDGRPQPT RSASSSHLPSTLSTTPKPIPNISDNGGISAAASVSGMPLQMYQSAGSSASSQPSESHK SRTRQAPPPIDTTAATRASLATRLSKISSFVTPTDLNSSGPFGKRPTGKRMASEPATK AITTPESAKSKKSLPFLKKHSVSNLWMRRKTAQNPPDLPPLSSSAEPTYDPRIRGTKV HDFSAPRPKRTTSQKEAAVSPVKESPSNDATSNSHAAEASTGSTFAGTSQILTPGLDD VPFFPSSGVQVTRGNSDARSIPVDSLPSPSLANSSPLEQDARPSVQLRDDPVPAQDPS SSVASQDTLTVTRPGPNANASVRTATSRNVSKRSIRDSALFGLPKHMKSTSSRFSFDM MGSADEEKALEERHRQRQREKGGGAASALRQEHDSRFDDLEEEDFDYDAMMDDDGLEE PIPGVNADYEDDYMEEPIPGANADYDDLEEPIPGVNAGYEELSGESQGNEHDTDSDPD NDQENFAGFEFQRSNKGSGPITPRSPLIASTPSNETDQPVASSVTENSTPDLPKWATI PDQAAPTPAIPVPQQSSSPETAHARITAEPVPIGLPPTNPTRREDDGDIYFDNGLADE LDFQGDGTHFDESLFDLDDTDQYGRPIPGAFARAAEQARARQLAQLAEANAAAAPGVD PAQSTASGSMNALATGEDASSTQLPQMPTQAMQQQMSISGLDLAYQAALAEAAQRAAE SGKFQRSSSPDIPEEPTVMSPTDSAQSHDFVQRNELDDYENDDDCFGDGLDDYDFDDE AIIAEANASALANDSDGWYGQEFGFYSAPLAQSGHGYGSSSSSRNPPDSEDPFQYSYG GYFGPVGEGVFRSKSGRVVCREPTLTPITETSEYSNRNSIMSLNLPPSIDARNSASLQ SPTLAQLALMDDDEFDSINKLRRKTWAGSQASLMNSREGSPRAERASYLIDSTGSPFA ATAGGHFGAGLGTYDHTKRSSYSMLNPSQPEGEGRSVSPTLTNKIQAVTMPISPVPGF VPPKDMGLPQQQPSLLGPPVMMPTSAPQQFAVETGLRSGQGCPQVEENDEALGVVPGS SQKPLQEVAGVNGGITYDSLGRRTSSVVKGVEQQEQKKKVVGWA NEUTE1DRAFT_45326 MPESYYSSASYTHPVEYYINSGRGPGSTAGSVAPSTASSQSTIR PTYVNSQGQLGIDYPASQISSRGASRQSHQGSYHSLRDVDPSDSSSQVGSVVSTNSRA SRASHASTVRPDDSLSQVGASSSSGGMNRSSHPRGRGRDLSARELSLLHAQGGSHAPT VVSLASTTQSQNQGGPQLLPYADSDAGGGGSDFSTDSTLVIDMRRDSNRRQQQQQPPP RSSSSSNQQHGGNNGGGNGGGGNGRNTYPSEPPNVVVTEADIARGREMWPGLDRESIK CRMKVVLIREEVQRRARVHLGFR NEUTE1DRAFT_83301 MRSPTFTNSLGSLAILMSTLTLAHPTVHAIPTPDLLGLDDDPTL NATALQILTPPEPLTVLPPDDTITITSNSSTMSTLSIATTGPWIAGCIQVDNIGTGSP ASSKHIREGIKYLRGVPGQPQITHYGCSQVSCSYKSAIFWCNEELGWGLRLNSFADIA DGAQAIMDRCGKGKDVMGKSTTGWAPDQQKGQQWSVVVTGGDC NEUTE1DRAFT_122811 MAKSFNTKFLFRTGPYQIISSQFASLENVVPSYVRIMGEDQCND ITPELIPANPQVEPSVLVFQMHADTQWPWQIPHSLHTKYMLNPRAHPLRRIQRYGHGC GASSLPEQRDKRRNVQSIVGEDMSFYKVTRKNKQFCIPKQLGRAIQIQR NEUTE1DRAFT_146965 MSWEERYSVCARSKDSLPSRERILRNINSLSRPNREGFTYTIHK MQYYSALEPLFPLRDDPLNLHLEVVMEVYFEIIYNALPKVQSRTVLRGHEHTAKTFVK ETFKLKTWKELRANNEKAKAPQNQGLELLVWARNASSPDE NEUTE1DRAFT_130262 MTISSLLHTSRISDALLHTIYASDQEMYPAPLTYERLQSWRDAC PELSICFSASNDGSEQPVGVIIVLPLVKTYWEDLLVGKIKEVDIDAGEMFAGVGGGGG SSTKMESEVQLQAPVEVGLHVFHIERFTAWDAGDASKKTGARPATVTVGGCEYGSMRF ADMVLAEIQRRVEAFNAEAAERRWDVLGFSALTATPAGKKTFARLGFVPTGYKEIFRL VETSSSSSPTSEVHSNGDDLRGSQNNAGPHTTVSAIYIYPGEARTEKLGSDVISESEM TVRYSATSSEKMSSVWW NEUTE1DRAFT_83304 MQFTSVFTILAIAMTAAAAPAEVVPRATTIGPNTCSIDDYKPYC CQSMSGPAGSPGLLNLIPVDLSASLGCVVGVIGSQCGASVKCCKDDVTNTGNSFLIIN AANCVA NEUTE1DRAFT_101514 MYLQTTTRRSEQRRVRLRADDNIQLETSVMDKQVLPNFQLSPTA PSDRNGFVACVCIRLLHSSVKCCCCLVRPAKRTNGAIGYCLARFTGRPPGLVGCHVQD CMSEMDVFQALGQEGSRQTRCQFEVASKIEIDLCQQIDQGNIAPDEWHGGIPHRPSGC ATKRRYAAVTWLELERLKTRTVALPDPENVKTVTYQVLSEALIAVMSVCFCIPFSSIL SRFASSDSKRRRTRHFSE NEUTE1DRAFT_18812 MPGPSDFEATTSRSSCLLYLERGLEAERDSDPSDAPPHAGKLDQ HPRLLGLENGRRDDASC NEUTE1DRAFT_45521 MVSKTLIDEAEAVEFDHEAVSPIIKVVLALEVDEAGYSERHVGG SDEEHVTLAAQMPARDPTIPLIAVGTGRGTNFEIYLPSPTSRLLVLKSGERTNVENLC FLPASRVNYHLVNHDRLCGEY NEUTE1DRAFT_101517 MPNSKQSSSSAGKSDEYGRNARLEMFQIEERQEGNILVRVPHRP RKPRETRRTMAREIRRIMEPFDVPR NEUTE1DRAFT_101518 MTAIVNILGPKCGDLAVMVIHVFGGLDETLKHGELFVQQGCGGQ EPQGRVESRETDHMGYRLITAMGRARLPLRIPCPILAMCSLSGAPLS NEUTE1DRAFT_101519 MACVVGSAPLADVGQRWEIRRRPFKMLATTDVAGRLNQGGASGY GGGQPCPATAPVGLPAAVAVTVSDGWAR NEUTE1DRAFT_44579 MGPQAGMSRSSAGSSRSVQGPGGGPGGGGSTRRNNSSGGSSSVP LSQIERSVTHLLVATKQLLETLTQWSRGNATDTQVSDVYVRLGYEFNMACRAFTAINV DIDDLGNVPEMLRTILEATLSQEASAESLEKYLPKIRDIIINLLHGLKRKQQKLRHRQ QRDREPSIAGDDGGHGPSRGPGPSSSGVPTRTTSTSTMASVNSGLTNMLNEGLESNGY RPDSLRDDSRNSGPTTASPTRRFPTQRDQSRGSVTSDQSSLSSNTMQNIPVLAPYPGE ETIPTGPPAPPEISVDNFPPPPPPPKESGQSALAALQKGGNLERRASRRYDAYQISKY LGTMVPPQTTPIPDRNRGDFRESLRAMQSRGNPRHSRNISSQSQRTIGLDSSPVRVPS RVPEEPETADSYTFSKADNLNVQAPDDKYPTPSATLNGPLADPIPMVDEVDSSKPAAT RPAPAPAAPQHQHKPSIRAPTPDKQPPSSFMLENSPPATKELTLFLQYKSKVKKFVLP EGYDDLSIARLQLAFIEKFSWNTQQNGADLPEIYIQDPVSGVRHELEDLSDIKDRTVL VLNVEALDEVKKHIDKSIGSLKTIIQQVKQNVDDQNATIQRVSERQQETAKDLARIAA TPPPTIIAPSGMDSMASPRSSSSSVAGAAALAGPSSKKLSPSQLTEIQSLRRDLAVLR QTYSNFQSEVQGSMTALRNKANNVKTAAAKLSVPDGEGDSGRAYVTKGRKLLNADSDW LVNKVDDLQDLIEDLRKDVVHRGVRPRPNQLESVTKDITTLTKELKKMEEFMKKEKPI WTKIWEKELEEVCQGRDELRLMEDLMIDLRDDLEKASETFALVEQATKEQMKEGGQGN TVNGSVSNGPTPFGAFSRGLKSISEKVTHADPSEAKEGVLGEVRALQPNHQSRLEAIE RAEKLRQKELQTRMVNPLTKELASFVEEGKLKKSGGVEEVERARKAKDDRIRREVWER MNGLIAENDDGEDEEDDEEEEEEEEEEEGEEAEEEEEEEGEEQEEGEEGEEKASGSAK ESVDGEEDKGEAKEKNKENVKVEEKLDADEGEEESKVKAEAAKEPGATP NEUTE1DRAFT_83312 MAPTYNFENTIQKAIDDGIVPGVVLYARNKDGTLNYSHAAGYAS LCPSNPRPMTTHTILALASMTKLLTSISVLQLLDSSSYRDSFPLGLDTPVTDPSYPKT YLPDLASLPILSPTTTDPFATRSRTKHITVRHLLTHSSGCGYTFLHPSLIAWNEWKLK QQGKIPHLPIATAFAQTVPEQFSCPLLFEPGEGWAYGCGIDWVGWMLEKVSGMSLDDW LQENLVKKLGLEKGKVTFYPERVWPTEGEEGKRERVAEMGKREEGNGVGTAGGGGHAR KTKHVDTPQLMKPADRGAFGGQGAFADLGAFCEVVHSLLMDDERLLKKETAQLLFEGQ LKEEKTKQAINEDMKTPEWVVGYVEVPAKAKGLVYGWSAGGLHVVEPGKADQSGRWRR KGYLGWGGVFNLNWAGVCGVFGAQTLDPCDPLIEPLIKDFEKEIYEKHGAK NEUTE1DRAFT_34046 GTATLTYTCLSHCWGTQQPLKTTQRNYSQHLASIEWDQIPQTFQ DAIQLTRNL NEUTE1DRAFT_117246 MFKLGRNRALASAFAATSRAPLASRLPSVSQQQRRALSIHEYLS ADLLRQYGIGVPKGDVARTGAEAEAIAKQIGGEDMVIKAQVLAGGRGKGTFDNGLKGG VRVIYSPTEAKMFAEQMIGHKLITKQTGAQGRLCSAVYICERKFARREFYLAVLMDRA SQGPVIVSSSQGGMDIEGVAKENPEAIHTTYIDINVGVTDEMARDIATKLGFSEQCVE EAKDTIQKLYKIFCEKDATQIEINPLSETSDHKVMAMDAKFGFDDNADFRQPDVFKLR DTTQEDPDEVRAAQAGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGQPANFLDVGG GATPAAIREAFELITSDPKVTAIFVNIFGGIVRCDAIAHGLINTVKSLDLKIPIIARL QGTNMEAARQLINDSGMKIFSIDDLQSAAEKSVQLSKVVKMARDIDVGVEFTLGI NEUTE1DRAFT_101523 MISITNSATHSNAPHPSLGNALIPAGTSTGNAQLECQRNPLSPA LCDPGSNFLQQYNQCQSCIEENSNNPELIKASLAMRFMKCTGYCKLQASTSAPSSATQ TEIPTAGQDGDLFTTATTKTSSTSSTSAIQTMISTSANVDYKAETTTSTLPATSKPTT SPAMNNPPTLPTTFTSAPQSTFTPPSSSSSSLSSSTPTSLTTILDTTTQTQISSLTSP TDKSLINSTLLPLQPSSDITSTNNNTKTAPWVWVIVGITITVVFLLSSGSFFFFYKKG RSKRGLTRHEGMKGMVELKETTVGSHGFVDGWSDAASLRTGTTRAGIMRRVMDEAGGP GSNVMELEGSGSGSGSGSGGGRGVGGIGTTKGNGNGNVPPVEMPSCGNGFAELPTEFN RRGPGEEVVVVK NEUTE1DRAFT_122818 MRLLKTDTFELVEFVGEEIPKYAILSHTWEGKEVSLQDIQQPDA PIWLKTDKPPTPAWAKVKHACRQAWRDKFEYIWIDTCCIDKSSSAELQEAINSMFAWY RKSALCYAFLSDVRKPSGSTDPSSFDITRSRWFTRGWTLQELLAPDLLYFYDASWELS GSRSDWAESISKATTIPVDCLAGSEYGEGDVYNQEKNGSLVRIPKTRKSIEEKLQQTT VAARMSWAANRHTTRVEDQAYCLLGLFDINMPMLYGEGKKAFMRLQHEIMKTYDDATI LAWGYGMPLDRDTYVDTADGYVAHDLEVYRRHLREQACKTRPRPLLATSPADFRFAGN LKRYNGSPSLPLEFAISQRGLMLDGLWGFDTRYCLQYLFLPCTDADRILALPMLSLFS IYRSQTYHDRTLANSLCVPLVTTGAGRITTHYRDCLSLIKRENNYLMDNYNALTLLPS IPDQFYGSRTLEQPHRFVILRTATAPKATKVDAYHADSWSNGWAKYALQPSETPSHSL LFILNIDGKRILLVLGMWSGGEVPRSLACHSAILGAKELVRPTLTELTSLSKEFTTVA RRVSSLTLQLAASEPYINFRLQPGLELWVRWCRS NEUTE1DRAFT_146972 MWLFTLLAVLLACAQVQAKAVFAHFMVENAKSWSVDQWKVDMRL AQAAHIDGFALNIRADDTTSGVSLSRAFDAADELGFKVILSFDYAGGGPWGANLVSSM ILLYSGYDSYYIDKQGRPVVSTFEGPAQAGTWQYIIKKTNAAFIPDWSSLGAKAALEA APCVPEGLFSWAPWPWGNTDGNTYVDASYIEFMETAEKNCAMDMQYMMPASPWFYTNL PGYKKNWLWRGDDLWFDRWEQIRFMNPDYVEIISWNDFGESHHIGPLYVEVGKAPFNY ALDMPHDGWRELLPFTIDLYKTGVATIEQEKLVAWYRVTSKNAACNDGWTTGNTASQL QLEFTPQDVVQDKIFYSALLASSQPVTVTVGGVNVGATWTKTPSGGAGIYHGSVDFGS NTGAVVVTVGSMTVNKRPIAANCDDTNGYTNWNAFVASTTGASVSATVNSTQWVCVEG TAAAGFDELCAFTCKYGYCPVGACLCTKMGPGNKLPGPETPGFGTVGFPAKGRTASYG GLCSFACNYGYGCPNAYCDTVEHELVIPSVSPFTPDACTSGVGEGGLGGLCSFSCNFG FCPIHSCTCTSTGALHVPPGASDTITGKADPSVDERTYGPLCEFACSRGYCPEGACVQ SDVDDGNPYKNVNPAYIGPQVYETPTAACESPCVLVLPPSKLPATTTFRLPPYPTSFQ VGSTTTTVTLYPADIVTDQVSFSNINITGAVTDGAVFPMCTSLKPDAIPVTLSYVSNA KTTVTVRQVELPPWPQITQGPPDQWTSTCGGWVTGTITNTHNDTDGPIAWIPPPTATT TTPRPTYTGIFPPAIVEPIVDPIDAQCHNNRCLPEGDDDNEHSNHVVIKVKCDELWFF VFCIHTEHIQVFGWKFTFPKAVIGPGPPPGLRELPESWKFIDLPDFPPWPKITIGPDL TYPDKPTDCDDNKREVPVEMYTISYGLSVSNGATVTTTTKTITETAYETGCPIPEYTT TAACGHGNGKSKRQTALSKISLPVATAAPATAGHSPALVARDDGDDECDMDEKWSAAI YFFETASEVDILTVDLHIELSGLEYHHFKHDRLGTIFIYVEDAPFRFLVDIGNMEGVD PWSIEKWKKDGIELHTRSWGAGSGPVFNATNLRKRTFDNPSYDRMVSWHRSMVSSYPG QEWNLDGEAFHEGNYMVYYHDGILGSGQYLYNFDEGVNPDDDINLDNIEEFHYEHSIN NGLDMHNYIHGTGVAYYAVGRTLGIAPGATFLVMEDPLTMDTNKTGYLEINLIRLLGM INDIESKGRQGKCVINISMVWVVPEGATDRWLSLFRMMLRYTETNLQCVVVIASGNVE DIQRYANAAVPARWSLDGSLPDTLVVGFASNHGYRALGSLPWNIDGRLDDSSMVFAPG LMLWNNTGIFPGGSSFAAPMVAALVAYLRALPSPFAEDLKKTVFAVKMVKYLTRKVNV IDQLEDYFPDLEEMGNHRRIIWNGNVGVENCLVGYRSGFKSDEAKAVCEVVNEGLPEP GNTDNWTPDTRYIDNNFPLRSGSSNGGAVTWHSGAVSPTCTANCGTLCTGYYCVPQPT GSPPDFSDPVNEVVTKTTSTTAQATTTKATTTMVTTTKATPTATVQPLTQGPIHCHDE SDPEYAKHEDVNPKTQDHYSQVFMDAKWDTIGPNDEPRVFRYVQSDSLTYVYRAEWVK GCVTTVEKQDFHYPLGQSSSDVTAYTLVRQNYLSCNNHGVGGWTQVGCVKYDFAPSVW STVP NEUTE1DRAFT_18592 MYRATTTKISCKYDKAAQLQLMAEVYQYALFTIVAAARNDAQAG ISITNKSIQQRAPISIPNTGTTTTRRMER NEUTE1DRAFT_64645 MVPIKPHWVQPSHPDRLHLIVNEAEFTSKSISKIALPPFALFAK LEFPPCTPADKPTYATVQCGRDKHLDLNSDLLYINHSCEPSLIFDTGNMNVIAGPKGI QIGEELTFFYPSTEWTMAQPFDCLCAKPTCRGRISGARDMTDAQLSGAWLNGHIRDLL EEQRGSSSSSSLNGSNSATYTIPEKNSSEKNGFHKVGELDQIAQSLRDALTHAEKVVE AARNALMSYVGAVNDAATAANNGAAKKKEEEEEESPEGARRRGPTSRELSGEMGGDTM EVLA NEUTE1DRAFT_64648 MPILLSLKPASNTFATDLITAAATQIINNTKTKPRNLPETWFNP NNNIQTPAKSESNSDEIRPPDGPGGLNKPPDERKVKLGKTLRILQAHLPTILQSPLPT SILSPQISLHLFPSTHPHLPTVRGRVAYIAALWTAPLAWNRLPIIGNVRLEILSERMV DRPLYKPPSQNRRIGAYPEQLIVRWRTIGNAKNWGLSFINGSGEAGSDTKGVRETADK GTATEYKAPVGDAEPSAGRDNSKKSEFTGLFIFEFDGEGRILSHTIEHAQQDGEVEKG VGATVVGLTDWLLGGMKGAREGEGGTCPAFTARQADEAGGEVR NEUTE1DRAFT_146975 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQW LQEIDRYATEGVNKLLVGNKSDMTDKKVVEYTVAKEFADSLGIPFLETSAKNASNVEQ AFLTMARQIKERMGSSIATNNTKASVNVSPGHGVSNNSSGGCC NEUTE1DRAFT_110801 MDGRLTSGEFIRRPTLPASVLRLSSLHSLWRNLPLIFQKLLQEK RGEKEGRKRRKKEKEGGKQRREKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKK KKKKKKKKKKRGQNAYTSTHLLIHSLHPQSLYRGTIVSLSTQTKSPEISKYGRM NEUTE1DRAFT_110802 MSFKTTVTLATVLLASLVALFPIAAQADDTCKSVTFEGGYEARK APTNMPADATVLQAVNLTDFAAELRAAGWSTLHLGYDSDIRDLAHFDHIESTAYSEPG HHQGNPADNFLYCYPDWGFDDLRKTMPWFSRADAMEQMKRFCGSGSPLHGKVLKGPLK VKLRIQTVPVSFVNKEQQQVWWIEWGLYVEDGWRMRNWEGR NEUTE1DRAFT_146976 MSKPSANGSGPSGNGGKPPVAPDLNQVLNSISLSMSKHRGLLAS LSKHRPSVSSTTTSSSTTSSKPTTKSGGFSTLLQQQKSASTTTPAEQEGEGEEEEERK IPDHEEEIRSILNQPPNAGLGWVPPSKSDNNNNNGGSQGGMQGSKEERELGRKMGLKW QQPGGQQQQQGQKRKKMEEESESEEEVGRAGAVRGKRRGVVSADLDGGSKGGRGAGRA GKPGGGDAKGRGAVPLPVSGAMLGQGSKAVAGDNKVVNEGQGQPDKAEKNPASAAAAA AAAAATNEATDGQRKPDASAKQQPSPTTATTTTPPTDTPKPADSEPGTTSGQSQQAKK KKENENRKKKKNKKKRRKAAQAEGGEGAPVNGKETEEDGGHDDGEESE NEUTE1DRAFT_117251 MVKWIEAVRLTAGREFVEIWYFPKKLEQSVRVRLVEATCCSLTD LDSEALQTSGCSNLPVV NEUTE1DRAFT_101532 MSAPPGDPMDITSSNSNSSSNSNSNSINNNNATMAAPSNSDSLP PPKSPVEDTLNAQAAPNGRPQLSSANDQLSPDNIIMPAPAAAAPAVHQPKIVQTAFIH KLYNMLEDKSLTASSQYFKHTNISSFVRQLNMYGFHKVSDVFHHGAPDATIWEFKHGN GNFKRGDLVSLREIKRRASRHSLVHREYSNNQKAPPSQPGTPAEPMPPMQEVQGGDPR LNNIEHTLYDLSTRLQRHEENAQFMHIKHQAIMDTVSRLLQFNHDLSRAVLALAPSPD NPIHHDVSSLQGQVQRQMEEFRSLDEPHGSVFASTRPYFSNVENAPVSPRQMPQDDPR RSGSTLTVPGQPPRLPTYNNYRPAVPSNLSISTPGRRPFGSTSGNSTGTSPSSLRPHP PPPPPHPLSSVDLHPGLARRHTSADIRAAGWQANPPPPNPPPTFSGPPSSQWPSSPNR PGLSEDQRIRDSFSHYSLQSASHPRSRPASPPPPPTFSTNGGGDTFGNWSWNSAGRDN KNLSVRDHSAPPTRRGSMAHILNPTDTAERDDEDEDPRGDEDRKRKRLI NEUTE1DRAFT_101533 MAATSQDWPSACPYPIRCPTQMSVLRASFSILFGRGSILPPQGH SFLFQPEPKQMLLERD NEUTE1DRAFT_101534 MVLRASPDSSFQRPVEYGERDVMEIGKRQVEPVSAAAAWSRNHA NDPKQHYGNDDDDEMEKALVQLRWLDKSNIAPEMEEADIGFRSSYVADVDPPLVLVTC SSSRRPQEEGTHCTNN NEUTE1DRAFT_122827 MTTLIASRPRSRPAHPPGATYCAYTPDGTRLVTVGSNNTTRLYK TGYEGEPTNIDDCQEQNLALATSDNYFVAGSEDGTVSLYSLDTNAFDRFLLRTSLPVR DVAISPDHQWCAVASDELTVRLVGVHDNTQLRTLKEHGKPTKHLTFDPKGSLLALSCT DGVIYIYSLTAEHPELIRKVDGVIGRLETESEASSRAVWHPDGRAFAVPTPTRDIQVI SKNDWEKQRVFKNGHDGDITALSWSPNGALLASAGKDKKLLIWSTKDQSVIARYEYPN VTDICWHPTKNLASFTTSDGELYISPDFVPEQFASLLRLPKQPAPFIHDPLTELSNNT RRLEVSGPKPDARPRRDSVDADLDDLLDENYGDDDDFVVDDDGAGYTLNAGRKRPAED DAIYESHTAKRGNFVQPQHHPSFQPGSTPWRGNRKYLCLNLIGFVWTVDQDSHNTVTV EFYDHEFHRDFHFTDTFLYDKACLNDKGSLFSCPPKEDGSPAVVFYRPHETWTQRNDW RIQLPKGEAVLAMSLSDSYITVTTTGNYVRIYTLFGIPVRVYRPKSTPIVTCASWRDY VLTMGNGPVGADGMSRLLYTIENIKRDEVCQNEDTVALPEGATVKSVFFSDNGDPCIY DSTGTLLTLLHWRRPSRAVWVPLLDTRLLPRLASGRKKESYFPIAVADNKFHCIILKG GDQYPYFPRPLLSEFEFAVPLTSAKPKKQSKKKKTRSGDDLMDVDGEDEEDNDSTASE ADDSDSENNADPLTTKESLPLTQSFLLSSLRAAQLSDMLESSRSTASQRAALARLELD IDKTLLQLLAIECREGEDRGMRALEVVKLMKDRTGRMIEAAGKVAERYGRRILGEKIR EVGERRVAGEDESEGGEEDPFA NEUTE1DRAFT_83336 MQSSDPRHFFETDAEIAKRERREAKTSNTYGNPIKLKSKILGAV VDPLAPSSAIFVAESAGSVRRVQVDGEGDTRTVYRGPTTPVSCVAVGGPGNKTVFAGA WDKNIWSWDIDTKAPGRKYAGHSDFVKAIVCAKLGGKDVLVSGGADKKIIVWDIATGS KLHVLQDSVVNMLSIQDLVVDPASSSPDELCIISASSDPHIRRWKIRLDGWEQFAEPD PATPAAERHTILEHETTVYKLVIDHDGDEADLWSASGDGSAKCLSRIKGFTADDTFEH GDHVRAVAVTDQWVITAGRDEDLKVWDRTSGKLYCSLEGHYDEVTELVILRGSAGSSE RVCSVSIDGTIRTWPLDKASLDATVEEQKKPIKPAEEEKPDGEGLLTADEEAELAALM EDDD NEUTE1DRAFT_122829 MIRQPFRRLPVSRCLTLGRVGCAPVCISEIFFLVNGYTASVVVR TRPPRTPFALRESINLWQPYAVTRSASRMRALAGAVVGSKEHEQALVCAARHPEVSKR TGQPWTYDSRFLLHCLVGETSDIATFTRVGGGEKTWKRSHLNHRREKPSYLVQPTTRH THPHTGPL NEUTE1DRAFT_130277 MAGHNSPTAPSLSRERQSGSVIALEGPEEVVATQLYLLPASPQI IVLPKIEHYIPTSPISHDQEQSRHKSDPRKIISTIHNAAKRRHSVAMEFLQHSTAERK RAVFLQGGTCGAKLMCLDAIGKAYTAGNLEKAAAIFDRLMSQGLKSLEDDGFHVDSII DPISSDTWVGNPDANKSVWANKGTTTNGREEDDDDMEDPITKAMRAADALDRETENLQ PPKHDAGLGVDFELMRPRSLSMSAVGFMAAARDMVIREREVGLDMSTAANTDVFQLLP SPLLFPSNPATKTSSLVVSPPHNTQGQLKKARSFPLPENLKDVKFNLKVDYVGNAQMD SVASKAFAQPWHARKLTDDAGIGAATTLLGSGLGTEDKDREWNGTASVSNYSTATPTT HDATHDATRDATREAIHVGSHTRVSSKPPGLNVSLRNFEKKPKALEGLDHEDSVIGTK GRTSSDETAPFEPLLPFNEHYVIHLSNGKPDDQFGFVSGRYKDGSDSIHPPPTSTYSL NIDAIAVSPRPEEELKDMTPSENNIYPASEYDPYRYEEQLRPQSTTPGYVFPASFPVT PSASRFHNISTHNKTAVWVQNTLRSVLDVHTPIEEIDYLSPDIDSPDPQLRSLWEPLM CAETDQPKGERNVDLILAIGAEDGVKKNFKQGVMAKIEQLGFSSHGDGMSRSGRLGLR YLIGNAMQTFTSQPLTQQSKENPFSNPTLLASLIIPHVDTYLRGNDNVRFLLIEYPVE HLSTMLAMQKLMGSQVMKVAGISKGTDKTIFSMADYRVSSVASVAEVSECVEAIRDTL ALISDFYKPQTSDDVPTSPATDAVQKEKHITARSLSTKSSDLTNPSIAPLLPPQQHSP RHKPSPVAISTFAAMSTPPPPLLPVSPADTYNHTHAYVHSNCQNHGHGHVHAYMPTAA IHLPTRSISPPPCSHCSSTFSTSMTRSNTLISHGTVSVASPSVVLPQSPHSITRATSQ RPSISGSSKPTPVSPSGSHTISPRTKIGTATTCVVQQKPPPTLVPIVTTSSTTPGAAA PLTTTRLQSPLSLSSGPLSSSASTSSHLSIRTDFNTDDDNLDSEAEDDDDDDDQVGSD EDPFDFFDIDAEERRLMPMFLKSQIEAHVAASGAATGGGATMGHRSPVVGNRELVDLE TLLGAGRKNFGERFANGQGLQFPLPPDEVLGGRGSVDSEKRMIGGERQHGSMGKKQQQ QQQHKKGSKKPITGRNSTETGSVIGLGALATALEAEEAWKPSKGLKERRKNNNPAPFR PMTPNPNMPDPGNGGGNNMYTRPMSRRTTTSMNDQRKGSLHSPGMHMGGEQSKALKWL GLA NEUTE1DRAFT_45500 KKEKKRTYNTRDSLVVTDPTTSLALTGLSMGERTGSRVFQWVWS YVLEVRCTLLYVCHKPSCARDSWMDTRRLIASVVVMVVTDAGHWVSSSSSTSTIDNPR SYNLDIDETKMRIT NEUTE1DRAFT_146981 MATMTDLGSTEVQPPPGISTVNNYEQDEKKVAIPQVSPSVYSEQ TQRPWVAGLRTWFGLPPNRHSPPPVYQPRPPPSSEPASIGSKIWKFFKFLGPGAVISV AYVDPDNYQTAISAGAEFQYKLLFMVLVSNLIAIYIQSLCVKLGTVTGMDLAQMNRRW LPRWLDLTIYVVAEASIIATDLGQVIGTAIALNILIPKLPLPAACVISVVETLLVLLF YTDTGELRRVRIFEAFVSILVVVVFVTICIALSMVDHSTSTTREILRGYVPSREIFVD TGLYASCAILGGTLMPHALYVGTSLSRARLYDYDSKHDLPSPSPSFSPRQQSPNSSSE TIGYRPSLRAIKSCLGYSIAELTFTLFTVAIFVNSALLVIAGSAFYSENPSEEEEISE DLYALYSLFRDSIAPAAGIMFAVSLLFSGISAGIVSTMSGQIIMEGALDIRLNPFLRR LITRCVAIIPALVIALAVGKDGLSKALVACNYLLAIALIPITFPIVWYTCCKKYMQVP ADDDTGTVDMKNNVVTAGVAWLLWLLVVVMDVATVVLVGLGITKDEG NEUTE1DRAFT_146982 MATIDSLLFNISSFIAGLFLLEYGADKFIDHTAIVAKRLNVSPT LVGLLTCGAEWEELVVIIVALSQKNSNMALGNLIGSSVANILASFSLGLLFMKKAEFD RSSKIYSTALLGLTTIFLLLLIALKGSSFQWFGGILLIVAFVVYVVSVASLIYRGTLT APEDSDSDSDSDSSDDDGDSDSDSDSDDDRTINANDSDRGRPSDEEQGWTSDKGHQLN LLKPSPNKMVKAKEKPKTVKVINKRPKAMRQHVFQLALGLAALLVSSYIIAHSASTIG HELALSGTVVGTTILSLATTLPEKFVAILGGARHQPGIMVANTVGSNIFLVTLCGGVL FIWGDASQLQLGFTLFEATVMWLSAVVIFGIVMMGGRRWMGVVMLVGYVAFLAVEIIS GRELDDE NEUTE1DRAFT_101541 MSCPCSRCFNNPSTPHHSKPSSPPPCSPSSPGTTSPGTTSTTNM KKSNGFPWFKKSTCSANPPRYSVESTASDLVGLLG NEUTE1DRAFT_146983 MLPRDPFDCLRPQNQHGATFGIVARFSLTKPHHSTVSNRLRPAF AMSTKSKTSLDDGDDDVVRKTFSSTCRMPTAVKFLGYCPFPPVMNLYGNSSGGVIGAL TIYKLCGADKNEFLYVVEVHYGFTPRGPLNFRPGFYLRNGTGTEAPILAAAGDEEREP LLMSTFSVKSLIMVPPPLDEDDVEFNLNPRDLVTEIMYATITTDARKGNNALTSATPS SRRATLSVAAAASPYPLHAAAATRRNRESWEHRRGGQVAHPRSELNLAALSIDKQQQL AMNAAVTNYTGDEISIVNLTTRWADLDLGLDGDSTIKVFEGQTEVGEVTSVDLREDNL FAMVGPDAGVFKGWELYCQQGQVNLKLIDTSSIMIKAPLLHEALFLGSQTALQI NEUTE1DRAFT_122834 MNRTAEMAPEKNQSTNPVVVSSNSKGNDNGPGTTDARACVRASA RGDDGLVSSVSSSSEVPLPSASAPVSSSSSSASASSSPSPSPVSAPIPSSAAAASTGN RVAAGGGGKARWMSAPEQARLKYVHVRAAASYIGVLRSPFFPQDGAAYLAHLASVKAR ELEKMRAKLEARLSKDAKTKSNSKLKSSGGLSSSRWALLPVVRVSGGLASCWIPLYHG GGGGASGLLLLPSVEYGHYNNDNDNVEGGVKSEGDKAKGKAVSAAAAASSSEEAAAAA GTEPPSSSSTFGDGRSSGGNEGSPRGNPSNRVNTSIDTLPSAFSSSEAFAAAASTFDT IITSDTPSDTTSAANRGFSIRRGLTPPSSPCPPAPRLAPLLKGKTNLTEDFLSVVLAK PNPFNGFWTVKSPDSDNVSSPGPENIPFPSAAEYMYEANRRVSQVSPGQPSLSARDNV VAAGSGHVHADKRNKKMKKQKWKQEGSKGSKLRAPESVMSPVSVGWGPPSRAWPLPRW QGHIRNGDVGHQSHNQHMYLDEGYVRRLWSEKLELHQQFARLRKAELALYAGQKRELA ESGIEGLITSPTGRTHHELELMVGEAQFDFSSSRQVVSAPDLVYHSGLASSVAEPAHH HTGLSAAAVEPCTSTTVADAINAPATAVDAAPIFLSSSSFSATAIPFVPRSLAPDAPE FVPSGRRSERDSLSATAEEFVPPLFLAPAAVVPQQHQSSAAEQSSLSSEPPSLVPQQQ QQLGGEESLSSLDPGRVNSWTDHRRDHPRAPRHLRPSHQGHQRNHHQPEFALRRPPSV SFGSWSFPSHAFLPLCEPQAATATEEKKKLPPPSLFDPARPDFEENFPPLGQAPAHTH TKAKLSKSFKQQQQQSKAKSHHAAVQDDHGHSQADIYAASPILQSASATAIASTTTGN NTTSETSERIKGTISSDGYGRSNGTGTTFAGPDETDGDNQTHVHPAQPQPQFLPNAPE QHHNDITSAEERRLLLQTYHSGRDDPGPVPDLGCGLAPLPVFSVGTTPGPLTFPLAPP ASYPHGYGYGYDQPPFGPPHAHAHVHGYHHQPLQSLHPQRRVHFADYNTVIHFPPSPS PWGNVGAVWELVPQQCPDGDSAGFGSGSGSASSPGFNPHSTPAFLHHNDAGLNPFVPR RPTALEQQLPLPTPYNYFSFSSRLIPSHLFEQAQVTFQQQQQQQQPPPAFNSGYPAPF PAFNPGSVPSLSWEILEMVDVLDLVEDLRSAGVVEREAEAWQLRAPVGERTWDLSFGE GSGDVRGEGEGEVEEIGLEEVSEVVRELVKEIDEFDD NEUTE1DRAFT_138445 MPSGFRALKNLSGLFTNPSTASDGEFPASLTIPTDQHLKQETQL LEDLSSPSLSLNRSIILSHSDQRQRSIVRQVCSLVLEPTPRRGFYWLSAHHIHPSGST IVSQYVHDVIVDLEIDFFESFDNFEFEVDSPRYHVRFVKPKAYQSAGSSKKTSDSKPG PIIYLFGECFYL NEUTE1DRAFT_101544 MHSLKSGIKHHGMRAELAAIRDSHGLNCNSLGASVPVVSMIQVP SLRDNRLCHKPFPSPNLNLNLNLNLNLDNNLYPNHPPLDQNSRSPTVIPPRVPTTSSI AAHPVEHLSNSYHSVIPHSSRPPTASNRLESHVASTSKITP NEUTE1DRAFT_146985 MSASEVQEGAAAPHEAVATKEMPTETVQEVTHEHEKEDAASSPV TETKDKDSQPDHQRPASLAPTNLSDPDDKDTDTFEDAIDTGSVRSLTKRSSSFHRPRS PSMDDSAAADADHDARSHTTETPQVPKTTEIKEEEQEDVFETPAQEQENKSLHRISHA SKVSEASAFSAASLDDVSLNDNSTIGDKTEKSLDTAPSSTKKLSISSIASALPAMPWS PSATDEAVKSPPVAAAVAAAAPPAPAPAALTPAPPPPVTRKLTSPFSWLSRSSSKEQA NPPPPPAATTAPRRNTASSVATLSSNPDGTLGKVDEEGNKNTLKDRFKQLRLREEGSA PTGDDDEKSATPEEKEAVQPPPSPLPPTPNPALAPGTASGVTAGPAGSDTPVDWDLWQ TVVYEGPAAVARTSPEQLKEAIAKGIPSAIRGVIWQVLAQSKNEELETVYRDLVARGT DKERKTDRQSNASISLSNGGKPSGKDTPSSASSVHSEKSGPNGTGAPSPTEKDAEALA KAQALAAAERKKKEKEDKEMLSRLEKVIRRDLGARTSYSKFAAAQGLQEGLFGVCKAY ALFDEAVGYAQGMNFLVMPLLFNMPEEEAFCLLVRLMNQYHLRELFIQDMPGLHKCLY QFERILEDLEPALYCHLHRRGISPHLYATQWFLTLFAYRFPLQLVLRIYDLIFSEGLS AIIKFGIVLMQKNATALLGMSDMSQLTTFLKDRLFDVYIDATPSSNSILENGFFGSSS ASIDKEVYRADQLVRDACDVNITAELLKEYGKEWEEKTKAEKEREQELEGLKQANTNY TVIVRRLEERIEAVDREQASLATELVRTKVENEELRDENESLRGQVKELRVVIEHQAT ELENKWNLERDDLMKRNEKVHEENQKLEKELADLEEQLVQTKLQYAEINSAHETLTRK WADLKRQVQAA NEUTE1DRAFT_95158 MGISRDSRHKRSHTGAKRAYYRKKRAFEAGRQPANTRIGAKRIH TVRTRGGNHKYRALRLDSGNFAWASEGCTRKTRVIVVAYHPSNNELVRTNTLTKSAVV QIDAAPFRQWYEAHYGQPLGRRRQQKQGQVVEEVKKSKSVEKKQAERFAAAGKVDPAL EKQFEAGRLYAVISSRPGQSGRCDGYILEGEELAFYQRKLHK NEUTE1DRAFT_146987 MGKITKTMQQKHRDTLSPWLKEFVDTASSAPLPLILQRLDEFPR RWMFPRGDLYHWIPLLNRFDNILESICATYELSKGPQTRDFGRDVLLNNGGPSLEYRD EPWTAERLAEAGYKEDGDCQLLVAILKFTRMLLEHCGNRSIYASSHHIDRLLNSPYLE VQAAALEVGLELAQRYNASVKRMPSPPRSVNPTLLANHYNIDLEKVQLLARPFVRTPI VKSLEASSAAPAISAGSTAKAKDKEKEKEKATGPKNVASMYANDLKALASSQPDEEDL WKSWGDLKMSYYPDTTNGEPSARDSKDAQPVEPRTTSSPAAPTPLRRSSTMNVSQSSR TQRVGSSEEGSPLKPSGAATDDRDGPKVVEIPRSVIESKRIYDLYEMCPPDMPSTMKF EFTSRLRTCKALLGTNRERQLALAVRLLAITNLAYILPEAVFVDKVLKYDKDEPRKFQ LVYQLAELIAPSPDGNPSEVPKWLQSITLALLEAISHQQEKHSDVLAALNVNVNHGIL LYVIRIAVAEMKEDAPVDDQEELDADNWRGNLFGLTLQIAMATRIGQEMMTAGLMDCL VEILNLRTAVASRHYSMVLAFLDSLTYAYQTAFSQLNTAGGLDAITNLIVHTVGESKT LTEAGLGTKPELHASLVDYSIPFYHQQTLKWLLKFIHHVMANTYSFDGTNTERLLRNL VDNSSLLGSLCTIARQNRFFGTVVWSNATTLLSDFINNDPTSFAAISESGWIQAFLES VTNRPVSIPAEQPSLEPSQSRANDRSEGNDADDDSEDDGSAHEAAPDSDGQAATQPHP PTQEMLEAPRDFPPAHGILPSSESMNIIPTVLNSISLNNRGMKMVLSSGAIQSYMEIF ESATHVQCMAHDPELASTIGSSLDELSRHHPALRPAIANAIIDMIARVTHLVKTMDAT KACGARLEAPESSAGPVAEPAKATEVKGKGKEKATDDTDVEMAEASSSSTGNNKPAQA PSVPYIQVLSTFLQPIISNSHLKGALISAGVIEILLDLAESPSLPYDFGETPACRMLV AAISQLIESAQIVGLPSLLFRMENTVKVLKPRINPTTTEPFFAPFLTSNSSVPPVQDE ESASEKRIPDVSSGTETVKALLNIQTMIKILYHCFPFSNRSQMVSMPAVNVYDYYIRL IQSLGPLLRGVLKEEAAVNGAVPHHWTLKNKPYQTSSLGSRTDVQDLLTDSATQDSSA SGKKLTPEEQSTAEYKNFQTLRVLLHSFMPSIFPFFQTIGKALLPRRNNNDPYIRSRH LAIAEASAETLIQQLQQSKAELTVRDIHNWIIMIHTFGEMVVDTNHRTASGGAFLILP VITAFKELGGFEALNVMLKRLADMVSTGATEGQEATKAKLSMIGMKKVLDIYCFIISG KNLSDSMAQIALAPKPTERTTREFSHQLVVELRMSILPVVREIWASNIIEKSTSTVVS KIIDIIKTIAAGDLESNAQSRSDKESLPHLFKNRESVPFKWISRKDAEALATEQGVDV DLALEALYRANGKESDTKEYIKYQKAHLVRNRNPVPAEDAFKEVPSPNLSSSAGMSLS NLLNTPTFPVSDLLGAEPMALDPVPNQPLGEASGETALGHATESSEDGSDESQPGTSR ETNVGASTTAPQQLPVLPSQQPATESQSNTPRITREDLAEERAKLYDTLIDRSLEVIS SHPEAVFEVADLIQNTILKTDNEDRRVEVGEILANALMSFASDDADELKENGSSIAAY AHLLALLLQQTAFMRTTVDMLRDYVGDYLGFLKLPPASSNDNLPPWIPYILLIFEIML FKDAQPPDIKWKQPVKEDDPVEEPVIEVKEPNFSAEHRSSLLTTLLDFLPRIGKEESL AVSVLRILVVLTRDHAVAKIVGEKKNLQRLFVMAKQLCGAGSTRLKQTHISEHIRQIL RHIIEDEETLRQIFETDIRHLMTSRQRPSTAPGLEPQAYLRTQAHLALRSPKTFVEVS TELLKLNRAVSHLGDGTLRSTPFLVLKERPADASVSPKESSVEPAVQATEDLTISDVK PSTEVTDKEMPDAPKNSAQDLKRPILEHPDGVVHFLLTELLNYKDVDERENVPAPPAA ASKPESDATPANEATPSPSGDEQNSESKEKEKKLAKSPFKAEDHPIFIYRCFLLDCLA ELLQSYNRAKVEFINFKRSAPVQANAPVKPRSSVLNYILNDLLCFSPASGVPESIAMK KKAATAVPARAVLVALVSKTGEKPQNRTHEPFEYDDEPDLLFIRRFVLDTILRAYKDA SVSGEPADIRYGKMNALAELMAQMIGEGDKDSRPNNPRGTDPSIGRSQAQLKRLMYEK GYLTSLTASIADIDLTFPHNRAPLKPILAVIKTLSKTAVSMSQLGLIPASGTAGTDQA EDEFLSDGSSVSEDLTDDREETPDLYRNSTLGMLEPGRDDEFSDEDEDDDEDMYDDEQ YDDELDYGDDMSQDNEDNPSDEEDDLGEMGEMGGMPGQPGVVEVLMGENDDEEDNDDM DDMDEDDEMDEDDEQELSDEDEDEEVGSEDMDDLEDDIHIVDEEGNAIDDDGASWDDG TDEDEEDEEELDYEAEAQNMQEAQLHNRRTFPEIMRAAMENAGDDLDAEPIRDFDGHY IDDDEDGEEDDDEDEGEDDMDDDMYFDGDGLHDDLLAPNMPSGLGWDIAIEPNHRHRP SRSPWPNSPFVVGRHRDAIDFQNFFRRPAHLSRHLPPPADVMSGTNPLLLPQSRREVP RHAHSQLVRLGITPNMIGGLGMGMGVEPLAFISDLVQHLPDVRLSAGGGPLALHFTAD GPGGIIRELNAIPIPPPHSRESRPTEARRDTYQEPHQAVQFSPESTHERWQQEVKMIF GFGYQDKAQKLAPLIVSKLTPAAIQAEKEEKARKAEADRKAEEERKKRQEEERKKREA KEAEEKAAREKKEAEERERLERERAEAAAQAAAQAAADQEANAVSQEAHPMEGVETQG AGENAEQQAEDERPRVYYTLRNQQIDITELGIDAEYLEALPEEFRDEVIAQAISTRRS QAREQVSQEGENTEVFQEFLEALPEELRNEILHQEQHEQRRRERQNAAGGQDLGPADM DPASILLTFPPGLRQQVLLDQGEDIMEHLGPELAAEARTLVARHRQLHAQQGGQAASR SRDAQRPTEAGAGAVQKIQKRTVVQMLDKQGIATLLRLMFVSQQGAIRSSLFSIFANL CENRQNRLDVISSLLQILQDGCANMDAVERSFAQISHKAKQLKEKDAKTPHPLKRSLT GGTNNNGQFPASSEVSPLLIVQQCLDLLVELSKLNPHIPSVFLTEHETVASTLKRSLS RKGKGKDVNGKAQKFAINSLLTLLDRSLVMESSAVMQVLADLLNKVTIPLQAIERRRK EAEEQAKKKKEAEEKAAAEREAANAPEEQASTSTEQTSAQQEATQQPSESTPAAASGQ QPAQQDQENKELEAPKEKADEKDVQSDEKKIRQLTPPTIPEHNLKLVINIFVARECSS KTFQNTISTIKNLSNIPGAKKVFGDELVRQARVLSENILSDLDNLLPHILKAESGTQI QGVALAKFSPGASEQNKLLRVLTALDHLFDSKSKKQDKPAEGENTKEDLLGSLYWNPT FGKMWDKLSACLSAIRQRDNMLNVATILLPLIESLMVVCKNTTLSDASAVSNANSQKE MLLTSPPPEDRIAGLFFTFTEEHRRILNELVRHNPKLMSGTFSLLVKNPKVLEFDNKR NYFNRSVHSKYQQTRHSFPPLQLQVRREHVFHDSFRSLYYKKADELKFGKLNIRFQGE EGVDAGGVTREWFQVLSRQMFDPNYVLFVPVSSDRTTFHPNKLSPINDEHLPFFKFIG RIIGKALYEGRLLECYFSRAVYKRILGKPVSVKDMESFDPDYYKSLVWMLENDITDII TETFSVEDDVFGEVKVVDLIENGRNIPVTEENKHEYVRLIVEHKLITSVKDQMKAFLT GFHEIIPEELIAIFNEQELELLISGLPDIDIDDWKANTEYHNYSAGAPQIQWFWRAVR SFDKEELAKLLQFVTGTSKVPLNGFKELEGMNGVSRFNIHRDYGSKDRLPSSHTCFNQ LDLPEYENYETLRSQLLKAITAGSDYFGFA NEUTE1DRAFT_117254 MSYHVKSYNSIRANHSISPIAIIFLSPSSMQRSQLQANHPASAT PGSEKKEDETCTSRRPSVPFVKTYTRLVAQEQKKWQ NEUTE1DRAFT_117255 MKLNISYPANGSQKLIEVEDERKLRHFIEKRMGAEVPADPLGDE WKGYILRITGGNDKQGFPMKQGVIAPNRVRLLLSDGHSCYRARRTGERKRKSVRGCIV GSDLSVLALAIVKQGEQDIPGLTDVVHPKRLGPKRATKIRRFFGLSKDDDVRKYVIRR EVQPKGEGKKPYTKAPKIQRLVTPQRLQHKRHRLALKRRQAEKVKEEASEYAQILAKR IAEAKVQKADARKRRASSMRK NEUTE1DRAFT_83361 MEDDRAQVDLGIAADIDEMNPPPPPSVQQKQPKKRFLGRQSAAA GKSSSSSNSTSQPAGANTSIEDSGAIQVAQPRRAPRMLNQVPDSILHDAALNEAIALL PSNYSFEIHKTIHRIRTLNAKRVALQMPEGLLLFATTISDILTQFCPGIETLIMGDVT YGACCIDDYTARAMGCDLLVHYAHSCLIPVDVTKIKTLYVFVDISIDTSHLLATLERN FAPGKSIAMVGTIQFNATIHGVRSTLQKAGYEVIIPQIAPLSKGEILGCTSPNLSQFL TSSGKQVDMILYLGDGRFHLESIMIHNPSIPAYRYDPYSRKLTHETYGHEEMQDVRRS AIRQAKTAKKWGLILGSLGRQGNPNTLGLIEEKLKANGTPFVNFCLSEIFPGKLAMMS DVECWVQVACPRLSIDWGYAFPRPLLTPYEALIALEEKEDWGRGAYPMDYYGREGLGR TKPAAVEV NEUTE1DRAFT_83362 MQGIWSTVAQFRGCRCHSCLPAPNSFNSFQAVRLAQSTARSAAA QRTRKALGSNVATACYTALAATAVVADTENKERRQRELNRKIHEAKKNLATLMTDDAA DGDLLRLVGSAPAARRPEPFRTRSQPTIDVLESICDYHVDSVRSLASTAAEKRAAVLK LRDSLGMSWRDPRKDFCMGRDGLISCDKTIAYEESMAETLDQDREPRNEKQMEKQIDM VTNLVDDLMEAAYKASEMQGIKSYLPKDHPESAWSITRMLKKDGYPRFHHAHYDPAMT IQARRRFNQVTCNILADWKGPRSRDKYVAKVCHNLLINTVPPGIHNYNMLIAGFTQIG EHVLAGAVVDNFLHRSRLRPTQGTILCLIHHYRCKRDAEGFHMLLRRLTGRDPRGLNM RSKSISDVYQDRGLLRWALESDVALANGRTVVERANLEQPVMEAIIEALIDFRRIGHA ARVFVACLDQRWTINAELFDQLLHAICNTVDDLATRVLVDGLLSHVGAITTMILSRSF LSLKTVRKLRTVLQIRYARSTESLYHSSALQDSTSPTYLLPKGNDRLNRIATSIWFRE AVQTIFQLRLTLPKVEHLLLAQPDEHLEHRLDSAITSLNLISPTNPRAVEREERARAL QRLAKLDWLIEQVDATEKAIKATTEEIMNILAVSVPRDVRPLTLWRQHWDVEVPLEKR LKYDREMRTPDTGLNTVAACFARKEELDNQLADILMEALPAQTAAEIRQGAKETATVP HLMALTKDHLRSYQKKPVAAVAAKPRVVQAQEQDTEQQRETGSAFTANDNLAAGEMNR RKLGFAWRSGLSSYLPLRRVASVQR NEUTE1DRAFT_146991 MFALYLTAELAGVTNLRPDDSEGSPFWYTFKVQCTSCREVHDKP VGVSRFENNEMSGSRGEANFVWKCKNCKRESSASIKAAPAAYEQTEPAKKQKLLEFDC RGLEFVEFQPEGSWLAEGVDSNTKFADIDLTEGEWFDYDEKANDEVSIKELKWEINRA NEUTE1DRAFT_138454 MNGPSSTQLSATLDFLTDAAHLLATTAPETSAFLMNQRGNLMFE NELPQSDIHRQHVCSCCGHIMIPGHGSTLKFEHQKAARKRLRAGQKFTKTPVQTKQQD QESRKGPVKRITCGHCTRKTEIKFPAPAPVSRRSINLKTQPQQQAPKTAATGLKPSAA PTLGSLAGLSAHKPTPSSSANSKKRAKSRKAGLQALLDQSNASKASRPGLGLSLADFM QK NEUTE1DRAFT_146992 MPEETFTPIGQKVEDIAPAAADAQAEDDEPRGVEEIESLCMNCH ENGTTRLLLTQIPYFREIIIMSFSCDKCGFQNNEIQPAGTFQLKGVHVELRLTQMEDF ARQVVKSDTATVKFIELDVEVPAGRGQLTNVEGLLTTIVEDLEAGQADRKEHAPEVYE KVEEIIQKGRKMLAGEAFPFRVSVDDPAGNSFITPDMRDGVGKWEKREYLRTNEQNEA LGLTDTSNEGLTESGDIVPDTVYAFPATCPGCMHPCTTNMKMVDIPHFRQVVIMNTTC DDCGYKSNDVKTGGEVPEKGKKVTIKVRNSVDLARDILKSESCFLECPELNLSVNPGT LGGRFTTVEGLLTQVRDDLHNQIFQTGPEDPAAVHAGDSLPAEEKRRWDKFFGDLSAA IKGEREFTIILTDPMASSYVQSLADDPAQPDEQMTVEEYERTDEEEEELGLKDMKTEN YSEDH NEUTE1DRAFT_146993 MADMVDTSKPEQEAGKPMAVQDKSEPDVVVVDSDSDAEVEPQGT VAQLSQAKSPKKEIPNSEAETDGSVSGKESENADDNTTKDAEMEPNPSDTAATHDYLD DDDNNDLTPPPESPTPPPSHFEDTVSHSSEPPVGAEDEIVVNNARAVESPAPADQEDV EMADSEEKPRRLVVLSSKRKRAGTGENVRDNISESPTAEEWKPRKPRSGPKKTHGVGN VKSVKLGYWRDSIAEDKRDAHSVIGFIDVRDRLRTKIETVSRDGRSLIHRYPLPPGPG GSWVTFDKIVFDPHLVNLDHFQVKEYVKVRYEQMQSNHPDVNSQEAMLEAVQQAIARV TANPPPETNALPAIAYGPDIPEHALTRPEPKRRKVVSAAPVEDVLAAVDLSGRPSRVV VGTWKHSSEAHRKDRHAVQGVLAQNENFRCKIVNQNPDGKEVGGNFPLGAGQIWCQWE DVDFLDHLKGMSRPQIKEYVRVRQRMMDIGELPEDRDKNDQLAVEEALRRYAQGLSKD EPMTIDLDSSPALPMRGTRQQQQQERATNGNMNGGYEEMEDISRPASVPPPQHHENNF RPIRQSTRAPRHSLPDVQLRAANRGPQTQTVVDRLERTNSIAQREIARVEASQMRADQ RAANRGFHTPAIQPYPMHPPPPSSHSQHQQHHQQQQHLPPYGGGGRPSSRAASAVPSR NGTPTTSYPPGGGGHHQGHQKNPQQGPNGSSTYGSGSSDRKSLFDDNIQRLNRVWAAQ EANRIKFSQAAGETVPGINDAKIYAGIKYERKSSGPFEGKLVSQGTIINIDGEDYVEY RVLTKPSFF NEUTE1DRAFT_31253 MPLDDDLEDNPSLVPPPSTTTNNATGDAATMMDEVEEAEDAPTA DFRLFASTYSKTKNISAQTIRKGEKDFESHGTRAQASALDASRDAMRDVLSYTRVHNT KSASGWCRGWYFPDWWKDWPEDWEQQKSKRGKEGGGEEDEEEKDRKLPPLHVRDRVVL LEHTNVASQSLGRAVTGLPKDRPARGREWLLPEEALYLVERGSLDLWWPTRGIEEVFP ADGSAPAAAATTSAKGEGEQTEEDEEDEDDEYKYGLPLSLQAAYALLIGEDGERGKVS LQKFQVFSHLKRAGYNVIRAPTNPLPVQDDTQLTTTTQPASKPKSVTEWLISCLPQSK SSPTDSPPYGPLVPPGFYRSYNTIYNYLSLRPSSTSSSASPTADNQSQKTQSPESDSD SDSNSPYKIHYHIYKASTKFTRTRPPPPDFYISVISAKDTSIPTLSEISSLLASAPAD FPKAEWLAGGPARLYARLKHGYRNVLLAVNDHGVINYMRFAEGGFAKEEL NEUTE1DRAFT_130292 MNALVAQYAPATVRISGPPNSSFLVGYPGISATLPRIVGKVEIR PSAGFSAPVSVSMVRICLQRRETIHPAAESMAKRHLGTPRRETVDLVGKEVLLFRCVS GKEAESVIAMDLPFQIFIPYGRGGEEINRRIPPASLQLPSRIAETYYELVVTVQQGQS TQNRYTFPIPIQRYDTLSTFGMYNRPESKVVTADSIVTLGISLPKWSYGPLDPITVFI KLAPNPDMMNKAKKVTINKITLAIEEEITFNPEGDEPTKKVNKIAKHTQPVGVKLTET GYVTNLGLVFPARDLRDPDGIVKRGKPGFPMYEVTSFTTSSTLYKIEFFLSIKAHMNS ARDITLRQPIVICPMDQQACRDEMDAIEQAAKDASKVDPNNPMLPDRVIVRSNERDAI RHLGLCEVGGVKKMLIE NEUTE1DRAFT_117257 MAHCLTTQAEILVWMRKGIKDVMARHRTETAQADGLIIIMVEFP GLMGIRYCGQVLEWKSGNE NEUTE1DRAFT_146996 MSVTLHTTLGDLKIEIFCESVPKTAENFLALCASGYYNASPFHR MIPSFMVQTGAPANPSPPENPKGGRSIYGPTFEDEIRPVLRHNERGIVSMANKGPNTN GSQFFILFDKAPHLDGLNTVFGKLIGDESLQTLAKLEGLEVDKKNRIKEEVRIETVTV HANPLAK NEUTE1DRAFT_122850 MSSPNPRKRPAPGASPVVPLPLQQMQQQFTPAPADQVFRWNNPP DAAAAPLNSFGMISTQAPFPQPIPAPSTALARRPPSRALVTTNQFNGAADTWASFSDD AAYPLGHNVPPMDEHDNIERLEEMAQRAKREAQAKRKQIPPFVQKLSSFLDESRNTDL IRWSDKGDSFIVLDEDEFAKTLIPELFKHNNYASFVRQLNMYGFHKRVGLSDNSMKAS ERKNKSPSEYYNPYFRRGHPNLLWLINKPKSGGKGNKPNKTTPKAEGEADSEEDAGGE DPYAGQNYSSAQVGRGSSGAGEVGPLHKKDLVAVKSQLDRLQQQQVAISSLLQKIRAE HTTLFQQALTFQNQHERHENSINAILNFLANVFRKSLEEQGGTQSVQDLLASIIPNAQ VHNQNQMPQANVVDLGGFVNHQVHNNTSMGTPKRQQRLLPPIPQQGVAKVHSLASSAS PAPVHPQYQAQQMGSVTELFDTTPGDSPSSTFMKNELQNNPQEGMMKLIQDTNAVSAS GGVDLPDMATKTHVNALSNDQRNKMLSIMAGNLPSTNGSSPAPSPTVPTPTATMPPVS TIPTVPVTTAAAAAPPTPVVPNHTAPNTLSPALGSMPPPPPFPSYSHEELDALQRLQE EQASQIDRLTSLLGPLSPSGRIPGLDEHGNPNSAAGYFDSTDIEQYLNDNAFSDPAYE FSGLPGANGTGDGTGDFNFNLDDGFDLTNPTHTGATPTPNGGTATTAGTSNTGGLSVP GGGFDSGRIFEHNSPVNTPSPSAATEEINKNDLESPERDPKRRRKG NEUTE1DRAFT_45232 EEGEKKETAMGIHIVLMQKVSCRCSWKGSMEKRPGCQDLTIGSS SKLDSQAALQFKALSELLMLARLSDMAEERNPEPLCCTSGYWHEPRPLALTIVIDEAE IGVIRLTK NEUTE1DRAFT_83383 MSAIYNLEPQPTASAIIHTTQGEIAVELFAKQTPLTCRNFLQLA LDGYYDNTIFHRLIPGFIVQGGDPSGTGHGGESIYDNGALSGDLDPWPMDQRRGKNAG PHGVNFKDEFHSRLKFNRRGLLGMANEGAPNTNSSQFFFTLGKADELTGKNTMFGRVA GDTIYNLAKIGEAEVEEGGERPLYPIQITRIEILINPFDDMQKREKKPRPQQISRPTP AEKKQKKRKGGKQLLSFGDEVGDEDGEELPLPKKPKFDTRIVADLDQDDSSKQSASKK SFAKKDAKPVAKDVPKREEKPEPKPVKESRRSLSPLPVAQKKEQLPKKHYSEHSSPEP EKPKKKSLLEKTNEEIAALKASMKRTIHSEPVKQEKKKSALEQLIPDTAIRGRKRRPG ASNNPTREEQEALDLLKSFKAKIETAPPEKNAAQPAVNPDVENGEQDGQADEEKVCDL HFIANCQSCKAWDKVEDNEDSGDEGWMSHKLSFAADKLGKDLSYRKKAEEELVVIDPL AKARTLKEEKKATRDAKTGGSSRAWDRGRRDRY NEUTE1DRAFT_117258 MADPRVPSSYNVTPRIRYNTVGGVNGPLVILDNVKFPRYNEIVT LTLPDGTQRSGQVLEARGNRAVVQVFEGTSGIDVKKTKVEFTGESLKLGVSEDMLGRI FDGSGRAIDKGPKVLAEEYLDINGSPINPYAREYPQEMISTGISAIDTMNSIARGQKI PIFSAAGLPHNEIAAQICRQAGLVQRQGITNKGVHDGHEENFSIVFGAMGVNLETARF FTRDFEENGSLGRTTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTDLS SYCDALREVSAAREEVPGRRGFPGYMYTDLSTIYERAGRVAGRNGSITQIPILTMPND DITHPIPDLTGYITEGQIFVDRGLHNRGIYPPINVLPSLSRLMKSAIGEGMTRKDHGD VSNQLYAKYAIGRDAAAMKAVVGEEALSNEDKLSLEFLDKFERSFIAQGPYESRTIFE SLDLAWSLLRIYRKDMLNRIPKKIIDEFYSRSAADRKGKGKDKPTTKDTRDTAAPEEE NLIDA NEUTE1DRAFT_122853 MRLHALLLPGALSLAAATPTTTSSKPIPSPDANGKYWLNTTHLS LAFIPYGASITNLILADKHGKPLDIVAGYDNATAYTLDAAHPHFGSVPGRYANRIKNS SFTLFPDSDSDSEGEQEGITYHVTPNENPTPDHPKGVDTLHGGPDGWDYRNFSVVAYT PGSSITFRLVDPDGKEGFPGEVVSYITYTVSGNAWDINMVAMATTKKTPIMLSSHTYW NLDGFEREDALDHELWMPFAGMRVGVDGILVPTGEILGNERGGVNDFWSRPKKIREAL TEKGEKGEKEEGLEGNCGTGCTGYDNCYIHSLRSPPFDDWRQPSQMVARLSSPHSGIK LEVYSDQDAFQMYSCNQQKGDVPLKWTQGTDERRTIPKYGCVVLEVQDWIDGINHPEW GRTKKQVFAPGGDPYVLQARYVFGVNK NEUTE1DRAFT_122854 MAESKRKSLWNLIRAKSTAIRNFKDVTKEAGHRRTASDGDAVIP RRRSDLPTEFTSDRRRPRRPGANGKGKGRDYEIHRHDPGPLTDWPPSGMSSEEELSLA QAMQLISRGAPAFRGHRRPIPHAPDHCYTLYATVAGNHGDDTDAANLHDAMTQLMTLR KEGSGYANYPWETFEQPSYAFCFGKRAGTITLNHWVSLASVIPPSIPLRDSGVAPREI TLDRIFERLQELERGLEDDDEDLMYKNLYRRLLRDPDKYLSPHKTLDKQITDLIMVLS RPDWIDFTLPKNQVATKFIYDNSLANAPTYHKFFHQLLLSVELDLRINSGLHLAEAKE KLVAQIPPSIQWNLALARRWRENIRVDRIGEQPDQIRLRFKLQKRQVRMLKRFIQMMK WPNLSETIACLKQHEAQGTLTGHISSHAMAFFSGLILPGTTFPFLIMNSLVDIDPDRA TDDLALLTHIHPHCGFQYRGSYTYWTAASIVGKVLAPTCLSIGGWVGPARPTVHLDRA QIARIRQRKPPARSQTVTPEDVKTMNDRSDPLGPPAEVFPVKEYELVAPKMDEDEDYD YDGYLTDLVRIEMLHLRPSNANDSKHSITSGATSSDNGPKWFDASIHFAIDGNSWPLR LTFDVNFVHAWPCSDGPHPLFFDYVYESVRADKLVHVRDWNGVYGGINSSLDGSGMIH GGPKSFGLGSNGLGGGSKKGSNIMSAGGRTTTATDHTGQDQDNERVLVVEAFGVPDNE VLARAWCAHWGLSAVVADISKTCMACAIREAYAAALTVVILVDDEQKVENKDE NEUTE1DRAFT_64719 MAPVTRSRRETKATESPANKKSKASTPKSDSKKPEKRKATEDAT PVAAKKQKATKDTVAAPSPKPTPKTDKSTSKTTPKEDKKSAQKPVKENKKEKKEKPAQ KEKKTKEPTPEPEAQEQEDDNEEEEEELDNETQALVENLDGDDKEEDNEEASKQISTF KKGQDVGKIPKKKTKDDKDASATKGSGKRGVMYLGRIPHGFYEHEIRAYFGQFGEITR LRVVRNKKTGASRHRAFVEFADAEVADIAARTMDKYLLFGHILTAKVVPPAQVHKDLF KGANRRFKVIPWNKMAGKQLEKALPESKWQGKITKEEQRRAARAAKLAEMDYEWEAPQ LKAAEAKEPALLTAAEEEPVKAIEAPAAAEEKIEEKAETKEEETKEEEEPKSTRKRAA ATPKK NEUTE1DRAFT_130300 MSIRQLPVYKTDATARRQPDTRIQQPTIILCEVPSFKGYLGRRD VRGAEFVVDKGKHQNQRADFGVRVGPKAAVQGSDTDTDTDRHPDAHHDSNGGQSALPV SPGFASIVVMFVLHPVVAIEQVELTMSPSAPVTKAAQSAGQVSSSPAPSPANKRKKNN NNHKKKGAAATSNIKAKPKEGGGSNTPDAAPDTEVLSDQPPAPPPPPPQVEAEAAPAA ERAEHTEEETALPVEETPASLNTSPKLDQVEAPAEDEDTDIEVPATVADHSDSENPPP PPDGDSNPAPDPDPESESASAASPAEDTTATTPAAAAATATIEINGHAPDSANGHIPN PSTTTTAPTMSTTTSPPPTEGSPVTNSTAADETPATTSSTTTTNNSTETSAKLEAMSQ DREALRAEVEQLRKQLESIQSTHDEEVSQLRSDLEESEAAKEHAETQYQNLLDRVEKI KETLGERLKRDRARVEELETANEELQQAAQTQEEEAARLREQVDEQAREIDSLRSRTN LSQQNWGKEREDLEREVEHIRSELKKTSAAMGEWEVIAMEERSMREGLEAKATDLEEQ LANVREAYERVAEERNTQSQAIDGLQRALQEIQEARKRELREMVESSEEQLAAMKKRA EEADAKAKEAQDARESLQKEMERTAPFEKEVKEKNLLIGKLRHEAIVLNDHLTRALKY IKKMKPEETIDRQIVTNHLLQFLSLDRSDPKKFQILQVIAGLLNWTDEQREQAGLARP GGSGGGLGSASSLLRLPTSPFHRTPSSPSLNHDFFSDMSTHTNNSSTMSPTAGSVREG STGGGRESLADLWAGFLERSVEEASAQPPNKRKDSTSSTGTGNTGNTGGYA NEUTE1DRAFT_130301 MTIPWGTIRSLTIFFAPVLLPKAFGLYRNFRRGPQSVYGPIKVR PVPSSVRRALLLLLSLSLAYVALALLPIYSPENIFRLTQSRLQIPVDVLFTRLASIRP PSAADNALRHKFVNLQSRLLYLQFGPDVLASCPFCSSDDPKSYLYYALPSIVAPHLFN LGVIALVTSCIFTGRDGFKWRYLATMASLVIGGADVYMVSSYNPQTNARALRLYEIDF FHWRQRSLRFLVLTLLDCLLATLMYLSSTNRMFVNYPSPAERVETVVRQLQQIKGKVN AVGVMQNTISRDEELRARGNAYWQHEVRLMGEVMEERDVVEGVRDALRERVVVENVER DAEIYVRAMLPPFSAAMYGGGGERSKDD NEUTE1DRAFT_83404 MPSLLVVIFVIELFVQLVNTIGAATINNLLWRIALSLPLPLSAQ FAAQRKKQKEYLAIRRELNATSSQDEFAKWARLRRQHDKLLEDLEKRKKELDAAKTKF DRTLTTVRVVATRGLQWFLPFWYSREPMFWLPYGWFPYYVEWFASFPRAPLGSVSIVV WQWACTGVIKLVIETVMAVVGLIVAARQKQQEKQKAKQAVPAAGGGDSKAEEAK NEUTE1DRAFT_83406 MSRALDKATTSALKAGNPQAAYDSISSVLVSLPSDGLLEIEILG KEVPLPEGAHVLQDGLAVGVSKLGLVQAFLVARRILKDHIQGTSQTDQDLSAATAVIL LMDPEFLTAANTRKRLIQRHMAESQGGDKRLEIQMVLDKEKRFLDSLLTSRLHRHTKS PTLWNHRRWLVETFASSLGMSVDVPGDVTNIVFVAGERHPQNYYAWCHARILTHLAEQ QADNYDDCLKGLLDAVKKWCFRNHTDISGWSFLFHLLDRCCGDARIISSTFSEVLDMS RSLRLTNESVWVFLRTLAASGIVDENAQAQFITLGQELLRKASAEQHSPDEQVLRSAL DWYETYRRRRDS NEUTE1DRAFT_43780 MVVFGRRWCCSQEEPSEAFHPEWRYAADWVQTHRPEDGAEMALC HLHPELVHLL NEUTE1DRAFT_130304 MVGIPKLLELTLLATSACAFFIWPPLPCDPGEPCYVSGSNDVRG KTLVGAGGQFHSPSPPGGEPAPDGFISLSVEQRNADPNESHEAGAARAARDAKRIAHR FASLDSTRVPKRRSGRLAARTNTYAVVEPESTNRKDSAGIYHDPSDYAYFAKVQFGSS KKPLYMLLDTGSSTAWIMGSTCKSDACIYHNLFGVDDSKTLKLQSKEFRLAYGTGAVA GTLARDTISVAGISLDMAFGLANETSSDFTHFAFDGILGLDMAVGGTDGFITELVNQK VLQSNIFAVTLGRASDPVNEGQITFGGVDPSKYTGDITYTNLAKDNRGAWIIPIDGFG FGGKKVTFQGRTTYIDTGTSFAFGPPADVTALHKLIPGSTTVDEVTWYVPCSDSPIEV TFSGVTYKISAKDWQAGSGTSCRSNIYGREVVANGWLLGDVFLKNVYSVFDVDKKRIG FAAKVEPVTITTTSGAQTSQTASGTTSSNDTPTSADATGQSTKSQSATPSGKDSAGYQ LKSNAYALVLGITVMAAAIVA NEUTE1DRAFT_147008 MGKAAHESYGAGAGAGGPRTASTHKNHNDENVGLLSGGSPNLLD DDGYDGDSTTITGNGAGAGPGIETAGEQREEGNNSPPVDPRPAPAPAPGHIKLPRRQS ALSAPRTPRTPRTPNRVRFDLRPTTFGDNSPTTAGAAAPNGNNGAPEDHHHHARDSFD SYFDIDDSSSDQQPLTSFSDYHRQGRVPLLTGIEAPSVTVANNGPWGSDEDVASWAET ERSRPKSGLRMAFMNMANSIIGAGIIGQPYAFKQAGLLSGIVLLVGLTVVVDWTIRLI VINSKLSGANSFQGTVEKCFGRSGLIAISVAQWAFAFGGMVAFGVIVGDSIPNVLRAI WPGLREEGVKGTLVGWLVGRQGVILVCTLGVSYPLALYRDIAMLAKASTLALVSMAVI LVTVLVQGGLAPEADKGTLASWNLLIINDGIFQAIGVISFAFVCHHNSLLIYGSLKTP TIDRFSLVTHISTGVSMIACLLMALVGFLTFGDRTLGNVLNNFPADNTMVNVARLCFG LNMLTTLPLEAFVCREVMLNYWFPGDPFNMNLHLLFTSSLVVSAMVLSMITCDLGTVF ELVGATSAAAMAYILPPLCYLKLTKETGGWKRAAAWATAAFGTLVMGITHRSGGGGGG GGGGGGGGGGGGSPD NEUTE1DRAFT_117264 MYLGTYLPIYLSDIDTLILGSEQEKRQDKTRQDKTRQQAALCPG RKKSKGESERRTFVYVVRLVG NEUTE1DRAFT_117265 MSAELRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYV ADVEVDGRHVELALWDTAGQEDYDRLRPLSYPDSHVVLICFAIDSPDSLDNVSEKWHS EVLHFCRDVPIILVGCKKDLRHDPKTIEELRKTSQKPVSPEEGEALRKRINAHKYLEC SAKTNEGVREVFENATRAALLKKTKTKRGLCKSSV NEUTE1DRAFT_101579 MDKLLRRVRMAEGMVARRAQRKNALLKRITERKQNKKNGEAFTE AIQQRKAAVEARNEDWMLGPLAPRRELDEITLSNGNFFGSLSPTRALLESEVSEEERK ARVAWCGSPKFLCIAPGDRVVVIEGHHKNLIGTIEKLNTRNMTVEIQSEKLKTNTTVP QFMQNDADKPVTQIYARLPISSVRLVHPLKDPQTGEYRDVIIRELRPRNIVHDRPTRT RSMRRFVPGENIIIPWPKQEPIKREDQPADTLRIDVDEKTFVPTLFRPPAPQQVLDEL RNKYSIFRTRHTPEYIAKKEQEEQEKEAKKSAAKAMLTPVQEYNRKQRELRRARGQPA LTEEMLAKIGEVVARNKLGHHQAAGLHQPKVKEETVAQIEKAVEQLSLGGEEDAATTT SPEQPKV NEUTE1DRAFT_122867 MRNLRNIGHGAYRPGQEQPLPSNSISASCWDVSRDEIILAHGPT QDFSRVELVRLVKDSSEPSNLESKLVASWDAAPVLRQNGSEPEPDTIKSLHYFPDTLT TCVIMAGGDIITVVEDDYGVPGEAHVEIVGTLEPSVAGARWSPDEELLIVATGDAKVL FMSRAFDVITSATMTEDDLKLSKHVSVGWGKKETQFQGRGAKAKALRDPTIPEKVDEG RLSANDNLQKCSISWRGDGAYVAVNFFSQESGGRRVIRVYNRDGELDSVSEPVDGLEG SLSWRPEGNLMAGIQRFSDHVDVVFFERNGLRHGQFTLQIPQDRPEVAEDLALEWNSD STVLAVIMRDRVQLYTMGNYHWYLKQELPCADYAQLASQFQGESHWTLPWFSWHAEKP LLFAVAAAERAVWFEFVLAIARGPMYGGMGDVAVIDGRTIKFTPFQTANVPPPMALYD IEVDYPVTDIAFSKDAFQMAVLHQKGMHLFALEKQGPAGHRAAPKLIKTISLDNFENK CQLQIAFAAPSQVQVLSLDDFQLQITAWDFNEELMLGEVGTGLQAVTLTSADETSVED GTVVQDRQGNITRVSVENGETVLGKFPTLLPWATYTTYEDQFIAFGLSRNGHLYADSR QLVKNCTSFVVTDKHLIYTTSNHFVKFIHLTANVEELDVPLDDPETDERCRSIERGGR LVTAMPSRMSIVLQMPRGNLETIYPRAMVLAGIRQLVEQKEYGAAFTTCRTQRVDMNL LYDHRPEQLLENVGLFLDQVKNAADIDLFLSTLKEEDVTQTMYRNTKAGVVTAPIQPA LTTAPKTSKINTICDAVLHSLKAKKNANLQNIITAHVCKNPPALSDGLQVVASLMEED ETLAERAVEHICFLVDVNKLYDHALSLYNLELTLLVAQQSQRDPREYLPFIQSLHKMD PLRRQFTIDDHLSFHEKALVHLRAIANTYSDEVESYIVKHQLYPSALALYRNEPTPLR TITALYAAHLRSLSKFRDAGLAYESLGDYPAATECYLKAGTSSWRECLFTSSLDPSLT PDQRHEIASTLADALREAKDWAAVATIQAEHLASLPSAISALCKGYLFADAFRLISLH SQPELLESHLDPGLLDAFSSSTEFLADCKSQLKAQVPRIAELRLKAAEDPLAFYEGEN PFGARTGTGGDIPDDISVAASSRVSTSASLFTRYTGKGSQVTGTVASNVSRATSKNRK REEKKRARGRKGTVYEEEYLVNSVRRLVERVEGSARLEVERLVCALVRRGMSERARAV EGLMAEVVEGCVRAVEEVWPQQQQQQDGQQQQEAGEQDAGWEGAEWRPTGGDAVLADS IEAMRRGVGKPVVKKFERLSLLGGR NEUTE1DRAFT_117268 MDVAYNQHSNAARRKNRSSTNLNYLSLAPLTSKLPIDNDDFLEQ YHQQFEHVPHSTSYLAGKSAPTTPRLISHSPGPHPARAATMTGTGMNHSRGKSAPAAR LPKSKSTTHLGSKSGAASPTHKRRSAAAIAAENERNLSDWLLRAGALISTETRESKGQ SWLVSRASSTSLTGLHNAEEEAFERELARERELLLQNTAANAVSRNASRAASRNASRR GSMVINTGYNTNMIDDEYYTTASPIHSRLGSRSHSRTQLARTPNERHAFEHGAGYFHH HGVHNNNNNNNNNNNNNNNNNNNNNHHHHEVGITEEDDEDNNGPGPDFVNLDEKLEMA HYNQEQLLLDSDAASSIIEDEIAVRRLVKDRNIGSWFGRVLGVQLFAVEEEDDEEDSS DSDRDDDDDGHLAYGSSRQHSEGQLTEDDGGSTTETDSSSEERRRPHEKQRKDQRRFE DAQLSKLVDERVPPPKEEGGTWHDAAWLLTVASKVIF NEUTE1DRAFT_95174 MPDFGEHVDNGIFEQILEMDDDDKREFSKSLVTNFFDQAEETFV KIEEVLNNEKLDNGKRLETLSSLGHFLKGSSATLGFNKIRDSCQIIQQYGHKLTVDGA AEPNEQVCLEKIQAALKTARNDKNVLADMMLNETHGFFQPDDE NEUTE1DRAFT_83429 MPPKPPPTHFLCIPLVTTASRPHLTRSLAAFREEVTAPNPSSAY GALPVPEQAIRPVGTVHLTLGVMSFLEPKPEPSGSGKSESLSGLRKLEEAKALLKSLK LKEIWQGMKEEEHPKITLRGLHSMQSPSKAAVLYAPPVDPLGHLQRFCEEVKAEFVQR GLMVEEGGRPLLLHATVVNTIYVKGRDQQQAAGNRGGKKGGHGHHGHHGHHGHHGHRR GGGNKRERLTIDAREILERYEEYTWMEGVKVEKVAICKMGAKKEMVDGVVVDEAYEVE EEIEF NEUTE1DRAFT_44836 MPRKTLGTPEKHRVSSESPRSPSFMRSLRNAGSPSTSPSRNATR SPRPGSLAQAIAPPARASLSPCPSPDALGSNTSTPRGTRFMVTEGGTTTDTNTTTATT DPSSSSSSMRTSPSPIPAPRSTTPTPTTTEDLLKQKDDRIAHLELSYQRTLDALTNAS SSLSSFSSLTSTTAHELRLLRLEADALRAERDELRSDWNAAQQSLRERENEIRDLRRQ MRGLKEWVSNSTRAEATGAAQQVSDEVLGAAMAKLGNELQNWVLVNFRRAKVKTEGLE GGRMEELEKVVPMFEEILGMGGKIHLIQSVVSRLLVEMVFRAYFVGLTGEQERVVREA EGMLGAIVSTPESINQWRALTLSTLKREADQKLQAETAAIIDAFVNKTNNLLDDITQV DTKAIEARNQGLRHLVNGAIDMSRLLVVQKAIFKVNMPEILPHQRVLFDAATMEDIGG EDEESLSDREICCVTFPGIIKQGDESGGQLQWTNVIAKARVLCSPEE NEUTE1DRAFT_101586 MQALRISWRRCLPNALDSFAIGLTNYLHMLAFSMLNTDWLGFLN PSQNILYRGSRRRYAATCSTIRRCGRDNNEGDKGREDTLPSKWTSTQFLERTGLNLQT IVCCTTALLFPKPAYRMKQQRKPHHLKPPHQTFFFLPLVRRWEKVLQAFIWVACRLRE RGSRRRIGIANLFLAAFPFRVYEGRLIKPG NEUTE1DRAFT_101587 MAPIHSAGYHDGLVVYTPSTCPDACAPEQALNIPSSLLFAFASL SKMSSSRSSSPGPVSTERFTRPGFQILKGPPRWRKQITFLPFPFQDFPAAACPADLRR HPTLVNPAMPYHTSAEHIEDYSRSYSPGQRRQSSYDRYYDDDDSSVTDSNYTTSTAPS RRSSTDLTVPTRAPDHSPWVGINEPSGPEPDSHELWCEFCGILNCDEEFHIGEENLWI DHHLHHLSHQLPSRLVCWFCNDYDFTVDERADRTERQQNFLRRMWHIREHIFDDPRLT WERMRPDFFMAAHLYRLGRIGDEVYEDIRNYTELPPQLRIPGTPGSVSYDRYHQHGQS QSRQSGPLGPLTPPDNDDGWYRSPQELARQERRRRRSERHRP NEUTE1DRAFT_117271 MSVTGAACITPAPADGDAMHDAHIRAASMWISDGMGYEVWIGGL GSWLSGLLLLSFGLSSF NEUTE1DRAFT_83432 MASNPTKAAAPTGGLNEKKHDRRSTSSESVSGTAFAEHADRTGT FNQNARLEASKKIANPLAGLSPQRLEAMGEEYAMMAGLTSEEDIRAFRLGARIAGDES NYDLIPELTEREKEVLVRETTHKWSNPPMLYWVVVICSLCAAVQGMDETVVNGAQLFY KDKFGIGTDSQRDTWLLGLVNSAPYLCCAFIGCWLTEPMNRIFGRRGTIFVSCIISAV ACFHQAFTNTWWHMFIARFYLGLGIGPKSATTPIFAAECSPPKLRGALVMQWQMWTAF GIMVGYIADLAFYFVPDHGIGLGLNWRLMMGSAMIPAVIVVCLAFLCPESPRWYLSKG RHQDAFGALCRLRFEKVQAARDLFYTHTLLEAEKQAMSGVKKGNRLKELFTVRRNRNA VIASSGLMFMQQFCGVNIIAYYSSAVFRDAGFSDVSALAASLGFGVVNWLFAIPAMYT IDTFGRRNLLLTTFPLMSLFLFFTGFSFWIPEDSKAHIGCIALGIYLFGMVYSPGEGP VPFTYSAEAYPLYIRPIGMSLATATTWFFNFILSITWPRMVTAFKPQGAFGWYAGWNI IGFLFTLFLVPETKGKTLEELDHVFDVPLKKLVRYGADQSLWFFHRGKNGKGMRPTAP SAEMYHGDAERMNEVVSGQQLGEGEREKRWNKEREREGGIMGRGDAAGKV NEUTE1DRAFT_43942 MSGSGFGRRTTIHRNDPNRFLDIIHARSPPRGSRTGSRTASPRF RPSSPIPIPNAIPRLRLRVRRRVSFEEPDPPSAFEYFSSSASSSSSSFLPPSPSSSSY LSTAFSFDGNDDDFDILGNDDDLAYNIAGPRGGREERDRIRSRSRSRSREPRTKTRVT LYHGVRHFYPNRNRNRTPSPPPPPPVPPPPAFLGFPPVGRIRYLPAPRYVPAPRRFPA PRLLIRHPNRSPSPALLRQPFQRVRFRREEERVGEDEDDNEDEVNDRRLRIAIRTITS NSNGLRAGRDFRGFDDDDEDDNTTTVTTTTAYQDVDVDADADEMPRAAWGVLFDAEER PTERLREVLAALGRWVVREMPGGRSTMLDREDLISPRRMRRFFDRYYMMGPREDEEWR YWDVFRNETSDESITRVQRLYDDLDVEYRLRTLPRTTAALPSPCLTPRGFAEFLIKLI LSYPDSQHQFLSNVFRSNQITAPMDNLNRWGARGGEGLLPGHLDRSLFPEFMDTAMEA RVRRALMAAEFGTPGRVVDRSDSLERLREERAQRQRRSNQDRVRERRRAEYQGYRLRG GGSDDDADAERGRSMERRSSSRVEIRSTSQGASPGIQRVTTVVRSRSRSRSRSRRRRP SDNPQTSSSSQDRKPDNLHVHFTSGTKHPKRKRSSAALSCKRPPAVTKCHTKFEDYSC LFPPHPIPTSCHSRPRPKTPVVAKCKPPKPKPTTYYPHLPPGHPAISFPSPHPAPAPT CHPPASSQQICHSSKPKPKATYRPRPRPGCGPAKTRPERIYREVPIYHEIPVREIREV EVEVPVRVEVPYKVPVRVPVEVPVRVNVPAPYPVHVPVHVPVPQPYPVYHGGGGGYGG YGGYGGHGGRYGVRADEGPWYPFKAVTWQSGPPF NEUTE1DRAFT_101590 MSAPPVQSPAFQARPGAASTANNQGKTGQIRCMNGKEWQDRSAF NPKRLREYENMRRSNKAVTAYNSFISCKAHSGHDPRDQQCQGSCMRILPLEKFSKNSR RLRTYKCIDCTQHQLYLQPHATVPAPNTLLTTAEKEELARARLRRKARLVAALAYEDE DEEDDDDSLYRDDQSVLSAAYGAGSTVSGAITGSQSVYSSRYSEAGTGYSSQNQPPHM RSENGFAATSALAARLNQDSSSPSVNAWRAQDFFSDSYTAVSTSSNAGYVPSDALLTD GQSTLGPEDSGSVAAAPDDDEENNDRPTAQRKLIADHTTVTEFFEAEESWRVDRIKES LSGNWVKLPGRKTLPEVPRYLRDGEDDYDNDAYSFDGESEDEL NEUTE1DRAFT_130314 MTVVHTLTPQGSSHGIGSGQALEDRFEVLKEIGDGSFGSVVLAR VRSAGATVARRGTVIAIKTMKKTFESVGPCMELREVVFLRTLPAHPHLVPALDIFLDP FTKKLHIAMEYMEGNLYQLMKARDHKCLDNSSVKSILFQIMKGLEHIHAHHFFHRDIK PENILVSTSSHMDATNSFRRYSALMNPPPTPPTYTVKIADFGLARETHSKLPYTTYVS TRWYRAPEVLLRAGEYSAPVDIWAIGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGSP GNWYNKAGARVGGGEWREGTRLAGKLGFSFPKMAPHSMDTILQTPQWPASLAHFVTWC LMWDPKNRPTSTQALAHDYFTDAVDPLRPKSSASRILGRKQSDISRGKDSATSTPTSS KPSWFRKSLIGRSESSTEVATVSTTQENAKVNIAPRPSPVQVAPEVPSPKPRPAVSKR TTWNNGPSNAAPMPILPTIRPITPLSDAVTAQASSRTPSYNDAYVNGTQRSAADENKA TKKIGRQLSVASATNHYAEIHRQQAERALNGQTGLASPTSGTKESFFSHLRKRARRFS GRHQTPMSPAYDDVETQPHGVGCGPWGSNRSSMVIDSPPPAPVPKDTLESLEKTLRDP QPVAEVPPMPPAHRAPQHSTLKRHHSLPHHTPRSVDNPLMGARGSGPVSSRTRRQQAA QGVNQYDAPDEEDELLDEALTSTRKAIKRLEKDTKHLRQSASNLGLMNPYPTPSPSAS GQNIFFADHGKEALTPQSLEPKKRSSEYKWPTPPYNDEGDWAASASASIWAAGSRI NEUTE1DRAFT_122874 MSFNLPILPPTSPTRQRNRDSRNYFTNSYSSPASTEAINGPVRQ RTKELAPISDRLIVGVDFGTTFSGVAAVYTGTPDDVEIIKTWPGGNGITSDKVPTELS YAAVPPNAPPGTAPTVKWGFQFRPEESRIRCVKLFLDRSQKLPFYVNPKETATQLKNN RKTVVDAVSDYLTQIYKHTMDTLTRRYGESFMASTKVDFVLTCPAVWSDAAKNATLQA AERAGMGAQSQIQMISEPEAAAVYTLKAIQPNHLKIGDNFIVCDGGGGTVDLIAYKIV SLGPIRVEESAVGTGGLCGSAFLNYRFEEHVKNRLGASRFEEVKTKKGKSWQMALKYF EEFVKRNFNEDEHQEINVPFPGLPDDEEIGLDCGFLMMTAAQVKDIFDPVVKEVCDLV QGQVDNLRAKGQTVSGIILVGGFGQSDYLYRTLKKHFTSTAAPPPYTPTPTQQSTLAA ALGSNSIEVMQPVYAWTAVVRGAVLRGLEGNMVISRKARMHYGTSYATVYDEEKHSVT ERYWSPLWERWMVSDRMQWHIAKGETISPQNPIAFHYTRNFRPGQSLVVTDDLIACEA DEPPKSYTRDLIKVCTLTTDLSAVPRSLFTRLTTTKGVEFDNLDFTLEMIVDSAGLGF ELKVDGVRYGRVDAEFY NEUTE1DRAFT_110851 MLDPNQKAVVIGISGCSSSGKTTLARLLRDIFPNTFILHEDDFY KPESEIPMKDGLTNWDCPEALSIPDMTAALEHIRATGELPVSSTMNLTRPFLSRRHTH GSSTTSSSYYPPSSSSAANKAPPKQKTGPGKTITTAKSKSLSNSPKQPTLDSKEDLNS VGPCPITTDFINKIKARVADWLQPSSPGHRVLHLSSQQQQPLKICIIDGFLLYSPPPL LPATLLSQMDIKLFLLVSKAKALQRREARDGYVTLEGFWKDPPGYVEKIVWPEYVRAH EWMFEGGDVEGGKVKAEEVSKRLGVLVNQKEGETKMDRDFGETLEWAVESIMRELEKL VLGHEDKKEGGQKDGEKEKEEEAIALNSFAMKKKWAAAQRERNARELLIKEAQEQQQQ QKE NEUTE1DRAFT_147020 MSDIPNAMPSMVILSTSMISLITGFVLGVYAIRGYLIPPELKEE RRRNFQDPVESEESEVDEDDYILDHAPNWANGLEADQRQGLRARGAAAAEEKKKKKKQ QDKAAPKLGLDPTEECKLVLVVRTDLGMTKGKIAAQCSHATLACYKRLFSAAQLEPLS LSARLLRQWERNGQAKIAVQTKTEDEMLELMAKARSLGVTAEVIQDAGRTQIASGSRT VLGVGPAPKSLVDEITGHLKLL NEUTE1DRAFT_83449 METTDRAEVSLSRAEQPSGAVAINNVRSEFRSKAEANKIRKVKA AEKSYGRGRKIDVKNVKDKKLKRNLTNLEEKYQTATLKAKEAEILLENAGGFLEAEHE LERTYKVRQEDIVSEVNIEVAQKKFDLKLDQLGPYICDFSRNGRDLILAGRKGHVATM DWRDGKLGCELQLGETIRDVKWLHNNQYFAVAQKKYTYIYDSQGVELHCLRKHVEVSH LEFLPYHFLLATLGTNGQLKYQDTSTGQIVTEIATKLGTPVSMTQNPWNAILHVGHQN GTVTLWSPNSSEPLVKLLAHRGPVRSMAVDREGRYMVTTGQDCKMAVWDIRMFKEVNN YFTRAPASSVAISDTGLTAVGWGTRTTVWKGLFSKEKPVQEKVQSPYMTWGGEGKRVE RVRWCPFDDVLGVGHSEGFSSLIIPGAGEANFDALEVNPYETKKQRQEGEVKQLLNKL APEMIALDPNFIGNLDLRSAKQREADKDLDAGPPDLEEEMRNRARGKNSALKKYLRKQ KKKNIIDEKRLKAEEMYKQMQEKNGERHKEKVAELGPTLARFARKE NEUTE1DRAFT_130319 MPGAVSGHSHRPTTKASNKPFKSRHSTKGALRNAAKGKVAEERG VRKTPHQQVMSKFDRRNRNKQLQLQKHKEHLQETSIFAGKDGAPRNVAVIPLCSDGDA TEAIKSLNSSIDIETEVPEGAFRVPVDRFKQKLQYFPLKRDLTACLDAARVADYVVLV LSAQEEVDELGELILRSVESQGLSTLFTVVQGLNKIEPQKQRLSVLSSLKSYITHFHP EQDKVFSLDNRQECSNLMRSLCSTTPKGIRWRDERSWMLVEEVKFGESTVLTGVVRGK GLKADRLVQVGDWGTFQVEKITAAPLARRKRGEEGMNVEEGTEEILDQPTDDRDDLVD LAPEEVRMEDDDDDEMNDGMTTMTTSKKGVLLDDHHYFSEEEPEAYTAPKKLPKGTSK YQAAWYLDDDVSDSGSDMEEDDEMEDDDEEEAARPEDGEEGLAGYAPTEAGQTEYPQT EGGFIQPDADEDAKQLEAFRKQKRDEAQDDLEFPDEIELHPHVLARERLAKYRGLKSL RSSPWQEDEDRAYQPEDWERLLKIQDYQASKIRASREALVGGVAPGTRVHVYLKDVPA TIQQSYNPSQPVTLFSLLRHEYKKTAVNFLINLSADAAAPIKAKEELIIQCGPRRFVI NPLFSQGGNTPNDVHKYCRYLHPGQSAVATFTGPVTWGAVPTLFFKRQVPGSVLNEDG EPVSNLPLSLVATGTALPPSTSRVVAKRAILTGHPYHIHKKIVTIRYMFFNREDVEWF KALPLWTRRGRSGFIKEALGTHGYFKATFDGRINPQDSVGVSLYKRVWPRSAKQLDAP LLDAAALQQEVDEDAMDAE NEUTE1DRAFT_83454 MEPQQQQQQQVPSEQSLQQSPDLRPASLSRSSTGRSSRKGSSLS LDLSNLPPLSQPTPPSNTLIFTNINSLDVFSADNLQTIRDLISQTAPIFAWSPLKSFR RIIVTFFDEQAAIAVRSVWDGEAILGERCRVYFGQPTPIDVSAADKHLALPDAGKLFF ISPPPSPPHDWEQRMEDAPNTMVHAEDLAEALAKLRHHNNPNGIDADVKVPVSPASDG GSSRPGAGGDFKEGARKNRSRSSTLIFQPEKKAQTSNGKANGPAVDLPCVTVDDMTDE ADVDGDIDMSPVSDSPRTRPIFAHTARPPVELMHDA NEUTE1DRAFT_83456 MCSNNNNPNRKNIWGYTFDWTPSHLTSTDLHPLTLSYDKLTNDC LDEFDALGLTSSSSSSSSDPSPSPSQNSHQCPLHHHNHHHHQPNDFLHLLQTYHPSSP SLSTLWKHLTTIPAWVSYPSIARGQAVFYRYAGPAITSLTFHSLLGGMASARVVETLA RTGGFGTRVARRRLLETFQHILDVTEGVGDGGRGWRSTVRVRFLHGMVRRRIMTLAVR NDRDNGYWDTQKWGIPINDLDSIATIASFSSALIWMGLPRQGIFLTSQEINDYLALWR YVAYLIGVPDTITLPSSSGGQQKITPFGSAQEAKVVMESIMLSELSHPSETSAVLANN IIASLANQPPLRSSESFLRAQAYWLNGSSLCKALHIPKPGVWYAMLVISQCLFFMVFC YSRQAHYLLLKWVPSWGGGPQNEGTEVATGEERYKRVRRWMRKVVVEMAGGKEADFGF EWVPEWGKYTGSERGKEEGGGGKGKGKGRGKKGWRDWIASRNDGSEFRSLKAFLVAST VLGCLVCVGVRGLFLGMVPLFR NEUTE1DRAFT_83457 MSCSCNTAALRIFVRNVANIQVPSSQQVTPRALPGFHRQITTSL PFHTRFLHTTRAARSDSTEHVSEETSTATEKPKAPKLLFRKTNAPANPNWMGEQPPRR PDHKPRELKKARRDHGAHASQEEGDHKEPTKKKNRWRDLPEEERRELYAKMGKEMPDE AERQARKQEQQQQKLRASLEEPKKHNPAHPRRESWQHQKNALKEKFPEGWKPLKKLSP DALEGIRALHKQFPEEYTTEVLSNKFQVSPEAIRRILKSKWRPDPEEEIERQERWFKR GKQIWQRYAELGVKPPKKWREQGIRPNKYWKEGEEKTFKDRQIANVKLHRSLL NEUTE1DRAFT_101600 MWYGCVLVATAASRVGGRAGGSGRATIDGRETSRCQLVGKRCGS AMRHAPPMLLLLLTHITPAQQQQQHSEPTEDTLLEAPSPRGPSSMSSSSRPSYPHAFS DGKSRKSW NEUTE1DRAFT_83460 MSTHQSQPSSPPKRPRLSLQIKTFNGSSVRTSRTLAAAVDVKSP TAFNTLSNVYATAVDRSTPIQEHAPATALSGGKPMLRLQTQEAGQNGGAAVSKDRRLQ TPYLGPYLDTPLTAQPMSPAIATAQSQMIFPSAMTATPPLSAQPQEQNGPRVFTFDSS NSNNNNNNNNNNYSMEQPSLSINTTTSQASEMASCSETPRRRTTFPSNVKLPYTHPRS LRSILRNSPLAPLTCQSPNSSRRQSLRLQEKAARRVAYHSPLCETITTSKYTKSHVDL LAEDSGTPTTPTGARSISSSSSSSCSSSSEGEELLDQTMAYTGGNETRDGGQTPGPYE EMRRRMAGMHASTPISLSPTSGGIRKNRGLQGKKREKKRRWVWTIGKDAEDAELEECG SPVVPWTVRPELSNAVAVAERKEAMVGVPVLAVPVPPSRARTRAQTQAQAQSVQTVVT GNKAAAAAATVALQIPKLPVPGPTSGRRLRPALSLPPSSMSTLPVPGPVVPAVSTPVA AAAPALPLVQQQTQTQQSPPPPPPPQQHQQPEPHQQQSSRATLTPEPTLPHIEPHTPS MESVTSMTSIMSQAESMLSEDSVFDTSSVYNGDVEMSDASSVCYDDDPAGDNESTFDG GGADGEEQHENNNHNNVYKNYLKVNGGKRGASVDQPCHSSDMDTDMDMDTPTVGGRPG YAVERLGLGIC NEUTE1DRAFT_122884 MDVPESRHYPGGQPYSGTNRIPNIKQFVESLDRDKKERDGKLEA DLRRNHQNGELQAHVPTQKPGKNRRTVKDPITGKDVEIEDIGSTHMKTAKDPQLTVPN ANLGKETPVQTKASQSGEEYREAQDVTAPPDPIANGSTSDVPIHGEKTNVLFHPTPSI TFEPMFESIEARANVLCAFVFIGIVFIGKVLGGSLWGLFPLGMCVASGVFLWAKDLIR QGRDMEWSSEQKRGETAVVNLIPESVEWLNTAVGLIWGLINPEMFSAVADTLEDIMQA SVPGVIENVKVNDINQGSNPLRILSLRALPDSHVKDMKAEIRKEDEKNKDPQELAAEE EGGDFYNLEATVAYHSLPSSGDVSSKAKNMGMQLVFYLGLKGFFGVPFPVWVELNGLV ATCRLRIAMAPDPPFIKTLSFTLMGLPKVEASCVPLMQKGANILNLPLISNFVNWAIA TAAGMYVAPKSMTLDIGKMLQGDSIKKETQALGVLFLRIHKATGLSKQDRRGSEGGGS DPYICVSYSKFGKPQYCTRVIQDDLNPVFSETCALLVTPDIIKADEQLSLELWDSDRS SADDVVGKVELSIQKLIQHPGQMFPQTSKLRGVKADSSMPGELHWEVGFFGKTQFRKA LRTDGKDHKLPQELRDKPELQDDKGTLESKEEDAVVHTPLDPLWPSGVLSIIVHQIVN LELENVKGSEGKRKGKEYEPARPEAGELKEESGGKLPSSYCTILINDELVYKTRTKVV SSKPIFEAGTERFVRDWRSSIVTVTVRDSRNRQHDPIIGVVPLKLSDVFHTASQSTRW YPLDGGIGFGRIRISLLFRSVELKLPPPQLGFGEIGTFEFTSDNITTSGFAPTDKMKI KLRTGGSSAKVPSDVCSYTPDGQQSSITWDISGDADRNKHSKKIRLPVRYRYRSPVFF EFHRSGKRNTDMFAAFWLQDLVDNEEKDFDIPIWRCNNGMRLSQNYITEENYRSVPDI QIEELGRLRFRGQFKPGTDRDHLKFVSDNDSRETIETWEACFAEGVRAEHVESEVPPI VQRLHEESLTEGRDVLKTAREEDKRKWLAKDGTDWTGAFGQDPEELLAEQNGRRRVRA VSGGSHSSEGKSTEYEESSDEESHDNQSHANGDAGIIGNGGSAVDGDDSTPDLGIADG ENARPMNDNAGGEDANGGMNSGLNAGNGSAGEHPNANANGSAASMVGRQSMDTQATTS AGRSIKSASGSSSKNPIKQYKEYRSRSRDLHRQHRGLMQWKPLRNVQFAKDEALFAAR RLKKVGSLSGRTPDVETEV NEUTE1DRAFT_122886 MAGPRVLAANVVRAVPRIGARSHVMTASATASLLRLSAQAGRAR LSLTRAPRHCLRVASYSSSAGGAASSPPPPSTLNSSRPATIEVNGKTYTTDEWYNVPQ TVLALTGRKLHLQKDHPVAITRQIIESKFSTATYKRYNEFDPVVSTIENFDSLGFPPD HVGRARSDTYYINETTLLRTHTSAHEAELFRASASDGYLISADVYRRDEVDRSHYPVF HQMEGARVWDRTKVPNGDVVAAIYADLAKLPTHDVKVEDPNPPHHPERNPLQSEHHSP EEAEAIAAHLKRSLELMVVEIFTRAKQAAARQDPNYVDEPLRVRWVEAYFPFTSPSWE LEVYYQGDWLEVLGCGVSKQELFINADQPQQLGWAFGIGLERIAMLLFQIPDIRLFWS KDERFLSQFTGVQDNLDKLKRFVPFSKYPACWKDVSFWLRSTSAAGGNTAVANVHDFH ENDLMEVVREVAGDVVEDVQLKDQFTHPKTGRKSMCYRINYRSLEKTLTNEEANDFHE RVRQGLVEKLGVELR NEUTE1DRAFT_101607 MQAVTTGLEGPSPSPSDSKQTAAASVTKPAAQAIMDKQTTTSDK PVVAATTTKTKKSKQQTIHYPFWFGGSASSMAATVTHPLDLVKVRLQMRTGDAPKTMS GTVLHIIRHNGITGLYNGLSASLLRQITYSTTRFGIYEELKTRFTTKDHPASFPLLIA MATVSGVAGGLVGNVADVLNVRMQHDAALPPAQRRNYAHAIDGLARMTREEGFRSWFR GVWPNSARAAAMTASQLASYDVFKRILIRHTPLEDNLATHFSASFLAGVAAATVTSPI DVVKTRVMSASGKSSIGQVLGSLYAQEGVRWMFKGWVPSFLRLGPQTICTFIFLEGHR KMYKKVKGIEE NEUTE1DRAFT_83471 MDYDPMVMDDAESLGPVVKISQADNTRVKFELSNTELSFANSLR RVMLAEIPTIAIDLVEIEANSSVLADEFIAHRLGLIPLNAEGIEQLLYSRDCDCDEYC EHCSVTLNLHAKCTGDDIMQVCARDLVPVGDRVNQVLGSPIINDPEGQGPLILKLRHG QEIKLQCIAKKGIAKEHSKWAPSAAIGFEYDPHNKLHHLDLWYEQDPKKEWPPSEYAD WEEPPQEGEPFDYDAVPQRFYYNVETAGPIPPDAIVTEGIKVIQQKLAGLIHELTESD GGENGYNGPRSPGYNEGGDNWNDQGSFTPYGNGGNQSSWGGQGGTTPYGATPYGGGQN PWS NEUTE1DRAFT_130327 MLRTALTRSSRALCSGARVAAQRPIASQIFQMQAARTAAPQLRS AARWYSAEAEGEKKADEGAEQKEGETDDVVAALKKQLEAKDAEAREWKDKCLRTVADF RNLQERTARDVKQAKDFAIQKFAKDLVESVDNFDRALSVVPQDKLKSEEQSEHLKDLV NLYEGLKMTESILLSTLKKHGLERIEPEGEVFNPNEHEATFMAPMPDKEHNVVFHVQQ KGFKLNGRVLRPAQVGVVKNK NEUTE1DRAFT_117281 MGLAGQDTLRCHVMTRHENKPMKKGMFNIWGSRYSQGNRKRRYK EEKGARL NEUTE1DRAFT_117282 MHLVSSLLVVGAAFQAVLGLPDPLHEKRHSDIIKRSVDSYIQTE TPIAQKNLLCNIGSSGCRASGAASGVVVASPSKSSPDYWYTWTRDAALVTKLIVDEFT NDYNTTLQNTIQAYAAAQAKLQGVSNPSGSLSNGAGLGEPKFMVDLQQFTGAWGRPQR DGPPLRAIALIGYGKWLVSNGYADTAKSIIWPIVKNDLAYTAQYWNNTGFDLWEEVNS SSFFTIAASHRALVEGSAFAKSVGSSCSACDAVAPQILCFQQSFWSNSGYIISNFVNY RSGKDINSVLTSIHNFDPAAGCDVNTFQPCSDRALANHKVVVDSMRFWGVNSGRTAGK AAAVGRYAEDVYYNGNPWYLATLAAAEQLYDAVYVWKQQGSITVTSTSLAFFKDLVSS VSTGTYSSSSSTYTAIINAVTTYADGFVDIVAQYTPSDGSLAEQFDKDSGAPLSATHL TWSYASFLSAAARRAGIVPPSWGAASANSLPGSCAASTVAGSYATATATSFPANLTPA STTVTPPTQTGCAADHEVLVTFNEKVTTSYGQTVKLVGSIAALGNWVPASGVSLSANQ YSSSNPLWSTTIALPQGTSFTYKYVVVNSDGSVKWESDPDRSYAVGTDCSSTATLDDT WR NEUTE1DRAFT_147033 MEERKVLDGSLVAELPQHSTLATYKCKHELAAEERWAQVREEKK KEKEEELGATSRLIYENFGGGVCASDAKPALQVLVDTARLATSHYHHISSSKTDLTMD AASIEELNKVRRAMGMKPLPVPGEAPKARSPTPEGEDKGSTLETREAASYDNYKKALE AEAAKKKREERAAAIKKAREMAQRNAILDGKGIADDVEDDELDAKAWLKNQKKRQKKI EAAKKAEEEKEAAAAAAAAAEHTARDLAGVKVAHDIDTFLESGDQVLTLKDTGVLDAE EEGDELENIELREREKLNERLDLKKKKPLYDPNDIDETGERTILSQYDEEISGKKKKA FTLGQTIRTTTTTDSLADILDSAPVQRKGQTVDLDMLDDAPAPVSDYMDASEVKVKKP KKKKTKSTRRRDDDDVLYPGEPEAPADTEQQMDIDSEAVTYTKKRKTFDEDFVDDDDL QQSLARQRSAALKKRKTRPEELAKQLREQSNQPEPEAAEAGGIVIDEISEFVDALHAE REEEKKAPKPKPQQWTETAVTAMEEESSDEEMEDADKDGQSERATSPTAELPTTGVEE EQLVAQGMAATFALLKDRRLIDAESRGGELVKNFRESAHFKAELARLMKKFDEETRIQ RERDRASGRLDRMSTREREEWQRQQNTIREQHQARVMEQLFREAYKPTVELKYVGEDG RQLGQKEAFKELSHQFHGKGSGKGKTDKMLKKQEAKKKQMAQSFLDASQNVGMSTAAS HQGKKRKEAGVRLA NEUTE1DRAFT_122892 MARNYFSPYAPRRRSNRMVLIWVTLFFSIIFFMWWIQTRHHDAA RAYADLLVRGPRMEGDNARGGRILEDA NEUTE1DRAFT_83483 MRLSILTTILTHIHLHFTTAAILPHHKSNLELLKSLPKTNPKPT NPFPTPANNTNSNSTTYPTPKTYALLLFPTFEILDAYGPIEILQFVGHFHPLRLLIFS LQAPYSSGLAPVLTTPSLLASSPINNNPLNSSFYPTFNPTHPIPTTDQQWEEMREVLE SVDVLIVPGGDGVYSPDLEGKGRELDFLRRMVVEDKYLVTVCIGAAVAARAGVLDGRR ATTNKMVWDEVTPLGDKVKWVSPARWVVDGNIWTSSGVTAGLDLTFEFVRQMYPDGVD MANLIAGAIEHEPVMDWRYDPFAERFGVPPQN NEUTE1DRAFT_83486 MFKNVGRRVSKDSTHSTPTVEDEDDNISLASTASVQHDPDEEFE VEDILFEELDDDGKQVYLIKWERYPLEQCTWEPEDNLGDELIEMWREKQLRQEAGEEE PFDVREFRAAVNKAKKAKADRHRRRNAKRRKLGLPLTEPFDSSSSESDLDIVKAESSD ESSDEALEQEEPVSKDDREPRSAQESGARPQSEQKPKQKIFKGIAQPVPKSAKTAATT RESTFLEPKPKASSKSSSKAPERPSPPQPSSSKPRPERNFVLTTGYQGTARKPVDKAR PSTPNGLTRPLPQSTRTAPPIASRPTSAGVRKPLTAKRTAVKKMVSVNIFAAGKVRKP RQKLEKAMSDPTKDPKQFKMLSQRRWAEKKSRDTEDRPPDLSTANIQLFNIRQIPTER KTSLSTTTSSSTPILAPGGQVTRTQVEIEAENTAGLELSSDEPAPVNSQELSIRPRDP PRRKSALTKSKPDGGQPKERKSVRFVPGHDETTIPQEREQMDVDGMFVEPVNTTPTTP TNSTAHKRIPSPDPPPATASAGESDDGVRRGNLNKRLLIAEIPPFEVTFHDVPRDTHQ LWAAHFGNQKSLEIRLSCFAETAVAKLKPPLFQECLAKGPITSENKEAIEKLAQQLKA RLLGLYYSGRHYNLLIYPTKCEQWQTKLFGQEVATGLTAALCYTIFSSDIDCGTMLRP LSLPLHLPETNRKAVPTRTWLMRRLYKFDYNQLLPRKPEIPQIHKFFLAFPESGTGKA TRSVVFQWLRSSNPACHVYISSQPGSWDAFRASVAESSGVVIIHETLVWSLRRFPKLS EYLVKKYDEYWCFTEPTEAYPLYPSMSLPESVPPGISQLTRILPFGTAILLTPSFLVS EPEHAQCIDSRERWWNAGPSLGMTPIETQFEINLRGHSLDECESRLQAGIIASELHRV RTRKLDSSGGMEEDHSPLIYADPSIDPNDEQSLVNWFGWWSTLRADQFRKFHVVGSCT SIGYPNTKKGIRTVRIPKYLKTTINDPDAVAEVVQDEASQNLQVPAHELPPSKPPSAA RSLELTGPFKSDIPGLSNDRGETLKTFLFSNFCNSGPKPKMRFYGYPVTWTDSEMARS YGDLMLRYNQPISQWFSYTWPFDKTFNTYIGLFYTISEEWDPQKPPQNPNPRWHPWLA IYRPVNADIFPYRRCEVIIWDPLARKRFPGSQAPLERELAHMQRRVIQYVREHCGNKN RGTYLDQVWLGGFNCPSECESPYPLDMTLKFARTVMQDIGEHLPPAEQRLPEKGFRKV LKEHPPALDTMNGGGLDSDDDVLMDVDSNDGWEDEDEDVRIIFHPPRGTKLSPGSRSK CTNRLYEEARLARARSSSDHMEFKFVPTLKWYEDQVAEGRGFEHINIQSWQDIFNIFQ VGKAKGSKVTTATGAAKAPVTRGDREGDVQMTG NEUTE1DRAFT_117284 MPIPGWLLCLDYYAYVDSVPLCYPFVPVYARESKLCTRYVRTLF GHSIYLAVVLAKVGRREKTAGIEVASRY NEUTE1DRAFT_130332 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDSKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKGVTFENVNR WLKELRDHADQNIVIMLVGNKSDLRHLRAVPTEDAKKFAEENHLSFIETSALDATNVE LAFQNILTEIYKIVSTKNFDNGPSGSNEGHQNLSGQSISLSQTANDPQAKSGCC NEUTE1DRAFT_147038 MAAVYKSLSKSAKKVEDAEENNNNTTTNGGFRKNKQRVLILSSR GVTYRHRHLLNDLAAMLPHGRKDVKFDSKSKLYQLNELAELYNCNNVMFFEARKGKDL YMWFSKVPNGPTVKFHAQNLHTMEELHFTGNCLKGSRPLLSFDLAFEQEPHYRVIKEV FLQMFGVPQGARKSKPFIDHVMSFSIADGKIWVRNYEIREVEKAKGEKDSEGNDIVPE SDKSSSKKRKGAPNAELKDTDVSLVEIGPRFVLTPIIIQEGAFGGPIIYENKRFISPN QVRSELRKAKATRHNARVEQVKERLGKLETLTAQAKKEKDVLDTRELFA NEUTE1DRAFT_147039 MVDNDNDIVMDGQDAHPATIDVPATSNGITKTEPNGHDQTAPVK DEKEEKPDDEKDPFIYPARLKRRGLLPTGCCYDDRMKLHANADFGPNPHHPEDPSRIE HIMRIFKREGLVFTGDDEDLKKVIRTDPRRYMWRIPARHATKEEICIVHHPEHFRWVE DLSRKPTSELRRLSTIMDQGRDSLYVGSMTFEAALISAGGAIETCKSVVVGNVKNAFA VIRPPGHHAEFDAPMGFCLFNNVPIAAKICQTEYPEICRKILILDWDVHHGNGIQNMF YDDPNILYISLHVYMNGSFYPGKPDNPMTPDGSIENCGAGPGLGKNVNIGWHDQGMGD GEYMAAFQKIVMPIAHEFDPDLVIISAGFDAADGDELGACFVSPACYAHMTHMLMSLA NGKVAVCLEGGYNLTAISKSALAVARTLMGEPPPKMDLPKINKEAARVLAKVQAYQAP YWECMRPGIVDVQEMQSQGGQRLHDVVRSAQRFNLSEKFGMFPLYIQRDVLFKSFENQ VLVTRNASEARKLLVVIHDPPELHAQPDPLDNSLQPHDSWITDSIPQYIQWAINRKIG VIDINIPQYITHPEDTESLAPKVDERTQQAQIQELMCYIWDNYLQLYDNVDDIFLMGV GNAYLGVKLLLINRDVKSRISGVVNFVNGNLRPVKSDVDTDLSSWYKDNSRVYVSADH ACWADADLSRKVMKRRFGSVIRSNVSGLGRMMNEHFKDVQDWMVDRMVPEEEKLGDEM VEDATNPGDDDNFTNNGLELAFIFSLSIFIVARSDLRVKVKPPPLMRPDYPFKHHHHR GPAARHTARNHNHTPLSGGGPPLDAVAMERSRR NEUTE1DRAFT_130335 MSEEVGAAATLVFVSHDPQGGLDDNQHGIPLGSAPQQDMSNVEQ QQQQQQASPPEGVSQDHSNQAMALGLNAENHNLQGPVYNPNAQAVTTFSQHHVQLALA LGYDAMDINYVQTVIAVSQNNSQPAQALGSDVMDIDTAHPTATMDSRGRKRSRSLTPE AAGSNKQKKRRSYSLVILPYMKMGKFGAPAYRRPASPLPPRDSVNSSAITSRSASGKS SPRPGSRQRRDDRSRPQSPAPVAAPAPASVQAQTQTQTQAQAQTQAQTQTEAPTPAPR SPPQPAAPTPIPVRETPKQEQRPPAPEPAPTPTPVKSPPRGPAALRAPPTGPAATRNL APPAAPSASPATRHPPQTPSGPSRPDTSSPPVPPSGPRGYVPPSRGGGFAPRGGRGGN SWSGISRHNSVTSNTNGPASSVSPSSGGPPTGPSNANNIPTGPRASTAANAASSVSAS AGSGVVTSTSSPSPSLSSSAKPFNPPTGPAAHAHSHGHHGPRGHQQQSLTLAQSLMAS MPPIIPGVGKLDPSIGSAMLTGVTKDLDPHHRKLMEDEERIREELNAKKEKLRRSLRM WSKMERESKAFELKSDLSERSLKNLAGEGLGGAAF NEUTE1DRAFT_95191 MVVKVGINGFGRIGRIVFRNAIEHDDIHIVAVNDPFIEPKYAAY MLRYDTTHGNFKGTIEVDGADLVVNGKKVKFYTERDPAAIPWSETGADYIVESTGVFT TTEKASAHLKGGAKKVIISAPSADAPMYVMGVNNETYDGSADVISNASCTTNCLAPLA KVIHDNFTIVEGLMTTVHSYTATQKTVDGPSAKDWRGGRTAAQNIIPSSTGAAKAVGK VIPDLNGKLTGMAMRVPTANVSVVDLTARIEKGATYDEIKEVIKKASEGPLAGILAYT EDEVVSSDMNGNPASSIFDAKAGISLNKNFVKLVSWYDNEWGYSRRVLDLISYISKVD AKKA NEUTE1DRAFT_101622 MKTAGLDGDQEDPSFGQLQLLDSSKSGEHECQCREQAALPIDRY RACGYSRERDRQHESGTEPSWQHSSGPFRCILGVFGSVLARGMNRRSHELSRIAPHLP REPM NEUTE1DRAFT_45914 MTSPGPNDSQSERLHPATSSSLSPPPSSPAPLFTSQPKPPSPLP PRITRSKSLPPILPSNTANSAITTTSKPKPTYSEIASLYQYIWDRIDDLKIITGDLCQ RLIALEDTVAALDEGDNMEIFTRKALDMATEGQLIAMIAEQFREMGTKIADRGTDVGL EDGDYGKEGGDGVDIENRHGDENENGNGGGEENTNGNGSGTQKNQGREKRKARVSNPD TDSDPDL NEUTE1DRAFT_101625 MQQHPQQFEHGQLRQQGQLPQQQFGGPQPQNLFMQPGNYQMQMP SPVQQAPHRGQRRVFAQTFQESDLPQQYFEPSQSPQQHAQVFQHPQQHGHNLQQPQKE NAMGTSNSPLCDLNLEDFELDQALMMSVNDDFNFDDFGDLQVQQQQQQQQQNVISQNA VNWPSENLFHDQTSQVAHQSRANSSVSLMTPRADNSYDLFNNNTPVQNSHNSFTIIPA ATPQTSFNNSPGQTSHNSTNTTPVADSLNNFNTSPAGNAGDSPSSFNISPVQDFSAGW GIENNNFGDLSASFSPASENHQNFVDLTSDNVDLTGPNVAFGQPLQDVNYGQVDPFAS FALPVANPQQQALNVASENLHNVHEHQLFPSAGHAQYQGFPHIPTTPFPAQQPQASTP KKSAKKRASLPKKPAAKRPTKKARKNKTPTNDEQIADSEAGDNGYQDEAAISEYLWGA ELLPQDYEYDLDAPLRNFSDSIPQRVPTSKNAPAPASNAISQDAPVEGYAPPAANKVT ENDPLFDPTLASNAILQDIPVEGSAPPPAHNNLFNNDPFITPAPPSNGIENDPLVVFL NQENAKLNAMKQANQAQLNNVTTPEPSSPPKKRKRGNSTRTPNVSDTPAKKRKVSKKA KVKGRLSKSASSAKFGLGKGAGTGLNSPRTMYVFEDRAFYLIPANIMPAFLEFVSTPR EIPVQQQFPTAQQQPLAQQQNFAQQQPAPVQQQPVIEQQEFSQQQPFDQQQPAPVQQQ DLFRQQAAIEQPAPTQEEFEPAYDIEGRLLTLLDDDEVNEQNNDEDDPYAPAPRRPVP LSEAAIAFGFTSLPTERSPSFSHNPLPVQNPPQASSSPAPASAPSSTSSSAASNSNGT NTGNTSSYAILSASSDIVIYPIPESSPRFIPDNREIIARFNLSPTGSGTERDVSHLEY FINLVHSSGLFACGHHDEIPGGFRWESWKTAEENLLALRPFFGTLTTKAWFEQWKKGE NPVQLAEMEEDE NEUTE1DRAFT_101626 MSSSSEDSQDEVYAQWLALLQESVRNVAREEETTTPEQKAQREA ERLAWLAWRDENDAKKKAPKPRKARKTPKPPQAPKKETSRKRKRPTADPENCGGQATT TSSNDPEDGPPKKRSPGRPKGSKNKPKPGQQQAQAEAAQQPTLPREPSPVGQQDPING STGSSTDYFGQRLEAAARSRKTSPPPIPQPSLVRNQNDDVEDEDDLFGDRYVETASSQ SEPMPICAERDQDQDQNDDVEDVDDLFGDRYVEMASSQSEPRAR NEUTE1DRAFT_44067 EAYEWEMAIMVLWSRKEIMPCHMMVENGFIVEANSKYWGKHHVS LGFHVGDDAEC NEUTE1DRAFT_130338 MSSRPRRSAAQRANALITDLIHSDRVTPERTMSSSRSTTARRPP SSPASSRSDANGHNMLTVKVPSNKLREATSGNSSSGIAASRRNRPGAKKSYVVESSED EDDEMEEEEEEDDEEVEEADEIEVSNNAPVLDDDEEEEEEEEEEEEEEDDEDEDVDVD EDGDVDMDDAPARPTITVSKVQATTSPNKAKATAKVPSSSPTTIKAAPKTNYYDDDDD DELSELESEPEDPELEDMAAAEDDAEEAEEEEDEDMDADGDEIEVAEDDAEAEEVELD SDEEGGSRGGTPDISKMTARQRAKVGVASHEYMKLSDEVQAKKVFTAEELSMRRAEMA RRRRNLSEKRNEEVKMETINKLLKKQAPKTNRKAALLNGDETPAGDAEPPKADPTFVR WINNKSGSRIAVPEDMLAGPVGQVFTGGVKRKMVEEVI NEUTE1DRAFT_138517 MPMRLVRKTAKAGSQEEAKKKRGDVNIRLLDVLAAQLCCMTRLI DPNDNGGVIQPQQQPPTKRREGPMDRRDLTSPHASNNQQHFLKDTNTKLPASISHLLR QPKSLPNFGFYQISKS NEUTE1DRAFT_122905 MLTVSTHSPRRSRLAAGGPVDARSVGSAFAGGWVKWVSAHSTPE PTAGTQLKKLRPTAPDSDTHASSFNSTAMGDSEEHKGADTLAWEKATADNNNNNNNNT SQPQTQAQAPSTSTSSKSQPAAQTSSEAPKVSVSLEQARIFLQDAQVQKETTERKAAF LRSKGISQSDIDELLKEDWIRAQSDASHFLYLSMREIPVTNESSSTASSKVAAAITTT TTLPKTKEQHVPIITYPEFMAETHRAPPLITMNGVLNTAYAFSGIAALVYGANKYVVE PMLNQLTEARVDFHDNVKDNLDRLVEKLEQTVSELPPGYKASDGRAGRYKDAYDDDDD DNMSTYEDPTEMFHRDVGIQTSPPPTPSVRAMSLPSRPGTAMSARSLSEIYEAHSRAQ SRAASAISERHMNHTQKQQRRLAELVTSVKEINEGLTSQCEDYDELRTTVDVFHGELE QLALQHYDFTGGFSLYGYTNRSEPNDEIKKAKENIRRVKGVLLTTRTFATPAPGASGR NEUTE1DRAFT_147046 MHNDETVISDVPDMINKAPIIPGHSPSPAVAGMSGSEHNNTTIT TTTVTTDTVTTNTPTTTESVPRPGPVGHGTEYGVQVAGRVTGSASASGIHDDQIGNVP VTGISWDQAGASGISSSSSPSQQQHQQKKQQENEHGLNTTIFASNSLKTSTSTEEQAD TLKSSSVPNTGTDKTPDEQQDIIPLRAKPAPHQPDHSVDHPIDHPGHYPKDPGDPSDD HPVQTSESNRNHHPSCCPRAQPGSRPPASPSTYIRSSPLTSELPNGPDGGDHDSSTQS NPQPPSTMIFADLYKSPRSPLNKLRHSFPHHQPVVLPPDLDADLVSKDKAKQKEAVRK YLLEKIRNDWDFEWVPVTQSRPTEAATTSNEKQQQEKSDIAQPPLDNTIAPKEHGPPR IADGAVAQTFDDDAPRDPGEEADSESDAESVYSTISEDLVHYRPRAEWTSDLSDNDEP PVPSTSSPFRFDSPDAVGTAVKSSLEAKRAKRRRAVRKEASWNPGLACFEARRDAWTG AKTFRVKPKPMCPASPTTTMSTTTRRLSFWRHHRSSESTSAAAVAHPASSPPPPAAAT TNLSAPLSPTTTRNSQQSAQSDAVSDTSARGAGTATDNSTVPSTSPTSPSKPDTQPAY PVQTLLPLPPPLLPPQNPMRASVTPSIYPSLYDKIIVNNMQPSCPVNLADVLRACVVG WKRDGEWPPRSQYTNVAVPPMLVPKPMSAAEIAAQRQRKVALQKQMQQQKEAREKAAR EASEVRERAREAARLQREERGQKAREAREQREEREKQERMERAAEKQRRKSDATAQGG APGAGRRSSIVALVGGVVNHLTRVPTAEDRDRERSGSQSDENLGGGGGGKGGIRRSLQ KVFSLGHGGGQGHQANGNPLS NEUTE1DRAFT_147047 MLSAFTARPIIELKQRDKSKIESILAYGDRVLVGLNTGSLRIYR VNELPPAPPPPPPPSQSANGTSSQQPSEATTESTTQDPNGPSTTTQEYQAAAPGFYNA QAAPRGPKPTDLLREVEKFSTRAIEQLAIIKEANIIISLSNYNGVALHDLQTFEPIEF PTARTKSASTFAVTSNIVKDPDTGIPEIISRLAVAVKRRLLLWSWHESELSPDVTEIV LSEAIRSLTWANANKVVCGMNGGYQIVDITTGQMEDIVGPGAIGAAGGQSRFGATVSM GYMGLGNFTPKPLSAKLAEGQLLLAKDINTLFINDAGKALEKRQIPWQAAPESIGYSY PYILALQSPTKGSLEVRNPDTLNLLQTISLPGAASLHFPPPTVSLAHAGKGFHVLSER VVWKMDATDYDSQIDELVKTQRYDEAISILAMLEDALLKNKTETMREVKMLKAELLFR QRKFRESMDLFNEDEVHAPPERVLRLFPKIIVGELGVDEKKPEEPQEESDHEAPPNGK ANGDHAPKEDEPHSEVSSPQKGGGFAKYLMGHGRKKFDPETSSIVSSRRAPVDDDAAS IKGKTAEEQRAQDEKDLMTAVSELNSYLAGARARLQRVIDPVTGKLKPRKSANGVTEE AFNTLLMSHKDEDDEQLEKDLQETFRVVDTTLFRAFMYSRPTLASSLFRIPNFCDPQV VNERLVEHNRFNELVDFFYGKKLHRQALDLLRKFGSPDEPDEAAPTLHGPQRTVLYLQ GLPPEMIDVILEFSEWTLRKDPKLGMEVFLADSENAETLPRARVLHFLGGIDTALEIQ YLEHIISELNDMTPEFHNRLVELFIRQLREEKKQGKEEEWDSLMERLVQFLKDSRQYS LGRAFSLIPRDDPRFYEARAVVLSNMGQHKQALEIYVFQMKDYKKAEEYCNRIHKSSE HPQPTSPSHPPGTTTITSPSSTEDPSQDEAHPSIYHTLLSLYLTPPSPHKPNLPPALD LLSKHGSRLPATSTLSLIPDDLPVSELESYFRGRMRNANSIVNETLVVAGLRKTGLVT SQALLLLGDGLPKGAVLPGGGSRVGGRNRRVVIGEERVCGVCHKRLGGSVVAVLPGGE DAVVHYGCLGRSQQGKGMGIGELASPGQTGSVKSGASGTQYGAWGRG NEUTE1DRAFT_64832 MKFSHSIQFNAVPDWSTHYIAYSNLKKLIYQLEKVIHLSSGGDG ESRPLIQHEDPEIVFVRALDVELEKVLSFYTVKERELFEEVQNLLRDIDAFDEQSGDT DQERQLRPPTRGSEQQRPLRARSESLPSRASTDDGSNSDQSDNENTGLNTRGKQRRLS PGRRKSSTVIPGHMMSSIGDMTASTEMTRSRRLSTTFDDDYAEQAVLFSSGIMLKKRI INLYVQLCELKSYIQLNRTGFSKVLKKFDKIIDRQLRSKYMDTFVDTAYAFRPETTKT LEEQIHLMVHAYMDIVTQGDEAAATRDLRSHLREHVVWERNTVWRELIGMERRAEAAS LGHTLLGRDTDPTSHRLQGDDELVLPTKEIATPLGRFRLPAWLVSSTLMTLIIIFAIF FALLFMPIMKKPEQQNCLALLVFVSLLWATEAIPLFVTSLIIPFLCVVLRVFRDADKP HHRLGAKATTDAVFSAMWTPVIMLLLGGFTLAAALSKCGIDKRIATFVLSKAGTQPRT VLIANMAVAAFASMLISNVAAPVLCFGIIEPMLRNLPAGSNMSKAVIMGIALASNVGG MLSPIASPQNIVAIGLMEPPPTWGEWFFIVIPVGVISLLLIWVLLLLTFQPGKGTSIV PIRPVKDRFTGVQWFVTLVTVATIGLWCASHQLEAEFGHMGVIAIIPIVLFFGVGILT KEDFNNFPWTIIILAAGGLALGKAVNSSGLLHTVTRLITEDVQDFSLYGVLIVFSSLI LVIATFISHTVAALIILPLVYDVGKGLDEPHPNLLVMAGVLMCSAAMALPTSGFPNMT AIMKEDPAGQRYLQVKHFISRGVPSSLLTLLVTVTVGYAAMRVVGM NEUTE1DRAFT_64833 MASSTTTFTATYTNSTTLSPSFTLTKDVPTTTSTNSTSSSSEMT TSDRAKYLHELRQIAATFQDDINRELTQRMEEDNKRAGQNGGDDQSKGKEAEAKEEEN YGEEVVEEDE NEUTE1DRAFT_122911 MQPMSGRGTPGPTQRAPGSFSPLPASTNSTVNALAGADMGLVRP HRPSPGPVRIISASTSTSDDLTPLTIPRPSDQPTAPSPQPGGRSDASGFGGRAGASPE RRGGGGTTPTPGRESATPIYSSFTSPSNSASAPSLQTNFSRPTLSTTAALSTARSVAG THSPIDTAPRNGPSPLTLPPTSATSTTSTSFSGRVGAHSRKHSANAGLFEPTLPSTST SNLDQIQAESPKLGPTPSQAQRDMSASHIAAQAAVSKSQLTQQQQQQQQQQQQPQPQP QQQQQQQAPPYAHQHLVHLQHRQRSQTIPPSGEHHEQTSVANKRKSGGPMSPPILSLT EASAPRDNVFGSQGNHNGLAGNHTLAATAAANVVFPRSAQSSPKLPAQPTNPLTPTPP PVAAEKPAVKSEKSKVKLFSRPGKSSSKAESSKEKPLPSPGKLGHAFSNLQRANYSTT SLESNMQQPFYAHGNSSTATIRPAEATEKEVKEKEKKHGHFLKRQKEKLIEAYHLPLS SASSNSRPTDPTAPSSLYNFNLPTSPGPSSNAFKSGLDLRHGGRALREKKNKEDKSLD DAASSYNPGGDWPGPSSVSSATGNLASALFHNEPFDSQKFGLNNMTLDDAWPFLRAKL LVIFEAEDLRLPVEDLNRIVTMHIQYCISRRSPNIIIEDIRDFLTTGFSSLDQSLKKT PEDRLIPALVELWIFTFTSILPYLQAVFLPLDMEFAGSGPLMTPDQARDFWGGVPASY GLSISASSVLDIRRLVLLAFRDIVILPRYDTLKIMFSRLSLEFLPQSLASMALSSPVP VPTSGFQNTAHNQGGAYQSALSTSPSQESQLSLSFAGSLPATMTLGMGAGFGTAPPRP NTSMSNPVPSVDPSYASYNSNGMGTAGGGGDTPPGSGNRSRTISNVSFGSDHGNANRP FTPSSIQALGAASAQAAMSTPSGVGIANLNLNMSTPVQQFPLHVAPSIASIGSNSIHG SLRDPTGGGGGRTADQNVEDSKQVTEMVGRMLQCMSVLASVSAPTTPSFTSSIPNQNP HSSTGNLTSYNTYSSSQDSVATTTMTNATVPASPSGSSVAGGLPPLVQTMSSPSQFSS PSSPATPTANSPGPLPPRPSISSLSASLATSGISGAGNNSLPNTPTAANATTPTTPTA PANTAATAAAAGAGGPGGGTGGYGNVPPDESSRMIEELNKLLKLNWLGRGRTGRNRRG IVGGRVKRAGAGSGSGSALTFSMGAGSGMGYASSSSYGGYAGQGGGGGGYAGSLGTGP AGVSMNSLGTTGTMGSMSIGTVGSGFGGGLLQGQQAERDRGGGWTGTGSGSGLGTSAS IIAGTTGTGGMMSSLPIGASVPATAGTVGAGAALAGAAGVSMPAAAGSLSNEIVVDN NEUTE1DRAFT_117292 MVRVCRAGRNRFIACSCICPQHIASFERQGCATSCQYFTTRGHK RRIQVGQRQLPWQSTRHTESSRAWLSDI NEUTE1DRAFT_138524 MPLQSGGDRQAVERQLKIAFAGRLLLCCCTHEEEAERVGGGCDD DSGDDSGTGCVGSFGTDLAIQGSKSPRTGLKLGRRKWQVSGGVRCTQSQRRGPCLAAA VARRAT NEUTE1DRAFT_64837 MMASMPIGPSFSPHPGGMQQHPGAPPGHHMAPGMAHNPSQPGAT PAMAHQMVAHLGVSGPGPQMSAGALMGGMPPGTPNAHVAMQHLNPQQMAAYQQQINGM GFPNNPAMQQQLQQVRLQQLQQAQHQRIMQAQQAQAQYGNLGGMPIGVPGMGQMNPHM AQLMQRRAMGGPMPMTHQMQQHLAQQHQGQGMNPNLIAQQMAMQQQQQQQQLQQQQQQ QQQQQQQQQQQQQQMAQQGNNPGQHQINPQGPGLNMQAQLAALHTQQAHAQQSAAVQA AQAQAQAQQGGPGQPQQQPQQPQPQPPQQPPQQQPGQPQQPTQQQQPQVQPGPGVNGP AQNQGPAQGPTQQPNAQQQVSPQTPQTTQAQQAQLAHAQVQAAANVANLLQQKRVDSA NLKGQCLLKLNSFNEHLNGFTGSQGADGLKYWQLFVQRFFSQKGVFRQTFKKREDEAA DPKPYEIDVAALPRFFNVHFESGVSKMQLVMQGTTDRSLPHDGHFIEIARASVFYWYD NGSHVVHNGTLRIQFDSDQFIELFDFVVENHEEYHSRRAIIEAARPSHTWIKEWRSLN PPDSKQSPEMSKKGKQRPYKSPATPPPDIELPDSCVKIGMGIPEGVFQFLEMADIMGQ MSPLFTFSHNHPGISPYAALEQFMTQITGQGPAVNGQAMPQGVPRTPGYNQFPMGASP AMANQMLPGSPHIGSPAPGQMQAPAMQLQVSQQGTNSSGPSANTSPQQNNKKRRASQA KLEDDGPTSAPTPATIGTPQMPQMNGVQVKAKHPPTPRMQKRMKNNQG NEUTE1DRAFT_117293 MRSKFKDEHPFEKRKAEAERIRQKYSDRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGDFETA NEUTE1DRAFT_117294 MASRRPTLRLFAQCKTKPQFNHTWTPRRAASSFQSSGSARQAFS NSRNYLTLAAAGLAVATTLGYKMAVEDPIRCDSPTLAERDQQIKREKGVNDASPMRLR MEKFVKEQQKEIVRALEEADGTPFRVDEWQRKEGGGGITCVLQEGKVFEKAGVGVSVV YGTLPKPAIIKMRANHKNLAQEDDIPDSLEFFAAGLSLIVHPKNPMAPTVHLNYRYFE TANPDGSSGAWWFGGGSDLTPSYLFDEDAIQFHKSLKEVCDAHDKEYYPRFKKWCDEY FYNKHRGECRGIGGIFFDDLDETVSDKENTFAFVQDALKSFAPTYISIINKRKDMPYT EEEKRWQQIRRGKYVEFNLVHDRGTAFGLNTPGARVESILMSLPLTARWEYMYEPAPK SREARLVEVLKNPKDWV NEUTE1DRAFT_138528 MASPNEHHHGHSDPNPDLHPPGPASPHPQPSYQPQPQEEAKSSS PPLHSPQISTTPALDLPTSRQFLTSLITAISNIPLLDEPPTQIHKPAPGPGSKPQSKA ADVPATETETTIRRKQLLQILKDEGDEGREEDDHDVTIRNKNMNKIKIPGGQVNPLKL VPPEYRHLIITLHVLFPGVVLPGLEVLERGLVERVILRRCAAGEGVAAAVVKKKKKKE EEEEEEEEDEEGRQKEQMKEGDMDVDMDMDMDVDVVMEEGDDNRKNDDDDDGDVTSPP PAEDETKDGGKAKKNHHKSLWEESTEAKVEHHPRSPPPEFYLVRSSQFLNVHPKRKRK YPSSNLSGLYGDADTEGIPSGVGSEADRERDYRIQRYMVSLEAWNCTCAAFAFACVSE PEAGDDAKETGGEELQEKRESDNKGSAGWTFGGMTLFNARDHSSVPPVCKHLLACLLA DKWTRALGRYVTDRVVSREEMAGIVADV NEUTE1DRAFT_64848 MPATTAETLSLVNRSVSVAPLVLLSVVDHYNRTQANKSKSKRVV GVLLGQNDGKNVRVSNSFAVPFEEDDKDPSVWFLDHNYVESMNDMFKKVNAREKLIGW YHSGPKLRASDLDINELFKRYTPNPLLVIVDVQPKETGVPTDAYFAVDEIKDDGTTTS KTFVHTPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITNQMRSLQGLHHRLRDIQA YLQKVLDGQLPVNHAILGNLQDVFNLLPNLSTPKSGPGATGTNADSELNHAMSIKTND QLMAIYLSSLIRAITAFHDLIENKIQNRQQQEENDAKKKEGENGEKKEGADKKEGSPA AANGESKEKENSPKEKKK NEUTE1DRAFT_95201 MKFSGLVLGALALVSSAIAVDIQKSVIITYKENTPDSVIQQDKK AILDDGGVITHEYTLMKGFSAKVNAKTLESVSASSESYATIEEDKVVSTL NEUTE1DRAFT_122918 MGFIDDEVKRLGDVIASLEDRVRSLETRDFSGKPVTTAEQLRMV LMGPPGAGKGTQAPKIKEKFNCCHLATGDMLRAQVAKGTALGKQAKKIMNEGGLVSDD IVIGMIKDELENNKECQGGFILDGFPRTVPQAEGLDAMLRERNLPLQHAVELKIDDSL LVARITGRLVHPASGRSYHRIFNPPKDDMKDDITGEPLVQRSDDNAEALRKRLETYHK QTAPVVGYYQNTGIWKAIDASQEPAQVWKSLLAIFEGDKAKASSAGSGIMSKIASAAK SS NEUTE1DRAFT_117297 MSQLAKVKSKAREPPSLFLPPSPSASHASLSTTAGPGQGYPPGP GPASPLGPGRGGASLLPSPTVNDTRRGSVAANAATTTTGSTTPNPATALNLSMPLHLP RGESTRTTDRTDALWAEMQATLEEVELSASGGTRVFGPDHEQKLAELRQAQIALAQAW ARSEADDAVETQNNGGAASVIGGGLAKIKSSVAGTEHGGLGGKSEAGGTTGGPEGGGP KSTGGAGSTRPSSAGGPEKLGAKLEQETEADILLARKRREANDHYFSRVNQGVLDVVA KLEDVAVAMRAVEQESKDIWADGEDNSNPGSARANV NEUTE1DRAFT_95203 MSGGKPRGLNAARKLRNNRREQRWSDLHYKKRALGTAFKSSPFG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLLALWKEKKEKPRS NEUTE1DRAFT_83541 MSSHRLLTRLVPRQTFSHTTTRFFTKPSCSSPPCLGIRPSRQSK ALTKPRLLSLETLHNYHTTNPLLSQPEIPTTPTSATLPTSAVPSSDPPLPEYVPPTTG LLSRLPPSWVPYAELARLDKPTGSYYLFFPCLFSTLLAAPLAGPASPASVIGTSLLFI SGAIIMRGAGCAVNDLWDRNLDPHVTRTRLRPIARRAITPFQGLVFTGSQLLAGLVVL LQFPFECFWYATPSLLFVASYPLAKRVTYYPQFVLGLTFSWGAIMGFPALGVDLLANQ AALTAAGCLYTSNIAWTVLYDMIYAHMDIKDDAKAGIKSIALKHDKETKQVLTGLAVA QIALLAGAGAAVGAGPAFFIGSCGGAALTLGYMIKKVNLKSVKDCWWWFVNGCWITGG VISLGLATDYLLKYYGQEKLEKKPEELESA NEUTE1DRAFT_64865 MPAASHPQAKFDPIPPDLDLHALVEKTPNFHWVLRVSAAQIRNI GPQEFERLVYLHVIRGGKPLVIEKWNDRLPKTLFSAEWLEGTYNKKQENVRDIVAQTD IPMTMGHYLRSMKQLTNQWTPSNFRDERRQRLYLKDIDCPPEWQERLQKIIPTNLFYM NDNLDKKVSRRFDDYDYVEEKSCAPAGDLMSSLPEEMRAQNLMCYVGHEGTYTPAHRE MCASLGQNIMVDASGDENGEKPGSSIWFMTETKDREVVREYFLSILGHDIEIEKHFAQ INAWKKANFPVYIVEQKVGDFVLVPPLAPHQVWNRGTRTIKVAWNRTTAETLEMALHE ALPKARLVCRDEQYKNKAIIYFTLQKYYNQMIEMEQNADIGLLGFGQDLMKNSFRMTQ IAKDFKALFRLFTEILVDEMFATKEKEIEYIEFDSCITCSYCRANIFNRFLTCKHCVR TLVTGDEDTYDICMECYAMGRSCLCVSNLTWAEQWKWSGLVDCYEEWRALIIKNDGYV DYDTSPLPLELARRKSGKKSVAQICQEQLRRRPWKDISKLDKPSEPENSEPEVDENGK PKKKPRRKKKKGDVYRCHVCQHKDYTYKLAFCSNPGCLEAYCYGVLYRAFDLMPQEVL QNERWECPRCLKICNCGACRRLKNGNVPYHPKNTLLGHDTRPIADDRSVETLVDFKVH NLNWLKTSGDDGRNVQSKRLQKLQQQAEAEKAKNADVHIQVPALPSGEQATGAENMDD ALQQSIADGLGEGQERPDVEMTGASADQPNVAQPAGPAEVADMSVAPEDHDQSAYPDP SMFGQERMLGMGYYQQDDDSPDKILFDPYQRPTAENYVVDEEPELMPEYLKKQLRLAK RRARHAEDDDPDFAAPRSHHRKKPKLDKTSQPPDTRDGQDDALENMDPALFDQTMTDV GGDIRDGSAQAGASQPANAQPADEAAEESRQARMYSPNVPTLRHARPKVSYRVDEDNE EEFNDILIPRSQRPEYRAAAAPASVVQSIEGPTGPKDPLDLASAAVLAITGAGGNHSN EASQELGSTRAAAAPTGPPKLRGPHKKRGRPPGRPRKSEAHHTEDEESHEAPEPTRRR GRPPRASLAAKEPADSGHKSGEENDDEDIDAQLAQELDGFDENGEVVERDADAPQAPK RRGRPPKNGVKKVVVEVTTKAPMLSLAERMKLKGKKFKIGERKSTGGTPGKVIAKPVD SVEKSASPAGSGRAARDAEKETSLPVRESPRTTRTRTTSATRHTVQPAEEESHHSESE PEAEPEKEMENKKSPEPPARRSASQASVRISPPRDEASPSKSPERSPSVSASSEPPSR APSTAAAPSVTRISPSPSPSPVRSPSPPPPPPKPAGPTVVRLMDTEDEESDYGGSYSG SRSPSQRSQSERSGSVASGTGSEDEPSSDSDSDDEDIPARKESVRQSFASRGRGGGVG VVSRGRGGIRGRGRGRGRPRGS NEUTE1DRAFT_117300 MFARISTASALTARQTLVAAPARRTIASTASLKLKESSNTDPDP QAYEKHKQESLQKQKEGKGQWKPELASNSEENVKADRLSDAEFAEASKRELEKHTKN NEUTE1DRAFT_83548 MTFIDNKNRPPLGFQGEQQGPSASTLGVFDRRDCRVPVHHFHDD QRKSDANQQQ NEUTE1DRAFT_101650 MQSACRSPMRTIQHSLRQINDLTRGKVWQATKGQQEQAPYLGSY IKLRHMQVRLEGHDCLSLNFWKLGGLLSGVSLERVVKTPASSSPISGKLVPDRVSVVV PSVSAPRTPLRFADDDGRVRAGFQPSEPSYRGSYSQLRHSISSTGLAGSQPFRVSLSQ RSQVNYNLDAPSIMFLAVSSVKWDTRVSVRQEDVCQN NEUTE1DRAFT_45453 LVLFEGRFCESLSRHPVLRAEMEQGHKRHGLCGFHQPFQPSVPF TPFPFVDRAAPLARGAQAIACHPDWFRPMPETPVR NEUTE1DRAFT_122926 MSSYYHSYAHQQPTAAAAPAVSHAHHGGRNRRAPRLSVSQNTHK QFRGVRSMKDLSESVSVTSYRTKYEAGRSFDLEDDMEFCPGLLTDDDLVSIHSASSER SSLASNSPQSSPTQQPTTIAPGFSLNSPYLPPVYQSQQATLKLHQPSATRGRNAIPII NPATGITMTSPPPSVSPARMQQPQSLMGARPRW NEUTE1DRAFT_43733 MPPSSDDEIPLSRRGAHTFDLNRLESRRRATFGRAAGGTSTSST NEPPQPEIIPIQRDTEPSGSSSSPVSSSFITATATATALSSATTPSASNHEKNFKEQQ RRRLMYLQETRQNQQQHKRQTQSQVGIHPLSKSFTANAKNREVLDLDTPPPPSHVLSS PSNEAHTAASILEQQVQQEHQQAQQAQKSQQHQQQPQQQQGHRQQQDDNEIAIREESL ELLENLASIASDDMNRGNNGGGGAFGRNDRDDGSNNNNNNHGNNSNKRRAEVDLERPT TRRLFSGITTPGSMSGSVFGSSDSVFGPSGSGVDVGPHAPVSGASPPQTPTRPASFDV REGPGSGGVAGNRGGESPFSPFSRGASPMPQAPFSQAPNFFDQPRTPGAVTMTGAARQ RGQVAPERGERAGSVASVTSITSRNGPPMANPSLPNLPPDGTNWLTGRIVVVSVGAER RKWNVHEQLLSYNSPYFKRLFNPEPELVEGSSSSSGDNHNQSTAAANAAPGEPIEEVH LPAAEPKLFALLIRWLYGTAFATSGGQKVFKFPPPQLNQVTVRDYLGLYILGQTVQLP GLRNACIDVLYHYYAAETEEVRVPDLHDVQYIFENTKHAPDSQMRRLLVAHCMFHLFG AKRRGPLPPDWQEVIEPRCTVSFEMFKMLADWKWVIGENVPTMKIKARHAFHEKPRPE ELLPWHKAQTQDEAGMPLVGVVKAEPVDE NEUTE1DRAFT_15555 ITIKEIHPTFGAEVPGIQWGDNGVISDEQLQELKDTYGFIVLRA TPLTDSTHVSFSRLFASGPLDDISRFLPPGRVPRYYPHLELFDASNLSDDGRAILDPS SSPRAMLLRANSLWHSDLAYNPRRSSYSLLRAVELPSRGPETGEIEGNTEFADSRTAW EALAAEKKRELLTKDWTGVYNAAHSRKLGAPEYFGDLNLEEGPMARHKVVQKHVESGR MNLCVGAYLWRLEDGEGETASGSEEIIEFLNEHVAKKRFVARVRWEQPGDLVIWDNRA VLHRAGEFKGVGKHRGRRDMRRTTAFDQGPTAWGLN NEUTE1DRAFT_83556 MAANYWESTQRKHWLFTKDELAAMRAKLEAEDPNLVASFPLPQL RHLNIYFNQQSPTYFGSTLEINRLGKRMGLRQQALATAQVYIKRFYTKVEIRRTNPHH VLVTALYLACKMEECPQHIRLMANEARGFWPTDFQSQTEVARIGECEFYLISEMSSHL IVHSPYRTLTSLQGELGLAQEDVNLAWSVINDHYMTDLPLLHPPHVIALTAILLALVL RQDPSGRLPGTAASASGLVAASAALAQAQAQAQARAAMMAGGGQTVPGLAPQSSSGLQ AMLPPQSPAGEGPAEGNKNPRMAKVHRFAAWLSDSNIDIEAMVDCTQELISFYECHEQ YNDKNTREQINRFVKARGLDK NEUTE1DRAFT_83558 MKVSHVLAELQAGMDESQNQRDKRVEELWTKLDPQRHGELDFKG LQKGLRRIDHPPVFLVAMQNADHMLKDIIKVVDTSGDGKIQYEEFRNFVETAERQLWL LFRSIDRDKDGRLDKNELRSAFQKAGLTVSNKRLSGFFDEVDMDHDGYISFDEWRDFL LFMPTTHNHEHGHEHGHHASALEAALSFYSSIVTVNAEGDSLVSEETLEGLGTTGFLL NALFGSLLKIASPGATTTPTSQSPAPDSKSPLSSPSSHYPDGTAEPGAGANMAPSKLA PVGTATPEQVSADRAAHGQVIKEMEGEGQHDLRQESDTSLKDEEVVKSGLTGLLPDAG YFLAGAVSGGVSRTATAPLDRLKVFLLVNTKPKSTTTVEAVKSGQPLSALRNAGGPIY DAIRTLWRAGGIKTFFAGNGLNVVKIMPESAIRFGSYEASKRFLAAYEGHNDPSQIST VSKFVAGGMGGMTAQFCVYPIDTLKFRLQCETVEGGPKGHALLIRTAKNMWADGGLRA AYRGLGLGLIGMFPYSAIDIGTFEFLKKSYKRAKAKYYGVHEDDAAPGNVALGVLGAS SGALGATVVYPLNVLRTRLQTQGTAMHPPTYTGFVDVATKTVRNEGIRGLYKGLTPNL LKVAPALSITWVCYENMKTILELH NEUTE1DRAFT_117305 MDGATRCGGRRSVTPSNWLIFIFFVVERLATLPTYSPGVWHKRT KIPLDSKGLMSFQVKRRRTLKTYSKQSIALAMVWKGCRIG NEUTE1DRAFT_83561 MSHPYSDNLYSYPGLGNDDDIEEAPDHQHDQRQIQNAFLPVDNF IDNTSGSSFNSPFSPPPLDEDPSLDPSMTGDSKAREAARFSGRGEDVHNADEGDTAHR SSRIDGGYPSLPASSSTYATPSTHPPQHQAAYYPHFPSQVGSSSSPYLPSTSGSPYAA YHHQHHQSISSQGSSPFPLEAPPAYTPSPTSASPTSPLNSHIASSTTYQTFPQATTPS SSTDSMGRPDESTGLLNRGPESMGNPGGGPDNVKPTWTERVRNRLPSRETVRKTLLVL IVAVLLLGIVTPSSNSDHNNNPSSPFHPGHGGGGQGRSSPSRPPVMQYPDIDNESGWL SRYRCRGRTIARPTETYDVSFSSSKHLTINQERLDDDGDSHHYDTYVQIQGEVIVRRS SKNQNTPNPSIVVEIIVNDDRIPIETHWNPNDQALTIKTPDGINTGERNLRLCATVKA TVWVPEDAELNYLQIATIHLGVQLLDNLSLTIETLAKFDAVAGNIISASSGGKDVTYR SSRNKEVPRDTSPDSYAFHPRKCEAGTTSGEIYGVWPLFDSLALKSTSGDIKVGIEPH DTWDQQSAPQSTAAELSIHSNSGDVDFREHGVNTGKPPLKRDYILDVHTTSGNVHGLA VFSSSATVKSTSGTLNLGLLPVLLQSSSSSDNQHPVEVSTSSVSGSTTITIFDPLYFS SSSMSSSSFTSAVATQQPLRNLHHQHTSTSGDFHLYLPTSWEGEIGMTSLSGKLAVGG EDVRIIKSGEKWPGFNRELVARKGPEREEGSRVRVGLTSGSGEVRVGS NEUTE1DRAFT_110901 MPPVDSQIFPNPPTDDPSYQPPSPIQAQVRHRASVLGIMPSSAS NPAGHQHPQPSGLLDGREMGIFDDAEMQHGMVRNHVGRRRSEPGPGRHPHARSRFRPR SAPITIREHGFPSGERMSYFSRRNRSLWTGLSSRSPSPSPSPSPPPLPPRTSTPPGAP TRRPTLTQTQMPGQSQRRIEGLSENIQLLENITPQERWLETTWKKHKKTRNCSKADYD FLMEQIEPLTQLGEEQEKLESAMQNMSTSVKTQGLRIWFRMWVAWVPRTTATSNDEGS EDKLDEISREVVEWDKACLRWERQEFLKWKKQTCGVEDVGAIVDRNLEEEEIRCLLEK QVQWAYELDNLDISSQVAWEMQLSHGIRSAFLRRNDDGRHGQDLIRKPGNFVVPAFNA RDLLELPELWVQLAPGEAGPRNGDTPMGKGGIFVTNHPPFLPTCIVFDGLRLYRTGKL YAGYIEYRTRDLENESASRVRLILCVHRHPHKLGPGNGNKNGER NEUTE1DRAFT_101659 MAPPNQEMSAPSRAAVLQEIEDVDGILGGGPFPTFRGRATSDPR PDAQQGQARGPERQLAAAALAIRQRSRTPSRPQRPRGLDALVEEPFPPMPSLPFRPPN PPFAQPSFGSGRPSRSRTGPADFPQKAPPRGFVLPPARQPPRDVRSPSGSQHMGQPVS SHPGPSLPPPPPIPPKSAQRQVQDNRRPSASGSRPTTSLNQAVLSRSSPPAPPPQPQV SRSFEGHQASSSSRPPVQTPPFEDDVFKGHLNPNNPYGYKQPPSFPRDQCMGIKPHGR PSHPFQGPPTGSRPRPPQHKQQQQQQSKVALPSQSSSSGASARARQAGHSTEPSYFDL SSLPPPRLQAQPGNGHSAQTVTSPVPNPVVTTTTEFGYHPMMSPYEAEAASGMVRARV ESPKKNSYTPGGDHWTSARDMLSPVEAAVACADTRRRTIFMDMGVQYADDHEDDDE NEUTE1DRAFT_130360 MASQSSPPPFQTALLAGALAGTTVDLSLFPLDTLKTRLQSAQGF FASGGFRGIYRGVGSCVIGSAPGAAFFFVTYETTKGFLSTTGSTTTTHTGDTKGQGKG LSPGVQHGIAASLGEIAACAVRVPTEVIKQRAQAGQHGGSSLSSLLHILHQRSTIGLT GVWRELYRGWGITVMREVPFTVLQFPLWESLKKWGRERRQRTGRGLFGDSATGKDDKD VSAPESALYGSLAGGFAAALTTPLDVLKTRIMLSERREGVVSLIKTIWRDGKTKTGSG IRPFFSGIGPRVMWISIGGAIFLGSYQSVVNAFEGTTRKKSSGGELSL NEUTE1DRAFT_122933 MAKKARQRISYVLELPNSSPGGHRLGVNGLAVDRDNAILYSGGR DGTVCAWDVPPDCIAPISSHSAVVDTSSSRANARPKQTTRFRSQTQAHTHWINDLALA QQHSAVVSASSDLLVKVWRPHGSDATEPATIGQHADYVKCVATPSQSTNWVASGGLDR KIYLWDLSGAGKRLEIDVSGEEVREKGSVYALAVNHSILASGGPESVVRLWDPKTGKR ITKFVGHTDNIRAILVNSSGDMVMTASSDQTVKVWSVTAGRCMHTLTMHNDSVWSLFA EDPELGVFYSSDRSGLVVKTDVRGTLGDLDDGLSLAVAQEHDGVSKVVACGNSVWTAT SRSSINRWQSVDTTADIQLPGGFRPQRASVVSTRSRESTFQSGPPMPTGSPTPKREIP AKSILRISNTASFPLAPSRDGAESVATHTRKGSEVITDPAVSVVEPIHHLPEETIEGQ FGLVKHRLLNDRRRVLTVDTAGDVVLWDLIKVRPRSFPKFVIVNVNDVEPEVNTLEAV APWCSIDISSGNLTVVLEPFNCFDAEAYADELTLEEPVEFREDQRINLGKWILRYLFA NLIDEEIRRDEAHRHKLNEVSQQRAAVGRVNPALSIIIPPPPGGIDSQVTTPRANGFR FPPVTPGFAIGLATPASPMGPRADFGTPFSPLDRFSSQLSNRPSAERLDYFSDAINAP DADANKTAQTPAPVAESRASEDKTGKTSTENGKEKDKEKDKDKEVKSPSTPFGKKFRM NMSFGGKKLGRSASNAVADKPVVVDEKAEESESSSNHEKEFADSFHGVIQKIHNEYEK QLIENPDTVVETRICPSLPNDTPVLKLPKGTKVIIQEETSGGSAELYRGTVESVGADV DIIEQKGPQWLGEVLLLNVIPPKDPVKVSFVLYPWQDTLPALTVADGNNRLNANRMLR VKKILAYVAERIDPPADPENPEPDAMKPEEYLELYCNEQLLPNTMSLATLRAHVWKGG NDIVLHYKANGKKEILFPSVPSPNITDGSQRQSQDSPSAAGTAAGQGQVGQAVAAAA NEUTE1DRAFT_83569 MVTPLQVFHRSARAISAREFEDALHSICRPRFPPAFSPRHRHIG CAISGGVDSMALAFLFHRLKQEKTLNASVSPNEQFRVGDNILGKVRAVIVDHGLREGS DEEAQKVAHAIKTKIGDMVDPTIETINWESELGPGVDPKTHPSIEPLARKLRYAAIAG VCLRHNICSLFTGHHEDDQYETILMRMISGRGYRGLQGIPNAVDIPECYDIHNVYQSG YLDDQESTSPFWTRMPTGKRMKKYLKYSLRDQLDPQTLQYEIAYGMRSDGSQYFNEYQ RTHRKWGDYPPVPEVDAEDGGIMIYRPLLGFGKDRLIATCEENGIPWFEDHTNADPTF TPRNAIRYLVKHHQLPNALQKPAILQLAARCRARVAAEEAEAGRVLERLYVHDLATTA GTVEAEMPRFRLPGGPRLSRRSSVWRKRRLVHYRTIAAIVIRRLLSLVTPNHNLPTLA DLDYSVALLFPELRLPDEKEIPTLQGEPKGYMISGVHLDPLIQPNGSVRWRLSRAPYQ AKTPAPTLRLSQSALASRFLKEPSEWAWAGWTKWKLWDGRYWIRLRHRVPCPVRVAPF EAVYQKDFREGLLLGQKVKHKELLASMLKAYAPGRIRYTLPAVYAETDLSGFIQGNES YWPQPPEHLRRAAAGIAEDDHSTPTPETVTTKQLQKHLTKEQIHELLWFYKEWEDEQA ASFRDYDEVWEESRYLSNPSTTSPQSHKNQRKLLALPTLGLSLPGIYDWVQCEVRYRK IDGDLLRRGTSWQRFKFWRTRDAGFSLRRRETLLERREWLGLKRREMVGEVPVSVADA FRSD NEUTE1DRAFT_122935 MPKIGSSRPRTSRTRTEEQRQKDLERIKDYRALEDQVRHHAAKN DYSPDVFALTSKLLRLNPEYYTVWNVRRRCLISGLLSKPSPGSSPSKVLPTSSPTATT TTSSADFSSSLSTEIPPAPESQTTGKSGTTAEEDEEQKQQTRQQDQQNDQQILQKELH FTIPLLLESPKCYWIWSYRLWILKQVIERLPVPVARNIWEEELGLTSKMLLRDQRNFH AWGYRRHVVEQLESPELEGKSLVESEFEFTTKKISQNLSNFSAWDSRSKLIPRLLDER NANDEARKAFFEEELNKIGEAINVGPDDQSLWYYHQFLMLNLVNSVEHPTIAPAFTQE ERVTYLRREIESIQELLEDYDDAKLIYEALFDYTLYLCQLQGRKPNEQEEKDLKGWLG NLKKLDPMRSGRWADLERDFGLKE NEUTE1DRAFT_101665 MTFHTPFPLLQEAQRQYHVCGSPYESPHSSSDSGYGSAPPTPIT PHKKRTLIEADYLWRLGDRNLSDQENFSESDDKNTSDQEYKLEAYNDRERRPGAPGTE AVDIECPDDESPRKRHKPATLPRSSARSHSPLLSFFTSDSGSKIPRGFKTSLSRGGNS STRIPDRFIPSRTLSSNTVERFRTSKSPHELTTPEKLLRHNSATEDAFSSHRRVVATN TFDIGPRSRSNRAASRNRGSVLGPVNTNASYRQDRQFSLGSIWAVGGLAPPAETAVNN GRGHLMRSGTNARLFRTSFPTIKPKAEEELEKHEARLATALGLDRAARVLDVNIIPHQ NESKEKRSNKPHTQWNGTEWVKEGSSPSEYYKLMQRQDTSANSSLEQQKKKQENRSLP TAPFKVLDAPNLRDDFYCSILSYSATCRTLAVGLGDLLYGWSESRGVRLLNAGTQDDC HLTSVAFSSADGHKAILAYGRSNKTLGLMSLLDDADPATSRAAPIPRFEVLHPSPIAC LSWKPSCTMRPSGCPSTPGVLVATEDLLVGDEAGRVWYYSVEWPDRWEVERHNWQGQL TLLARIDVHSQQICGLSWSPNGDRFATGGNDNLCCLFETQKVLDMNYARRNMGRVRHT EVSQHSHTQGDSESSSATEIATEIRTTPRPASVIPVLKPGDERYRWLHRAAVKAIAFC PWQEGLVATGGGSNDKCIHFFHTTSGAALATISVAAQVTSLIWSTTRREIAATFGYAQ PEHSVRIAVFSWPDCRQVAAIPWAGEHRALYAIPYPSGPNDARKSGNSGGRARGSNRT AMEGCIMVASSDKSVKFHEVWTADKKATTGGVGMLGGSDILESLEGIDREGDVIR NEUTE1DRAFT_44810 MLIALLALFSLLIAYVLHHVLSHQTLLLKQIFSQTIFNTIQLAR LIGPLDPYDPLTVPDPAIHDSNSASEISEAQARANQRIDDARSIIDFSYAGPKVELED RLRLRALANSRLVAAFGINTSLTSSSVSVHKKFRKLASASINKSRADWQKLYGVCMDF LQREKARYGRTGIGLAECVRCLCFVVVLVSQFGADDKKVDKNLVKRITDEINAQWLKS KEDGGAVKTSDSLNHDLGMLFGNLKTSVAEENGTGKDTYIDPSEALGLIMPQYETLWR VVLLTYVTAYYRQFDKRSLKKRVKDIPSCLGNAATEKEALKLAMEGLRLYPSNNSIYR AATGPGPLKSADVQACHRDFNVWGRDALEFRPERFDNLTPLQEKAYFPFSLGSHKCPA FGGFGNRMVTMLVVSMGRALSPEAGKLNFKDAQLDNHVGISLPTGRDEMENWSWDMVR VQ NEUTE1DRAFT_101667 MAIRGTQECIRPTISKKSLVVGYMYHFSRGDFRGKLVGWCSGHV CVTPLIPPVLPGSHVRYAFEPGSCKSTFFSLIFIYFIFLAHPQLVMIAHLQVYSECKA GEQCLTEPRRRETEPR NEUTE1DRAFT_147075 MSNPNALLLLADHIKLSLLERQRAKTLKLSSDTQDGHISRSLDQ FREGLESLRAEHQRLHEAGDEAKASTLNDTLSSLQKQFDDLTSQFHGYASPATTSTLT QPNDPSLAQDFAHAQSSKPIAASTTTPPPIQRRASKTVRFSSPNSDLEAQASTANKSA RSQLFPYRDDPVLDDDSAGYRDTIDGQDMDNTQIHAYHQRILEEQDAQLDALGASISR QRELSMQIGDELDNQVTMLDESERAVDRHQNALDRARDRVGRFARAANNSGEGKQMGI ILALIIILVLLIIILK NEUTE1DRAFT_147076 MADSGAGVVEVGAQPEDHALASDVPGNPNTDTHTIASPVTGAET GTPVPLAAVQGEGGDITQGGRGELPAAFPKRRRGRPPGRPNRTVKEDDYQENPLVKAW NAAKEEQPLAAVAIRVKDALTADSLVHDTQRAIFNDCPSREYIYFVNGWITARHIASQ RPSYVNGLLIHSRGTDAPVQCTQCADRRTKNALGPFIVCRILPGSFHNSCSNCKWFDN TSACSLYTGPTPNRKRKARALSAGPNPEENTTTTTNGTSGVGADQQNVAPDQTEMAHQ NAQYTSLQLGVRMAAPEADMEGTEDLQGLGHELQDHDAVEESQSGQLSAGNDDDDDDD EDNSVDAQLAAQLLPEIHGHNDHRD NEUTE1DRAFT_101672 MYGIDAWDFEWSLFFSFNRLTQEYSNSSKPKSPKRGEPMSSTIL PLVNEYGLTSCYAFAGLNVPTLHQWQPNPDGILYPKSQRLVVGQHLGTEPSRSLPPKM ENTISIRHI NEUTE1DRAFT_122941 MPDCAHKTSGNSCGCTSTAPAGQSLCAWCRDGHKTIVKNNANSA NEDQDQNDGNVGPEFCRSGCGFKY NEUTE1DRAFT_147077 MTVQDAVDASEHYEIYNTDDIPSLYTIIIDTNPRAWAALNDVLP LTKALANILIFVNSHLAFNNSNQVALIASHTNRAVWLYPTAPDQNPGRQPQNPNEDVE MRDASFTSKQQSPPPANKYPLFAQIERSLLSSLRSLITDTTTEDITSTTTTQISGALT LALAHINKTALLFAPSNSATGPTPSSAGGKSSVSTTSGAGPGGGNAASLPGIGGGGLA GLHARILVVSVSDSSPSQYIPTMNAVFAAAHARIAIDTLALRGSATFLQQASYITRGT FIRAQEPRGLLQYLMFGFGSGSAPQGLAAAGHQRSQQQHGGNKGKEDKASEKAVGAAA KGGAKHGGQKFLGSNASVADLLVTPSADSVDFRAACFCHRNVIDTGFVCSICLSIFCE VPEGGECLTCGTKLALGNYGRKLPVLPSKAAAPPGGGQANGKGTMLK NEUTE1DRAFT_83585 MTSSSSTIIRIPRTDTDQEDDCILGEVVHSGGSSARGLKPLNLR IITTEGEEPYALTLKHDHINDLRASSSACSPTEWQSILSSLFITASPVEGIEAGAEVE TRGKKKSITITIRRRVAGINQRLGALTLVHSPSSEIQLFDWCSQLAISRQTALTSLST SAAQVADLEARVADLKKQLEELTQSKVEQETELLQKFRALLNEKKAKIRQQQQALSSR ARPRSGSRERLSGVIESARSKSRVNVPESQLDEDENEENEEEEGGAKIGKIGAGGRGT GSAKARTNTRTARVSRPGKRKAAKDVPSSDDDDDDDNDDDDHAKFEKMDVDEPEPEPE SEPEPSSKTVTMGQSSSSKTLDHEDVTDNDEDEDEVLNKTSDRNTPDRDDDETASEPD EEDGTVEAGEKDLDVPPPSPPPARRQRTAAASSTTTTTPQKKTTAAVVGKGKGKETQT PISHTKRAGATATRASQPAAAAVVDEGSETESDDEL NEUTE1DRAFT_117311 MRGEQEASSVSELVKYQNRVNVVLWIDGCGACVWITAVVGNLDR VDQRLNCDG NEUTE1DRAFT_147079 METLRLKTRPPVEDDIENWDDDDFLIEGDDFTIHSHSTAANAHP HRRDSHSSFRSDFESIHGEEERQVHLPGDDEKSTLDALAAAERAGIPLPKNVSPSALM GGTIKRLGGRKIRKIIQEDWVDDLEFPSDAGQLQIKNRDSSQFPEAIRQVSGSFQPHT QPPRRTSGSFSGRLEPVRKISGSFGRPEAMRKASNTFPSRALAPQKLTVKPMDVVQVR KVSRPSLTSTGLIDIERFRDKDDDNDFFGDGTETIKVKKRRNTLKPITFLTPATPLKV TPDEDDFEHDFELPMDGTLRLSNRRETPKLPTLNTSDDFEWGEGSLGTRFGGTRRDHA FSTSSVSAFSPSIASSTVAESEDEFDGIILPPGPLNWEERLHRRRQSRSSERIREEPV IVGRVQKKDREEQEDILSGLDIGDGEVFDSKKLTLHRNIKVKDTRGDSPNRPKASVAL KFTNKPVAASRLPRPMGSMGSLVSHERTQTQSSLEPVSETGEADTRSSSRRSLTRSGH HSQASISSVMSSGTSQPAPSTTTTRRNMAQKTTTTSQKAEPTTTNAQLLRMKRSMPGL RPQSQTRSSTTRNYERPPSRTEATRAQSSVRPKTPVERVRNMESTVTTGRKGSVPSVA PFLPAGASASQSYNVNSTRNTRNLRRHDSEGGIDYRPTSRGTYSRASNKRSPSPRKHR SVDFLAAEGNWKQLNKPFRVRNFGDGHELDGFDDLPTSTQAEAKYLRQPVNTGPKAQA RNRLYQNIAPNDRNNVTPSPPVTSRSPARFNDSNVPSFARDTAASRRARESCLAQRNV SGQLAPLTAQRVAQLSTNRGNLHQPTPSAPPQATMKSSRKLRKTPQQKPHLISNLNPP KDSKIVKGMCYNPETFRWEGNDNVLRAFEAPASSPSTASVKVQHAVRERESATPRPAL ITNIGTTKGVQVVKGMVFDPQNMCWLKLGPQNDKAAERGRSKNGSVSEAMDDEDDVFK DIPDLEDHTITSTDGGSGRTSDVKDDWLVGEEFDVGPEFVRRQREEEERWRKKCQAWA TGRSQRGEAWKWAIRDIVKGTVEN NEUTE1DRAFT_117312 MSQSGVQVDPECRRAFDKLMSRQLRYIIYKLSDDFKEIVIESTS EGATENYDEFREKLVNAQTKSATGAISKGPRYAVYDFEYKLASGEGSRNKVTFIAWSP DDAGIKSKMVYASSKEALKRSLSGIAVELQANEQDDIEYEQIIKTVSKGTAA NEUTE1DRAFT_122948 MQRALTRASVGKAATRLPAQQLRFAHKELKFGVEGRAALLAGVE TLAKAVATTLGPKGRNVLIESSFGSPKITKDGVTVAKSISLKDKFENLGARLIQEVAG KTNEVAGDGTTSATVLARAIFSETVKNVAAGCNPMDLRRGIQAAVEAVVEYLQANKRD VTTSEEVAQVATISANGDKHIGELIASAMEKVGKEGVITCKEGKTLYDELEVTEGMRF DRGYVSPYFITDPKSQKVEFEKPLILLSEKKISQASDIIPALEISSQTRRPLVIIAED IDGEALAVCILNTLRGQLQVAAVKAPGFGDNRKSILGDIAVLTNGTVFTDELDVKLEK ATPDMLGSTGSITITKDDTIILNGEGSKDAIAQRCEQIRGVMADPSTSEYEKEKLQER LAKLSGGVAVIKVGGASEVEVGEKKDRFVDALNATRAAVEEGILPGGGTALIKASVHA LKNVKPANFDQQLGVTIVRNAITRPAKTIIENAGLEGSVVVGKLTDEFANDFNKGFDS AKAEYVDMIQAGILDPLKVVRTGLVDASGVASLLGTTEVAIVEAPEEKGPAPMGGMGG MGGMGGMM NEUTE1DRAFT_147081 MGGTRKSPTKLGQSPAVKSHHARVNSASSAAFAGPSTPSRPSAG GGGAKKDEGTPAKEWNFTYLPPSHEERKKNGRVEGRNLITWSRPRMAEKLLLHIQYEC SRYRVDIPWDSIAHRLHPGSTGSAIHQHLNRLRSHLIAEGHLVPPICQKPGSRVFVDP HVRGYVRANQENPDDQLTTRAVRFDEPMEDRKFNLPDAVENFGRGGGGGGGGGGSSAG TPRGSGTGTPRGARGGILAGVGPIGDGSSGGSGGGGRMRASRGSARGVVKIKREPSPD PADLDSDEEYRPGTKKAPKSASRRSARAKKQVTARSTYADEDDDDMEGYGAPHQSVEY QQGEADVADDEGEAEDYGAEADEYYLRYDSENDGQAAGAGGGAGNGNRNGNGSVPSYV PQFEDEGEDADFEDLDSPPKPAPARTTNHNGYTSNEYRLPPITNLMRDADAAGDDYNE PVYSDPRSPLGLSGASDVLGKAPLMRPDFYNFASALHSGNGNYHSQSTQDSSSHGGHG QESQYSHALSQQGQFQDPFLGQNRASSKGGHMFDFQSEPDDDDDEREQSPSKRAKHA NEUTE1DRAFT_64918 MSSKILSDSESHMDQYLSSPGNTPSSSTDRKVSEDFTGKYPYEP LQSKEHIRLLRLEPPVASSTQNIPVISATLREVNLSAVGESPCPPYKALSYEWGLPPS NPSDTPTMLLDNHSIHIRRNLHDALHSILHNHEKLYGTSPLYLWVDALCINQLDNREK GHQVQLMKTVYKAAEMVIVWLGMGTACTDEAMELLKMEKWDLRQYLKGHHHSSKERQG LTDLYCDATYWRRVWILQEFVLARDYVILCNRAFVSKECAERGPKIARLWSITQLRDR LRTGAHANWPALDLLYGAPVDYMIMLRNWRSQSYGPTLNNWLETVCEHHFEATDPRDY VFALLGISSDGDEIVPNYELSTTEVYCMAISTWEARSRISSDEIFYLDWADLMGIPQD RARELQQELEAEEEDMSSIEYQDK NEUTE1DRAFT_23962 YPYQPLLSENHIRLLLLEPLAPSSTQKSQPICATLHQVELDSKS LSTRPYKALSYEWVVRNEQSGHEWRVHEEQNEVNPTILLDGHIVHIGENLYNALGSIR RLKGAIGGSDLWLWVDALCINQGDVQERGHQVRLMKRIYETAEMVLVWLGMGNDLTHL ATKVLNLEADELQDRVAMERLERDELHGIQQICEMGYWRRVWILQEVVLARNYMVICN QDFVTMDKFQRALALLCKHTWKTKLREFVLWLPESPAQEIMALRSSRGTSSSLIQWVR ICVRCRFGATEPRDHVYALLGISDDCEGKIEPDYSRSVRKVYSSAIA NEUTE1DRAFT_44324 MATSLHALRQRLPSDPVALGKKVDAFSERMRFRLWLLTGHREMP LYPQPGGELPFSKGTTTTSLVVLMAEPHFVWCLPTWAVLMDLH NEUTE1DRAFT_147084 MKIKALSRNISAQQAPGNDVTRAPRNLAPELHPFERAREYKRAL NAVKLERVFAKPFLGQLGNGHVQGIYSMAKDKNSLHTIASGSGDGIVKVWDLTTRDEA WKAAAHNNIVKGMTFTNDKKLLTCATDGIKLWDPYTKTDSPTPLATWQEGGPYTALSF HRTTNSFVASSGAGCIRVWDLEHSTAPQTIQWPNHSDTITDVCFNQVETSIVGSVGTD RSVILFDLRTNMPVIKTVLKFAANRIVFNPMEAMNMAVASEDHNVYLFDARNFTKAQN IQKGHVAAVMDVEFSPTGEELVTGSYDRTIRVWRRDQGMSRDVYHTKRMQRVFRTTWT MDSKYLLSGSDDGNIRLWRANASERSGVKATKQRQALEYNKTLVERYSHMPEIKRISR HRHLPKVVKKAAEIKREELAAIKRREENERKHSVKQFEKRKAEREKAILAKLE NEUTE1DRAFT_83600 MAQGEASERVREAQKVVSTDPRQAEQIYKDIISKPPSVTSDAAI REYETALIHLGELYRDEKNTQGLVDLVTQSRTVLSSFAKAKTAKLVRQLLDLFEAIPD SLDIQISVTKSCIEWATSERRSFLRQNLETRLVALYMAKQSYYDALTLINGLLRELKR MDDKLVLVEVQLLESRVYHALGNIAKARAALTSARTSAASVYTPPLLQANLDMQSGML HAEDKDFNTAFSYFIEALDGYHTQEEPQRAQAALQYMLLCKIMLNLADDVNQLMTSKQ AVKYAGKSLEAMKAIARAHSNRSLEEYERALAAYRYELGSDTFIRNHLRRLYDAMLEQ NLIKVIEPFSRVEIDHIAKMVGLDTQQVERKLSQMILDKVIIGVLDQGAGCLIIYDET HRDEAYDAALKTIEKLSNVVDVLYTNQASQLE NEUTE1DRAFT_83603 MDEDEDASMMSVDDISSSTDPLLETAATPASRSVTPAIPARQPA SARRGSRRNQQPTPRTQRVQALRESTPRKRMFELDVGDARSPQRLLVTVEAESSRVTS RSSVSRRLFQHSTPTARSIRERERTTSVTVPLRGVEGDDDDEITRLGEFVTPRPRGRP PRTATPGTALRSETPKTTTRPMRPATPGFQLREARSSSKDVLDSDVTIDFSKLGFTPG TKRRISLTPGPSSRAPKRRKGVSSPVRQPSLLGPGSARRKRGRPRKDSIAHDQFSALI DRDLTALKEDDSDHEPGPEAESSRDQQDRNRGRDQNQEHTRREHIFQRVPSNVILGNT GVGAPSSDAPGPDESEGDLWLDNSFNPPQDTPAARRWNGAPRAQSSRVEQLRPEPARQ QTPQLESELSHGDEYVEFADFGGGESHSDIESGLEDDNATPRDTPVQPTETRLPGEEF TMIDPYSLLSMQQNSSYVEEQGHGGAQNKDTRDGDTTSFYISKTIGSLRISQQESDER SSHIRTIDYSVNPNWTTTPRAPVPSYDPLYRYSTGDNRGEAEVVEEEEEEGDAHERSE SLERHQNRPYPGAEQDSEREEQDRDSRRDSQRDRELESELALEPGSDAGSEPKVDSRS ERGKEAESQHEPALEPEESDIWFQKEPRRRQQRELRKGKTHEPEPEPEPEPASEFNTW VRAEQRQEHQYRTQLESSLLHQRQANRTSRLERERELELEQIAGNTPDFEPLPEQRSE SLQEPEREPVSEPHLEEEQEQEQELEDNLEYERQQESEAEARQEQAQQPQSRRVKSPH QQKARSLERQLDVDVRGDVGVDSSNRSVDYDQCEIQESSVLYHGHLRRESRGALPPEP SSPLFRKSQTDVQHQTTKTSIQPSVERPSSVNNTLPLHASNSILTPDKTPSPVPPEPR DYDFQDDFGTHANVEADIDDAELNDDEQRQPTESTEPTPDDEMPSSPPTGSFSRHEQQ RPEPPLCRVRSNSNETPADRLTSLAPPGLPALNPQNLMPPPDSQNRPALSPIIRAGEA LQQVTSDPPSPPGMGSFLRSPFRGVPEVKDSRSPSPSASRASQLQPQSQPQAQPQSQP LPQAQFENARNQPERSGFGLFGSIKNLVAQGAQVLSPRNASRQASINTGESSRQASAT AGATSSMDIAMDDPFGPDPPGSSRGSTGRNSLFGSRMSKRDSGSQDASGTASASASFE KQSQASASLERQSRASSSSDRQAHGYNGFGRQFEGNAGFDRWSQVNAGTGSQRQVFGE PYAQGSTAGFERSAQADANLGGRSQANTSFDRQADASTSFGRSQVQASSSHAIKQPSV TTKFDRQRQANNSFEHQEAEASTTNDRQAQSETSFASQRQASTSSSTRQPQANPTFGS TKRAASSISEPEREREQREHEHEHEAMDWQAEESSHSHEINVTRDRSASASLGFHNSS SHHNSSGEREHTATREENSTRRSGSVQKDHDHREPSEPEAHRDYKKVVEVETVTEERE EERIWGSYGGDARARSSFRESSSNSDSGRRHGRPEHSTLRRSVGEEREQEREREPKAR FRESVEVETVTEEREEERIYGGGDARSSSFRESSHSSRRHGHGRDGHRHGSSRHRSSE ERETTREERERSEARERGRREVTEEIVEEVREEEYEHGSEHCHSGGRPSSGREEVESY RHGSSRSDRSDRGKASSSRQRSEERETREDRERREQGAREVTEEIMEEVREEYEHESE HYRWGGHGEENRRHGSSHSNRSDRGKASSSSSGERGHRQRSSGEREAREVREMTEEIV EEERHEYEEERGGRRSSHVEESHRHWSRGPRGSQSSRASDSRQNDHMRGLKERDTREV TEAEDERHEYEEERKGQHSGFVEESSQRQESHSGRGGDSWRRDHQRSSAKPKRRESEE ARDDFAKEGQEHRASRGSETSRQRESLLGRGKTSSRSDQLRGLGEAQATEVERARHDD FTEREYYNTPHAEESHQREESPLEHRRDEHEHEHEQDHREDYMEEEEEGHHQAQQGEQ AREQHEEEEEEEEDLFLLEAMRSSPAVKAKSTLKENDPASFLPSTHQLQPSSRWHSNK SQMVRDQLNTAADKSDELEEFSLLHSSRAKKFRPALKPVPNKKANLSSFFSSPAPIPE ISLQGPEGNASLRPGPEVLDRQSQANGKVSSGPGALSERSQTLPTGQEAERKLGTTPT GRVPSSQRLSVPVSQKPFQPSPLGTAPVLSGQSSRPLQPAQRVLRHSSSIIGSSSPPQ SGSIYTPVPQKQNFTPVRREPGAKLPLFERGGGTKPSTVTTLPLKDNDSGSGTTPNSI TDKPKQLLFGLKESISKLFSDPPAKSVEYGPENDNEEEQEPEEDMDDYDEIVELEEEQ SSTEESFEPPPLRTLPDKNSSPIKSALRPPTKGHTPGRAVQFANSVRQSPTPRRSADV QQRRPVFGGRSTPVIITSSPSTHTESSPVPSPAGSERIEENAPGGYEEDYQDEEQHEL DDAADTDMDMDMDDDIEWYEHKPAPAPVVQAPRPAPPFPRPLSPGRGRQRQQQQQQEL QPQQQQQQSQVAAAPRQPPAAQPPLIKPTSTLENSPVIRSGVSLFGYGRRKSLPPPIP PSNRNIVTPLQPLYPSLPPVSSFGQQQQQQKQSSQPSTSTSTLTSQHHNGQASTAAST AASTGTSTTTTSHHHQQEQHLPPPTHLWSTSHWDILSSVIHAHRALQSQSQSQSKGKG KQRAAAPTHLYYPHSPTLSSFLHQTYRPSPQQTTYDPVTRLPIDESVVIEQWHLDVVY EFREMFRRAWGTDELYGGVFAMDEQEKGGWSEGELVRRVYAFLVGERRRREGRVDRGR RRRGRGVVC NEUTE1DRAFT_44048 MTITTSEDRVPRGDVVAPLNFYEPPSDGSSPFNNIDPAPGVPER NYSYQTLPVTIHDIRGLESSFSLDNDAFQIVQGLPPSAEEDLAKNGSNFTSDASISQL YYPEVTRLLLDTIPGSNKVILFDHTIRRTSPSAPRGPVQRVHIDQTAYSVAQRVRRHA SSPEEAEQLLQGRYRIVNVWRPLNKGPVESYPLAFASSQTVNDKDIVPVEHRYKNGYT GQTAGVLHDEGQKWYFLSGMTGDERILLECFDSWAGKEDLEEGSKVKGGRVPHTAFED PRQRADAEGRESIEEAGWSIF NEUTE1DRAFT_64926 MASTDVTMADAPSGRPDRRSNASPPPGQSQSQSKRDKRRQMLAE RIATLSDKWSKDRDQAFREQLQKIQIDTSLVMRVDPYVDRPLDSFEEDQRRLNQLNGA DSDGGPRSLLDMAGPKFAKWMENVQDLAEQRDYALTKFKFDYEKKIAEYLNTHAYKTE LANREHKALASTLRDRLINIITSKKYRLNKEKEALEIADASALLLHPNQFSITNPASP GGTHGKRATRLRREMEEMNGMDSKKRKRNMNDDDGSPAPQRRALDPNSTTPLWQTDRL VQRKTTGPIYSIDKLFTDKELSMTYNQSALAAHKYILTHKPRFDDYGRPISSPEGSVS GSGDPDDLDSESAPSAPMMERNVSHATRSNKSGANNPNFDDKLMGLEMLANFDFPGNL ERLTSSQDPKQPPAFPSQYVKGHAKQSEFNTPAALANDDVTGDLMVMHALKQYDQLNG MGANLQTEHGSMKLLQSVSLPVKDRRFVSFVQGERPSENELRQRLGLPPIKEPVEPER SEPTNGLGIGTPSKGGRSGTPSHHQSPAKALGGVSMSRQSSANGGVAMSRTSSRKGRG GRGG NEUTE1DRAFT_45645 MPPPGLLGGGRPPQPQQLPAQMFTTAAQLLDLTDKKLMVALRDG RKLTGILRSWDQFANLVLQQTKERIFVPPGTQSPAQTRGLYADVDRGLFVVRGENVLL MGEIDLDRDDDPPPGYDPADLELVQTLAKQKKAEEKAKEKRKVKKLSTIGFEGENVGE ALL NEUTE1DRAFT_110925 MWHLTLIVLSCSVEGKIPAHERQTVDQAYNPQQTSSSESTPIRT STLGNVVAYNQLNAAQNDIFQRPVPNPQRINPRYIGFPFPDEKNENVIDADLEKKTQI LDIRSGNAKLALCPQGVSRLCWSRVPTPYFPKLCVVANDGG NEUTE1DRAFT_101691 MRPAERIRLDMDSLHKHISTQPPSGGAWDVSNRGWTALPISLEQ PRTWQTTPLTHGAVHLRGFLYHPSRETSFTELLRPQRRQCTPQSTTLVITGAGDDG NEUTE1DRAFT_122957 MSSFLTTVNQRTRNQFRPRASGKGGATSYQLRQYAEATLGGGSL RKVVKLPEGEDENEWLAVNMVDFYNQINLLYGAITEFCSPQTCPEMKATDEFEYLWQD TENYKRPTKMPAPAYIEQLMSWVQGNIDNEAVLPSRIGVPFPKSFPALVRQIFKRMYR VYAHIYCHHYPVIRELGLEPHLNTSFKQYVLFIDEHNLATGKDFWGPLGDLVDSMLRS D NEUTE1DRAFT_117317 MLSRQALLRPARVLNTQVRTYAAAAAASSKVKPPVTLFGLDGTY ATALYTAAVKTNALEPTAKSISSLGNLLAKDPKLASILETPTLSPADKSAIVAELQKS VGVSNETVKNFLATLAENNRLGLLPSVVAKFSELMSAARGEVEMVVTSAQPLDNKTLN RLESAVAKSPYVGSGKKLKVTNKVNADIIGGLVVEIGERTIDLSVSSKIAKMNKLLSE AL NEUTE1DRAFT_122959 MTNIGPLTTTFTPPASCTTNTPQIYQVWKGTASEYIHGPLYTPD SNCFPDGYVANPSYYYNPGSCPKGYTTAPCSRSGLPVTDTEAAGKTQTAVVCCPSGAA LTFTCADDTAQALACTTSFPKGAEVLMGVTVVSDGTIGPHTTVSERRGGIGAYGIQVV LGAMSDGGHIQPSEQDNSGMMATATSLPTTPQPTITDDPLATTTSSSSGGGGGVSTGA AIGIGVGSAAVALLAAGSVGFLFFKRWWRKKRLLRRRRTIENIGTLSNSKGDGDGGGG DGVADLVSIISNPPPVPPKDLQPHYELSGEASSIVAASECGHQPSRHMSRFSMFKCGT PTTPPPGHPAEAEQAHLSPVWPPSASHQSRSGSPSHAYSRPVEHPGHQFAELEAEVPI EAASSDMPSSAEPSLTTPSKW NEUTE1DRAFT_130382 MKGIISATLASVLVGTALSQSSPNTRDESATERVWSSIAWVMHG DRSPLDVPGFPPNLTPLGAQQLVDRGRVLRNRYIVAAQEPDSFDVSKLIGAKLVGLST NAIDNTQLDILTGADSYNFHSALALMQGLYPPSTRVFNGTDGIAAALLANTEILDYPL EGYQYPVIRSPSVDDPEFVYVDANKLCPQYLRSLDSFKNDTQVEKTYNETLVFYKAEW KRIFHGDNIAYPLDLADYHHAYAFYDAVQYLYVHDNATKDQLNSSFIEYMGNLAATEQ REKHGNLTVFGKEEGDKIRAIAGRTMAGKVMEWFDSNFQTEGRTNKLNMAFTSIEPFF AFFSLSGLVDGPSGNQFRSHVPNPSAAMIFELFSKGGSDSVYPSDEDLWVRFLYDDAK SGSLPQEYALFGNGNSQSVMPLAEFRHSMGEIALNSSSHWCDVCDSSASFCLVAKGTT GGDGNKGGSPDSSSSVVSHDGQGLKMDPTIAGAIGAASAIAVIGLGLLAATLCAGLRF HRAGSEKRREQERMIRMLNLGSAYNNDNNRAAAPDADVTYARNGIRHERVGSWEMHNG FGTNPYGTSNTDRTAGLDRVYTNDAGFYSPRPGGSSSKRPVEDDQASYFGAAPTKPRD FSLRDGE NEUTE1DRAFT_45753 MTLTEVRTFTPPRAFGDAISQPVVTPVITTSTTPRSIPELQITL KPAISPSPKARQNSMASTPEEKSKGGVTFAHQDKLPKLPIPELESTCQRYLASLKPLQ THREHAETRRAVQEFLQTDGPELHEKLKKYAEGQTSYIEQFWYDSYLNYDNPVVLNLN PFFLLEDDPTPARNNQVTRAASLIASALEFVRAVRREELPPDTVRGTPLDMYQYSRLF GTARVPTDSGCQIQQDDKTKHIVVMCHGQFYWFDVLDDNSDAIMTEKDIAINLQTIVD DARQSPIQEAAKSALGVLSTENRKVWSGLRDVLTRDPGSNNADCLSIVDSALFVVCLD YTEPTTAAALSQNMLCGTSEIEKGVQIGTCTNRWYDKLQIIVCKNGSAGINFEHTGVD GHTVLRFASDIYTDTILRFARSINGKAPSMWASTSPDPSKRDPESFGDVSTTPHKLEW DMGPELSVAVRFAETRLADLIEQNEFQCLDFHGYGKNFITSMGFSPDAFVQMAFQAAY YGLYGRVECTYEPAMTKMFLHGRTEAIRTVSDDSVNFVQTFWADAPGDAKIDALRKAC QAHVNKTRDCAKAQGCDRHLYAMLCLWQRMQDDDAASTTSDNSMNGYSFEDGSIIGSP SKDSVFSQSMESQSVNGGGRERGDSINSHISATPSQRGGVPQPLPAIFADAGWDKLNT TILSTSNCGNPSLRHFGFGPVSGEGFGIGYIIKDECISICVSSRHRQTRRFVDTLESY LLEIRRILRIAARNAASAGGVGANGTTGNKGMSRAREIDAAAINQNGQEKLARPKIGN RIKSRGRLITGQSSGLIGHGGPTSMYTNGGGSSVGNIKGAGGGSVSSAMEESVVMSED DEIGGYGFFDAGMLQQALKARQQAHYHHHSHNHDSSSDDRSNQSRQRRSRRDVGKKLP LIDY NEUTE1DRAFT_64944 MASHDDKGVKRSNMDDNDQDSKRIKRAENGDAAPEKYNPYLAHL NEDGNGNGTNGDFESPLDAFERHKTTAVQAAQAEDSELNPWTGQPHSQRYFDILKVRR DLPVHKQRQEFLDMYHNTQILVFVGETGSGKTTQIPQYVLYDELPHTNGKLIACTQPR RVAAMSVAQRVANELDVELGQEVGYSIRFENRTGPKTMLKYMTDGQLLRESMHDHDMS RYSCIILDEAHERTLATDILMALLKQIAARRSDLKIIVMSATLDAQKFQSYFYNAPLL AVPGRTHPVEIFYTPEPERDYLEAAVRTVLQIHATEPEGDILLFLTGEEEIEDACRRI SLEVDDMIRESDAGPMKVYPLYGTLPPAHQQRIFEKAPEPLRKGGRPGRKVIVSTNIA ETSLTIDGIVYVVDPGFSKQKIYNPRSRVESLLVSPISKASAQQRAGRAGRTRPGKCF RLYTEKAFKKELIEQTYPEILRSNLANTVLELKKLGVEDLVHFDLMDPPAPETMMRAL EELNYLACLDDDGELTRLGGLASEFPLDPALAVMLISSPEFYCSNEILSIVSLLSVPS IWVRPNNARKRADEMKMQFAHPDGDHLTLLNAYHAYKGAETNGDDMKRWCHEHFLSYR HLSSADNVRAQLKRIMETHDISLVSTPFQDKNYYTNIRRALLAGFFMQVAMRESSNSK VYKTVKDDQLVMIHPSTSVTSPYDWVVYNEFVLTTKQYVRTVTNIRPEWLLEIAPVYY DLDTFEKGEIKSALTRITDKVKRRQAIKGSGSAR NEUTE1DRAFT_83626 MSSNRGFNPESESFEQRPPFYIGQHDVQRHESPSDNQYGQILDS GFNFVTTPITNEHFHQRVIDLYKSHLQEREQWVTERGLTDAQKANPSLPLPTVPTLTD DDTSLFPSSYVGSLIAYASPWIDLCSADPIISDISRQVLNLELAYANFCGSRTIIIPG PRQDDGRAVAQYARAIQEAQEVGGRVNLVIHLPMYREPSLEEEAETLSSLLTGDGSSS SNSKEAVKTDAAKGTEIDLFSTWDSWHTIRTVCKYSGRLFVALRIPKRVPEKDLQERW FSEPLHYLTLDKKIFSLNKAGHPSLTRHHQDLINRYMRLKNHPWLILINVGPSAESLV EAPSKMEVDFPPLSEASKAVKENRKPYAPPYNEYVDYMKYLERHQPPYSAMETASLVS FQDWLQSPLQPLSDNLESATYEVFEGDPVKYDQYEKAVAEAMLEWKKYNRPVSSVTPE TPDGKDLIVAVAGAGRGPLVARVLRAAASTNTPIQLWALEKNQNAYVYLLRRNKREWN NSVTVIKTDMREWEGPRLAANPNIITKLDILVTELLGSFGDNELSPECLDGIQRHIAR PHGISIPYSYTAHLSPIAHPRIFADLSNRVVSDPNAFDIPWVTRLFQLAYVAQKVPKH GRFQQAWEFVHPVEVSRADDFSAQNGRARKYVTHGSGSMYGSSGINEHNARHCHLTFV CPTRGVIHGLAGYFESVLYKHQEEGKTPVEISILPDQIDRKSKDMISWFPIFFPLRQP LYFPQDTELEVSMWRQTDDTRVWYEWMVEVYAWVGPKTRIKVGASEMHSSRKVACIFG NEUTE1DRAFT_83630 MSFVPVNPRPFLQDLVNSFVTIRLKWGETEYVGRLVSIDSYMNI QLSDTKEYINRKFTGALGQVLIRCNNVLYIKKADEAETSGDVKMEE NEUTE1DRAFT_83631 MADPNLDPSPEELEALKQAMGGGDKKKTEKTARQKLMEEIMDLG GKAFNPDSRGDSQARAQAVRDFEALIERQASSPFSPKVPGNTPDWLRLKLREYLTLIP LREDGVRASLEFAFAVHPASTVKLSEAAVPQKRGANITHEALVLASNLLSSPPSTVTP EEWYSGIAPQLFALLDGGEGPELKKVVAYVIGFGILGRKVSGAPGTAGWKYLAEPLLH HIKPPPGGLPKTETGDDDEVIDLSKDKVLVKQKDLVTALQRLHALVVSHPNPGLCKRL LSPLLLPLWALTTWPNSEKPLSEKITEPSSELLKIFLKLSPSAPVLLALVHNLGYVGG FDKKKPEWVYKQTEQGEIQIVDTNRPLQKTGDQYIGLSLPEIDQKIPKLLELIDSTFS EADVSSAFLDLFGRWLKSARGQKKSGIQIIKEEEEEKDPIAQFTEVKLLLAMMEKFPE KLAGQPKHILELVSQVLSEANKDTAEEAGEDEVIRVALSLLNMVITVPGFQKKRVDPD VLRVIESSLEALSKTGDNDNAKTASNLTLLLRYRNEIDALEAADSSSSTTTTGGPTDR QIEDRKTYSLAISYITQPDSPPPVRSEGLNLISNLITSHSPILDIPGILVLLATLLCE PNSSEEDYIHLRCIKLYTQLANVHSRAVLTDLIDRYLDPRESALATVDARLRFGEALL QVVDRLGQTFTGDLAKMTCEALISLASRRGHRPKTMARQAREAKAREQKHKEAEDAWD GSVPDMADPISAEEQARLDILEAIVEGWSSGTSKTGEEDVRIRASALSILANCIETNI TGVGAVLTMASVDLCINVVQVERQLEKGILRRAAVRLIMSFIKAMDEAKQEGRVLGIA FGSETQEQLRTILGYVEQTDEDGLVREYCRDVLESLENWKTNSLVDEVSLSQARGGGF GGGGLTKLAGLVVDPERSVSHGGGESGGSSGGVEMFGGRPRIEEIE NEUTE1DRAFT_101702 MPEWAQPQVGLCFDPWKLTRNTVRSQACRVTQSKRAGPNDDDSH SHRVFQPSRSEHGASPTVLRFQFSRKGMSLVAAQVFGSSAVRRSFESCIRSLLKLCRA WDYCGFRE NEUTE1DRAFT_117321 MWGRIFSCLSVALSAPSDLCLVSHAQERIPTCTSSSRITSTDYV EPRSATYRQRVIGLCVIEIPGQAH NEUTE1DRAFT_83634 MAPKTGACKWRETYPLKNVRGCSSRHLPGTRNNRRPLSRKAVPC PKGPKPQTAKPTSARTQTRPGVKTKKEGVRRPDKYETLTPTQDGSRPLVLFPRNPQLP SPEIQGLQKHWFSTSLAQKHPSSPGTDTDTPRTDTDTPRGYLRHPTTTLTVQTSKSWR TCLALHKKPHRH NEUTE1DRAFT_138577 MSNGGGQGGGYYKYRCKHFYTYNCMNWVWVNYAACATCLAMGRG EESEVVPSATRFEIRVPRALDGMLSYESTWVAPPVFTGLTSTFNTGNPSLGARNSSTT NLSDFTPAFRGSPPEVFPTTMTTSDTPRPVMSTTGLHRMG NEUTE1DRAFT_117322 MACSLIMGMSAISYAALPKQHQSLIWCESQELKTIFFDTKDLAG MVNDIYPSNLCLN NEUTE1DRAFT_122969 MAPMREIAASDDEGSDFDHIDNDDDDDDDDDAIQDATVQHNEAQ EGHQSLHDGSNVSTDLSFFQRVYDEQKAVASAGTYIGTNVTTQGHHQPASKNDQSSSI TDPVPASRRPLKRKIGDNIVDLTEFTQVTTPRKSGNGKEKTNKGVATSGTLQESGSVQ QAVRTYGKRKQAERTQPSPETKDEISRQSIVRIDPYEFPDDGDDDYTIPSIPKKKTKA TAKRASPTSASSLPVAHPNATPDRDDISFIPLPPSENAPSSIVQIRSYQKPISSEENV PTSSLSATAPQRLYIAPSTLTASQKEEYRIVSLSTQGTQDNLATQDNLAPQEHIPPES SLPAVPPSDPYPHQMFGDGLLYAGAGTAYKSSGMTTVVPSNLSDFIRRGNGDGALDAA AAAAMTLTSGLGSGSLEMGTPARVRTTFSASSPDIIGGASPGTRRSGRKRKASQFSEQ DATENSPLMRSQLAEVREMSAEENADFAPGATDTPQASEVLGNDQLQNGQITEQHTHA TLPSSTVRKKRGRKKKEAVQMVTEEDAVLDNSFEATEYEQQEQVPAAAPEPTELEQPP PKRRRGRPRKSDVAATQMSTKQPTPAPEPDEGDELASPAPTTGRKGGVASNTNTRKRK ASAPIVIDDSESDGNLSDPPEELSEADKEAEKDSIEAELEIPPSDEDEDEDQEEELPR KRGRPVGTAAAAAAPRKGKRGRPPKNKVLQEVSASSARKNSRSKSKRQAESDSDDQYQ DQDQDRKKDLEEQEEAGAVTEKESNKDDRGETTPIPDAKPPRSPETPTKEVHEAKDKD VKKEKEVIKPTSFLSTQGKVKYRVGLSKRSRVASLLKVVPRKQ NEUTE1DRAFT_101707 MCIHTISRILCPRCCLSVTLTFTSESKCPSAAALDDGRYDTSVP KEHCLKWTNREIRIFGQEPCHNCKDQQEEVSASVGGGERSEGGEGSKAGKGDDGIKAG EGVKGG NEUTE1DRAFT_130389 MEFNIDDLPVLFPYPRIYPEQYAYMVDLKKTLDAGGNCVLEMPS GTGKTVTLLSLIVAYQQYYPEHRKLIYCSRTMSEIEKALVELRALMKFRAERLGHEEE FRGLGLTSRKNLCLHPSVKREKSGAIVDARCRSLTAGFVKEKREKGENVETCVYHDNL DLLEPHNLIPNGIWTLDGLMRYGEEKKQCPYFTARRMMQYCNVIIYSYHYLLDPKIAE RVSKDLSKDCIVVFDEAHNIDNVCIESLSTDITQDSLMRATRGAQNLEAKISEMKDSD QEKLQSEYEKLVEGLKGLDEGRQEDAFMANPTLPDDLLKEAVPGNIRRAEHFVAFLRR FIEYLKTRMKVRQVISETPPSFLAHLKEYTFIEKKPLRFCAERLTSLVRTLELTNIED YRPLQEVATFATLVATYEKGFLLILEPYESDTAKTPNPVLHFTCLDAAIAIKPVFDRF SSVIITSGTISPLEMYPKMLNFETVVQESYAMTLARRSFLPMIVTRGSDQASISTSFQ VRNEPSVVRNYGNLLTEFARITPDGMVVFFPSYLYMESIISMWQGMGILDEVWKHKLI LVETPDAQETSLALETYRTACCNGRGAILLCVARGKVSEGIDFDHQYGRTVLCIGVPF QYTESRILKARLEFLRETYRIRENDFLSFDAMRHAAQCLGRVLRGKDDYGVMVLADRR FMKKRAQLPKWINQAFLEANTNLSTDMAVGNARAFLKQMAQPFSAKDQEGVSIWGMED LKRHQEKMAEDMIVELKTAREVEERERKRREEEERRRFEEERDRVSDYEMDEDDEAEM MALDARIG NEUTE1DRAFT_117324 MFGLVRNREVVKSRSTLSHVSARWSFYLGSWRGPSFVCSSISKG SSSLLSKQPRVFP NEUTE1DRAFT_101710 MIHSFPTIKLKVLTAYCRTRVHSYIPENREKKMVDEMVVRTSVC NQRQDRPGKKEPCGAVVVFPLRNWKTPFRKRWVCVRRKSSNQPEADPPTSRGRSGATA TTLHYKEMKGGWCNESLRLTDLLTRITRLGQKRASNRRAHHGETPNSTSTCDTFRRGT NEUTE1DRAFT_130390 MALTPPSLTRSPSTPSHSYCPSERSSVGYPSPGLVEQQYKISSI YGDQSCSVTSSMDPGSSLPPLESMGQPDWNSTVILPASSATGMPSILAAAYESYGSYP YSHDVYHSHHSGHPLSTSTPPPSGPSSRSPIPPASRTSLPYLSNASMGGSLTPRVKME TEYGSSMEVSQYPSPRSMHTSYPSDSGAYTHNTAGYLSDGGSSGWQKSDYPPLETEFY PGPGAAQTSAFLQNGQRSYRVPRPKRQQRRLTTKEEANFQCEVKGCGKLFSRSYNYKA HLETHEPNRDYPFPCQEAGCTKKFVRKTDLQRHHQSVHLKERSHKCDYCGRLFARKDT LRRHMEDGCSKRFDIGTMDLRPETYDSFARPSSSGPMSQHYTLSSSTNNIDSLPPMAI PSLGSSGILSALSSSMRAGGGQGHSWGRLLFWRYQKRELSKRVVVILIARLYD NEUTE1DRAFT_122973 MSLFAGQDSRLFCRNPPSYLIRVVSTDPVTQISPTVILNRYMGC HVLFPLPGPSITHTHTHTYFLLALPTPCAATAATNPCLLTSSRRSTCRATSCPPYCVC SELQGSYGEALCIAALTPFLARQKKMVPSWRCIRHPGCLPCHPHPLFCASTACFTSQH HHSQVYTEYLVPTPNTSYRPPIPISGSHPHPFIRQSPLTASNNPIGPPAQSYSASLPA NEUTE1DRAFT_122974 MRTTCLGREQPPALITRKPEAKDNARYVWMWVSYRVALYVIGKQ CYWKLKWYLSILESNFLPSRVFLNPSRSFPILQLKINLGFLSLFFPPISETPTGIERS TLVIRQNTLIINRERALQTNLWGPAPQPLK NEUTE1DRAFT_147102 MSGAEHGVSIVLTLQSANKVTAVAAVTVQKPFAMAESISNPTRI NILGKDNIIIDHGIWLNFVAQDLLQNIKSSTYILITDTNLYKTYVPPFQSVFEKAAPQ DVRLLTYAIPPGEYSKGRDTKAEIEDWMLSHQCTRDTVIIALGGGVIGDMIGYVAATF MRGVRFVQCPTTLLAMVDSSIGGKTAIDVPMGKNLIGAFWQPERIYIDLTFLNTLPVR EFINGMAEVIKTAAIWDETEFTALEENAKAILEAVRSKNKSADRLAPIRDILKRIVLG SARVKAEVVSSDEREGGLRNLLNFGHSIGHAYEAILTPQVLHGEAVAIGMVKEAELAR FLGVLRPSAVARLSKCIASYDLPTSLQDKRIVKLTAGKECPVDVLLQKMAVDKKNEGR KKKIVLLSAIGKTYEPKASVVEDRAIRIVLSPCIRVFAGVPKDLNVSVTPPGSKSISN RALILAALGEGTTRIHNLLHSDDTQVMLNAVAQLQGASFSWEEGDVLVVKGNGGRLQA TSTPLYLGNAGTASRFLTSVAALCNPSDVNSTVLTGNARMKQRPIGALVDALRANGVG VKYLEKEHSLPVQVDAAGGLAGGVMELAATISSQYVSSLLMAAPYAREPVTLRLVGGK PISQPYIDMTIAMMASFGVQVQRSAEDPNTYYIPQGTYKNPETYVVESDASSATYPLA IAAITGTTCTVPNIGSKSLQGDARFAIEVLRPMGCTVEQTDVSTTVTGPPIGTLKAIP HVDMEPMTDAFLTASVLAAVASGTTQITGIANQRVKECNRILAMKDQLAKFGVHCNEL EDGIEVIGIPYTELKNPTGGIYCYDDHRVAMSFSVLSTISPHPVLILERECTGKTWPG WWDTMSNYFKVHLEGEEEPHSSHVSHEKPRKGNPKSIFIIGMRGAGKSTAGKWMSEVL NRPLIDLDHELERREGQTIPEIIRSERGWEGFRKAELELLEDVIKNNPTGHIFSCGGG IVETEAARKMLLSYSQNGGIVLLVHRDTDQVVEYLMRDKSRPAYSENIREVYYRRKPF FEECSNFRYYSPHPDGSKALTEPPFDFSQFLSVICGHSNHLEEVKKKPHSFFVSLTVP NVSKALDIIPKVVVGSDAVELRVDLLEDYDPEFVAKQVALLRSAARIPIVYTVRTVSQ GGKFPDADYELALKLYRTGLQAGVEYLDLEMTMPDEVIEAVTNAKGYTHIIASHHDPK ATLSWKNGGWVQYYNKALQHGDVVKLVGVARELADNFALARFKASLAAAHDKPLIALN MGAAGKLSRVLNGFLTPVSHPALPSKAAPGQLSAAEIRQALALIGELEPRSFHLFGNP ISASRSPALHNALFRDNGLPHQYSLFETDNAADVKDLIQAPGFGGASVTIPLKLDIMP LLDEVSDAAKVIGAVNTIIPVRNGDKVTLRGDNTDWMGMVYALRNAGVVKCSKESPTA GMVVGAGGTTRAAVHALHDLGFAPIYVVARNADRVKALAESFPAEYDIRSLSTPEEVA AESTAQPSVVISTIPADKPIDQSMREVIVASLRHPSVADGKHVLLEMAYTPRHTPLMQ LAEDAHWQTIPGLEVLAAQGWYQFQLWTGITPIYTDAQAAVMGN NEUTE1DRAFT_64970 MGLFSKKSAAPQTQSQDEIDLAAEQKVTFRAVFLGVVASVGGFM FGYVSGQISGFFDMEDFGRRFGNYQDADGWVFSAYRQGAIVALLPAGALLGSLVAGRI ADTLGRRIAISASALFSCIGTIIEIASTTHWAQFAVGRLITGVGIGALSVVVPMYQSE SAPAILRGILVSCYQLFITLGIWTAEMINYGTHDLSSSASWRIPNGISFLWALVLGGG ILFLPESPRYAYRVGREDEARNTIARLAGLEPSARSVNMQIDEIRMKLEEEKAGADTK WYEIFGPALLRRTLIGIILQSGQQLTGANFFFYYGTTIFKATGLSDSYVTQIILGSVN AGCTVAGLWVVKNVGRRKALIGGALWMTMCFLVYSFVGRFVLDPVNPASTPQAGNVLI VFSCFFIVAFATTWGPLVWAVVAELYPARYRAPAMALATASNWLWNFLMSLFTRPITD SIGYFYGLVFAGCCLALAAFVWLFVIESKDRTLEEIETMYNQKVSPRHSSHWHAEVPS GSRDAEEKPEVHSGSATTSSHGEV NEUTE1DRAFT_130393 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G NEUTE1DRAFT_117329 MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVESYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERN NEUTE1DRAFT_122979 MVPKPKITLYLDTVSPFAYEAYHILRNDPIFKNVDIKYVPIFLG GLMNKCSNTPPIKIKNKDKWINVERLRWAHAFSVPIVTDMPPNFPPNTLPVQRVLAGI EASSQSQSAVIAALDALYKSYWALGQPIYEPAQLRSVLASSLGGEEAADKVLGAAQTA EVKQRLVENTDKAFAEGAFGLPWFTCTNTKGETEGFWGVDHLGQVVQFLGLDKGVSGN GGWKSVL NEUTE1DRAFT_130396 MDRGQPSGLGPRHDATSRVQKPESAADRMAALKARVAAAVGGSK AKGGLNTPLHPALADLGAPIKPGDSLAAAAAGRRAAQKVAESFNRKEHRTPSATTTGQ PRANPYLDTSSHGPQGKPKEPRQLIFNQKGKYIAQANALRRQAALEEMKRRIAEQARK AGLDEDRDIEKAFVVEAPPDVEWWDQGLIDGNDYSNIPDSIKVNTPDSVVTIYIQHPV AIEPPQDKLAAEPKPMYLTPKEQQKLRRQRRMMELKEKQAKIRLGLEPAPPPKVKKSN LMRVLGEEAVKDPTAVEARVNREIQERFDKHMQSNEERKLTKEQRHEKLAQNQQKDVH KGVHTLVFKIGSLANGKHRFKIGQNAQQHALMGACIMHPKFCLVIVEGGEHSVNAYKR LMMRRIDWTESVPSLDREPSASGAAVREWLKAEDEQGMLKDLSNNKCQLIFEGESKTQ SFKKWSSKVCETDQEAREFLSQMKMENFWTQAKNTPSHV NEUTE1DRAFT_122981 MNISQPVSSSLDSVEFTFLTDTEIRAVSVRKIENESTFDSLLNP VPGGLYDPALGSWGDSLCTTCNLGQSQCPGHPGHIELPVPVYHPVFMDQAYRLLRAQC VYCHRFRLPRREIHRYSCMLRLLQCGLLKEAQMIDSFGESEFGSAIRQFQLADVPEME DDEAEEEGNNLNDSTIRQREAYVRAVLRDHRTKVTMRDINKGKHEGAAEMRRALVKEF LAAIIKDKKCRTCDGISPVYRKDRYTKIFERDLTQKEKAAMAQAGRKRADALAMKGGK KKDHDDDEGIADIESTASESDNSEGEGEELDENGDVVMADAGSKTKAKEKAEKRALPQ RYISSLEVKERLNFLFQKEQEIVSLLFSSKPRPKHAKPMTADMFFIQTLLVPPNKFRP EARMGDQVTEAQQNSLYKLILRGSSMVAQISREVSETAKGNAPEDGRRARDINALYQA WTQLQDAVNSLIDRDKNPVQGAAGKRNEDGIKQKLEKKEGLFRKNMMGKRVNFAARSV ISPDPNIETSEIGVPPVFARKLTYPEPVTSHNYHDLKNAVQNGMEKWPGASAIEMENG QIINLRNKSSEERQALANQLLAPTNNNFSGVRNKKVHRHLTNGDVVLMNRQPTLHKPS IMGHRVRVLPGEKTIRMHYANCNTYNADFDGDEMNMHFPQNEIARAEALQLADTDHQY ISGTAGKPLRGLIQDHLSVSVILCNKDTFFDRANYHQLIYAALRPESGHIMGDKIELL PPAIIKPIPRWTGKQVITTILKNIKPPNCGDLWMNGSTQVKAHQWGDHAPEEGQVTFR DGEFITGILDKSQLGPSSGGLIHSIHEVYGPSAAGKLLSCMGRLLTRYLAMVAFSCGM DDLVMTPKGEADRREKIKAAAHIGLEVAAKYVSLEEQKPTPEDPLLLQRLEEVMRNDK KQENLDLLMNQRCAQLSSEITKTCLPAGLQKKFPKNQMQSMTTSGAKGSPVNANLISC NLGQQVLEGRRVPVMVSGKTLPSFKPFDTNARAGGYIVQRFLTGIRPQEYYFHHMAGR EGLIDTAVKTSRSGYLQRCLIKGMEGLKVAYDTSVRDSDGSIIQFLFGEDGIDISKQK YLNDFEFVLRNLKSELAHLHYSEEGTQALFEHKDEMIKRMKAAIKSRDTRNPLNPIES DVDPALYAFATSENFFEKMTKYVKENKDGLIKEKKGDSSGIIVRKNAEKILAAKYIRS LVEPGEAVGIVAGQSVGEPSTQMTLNTFHLAGHSAKNVTLGIPRLREILMTASAKIST PAMTLYPNAELSDTDCERFAKSISILPLADVTKEVTVREKMGRGLGYPLAKLFEIRLR FFPSEEYCKTYAIEIADVLATVERKFVPLLGQLTKKEIKKKYKENSAATPEIGVKVGT VEMAAPDGERAVGEDGDADDDDDGDDDATNAKNRANRHEAVSYGPNDDDDDAIQKSLQ RADDGEEEGEERLEFDDEGYGGSPEPEDRNADGDMSVYKSRSRETRIKDKNDFVARFH CDEQGGEWCEMILEFDPATPKILMLNLVTEAVKKALIQQIPRLGTATFVVENKERLVH TEGSNIKAMQQYADYINPNKIMTNDIAQVLEIYGVEAARNSIVRELSGVFKGHGIGVD PRHLNLIGDYMTRNGGFTPFNRMGLTGNVSPFTKMSYETTVGFLKDAVLDGDWDSLDT PSGRLVVGKLGVTGTGSFDVFTKVPTEHHSHVL NEUTE1DRAFT_117333 MMQERGEQRLRKRPKTARNAKDPAASDAMTDPEFWRGATGDSLR PIRGRSWVASPCLRLEPLPFWPAQPSLSSSVLQQQTSSL NEUTE1DRAFT_147110 MPLMPQPTLMPLLQSPSPQHQHQQQQQHRTIGYPQRTLLPQQKQ PQQQQPLAYLTSNFNPQQSSVASFNSSPAFTTISDFDQDFDQDYSNCPSLTASPVSTY SLPAYSSTSQQGSSLSAFDSIPNTTTVPRAAQGAAEPLFNLWADPMQTETWLQPADQL TSKSAGRYGHHRESSLSSLGSAGPASPYSNNTSNPQIAVTDFNDFSGFEDLNYHLVPS KASATLHPSFPGYANSNAVPITGYARAPAVSKQNNNKSFRQEQSVGSNKPRPAPVASS IMSDSSATPAGEAEVSGRQKNAVNNNAPPKFDRTLTDIMNDELYHPDLVITTSAPAPQ QTLTTSNNNFNKVVETANQHLSAMQSPASSNSSPFRQGSPHSATLHDFSSLNMASPQV RLGSAQQMRENSKAVQDAAWQQSLARHNQDMTPKTISPKDALLDYHEPEGESNFPLFP QPDNHGFNSDAMSKALSHQSLDGLPNLGDMSTFNFNFSPSNLDSMPTFNGLQQLPQTL QQYSFVNQPRQPSTVPSLATSRMSSAETGITDSPRSNVVQRPVDTRAEGGTYTCTYHG CPLRFDTPQLLQKHKREGHRQAHGLNSRQPNGMTSTRVDTQAGPHRCERINPSTGKPC NQIFSRPYDLTRHEDTIHNARKKKVRCEICTDEKTFSRADALTRHFRVCHPDVPFNGG KQRKARGGRSG NEUTE1DRAFT_130399 MDEHKERKLTWISHSIARLPSMLSEGRAGRKESPKSITTRQLPI WPIRGARQQQLPVVPRSPYCAAWAVLAVDNKTGTAEPHHEKTPVRPSLCLRSRPLHST MNGDTGLVGGLVERLATRLPHRTGAPAQDLYHDDIISITRSTLIRVSAGSVNLVLDAL LGLLEDLARPYRSIFNHPPLVLLSEIYALELIADCCASHWGPSHTENGLGDSYALSSA QRAALPPPKPLDDVLVRRTFEVIKLLFDPYPDGYTLPAKTLLDETTAKPAAVRLPDEV MRTPVSTPSNEPLESSHLLQLHATAIEAHVKTIAEFVTASSWSASFEYFRNTIYVARQ SVPGPGTPIPNVAAAEEERSALIVLRLTACFWVDLQKLSAVIAEFNSSWLQFRKSFQN TLAVVTPLLITRWLERYPNEFVELHMGRRRRDNAPDTLFDYAQNGSNDNTRRRGLLHP LQTTLLLLLPDVFDVASNLREAKSTSMNKKVTFLEDRKRALRNRNEVSVYCLVSLLRA ARHFDPDSDLALVSYAMDVQDDVRDSVFRRYGLGPDGIFFEQDIMTAAFVSLTHLSFD SCVDSLVDQCLTPSAPHIFKIAVIQACSHFARLENSSKYQPLFTKASAFVQGQLQAMS MLLIQGYVDEQITQRQQIESASMVDMICNILNFLDASPMTLFEGPPYDLNERDRFYQE NLEALISCVVAPDESVRRLGTRVAKRLYANDVVLQSLRASKRLEQPAFKLGFWRLTSL ILMSICDRAGMPGTAPGLKSVLEYLDSRLMLLQAIPELAKICEDIPERTAAATKLETL FLVSLCSAEIEVCQIVTSCIGSFLEECRIIDAAACSASKPSLALLRNADIFSEISSRA FRFTGLVAFQKRNRGLLRRLQYPSAGILNAWETVFERWLHLSKDVSLTSAESMGERVV AEWRNYSGFLASLGGICTAEQAATLEEPAVSGLRWIDRLSSDNHEEPFLNRYLRLSIQ LLACTNVRIRETMREILATEVSPALYQHLFRALESELDVLFTGALEAPSRGQDNEIMF AEQAASLLRSLVERLDTPTELGAASSLHLNSLTYSFAKFLDGVPDSPNSLRVKIKICQ LCEAIVKRKEHLNLRDDVRIRNQLLAYVYSWVTRPHSPRIDAGAFGQSRQDEMIRVQK DLDRACLKALAELTYRLPLQLNDSTNDATTSERKMKLFESYFSRFLEVLDPQYEHMFR SEHTGSSSSRDGEPSMSDLSITIMSNLLSANIDVGLKSALNVGYHEHAEIRTAFVRVL YNILVQGAEFNNLSSTAVNEKYDELLSLLTTDTTLAMAMGAVCPSHEVDELTIALLSI YESRGQSFVLLEALIKQEIEETENESELLRRSCVATKMLSIYAKWKGAGYLKATLQKV LDRLMQTSKDLNLELDPTRVTSPEELQKNALQLEIVANVFIDDICASSARIPPSFRKI CSIIAAAVMPRFSEAKYTAVGAFIFLRFFCPAIVAPEVEGLVATAPSKEMRRGLLLIA KVIQNLANNVLFGAKEPFMFPLNDFLTKNIYRVTTFLREISVEPETMERPNSDESFDF GSCVSLHRILYDHWDQVRQRLASIERRDLVRSPCEPTRGKPNLLEPLRSLIMNLGPPP LAVTWNRPHISANLPPVYSRFQDFMLRNAFRGVESSSTPPPAVYDAGESRDGIQMICI MLRYIDTESADVDAYLYYYLKIASRLWDRPFGIFIDATFYNVQSEPAESLLRKIELLT PTELSKQLQRVYIFNMNSACKKGFRKVFKTWIRNENSIYSPANIEYHLLGSYREYDAH FDHKKFSLNKDTREFMDETLDGFNITRLSKTKGKIDVSLSISKSFVRVSTKKRQEIYP ASRISVVINDAFRLSEVEEAPTSIQTEDDSAFGLRADNGKIVMYFTSPEKAKILSLLR MRKAQYVKDPKQMQPHERFIKPQDVPGTLLNLALTNLASPDPVLRLSSYNLLGALCKS FKFKAASRLVSTKDIAVPSDASQFIIQISQKLAESEPQLTGDFLNEFFASWPSFSDEQ KPLCLAYMAPWVPGLRPSLLANEVDGEKGMEKIAAVLRKLIDIALSDPAVLFQLQQSV WPAIQQDEKLLESFLEEVIKAALNRGFGIAETDTLTSIAGGIGTVTLRGRIISRLRKA LNRSSLRPTKYLPDNSVWNEICVLLQFCLALSFDSGEQAEMFMPEIFHIVTMLANTGN PDVRQVVHRLLINTIHAACTSFALDEVRLNKLKVTLDTLSDPRSDLFTNNVTFTRDGA SISTNQDGGATLTATENLATLLFETCSIAAPTVDLANKWRSRWMGLVASTAFQNNPAI QPRAFTVMGCLAREEVDDDLLYQVLVALRNSIGRFGDDSNSDMLVAIVTSLSKMMSKL PSASRYGLQLFWLAMSLLRLVPPNLFNCTAMFLESVLTNISTTGDLKGEKMVHYLLQG RAQLEEAALLLDEAYGIHFTAENFHFAACACLVRGLTDTVTKSTALRVLSTFLEMTTF TVTTVNVAVANATPDGILSPTVQPLKTMRELCASPYMALILARTLNLDELRDSLWSAG INVNPNSNGLPPFSSVSASVAAGGLGSGLGAGGDPLVGDVASDAVPSPAAAAADTSAI DIRRGANDLDYIKDKDLLLNTAIELVDFQYLEDAVQNRTLMWLNEIAVGRPSVIMHLC GPIISILDDIVLHCQNPSTLESAHELLQTLTNNPKFSGAMEQAAMLNEILDEMGFGGL WRSCSFNLSHQEQDRQCFGLTEKLIETKREDFTFNAVLLETNKDGNTHKRTLSTTYLS HYIRHLVRTIHALSTIDITASTKKRVDGMW NEUTE1DRAFT_130400 MGIPAAFRWLSTKYPKIISPVIEDQPITMPDGTVIPVDATKPNP NGEEFDNLYLDMNGIVHPCSHPEDRPAPKDEEEMMVEVFKYTDRVVNMVRPRKLLMIA VDGVAPRAKMNQQRSRRFRAAREAMEKEEDKQKFVELLKKQNGKPQEEEPVEIVVKKA FDSNSITPGTPFMDILAASLRYWCSYKLNTDPAWANIKVIISDATVPGEGEHKIMEFV RSQRGSPNHDPNTRHVIYGLDADLIMLGLATHEPHFRVLREDVFAQDSRPRLCKICGQ KGHDAMNCKGEAKEKNGEFDEKDAVGKASRSGRSYGGVPLSNKNYSGRNEPINYGPGG GQQGGRGRGGYQGGGGRGGGRGGGYGGNGGGYQANGGGYYNGGNNGGGGGGGYGGQAR DSYGPPPGWQPPPPPGLAGFGVGPPPPPPGAAYGGAPGGAGYGGFGNSRGGYQDNRHA LPPPPPQAYQAQGQQYGQGGGRGGYQGQGNQYRGPPRQDRGYDNRGGYRGGGYRGGGD NRGYR NEUTE1DRAFT_83676 MFSTITAAPAKQSVSETIAVLSGRLNTATLLEDRRAAILGLRSF SKQYPASVASGALRSLIGSLSRDGEDVDTVKVVLETLLMLFSPNEDSPEASEEIALWL ADEFTQRQENITLLLDLLDTTDFYSRLYSLQLLSHIHFARTERTEECVLVAPLGIPRL VAVLDDRREAVRDAAISLLIDLTAESVDIQKLVAFEKGFERIFEIITNEGGLVEGARV VEDCLILLANLLRLNASNQSNVREMGFIARLAHLLRSAYKGSTDGEEVAQWAEEQRNR NIYALLALIRLFLVPGAAGTPANQIAFLNDRVLENTLQLGFTYGVDLPIRAEALAACA DMIRGNARLQERFAGQEVPSPLANPAMNGQGPHSNGVPKVYVIDGLLDLILAVNSLME FDLRMAACNCLKAYFNNHEEIRKHFLTRAIQGHKMQADELTNIFTTLLQPVPEQVTAD PYRYWFAAVLMLHLVHENPTTKHLAMEVTEGDEASGEEVVTSIQTVASHLLRSVARND DPRVIIGYLMLLLCWLFEDLDAVNDFLGEFTNLQGLIQAAVENPNGDIIVQGLSAMLI GVVYEFSTKDSPVPRAKVREMVMSRMGRDRYVDKLTRLRSYPLMRDYEILPQKLDLSL DQKLPDVYLDDTFVEFFKDNYSRIIRAIDRDPGLEISVITNGVQKGISRELVDSLRAQ LEEKDRALEDFAAEKASLTSQLGQEQADHRRTKEQSASDVHRANEAVEHMRVQLGAKD HAIQNIEALVATLKQNLAKEQAEHQRSRAEAARLKTVNDGLQRAHEQELNKLAKESRT KESQLQHQIETARREAKNKEDELTKQVETVRREQAAQLESLQREHSQQIETARKTAEQ ETERAARRFEADKADLKATISRMEVDLMKANKARTDGENKLKDLETRLTKTDEALSAA ERKLAEAEKTVKSTGERVEELEQRLKGVIEEREEVERLLKEKEDAVAEVEKTLKDKEG EMASMRERLEGMEKEKEEAIQKAVEEIRKEVETTKNNDKAEADATTGAEKAAAIEAEA AAKAAAAASEIETRLQKEKEDELAQMRKQLEKEREEAVAKAVAEAVAKAVEEAKKEAT NQVKEVKEKLDEEIKNAQSERDDLLLLFDDLEEKRQKYKEQLKKLGGEVSEDEEEEED EDGAEDED NEUTE1DRAFT_44221 MADFVAPPPGPPPPKVPEGWVARWNEQYKEWFYVNTYTKKSQWE KPTEPAVPPRDESAPAGPPPSYSAGDSKPIVSDTKSNNPYDNPANRAGGNGGGPSSYE DEDARLARQLQAEEDARAAAAANQRAHTPSGQQSPFPSQLPPRPETNDRGGSKGSFLG KIFGAAGKGKHSGSSSHGYGSGGGYPAQSYSPAPYGGSPAPYGQPQQPMYGQQPPVGY GGYPQQGGYGGYAPPQGYYGGGGYPQQQQAYGASGRSGGGGGMGMMGGAALGLGAGVL GGALIADAVNDHDQEIYQEGYNDGQDGDMDFGGGDDF NEUTE1DRAFT_117337 MFQSLTLTQSQHQPNSSNNSLPCVGLLTLVYKTKIAPLVTITMQ FRPVSSTPIMLGNAEERPSEIAIPVQSP NEUTE1DRAFT_122989 MFSEYASKFLSQSQSRLSSFAGQDNNDRPSSRQPGGWTGRGRGL SGRSYYRGATGNPYQPTGSRFGTLAGFGSRYAQDAPLFQAAVEQEEEDEEERDREAAD LFALQRSRRVIPAARLEDSTEAEDDGSASLERSRGYQDALGTRLHGIRSSWNDSTSLS KREHDRRQGGSKLFGKHKRQGSDEDDSTKGAMEDVGLQSTIAEDDDDPPEDLLGEASM GSSPPAFQKFKSRPATQRYSTRRSSVQDSEAGLLHESRRSFDGGLPPDAGLTPAQPVA DGEIFVHDPFFAYIFLIALASLFATFVLVYLHTSGKRPLGDTIYNTITKSFNLLAVDT LVSMIVAFVWLATLRAYIRPLVYLILVSVPIIMTAFSLYPLILSFRSTSGSTQAQDTV MRWASTVPAAAAVIWIYMVFKGRLAIRSAINILEFSSRILAANSPLMLVGVGCLATVV LWTWVWLLMFTRVFLGGSFSSYLSRFIISASSWWLGIYFVLMYIWTLSILSGIQRATT AATVSQWYFHRNAVPAASSKDVVSAALGHALSTIFGTISMSTLIALAIRLPLLILPSR AANILSMIVYSFVPTPIAALTNPLTLTYAAIHSESLAVASRGLSRMDFLAPQRPTTTL TPVALRSDTHNSPLLPYRLAKLILHATRFIMATALGFAGWVMTARQLTVERPDGTLGL KGSAYAYVVGMVASFIGWGILGAMEGILSGIVDAVVICYGSERGMASGGYCMEAAQLF GSFNGSYGRGRDEEDRREVY NEUTE1DRAFT_117339 MSSSLPWDYIAKLVCIGDSGCGKSSLTIRLCEGRFVTHHDVTIG VEFGSRIVPVGPPHSNLDSQQSPQQQASSTTTFTSDPTTTTIPNTTTSSSGGLPPPPI AAKPSSSSSSASDPPVPQKHMKLSLWDTAGQETYKSVTRSYFRGASGALLVFDLSRKQ TFEHVTDWLNDLRAIAEPDIVVILVGNKADLTEANDENEGENNKREVTRKEAEEWARR NGVLEYVETSAKSGENVEKAFMRVAERIYQNIQAGKYDLNDRRSGVKGPSAGLGGGGA GGGGTHVKLTGDKAGGYGGCC NEUTE1DRAFT_122991 MATPTDGSERATTASGADPFGDAARRRNIPPVAPTATEKPQPED KKKALVPVKKEQSFLDCIASWEWIVAPVVFTFLAFFTRFWQIGLSPIVTWDEAHFGKF GSHYLKREFYFDVHPPAGKLLVGLSGLLAGYNGSFEFKSGETYPEEINYTFMRQFNAF WGAVCVPLAYFTAKALHFKLPAVWLVTLMVLCENSYTTISRFILLDSMLLFGTVATTL CWAKFHNLRKKSFTPEWAFWLFLTGLSIGFVCSVKWVGLFVTALVGLYTIEDLWNKFG DTKMPVKVLAAHVAARVSCLIILPFAVYLLSFAIHFWVLTNSGPGDAQMPSLFQANLR GTEVGRDSPLELAIGSRVTIKNMGYGGGLLHSHVQTYPEGSNQQQVTCYHHKDANNDW FFYPNRREQAYDENSDEIRYIGDGSTVRLIHAQTGRNLHSHEIPAPMTKSDKEVSCYG NLTVGDEKDHWKIEVVKDTNSRDRSKIRTLTTAFRLKHEVLGCYLRAGTVNLPQWGFK QIETTCTKTNNPRDTYTHWNVESHTNPKLPPAEPGQYKSQFLQDFIHLNVAMMTSNNA LVPDPDKQDDLASQWWQWPILHVGLRMCSWDDKTVKYFLLGNPLVYWGSTAALGVFGL LVVWYTLRWQRGYKELGQDGIDQIHYAGVYPVIGWFLHYLPFIVMGRVTYVHHYYPAL YFAILCFGFLADWFLRAKSKLTQGIAYGILYATVIGLYIFFMPISWGMVGPNQQYKYM KWFDNWRISD NEUTE1DRAFT_130406 MIQHSPSMDSVVRCLVNDSRLEIMLVRIVKCTADSFSMSVEYQV TATGPVGSVVDAMLVDLVYLDRPFGKVQLPQTKTRFNGTDVHFYDQTIHVTDMSIWLQ LLSDLTHKEKLVYHLRNGEAHLKGPFGTTAQCGYNKDIHVLGMNGLPVLIKDVDREER KVTLTMRNLSTFELDYGPTVFEIQEGGGKGQWRTIARLKGDFFTKRGEFHVALEVETV SLDGEIDHAQGGEVKEGGMRPAAKTLGLDAEKTYHFQGVGTDKEGAWTNEAIKFIRSP ILLTERFISLY NEUTE1DRAFT_117340 MLWVNRARGSLPEGGRDPSSKIMRLFDLVGDNTGAASQARTHGK SRNGDVGFSTYPEVVPWGCYAGSARKNGLDPIPAA NEUTE1DRAFT_122994 MSSGNACATCSAPRLHYRDHHDNADVRLQLLNIRAGPGAALLPK EVTKVHMQFAHRIEEGHMGPRKFWRENLPKLKYWNPAVPIVINRTTDQKGPAVMTIYF RDDKDAKPSSTPFPTSSADGSSPAPKPAQGERIVTIDMKNRNSSVILKEFLDKTGAVA VQPTAKDEEEFREFEDLHRRSEIDRERIRKMNDAKKREKAMLAKAMSDAQSIKAASA NEUTE1DRAFT_65011 MPLQPGRTLSSRWLSRAFCLDRCTTTSNAAAKLPLYLCPAFRPV ANIGQSPRRSNHAIPSIQCRRLHTEPSPEAHTPTEPLHAEKEPIRTLPIQCTGCGALS QTTVPEQAGYFDLSRKAVKEYLGLIEPEKRKVIRSSDRVIEDVLKNIDMEELERRGVD LKSLLPRDYQANKTSPEPEGPPHPPLCDRCHELIHHRKGNSIFHPGIDSLRATIEESP YKYNHVYHVVDAADFPMSLIPKLERLVGTLPIRSRNRRAKSETWHAGRQINLSFIITR SDLLAPKKEQVDRLKPYLVETLRQALGRIGNRVRLGNVVCVSARRNWWTKELKEEIFE HGGANWMVGRVNVGKSRLFHDIFPQGRMNWQENQKLPVLPAFRNNNITYGKLDEGDDA LLPPAQPETNYPAMPIVSDLPGTTASPVRVPFGNGKGELIDLPGLSRGDLELYIKPEK RADLVMKMRVIPEQAVLKPGQSLLVGGFIRITPKTPDVTFLAYSFTPIEAHVTGTEKA IAVQELREDRPNVENIALPETAEKIKHAGSFALKYDVTKQRSGPLTRKNACGFKVDSL PFRVLSIDILIEGVGWVEVVAQVRAKSLQYNPYRDEQPEEEIEMEEEETPETMNTNET VKKEEYWTDPLPSPSKSRKSSSAFQDPFRLDHLDLSDPNDEPKPKPKPAPKSEDEEDP LAPPRLKDAEVVEETEEEYKQRKKKEDEEEEIKLNWPIIDVYSPEGKFIGSRPPMGAW LLNEPKSDGRKRPRKAMKGVKKEKKRMAREKLATAAGSGGGQ NEUTE1DRAFT_65014 MSHHFETLQVHAGHVEHVSRLPTRQLNPVLYQSTPLLAHGARLF GLKEFGNIYSRIMNPTVDVFEKRIVALEGGLAAIATASGQAAQFMAISGVACAGDNIV STSNLYGGTYNQLKVMLPRYGIQTKFVTGDKPEDLAAAIDEKTKAVYLESIGNPRYNI PDFEAITKLAHEKGVPVIVDNTFGAGGYFVQPLQFGADIVVHSATKWIGGHGTTVAGV IVDSGRFDWGKHADRFPQMVEPSEGYHGLKFWETFGPMAYITRVRVEILRDLGACLNP FAAQQLLLGLETLSLRCERHAQNALALARYLEKSPYVSWVSYPGLESHGSHENAKKYL KRGFGGVLSFGVKGGASAGSQVVDGFKLISNLANVGDCKTLAIHPWSTTHEQLTDEEK ISSGVTEDLIRISVGIEHIDDIIADFDQSFANAAATSAKEEGEKGLVVGQGKVEEAAP TVI NEUTE1DRAFT_122997 MGFSILLPIRVFQATFSIVIIGLSGFVAHWYNTNTAYLPPSPIS FLLFCGVYSLLSVLYLGLVSKLFPKTANPYAVLSLEITNLLFWLAGFASLSVFLSKLL FCRGSVCGAARADVGIGACLFVSWIVTVALLARDAIRSGGFEGFRNHVGFLRHRRSGS GSHHRSPSRGSMVGMSAKHPMPLPTAMMTKKEGGYSQVSLA NEUTE1DRAFT_65022 MAPTQDTAAYIKSIAEPPPPGTPYALPVPGTQRPNRTAVYRHWK FVNSPLLETFDPAHQTVHDLFEASVEKVPNKKCLGWRPWNAATKTYEPKYVWITYREV AERRKNFGAGIVAIHKSIGFTEEKYGVGLWAQNRPEWQITGNITELALLSQSLFPISL YETLGPETTEYIINHSGLTAIACSVPHIPTLLKVAPRCPTLKLIISLDPLDAGEPAGH TKRELLNAAASNVGIRIFSIDEVEALGVKSGLSMKPPKREDVLTINYTSGTTGDPKGV LITHAQGVAGITAARSMQSILSSDIHISYLPLAHIYGRMADQTALTEGASIGYFHGDI AGLVEDMKILRPTGLMSVPRLYNRISSAVQAATIDADGFKGTLSRYVVEAKKQSMALP AGKATNKHFLYDRIWTKKVLKGVGLDRARTMVSGSAQLDPDVHQFLRAAFGNDFVQGF GMTESYATGTAQVPGDFSTGNIGPPCPCVELCIESVPDYDYTIDDKPHPRGELLMRGP IMFKEYYKNPEETAKVVEADGWFHTGDIVEVDSMGRFKIIDRKKNVLKLAQGEYISPE RIENVYMASTNLIAMAFVHGDPKESSLVAIFGIDPVTFAPYASRILKKNIAPENLEEL KQSANDPRVKTAFLKLLDGIGKNHKFNSYEKVKNVYLDIEPFTIENELLTPTLKLKRP QAARKFRAEIDRMYEEINAAAQNKAKL NEUTE1DRAFT_83705 MQLVTITLAFLGSASTALAALAQHLPQTSITISLPSAPPGDVSG KLFVFPPSTHGTLSTFGQTKSAPLSVANTLVFRNVTQGSYLLDIHCATHAFAPLRVDV APTLDGVLEEGDGHGNVKLEVKAWQTYRGNDWDNKGEEAPRQTLKNGRDGGVVFGAKV LGEKGYFMERSKFSILSILRNPMILLGLVSMVIFLGMPKLVENMDPEMRAEWEENQKK NPMNALMGGGGAPAAPNFDMAAFLAGTSAKKEESSGDAAASTGGGAQQGGKKRRG NEUTE1DRAFT_147125 MAPGDPARGPLTNGSSIAPGNTQSHGSLVEAEQRHQPDNLEHPP FKRQRTETVPVTAPIINNQIQQNGNSQTVPHWGRQDVFIQCLANQVFPHVDRELANLP RDKYDVQKIGTKVVEIVTGADFTAAYKLGNGRVSDVFERLLAARIPVETRALLMLPEY RFKSYTPVPVPKVPPVLAPPQAPSGYASAPLPPPPPRPGSAIAPTFPAADERRYSNSP IPVPAVPGRQEAHRGVNRPSGLRYPHAPVPSHATTGIHRPTILPPPPSTQVITIKDDD EEKQGKGTPSAAITVPQPDSTVRPPMVVDPPSASVAQPSVSNLPVDLPENFVSEPRRR GRVSRWRKRVEEEQRPQNRGIVTTSAQNYRSRAHALVWRGHEDSVDSLGLSSRLFSEA KRPYLSAEQRANISAGVQGFVRFDDSVLPRPTIFHVDFTADEIKALRNLCRRVLDLSS GSRKQDLAKDLRKQLKKHRGDIVKVLDAIRSESELSRRTRTDVERFLHDLVNHKVAGD PSILALKRDKYDLHGELARSSQINSLLFARETSGNRGMALRAPLNFPNEFVKCREDEL ELRREWTDCAGDIATIAWVSNDGFICGTTEHSDAHNQQYNKPGNLVLGSCSQGTLRAY SDHRIVRPVVEKGENSTDAMRQSQDPWLYSSVVSSDYDDVHGRAFTSGFDRKVKVWKV DPSGSSMVLLGEWSHGGNVNFVAASKYESTTAMVATAADVPTDAVRIYNIHSNDSIST SPYRSFSCSRVEDAEGNTVSTERWAYFPATMQWGRSPTVRHLLLVGYSPRSRTGDDLD IPPDRRETGELCLWDGLTGERWRITSATTQNVFEVLWHPSQACFIAATSPLGLDLDYN TRTQIRVFTPSPVSEHGEKSFSPIQTLDCWALDINELTVMPISFGSCYITAGCTDGNT YIWDTAQGDKPIHTLRHGEPIEEFRGDREHEDVGVKFTAWGRTLDRFYTGSSDGVVKV WNVRSRDPLVRDLLEVPAPVSCGMFSPDKSRLVIGDASGRVFVLSLNEQDEGDHNDRT LFMHMKIPGQKSPKRIRRPQSIIPHPEPEAPTHDAQGRLLYSESGISRGRSYLTKSQL QQLPDRTLGVVQGPNYVETGLYRREAHLDEDPRLPLKASFNGLQQETRRQFSEGVRRY LSPLRSITKESFLEAKETHSKNLPLDFSVDLLEEETKQALEAEGVDWQLNEGTLDLVY EDDENDNDDLVSSGADGSDVDEF NEUTE1DRAFT_130413 MSSMPRFVGRRAVAVQSFSQSASWACFSCRQEFQNQWRRQLSFD TKAPSAASSDPRRKTTTAAQQQASGAKKQQSGRAWRWFSTEGASRRQQQQQTRSQSSR TGVSPEMERVRAEYKKRNQSTMYYVISVILGTVALSYGSVPMYKMICQTTGWGGQPVR AHGAGGSDSDVDLAAKLEPVRDAKRMRVTFSASVSDVLPWKFVPQQREVRILPGETAL AFYTATNMSDKDIIGVATYSVTPGQVAPYFSKIQCFCFEEQRLNAGETVDMPVFFYLD PDYLNDLNMKGIETVTLSYTFFKAKYDDNGVLKGVPGAP NEUTE1DRAFT_44368 MKAVLPGKPESRLQALATGYWDSRRITAYITGSAIAILGEPQTI LQTIYDDNEDPLEAIALDEASGKIAVCTYNTVRIYKPFGQEDDALRWALQCSFNVERD SCAVEDRTPISLSWGASEELVVAHSHLELYQATSSTPLCLWRKRLANPVRIASVSYDS AYIATVGQHDRLVKVWRRLSYGSDDVRFDFLYLPHPQAVTNIQWRRPRHLDQTIDNVL YTLCTDNKLRLWAGSDGHGQQHLQLCATVDLAVSLQDQALKDPAVPPLRWAFILHGRD LSAATETAVQEARPDQDTTALEHVITVANRSPEVVVVLDGRGHMSAWGLENVGSRSQK CNIFNVAHVTSPELDFLKGVTTNVPHVEAYSYCNAGIHLHVLLHFFDGRVEVYRTNIA TLFDPTPQTRRLSFRCLWTGHSAPVKKIVRNYSGRAIVSRTDSGQSIVWRHELNDKRT GLSQQAAIDLDSHVHRMLLIRKGRFVLYLRQKTISLWDCRKTNPVLLSEVDYDVPGKP LCLLILPRQHIEDYTTAHVATITSEKQGIVWEVKLPFYKPQENVPAVNGIGYHQEGTV REFTKFELKDAGDLAYVLPVDPAGSSPVVSGFLDVFAVDVAISYTHSGRVEFWTARVD QEKKHVEWLSTNSMETGLSNPILVSGNSLKKAALVDSTRSELTIWGIRGGRLEYSQDF ENHNTIRDLDWTSTPDGQSILAVGFPHRVLLLSQMRFDYLNKGPAWAPIREISTRILT PHPIGDSTWLGDGHLVIGAGNQFFVYDRHFDVSSSLITSLRLPHLKGGKWDLFEVVQR LNGPLPVFHPQFLSQCILSGKIALVHRVLLALHKTLKFWVEGETIDDYLGLPLEDFWS GEYTDNHTGHSSRKQKNDAGDYFSGDRSMSFDDGDEEVFSEEVAMKIGEKLTEIGLQQ LSRREQIQLVDIVECVGLVEKQRRSLDENGARFMLFYRQHALRKRRTNENHMSWREIA WAYHSGSQDILLDFVSRQHHGPMLWEDAREAGIFMWLTDPAAVKTQFEIIARNEYSKS EMKNPVDCSLFYLALRKKTVLQGLWRMASWNREQGATQRFLANNFDDPKWRTAALKNA YALMSKRRFAYAAAFFLLADHLQDAVNVCLNQLKDLQLAIAITRVYEGDHGPVLRKLL EEEVLPIAAKDGNRWLASWAFWMLHRRDMAVRALITPVYTLLETPGSSGLQSKSFLTE DPALVILYAQLRQKTLQTLRGASKVTPKVEWEFVLHNARLYSRMGCDLLGLDLGMSNI NGSDRDLCPEEQVLTSKSPKLGIPQTSTRRIDQTWWRDQPPYNAQTQKFFGGGGYASP SACLVTDG NEUTE1DRAFT_65036 MAQRSRTAGTTADPRDPLLPPPSPAPDSSLHPHSPPRPLIEGKS FTARGVLVGLGVGLIICFSNMYFGLQTGWVSIMSMPASLMGFGVFRLLTQRLKFPFSP VENVLVQTVAGSMAIMPLGCGFVGVIPAMQFLLRDDEGGGPGLDLGLGKLVVWGLGLC YFGVVFGVPLRRQVIIREQLKFPSGFSTAVLISVLHGKTRQKASESERHEDPATFASL AAQEESGSAVVSGLGDNNGNHSNVEGEEGGEAPAEPHSASWKRNLNLLLVCFLVSGIF TLSTYFIPALRSIPIFGSYLATTWLWTLNPSLAYVGQGIIMGPATTLHMLLGAVLGWG VLSPLAKNRGWAPGDVDDWEKGSKGWIVWVSLAIMLADSVVSYRAINTNEAARGGLTR PRLGRRLSDISEASNSEDDEDAYTHLNSGLRQRHSSGLNRIQEDLGEEKEDEFDDAPP DQQVGDKTVAVGLVLSIIFCIGCIHLVFGNLVPLYATVTAVFMALVLSIMGVRALGET DLNPVSGISKLAQLFFAFIIPQSNKNSVLINLVAGAVSEAGALQAGDLMQDLKTGHLL GAAPKAQFYGQVIGATVGAIVSAFIYKLYTVVYTIPGPLFQVPTGYVWIFTARLVTGE GLPPMAKEWAIGSAALFAVTTAARIIIVDKVGADKGKRWQALIPGGIAVAVGMYNVPS FTIARTIGGLLGWWWKGVMGWQDTPLIVLASGFILGEGFLSIVNLIMQSAGVPHL NEUTE1DRAFT_83720 MDNSSTPLADYFWIAGIENITYDDDRQEESLGASLEDTIIEDGE GETEGEDELGDASGISSPRAGGHPRHSRQNSGNRLSALSKLSYNPSLSSDNDIEGNTR SNRSSATIRPLPLPNLGNGTGNGNSNNTSSGNATNANNTNGAGANGIPIQDGFLSDLD FDKALLKFAAERENFLDDLTFSAGAKLQSRPPMVNPRTEKLRVDDDQSGRKSPLKSIR GSIRRKISFRDMSSARKQPMTPRAGTKPTESTPRVIPNPYRAYTHPPLPPASIRTTKR LSNYNSVIPPPEPLNADPDMHPLKRRFEPVLLDRYPSRDATVDELSRRGRFPDYVPMF AFPNDIQIVSSDDRPRSTWHGFTMTSDDNSKIYGICIIVWTALDAETADKVEKRCEQW RQRHMSNEERELAASLGIRLAAERAHLSQLLSELPSIPSGSAARESLDEQISSVEEKI SLMTEMLRPLRHGAASKIDGLTAGETGLWVPRAYGILGRDPSKMTFWKEWLRAVTVPM TDGAILRVPPSSPKIGRWQPLERYVVNLCTEAFSPLSSLTQVELGVRELRLYARKEAA NELPGSRNIDIYALFRCLSLENIVLLFEYAMSESRIIFLSSHTGMLHLACHALANLLY PLKWASIFIPVLPARLISALEAPCPYIVGIERRYENIQLPDDDYVLVDLDKDIIDATA QAVPLPRQHRRKLMSLLQIAAPHKLRYGVATGPPPYAIEAFPYDAFSAENEALFNPSA KASTLGKWVTQNSSTFAEPDPPNSMRTPLFNAFSQARPDSGHGRPTTSKSGKGSPPSS ISPVSMHFPPAPTTPVSRSDSGFAVATTLREKRSGHFDEKSRRSSSFGMDKNGPPHPP LHRPSLPFLIGHAQNLSISSISIDSQSSFGAYAPSTYAQSTIAASTIMPNMMVQPVKN TENTVWVEGHCFNWTPSEQDSICTICDDRSEGNGLYKCSGCNCLAHNHCLGFASLVCP AAFHPDRVRAAFVRCMASLLYTYRKHLGRPTKEQKANGQHYAFDMDGFIRSLPYDQQD YATMLRDTQAFNEFVHERERIPPADPAIRLFDEVILAKKARGRPVFSQGLSRLSTIRA SHGVSAFGPSSASAARQNKNPGYLNDTTDHIWRTASVPVPSSTKFNDGDNYRSIVTRV PARLDPTLMKEPRAIQGVPRPEQGRVRGLIRKQVASMLGPPTPDFRVP NEUTE1DRAFT_101744 MMIAMMIMMGFDVEIFFGLTRGNDSTTVVVVAWRKHVFVPTYRK YFSCTDVKQEKKGCSTFSSAPRPSCVSSSMIMYRSAIFQVHNIICACFVPCRCSQVQC EVTAVRDTCVPERRNLEGRGIVWFGESSGPIDLDACP NEUTE1DRAFT_65043 MNHQRFPSHEVKEPHSVSLKVLRLSRPSLVPQFPLHPPHGEDAH EAESAGGERTRDGYYNTEPFILSPIVNLPPSFGSAYVGETFSCTLCANHNAPPIGEGG TSVKKTIRDVKIEAEMQAPSGQTTKLVLGDTAGDDNAGSGTTLQKILNFGLKEEGTHV LGVTVSYYEATETSGRTRAFRKMYQFICKPSLIVRTKAGPLPSLPPVKAGNGKRRRRW VLEAQLENCSEDAILLEKAELAEVQRGLKWRDCNWAGIGVGVGPPRRPFLQPGESEQL CFIIEEKGGGEGDGDEQGEEGKAVEVEEKNGRIDFGVMALAWRTEMGNRGSLLTLKLG TKHVKPR NEUTE1DRAFT_130418 MDDAERRSAKRSRFDQTEPEPKRVSRFDRRSRSPPARKSDSGRD RDRSPLSKPRDSATPDSTKPAVDPAAAAAAAAARIQAQLQARKGIQHVDVPPVRSAGT REGSVPANINGEMYISDGDFIKDIEVNDLRNRYLLTKGSTQKMIKDETGADVTTRGSY YPDKSMATPANPPLYLHVTSTSKEGLEKAVAKIEEMMKQELPQLVDERRFRRRDQEQV ERDEYGRRKWPEERIPINLEPVPGFNLRAQVVGHGGAYVKHIQQETGCRVQIKGRGSG YIEASTGRESDDDMYLHVAGPDPKMVEKAKELCEDLMENVKQQYEEFKSRPPRQYNGP RDYRDREREPRGGDSYHGRGYNNNSSNNNNHRDHLHGGHHDNSHHSSYTNSPAPGGGP SVAPPTPTAPAAATTDYAAQYAQYYGGAAATDPYAAAGAADPYAAYGGYQAYVQLYQQ WYAAQAGQVTPAAAATGVPGAPGASASPPPPPPTEAAPPPPPPPSSAAPPPPPPGAPP GMSGGYSAVPPPPGL NEUTE1DRAFT_65046 MASRGLVMSAPLRRISAKARDAAPVARQSLPAAIGVRYSSHSSS TSAIAYKAIRRRHAPLPAADAPPAWSSAQAAVSNILYETPSPSLAPPKRHILNCLVQN EPGVLSRVSGILAARGFNIDSLVVCNTEVDDLSRMTIVLTGQDGVVEQARRQLEDLVP VWAVLDYSKAALVQRELLLAKINILGPEYFEELLAHHREITAEATEGESGKLENGGEH SLEETAKDFHPSRLVASEALRHKHEHLKSITYFTHQFGGKVLDISTNSCIVEVSAKPV RIDSFLKLIAPFGILESARTGLMALPRSPLYEHGEETHVKEADDVVDASQLPPG NEUTE1DRAFT_117347 MMSRATTRTIKSAVGQIQARSASNSAASSTPRHLLSISQLSPAE FSKLVLNASAYKQATKAAFAAGPGQVPRTLDGKLKGRTVAMMFSKRSTRTRVSTEAAV ALMGGHPMFLGKDDIQLGVNESLYDTSKVISSMTSCMVARVGPHSDVADLAKDSSVPV INALSDDFHPLQAIADFQTIHEAFATKPAHEASLGLEGMKVAWVGDSNNVLFDMATAC VMLGVDIAVASPAGYGIPDRMKQIILSAADGVAKPGKLIETTVPEEAVKDADILVTDT WVSMGQEAETQRRLKAFAGFQITNELAKRGGAKPGWKFMHCLPRHPEEVDDEVFYGPQ SLVFPEAENRLWAAVSALEAFVVNNGRIL NEUTE1DRAFT_44868 MSSSDFETERSPLLAASTSSRDTKTLADLTDGALESTPLLSTSN TTPRYDGRDDPDRASLVSAGASHSGDGVPSARSTTKSTRWPSIVAALLLMGLATSIAI GAFFVPAAVEKYAKEAIVIEPTNLALESITTDGVRARIQANFRLDAQRVKNVYIRRVG QFTTWLAKELATEETKVKVYWIDHDNMLLGTAGLPPLTIAIANGHNTELDIIADLAPG DADTIRTIANQFLGGKMDTIRVRGITEITVKTRFNIPLGTHAVSETMEFEGKELPPLP SYNITGLNFEERPLPGDDRQGMAADVTITTFNPYPVAFDVPALKFEVSVPGCKKHGPA ISIATALTDVIALRPEADVEVTAHSIVEELPEPLTRPCPGGTLSPLDKLFEAYVAGEP VSVLVRGQKDQGGEVPGWIGDIISSVTVPVPLPQQSFDDLIRNFSLTDVSFSLPDPLA DPDDPNSNPKVSGTIVALAALPSELNIGLNVSSVKAKADVFYDSRKLGELKIDEKASS IQIDGGPGEENLIEITSRVHDTPLKVTDDDVLTDVIQALLFGDTDVILDISALVDVGV HTILGQFVVKGVPAEGRIPLKRPSLLQ NEUTE1DRAFT_83734 MLNYCKDKGLDFLAIRDRLDLDFYGLIKLINFIRSKVHEGVPLP AEITAADLEDDRYLKPVLDDDALILCLDDLPESSGTGAEASAAGTQKGADAPAVDELI QKNAELQAQLEQLSKQFSNYRLAVQQTLDQRWQADDDDKDTAKGKAAAAAAAAPSGPA PEGPAAKEGASDYYFESYAHNDIHETMLKDTVRTEAYRDFIYQNKDLFAGKVVLDIGC GTGILSMFCAKAGAKQVIAVDRSEIIDKARENIYANGLSDVIVTLKGRIEEVILPVEK VDIIVSEWMGYCLLYEAMLNSVLWARDKYLAPQGLLVPSHGNMWIAPVSEQEYIAEYV DFWRDVYGFDMKVMQKGIYEDCRMEVRPAETVCGTPASFGLLDFHTVKVEDLVFTAKW QSAFGDKAESHDGFLVWWDVFFARNRVDESIKLDTKAQEWVAETAGKGGDKDARVAFT TGPFGEPTHWRQGLMLLDKNKVKETKPAPGKKIAGEIEYITAENHERGLNLRVTWAAE GEKEQTQTWLLH NEUTE1DRAFT_83735 MTSVAQRQAQPAQPSTSQTAAPTRTQTETSSPAILRLRGAHSNG RSVQWRNDVVDNEGLGRKKSKVCCIYHRPKGVDESSDDSSSSSDSSSSSDSDSDPEPD QDKRITSGGGSSGRGHRHSHDHDHDRREGGCNHDHGRGRKHGNKGKKTERRPSPNAYE KMPKYKPKDGGAGPSNSELQGPGGSK NEUTE1DRAFT_83736 MAALKNAPAPAVTVRSSKALGLKTCHPVPPGLPSPKDAAEAASP TSPTLSHTASDDSTIADMPPGGRPRHNPPKREADFSSLLSPQEKNDLTSLITRTTDVM QKHFTHVYDSAGLVDDAPSVRNKFWSGLPAHLRDFSITKPLPVETQLQNPKKRTKGKT SRSQKENGNMARDTKNSTGAALQPANPSNLNIQRAPEQDNDKTIGPYLQELKKEAMLH FKKWQTAVHKRMGDISVKRAGDANFNSTVPNNRRGRNRPNGVSTSNIESDPVLMQLYP PIPSTLCYWPLERRLLVLHALLLLLLSLEHYSAYTRVLLLHITSSLNLPLRVLVDDEV RVAKAIAWMAKDINPEELIQKRIEECAGKPSRRWRHGLASMAGISSFGIPGHLAPPLV AAGIGSVNGGFGLGPTASAGILGSTGESAFVVGALLGIYNARTSVKLMEQCTKEIQDF AFIPMRGSIGEDGEIGKIQPDARRLRVVLAISGWLTDESDVTNPWRALGQANEVYAVR WEVDSLSKMGTALETVVRSSAWSMAKKEIIARTSEPPFNIPKCNLSRRANKHGPVFTS MTESLWPLGLLKINKIIDNPWSVGMVRADKAGALLADAIMNKAHGERGVTLIGYSLGA RAIYVCLMVLAERRAFGLVENAVMMGTPAPSEARVWCTMRSVVSGRLVNVFSENDYLL GFLYRTSSIQFGVAGLQRIEGLDGVENVDVSAKVSGHLRYQYLAGSILKHINWEDINQ EQVSRDEAAMVAAEERVREREKKRDAVERGIVVIDKAKEAAKNDPGIIRTRMRKKNKR NEUTE1DRAFT_65058 MTTKTICRACHRAALSTTSSALSACSSSNSNSSQPSTRDLPRSR SFTTETFPQSTARGGSSKLSPPSQIDPSVILSTPTWSVRSLLPPSSTPSSSTQPEESE ITPQTLHHLARLSALPPPSASDPASTTRLLSALHSHLHFVRAIQSVDTTDPSDQAKEL APLSSIRDESPEGLADITIDLKMLEGALAEEDIVGHCRRPRRRKMEELKEKKKNEAED WDVLGSAEQKVGRYFVVRSGKKGE NEUTE1DRAFT_83741 MAVDPRVLQQEAEKTLASASKGWGLFGNKEEKYQNAADQYIQAA NAFRLQKSNTEAGKCFEEAAKIFTEKLKEPNDAANAMLDAFKVYRKDAPDNAVRCVEV AIKQYTMAGNFRRAASHKENQAEVYENELQNKPEATKAYTTAAEWYENDGAVALANKL WLKVADLSALAGDFFGAIEKFEKVAEASLGNNLMRYSVKEYFLKAGLCSLATKDMVTA QRNITKYAEKDPSFTGQREYQLLVDLLEAASNNNLEMFQDKLAAYDKMSRLDDWKAAV LLQIKNNFEEADNEFS NEUTE1DRAFT_65062 MASSPSLQEKLDKIRSPNLQSQKQTASVLEAIEATFKERNTPAT PTAYFAALLSLLNNENLATPVVYLLDVVTPFAPKPILQAKFTQIITILAPVLSLPDAD APLMRASIGSLESLLLAQDATQWELGTAQIGPRRAVAGLLALALDPRPKVRKRAQEAL RNILKNPPPSPSLDHPAAPMCAETAMQSLRLVADKAAAQRKEKKTTDSTHDPELIHAL QLVKAVASGSGGWPSKSIESLCELLLSIARTGNEHMSMAVFEIFEMMFEGMAADEVAS AKLPRLLEIIRELRPAPNDTQLLPPWIAILSRAYDVAGQISPAETFQELVDPFTLVAG YLESQHKNIRVSASECLVSFLANCVPKEAILEPSIFDEKVIQQLVKVVEGLLTVQYQA AWMETFNVLGAMFDAFRWQANPYLLSVVKSIGEIRGNDSFAGKQEADEVLGKAIRAMG PEAVLNVLPLNLAKPVKGQPGRAWMLPLLRDYTSNTNLAHFKSELVPLSAIMFQRVIE HQGEKNIHIKIFETVVQQIWSILPGYCDLPLDLVEAFDTGFAEMLTNLLYEQVDLRLD ICRALRTVVESNQAIATSDEVDDLILFGRVSKEQAQKNLEYLGTTFAADFLAVLFNVY STTLPQKRGPVLQTINAYLSIIPPARLTETFNMVCEKLAEALQEVVEKKPQQQQKADH MPSAAHTLMDLVVTMSIYLPRASFEALFKIASVVIFKDDDPQLQKKAYKLIPRLADSP IGKAALEERHGELQTLFLSSSEKVSAPARRERLAAIAALIHFIPKDSLHFIPSILSEV VIGCKEHNEKARTTAFDLLVLLGQKMVSAQGSLIDNSKVPHMPADAPAATASIEEFFT MVSAGLAGSTPHMISASITAITRVLYEFRETVSKETLSDLVQTMDLFLTSNNREIVKS VLGFVKVCIISLPTEMMTPRLPTLIPNVMVWSHEHKGHFRSKVKHIIERMIRRFGFEA VSKYCPEDDRKLIVNIRKTKERAKRRKEAGKEAGEGSDDEAGGRNASRFESEYDHALY SSDDDNESEQSDDSDAEMTGKRSKKGGQSQQKGGNTYILEDENEPLDLLDRNALANIS STKPTKLKRHTTKSKAKTDMDGKLILGEDGDMMEVDVPKGGNDDEDGVGAYVAALKGK DAPKRGLRGKLKFSSKGKKNDDSDDEDDGMDIDDNTAKEIRQNMRGGGRGGGGGGGRG RGGGRGSGGGRGGGGRGGGRGRGGSGGDRRGGPGRGSFSRGGGGRGGNDQGRRNMGEG RRTGGPMRGGVGKGGRGRN NEUTE1DRAFT_147140 MATTVEANRYPRKKVAIVGSGVTGIGALWALNRSPHDVHLFEAS DRLGGHTNTVEFQNGKLSTQVDTGFIVMNKATYPNFLNFLNCIGIEPVKTEMTFSVSR DYGKFEWARSSLNSLFAQRSNIFSPRMWRIIFDIIRFNQHALDVLRVDLEDTTRTRSQ HAAIHPEETIGEYLDREGYSDAFRDDYLIPMTAAVWSTSPDKCSLEFPAVTLIRFLWN HHLLSTVAARPDWLTIPTGSKGYIDTVMNGFPSNHLHLKSEVTRVSNEPDGRVRLHIK GGRSEVFDHVILATHGDQAYSIIRDSATDEERDILRNFRTSDNVAVLHSDTSLMPQAP RAWSSWNYLTRSSPVTGRNIDQVSLTYNMNILQHIPRDQYGDVLVTLNPLHQPDPSTV QGTYIYAHPLYTPAAIKAQERLDYIQNTRGISYAGAWTKHGFHEDGFSSGIRVAMEHL GGRIPFEFKDSTYSRGRKPELGFLDWLLRVWIWLIQVLFISVLDKFSAQGAASRRLAS HVNSKVNGSVIAAQRVSGHMNGGYGYSKKHI NEUTE1DRAFT_101756 MPVELPGFYFDPEKGKYFKIEDNKTAPTARYTSNDVKRRRLLEE KKRVEEEKEKRLLAFPRKLKRAKILEDPFLGGFLERETTQYRPVVHNGGDDDTVIKSW ARGLTDKGKVDLWPDLIQNGIMIGTISHLWVGGSDSRTGLGVTYAAAVDDDSLTSSYI PRDGADEVNFAYANVRYRRTDFMPMPETITIPQLSSLRFHEPSGRMFLTSRMPTRTPS IAWFSPSQSEPPIEEEERPTWQLGNSPNLIKISAPTPKGTKYGVINTSCPAPSCSRLT CIAGGDVGLLQLTNDSRLSWLTTPPPPSSSFKANHDSPPPMAKHGEVLSLDFLTVNPA EVILVGGRTGRVCVLDMRVPDEEWGWIKHPSSAAHVRSVGSHGVLVAGPMSAMRLYDI RWCKTEKGSTISDGGDGTASIDTVMGWQPSRSAAPGSSSSSSSRNRKPYHNQRPQQHR PKTRTRKPPPFNPLTMSTPTTPILTFPSYRNAENIHIGFDVLSAPHYTAYNLAAAAHD DGTVGLYSLLDGSRVPSKTIDRIGFASGFGVGDLDQDDDDDDDDDVDAGPNDAFSRGN VIKSLMFQTLPGDRHPSLFVGEGPRIKKYSFGVETSKGRRNKNRTARVEEGYGCEEEF NEUTE1DRAFT_138623 MADHSASGAPALSTNIESGKFDEKAAEAAAYQPKPKVEDDEDED IDALIEDLESHDGHDAEEEEEEATPGGGRVVPEDMLQTDTRVGLTSEEVVQRRRKYGL NQMKEEKENHFLKFLGFFVGPIQFVMEGAAVLAAGLEDWVDFGVICGLLLLNAVVGFV QEFQAGSIVDELKKTLALKAVVLRDGTLKEIEAPEVVPGDILQVEEGTIIPADGRIVT DDAFLQVDQSALTGESLAVDKHKGDQVFASSAVKRGEAFVVITATGDNTFVGRAAALV NAASGGSGHFTEVLNGIGTILLILVIFTLLIVWVSSFYRSNPIVQILEFTLAITIIGV PVGLPAVVTTTMAVGAAYLAKKKAIVQKLSAIESLAGVEILCSDKTGTLTKNKLSLHD PYTVAGVDPEDLMLTACLAASRKKKGIDAIDKAFLKSLKYYPRAKSVLSKYKVLQFHP FDPVSKKVVAVVESPQGERITCVKGAPLFVLKTVEEDHPIPEEVDQAYKNKVAEFATR GFRSLGVARKRGEGSWEILGIMPCMDPPRHDTYKTVCEAKTLGLSIKMLTGDAVGIAR ETSRQLGLGTNIYNAERLGLGGGGDMPGSEVYDFVEAADGFAEVFPQHKYNVVEILQQ RGYLVAMTGDGVNDAPSLKKADTGIAVEGSSDAARSAADIVFLAPGLGAIIDALKTSR QIFHRMYAYVVYRIALSIHLEIFLGLWIAILNRSLNIELVVFIAIFADVATLAIAYDN APYSQTPVKWNLPKLWGMSVLLGVVLAVGTWITVTTMYAQGENGGIVQNFGNMDEVLF LQISLTENWLIFITRANGPFWSSIPSWQLSGAIFLVDILATCFTIWGWFEHSDTSIVA VVRIWIFSFGIFCIMGGVYYILQDSVGFDNLMHGKSPKGNQKQRSLEDFVVSLQRVST QHEKSQ NEUTE1DRAFT_101758 MSDLSGQRWKPTFFGMHAKSPGTVSYCTRYLVVLVGAGALASAL SAHQCSHLPVHPSILNAPTESTFQTGTPSSGTERGDCASTRYRVPAC NEUTE1DRAFT_27678 MDIPMDTVMDCVRMEKTRVRKSWKRGKGLVHESRVRSRRAGNRS TSVPVSSMRHRPLVVAVAVVIAGCTPPDHHGRRRLEERAKLPWLHGTKSGLEAVFLEG LEYARPYATIFWWDGASDAPTGN NEUTE1DRAFT_101760 MSEDARSLGTLAAAVAPGYMQTAAATPFEASIAGSWTGRKQGRQ QQGQILLRLQNAQTLFCEYRRQCSSSGNCISASASWCTVYTVARPGDVLKISQAHVHI YRLAREEASRSLQRLC NEUTE1DRAFT_101761 MFGCVVVDLNYTSVSHSLGGTHTASLGIASPGCCLTSIASPVWT ELCGGLIKTNGPINDT NEUTE1DRAFT_101762 MYLGIGGGITIDVKHVLDSEPLESTFPATVYQQGSELIIHVANA AQIPESSSIEAEGWLTISTMPLFALWFLSHSLVVFHALTRLLNVEWHQRLAVGVRRNL ASALLRNRSWSFEATRIAMYGALEAAFSGKLPTCSAAGVSVFPKSYPALQRECKERHM ISRHMP NEUTE1DRAFT_101763 MVEFVKAVQYVVSDESSGVPSLKERWCYSTRLHYYHLSKAVSLL CCLNATVYFFQDSAFWDLPAKYLEDEDILALHALKLTDMAIVMLWLLLIVERERRLKP GRSVNCARQNQAQLQGPNGNPKTIRPPS NEUTE1DRAFT_147142 MSAAVASVPAPAPKKLEKKPVKFSNLLLGAGLNLFEVTTLGQPL EVIKTTMAANRSDSFASALRRIWNRGGIFGFYQGLIPWAWIEGSTKGAVLLFVASEAE YHARAAGAGDFVAGITGGIVGGVAQAYATMGFCTCMKTVEITKHKMSASGQKAPGTWA TFMDIYRREGIRGINKGVNAVAIRQMTNWGSRFGLSRLAEQGIRKATGKEEGQKLAAW EKILASTLGGGLSAWNQPIEVIRVEMQSKKEDPNRPKKMTVGNTFRYIYQTNGIKGLY RGVTPRIGLGIWQTVCMVAFGDMAKTYVEKLTGEAVTAKH NEUTE1DRAFT_101765 MSLGCVADVWVLFKQSVNCSSSSFCRSQQQNSTKKPIGSDDCVV ELDARGGLDTVVMLCPRTRGRVEQHTGGLNDSGVQGPCVTLTVMADGQILCIIIKC NEUTE1DRAFT_130430 MAPVMRLGSAALRSSINLTSRQTAFTAARCYSSKTQTLKERFAE LLPENIEKIKALRKEHGSKVVDKVTLDQVYGGARGIKCLVWEGSVLDAEEGIRFRGKT IPECQELLPKAPGGKEPLPEGLFWLLLTGEVPTEQQVRDLSAEWAARSDVPKFIEELI DRCPSDLHPMAQLSLAVTALEHTSSFARAYAKGINKKEYWGYTFEDSMDLIAKLPTIA ARIYQNVFKGGKVAAVQKDKDYSFNFANQLGFGDNKDFVELLRLYLTIHTDHEGGNVS AHTTHLVGSALSSPFLSVAAGLNGLAGPLHGLANQEVLNWLTEMKKVIGDDLSDEAIT KYLWDTLNAGRVVPGYGHAVLRKTDPRYSAQRKFAQEHLPEDPMFQLVSQVYKIAPKV LTEHGKTKNPYPNVDAHSGVLLQHYGLTEANYYTVLFGVSRAIGVLPQLIIDRAVGAP IERPKSYSTDKWIEICKKL NEUTE1DRAFT_138627 MSSSQAAVAELEKGLGDMQNLKPPGVSGSKINSLTMLCLNNVQY ESQLVQKLYTHYKKTPNTHKLGVLYVVDSVTRKWLEKAKALEQPVTLGAQDGTYAAGV HRVTELMPMFMNAIISSAPEDQKEKIKKLVDIWEKGQTFPPDMVNTFKEKLNAHQQPN VSTTPPGSPPPNPLASLQAASRPLPMPTTTAVMPSNILETLANLARLNANAAQSNSSA AAPAPAPAVTAAVAPSPVQVGVPQIPPVTPSTPHPMFPTSGQPSNGALPAGFLPGVGH PGMPYLSASQPAGQSVNMPPTMPFGFPAPAAQPAQPAQVPGAAPSANAATTVQLFAAL AAQGIPLDKIASVMQLMGQPSGTAPAAPPQSVAQPLYAGYPPPPPAGGVSAAPAWESV RHDESRDRNGYHDGMRSPNRPRGRSRSRSPRRWDVRGSPRARGNDRFDYGRSTPPRGR PDDRGRDRDMRIPDYRQRSPPSRRHESPGQEPPSEKWVKHDPTLPNGHIKVYSRTLFV GGVTCSEAELRSIFGRYGEVQTCIVNKEKRHAFVKMYYRQDAENAKKAMEENRGSDVQ LRTRWGVGFGPRDCSDYQTGISVIPIHKLTEADRKWMLTAPYGGSGGQPITTGLVVEE PDIEIGAGVSSKAISRRMQTDKGGNHGPKSSRRDEEPQHPNGNAGGPPPAGNRWRQGR EKHRNNSGDDRRGDRNDKNAANDDPIVMGLPGNITVGPNGINFPPNYAFTSNSTPNN NEUTE1DRAFT_147145 MADDAADVAEDYRQALEDLTVNSRIEIATLTNIARENAHHGLAI AEVLTNHIKKVPPPRTLPALYVLDSVVKNVPTPYALYFGPKLYSIFMGAYTKVDNPTR RKMDEMLKTWKEPVPGSISTKPVFPPEVVKPIENALIAAKNVALQVNQSSYQGQQYML RGARPPAPPHRDTPTPPNVRPATQQPGQQYPPPNGHMPPHGGNAAFPMRPHNGPDVLP PRATTQPPYGAPYHQPQVPYQGISIERLRDDIQQLIVAERAEFARDPLDVGKQTRLKA LLDLQTLIQRPDVPQEQLMLVKEKITELSVNMRSAATAASAAAAAAATGSAPVAAAPL QYPVPYTATPTPPVIPGAVPPPPPPQVPGGRPPSASAVAGPSAGAGGALSIDSLFGQG ALAALLAGATRKSATPTPSQTGTPQPPIATPIPPPAVPPVAGAPTPVPAAAAGSLAAL PPVIAAVLRSQTPTVGLAAPPPLEAPKPAASAPPTVPAAAPPAPVSNPSALLAMLRQS GLLSGTTPAAPAPVATAPAAAPAAAWTYTLKQYRGPQLINRLHEDLGPPCTQCGRRFG TDEEGRRKKTAHMDWHFRVHQRVTDAERRGQHRSWWVEQSDWVNSLEAIDSDHGHRSD TTAGGGAGGHGGRGGGVPGQDTLNYDDNVDEDEDDYDPEASFNNSYNPNSLYPGGGIM GADHSGGHGGGKAMPGHGGGRKGGKRGGLDYIPVPEDSAKVNNMCPICQERFEMKWLD EAQEWVWMDATKVGERVYHASCHKEVNGSVGGGVGPGDGGDNGLMSMGGENGFGGRKR KAEDDAYSGVKGRIKLEY NEUTE1DRAFT_83762 MLPTIKGTGYLVLNGLRALTLIGLAMAMASSWIMIVLSCLGGRF DFFDSASHFFVFAVAIFLFISELNIRSFRGFFARSWPVLCPTHSLVWLGLAMVVMGCA IMGDLVKPAYSMDNLGLAMWRLVLASGILSLTFGVFNVVASLIFNTSEGEGKIRRRIT ARMIRSHGDQAKGIIEKQDPFPDYYSSQSGYPEDLPPAVNDHYYPPVVQEQEQPELDN TFKARAHRWTRAAFPKNFRKSGRFPVISDPIPSVGVVAPTPQMNADSKRSGDHTNDRS SPVSPNVQRPPTVLHPYYNGGRRSPSLYSDANMSRF NEUTE1DRAFT_95261 MSRGNQREKAREANLKKQAAQKKVNNKSGTEMQRDKEAVAALMR AKQAAADAKRAAEAAKKK NEUTE1DRAFT_65088 MKVTTAVLAALSMAPFALGKVAHNVYPSVRRGDIALEVRDKGND NQNNNANANGSNDNKDNKDKNNGKDSKKATEQEIAELAKLIGLTAGLNAQLNLLWVNL GGGSVTTIINSEKTVTVTATQAATVVNGAAGGADATAIASTAPASDVPSAAPATPTQP AGVAAPAGGAATHTVTVGGPQGLAFSPAQTKAAVGDTVIFTFLSQNHTVTQSAFDKPC VALPGGMDSGFQANVNNTVNPPPQVAMQVMVDTPLWFYCRQANHCGKGMVFSINPTAQ KTHAQFQAQAIAQNGTGTDSGITGGNASAAPPAAPSATVGGDTTATAVAGGAAQATGN IVTGVGQVAADGSCVCAVSCAAGSFPNVAAQGVNAFGGVGGSIPRAMALGAPPAA NEUTE1DRAFT_44224 MASHDEIWDDSGLVNSWNEALAEYKHHLANHGSQQKYHSIHAEG AALPEGVAGELEDQSAKPSGAANLHLEGEDGAAPAVEVKVIKDQSLTKKQTTPVNTIQ NGLETQQSAAAEPTAASLPGPGPQLMLGSVQDEELKKLLMSWYYAGYYTGLYEGKQKA LHEQAQHTSDGLCSIVNSSMPKPGLPGFNDTDGSLSCYNVEGKEKHSSRAFSFVFFVC CLIRSGSVRRRSRIDTENKTSYLHVISNRCSIPLATQLI NEUTE1DRAFT_147150 MSSSQDHYIGIDVGTGSARACIIDSTGDIKALAAQNIKLWTPSS GLEGSQYEQSTTDIWNAICNCVKQVLAESKVDPNSVKGIGFDATCSLAVFTHDTNEPV PVTGPDFKNDGNDRNVILWLDHRPLAEAEKINNTNHNLLRYVGGKMSVEMEIPKVLWL KNNMPPELFARCKFFDLTDALTYMATGKEIRSFCSTVCKQGFVPVGVDGSVKGWQEDF YETIGLSDLVTDNFIRMGGVDGVNGSWMSAGELVGGLSEEAGQQLGLPAGIAIGSGVI DAYAGWIGTVGAKVKLSPDHRDDSVAPNDVSQAFTRLAAVAGTSTCHLAMSKGPVFVP GVWGPYRDVLIPGYWMAEGGQSATGELMKHMLETHVAYDETVKEAEAAGKNIYDYLNE HLKEMAKKTNAPSISYLVRHFFFYGDLWGNRSPIADPNMRGAIIGMSNDKSKDGMALL YYSTMEFIALQTRQIVEAMNTAGHTIKSIFMSGSQCQNEILMDLIATACDMPVLIPRY VNAAVVHGAAMLGAKAASAKPDGTTEPLWDIMDRMSKPGKTVWSRGDPAEKKLLDAKY EIFLDQARTQQEYRKKIDAALKGSSLEGQEAN NEUTE1DRAFT_130437 MSLFGNLGGATTSAPATNTLFGGTAGNTTATSLFGTPTTNTAQT GTTATAPATGGSFGANPATTQATPFLFGGTATATAPATGGLFGSTPAATTGTATAQAG TTGGLFGNTGTATDAKPSLFGTGTTTTTTQPATGGLFGNLGTTTANQPAAGTTGGLFG GLGNTSTTAAKPSLFGAPATSTQQPATMGVPGTASASLFGATNNQAPANNSAPVNQTS GAFFDSLLARNKKLAEGETALGDLPSLQLGLGDLRQRLKKVGPPTQGRPIDGKAHYFL AASGVDPGAAIRDLGAFGLGTRAERPQTGYGARDEVDVETYLSNLQQKTTLSMISDGL ERSVRDFDSFLEENVTMEWEAQRKRIYQHFGIQPRNEAERASGSAREGGFGRSRRRGS QTPHATQNARSGLQRSIIGTPSRIGSHAPEFSDVEKDAGTSVSTFGSVEDRFLREKQE KLSEQVRYVNDARQHREPVYICRDFADLESRSGDKHAPHIVDAYRAMMEIVGENPHLG QVPRERAFAKKYLDPSTSSKNAVDMRKQILDGANRFLEKQFFDEVNALIAKYPQDANL GGKPDVVSKIKAYIRLRIARKTLVPDNTDLQSVNGEYIWAVVFYLLRAGFVNEAATYV NDMQHHFRSIDRTFPGYINSYASSEDRRLKRQMQDRCTSEYNQRIRNAPEGTIDPFRM ACYKIIGRCDVSNRSLDNLNTDVNDWIWLQFNLARESAKELEIAGESYGLPELRASIK EIGLKHFPKSPAEDTNGSFGMFFFMQILAGMFEEAIAYLYPFSYVDAVHFAIALTYYG LLRPADAFTTGNELLSYSTRGLPQINFGRMLGYYTRDFRAANAASAIDYLVLICLNAD DAAGNGQAQAQLCHEALRELVLETREFSKLIGDIKPDGHRIPGVIEQRGSLIALGHEQ EFVTQITHQAATYADDNGRTTDAVLLYHLAGDYDTVIAIVSRALSEAVSLEIGEDPLR LVPIKPRADGDVANAQQGTSLSLAAIDDPVELAHTMMSMYERDAMFLNHVRDQNRIAC NILLKMSEIKEMIQRNEWAQAIDVIRSLEILPTDDCMGDPAKIRSYASKFSSLPQPVA INVPNLLMWTIICCTRQRERLLTGQFVGNTGTAREMLARLKQITVDLTTYTSQLRYRL PPHLIEALARASAD NEUTE1DRAFT_83779 MALRLKRGQSLCCFQPVPQVRAFANTSSASTKLLPLLPYRLIVR PSPNRLAHAHARGLSLPPRQTNTNGIPCYLCSLNKTTTRGCEAWSTSGAAFSSIATRQ LRGTRSTTTMASADASINSPAGSDPLHPKVNMPRMIYGTAWKKNRTADLVYQAIKEGF RGIDTAAMKRHYSEELTGEGLRRAIRDGIVTRQELYIQTKYTPHDDAFLAEPALYPTI TSQVLASVTSSLRNLAHADSPESQEDTSYIDCLIMHSPFPDPVSTLEAWTALQSFVPH RIRSLGISNITLPELQYLVADPRVTVYPTVIQNRFRRAERRWDYELRRWCANQNSTRW KGEKRTRYQGFWTLTGNRGDWPTQKFVRELAAAVPKTTQEVEALEAEGAAAAAAVAEE QREGEQDGGKGNLVTGEPAGPGDLESGDGELGISLEAAWYALTILGADVVVLNGTTSQ QHMRDDLDCLERVDRWRKTPQGKKMWDRCFEEFKALVGMPPS NEUTE1DRAFT_65101 MASNTPFTLKWGIMATGWIAETFTQDLLTNPATTRDVHDVRHEV VAAASSSSKQRAEEFLVKVKAPSSAKAYGSYHELVADPEIDIIYVATPHSHHFQNAML ALEAGKNVLCEKALTVTASQARKLVDMAKKKKVFFMEAVWTRYQPISIKVRELIQSGV IGPVYRVVADLSFGNDQPDGTVSFADTHRMVNPDLAGGALLDLGIYSLTWVFQTMYHL QKEKEKPKVVAAVQKYHTGADEATSIIVQWPQHKSQGIALTSLRLATGVDKENTGGPA IRIQGSGGEIHVTGPAYRPLQYKVIRGDAGGKIETVDFSIPKDPERDWGHGMFWEADE CARCIRDGKKESESLPWEESLVMMEVMEEALKQGGVTYPDLITTDVFDAQSPLNTGKR NEUTE1DRAFT_83783 MGSHSLPSASAADAVAKLAAAEALKSTAAFAASAPGSSTETALG TAQGASAAGDAGPVTAVPSSDTPSVAVDPEAEAEAYERANVHNVYEAIAPHFSATRYK PWPAVAQFLHAQQPGYVGLDVGCGNGKYLGVNKNVFMVGSDRSANLVAHANELANDVL VADGLSLPFREGRADFAICIAVIHHMSTRTRRQEAIRQLLKCVRPSGQVMVYVWALEQ GESRRGWDEGGEQDLLVPWVLKSQQPKPKKEKQPKASKQKQKWPQQQQQQTHEQANTP MQQQNHDSTEPQEAITAKSVGEKEATPTSSSILSPSSVPKDASMTIGGGTGAGVTEPA SAAAAAVAGPDAKTDTGDAAANADAVFQRYYHLYRNGELEEDVLAARGAVVMSGYERD NWWVVATHATNDDTLQD NEUTE1DRAFT_101778 MSLRLETPFNDKSSLSNEGFKIHLFSFHSTLEQPRRTITSTYPP RELLDHPSLQANRASQDNPTASLPSLDTAIVDIASLLKRSDSDEAPVAHSQYTSATTI PPTTTVAPPALGGSSFATFAPSTGTRSPRSSGAGGPGAGGSLGKSGSGSVNSVRPGSG GKRTMPPHTSESPAKKQSKWSPEEDALIIELRGSGMKWDDISKRLPGRSSISCRLHYQ NYLERRSEWDEERKNKLARLYERFKPEMWAKVAEEMQVPWRAAEAMHWQLGEVDMARR AGVVPFALTTAALGDSSVMHHRMPTSRGHHGHSQSQGSLPRDIPTMSSPRYSRGPPGP FIPPPSSGGRPLAARRDSLPTRSSFAPPPPPHHHSDPSGYTLAPAIGLAPIHTSSYTH QSRGGMLPSVAELTTGVSPYSTPAYSTGGMTSMVSPTHSDTTSPGPTHPGYSAYPPLE PLGTVKRRASPEAGGIRETSRRRHHFQSRQEDGYSSLGPPPGMTSSRRGQRLE NEUTE1DRAFT_101779 MRQKRRANSYDCSIYKPVHWSSVQEWVNLPYFELSSGARSELGS KEEGFERFPGTCALQIGMKGELQAQDCIPPQAARLKHQKIQMQRAS NEUTE1DRAFT_101780 MHDFVSFTPGRLPPSLHVSQVPNSSRPAAEPLGKISVLYSQEFL AMTGSDRSTVRKKIGCLFGDIFMPHRSIAAWQLEQQWLCEKIVLGGQSA NEUTE1DRAFT_101781 MERFRKPSSMQLTIPPSSVESCVTSVPFERVLYEHPKNSLKPVC SSMDHHLAPKESWASKSSCLTAAKPNDDYPWADMGVLLESGKGSRAALQLFILLHLDD CPRCARRNPRAEESSIPAQRCRSVACASMFPTRFLRVFNVCQLRGRPSKYSWVLDKTQ SQYPATYAYIPNIKV NEUTE1DRAFT_101782 MAIKPSQQVGCGTGVSLLIGDSAVTKRPQLSRSTFCCSFLAFVH QGSGPLMKGSRTASHGRGSGLAIPHELVVLEKDRNCSVMDQVLLQAWVWAARPKEESS PFMKPLDGHASCYHWFELQLLGLLGLDEDTVEPPTYPLDLMRRTIGFSLRVSLLYGFG EPDRHSWCTVNGPSGHIFLRVAKAASRDSCTLSGGKTQPQGKYMKPGPICWDDSSSL NEUTE1DRAFT_101783 MGGTTEYESAFWAANTTFPTNSNLGSVMARYGHCCRHQTELASK RRTVYDNWRPMHPNARVWLGCVHHLSYRYILHSDHQRQLACIGWTTAAKANRFASNGL LGGIPRLFPSLWMPLALGQPANGYNGRTGLGNTEGSKRSRLDS NEUTE1DRAFT_101784 MGAGFALPCLPGSNCLCALENHLANPQNPCLKASIANTEQENKA IAAHASGIKPRPCPWEHHGIAGTYGRPSYAVKPEIYGKQDFKRPHEPPLASSSCPPPP QPFRHGLRLQTMQAGYHYAMLPYCTWHIEQDASIRLPRLDWGTCRCPDQGDSQPLRAS LFVSVQTAGPS NEUTE1DRAFT_147155 MSDVPMYVTSEYSSSERRITPSWSIAQLKTKMEPITGIPPSSQR ITLKTLTNETIPIEAADEETTYLQNYPLAPYAEFQITDLRPPSARPNFNAAGVDKYVM PEEQYEKKTDSVLAWKKAQKLGRFDPDAPSHEQAKIAAFAKEVEQRGIEVGKRCRVGQ DDTRRGVVKYVGEIKEIPGSIGAWIGVHLDEPVGKNDGSIGGTRYWGEESQLKHGVFV RPDRVEVGDFPIVDDLEDMEEI NEUTE1DRAFT_123030 MSASLAQGTPVDGRLFWTRYAEPILRDDFTAWILDALAKLYASK GSMSVNQSLYPLQTCNLHPCQFNGMESSTKPWKTPQG NEUTE1DRAFT_101787 MAEPSRWVGIDDLELIGLGIAPRRLGMQESEKGSGRTESSGGQI ASEVDSPWRRRLGSKDGQRIGNTGSRIVRLLSNLTASATKSRRTPNAFSSVTELTQSE PRTEAFRSHGPQAGQDRHLFDSSNAEIRCAIAVHVGQRHVWGFPRVCDTEIGFSAHHF AISAQGRHYPGVVSGRLNTTNSFSTSIIQ NEUTE1DRAFT_101788 MTTSTVAAALGKLLFVAVVTLVISVANALSSVPELSDWEQDQYL TLQLTVPLGSGDAKPIRHRVVPLTEAVGMNQSVIARESITIKGTMVPTEEGGWLEFID SNSIAYLNCDNPNSTSLFDQLMAKAPKAILLFSLAGAGCRRDSTDDWNYTTIFTMTDQ IDARDTLNLTMSGSLATITGDYEEPDDDDDEDRTGHGSPIAMNILYSITGVITLLFLA IIATGAIRAHRYPERYGPRPGYGGRPSQSRAKGLARAVLETLPIVKFGEKSPAKPDPD FQLEQQPSRSSQDPTPRAKLSAIQETVPSIAKSQGPMTVPSVEASEQASSATVYGAQT GMTNTAGDIENTTSDDINLGCPICTEDFTIGEDVRVLPCNHRYHPACVDPWLVNISGT CPLCRLDLRPHSSIESTTGPGDNHSVSLPTAAGDNAQQPGTSGSPMPSTAPRERRRSL RILDLHRLRAASIEERMEILRRHRSQQQQRRSVTGSSLTSDPDNGESTDSTHRARLAE RFRGRLRASRPPSQAQPQPTEVDIPTPTP NEUTE1DRAFT_123031 MFLWRLPRNKRHVVVALRASQGLPALAGSTERDGQNKKAVLFAT TGNSAVGVVAKHITLLVVTGDYSSSVSDFPPSSAVAAAATTEEGVQQHDTFAVGYQGN LPKLVCGRACLGIQRQVVVQLRGCCPGYRERNGKLGNCWGLEDYSWRFDMVFVLLVEH KRTVYTHIARRDDG NEUTE1DRAFT_44171 MVPPLVSTRRNDIKMEESESGEEPVAGMVISVILAMISLVIISS FLTQRFLAVKVWSRLPPVQWSRSRPERAVARQVGIVVFAIYADSFLFVFATAILQFGF GVDSSASICESAILLCLACYVTTKFIIRSGSKKLRIHSKLYLFNTIVVLVHNRNGEIR HDSTHCLRPARQCLYAFNSSQQTRGNRRLKLVAMRTLIGCICTLTSSVVNLSVLMGLN GEPGWVCLMCCNSDILFSAIVIQWVTSRDSIASNNNIDSQTMSQQRHSNHGEDVYDLR RSRQQANGGMLEQKNNSSTNRASINSSSLSPRPTPSTKPIADDIGDIALNSTDPMELN DTLSSNNANNPFNEDNLKRSATDDSDEIRMISLSPRKRGTSDSISPLVPTTQISPTEV RIDVDYGSSLTQASEAAGVKLGNSVVIATGGRSDGWRRGRSSSRRYEDSSDP NEUTE1DRAFT_117360 MVSKSLIALAAATFAGETLAASIHRGHEHHHLGKRDMVTQMVTR TAWTTVTVTLGQSVNAAPTAVDNNTKLTKSRSPSSSTAVAAPAEASSAAPSSNTIAHN VNVVDAAASPSATQNSAPAATPSTTTTTVESTEDQSSGHRLQRGLAYNDGKLLQGFLG SGSKATWVYNWGQVDDSGTGLEFVPMLWGVDKGFPQSWDANAQKAIDAGSKAFLSFNE PDLSSQSNMSPQDAAANHIKYMNPWAEKVRISSPSITNSDDPNMGLNWMQKFFDACNG QCKVDFLALHSYGTDGPTVLNHLLDAYSRFEKPVWVTELGFDGDDDKKNQAMEYVLDH LENNSTFSFVERFTYFMVAEGEMLRNSQLTTFAKTFAYGA NEUTE1DRAFT_130443 MNQACIFDSDEMSPAASLYGASQFTEASHFTAENTPFDLDQQLD DNYQSGYRSPRMQFASPDVQTSPESAPATSRNSLSSSSTSMDSLTIDPSDIKPTEVTS AHTNEFLASPYDNSPSPVASMQDALSPQHPRNPQPLMPYVAPEQAQRPDAMVTDGPQE QASGTNMATAQHMSQVIPGPVANLQMHGLPTQFIVPPSHWPAAFTYSMPHMPDGRGIS FMPHGMPVNLPQMPSGFGGPPYHLDVLLMDRMRKKDGSGNVNGEVEIPKMRVETQAKY RLALFPLPHGVKKLHLPTQYISKVKQMARPLPPPSPERLELHAVVVCTSAMENPDLKK KALMRARLGAQRQVKGEPKPNTDDELPGHDGGEIRICQKCIRRESKRAGRKKVKNVEE DEYWRSFESDRIIVFNAAEIQEWHEPKPEEVNAYHVEFYIRIACYGRHHNDKKKGFQV IFTIVDHEGNYIAQQLSSPIVITDDHKTRDSPQVDDEFIPDQPQNGTVNAPASNGQPT PPPLPHDIQPLKRKQPTAVPPVAVTTPNQEISQPPSPIRPITNSSKKRRVGNLIMTPV EAIAPMPPQQVSNAAAVAKPASSASSAFVPPVQRHFARPSDASQQQINPPSLAIGATT MSYTGGSPPSTDNNDQAMFTLPGNQGLNFFANPTSGNPSRDPSPSHWQNTNGGNLLSP LMRSAVGSPFATAPAPAPAPVANPMPSVEAPVPVKLFKVIPHQGSVDGGFEVTVLGGG FSQGIVVMFGGRQATTTTYWGAESLVCLVPPSDTPGKVQVTFKNHQVRGQPVYFEYID TRSDELAKSALACLAQKMGKDVNSFANDLIQNFTNEGSGPSASGAPTYNHGHFENYLL NVIEAIDLDDTPNSKPKWNLASEATGKTMLHLACSLGLRRFTAGLLARGANPDSRDNG GYTPLHMASLNGHAEIVRLLVAHGADHTLRTLSSFTAADVAKTTEVVQRLATSRLHSR SRSLGSLPSRATSTSSFTSLQQTTTAMASLALERPVSESTEPEDSSSFMSDVEDSSED SESTDGDDEPVLEMRRSSLASPPSADENVAERQRPLVDGLGPAAAVNAIREQMMAQIQ QLQQMLHLQNLPQLPFMLPPVVHYMPALPGYQTAQRLAATVVPGITAPRPEPNATQPT PLPPTSKESAGWFNSLMSNNNNNSVPPPSYDESCGKGQGGFPDEKQASIAMAAAEAAA DEKCAAIFDQAGSSSMTIEATTTTTPAVEIDDKTGINEEVEVETSLELPVMLEIGRKN AITKEQQENLRRAHSVKKKELSRDRNLYLIWIPLLLVTLFATVFNEHHSIWNFLQMAL EYLFGSTQPLENHAPHTVIGQPQPLQPLQEPVGV NEUTE1DRAFT_45725 MEQLAEANRRGCSRHPSWFGLEPDDIPPRRAESREWIAEGQQVN VSPNEIVTTARKMRSGRPIDGASSERSGKSKLEDMDGKGAQIGDGTSDKKRTTDYSVG NAKCKGRAIAGYAVQTDDEEVGVVGWQRQVMVFPVSGGSGREANAVGGFYL NEUTE1DRAFT_123033 MPALAITNFNIVLSVLGGWISLFGLVSYLCKESYYMSEAFISLL VGVAFSPRAANFIRPLAYADYDPLTLQAITLAFSRLVLGVQLVLAGVQLPSRYLHQQW RPLSMLLGPIMFMMWIATSLLVWALVPSFGPNGIGFLKALVVGSCVTPTDPVLSNVIV KGRFADHNVPKELQRIIVAESGANDGLGYPFLFFALYLIKYVGHDYPGDGAGSGRAMA LWFYETWVYTIILSIVYGAAVGWIAKELLHWAEERKFVDRESFLVFAISLALFITGTC GMIGSDDVLACFIAGNVFTWDDWFRLETLDDSLQPTIDMLLNVTIFMWYGAVCPWEMF LRNGVGMQIYRLIVLGILVLLVRRLPWVFIAHKIPWGRKMIPQIEGAKQAIFVGFFGP VGVSAIFYLYITLEFLRGMDGPDGKPREDVKDLAETVTVVVWFIAICSIVVHGLSIPV GKLGFHLPRTLSKGLKSGAASPSRSVLPDSRRSSGGPLPSFNIGGRVSSFFTGRTPGW ARPAERQSDDEHGTERHGETDYSQQGIPLTRPVYRIDGTIIRNPPASDTDAVESEPKD VAAVDNVEGPSQSQSRLDTTPTNSSNTTLDDGRRGRGVSATPAAREAMGSKSHSRAPG RSIWFPDDNSQGVGSAPTLVGENTAGVKQ NEUTE1DRAFT_83796 MPHEDKSMGAVLAAQDPIVQSLVREEVDHGVLIPLKTTLELRDD HVTGRVLITRTPVKSANPTISLLRDMLPEEIVKGVPHLRRCAKPGDLPAHLKTQFMNE TPQSRQIHTGKSNWIYIILGPESQLSRDELVQALKTIEGLEEDIFIRSVPVPMVAPTS QIQAAMWSQHFWPTLYRKNNPLGPHPAMVSRSTEEITDDAAIWMTLAHQVAEQTQAAG HGEPMGACIVQREEGNKVTLVALAADARWRCQGKTGCTGNPMAHSVLRAISMVAQKLV RAENKRTDTFQTPILEFEAFQDKPLLDDEQKVFEIDHPSPDGYLCHGLEMYLTHEPCV MCSMAILHSRMGKVVFRHRMPLTGGLCAENRGSDHPSLNGADGGRGLGLFWRRELNWS LVAWEWESNGCLKPLPVNQMIHA NEUTE1DRAFT_101797 MDRGARTRPAPAWSKNSNVDPESRQGLRYICQTGTLVPHRGTGW RSVDVCRAADGRRAWDALPVRARWGCSLFHANDKWRRECRGISQSIQLGSKTALISTR NCNQVEYDCGLVGDTNERLGCLAVGPFYSVVVKVLGADDVADLEYDVQKTDTERYLSM EDDTGSAKAYFYPLARDSRYPRPVLINVHMVSPDSIVMRASKPRATLVNHPSPQDGGA IGRRARQTGEFHYAHPWKDAVITRRLIGDPKMGFGLRAHAALGRDGCHPILTTANQEL EHSLRYFPFWSLSDCSVWREQHVERPPPSSEFRYVEYVQKACVRIKERSKIVDRNCVQ QRLVGSGVSGGHSPVASKAPPNSTLVSGCGRGDATTSAQVHRASFIGKMKRSTVYGGP EEKMKCVLVETSLVMKQVSFHYVDKVQAIIGKGSRISLFTGQPSQSRQESRTGSIADF NEUTE1DRAFT_101799 MDTQTVPSSRATDTRKWSTPTPPDTPASMTPQSESLSSSPEFVH LPDRPASTGTLPSKGYYPVQPHHHHHPSSSYLLWALLQTQAASHLPNASTSAPSCTPA SENSADLPSHEDFGRTSSPPESLRKLAIKGQLMASAATTPSSPTISVQASTNRQQQDN QQQKAHEKEHHDTLLPAPAVAFSHALRSLNDLDGGIGGFGFTGQHNPIAYNSPYSQSI PSTAPGSPRIPPMRQNSGCVTPRIRPHATTLNIPGMTRSRVSPDGRIPERDVAAKLVV IMVGLPARGKSYITKKIQRYLSWQQHNTKIFNVGNRRRIAAGVIHKGDQPAPTSADGH DDHDPHDAPKRAATILLKGKDAPKITLEEDEPTTLDLNEHRDDLAPKDPIDQSAKFFD PKNEIAAKLREQVAIDTLDELLNYLLHQGGSVGILDATNSNVHRRKLLVEHIQQREPK LGILFIESICHDQNLLEANMRLKLAGPDYKDKDPHQSLADFRERVKAYESAYEPLGKW EEENDLQYIQMIDVGRKVIHHRLRGFLTAGIASYLTTFNLAPRQIWITRHGQSQDNQL HKLGGDSGLTERGHYYAQALYNFITFKRKEWLIEQKNKIASSTFPPAPGDHTPPYPEL YQELDDKNFCVWTSMLKRSIESAEYFDADDDYDVKQWEMLNELNAGTFEGMTYEEIAQ QYPEEYQKRSKDKLHYIYPGVGGEGYLQVISRLRDMVREIERITDHVLIVGHRSVCRV LMAYFMDLTRESIADLDVPLGMLYAIEPKPYGIEFHAYKYDEAQGWFKEMPNYRPQKT TDRNN NEUTE1DRAFT_117363 MDVIMVWSDDRRLARCFVVKEGRKEEGRIAVRGCEGASVPKCWK ERCDGSVRAVSAVCSGSG NEUTE1DRAFT_83799 MASSRPPQSPTIGSGAHKLNHTSSAASFSRFVEGSMNDRVSAAP PPSYLEDMDVEEYERQFVGTPATEHAPTMERHHTRQTTTTAYEPSTPPQENEQEEQQP PAQPPMGHSRSKSKFLLTPLWDGVREKFSLSRSKSWGAMVSGKDAMGRPSLNTQADSR SSIHRGRGSIMTAPCTPSGETPPPVPPVPVFRGAAAALATRDQEAAKEAAKKFSAADY PTKEEVMESYKNLVASGFFEQHAIHGTRHPLRAAQSAGTVLSNSPIRHDSVNGRARAA TTAFSSSRPSPVPSRSESPAEDLKPFAEHLAQNNDEKKLHAIFTSFHRKTASVPVNNS MAPPPLPSPSREEPTRTIGSAFVNDYLPPQTDPVTAPDSPSRGTKRGTDPHGNNIETN VDYGSIRKLAKKLRKSQSKIEFDERPSSPTKSRTSVSSFRSAAASVMSAPVAIKNKSF IFGSKDKGKARESMENRPATSAGLKGDFLSEEGAASFFSPLRQATGLDDAISIATPVR PGQARITKVPKSPSSLSLRKGFLGFVRRRNSVSHKSQRGVEEQSTRCHRPSASEAMPV TTNTYVHANGYRREEEDSMNLRRDRDEDDAMIIDSPEPEYQLAASSNHPGTPQRPSTS TSTTTPVSIPRFHYPKPTQQPQSVSPLKTRPQPNRAPPPVPGYGLGDELVAKERNSGD NGSVSGIVSHRDSGLGGAGEDVENIHRVW NEUTE1DRAFT_101801 MEKEGKANCQGFGSPFHSIWLPCFGKMRQIFTVFRGLGLVIFAV DSEAGGSPIAPHCTPWRPRLSPSSRLGPRGAQEMDGRESTWPPGTRRRGEMPCIGLAC RCG NEUTE1DRAFT_117365 MSGCFLQRQQLGLVVYVQPRSSASNLCKYQVYACMPQCVSVGCV CPEHVCSQTHTAFNGTQTPNPNPNK NEUTE1DRAFT_123038 MGAQVIAAASNGLCHDDEPIASVKSRITRSGRKLWYSLRVVQEP LRARACGSGPKSSADRRPVDPPPVVELRIFEGESFEMAQERDVTFQYNANFFLYATLE HARVMAQGRLQTPSANTPPVLTGMPVSGMAYLDRPKLAGYFLFPDLSVRHEGRYKLTF NLYEETKEDKDKDPEEPNAPQDGSPGSFDFRMDIKSHDFVVYSAKKFPGLTESTPLSR TVAEQGCRVRIRRDVRMRRRDGKGNSGGNDYENGEEEYRRVRRTATPDTAKQEAYRQR SMSGSTERTPYSAISDPQRRPSMADYPPQYAAQTPTSGGHLGFLGGNTHHQYPAQPPP QSFAQPHSVPPSPVYPTSQRAPYQHQPSSYPPPPPPHQPIFQSEHHTSRTYAPINPAP RHDSIHQSTKQYTLPPLSEAVSPTQPHHQHPSIAPHRLPVTALPPLQVDRFSSASHNQ HPMVSPSNMAAPPYPRAYSVSNSGGLTSSGGYNQLPPPPPPPPQVAGSKRAHDQTFRA DPEMRRYQDGARERESLDDKEPPLCTFKYRRADGSVECKQADIGGY NEUTE1DRAFT_44631 MAIISIGLHHKNINRRAPGHQLKNSSHDFQQYDATQYYLPSQGF EAIPDHLTGGQDGCLSTHLRIISTMRTADHQAYGLKHTIPAILNAERKGSQQKKKKKE KKEQESRLMKMKRLKVYSKTYFKQHFQADGICPIPEWYTR NEUTE1DRAFT_83803 MAILLPRNDVLNVHPAPQGQHLSVHGSDWLWAATALFAFNTLVL FALKFKASRGERLWHYIWIVTNLVATFAYFAMAANLAWDVVSQRNQVRQHGPTRQIFW AKYVLWVVSFPAAILAMGILSGVSWATIVFNIFLSWIWVIGYLVAAYVPSNYKWGFFA FAALAHVFLAFETLFRARSSANRVGINRDYTMLSGWTNLLWLLYPIAWGVSDGGNVIG VTKSMIFFGILDVLFITTLSYAFVVLSRRWDYSRLNIAFTQYGRVPITGTYPEKHATT DHHSGVTDPHTAAPAV NEUTE1DRAFT_117367 SYQSVIVVDNKTTLGRENPTSGTYAKPRRKQAEGTHGQVWLYNA TKNKKRKCVCSAEQIVRLCCIIPSPLPSLSTPRRAKQC NEUTE1DRAFT_101807 MTDWYDNCVSLHDKREGWLQGLVLAYGIFSPLRTFLVVLRTQIG PETSVDVVVATARSSELQIKLPRLPLVIVDRSAGGCRKLPKCRQTLVSYQRCRHVQKH SNQTTSTRPGIASERSESQRPINPS NEUTE1DRAFT_123041 MAHSQSPPALLWQIQNARNHAEQIEFLRQLKDGVIGDVRAKEKW VNHGILQFLVHLLQQKPTAQSPSGLGSKEAYAPISQTTDLPDDDRVRLLALQLIASFA KGGPSFLTPLNAVGAVPVILTYICPHKNPAQLVLTSLRALSDMTEAASLSSRTSIEAT AALAKALFVPRYIESLCAILDAESASSIIQEQKCLVASLISRLCKEPRYQDMLADAGV LDALATLLASFVVARGEVLPFTLDTLEREGLAAVIPQPAPRGLSLALILEAISVLIAD SRFRTGLLLCSPAIMAVLTSSEIGERNSQIYRTWELLKKSGLTHGRPVDRSALDYLLP AVPVTTPRFLLSQHHPSFPSTGRSLETPAKHGRATARFTTEDREWLVGGGDTEEPESP LIPWLIHLMRSGTNDHEKVMAASVLASLFKGGFTSPDREFSLSVLVVPVLCRLLKDYE RERGKSLDAIFVDSETAMAWVILERTPAVLARLIADSVFLQQVTRDSGALKLACKLLK DSYEPTAIPSPPRPWTPNPEERQDTGDDKFSTCQLGPPGQVPLCAHKIRMRESSLKLI TSLATFKEEFRKEIVEQDILPSVAESLSETPQKPTPPKEKPKTEEDGTVPMHPAGHSP YGQNPTSVLIAACHAVRTLARSVSNLRTVLDDNCVAMPIFKLLKHVDIDVKIAACSVI CNLLTESSPMRDPLIKAGVIGVLCLYARSDNAGLRLNALWALKHLVHMTDRDTKKKCL EELGPGWLIQLICDDTEDEALHARIMGERRPLTDADEDEVMDTDDGYAEATDDVWQWQ AIHRKGPGFDSTRMRVADGMLRALREREFDEVRKAREADLAIQEQGLDFIRNLIAIPQ QPAQAEMIDYLFSELGQDRLFDILASKLRVKILRPFARRQSSSSGTPGGGAGSGASGR NVSIDSRVLYPQSKIIVAVIYFLTHIAAGVPRHRQLVIAQEELLKLLAGHFNNKDVDV RRSLCHLLKNLVWCDGTEDRRGRDLRVFELEKLGFLQKLEGLERNDAELDVRERAKEA ASSIKGEACEGPQVPHGRVDY NEUTE1DRAFT_83809 MLTRSPASAAATSPTTTVTVTVTAAATSLRPSRGAAPHGHFHYA PTPSLREAASRIPALSSRRQSATAPATSSTSLPISIQSRHGPAPVASHTSSPVASDPG LGFPPSSRPQRWAGVDVAAQYSPMEPMDYTTGALLSSQAASSSNNQAVARGSIESRPS HIPNSVGLMASHEEQASALASTSEATAAPIPHGPTVAVAVPPTPGVYSAAPQPHPLSH TPQTGTPVKRRNSQGDVTHNQVEAASTGQSGPHSPKRARLAPKIVSRRYEHCPVEDLV VLIAHMLGELIELNDEAAQKVGQRHNLTRFHSRTTPGISVLDYLHRLAKHAYLSPPIL LSMVYYIDRLCALYSDFTINTLTVHRFLITAATVAAKGLSDSFLTNTLYARVGGVRVA ELNMLELEFLHRVDWKIVPDPDVLVAYYGGLVARCPGYILECPEPEEADDEDEDEELD ESDAIGDDDDDDINGEGGEREEETTSSQRDRHAT NEUTE1DRAFT_117369 MRLLASLASALLVAATAATAQVITVPIYIQPVNSSSVPSFLAEV SYDTAQDPSSAVAPVVSAYEAPEILDDLRGHELLRIGVYDKAEKKWVSSTSVISAENF GKGYAPHIVLSVDESNQEGSYDNRHVLGVAFKGIRIDAGQTRDFGPQAVVVRTAQGKQ PELNKPVVLSPEGKQVVPQEKTLLQKYWWVIAIVMVLSLGGGGDGK NEUTE1DRAFT_123044 MSTENGTTDTTLAGTAEANTTFESKGKGKAPAESEDHPMGDAED DEDDEDEDETEEPEAEEDNLEEIDPSNVISGPRTRQKEIDYAKAAQDLPAEEDDEEDD EEFVPEDEEMEE NEUTE1DRAFT_65136 MMASRFAHSSLHQRDPRSDLFKGYSGSSAAERRSANASPARYGG GGGYGYGGGYGGGGSYNGSSSTLGVERGGFRPATPNSRGQYSDAVLNELESQNDEQVE GIMGKVKILKDMTIAIGDEIRDSSALAEKMNESFDQTRLRLRGTMNRMLIMAQKTNVG WRVWLAFFAAVVFLFFYV NEUTE1DRAFT_83819 MDRNLEKLNSRQCTLPPLRLLNQARRHGPSRSSNFACDTINDFP TNTSSSPATEGLLTTEPSHSLWYTSSRNPLASSTSSLLSAFDPSSSSTSPEGLITTNS QTSNVIPGTTTGSNHVHGHNSRNASRNIQAAIIERSLLGRLRADETYMERRKANVSNL GSTWLKPLGVSKTLFQMREEEHERQEHEEAMRREEMLAGELAEAEAAAAAAAAAAAAA AAGGGNIGAVVGVGDGNGTTVLEEVAGVEGRDVGNTNRISGGADDGDMELMGGDDDMD EMGGRDLDEDIPDADEGGFGYDGASDDDDEDEQEEEENDQAEEEEEEEEEATTERNTT TGDHGNDDEDTVEAPQATSTATGIGSSILHPRGRNDNSNIHQQQQQQRELANVRANEE RMREMMARGGLRPTHVNLADGAADEDDDIDEEDQAHMLEEDDIIGTHNSGEYADDDGG MTDDSLGMNANLDDDIPEAGEERAQSGFYEHTDSEAELLDSSDNEGGQEQVGTGAGIG ISSSGNGGNMSGSHRRAHRVSYGPGSAAGSARGQHRRVSLPRQQQQQQQRLLRTSLAG NARRSTIGAPRSSLDISGLLSVDESSPMMRSSPRVRRLH NEUTE1DRAFT_43821 MVDETAGLRPLSPARVGRVNWQIAHCDYVTHFNKRPEHLAGYRG QAASHSTVSTHNRACVAVNSDSVSSLIALPVAHSHGANIWKESFSNLFKWTYRICFEE FPSSSFEHLIPETSCALLGILAESSSSYAALAFSRLHTTLTTFNKESIMQRPTDIGNL LHNAPGL NEUTE1DRAFT_101814 MLLRWYSSAPIAIFPYRAHAVVVLSFDRPATSDIDKTPSPTAGE WAAGGDWKIPAQDPPRARAPREAGAGPTKCARGITTKVGSTLRFHLVTTEFPCRPFLL SRHDREKIDAKLLPLGLFLGEWLVTPVSEQAWRFVPSWEWSSAGSSGKYVSSGLSVVS SELVRRKREWEGKKGGGQRSNNWKAGPDVRKVESAQEREKKKRIEERKGTEDGKGGRG ARGNLNDRSDFLWSAVGLLQVKKMAVHGDGKCNLEAREGPCPTGSGRA NEUTE1DRAFT_65142 MGAIEDFDDRQVHLETEKEQYHPYEYQTENNSSWAGALPVKQGL YDPELEKDACGVGFACHIKGKPSHKIVSDARNLLCNMTHRGAVGSDARDGDGAGVMTS IPHKFFVKNFARDVGVTLPPLGQYAVGNLFFKPDPETLQESKRQLEEIAESLGLRVLG WREPPVDSTLLGPAALSREPSILQPFVVLASAYGPGNEPETTDPEKFDERLFERQLYV LRKRATHTIGLQNWFYICSLSNKNIVYKGQLAPIQVYQYYNDLVNYDYEAHFALVHSR FSTNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVMQSDVFGDELELLYPIVE AGGSDSAAFDNVLELLTINGVLSLPEAVMLMVPEAWQGNQSMDPKKAAFYEWAACQME PWDGPALFTFADGRFCGANLDRNGLRPCRYYVMDDDRIICASEVGTIPVEPEKIIQKG RLQPGRMLLVDTRAGRIIDDSELKAAVSSREDFRSWLDNELITMPQVLETLSGSQSIE LAAKPDNTKIQEDPLLHAFGYTFEQVSLLLGPMASDEKEALGSMGNDAPLACLSDAPK LLYEYFRQLFAQVTNPPIDPIRESIVMSLECYVGPQGNLLEMDSSQCGRLLLPSPILS IPEFNALKNMSTIHPEWTVKTIDLTFPKKEGIEGYIRHLDYICMEATAAIEASDRIIV LSDRNTSKDRVAVSALLAGGMVHHHLVSNKWRAMAAIVAETAEAREVHHMCVLLGYGV DAINPYLAMECIIKLNKEKLIKKKLTDDELIYNYKHSCDGGILKVMSKMGISTLASYK GAQIFEALGVDDSVVERCFKGTASRIKGITFELIAEDAFRLHERGFPSRYTVAVPGLP ESGEYHWRDNGEAHINDPTSIANIQDAVRTKNDKSYEAYSLSEYEQIKSCTLRGMLDF NFEDCSPIPIDQVEPWTEIVRRFCTGAMSYGSISLESHSTLAVAMNRLGGKSNTGEGG EDPERSQRLPNGDTMRSAIKQVASGRFGVTSAYLADSDELQIKMAQGAKPGEGGELPG HKVSKSIARTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSSPRSRVSVKLVSET GVGIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLR GRVVVQTDGQLRTGRDVAIACLLGAEEWGFATTPLIAMGCIMMRKCHLNTCPVGIATQ DPELRKKFTGTPEHVINFFYYVANELRAIMARLGFRTINEMVGHVEVLKVREDLRTKK TSNIDLSLILTPAHKLRPGVATFNVRKQDHKLYVRLDNKLITEAELTLDKGLPSRIEC DIVNTDRAMGTSLSYQISKRYGEKGLPDDTVHVNIKGSAGQSFGAFLAPGVTLELEGD ANDYVGKGLSGGRLIVYPPRSAVFKAEENIIVGNVCLYGATSGTCFFRGVAAERFAVR NSGATAVVEGVGDHGCEYMTGGRVVILGSTGRNFAAGMSGGIAYILDIQGDFLTKLNT EMVEASTLEEAEPEEIAFIRSLVEDHHHYTGSELAARILVDFSRALKRFIKVLPVDYK RVLEEEKAKAVKQAAETKRAEYSLPAAARKEKDPHAPKLQDIEESITDNAISKKKALV LDKTRGFMKYQRRAEKYRKPATRVKDWAELSQRLDEDELKYQSARCMDCGVPFCQSDS GCPISNIIPKWNELVFQGQWRDALNRLLMTNNFPEFTGRVCPAPCEGACVLGINEQPV GIKSIECAIIDRGFEMGWMVPEPPKIRTGKNVAIIGSGPAGLAAADQLNKAGHNVTVY ERADRLGGLLMYGIPNMKLDKRIVKRRTDFMAAEGVQFKTGVAIGEDMTLKDLKKQND AVIIATGATVARDLPIKNRNLEGIHFAMEFLHKNTKSLLDSELADDSYISAKDKHVIV IGGGDTGNDCIGTSVRHGAKSVINFELLPKPPPARGRDNPWPQWPRVYRVDYGHDEVK QHTGKDPREYCIMSEEFVDDGNGKVRGINTIRVEWTKSASGGWDMKKIEGSQQFFPAD LVLLSMGFLGPEARVLGDEIEKDPRKNVKTPPGKYSTNVEGVFAAGDCRRGQSLIVWG INEGRQAAREVDLYLEKSTCLPVTGGIVKRTAEEVFAAAAAA NEUTE1DRAFT_123048 MRFGKTLKQSMYEPWRDKYIEYDKLKSLLREDRPDDDEPWTEED EVRFCDEIFNVQLEKVAQFQEEKMQELRQRVDAAFDKLRDLPPADSENKDKPTDEALA QRLKELEAELDAITNEVKELRKYSNLNYTGFLKIVKKHDRKRGDRYKIRPIMQVSLSK RPFNSEQGYTPLLNKLSLLYFAIRQHLEENGSVEPYHLDPISQPETHNGEKYTAYKFW VHPDNLLEVKTYILRRLPALVYSHQSSKEWDGDEDPTITSLYFDNANFQLYYQKVERE AEASSLRLRWYGQLSQNPEIVFEQKIIHDNGTSEERKFPIKEKYIKPFLDGEYKMEKS IQKMERQGQSTADVEEFRSTAEAIQDYIRSNKLEPVVRANYVRTAFQNPGDDRIRLSI DTDIAFIREDTLDRDRPCRDPNNWHRTDIDDSNMTYPFKNINQSEVSLFPYAVLEIKL KEDQNRKRPVWVTDLMASHLVHPCPRFSKFMQGVASLFEDYVNRLPFWLPDLDTDIRK DPQVAFEEEEVKRAHRAQNEQVVGSFLGTKLGNSFKPSRSSPVAKSYLAERVAAESSG AKAQTPASGATDRTPTAVTPAGELNESSSSQQQDGGQQQGGRSEYGTMTLSSVFPGFS LSKYSKAMRLKRAQGEGGRRGSVTLPPGVEEPTEWLKNSGPLQIEPKVWLANERTFLK WQHICVLLGGLAISLYTAAGRGTVAEWIAVGFIIIAAFAGGWGYFLLHSRRKMIIERS GKDFDNFFGPLVISVALMVALILNFSFAYRDFLARAQARAAVEALFIQSSNASASHID LR NEUTE1DRAFT_117371 MSSQISLHPRPLQPSPSSSSSTPATNPLPTLIQTPTGLALLELQ GTLNIRDFDPSSTEQITPIPVGRIDFPDYQPNSLTFDPNDKKWMKRVYMYIGEHQRLH GEVKGLGKAV NEUTE1DRAFT_117372 MSMDFPGDGKDLMEERMKHTRDFKEKGYKGNSRGNFIQAPFATL EDLFRKLPESVGFNVEMKYPMLHETEEHEMDTYAVELNSFCDTVLQKVYDMAGQRHII FSSFNPDICLCLSFKQPNIPILFLTDAGTCPVGDVRASSLQEAIRFASRWNLLGIVSN AEPLINSPRLVRVVKQSGLVCVSYGSQNNDPSLVQKQVKEGIDAVIVDSVLAIRKGLT RDQGNVNGSGGSNAKELQQRVREVEEAIKKEERIEEEGSSAEGSSNGDSNGNGNGNGT EIRMTTNAGEDTGTEIKETLRALRT NEUTE1DRAFT_123051 MAGPASPGNDGPTFAPPPLPAGWIAQWDNASKKYYYVQLSTGVS QWETPTDPAPVGATPGAAHEHPYGVPGSDADRKPEIITYPDGSQSAKYPDGRVEPVAP REDGTRGIGGPDQDRSLGSFIGNTLISNLAGGGKQSHGSSSGGGAGGLVGSLLQGVTG GGGHGSSGHGNSSSGGGGLGGKIASQLVSGMFSPGGSNKPKPSSSSSSSQQHNYHGNQ SSSHSSSGSHGGGLAGVMGGIGNLFGNKPSSANQRTPGQSSGFGYSNSGQSGSYSGQT PPATYQQATSGGGSSSTYHTAGPTSQSHQSHQSHSGSSTPSYGAAGQHAPYGQNQHGQ QPPYGSTSSYTSPPTGQQPQYAPPPAEGPPGQYGQQGQYGQPPQQQHGYQGAPYGQPQ AGGYYQQAPSYGQPPQGVPYGQQQPPYGGHQGQQQQYPPPPTTYGQPPSGQYGQQPQY GVPPQGQYRY NEUTE1DRAFT_147175 MAPSLSKPVLPVFRDRTVKGIITQLTSMYLDNRTRISRAVYITL FVALVNRVRHAIAEQKAASVRDAAKRAERQGTTASTSDDALGGKKKKVELNRDFFRSL LRLLKIVVPGWRSKETRLLISHSFFLVLRTLISLKVAAMDGAIVKALVKGNGREFITR IVYWMLIAVPATFTNSMLSYHQAELSLKYRTRLTQYIHDKYLSQLTFYGISALDDRIK NPDQLIAVDVAKFSNSLAELYSNLAKPILDMTIYTFSLSKSVGGEGVVFMSLLVQLSA NVMRALTPPFGKYVAEEARLEGEFRFQHSRLIDHSEEVALYAGHEAEKDTLDKGYFTL IKHVNYILRRRFYHSFMEDFVIKYFWGALGLMLCSVPVFVKLPGQLQMNMGDRTETFV TNRRMLLSASDAFGRIMFSYREIMELAGYTSRVSSLLEVMDDIQNGHFEKKLVSSSGT EDNEAVLKGRGKVVESRDIEFIDVPIISPNGDVLVKALSFKLRAGDHLLVVGPNGCGK SSLFRILGGLWPVYGGTVHKPPFTDIFYIPQRPYLSRGSLRQQIIYPDGLRTMRAKGV TDADLLAILKVLNLEHLLQLYPEGWDAEAEWRDVLSGGLQQRVAMARLFYHKPRYAIL DECTSSVTLETEKVMYDNAKALGITLMTVSHRRSLWKYHTHILQFDGQGKYVFTKLDA EKRLELEDEKEDLDVLLRQVPELERRIAELTAE NEUTE1DRAFT_95279 MSTIENTVVKTITMTNANPLVESTSAANAVGGGNPATSAAGAEV DTTYTMTTTTIGTKTVTVGVGATVTSVATQTASPDTGSGSGTGSGSGNGNSSGSGSGS GSGSGLDSGACQCPAAVTVTAAAAGSDCPTGAAAMTVTVPASTVTLPASTVYVTMTAP SAVNNEASGATSTTAAAAAATTTTTAAIGNNGTDLSGSNGSGDDESCPPDEDTTGEAE GEGEDDSCPDEAETSTASAAASATLAPFPAGNSTLPAAPTGTAVYLDKI NEUTE1DRAFT_95280 MKTSAVTLGFFAALTTAYSHPRHMHYRRFNTTLPAVSSVEAVVS SSEATAVASSSAVDLSTGLGAISTGVPLSTGVPLSTGVPSFLDAVNATATVPGAQPLT TLTVIATSIETITSCAASITNCPARTEELAALPTEALATTVVTRTAHLTTTVCPVADA SSISSSVLAGASSTGINASLLSSVAPTTAPAAVTSAPIFSNSTTVPAAAASSGLTTLT V NEUTE1DRAFT_101823 MTINPRRAQLQVSLGFLPNRCCFYVMLSARVTEIGTHGFRETIL HKMNPRSRGAIGVLAIITFTAMATLSPSVFCSFDNSGGTALYGRARSAPFWSVS NEUTE1DRAFT_65159 MLHLSPLLCGLAVLSQLGSVVIAVPLEFKYQRVIQNISSEGHRG AVASESAICSQIGTDALRKGGSAADAMVATTLCVGTIGMYHSGIGGGGFMLVRDPDGN YHTVDYRETAPAAATQDMYNDDPNASVLGARSVAIPSELRGLEYVHSKYGRLPWHDLV MPSVKIAHDGFKVTEDLVRYMASASASDDFLTRDPVWAQDFAPNGTLLGLGDTITQKR YAKTLLKIALLGADAFYTGPIADSIIALLQPLGGILTHSDLANYEASIKPALNITYRD SFRIFATDAPSSGAVTLSILKTMEQFPSLNLSSNIPLTNHRFVEAMKFAYGARQSLGD PSFITNITSFQEAMLSPQTAKFISSKILPNSTLPLSEYDPVKNYAAASHGTSHIVTAD RSGLTLSSTTTINLLFGSRLMTPDTGIILNDEMDDFSQPNKNNSFGFVPSPSNFIRAG KRPLSSICPLMVEHISNGSLWFATGAAGGSRIISSTTQVAFNVLEGLAVHPNTTDLKV KGVGGEGSVMRAAVAAPRLHDQLMPEVLLVEKGYGQDVYDDLKRRGHNVTWQAPGLSA VQAVMRFGNGTFVAASETRQVNSGGFAV NEUTE1DRAFT_95282 MTQFEIPEQQWAQVVEKKGGPVVFKQIPVQKPGPDEVLINVKYS GVCHTDLHAMKGDWPLATKMPLVGGHEGAGVVVAKGELVTEVEVGDHAGIKWLNGSCL ACSFCMQADEPLCPHALLSGYTVDGSFQQYAIAKAAHVAKIPKGCDLETTAPVLCAGI TVYKGLKESGVRPGQCVAIVGAGGGLGSMAIQYANAMGLHAIAIDGGEEKGKNCRELG AQAYVDFTTTKDLVGDVKAATPDGLGPHAVILLAVSEKPFHQAVDYVRSRGTIICIGL PAGAKFQAPVFDTVIRMITIKGSYVGNRQDTQEALDFFARGLIKVPIKTVGLSKLQEV YDLMEEGKIVGRYVVDTSK NEUTE1DRAFT_117378 MANTTPAVVMDNGTGFSKLGFAGNDSPSFVFPTAIATKSPSAGT GGSGSGRPAVANKPSFLTGGAGPGGHLSAKRGTEDLDYFIGDEAVAAANGPGYGLHYP IRHGQIENWDHMERFWSNSIFKYLRVEPEDHHFLLTEPPLNPPENRENTAEIFFESFN CAGLYIAVQAVLALAASWTSSKVQDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSI PIAGRDITYFVQSLLRDRGEPDSSLKTAQEIKEEYCYVCPDIVKEFAKYDRDRSRFLK HTITQPGGRQVTVDVGYERFMAPEIFFNPEIYSSDFLTPLPVVVDGVIQSSPIDVRRG LYKNIVLSGGSTLYKDFGRRLQRDIKQLVDTRIKASEVRSGGAKSGGLDVQVITHKRQ RHGPWFGGSLLGQTPEFRSYCHTKAEYQEYGPSIVRRFALLGGPGGS NEUTE1DRAFT_123057 MSCRNAEPGHGSPESDIDMSDSSSELNWPGDHEYGLDGGVERIW KRTTKPVAAIFVHAGAGYHSITNEKVHLEACSEASRVAMRFLKAGASAPEAVEAAIRC LEDKEITNAGFGSNLNMDGVVECDATVVDHLGRSGACGAVPGIKNPISLAKLILDKSS QPLSLRRVPPNILVGLGARDFAEEHGMATVPNEYLISKNAKDRFLRWKEDLKKAEARQ ITQSSNSSHIAQVSLRNLETHRPSPTPSDYEKAAETLNASTLRRDHSSAILTGIWNEG QPDSPYGRGSPLLGENGSLGSVPSAIPRPPQRSLTNGSKPVDRAAMNYVSGAFQSRAT TGSPAATKRAVVRHSHSEDIGYFPATGETPRRSPSLSTHDGFVSVKEDHNQSLNTMEN QSEEQSLSPVQISDPMFSNAPKQKEDEDLITDTVGAIAIDLKGRIAAGSSSGGIGIKH RGRIGPAALVGIGTAVIPEDSDDHTGTSVAAVTSGTGEHMATSIASAKCAERLFESSR RGFGGHSISELDEHALLEAFILDDFMGHPGVKNQPSAGAIGVMTVKKDRTGYYFYFAH NTDSFALASMASTEREPSVVMSRLGEIGPVAQGGRRIRID NEUTE1DRAFT_130467 MASNGTTIENGTHPLSSDGTTLSALPKSWHFTASLPPDAAFPTP ADSHKADRDDLGPRQVKNAIFTWVRPEKQQDSELLAVSPAAMRDLGLALSEADTEEFR QVAVGNKIIGWDEETLSGPGYPWAQCYGGFQFGQWAGQLGDGRAISLFEGTNPAIGVR YEVQLKGAGMTPYSRFADGKAVLRSSIREFIVSENLHALGIPSTRALAISLLPHSRVR RETMEPGAIVVRMAQSWLRFGNFDILRARGDRKLVRQLATYIGEEVFGGWDKLPGRLA DPEGAPGDEPPREIPKETIEGPPGAEENRFHRLYREIIRRNALTVAKWQIYGFMNGVL NTDNTSILGLSIDFGPFAFMDNFDPNYTPNHDDFALRYSYRNQATIIWWNLVRLGEAL GELIGAGPEVDSESFVTNGLNFDDEAASKPIEERAHKLITQAGEEFKAVFMGEFKRLF TARLGLKTYKESDFNSLFDSLLNTMEALELDYNLFFRRLSTLKTADLQTEEARQKAAE VFFSQVEDVPGPETDKEKARKRVGEWLDKWRVRIEEDWSTSAADSEERVAAMKKVNPS FIPRGWILDEVIRRVEKQGEREVLKRVLHMATHPFEDAWTGKEFEDGPTGKGVYQGDK AEEERWTGDVPQQKKAMQCSCSSIISEAFY NEUTE1DRAFT_65174 MNSATSNFEVDATGVSPKQIHNLIPSLKLADGNEIPMLGYGLGT ANYKSGDSADTISEPIVNATLTALNAGYTHLDGAEVYGNEVELGRAIAQSKLPRSSLY ITTKTIVRAGDTVTQSFNRSLEKLGLDYVDLYLLHSPFFAKNPEELQAAWREMEKIKD SGMAKSIGVSNFLISHLQTLFDIPGGLQYPPVVNQIEYHPYLQHVVEGEDLVAFCREK HIALEAYSPLTAITKARPGPVDPIYTQLAKKYGVSEAEIAFRWCLDQGIVTLTTSSNE ERLKGYLKKLPSFKLTPKEVEDIASAGKEKHYRVWWTEEFAADDRH NEUTE1DRAFT_65175 MSAVAASTGSPNERRASASRSFSQSQDENTKLPDELMSPNLQQV KMNEAMAAVDAYSMDKLYATISEQQVMSLQQRSVESFKPSDEEVRFPRALDHQSSCSS LPITPATDGFHTTASTTRPASATLSDGQPTNDEVLRLKQELAQAQTKISRLDQELAQT RYVTQDGVCATPDLSVDPDFQAASVATVSPSGSRPATNGAFGCPAKMPFGRDNNWAAH NNARPDTGDTLLDMSAAGLNRSRAIWNNSKSSHSPTFAPGPIAIVDGPQATPWQNMRG SNQAYEPSYMPSALEPYRPERINNDHDMSRPMGRRGNRYDNRLGSSSAYGGYSVYNMG PAHYEATTPAYPGGPPNVMAGGAGMNMYSAYQQPPVVSPLSPHATEFTAAGAPWKTET VTSEGQTYLPATEPLNYRRLLDRNVTCDWKYIVDKIVCNNDQQASIFLQQKLKVGTPE QKYDIVEAIVAQAYPLMVNRFGNFLVQRCFEHGTPEQVIKIAEAIRGNTLNLSMDPFG CHVVQKAFDSVPEDYKAIMVHELLRRIPETVIHRYACHVWQKLFELRWTESPPQIMKY VNDALHGMWHEVALGETGSLVVQNIFENCLEEDKRPCIEEVLANIDIVAHGQFGNWCI QHICEHGAPADRSRAIDHVIRYAAKYSMDQFASKVVEKCLKIGGPEFLGRYLDRVCEG RHDRPRIPLIDIASDQYGNYLIQYILTHANPQHREIVAAHIRKHMVSLRGSKFGSRVG MLCTNHAVATRPGPGVGPAMGGRVAPGPRFNGTYHR NEUTE1DRAFT_101831 MSVPRARLLQLVKARCELFSTTFNPEGIRTGNKILRQRLKGPAL ATYYPRKNVGIRELQKEFGTLGLEVDDEVDDDRLEHLAAFVSLIPASVYLQTSQSNHT LQSESPRQGSAQEEEDGTLGGR NEUTE1DRAFT_83859 MPKHGLTPEEVSEPPSKRAKSDSAPSASQGPTLESAASFQIPSL HANTKWTLATIQRTRPPLPDILDPALKKAALTHPGKANNDPVASYERLEWIGDAYLEL IASSFIYQTFPTLPAGKSAQRREMLIRNTTLGEFSVYYGLDKMADIPEEFNMEGRVGG TNASQKVRVKILGDLFEAYVGGVILSDPANGIQRASDWLKALWGPLMAEYIREEEKKA RKPEQKQSASGQTLDPKTVLEATIGARGVKIEYKDLPSKNLKDRGTKLPLFAIGCFMT GWGETNLQLGHGSALSKKEAGQKAAQMALDNKKLLKKFADKKAEMKAAREAQLQQAGV TFPLKDQPSAQETTGGVL NEUTE1DRAFT_130472 MADSIDRVFVHALNTVKKIPKTGAARPPPGDRMRLYGLYKQAME GDVDGVMERPSAATAYGAAPEDIAREQDKWDAWNSQKGLSRTEAKRRYVEALIETMHR YANNTPNALELVAELEFVWNQVKNNSPSEQSLAGGGLQQQQQQYQPYNNGGNGGNYGY GSVGPYGQSPGAGVRRFQHPLSGTEGGLRVLSPMSEEDESERRMREEAEQELFGADEL PGEYAKRNDKRTKRMERAIVRLSAEIAALREQISSGREWKTRKEKSFTNWIGWWFWVL VKHFIIDLFILVVLLLWMRKRKDRRLEDYVRGTLKLAREYARLVLPSR NEUTE1DRAFT_44219 MSSHSNHRPGAHSTGGGQHQPQPPTLRPSQQIPPLTNVAPSMFV PLRKDDVEPPSEDDEPSQRIARLKRIIETIDYHTAAVKENYIWMFEREMRRFSLDAAE REEEFKLRDLHPPGPAETEIDAVFASMERPAPEGIDYNDPNNPFNVKHLPELNPQEFM PRDVSLREKAMMDILTVVEAGKNEIEAYQNHMKGLRSTYVELLGKEQEMLRQAALRPE ERTRVQDAPMG NEUTE1DRAFT_83867 MLRSTLSRSAWRTGRHQAARNASRAFSATAQRPAEVELTIDGKK VSIEAGSALIQACEKAGITIPRYCYHEKLMIAGNCRMCLVEVEKVPKPVASCAWPVQP GMVVKTNSPLTHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGGDRGRFHEV GGKRAVEDKNMGPLIKTSMNRCIQCTRCVRFANDIAGAPELGSTGRGNDLQIGTYLEK NLDSELSGNVIDLCPVGALTSKPYAFRARPWELKKTESIDVLDGLGSNIRVDTRGLEV MRILPRLNDEVNEEWINDKTRFACDGLKTQRLTIPLVRREGKFEPASWDQALTEIAHA YQTLNPQGNEFKAIAGQLTEVESLVAMKDLANRLGSENLALDMPSGHKPLAHGVDVRS NYIFNSSIVGIESADVILLVGTNPRHEAAVLNARIRKQWLRSDLEIGVVGQTWDSTFE FEHLGTDHAALQKALEGDFGKKLQSAKNPMIIVGSGVTDHGDANAFYETVGKFVDSNA SNFLTEEWNGYNVLQRAASRVGAFEVGFIVPSAEIAETKPKFVWLLGADEFNEADIPK DAFIVYQGHHGDRGAQIADIVLPGAAYTEKAGTYVNTEGRVQMTRAATGLPGAARTDW KILRAVSEYLGVRLPYDDVAQLRDRMVEISPALSSYDIIEPPSLQQLSKVQLVEQNQG ATATNEPLKKVIENFYFTDAISRSSPTMARCSAAKETGDPRTNFMAPGMEEDRPRGQI AYGA NEUTE1DRAFT_65189 MEIPTQQPSTGGNDVAAFKKFDAYPWIKDRSFLQGLVAMLGPLS NGFERQKALGISLQARVWWYKSRFSIDIDRSAYENYLLPSSSPSTPSHSQIPNSPVDA QLVDKLAEIQQLMGTTPVSASDDDLPAWQVQAPKVDLLKKADDGDADGAERGANGNAP YPERFNAIIELITNGTPVPGIKEIPNTVVRQPGISPIGKMQAPQKPWEKKQQQAAAAN LVTSEVMIDREFPPLPPEDEEQEHQRDQPQKMGA NEUTE1DRAFT_130475 MRRAAVKALRTSYQCTCRGLRVSSRGSPLPLLQSSKAASSGSPT AHYNPRLGTATARYLSSTGARDSSGTHHHSNARPEPLRSSMYVRGAFVTLLSGLVAYG AWYNSGDSNSESPIASTTSATTTATGAVPTRSVLVIGADELHTGTFVGDGPISKTTSD NERVVEMLSPEQATRKLRQYEQSVYVNRGQGVVRYDVAQLPSNDPIEDDHAEKIVEVP NKAPSSDPSDWMFWGVFDGHSGWTTSAKLRQALISFVARELNETYKSSPDLIPSAAAV ESAIKTGFTRLDDEIVHQSVQRVLKSNNRLVAAEHLAPALSGSCALLSFYDSKSKLLR VACTGDSRAVLGRRSESGKWTATALSTDQTGSNPDEAARLRKLHPGEEHVVRHGRVLG GLEPTRAFGDASYKWSRELSEKLREKFFGRSVSPLLKTPPYVTAEPVVTTTKIEPEKG DFVVMATDGLWEMLTNEEVVGLVGKWIESQAGSSSKSSGYFSFLQKGSKTALPVESSE SEKNSGNKTPIRQRQWGATGTDPMERFVVQDKNVATHLVRNALGGKNQEQVSALLTLP SPFSRRYRDDLTVQVIFFGYDGPKTGEIVVNKEATAAALAAAAADGNKTGPVKPKL NEUTE1DRAFT_123067 MPVFDNHEYLTEEEKRLKEDRNRTKYWKKWGPYVAERQWATVRE DYSADGDAWSHFPHEHARSRTYRWGEDGIAGVCDTHGLQNIAFSFWNEKDAFLKERLF GLSNPQGNHGESIKEAHFHVDNTPHSYMKYLYKYPQAEFPYEDLIKENARRGRTDKEY QILDTGVFEDNRYWDIFIETAKEDDDPDELLFRVTAWNRGPDPAPLHIIPQMWFRNTW AWGREPESNKPSLQAVGDNQVKSRHHQLGERYLLLSPSPGVGPSGEDVHPKLIFTEND TNTELLYKVPNKQPYVKDGFHRYIVDGEKEAVNPAQTGTKSAAWFTFNEAGGVAPGEC AVVRFRFSKKNTDYLDEEEFDDLMDKKKEEADDFYYRISPLPMADDLRNIQRQAFAGM MWCKQHYLFIWDEWANGDPAQPPPPPERKNIRNQTWKHLHCDDILSMPDSWEYPFFAA WDTSFHCITLAMIDPEFAKKQLDLFTREWYCHPNGQLPAYEWNFSDVNPPVHAWATFR VFKIERKLYGRQDLDFLERVFQKLLINFTWWVNRKDVDGKNVFEGGFLGLDNIGLFNR SEPLPTGGTLEQADSTGWMAFYCLNMLNMALELAKHRRIYEDIASKFFEHFILISDAM TFRMGQKDEKSLWNDEDGFYYDAISWGGPWIQQLPVRSLVGLIPLYATLTLEPELINK LPSFKKRVEWFMQNREDVAERTMFSIRKRGKGNRILLSLVNEERLTKILQRMLDEDEF LSEHGIRSLSKYHKEHPFSMDVNGQTFKVGYVPGDSDTGLFGGNSNWRGPIWLCVNFL LVESLQRFYLFFGQSLQVECPVGSGEYMHLGHVAEEIQHRLQHLFARDDNGRRSINGG NDVLDYDENWRDYLWFYEFFDGDSGRGLGATHQCGWTGLIAKMIHDTGVSCRLPHTPR TPRIGMAHYFDDIFHRHAGGTQTPKTPRSPHHLRRNSTTRSISARSDFEFNGLDDDKE LTDDEATSPVDRRRSVTSSVVGLSNGSAREREETDQHLQNYITSQLERLKQERSEGEA DGYVVPGGEEFEATATP NEUTE1DRAFT_83877 MGVSRFYRVAVFGASVHALAFPGPQATDRVIIPSDAQTPRPTLP PTLHHELFKRQVSGSASGSGSYGDTIFVAPDNTCGYVSGLPGAAFTCVDPANYCVFVP SSGTIPGAAGCCNTKLCGFRVACRDYSEVLVQSKCDDGCMADTYTAKCTGTDNPYCGT IVFPGGITDYFCGTLSGSRIQSAKTTWDGETDGRTFLTWTNTGSSSTIAVPTMESSST DDASSTTSSSSSGTVGVGGSSTDPADPNQPEPKKEKGGTNIGAIVGGAVGGLAVIGLV ILGIVWLVRRNKNHNNKNNNTPDTLATSSAAAGAPGGGGHAGLTNSPPPPAPSPGNLD PALNNNSHNSYYYAGGGGGGQPDPTKIPAMAQHYPAPASSPPLADYSDMNNGGQHPQW NNNPNGSPTSGYIPPSSPSSTLVSGGGYQPSSGGGHPHHLSMSGQSFTGAPMGGQGQG PIYGPGGQPIYEAASNAVGEPQELNANHRGQMHELQ NEUTE1DRAFT_44182 MDPNSGPHHETLSLSSVCHFPVLSQSETCCSFSYCKPRLLPALE LAQSKPRASAMGPLTPGLPQGYHQCGGRGRRVGEMGSREEEKRASKSGTLASHPRVSR HGCDWYPMAFFCMKATRSRSPG NEUTE1DRAFT_83883 MAALDDGFEALLEPFYNGKKLTDPVSTTQDKFHLVPAFLKVKGL VKQHIDSYNYFVNEEIKDIVRANRVVRSDQDPNFWLEFRDIRVGMPTRTEDGEQVQSR NPVTPMECRLRDLTYAAPVEVDIAYTRDKNVIVRKNIPLCRIPVMLKSAKCYLNGATN AQMEVFNECPLDPGGYFVIGGTEKVILIQEQLSKNRIIVEADEKTGGVMASVTSSTHE RKSKTYVVLKKDRIVLQHNTLSEPIPIVIVLKAFGGLSDNDIIELVCGGDARYQDDFL INFDEAGRAGVYTQQQALEYVGARVKMGGNRPKFGAAPRRNHAEEGLDALANLVIAHV TVEGLDFYPKAVYIAMMVRRVLMAAQNPKLVDDRDFVGNKRLELAGQLISLLFEDLFK KFISDVKYNIDNFFKKPNRTSTYDPHGAIMANGHYISHGLNRAIQSGNWTVKRFNMNR AGITHVLSRLSYIAALGMMTRISSQFEKTRKVSGPRALQPSQWGMLCTSDTPEGEACG LVKNLALMTHITTNVPEEPVKKMIFAADESVGPIREYTGTEMHSKGSYIIHLNGTPFA VTREPKRFAARFRTLRRRGWVSPFVSIHINEHFSAVHIATDEGRICRPYIIVKNGKSM LKAEHLKMLQLGQATFDTFLTSGVVEYLDVNEENDTLVALQEDQITNSTTHMEIEPFT ILGAVAGLIPFPHHNQSPRNTYQCAMGKQAIGAIAYNQFNRIDTLLYTLVYPQRPMVI TKTIQLIHYDKLPAGQNATVVVMSYSGYDIEDALVLNKASLDRGFGRCQVFRKYTAEL QGYTNGRRDRVGGIMKDEDDKPIVKHAILDADGLAQVGSKVESGQTMIKKETPLDQIS TGIAGDRGSDDYRDASTNYRISDPAIIDKVMISVNERENKLIKVQTRQTRRPELGDKF SSRHGQKGVVGIIVNEEDMPFSDKGLRPDIIMNPHGFPSRMTVGKLFECLTGKASVIA GEKDYGFGDAFRSHPVESMGQALIDHGFSWEGKDYFTSGITGEPMEAYIYNGPIFYQR LKHMVQDKMHSRSRGPRAILTRQPTEGRSRDGGLRLGEMERDCLIAYGASQLLLERLM ISSDGTELDVCQECGLFGYKGYCNACKSTRNVTQMTMPYACKLLVQELISMNVGVKLK LEDEFPHPR NEUTE1DRAFT_44724 MDEFYRPQPQRGESQMAPSFVNRSGPSLPRRFTAESGHVPTLSS FIPGSQRPPEPIEFAATPAATLHKVQLLEKKRQEYERIRESKRRFEAELSKLDAQQRQ EERELAQMAEDLQIGRFAGHQSEPTTPPEYRDASRGFPSMFSRPNRHSTGLVNRGTRS LLTSPPSGMLPMRLAFDDQLPTRSGPGSRRNSDEDEKEEAVRQDPTSHRSTKARCGFC DGAGEEIISPSNLL NEUTE1DRAFT_65206 MSAIGSGQASGTGSVASPELTPIGTQPANVRHSLDGTKLFQESA IGSADATPSSVMSPAIGNILTSPPKLQQSFSANDVPVLKSANGQSNNNHAQQHFHNHN AGLGRIPAGAMAARHTRELSGDTGLTNSRDNGGYPSITSTLHANAAPFGPVAPQQQTS AAVNTGLTSTAPAMPYSYYPPGPNYAPPGNNAGYGNLPMMMQNMSVGNNNPAAVYAPQ NYTGYGALYNTGSSPRQPQDSQARVIQSRRAMDGEAMSRFNNLPLEQVVGTIYTLCKD QHGCRYLQKKLDDRNEEQIHMIWLETNQHVIELMTDPFGNYLCQKLLELCSEDERTVL IRNATSDMVRIALNAHGTRALQKMIDSVSSPAQINLIIEALRNRVVELIQDLNGNHVI QKCLNKLNATDASFIFDAVGQNCVEVGTHRHGCCVLQRCIDHADGAKKIWLIERITAN AVTLVQDPFGNYVVQYIIDLNEPSFTEPLVGQFLGRIPQLSKHKFSSNVVEKCLRCAS PNSKDRIVSEIMSQNEIKQLMQDSYGNYVVQTALDYATPSMKHQLVEAIRPHLPAVRA TPYGRRIQAKIQTYDNQTQSGTSTSGQATPADPAGGQISLRPAQHNRAMSNNTSILTS GGLGSGANGINGGNGHPLGTVFPASNMITVPPPASQQFPPAGAPAENGQNWV NEUTE1DRAFT_117386 MGALKYLEELQKKKQSDVVRFLLRVRCWELRQLNVIHRASRPSR PDKARRLGYKAKQGYVIYRARVRRGGRKKPVPKGATYGKPTNQGVNQLKYQRSLKSTA EERVGRRCANLRVLNSYWINQDSTYKYFEVILVDPQHKAIRRDPRINWIVNPVHKHRE SRGLTSTGKRSRGLNKGHRYNKTRAGRRKTWKRHNTLSLWRYR NEUTE1DRAFT_138685 MPPKRRTSAASGNAAQSKQQPPKRSALSLLDTDSDDKKPAPKRS VKRVRKTQTQPRTKLSSHGQEDEDADENGSDDAGGDIPHVQVANINRKRSKPRNAPPA TVEEYLMEQNQQAKEFLKGFKAELVESQTQAEESSKTFKQELHNVQTNDNEKDFRKVY ATLKAATSGKTKNSNELKEMNPLFTKGEKLIKICRDILQRHQIAVRDSQQDKPTVPRE IWEQDHQKMRQLLDYGKDYGQKLVEGIISPDVKGDDSPTQEKEKEAGLSETETLAIGL FDGSKKKSEETERWGRIAYAQARALAAVVKTVP NEUTE1DRAFT_130481 MSSGTGKPALQAKTGQGNFAAGMPSTQAILLDKLNRGSTPDSEA LASSDDEVDPLRQEPPPTSTQAPKQPVRRASWLNDTSQPPPPPRQRKESFASTSMSPT TSHPSTPAVDSGVGAWGTHPAASAVHGRSHAGPSPFSTTWGAGIWTNERNNPPSRLAE VLPSPTSGIPPGSSGGSFFDNALAQQSVGSRDTVPNPQIPFPIPLHPTPKTYRSQSYS VGQLDPETSLPSVATSAVLGGRSRPLGHPGLQHRPSRPSMLSEMANDGSMLGKVNEDD DDDSTGSLQGASQQQSAEAKAIESLMRENAILRQQNQYQSSRLRPRASTSSVFGLGNA YLQEAVPEESDFAVDELDEANDGSDLGRRNLARRMSEFGVGPYRTPYMADHRKVDNTN LKRALWQTSLGFGGLGDIPQSRRHSFADVPVRQNSIASIGEGIAPHEIGPHDSAPDYA SPGYSDAMALANAAQVQNYFTGGSSHALAQSAYGNQFPSPYSMLPNAYANRPNSPHRN MYGAAQPRHDQPLYIVLFKCARADVFYIQEGTGLTVKPGDLVIVEADRGTDLGTVAKD NVDWKTAKELKEHYAEEQYRWLMMYSQNAGMAQDGVGAGLMAASNLQGSAVGGMGPAG QHHIQEPTSGELKPKLIKRLAQAHEIHALREKEGNEAKAKRVCMQKVKEHGLNMEILD AEFQMDWKKLTFYYFADSYINFNSLVTDLFKIYKTRIWMSAINPASFASPTLGLQAPS GIGPGAVGVSRASAATERRQNTQQHDQQSVYTAAGQTGRNIQGGFPGAFTPDRATGPG SGYSLQTYPWNSYVPFGAASRPGPGPGPGLGGLSYAVPGMMPNSDTYTAGGFPPAVDY SARSRFPTPQASSGQHEQIGSAQGAQADWATAFQGLSLKSNPR NEUTE1DRAFT_101846 MVQDIDLTIPQSGICADAPDYAVCRLVVRMKNRQTMPVLDKVID RRHHATLSLVDTHHQDTIFLSFAPMVTPFPS NEUTE1DRAFT_117388 MGYGENWKLPRPNLNHLDLSVKVQSMIDRISLLTGQGSGGISLY AGCHVISRMNEG NEUTE1DRAFT_32406 RQAHTVARSAARPRLQQQPWGALNTTLAPQQQRRTIFIQTENTP NPDSLKFLPNQKVIPDSIKTPFIEYMNARSTIAPPYPSPLAAQLMNIEGVTSVFYGTD FITVTKSADANWAHIRPEVFALITETITSGQTIVNVVERNEGEESTQESDEKDSLAYD ENDSEVVGMIKELLETRIRPAIQEDGGDIEFRGFEDGIVKLKLRGACRTCDSSTVTLK NGIEGMLMHYIEEVQGVEQVLDPEEDIALQEFQKFEEKLLKQKGEVPPTTVGKDSLDS VPG NEUTE1DRAFT_101848 MSAESVEGGPKMASDESQRLFADELKALIAGARDEASVTQDNDQ DTIQVNGQPSSEDQSDIIIQPQIEQEPKKEPTYVTRKLNDQQQEAQVAEPTVNQEPAS PSRKRPSPGDEPESSPKPVKKEAKQCGVCQAQPGKYRCPRCPLMYCSVACNKSHKENH PPPSEVQPKPSTNTHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQPIDNDPLAFLLPHAHHITRLLSKYPHLESRLNHILSQTLPPANNPN GLPVDPNTGFATTASGLPVRVNVPGYASSSGKSYFKKDTQPWSREVGLRRGAAALRSA RTDPSETGDGIRELCETVLWLLNGQERGELARPSGNSNGVGTSRRDVTQLVREEVVKE EQEVVKKLLEEEIKGEGGDR NEUTE1DRAFT_123074 MSFTKWFKGSGSGTNDKDGPSLKNSHQKKKRSEDEDHCTQDDME GYVYDNNYHYQPAPGLQPQGYGYSQRMAAKPRKPRLAAQEGHFDAEELTRRLLDVLAE QKTHEIKKQRMREARTVAAATDPATQWQQRQEAAISALNRAPTAAGRTTGLRKPSKSY TDNDSEAAPEEQEHRHVPEDAARAFKKTDTNKAICRQDKLDKQAHGEHRRQISEHIVE VPMEERIRALPYQTQPRLTLADEKRLKREQILNEASPAGHKAHGQTVVERVKRRHDLN LEGALSRISVACASETNIGGLDHSRAASKPAPAPISATAGKQQPGERSKEPESVIFPR PLATRTMTTTQDQATSRQGHQPAAPSAAAPQTAPEKNTNSVETTLCSENDDLSALPSP TTTQSQSQSLSKRFRASPFVKKSPSLLNLRQKLGMTGGSGFGSGSGSGSSGSPGSPVT GNSSGSGSELSTATTGISRGEEAATSPLTAASSCRAGAGADNQPQTQTQTQQGWGLLK KTATVISQEKEKTRMKSKKSGFFAKLGGSVKKGMF NEUTE1DRAFT_83897 MPMSEPPLSDALAGPPLEQSPSQLQPTPLTESRRLISQVKQMLG LTGSGHAEADDPQLPELLPKLPNLDLDLDLGLELPADLCAPIEFALPLQCTPTKLGTT HPLLASSAGAADPHLLHQDHQNTNKTTNLDDATTAATSASAAAISSTTQPTSAATPSL LVSQGALLLPADDDHPGPGPSSNYRGSSASAVLDDPFFCRPVGAPNTHTTDTATTPDF HPSLILSQTPSPGPGPVPSPQSESTYSYNRNDPHRPWPPPRKESLRVDSPTPWFDQSR SRRAMASIHIAVIGAYGAGKSSFVQRAFRLQRPPELNISTLRMEIHGTRYLVTLVELD LDGFKDLDPGQSIQWPKQIDGHMFPHMPDGALILYDVTNTDSINDLSPTMTSLANSSL PTILVATKCDTPASIRQVDMNAVAHSFPSCVAHLRISSDIPGGAREALLTMIDAILAN RRGTLRTPGIRLEKGSETFMDFEELDLESHRLSDDVATMARNQDYLEDEAPKLAGVTF DELIDRIIAPKMTRADNNFADIFLCLYRKFATPCELMSALLSRLDRLRDDTDMPSLLS IESQMRVIEVVAKWLSLYPGDFARTATRRRLEGLISDLATNEVFSAAARQMKGNLEQK VMDDDDTWWAKADPVDDEDDEEAGRDVERKLAESVASVQIDESGGYDPRRPSHSSELS GADPTAKFTYHSIEDYEREAAALVPSPVLPPNKIRYHSFMDIDPDIIADEITRIDWIM FSSIRIRDMVRHVSLPADQKEKCRSLKNVNRMVSHFNHIAQWVSNMILIRDKAKHRAP CLERFMVIAQRLRTLNNYNGLAAVLAGINGTAIHRLAQTRNLVSADVQKRFARLVLLM GTQKSHFAYRLAWENTPLPRIPFIPLHRRDLVSAEEGSRTFVGPNNDRINWKKYEVLG EVLLPIMRSQGQPYPNLTKHEPSRELILDMKMPTDEEDIYQRSVQVEPSTGGESTTRK KFAWLVNKS NEUTE1DRAFT_83901 MLATTPYLTIRRPSPTTAEFTLTTCPPLTLPLRAALFGVLCLRF IAVLSVIIGIYAAFFSPTGLLPPPIFPSGRISFLDFDLNNFLLHILHLLYISRPGQYL ASLAISLPPYAVLALSALTSYIALFARIHTTESLLVLRGLGIQMSSSVGGGNFFRLGG GTFMKRTRFIPTEKIQDILINEAFKGFEVRYYLVIVVEGEQDVVVCFPRLLPRRKIVE RVWRGARGCLYEKDGPVLSAGAGGGGGSHGGNGAWRGGSGNGNGKGG NEUTE1DRAFT_83903 MELEEELKRREQAPEIQRQERAEERALAAAVTSTEADLKAAEKK KKKAAKFDPGKYSTRLIALKFAYLGKNYNGFEYQSSAKMPSIEEELWKALVKSCLIFP ERPDEVDFGPWEYSKCGRTDRGAAAEVDHQKPEWDPIADEIPYCRVLNRLLPPDIRIM AWAPDLPPNFSARFSCRERQYRYFFTQPAFAPMPSTLEPPLASSGQKSGGKQGWLDID MMRKAAKMFEGVHDFRNFCKIDPGKQITNFSRRIFESDIVEVKDLESDLPYLGLPEFQ PPAGSDGSHPKVYYFHVRGSAFLWHQIRHMVAVLFLVGQGLEPPSIVQQLLDVEKNPR KPNYVMADEVPLVLWDCIFPKLSDDEANAVVPGGSGDGKDSHDPEAEGDVEMVDSIDW VWMGEDDPMSLYGAQGLVTELWGTWRERKMDEILANRLLEFVAIKPDIDRKLIKGASP APPKGIKKVYEGGNKAQFRGTYTPLFKRQLLASAEEVNDKYAQGFGFKNAEEMTKTKN WRSAIRERKDKNKKGGRSKAGGAETPVQESSS NEUTE1DRAFT_123079 MDSQTITILFVGDERCGKSTLLSDLDQPFIFDIRTRRGDYRLEF YDTSSPESWRLLWPDLVILCYDISQRLSLINLQRVWNKEVRTAGVTGDSTPVLMLGLK RDLRSENDPNGTIYPQEAYRMAQEMRLDKYMECSAVTGELLEEVFDDICTTALKTTTS EGGQSEGGCTIM NEUTE1DRAFT_45000 MKILEGQSNLVSNHEVYEHILEQQQKNRSKNRRVPPNQFTLTKD LLTYLRTKPGPLANQDKTHQYSTEAVYELFKKLREANLQSDLSKGEMLMIINLRPTNV AVLSTVVEDMLERFTEDEQQKIIDIITETLGFDEPTEAAEGEENDEDAAPSIENS NEUTE1DRAFT_147204 MVRNIVVLGGNSHPELVESICGILGLPACSRILTKFSSGESRCE IQDSVRGKDVYIIQTGFGGNGSRLNDHFMDLCIMISACKTGSARRVTAVLPLFPYSRQ PDLPYNKAGAPLYKAPTPNGENGKNQYTFDSVPPTPGPGVPKTSGLTNSSDIANQMIK NALTNGSSKEQYTTHDYENLSIVNGFQAKPGYKQWVAQAGTLVANLLTCAGCDHVITM DLHDPQVQGFFDIPVDNLYGQPLLKRYIQQHIPNWRDAVIISPDAGGAKRATAIADSL GMEFALIHKERRPTKITDRQNASMMLVGNVTDRVCILLDDIADTGNTITRAAKLLKKE GATTIYALLTHGVFSGDAISRVKASAIDKLVVTNSVPQDEHKKQLGSKLDVLDISPIF AEAMRRVHHGESISVLFNYE NEUTE1DRAFT_123081 MSVRPPVAETIFDLIVFVVSLVNISNNSRQLDLIGAPNYVEPLY LIYRLTTTTTTSPSPVTSGSEPPAPPPRSISAPEPQQPRRDVSYFSRPTLAPPAHSYC GYESPSELEDGYITPDPTHHRPGAPSEPFWATSSASRRQKGGGNNTPMKASMDDVLTK GRNLSKRKVSLRDRITCYQWTWFTMTMATGGVANVLHSNPSAPSYAGTIFITICQYGI PNTGPWLLKAMEIIFWIYVGLSFSISAFLYLLLWSTTVFPIHTMTPVWVFPAYPLLLT APYGANLIAAAVKSGHLTRAGQSTINPISIALASVAVQGTGFLISFMICAAFLYRLMT QKLPRDYQRPGVFISIGPGAFTAAGLVQLANSAPDFLPTEFLGTQGAVAISILRMLAY MAGIWLWGLSCWFFLVSVGSLWKYLRPERKSKLQFQMTWFSFVFPNTALVTATEQLGK AFESDGLKILGCVLTGCIIVVWIVVFWRMLECIWRRELLWPKEAED NEUTE1DRAFT_83916 MSVPGHISRSRTGSIPASSKGRTAHMQDFAMAPTPSAVPRYFEP CAATASMFLYAQGSSIVCCHHDSLSIERRFARHTEEVQLLAVDNLSEMGTGRLVVSYD AGQTAIVWDLMTGDEVARFASYENLTCAAWMHNGNVAFGNIQGNVILFEPTTSEHISA RTIDQIAITAIAPAADCRTFAIGYQNGSLLIATLQPRFTILHNLTTSRGPSPIVTLAW HASSSRQKSDMLAVQTHDGDLRVWSVSKQYNADDPAKVDRIGWAGLKTVVLFNIQKGL AVYGPGATLFTLGANNSVQQFDLNAPAMMVNNVQHPANMLPPSPPISLEEQEKSQTVM HHNADHGVPIAIHADLSESDDHMSPLARLVQGQSEPDRYRPTSPTSSRSRSSVSITSS NSNTQGRNQYAPSAVSRGMTENTYISAGSSLRSGLPGHDHRYRRDRESLSTTSSVSMG SSNYRLRRPSRLRHEVPRSPDDAKVVDLFKFTKSRLQDMPYKTPQINDGVRLTNDDLR RQMLSTIFGWNKDIEDLIRDEMSRHPLGSTNRILLAKWLGDITTDIMAMGSENMTSSD WMLLALSGIGGQASQHKLGRAYVQRLLESGDVHAAATIMIGLGDHNDAIEIYVSHKKY MEALILTCLFFPSTWERQVQIVRKWGEWAVQHGQQQLAIRCFACTGHESTEPWTSPSA QQITFGSISATVNEVTSPPLSPPARNHGPQRSVAKNSALKLITTFGDNKSKFFSGHDD GRTPIAAGATPIVQSALSPGGADPTTAVLRNNRSQFNTPSSARPPNGGFGRHRLPSIG EATQDNRELLTAISKPVGDPYANIMSFEVSDSRTPGYDLPRAQTASPRVFKDAKAPPP PSPSPAAVAALMEGRQRRNGSRSRIPEGLDLSLPVHNDTRHDEPSSPEQSGNSISNAQ YHWPSRRKGSGSVASSATSASATSSVRGYRNHVPGKSMDKYYHSLEAAGSSRNARGTS RDGHGNGRETSRSRRDDGDLSRDRGRGSSRGYTPKGGKRSPKSPVPMSPEDLINLATP REDLEQLGHGKGRLIDFSDEQDQPSVAKKVNTRRETSRVRMASRGASRNGRSSSRARS SERRKPPALDLRGREASREGSRQRSPSSPVPMSGQAAHFYGSEDEEDYRRALEDREKF RQRHNRSVSKNGRGESITSPISGRGHDWGGRERSTSRQRSDKRSESHQTDRRQRIPVP MPTPAPMQLVTDSSGDLKVMMNERQLKKEQAQRELEERRRSLARRPSAPPILHPDELT PARLDTVMELPSTVFVPPRREDMPARSASVDPSSQGAGRSMYANRGPSIGLPATPKAM RLVLGSDASRNNVPVPAIPANFQQTSAASSNQASPDKVSQRQVELKQQEVEPPMKSPA MLLPSTVYTPPTSAFNRPFIQRSMSAPPQDLVPPQAGALSNLRGFHAQQPSVGGEPKP IMGRRPSHDAGRNDGPIPPPPPPPPAPPMLRELQHLAAPPPPPPAPLPHLAGAKPVVY GGSSGMIEIVMDDDQPQQPPQQPQQQPPPPPMLLPSTSYTPVNTAPIPKEIVVPILSP PAPSSRNGHARGRSSIDHSIGGRISRVTERMRSASRSRGAMRDNQAAPMIPAPYESVP MPGMSQSMNFHMRAAAAAAAQQQPGQSDFKTGLHHSEMI NEUTE1DRAFT_101859 MNSTKATLPRLRVSRPRNHDDDVSGQGQAIKDFIVANIKILNGN STFPSRTARRPDGQASEKIRWQWQDEPVRMRFQIRPLKSRNGMDTGDRVTARIRVLGL CAKRSSGWPELVAATHHHSRWCKSQA NEUTE1DRAFT_138698 MEKRKHRLDSYFTARRQQPTNVVEDDDVLKHPYNDKVCAGYTVK PAQIDRYPATSIILE NEUTE1DRAFT_17886 ISRPMDISPINISSSGADASCAFPSWPRRTSLCESESDYERPTS FLSDEDLMGDVFDDDVRSVSSSGSSPMHSPPKAPFMTEAEILEMQREQAAYQREMVSL LVREKERRKAQAKRQRSAGKASSKKSTKSKLSAMTPIAESE NEUTE1DRAFT_138700 MTDAAPGTQTLGHPSPIPDFFMKLGPTTPQQALTVDVVVDAAFR PDCYCTRATGLKAEQEPVGLLIGPRMLRTCVDR NEUTE1DRAFT_83919 MQTLRSFFAPPDPQAQMRKCNTLLRSNMRKIDRDIAQVKQVETK TKNLIVAADRRAQRNPAQRKQAEKEVRDFARELIRARKTTARLITSKAQLNSVQMQVN EAFALRKIEGSIRASVKIMKDVNTLVRLPELAGTMQELSVELMKAGIIEEMVGEQLPV DVDLEDEEEEAEGEVDKVLGEILKDRMEKTGGLPSVPEPGQKLPQQPQHADEEPEEED TEAMMDQMRNRLEALRS NEUTE1DRAFT_117392 MASTVTPEVRWAQRSSATDPESNFIYLTISVPDVPTSNLKLDLK PTGLVFTGHSDTLKKTYHVELEFYAEIDPAASQVNHTARDVEMKLRKKELDAHYWPRL LKEPKKVHFLKTDFDKWVDEDEQHEAAEDDFSNFGGMGGMPGMGGMGDMGGMGGMGGD FGGIDFSKLGGAGLPGGDDEEDSDDDMPPLEGEGEADKAEEAKDAKETVDTSKEAA NEUTE1DRAFT_147209 KLQQLLLTHPNLKTIYGKKWWTTAGVNCIITENGHKIEATPIKL QPSTPSVNNKSLDLRSCHLQDKTNKNPNPTTKTRHEQTLRPEQPHPPSSKHGLYHHLL PTKLILLQLQHHHQQQKRFTFLSLFTPTPFFDVIALLEHRLQLRRYRPYHHHQYHLQR GQQPLFLLPIVTFISIGFLYSWRGREGCTDGSIYLGLAG NEUTE1DRAFT_123085 MSGKLDQSLDEILSTQRRGKSNARGRGGRRSAGGKPTNAAPAGG IQKNTKPARNAAKPAPAKSAGLTGESKIVVSNLPKDVSEGQIKEYFQQAIGQVKRVEL SYGPGGTSRGIAHITFHHADGATKAYNTLNGLLIDNRPVKVEVVVSNAELIPQPKSLT QRITQPKAQPKSAATVKHAANAPKGAAAAKPGSKKGPRRPRSARPAKKTVEELDSEMA DYFESGTTDNATGAAPAAANGGDAPMEDEIL NEUTE1DRAFT_123086 MYRYIDAASRPSRWKAVHNTRQSASSLSNLRSSRCRTASAIGIT KKNALWTHLNSPPSIASRNKSTATSYGTERIPDTDFSYANDTMISDAELYRLAIVLGC AAMVLIVLHHFLETNAEDLETDVVQEKKATKVAPAPTKAK NEUTE1DRAFT_83932 MPPKKQDPKAAAGKKKAVEDKTFGMKNKKGSAAQKQIAALQASM KNGGNAEQKRKEAEKAAREREKKAAEDAKREADLLLNKPAQIQKVPFGVDPKTVVCIF FKKGNCEKGKKCKFSHDLEQERKVEKRNLYQDTRAEEDDKKKQETSADWDEEKLRSVV LSKKGNQRTTTDKVCKFFIEAIEEGKYGWFWICPNGGDKCMYKHALPPGFVLKTKEQR AAEKALLDKSPLRTLTLEEFLESERHKLTGTLTPVTPESFAKWKKERLDKKAAEEAAR KAKEATGRALFESGNWRMEADIDSDDEGDDDAWNLEKLRKETEALQIKKEEERLSALH GVPVTLGEAKDVTGLSEPTLGT NEUTE1DRAFT_65254 MPYDPDLYPDDDPIDNFNYDPKDDYDELGDDYDPDLDPNQQRDH EEDVDEFYDAEDVEDEPPLQAPAKVPQHPRRATLSPLQPSRSERHATPTSTSVRDGTP RSARVAVMLPVSVKKEAYISIPDVASDEEEEEEEEEKTEEGDTMVGNFVTSDLMPPTP KRRRITERPSTKPTPTVAAPNYVPPKVQPPPFPIPDIPAPVPLVNPTKKRGRPFGWRP GLSYAAMRGNPVPPPRPKVPKQPKAPSEVKRRGRPPKKPHELPREIFSKLTPRYIRFL CEWEGCPAELHNFETLRKHVLVVHGDYRQPHQHHLLSAREQPQEPKTCKWASCHSKRL QSELPPLTLPTKSHFEAHVNESHLIPFLWHVGDGPRNTSIESPLSEKPLTITSALPSQ PSSSSSISHLDFTTTTTTTTTTATTSTAIKLQPLPPYLFDASGNQVTSSVRDQLYEND DDKRRRRVRLEQVHFLRDENAAPEPVYTQAERDAMEASLAAKKKKQDEFWEYYEKVMG PVVEVTVLAADQEDLEVPENPSGNLGKEVKRKMLSCGWDPQWRGLYQD NEUTE1DRAFT_65256 MPSTKNANGEGHFPSRIKQFFRINSGSKDHKDRDAHTTSSSHGG APRADAKTPSGFRQSRFFSVGRLRSTTVVSEGNPLDESMSPTAHANPYFAHQGQPGLR HHNDGSVPPSPPDTPSLKVDGPEGSQQPTAATKEELARKLRRVASAPNAQGLFSKGQG NGERPATAELSKEPLEESKDSNTVGFAEQKPNNDSSTSLAAPDADGLGALPPPIRQSP LAFRRTYSSNSIKVRNVEVGPQSFDKIKLIGKGDVGKVYLVKEKKSGRLYAMKVLSKK EMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSEDYLYLCMEYCSGGEFFRALQTR PGKCIPEDDARFYAAEVTAALEYLHLMGFIYRDLKPENILLHQSGHIMLSDFDLSKQS DPGGKPTMIIGKNGTSTSSLPTIDTKSCIANFRTNSFVGTEEYIAPEVIKGSGHTSAV DWWTLGILIYEMLYGTTPFKGKNRNATFANILREDIPFPDHAGAPQISNLCKSLIRKL LIKDENRRLGARAGASDIKTHPFFRTTQWALIRHMKPPIVPNQGRGIDTLNFRNVKES ESVDISGSRQMGLKGEPLESGMVTPGENAVDPFEEFNSVTLHHDGDEEYHSDAYEKR NEUTE1DRAFT_130498 MDSAEEQNNAHEAVYKHQLHHQQKPPRDASSPFYHQRLSSFASP LHEFETSPAYILEWDPKKQCRRTIVGSPDDLRELISGMDKDGTVTLFVFHGLPANYVD ILRNSEELSIDSAFLDAHATRRSYCSRSGLTLPRRRGHSNIRSAEAGCFAQFEYPELI QGFDCTSMSRREIHHGSGAGLDGLDLMVTDPKVLPLTEDGKTPKGLAAAFNRASLWIS STRQQNPRAGVLFLDKPLWRDAAPSHLRKASFPTTKKTSPVMEAQRPRVAAPDGYDNR EDEEVPCLEDLVYEMLCHNSNENTQVVDPASFIANVLSDIVYNHWLELFEVLAPPTAS QPKLIEREVSKSITLYWYMVQSLERNADFTPFYADRNGHIKGCDRGSSSGSEWKTLLS RAKRRIALLNASPGVPILLPIPTPPENPPTCVTQEKVKPPKKRSTAMGFTAKVTNIPL SRTTTPGDNLNRIATYASLTPQRSHLHRISSHQHGTSNRLSADNQRALERISYLGGIL LPFPIVSGILSMGDEYGPGAPKFFIFWAAVIPLSIVACLIIYADIVRKAEVWVEVATE RVVVSSLSSAAAVFPYPLPDLPVGVNIDDTGDTCGAGVTDMDRNRGGGDTEETPPKDF LSLKTWRWWIGKLIWQKHRRMKRQMEMRRHPTHDHGTEVNLDQEEGGSYAHQRGQTDE EQTVGINPFVGSTDRTGFYPPPDTASPTAQPFSQTDPHKQRPSLPPSFQLPTATGSHY GDSNIQDNGQVLNEETVDTTPITPLSPGHVHLMMMPPGMTLAQTMSYPNPQRRVGFDA DTETETTQMDESEEGSVSGEALPRVILEMTPSNGIKPKAWKRQELGWYGAVKRIVNPK QLRALEDVPVGVEAHERQKSSASGIRRRKTRTY NEUTE1DRAFT_18544 QQQSNSLLNSPPELTPTEQDVLDEYERLAENMKKLASVLDTLAS QPSVAILDGLRELERKTSLVFTLMKASVYSIVLQQEIDWG NEUTE1DRAFT_130499 MSAARFAARSFLSRRLAATVPVAAPAASTPAASTCPAAQQQQQS QFHSSAHLEARRRSRFKNVRAVEMGLTSDAKIESFTKKKFAEYTEDEKAALAHNYPAE HMEAIEAAEAAIDPRDLTIQGRLRVDPYRMPYIDDFSEIQPIIDKRARRSAPPSHKAR FMDVDEFTQDLINWADEIRRGEPTHRMKKLRDFVPEEFFEKPEGQWPKDVRDEAFTKF WAYLKDQKDADAKAAANATGPTDGDILSYILERSSMTDNNLQANSSLAPALPDKVPGV EGKYRNAIDPADDGLDDKGQYQELKKRTGMSVRQILQLKTKKLVHRRVVNQTRLGKIA SDSVMVIAGNGDGWLGLGMAKSVEASIAVEKATLLAIQNMQPIPRYENRTIYGEVTTK VSGTIVRLNSRPPGFGLRVSHRIFEMCRAAGIRDLSAKFLRSRNPMNTVKATYQALLS QPNPEDLAIGRGKKLVDVRKVYYGGSVY NEUTE1DRAFT_130500 MGQFDWFLKIGATPQAVAVLNDQPILFTILIVVLIAVILECVLM WYIHYATMKPEQRAAKNKKKKAGTGDNKNAAPGGGRICGNGSCGLSKEYADGKRAAEV KFDNDGR NEUTE1DRAFT_147218 MEGTTVNLGSITVVHKNSPLSLELTASFLIDSDTTALGLNQLTA TDLSQHSKDGNLWMAKSGSCQLVFKTFINGQLPDSNVIHPPIISSLTGMAAECSLWEE LGLELKAKHLPLPFGSQEEDQKSETSYFLCHPDLSSEMIVPHTLTCVKFKGLSCNINL RLDTSWRRAGEPKRPSKMADEEIAQVGLKPPVVEESRTGARKNRRRITRTVNVKYTDT SQRQAKKACPVTQPDLANSNSDPVILLSISEEEKKEMALLLDAALRKLIGVKHTFPGV KVTEDRQFPSLIEIAPAVWNLRYLQSMTAHAQTIPAIANGLARLRSARSAMLRDKVNK LLGAGAEDKAISSTLSHSDDLENGIGKEVEKRLWSLCQTSIHVKPASKTGKRKAKGNG DAPADTQEEEILEGYTQLTDDGWVNHHPSLTHDYHPFDLFEQGANTNTDMDTHTDAVE YDYQYQFGIVALLGDYSEEETYGEDGVEEWYDVEGEDMTLDELQPSSEGDYVYADGLG RLHPISRHQAFQTCLMGGPTLTGNELHFEEMEDDDGEEFGDPEEYL NEUTE1DRAFT_83942 MYNTNSMQTPDDAEAPLSFIFPIGYSYYATSKSLSTHLYQKLVD RYWRRSGTLLYRPNPRNSCCPHYTLRLDSTEFKPTKDQRQTVNRFNNYVIGPDYTHAA ARVHPRTRQEARKRHNEFDLIDRIHEPEAQFLKTPPEPAHKFTVTLEPDEFTEEKYLI FENYQRLVHKEGPDKISRHGFKRFLCNSPLKRETIYTSDGVQRQVGSFHQCYWLDGKL VAIGVLDLLPHAVSSVYFLYHESFHSHNPGKLSAMREIALAREGGYRWWYPGFYIHSC PKMKYKMDFKPQSVLDPETLTWDLMDKEALAVFDAKHYVSLSRERQRKESGDPPQIFK NHFIRPESDGEGDEEEGDFMLGSSMPGIPSLEEMKQVDMDNLVLVSDATPGYFLASDL VSWEQQDIELDRKDIKSTIAELVAAMGTDVMGEICVDFRRRTRVL NEUTE1DRAFT_65267 MAASNTSSGNRSMESRTGRTKQRYNTKGERLVAGVVPLSADKYY VMLIQSTRRKGWVLPKGGWELDEECHEAAAREAWEEAGIVVQINYDLGDIQDTRPPKK NPLKEKERSLYRFFEATVTSEEPEWPEKDKRERKWYTYAEATELLKERPELQAALDLS TIKR NEUTE1DRAFT_65269 MAWDSKSTTGRRRGNSVASNASRGLRQGPRPPTQPKSAHVADPF LHDFLGPSFDAAAYLNAKLPPLQTSAGSSSSSSSSSLLASRSAVNQGTTTAAAAVPLS EVSLQAQSVLSQLNAHTTRLTNTLTQLTDDILRSGSRLAYEVELLRGETLSLAETLTE GLQEDVAKFVPSGISIPEAPAPTPAPATTPASSQQQQQQQHQQPPQPETPAEVSAATA EEEEPQEPPHITQLRTLTLVRSRLDSVIQTFGSAIDFVFPPSEISAVSSFLSVSAPAP PDSFVSGFGGDPDSVASTEEKGQKVLRALRDEISSLLESNQDKNNDDNDAIKGIEEAA KRVGELKELVKVWKGTAEERGRLKFVEGLARMVEERHAALVKDLESGGAARKGGDAVG GRTDAEAALRRVVGGEAEQALSPTSSQIQTDSKGLSGYGLISQLNKFRNGL NEUTE1DRAFT_65272 MASSQHVLLSPAELAYLHASLSLTPPIRPDGRSPTQFRPLVAET GILPGTNGSARICFADGTEAIVGVKAEVERTRTRHDFPSLDESAEDDDDLDDNQNDVV KGDNDWVEMTVEIPGYRDDDAGTVFLSAMLSEALLADGEFTKRLWINQRFHWKLYLDI LLISPPLSYPLPLLSLTTHLALLAARLPRLKSEGDEDPLFDDDWAAAPYLYPRPSEKG SKATAPAPAARPPVTLLVMAVGNNIIFDPSKEELAVADVALAVSVGESSSSTTTTTTS TTSSKPTAMDIDTEETKGHNLRLLSVRTIDPPSRLTPPGIPNASNSAYGANAGAKDTE KKKQQQEQARTAESEAVEGVWKAPRGGAKTLVMATMVQKVLEKGGVADEVLDALDGVD LN NEUTE1DRAFT_83954 MSSATSTMIPRFLLPQYGRIWQRPTQKLIQRRLASTKSTAEANS TPSGQRVLAKPERFNPPSHGSRLPNKKNVPRHYGGDLSFQEKQAQAQKEYPGLMPGEG TFGWWFWNNKWFHMCLTLGSLFAIAIYTATENFKRTSPFADMLPARGDFFSHPIDSFS HLGHVIRLHEAHKSAEISARRQRAIDDVAKRTMYRKAHGLPEEQGIAGFIKLKEPERI ASVSRGVLEPEATASAAKAEPQQQEKQ NEUTE1DRAFT_117400 MGFSAGDSKKGANLFKTRCAQCHTLEEGGGNKIGPALHGLFGRK TGSVDGYAYTDANKQKGITWDENTLFEYLENPKKYIPGTKMAFGGLKKDKDRNDIITF MKEATA NEUTE1DRAFT_83961 MPGRANLRHGIDRDVYQLVLKLEIEEEDRREAIIEKEGKNSKNL SSRRGLSVSSVYDSIKRSNSSLARQKKKLLEDSIERVLDLRREEAKKDAVEDSDDAIE AAEEAEAAKRNKSAEAMATGFLNKQIAKAWGLAAPKLPATMAVSGEGVAVVENGGGEA GAAGEGGAGSVPQTPGLGAVAVAPGSSERQANGEPRGKKRKAEKISKEKEVDRSPPTG ISIKDIAGVDDTLDKLLHEVWFPLCAGEACAKMGYRYDNGVLLHGPSGCGKTTLAHAV AGSVGAAFIPVSAPSIVGGTSGESEKNIRDVFDEAIRLAPCLIFIDEIDAIAGKRESA NKGMEGRIVAEIMNGMDRIKQQTPLGKNVVVLAATNRPDFLDPAIRRRFSAEIDMGMP NERAREHILKSLSRDLNVADDVNFAELAKLTPGYVGSDLQYVVKAAVSESFRGSLDAL LEKARQLRAAAAEAATAAITSDSPEGHEEQQKQIQKELDDTLTGISQKQRDWLLLEDH RQASWSDSCITMAQFRLAVSRVQPASKREGFSTIPDTTWAHVGALDEVRKKLEMSIIG PIKRPELFTKVGIKPAAGILLWGPPGCGKTLVAKAVANESKANFISIKGPELLNKYVG ESERAVRQLFARAKSSAPCILFFDEMDALVPKRDDSLSDASARVVNTLLTELDGVGDR SGIYVIGATNRPDIIDEAIRRPGRLGTSIYVGLPSAEDRVDILRTLYRNSIARAKASQ AAAAPPPRPTPTTTPAVEGDVMDIDGGSGAVLPGQQTPSATAAAMDETMQQAEKQLER VALDLRCTGFSGADLGNLMQAAAQACLERAYLSQMYQSNKESGTPTEEEKVTDMVANP VITPEDWEKALNEVKPSVKDPEKYVHID NEUTE1DRAFT_95308 TVRSWETTHHLNGAVYTGRYFRVTHTNHVCVSALRNKVAYSRSA RYVLQGITSRLICIEKEVRIIWLYLRGSTSGKGDGAYDSDKLVWNGVYCFWFS NEUTE1DRAFT_44819 MSQQSNNQENSTSLKGNSRDGSNGGTSTSTSSLTNAGPSSDGQF WNSTQRLAKKYRTEVAASASSVLSTMSTFPLDSVKTRMQTYKYAGFVDCVKQTYHTER LRGFFRGVTAPMLSITAVRTVSFSIYQRSKYAYSDWVKRNFGFDVMSHVASHGSLPNF WTVATFGAAGATAGSCITLIACPFELTKLSAQVSVLMADKKNCLKPGSHAIAASYQNK GTLKTMGNIVKHRGLGGLYTGFGLHLTRDTLGTAMYFITYESSKQLLTTFGGAGTHNN PFAVLIAGGMCGIVSWALIYPVDSAKSIYQRNSLMYSKGEKVEPVKIRFFQRNMYRGL GVSMARSCAVNAVFFSSFEFLKKRIKAMEDH NEUTE1DRAFT_130509 MSNQELVHAYRHLLRWSLRAVQFSKPARFVVRDTLRAAFRDKNG TFEPSRVKSTLWFLESAATYIQNKSTGPWRIRAQTLSKSQHVRSPGSSGQERFEAGLS ASILYAIFGMPPSSRSTDGKKEAITRGQTESDESAPIVVITPQIGDTFAHRRIFPQVS PHLIFDDSGVNGGLRSCHWGGQPCPTSEAQEDAVDAHSYALPSSNFWASDDGGLRAVN QKREGQQL NEUTE1DRAFT_138722 MAVITPMVPTSTAAMIPNRVPISVATPAVITSAAALRRQIGGTD VPILTNICGYAVAEDAHLKSISPPEDMVCAMFPPNRLFGFCSKKSSLKNSHDIVANCG WNAWCIDAYNCSNGCGIAEARAQFGTTRCHAASNPYCQLTRLTNTHTDEADPYWSVGC GDGHYTIHNYMVDQKAALGTSVLATIAATTTATTTTSSGKRNSVSTVAPLTTGDDNLT ASHVQAPVPTISTAKPVIPTSSPQPPEPPTLQQTPSTNTLLPSPSLSGVIALPPTLTS TSSTQTLPETQTSDFPTYISSANTPSEEDQNHKRHNPKSAILGSVIGALAVIYLLLFT WWYRGPRRRKRAREAKEAAAAALRERGFWRPGAPEHGLYGANRPPHGIQELQGTHGPG EIHELGFGTMTNPRTPTRQRMPNTPQTKTKNGIGDAHGSGTGELPGLSPLSPMVLSAG WGGSQATSASAASTTNMSGVTSTSSTNSGSRCGSGCRACAESGIFGIEKPAKETVTAT IREVAELDGRNVTFEVRDRVRREQLEGINLALEPVRWKGSGSGGGTGTGTGTGTTKGG AFGAGGGSNGAASTRMGAPVARTTLVPPPEGNLV NEUTE1DRAFT_147227 MVDHVRDSAPGGLEQGAPLSRNTSTSTSRCGRGLLQNSSISSKI PPPQPTPIANHLPSNIPLRTLSAHAAARDDPSTHSHGNRKQGSSSSLAPHPAERLRYS EDGDGDDYNDYGSSESSWIDTGDIAEQLDHEDPLRQRLYDTLEDDTLAGLVHHRHPKR HRHGGKHVHYHESVSRSSAHSSRPSGQAGAVDKEAIRIPDAAPRTVSRAERLIASIMA GTRNPIHGLTGKPLIYFTSIFVSLGVFLFGYDQGVMSGIITGPYFKDYFNQPTSAQIG TMVAILEIGALISSLLVGRIGDIIGRRKTILYGSCIFFVGGALQTMATDMAMMMTGRI IAGLGVGMLSTIVPVYQSEISPPHNRGKLACIEFSGNIIGYTTSVWVDYFCGFLEGNL SWRLPLLMQCIMGALLGLGSLIIVESPRWLLDNDHDEEGIVVIANLYGGGDIHNPRAR DEFREIKMGVLLARQEGERTYSEMFKRYSRRVFIAMSAQALAQLNGINVISYYAPLVF ESAGWVGHDAVLMTGFNGITYFLSTIPPWYIVDRWGRRHILLTGAVAMVLSLSAISYF LYLDTHWTPSAVVVMVMIYNAAFGYSWGPIPWLYPPEILPLKIRSKGASLSTATNWAF NFLVGEMTPILQELIHWRLYLIHAFFCAVSFVIVYFLYPETCGVRLEDMDALFGDSTN AFGTPTVSTPSLHAEVDPLVAPGSPVPRLDIRGRPLASAAIPGLPIDPPDEVEIIHKS SSNQNRGGIAGVLSRLVGRRGRGSAEGSDSGSYAPLRQGDD NEUTE1DRAFT_83971 MGSTQFGNFHVSSQRRRRWAQKAPGSPFRNTDSDSWRLHIYRTS VEIRLFLSAMSERKRAAVGRREMQVFLLGYIVISICEIFTVGDFPLNSTVRIAFTAIH IGFIIATTWILMLNAIVGYQLLDDGTLLSLALIVGSAAILLVGTGYIALDTGLHWTGY WDSSYELPKNRHIALYVLYQLAPLVFLVAYFVLEAILVIKILGETRPMLYLASAAILF AAGQVFNYVVSKYICDGTSGKIDGALFETLFTLLAVVMNWVFWSSITEDDWPTVPPNQ FP NEUTE1DRAFT_123107 MAPSEPSTQSPPPLSTTDDEPAAPTESEPLLGGPGDALQKPDAP IFLNLVLGTAWLSQLGALLLLIATWSAVFLHKLGALISPHPLLQSLGTFLLIQAILVL QPTSTPDAKRLGQRIHASLHLLSFLCFVSGITIIETNKHVNHLAHLHSLHAYLGVITG TLLLAQYVFGICIWAVPAVLGGEEKAKSLWRYHRYAGYGLLVLVLATVAAAADTDYSR NVLKIKGWTFGLGIALVVVGVYPRVQLRKLGINTARQEATRAV NEUTE1DRAFT_65297 MTDIDYKLEAVPATRIAADDIDKTFRSSTIDLISGALGGKVLGF SDEWFAEAANLLTPTAPIRQPGKMVYTGAWYDGWETRRHNPAEFDWVVIRLGVASGTI EGVEIDTAFFNGNHAPAISVEGCFSQNDDEVLSWKGERGGWETILGVQECGPSQRFGW KLESPTEKQYTHVRLNMYPDGGIARFRLFGHAVPVFPDDTDAIFDLAAAQNGGVAISC SDQHFGTKDNLILPGRGKDMGDGWETARSRTKGHVDWTIIRLGAPGYIQNFIVDTAHF RGNYPQQVKLQAIEWKSEGEPGADAEGWTEVVEPIKCGPDQEHPVESLVKDKPFTHVK LIIVPDGGVKRLRVFAKRAV NEUTE1DRAFT_65299 MDNGGFDGGFGAGGGDEGGFGSDGGGYEPQQQKKQQLPPDLPRS LDDRRHAPNEHLVTETEMYDGWQGQSQFLTTPIPAKPLSFSNLNLNDSQFDEDITKGG ADSETRLMEMLAAQAAHSASAIFEDENQIANDDKMPQDEKKDVLQRAFIMAASNGNVE SVRKILDGPARQYVDLNAPDEEGTPALIYASCFGHESTVQVLLDAGADVDKQDRNQWS ALMWAMTNRHKGIAKLLLDNGASPEKKTSTGRTAFDFVAPDSDMSFYLHDSGYSIGTA GADDFYNPGFSQDKFEEELAENEMRRRMMMESARDLEVDLGNVGMDDQPEPIDEFEEE QQEFDWARCLHDQMFVFQESELDSILDIVITNMTPQRSPSQKPVPANMIFLGARYAHY HASRELLAKLLITAMDKINNVVERYQWDMTILAFWASNATLLLHYLKKDAGLVEATTE FQAQLSELINEIFILIVRDAERRLDKVLDAGMLDHETIPGFENITFQNEWTIFKRKKE VKEPPMEKRFRPPSPKQRAKPSPRNVTSLLSSTLFVLDLYDVHSVITAQVISQLIYWL GAELFNRIMSSRKYLARTKAMQIRMNISALEDWARTNNRQPEHYEGGEMKSSGETTME ATRRHLAPVIQLLQWLQVLSSLGADDLEALIGTLQQLKALSPQQLIHAATHYRSEVGE KGLPKSAMKYLTALQAMRKERKRESQMSQLSVTSSIKERVGDGSVSTPVTPISRSING SPWASTPGGATSSSEGPGGGDGEGDEDDEDAPANLLLDPAFMLPFTLPSMTDMLVSYG AGFGGVNRERERKYIPTIPPEFLDKFEAATSGGRKGPPLFGEKDWENEEV NEUTE1DRAFT_45155 MAPLRTASVRHRPSVRLRSRRLSSVRDHHPDPVGVRYEQTKQAQ PPILLPPLPPRCVSIRPRASTTPTVAVRPAIPPPPEDHPLFRLEPHLRPDPPAVSIAG DVESKRDSCAPTLSSITLRGDSERDGSLPDSKSIEKALGSPCSEFATDIKEGEDDDKG VHKPEIETSGIVAELCIPLATARALALLPAPAPLRSLKRASRSIVGTTTLSIRATVRS KSMMETSRIRRRKRALGSLGSVGGEGDRDQVAFPEADGSSRESTASSSTFPPGSTPYA RASASASTAAKAAMRGSLGSSKSPKTLQSPPRLQHGSAGSSTGNSLAVTVGASRPGKG PHTSQPSQALIASTGASSSSPAPTSLGADNVHGPFSPINTLISQDRLAVDFGNLYFSS RGSIMFGGQAPVDNSTQRDDELADAAAQGTDGPTPPTPTSTAHAAAAAAAATPTTPPP PTSPPAEPLLAAAAAAAAAADLDHHYETDTQGERETETASSKSSRLSDASGLTRPSIA INEDPTSPSAPLPSIRVMPTDVERESQKVRSLYESSDGWLDGGRRVSFAGDLPPLPSE GDPSDVVDPPRLHVLSSSDWAASHIAPTPPTAPWVSSSSLRDDAASYHRPGARRWGEH ERAGGLEDWENLDGVEVDRYGFIKERPARRETSYRPETSRTVRPGSRSRHFSPRKRNV LTKRPGSAYSSSPLGQGLVGGPPSRKVSARSLHTFDSAYSNASRRSTRSSFRSIANHL PPNRDRRWMDEAGDMLAFPAGGLTDILEYAAKMTGKKSTEALKKKELERSEKWRKMAK VIQRFPLEDGGEGQAGRESQGQGQGQGQGMNFEFDTKNPKLIERTWKGIPDCWRSAAW FSFLATSAKNANSPETDGVLITAFKRLQDISSPDDVQIDLDVPRTVNGHIMFRKRYRG GQRLLFRVLHAISLYFPDTGYVQGMAPLAATLLCYYDEERCFIMMVRLWRYRGLSRLY SPNFEGLLSTLDDFEKHWLAGKDVASKLTELAIDPTAYGTRWYLTLFNLSIPFAAQLR VWDIFMLLGECPPEGELGPQVSARDSGEVTPHLQHADTQQTSKTNKEKSFLAKLSERR SKRHLNQTAAEVPRGLDILHATSAALIHAMRDVLLDADFENAMKTLTSWIPVKDEDLL MKVARTEWRAHQKKRDR NEUTE1DRAFT_101890 MSAPHRGLPLPAALNPVPPPSGPGLVQQPPPPVPSLLGHAHPPP TPSQSQSLGQIPAPPSWQHGNEESMRVWLMAKAEEEKRKQEEERTRQESFRLEQRKTE FNMLRESLQGGVPPAMVPVVFAGMSGGALSQAALDWAQQYIYSQSHPPHPQALLPPGP ISPQHQRRESQPHSYAHYPGSGGVPSTPGSAQGHGGGYISGYPGSPQTRPRGQSVPGP MAGRLHGGMANLPNLNTSISGGHGSSAAAHPAVVQSQQQQEPQQSPSILFHHWTPPTS QAGGRGGADQPATPSVGESPRKRKATGPQTAVPPPSSSQRYRSPTFSHSGAPLSNPPA GRKTGHARQRSDIGSYRGAVRGRGDGYAPSPQDAPTNSSESIATQHQHQQHLQHHQHQ HGQGHTQSSQGQQTAPTVTRSAHSVSSLLSDHPQSPRPGQFSGGGRSSEEKVRGGVVG GSSATRDRDND NEUTE1DRAFT_117408 MRSWALEDSKYNVCYIPRLENISCCGCRGSNQHGCLLEARRRSS HRGCFAGRRTNYSLYISHLLTDIAL NEUTE1DRAFT_83987 MPLSIEELDNQVRTFYEGRGETQAQAQTVLNQFKEDPDAWLMVD EILQKATYEQTKYLGLQVLDNVIMTRWKVLPREQCHGIRNFVVQYILQCSSSEELLKA HRVLLNKLNLVLVSVLKQEWPHNWPTFINEIISASRSSLSICENNMIILRLLSEEVFD YSAEQMTSTKTRNLKTTMCAEFSQIFQLCQEVLNSANQPSLIKATLETLLRFCNWIPL GYIFETPLIETLRTRFLEVPEFRNITLQCLTEIGGLQIGGPTGQQPNYGEALIKMFTE VLTTISNIIPLSMDLKATYPASNSRDQEFIQNLALFLCNFFGMHLPLIENLPNRDFLT HGHYYLIRISQIEDREIFKICLDYWLKLVQELYEEMQALPLSDMNPLLSGGLQTSGAP NPALLNNYPLRKHKYNEILSNLRVVMIEKMVRPEEVLIVENDEGEIVREFVKETDTVQ LYKTIRECLVYLTHLDVVDTEQIMTDKLARQVDGSEWSWHNCNVLCWAIGSISLAMNE ETEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNK LFEFMHESHEGVQDMACDTFIKIAKSCRRHFVALQPSESQPFIEEIIRDLGKITCDLT PQQVHTFYEACGYMVAAQGNRHQQERLLSELMQIPNMAWQEIIRQASLNPNILQDADT IKVIGNIMKTNVSACSSIGTYFFPQIGNLYSDMLQMYAATSQLISEAVAREGEIATKM PKVRGLRTIKKEILKLIETYVDKAEDLQAVREQMVPPLLDSVLVDYNRNVPGARDAEV LRAMTAMITKLSALMEDQVPIIMENVFECTLDMINKNFSEYPEHRVEFFNLLRAINLH CFPALLKLDNRQFKFVIDSCMWASKHDNRDVETAGLNMCLELVNNIAEKTDVQTSNAF FNQFFVSILQDVFFVLTDQDHKAGFKTQSMLLMRMFYFVHPADGSPSRIQGPIYQPDQ AQPGTSNKEFLTMFVGNLLQTAFANLTPAQITSFVEGLFTLNTQYDKFRLALRDFLIS LREFAGDNAELYLLEKEQQETAAKAADIERRSKVSGLLKPSELEDDEL NEUTE1DRAFT_130518 MPRSSGPVDSPARLSLISRHLAPDYPLNTPYTVERHPDNIDTSL LPKTQTRALTTDTTPANMSTQPEHPTLLIPGPIEFDDAVLNSMSHYSESHVGPGFVAV FGETLSMLRKLFQTTDPSAQPFVLSGSGTLGWDLVAANLIEPGEDALVLSTGYFGDGF ADCLKVYGANVTQISAEPGSRPQLPEIEKALSEKKYKLLTVTHVDTSTGVLSELKNLS ELVHRVSPDTLVIVDGVCSVACEEIDFDAWKLDGVVTASQKAIGCPAGLSISMFSGRA IQAFQNRQTPPTSYFASMKNWLPIMQNYEAKKPSYFATPSPQLVRALHTALTQIVAQG VKERFAAHKAASDKIKAAVAALGLKQVAANPDDQAHGMTAIYLPETVKGAELLPKLAS RGVVFAGGIHKAIAAKYIRFGHMGVSVLDPSRGHVEKAIKALEEGLSECGYQKA NEUTE1DRAFT_117412 MAFLILVIGDLHIPDRALDIPAKFKKLLAPGKISQTLCLGNLTD RSTYEYLRTIAPDLKIVRGRMDVEATSLPLTSVVTHGNLRIGFLEGFTLVSNEPDLLL AEANRLDVDVLCWGGTHKFECFEYMDKFFVNPGSATGAFTTTAASWAVNLGSNGEGQK EQDKGFDEDEEVVPSFCLMDVQGISLTLYVYQLRKDEKGVENVAVEKVTYTKPVEPTG AGGAAAGAGAAASGAASVSSS NEUTE1DRAFT_130520 MESVAIPPQDDQQQLDPPARIFERLRQIAGFAWDETKPPYHSTY DNWHVFGTRFVSPFSTTGTPAPASPAPYPNTSPSSLAKLAPGHRAAPQDRPNVSASHS DAGSDRSTTSLPTVGHHATDAPVVEELVVARISYHVLREERAYHITKSLVSTVDPNAE HISRPIDLIRLNPLPGDRGTIVVAIYAYSGENYLFKVLDMGPAFFYAKKHNDRWEAHR EEHPVLEEPISLQHFLDFAIGAAQCLEMLHHSQSIVHGEIRPDAFHYNLELNRIKITS LGSGLRSFEHGLTSTGWSTLSKEVGARHKLQYISPEQTGRMPAEPDTRTDIYSLGVLF WSLLTQQPVFQGDTPLDIVQGVLGRRIPNVSQIRMDVPDAIGRIIQKCISKNVSDRYF SASGLRHDLVKVQELLGSGDSQSLKDFQVGSRDVSSSFRLPTIMIGRDRERSELVKVI ERVSKSHGMNHKGGVNWGSDGSVLSNDVVDNAEVSSEGASSADGLNRQSGSWALALAP DPRNRVSLPPSALGTSSVTTSGETTSSGTSTGTSTISGGNRQSRQWDKPAFETSSLNE IVSSDASRGSGLLSEPTSTISRQLGSKFRKQRQGNCEVVIIEGTGGLGKSYLVQSILA DARRRGYCATAKFETARRTAFGPLLQLLSSLFRQVWGERNTETPFHQALKHHVRPVWP TLHKVLGLPEFLLGPPDNTPQRSNSTSSQSGQPALKRRGSSPELGGNNMYRHSSKVSS ATQTSQEFLRAGASTQTSRLTNTFLDVLRMFTHYKFICFCLDDLHFADDESQELISQI IGARMKMVIIITYRPEEIGPEKVLQILSPPKSEELPRGAGAPIMTKIKLTPLSEDDII HFVSSTLCLPKEDVTPLALVIQSKTAGNPFYMREMLNAGYRKKCIWYDYMAGEWKYDL DKLFDQFQGEQNYDVLDTAFITRRLSELPPASRSILAWAALLGQTFSFDLICRLMTGE FHFEEDKDKRQQEEDCSYIIPADESVAGLEAAIQACIIVPSDRDDHFRFVHDRYIQAA AALQECNARKMHFAIAQTLLKYHANDRSYRDNAASHICECVDIICQQVSRRRSYRALL SRCAQEASVSGARPTAAKFYGNAVALLQPDPWNDDAGDSSYEETLQLYIQAAECYLYI GLHAAANDLLQTILAKARTAVDRAPAWVLQSRIFAQRGNSEQALDSLRLCLKALGVGF DDNPSFEKCDAQFERLSVRIQTMDRSELVNPPKTEDITLASLGAVLTETVSAGWWSNC LYFYQLALSMMELHLEKGSFPQSGMAFLFLGTIALSRFNMVQFTVDLAGIFLELLEKY RDPYSMARGYMIYANFVGHVQFPLGAIANQLEASVEFAAAMGDRISAILSFGLAAQLK FFASENISDLEAFCQYGCEEVPNWHLDTRGGVILIAIRQVCRALQGKTQVTDPYEVMS DEQHKASTYKSWLTAKASGERTLMFYESIEIIPLFLYGHYERAIEIGEVCCKNSKMIW SARNTKLAQWFYALSLAAVILREQQDPRRMAEDEEKFQSRVEETIEKIDELNKGLKDW QAVNNVNYLAWSKLLDAQIAEIRGQYGDAIQQYEEALDHAAEYNFLFEEALGNYLMAS VFIRHKGRRSARSTLRDSIALYRQLGATGIADLIETGHSILLHGPMRNPRTADAGVQT DFTGDAASVQYRTVEEEGLEDGEETAQAAVAALKGERMTAWRGSMQPEAGVGLPALDM IDLHAILVSSQVISSVLRVDELLKTMCDVILQTCSGSATLAALVVYEDEKQKDNSPLC VAASGDPDKGAEAHIPGIPLSGTDLVAENVILYCSRFREVVFLRDLVSDERFGNVSES WLRRNPNSKAVIAIPILHGSENPLLGVLYLEGVPGSFTDRNVTVLQLLVNQIGISYSN ALAMKAVEKVSAENVSMVALQKRALAKAVEAETKARNAEAEAIRNVKLAEEAAKAKSI FLANVSHELRTPLNGVIGNSELLRDSNLNKEQLEMADSIRVSADLLLTVINDILDFSR MEADKMKLYIIAFNPEEMVREVVRAASYSNKEKTSKKNVKIVHDINLPPMLIYGDPIR LHQVLGNLIGNSLKFTEHGSITIGAKVDSETTEKATLTFWVKDTGIGISPQQLDKLFL PFSQADESTARKYGGSGLGLSICKSLIESTMKGKIRLDSEENVGTTVWFTVTFDKAKP EVMAGDTQSTSPVQMEPPRDRQPRPLPGAVENGDVSSDQVPRPYIDLTHIPRNQLRIC IAEDNSINAKIAMQYMHKLGYPNVDTYDNGLKAVEGLREKARQGNPYHIILMDVQMPV LDGYEATKMLRNDPIDSVRNVLVIAMTASAIQGDREKCLAAGMNDYLAKPVRGELLKR KLDTYLGASASMSFSTQRFLERRFAQRPGSPQPINPGSSSTKKDVDTPLSSPVPPPLA DNTAVSASTVKIGVGSAAASLREVAASNVSGGESRPSSPKLLSPSGKNSKQRHRRGRS YDIRLLRPSESATSFSNVTNTSGGSSSNSNSNSNSSSKKLDRRLSSPSLSSSERRGSV PDLAAGGGGDKRQPKKLVEARE NEUTE1DRAFT_130521 MADINVDVLVIGAGPTGLGAAKRLNHINGPSWLIVDANDKAGGL ASTDVTPEGFLYDVGGHVIFSHYKYFDDCLDEALPKADDWYTHQRISYVRYKGLWVPY PFQNNISMLPDEDKVKCVEGMIDAALEHRVANTKPKDFDEWILRNCGEGVANIFMRPY NYKVWAVPTTRMQCEWLGERVAAPNVKLVTKNVILNKTAGNWGPNATFRFPARDGTGG IWIAVSNTLPEKNKRYGKHGEVTKVDAEKKIVTLGDGTTVKYGKLINTMAVDHLVEKM GNQELVSLSKGLYYSSTHVIGVGIRGERPERIGDKCWLYFPEDNCPFYRATIFSNYSP YNQPEAGAKLPTLYKADGSKASSDEAKEGPYWSIMLEVSQSTVKPVDEENLLKDCIQG LINTEMIKPEDEIVSTYHRKFDHGYPTPSLEREGVLKELLPKLQDMDIWSRGRFGSWR YEVGNQDHSFMLGVEAVDNIVTGAVELTLNYPDFVNGRQNTERRLAQSFVFGVKEDQS IPARSALPN NEUTE1DRAFT_84001 MGVEEKSRASGDSPRDAVLPTVNPEAQKAQPPKSAIHPAFYVSI WIAMSSSVILFNKWILSAKGFDFPVVLTTYHLAFSTIMTQILARYTTLLDGRKTVKMT GKVYLRAIVPIGFFFSLSLICGNLTYLYLSVSFIQMLKATTPVAVLLSGWALGVSQIN MRVFLNVSVIVVGVVIASYGELEFVWLGVILQIAGVAFEALRLTMVQRLLSSAEFKMD PLVSLYYFAPICALMNGVIALFWEVPRLTMADVDRVGLFYFFLNGLCAFGLNVSVVFL IGKTSSLVLTLCGVLKDVLLVVASMVIYGSQVTLTQFFGYSIALGGMVYYKLGAETIK SYAGEAGRQWADFGNRRPVLRRISIILLSVFVVFSLLGGFAPNYAAGIDPTEYLNEAK SKIGMSA NEUTE1DRAFT_117416 MKFLKTSRVCLVTRGRYAGKKVVIIQPVDNGSKTHPYGHAIVAG IERYPSKITRRMSKTRQEKRSKVKPFIKVINYNHLMPTRYTLELEGLKGSVSAETFKE VSQREDAKKTVKKVLEERYTSGKNRWFFTPLRF NEUTE1DRAFT_65328 MPAPVYIISRVADPIFAVFIGVSAAALRINREEKEKGRTTQEIF QVARRRLGLTSTAKSS NEUTE1DRAFT_84009 MAYVSLSTRDLNVLEKIQDPEYDPARIVQVDISLPKDPNFKDQA VYDKVAQLERNIILSIQQLELANAKPAAHGTTDVNKTIQGYRDCVTQLGSLIQEYPEY ASARNNRAQAIRRLVGDSMLISGSQQLHQALIRDIDDAERLQMAETALSDLDRAISLL TPATPQTRLSQNVIRTLSSAHTQRAAIYHMTSKLMESNNLAVPQGRREGSWNKLDFEE NASRDFAMGGRYGNEIAKALAVSTNPTAKLCGQMVREALKKEYGPAYSA NEUTE1DRAFT_45973 MSPSAISSEYSNCNGTPDYALQSSEVSNFNGYDHVTWWVGNAKQ AASYYNTVFGFKTLAYRGLETGSRYFASYVVGNADVRFVFTSPIRSQKCLPEEEPISD ADRKLLQECHEHLEKHGDAVKDVAFEVDNVDGVFHKAVAAGADVVQELTTLTDKMHGS VRTAVIRTYGDTTHTLISRADYNGPFLPGFRTAAPSSATVQLPSVPLARIDHCVGNQD WNEMVSACAFYEQCLSFHRFWSVDDSQICTEFSALNSIVMASENNLVKMPINEPAPGK KKSQIEEYVVFNSGAGVQHIALLTPDIISTVSAMRARGVEFINVPSTYYDTIRQRLKT EKRGWELKEDLDTIQKLNILIDYDEGGYLLQLFTKPLMDRPTVFIEIIQRNNFEGFGA GNFKSLFEAIEREQAERGNL NEUTE1DRAFT_84011 MADSDGEYASDDELKRNGKRTADGRSKQSKASWEDVKRSWDTVL ETADSGLSIAEIREAEKRRRLLRDTTPLQRGIIRHLMLVLDMSFAMADKDLLPNRYRV VLNNAIGFVREYFEQNPISQLGIVGMRDGIAVRISDLSGNPAEHIENQTPSHATREVL IIYGALVSIDPGDIHDTINDLVADRIRVSVVGLAGQVAICSELCKRTNNHDGNYSVAV DEVHLKELFFAATTPPVTRTPEQNTASLLMMGFPSRTLAPKDHVSFCACHAKPTREGY TCPRCGIKVCRLPIDCPICKLTLIQSTHLARSYHHLFPLKVFVEVPWSQAYRSTACYS CLTPFPARPRDSVAAAPAVLGGRAGAKGSGKQQDGEQKNPKPELKGVSESGRYACQSD TRDLDKDTAVAVPDGGPTPMVMDS NEUTE1DRAFT_84016 MDTSEAQRQLSQYRLHLAREMEKREIVAATENRLAIPGPVRVSP IQEEASSPSPQLPQSGSEPTTTSTESGNTIRGGVTPGLTARTPSYPFPRMASSPGFPH SGLPFHRPFTTLSPTTFPTTHSSTGAHVSFAGIQGLDHSVSNPSTPASAMTFLPPGCS GTVQGEFSTPNLYDLTLMLSAEPGLDAWWHTVVQIMTEVYRAERVTLAVPADPTDIEN VPWGQKATYNPHQEDGLSLGYLARGSSFVHSSTDDITDLYQNNDESLKPKGLARPGLP SRHSFSSYEDSKDKKESVERTPVTPLRPPMASRSKTAHPGVGRDRDVSKDDDVAVSLN REALAEHDALEGQQPIPSWEAPITNKREGHGKLLNVLQALDYEADPLIDHNGVLRVLE RGRVVALTRSYPYLTQTSSTDSKAADPRVATRSQSPEGPRKKIKKIRTRSESATKLSA LLGSATLSTAAGRTSGGHGLDKKATGIASRLDDEYPRPPTPKYEEYEQAPPSPWSQSP APSPAVRADPSENPFFADAMVDEESFNPGSAPPDYSALQPPEAIGVDNSWTVLHIPLT HVLLSKPQQPGFKLDSTFLEQKMSQRGKSSHTPTIPIPYQEPAAKEKHAPIAILSILS PIIPYPTNLRHSLEHLAPHLATSFSLCRHYTNLETELNGLQKRRPQTVGFGALGPDGR PLAGPTAFTMGYLPTDDSISQQSHAGSITSPSEYSGPSRSVIHSPNGTPTWDSSALSY LMDKRSSSASPAPVAAGDSYFTTTPKPGGTGVGQRTKTGSRESTTLERKGSLKIPGGK SQWQDAAGVAPVSQESQGSGESNRRSIDEPSPTNSQQKTAVLKEQREERGGFASNQSA ETQRRKPAGTPTGQHRHTQLHSYGADFATTFQSLPPSSTVGKGINQGATASRQGSISS TDMTPPSDKLKGLILDSLPAHVFVALPQTGEIVWVNSRFLTYRGQTSDDLAADPWGSI HPEDREKYLRKWSASVRTGDPLSETVRIRRFDGAYRWFQARAVASKDKRGVIMQFLGS YMDIHDQHVAQLRAARQEEIEVSEAKHRLLANLIPQIIFTATEDEGITFANEQWLSYT GQGFDDVLGLGFMDFVHPEDLAKCRIPLERPTSPHVRQDKTKHDHPPSPSKFGMAAMG KQPATPHVENVSGLVHPALTRHSSSTSSSANDLAGPNLSELARQGVIKISTDSKGQLS YTTEIRLRSKTGEYRWHLIRCVEIDNVDFGNGASSYFGSATDINDLKLLETKLKEAME SKSRFLSNMSHEIRTPLIGISGMVSFLQDTTLNEEQRDYTNTIQTSANSLIMIINDIL DLSKVDAGMMKLNYEWFHTRSLIEDVNELVSTMAIAKRLELNYVVEEDVPAWVKGDKV RIRQVLLNVIGNAIKFTNEGEVFSRCKVVVPNHGHLYENEIMLEFSIIDTGRGFTEEE AELIFKPFSQIDGSSTRQHGGSGLGLVISRQLVELHGGKMDGKAVLGKGSTFTFTARF GLPTESDNPDLAAPPAPTPGLIPEMNILRRSSMASPHSRPYLTSAPSSGSVVPVESDT RSPAAVSTGSSEPSIHSSRTRNTERSSISSVNVGSLARFSEAARASGHDLSQMKLEMP HGRGGGGSDAGTTPTPSNSSNERLSRPTIKEGGGSEDSDGFHTSLFSILIICPQTHSR EATTKHIEMTLPKSNPHQITAVASVQEARKLIGGEDPVFFTHVVVNLPTPEQIIELMD EVQRSTMIGRGVTILILSDSVQRQAVLKQVAGTQYEDILSENRVTYIYKPVKPSRFAV IFDPAKERDLSIDRNRSTAEQLVENQKQSYLEVEKRMGNKGYKVLLVEDNLVNQKVLQ KYLKKVGVDVELVADGVECTDVVFSRPHDYFSLILCDLHMPRKDGYQACREIREWEAK GNFPGRALPIIALSANVMSDVQDKCLQAGFNDYVTKPVDFINLSNALSRFF NEUTE1DRAFT_101903 MSVSYVIAHSIGRNAMTAGSLERKRVRRCVDLGGRLWLWRSRTN GGYWIRTSRSGSLPSAGSRSALWVPVSRHPPSRLPQPDKVHRSGSLWTLPRLALCPTI VQLSLRTRTTAGCYWLAPRCRTISGMRVRSPPGEFNVPRLCRRRGRRRWAGAGMPFVR RTRQTGWRGAGLTILCHAI NEUTE1DRAFT_111092 MLVWCSDSVARKTPAQSFIGSCWRHSTTPRWRFDAVTLECLPDV IDEDREEEGLIRFVPGKVDNQEVDLFGGDPQKAWGARGEVGNTTMLASWPSAFHPPLI DNYCWGNASEESYILLLGLVYTDETIPTAVRVNVQVVGRHAVSNDLDCVVWTRPASTS GHAPVNVMKRLCSAFHAIRVENSGMCRKETLDMCIRSLFGWWSAAVACARLRGHCTNS QVTNP NEUTE1DRAFT_95325 MSFEDWALQFCLTCDKQTDDGAAYCSESCRLADYETNSASSSGP SSPSLNGPSFDWSFNKSTPSSNQSYLSPASNFSTQSSRTLSPSSSHTSLCSMKSTSSA GLSHSHSGMSEKAARELQAYAHSFESVRLQRRRSSN NEUTE1DRAFT_101905 MKPGEEQVWPLDCFFGRSSPRSGPGVSTTPARNAYNLHLQHDDS LSQPNPNADGKFVAYVHPPIQGPDENTVH NEUTE1DRAFT_138743 MATPLPLAFEDLPLVVVVVAVELPAEARSSTNQPTNAQKAFTPS KSITVSRLCTTVYYAQQNSNCEPLALDSEPVL NEUTE1DRAFT_95326 MPPETAAQADRFQREEGQLPGTEARRKADDNRPSTLCPCKDSCS PMLIPVSITPVVKHDLHYLASSHIRSRPTTLF NEUTE1DRAFT_95327 MEDGKPRSRNPWPSRPPHPLNPINSHIEKVELLPHSLPHGGVDP FFSYSTLPI NEUTE1DRAFT_101907 MRSVGVHGGADDHCGPVYDGTKRQARERAAYTGPAVLHDNRLGK SNEGVIICPLLSLLKVGGKLQLLFCVSEAPAGPDRGQHPCATVPAASRASKPYMEDFS SDG NEUTE1DRAFT_147246 MPSPLRRPVHDGVRLGTISRVDHQTLDSDAAVSVNSVENGEPQR PTLIPGWGSPGTTHSTPLVASSTARASGDTSSSGTSTPTSLSPPPPPHLPLLQDEKPR YVRRPPGLIKTLSKKIMFCSAWVFVIGFLCVCLYNYRYNANGLIPSFAPSDVGVPSKE KGTPSSTTQHGNYGDSNDNGKANSSDSTEAIVSYVDPDLELGLSSASSPSVPTPTSQT ADTKDTSYSPSTTLMTATITMTNERLPGATATSPAVTLRQGRYIGVHFSVDYRFPKAI EAFRGVPFAQTTGGENRFQPPKALPDSDQTFQAVKFGENCPIGGTVGNGFGENCLNAN IYRPAGLVDDDGLKIGDSNKRPALLPVVVYIHGGAFNGGMGAERNMASFVSWADAPMI GINFNYRVGALGFLPSALTAKEGLLNLGLRDQTMLLEWVRENVKAFGGDPDNVTIMGL SAGAHSIGHHIMYYARKENPAPFHRAILESGATTARAVLLPNHPRHLVQFREFLAAAG VDGLPDDQIFDHLRKLPLETIVRASKKVWDRYEPSVTWPFQPVIDGLHDQQQAISNNN SEATPVLIPDLPITSWRQGKHLRIPVLTGFNTNEGAMFIPRQANTNDDFRSFFKTLIP TLTDADLAALEHLYPDPVTNPHSSNNPYRSVPAGMGAQWARLDAAYSHYAYICPVLQT AHFMSQAGLPVYVYRFAARGNWDVANHADEALVVSHDMDFLRSFGPPRRSKGLRKVAD GMNAAWGRFSSGEKVIEVETDGKKGSGKRVVWPLFRTPFGDGDSELDRGGAGHKTAER NTERGWSSSSSSLWKQSSSGNDDVKPPEGTGRMIVFGEGNNERAGGSSPGTPAKEEVL NEILLKACRFWWDRIELSEGLGIRREEMGRSGKGTKARL NEUTE1DRAFT_84024 MATASTYHHRHGIGFDIHDYGLHSSCTPHRLDNNQFYVLDPNSD TDHDHSRKPNKTQTINTQNNYLGSPKKANVRARGTARYQADGSELGNETARQVRKKLG ERDSSEAGATISTFFSKEFGETNHLALENQRLPSNAEQLAKHYAGHFEWVLSLPAKTL PEGCAHPVCSHDNPEICGYTGSGECAHPICSHEHPERCGYQGSENPKELLYFGCNLVP RRSKSMPDAKLGTDNEDQSADIYTVVATKLDGVKHSDVVENNTVPTNITTHPDESHVQ MRRSHITRETIDEETESMVDERAGDFETTIVQMDADSVSSMAEETSPYHTDDEMRDFH GEVDVDHRHEPQVSFESDCLLIGEYQYEEERDEARESDSHAENDRHIQNESRMWETDS CHRYHHHSSETVDDCQQESQMRWETEYRHKYRHRLSEAMEDEHMEPTRLFPPISSPAS RIEDSVEALDKLEDELEAINDLARVDRILSPVDARIPLMQSVQRTPKAAPPQRTSSKR TGPTTVRPKDVDRSSSLRKSSSMSFADNKAAAEKKGTATRPTSLQPPRPPARSNKAPA VASFELPGEPVARRLKEERASRLSQGYISENAPSMDDSWTASPPKVRVRSTRTSTRAA SELPGQAISRRRREESEAKQRAEEEDGKGRGSKARSARASISKATNPRETVVSRARQG RPSVARTFDGSHASGCAEPRSPLSKAPATASFVSPTASSANKRNATVGRSAASTKRQS YNAATLPARGRLSMIDSLSAANAGRGISRASAGSNRSGVTGSSTVSRRSTVSAEEAQK QRQRGKEVFTRDNGYTAERERERHEREEAAKRARKEAADRSRMQSREWAEKHAQKAAT LGGKKKRDSMVGGSQPMRFRFTS NEUTE1DRAFT_44921 MSAFPARGFSSMGASTTGQSPALVARVNEKKAELENLKELRDLS AAMATQMEALAQKLATLSDGTEAIALVLSNWHNVLRAINMASAKLPKPSAGVQEPSEE DLPQTLVRIPTEHAPALQAHAEGAAEEE NEUTE1DRAFT_84025 MARLNEQAMSSISLSTDNLELLRRKFLRQNRDIARVNSTQSLRI RGLENECARLLSENLELRGQVLRLEKELQDNAARRVADHALEVKAKMETQLAELSSLL ASLGEPPSKRRLLEERRYAQPRPSVHRSPPLRRARQEADQELLAEQEGRLPPIYENKT YARATMNSEEILALCMQADDSNDSPDIGPPPVSRFVEDDMVIPCSPSPNKNAETEETE TTEQVEESPRALQVPPSLSPPKLDYDRRPNMILFSPPKESRVAEPSKMFSPPPMEPPK QSASAVPSETIRAGLKRKLNGENQNEPNKATKLQQGKENGNETGIKKGLSARDPHKRK SIKETATRPRAPLSAKSTNEHIVSPKKPAKPHQVADDFEPVKVHNAPKGKEKADLPAP EEKSAVEETQGNSTSAFTKVEIFPPALEPTPEVAEIPETDILITPGTPERASESTVVT HDTPPPAHISSNGETSRPSRRARAAISYTEPNLRDKMRRPTKELFDAVSGEGKFLHRP ASQQQQQQQRKGDESAPTSVSKVKAEPLPAVDISSLTSSTLFEKEKEKEPQLDEGILS PNGILPSSVDLGRRRRASSFSTAAPAMTIPSVREQPTLNPPAAEETDENAAVEAQIQK ELSNSITTRPRGGKGRQSMSRSAPTIPTENHEHEHEDAQLSTNSASVDLYDFASCASP DSAAPQLEATTGDVPVNKKAQKGSRRASSTASTETTATASAKPRSSRKRASMLVPKKS LWAEELTQEEEDEEDVGNDSGGSLSKGRASRRRSMML NEUTE1DRAFT_123125 MQAPVLVMNTNSGERQTGRKAQMSNIAAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EILAQSLPQLERNIHPVVIISAFKRALKDALQIIEDISLPIDINDDQAMYKLISSSIG TKYVSRWSELMCGLALKAVRTVTWEMGNGKKEVDIKRYARVEKVPGGEIEDSRVLDGV MLNKDITHPKMRRRIENPRIILLDCPLEYKKGESQTNIEITKEEDWNRILQIEEEQVK QMCEHILAFNPDLVITEKGVSDLAQHYLMKANVTALRRVRKTDNNRIARATGATIVNR VEDLQESDVGTNCGLFEVEKIGDEYFTFLTKCKDPKACTVLLRGPSKDVLNEIERNLQ DAMGVARNVMFHPRLSPGGGATEMAVSVRLTQLAKSIEGVQQWPYKAVAEALEVIPRT LVQNAGKSPVRVLTDLRAKHAEGKNSWGINGDTGAIVDMKDYGVWEPEAIKVQSMKTA VEAACLLLRVDDICSARKAQPGVGVSSGGGDE NEUTE1DRAFT_130532 MNAHLSAFGKTCQSPSRPISGSIGCAGFSVFLTLQPIRIVNTQP EVRFFPNWIRMSPQLCVFDRAGANSSEPDSFLAASSRVTAPRAQTTNISPVALSNGSS SPISCSSVQRRIQTPSLRSTRPLSRLHSPAQIQPPTSHLHLANFREPTVITKSPLNRT TKENNKLKGVRAPRSSERHEPEQPAASQPRKHPTATIITTAAATATAHHCRQKTQNKV AKMSADDPSSIPTSTSRLSSRPTKRLRASSPTSLQASHLQQLFAKPDREIIIPPLPSS ATSGSSRLPPPPEIVTNVQGSSAGAGSGEFHVYKAARRREYERLRIMDEEVAKEKERE EFEAKKRERERADEEKTRRNREKREKKKNKGKGGKGGGGGGSGSGNGSASQGGSVTTA NGAEKKETAKTGDEGGAKESAAGAGVTSNDTAGESTAKEADKSTSTTAASAPGAVVPG NAESGLLIVEDD NEUTE1DRAFT_123127 MGKPRLIILIRHAQSEGNKNRDIHQTIPDHRVKLTDEGWQQAYD AGRRLRKLLRADDTIQFFTSPYRRTRETTEGILATLTSDDPEPSPFKRNHIKVYEEPR LREQDFGNFQPCSAEMERMWQERADYGHFFYRIPNGESAADAYDRVSGFNESLWRQFN DDDFASVCVLVTHGLMSRVFLMKWYHFSVEYFEDLRNVNHCEFLIMRKNDSGKYILEN KLRTWSELRKERAAQQALLTGGKSKLDSAPSPTPRMPSDPSAPPVEMRRRWGGCPNGC NHDKNFKIRSTLADLVKTDHMISNHVTSTDITVTDSASGNSTDADTPSVASSSSIAFT DGAGPATGKAQGVANASFNGTALPNGPTIASRRPTAKRIQSTSSGATLSPAVAHAGPV IDITKAREEVVSSPDGTPSFISIEDRLRNHLKSPSAPPRPHSHSLHIGRDGGGTYSGH SSSETELSDDERRKNVKAKTPSGGLTPHAVRPVPHRHPSRDQSQMGRGAKANRLGDHP PSSDGEHEADGEHEPDCEEDEENSGQEQEEGARLTESIKKKQSLEDLADAEKEDRSLR GSVY NEUTE1DRAFT_65353 MSSNNTSTGISGPEATTAPGTPADPNTATVNDPTTAAPPGPTTI ISTTSVPSVQPPISTTSSALPTSPSSSSAIPPPSPSSTPTTSTTQQPPPISSTTAPPT TSTPAPPTTTQQPSTETFTSVQIITTEGETRTKITQIITTETVVPSANPTTSSSSTTT SAGAIDATKGASSGGGGLGSGGKIAIAVVVPIVAVALLVLAGIFLWRKRKQRRDAEEQ RRREVEDYGYNPNVDPTIPAVAGGGAAGVYEMREDGTSGYRGWGSTTLASSAGRKAST TISGGMPGVAYSDTTSPTRGTVSDARSGEPLIEPPSPEGEILGAMGPSAGDSPDANVH RGPSNASSHYSAAARSDESGEAPIGVAYGGRTSYYEPQYGTAKPYNAPEGAYNGPVAP NNFTPAGPPVIRDVVARRNTRIENSGHYPQQTAGISQNF NEUTE1DRAFT_101916 MSVAVVRHHLIKKLSATPILPPQTDLRRAPGEPLDTQSALQANK ATFFFQIDRELDKVNACYVQKEAELKIRLKTLLDKKKALRSRSGGTSRRSTKFTTLQE GFQQFVNDLNKLQQFVEINGTAFSKILKKWDKTAKSKTKELYLSRVVEKRPAFNPTVI SELSDQATTSLQELGAWADGDNVSFETRPDHDHVVSTQHLLGTDEGDADTLLLDTVLS GNIDSLKDMLDRLKATAAPDGSADVSLAERVTRTFLASINEGSLEALKVLLDTGLVDI QSWDDINERNCLHQAAIYGNSFVLEYGLSKGVDAERTDAYGRVPLHYASTHGRLDMID ALLNASPKTINLIDHDNFTPLVHSIVRNHLECVVAIVELLLKHGAKILADAEGLYPQH LVARSGQTPEILVLLKQYGADLDQIDKLYGWTPLVHAASEGNVPCLQALLEIGADPNI LDEKDLPAMYYAAWEGHLECMKLLTPTKKEKAASELPPIHIGGALPPMASSTAPMPMS LDAIDPIPALELPPPIIPLRRYGHNFLDTKTVVQISFEEDSEQPLLFFQDGKYPAARL TISSKSSDLIPKNIILPFQEDTRVASFQIDNLESFTLDFEVFPTYGAKVIAKTVALPN IFRALLSSSGKCCLPLFDPRLRAIGQISFHVQIIKPFSGTPLEITDFETYWKATSQFD TNTSTFVTGSSLSGDFVQIYVQHTKDGVPVLWPRWTINCGGIDVPVSTLTLAQFQTVT AAARNGTNLSELSTYTLDQIADVHRILANIGITLHEALFLLPKGMHVNIQVLYPTADE KAESNSSTVADDVNEFADAILSVVFDHARAQRAERPDSVRSVVFSSYNPTLCTALNWK QPNFPVFLCNDMGREDRKQQQQQQGCRSKGDGDEDMGGTTAASRREAADERTLQSDGR RTSSIKDVVRTATSNNLMGLICCSRLLDMVPALVDAIKSHGLALVVDKSGEPEAANSK DGGDKQLGISADEQGKEQHVTDNVIPRLVKSAHEAVNCK NEUTE1DRAFT_65358 MSAPTDSNVVKPAPESPTTARPFELDDDEAQENVPLGTDTTTTA TTQNTSGSDENPPAKPPRPLTEEQKNQQILKEAFPSIDLTVIKAVLRASRGQIEPAFN ALLEMTDPDAVQNDDVPPPPPPRPAAQPGGPTSTERSQLEADEIYARQLAEHFESTAA YEARTANRGTPGSSREPTAARGPHMPPRHRQEAGLKPNELYNDREHSFIDDDLPVIKE SLRKGFIETQSKVNSWFTTIKKKIDETFDEDENERRQNESNAFMGRPTRNQQRRSADY DRYDADPAILSDDFAGMKFNNDGTPYTAQSTHQQLYGNPHAFRPPPPSKLPKSSDGRK VSFRDTVEDISAYDASPRMQPKDSAAAAPGSSSAAGSATKTSKWQPLSSVDPNPIADN DPFSLGDSDDEFEQGHRRNQSGSSQIKMEDKTATDADHERLRQATADAMADSLVEGDK TKKSEQTK NEUTE1DRAFT_33213 MGNSPSRVTAQDKAILDLKTQRDKLHQYQRKITVLTDRETAIAR QMLAKGDKQRALLALRRKKYQETLLQKTDAQLEQLEKLTSSVEFALIQKDVFFGLQQG TKVLKEIHAEMGGLEHVEKMMGETAEEIAYQQEVSEMLGGRISNQDEDEVEEELAALE AEVAAKNQPVPVQPVPQVPLPNVPDTELSSPSRAEE NEUTE1DRAFT_123133 MGREKRTTGHGAARGEHAKARHQRDRKGNIMDWTAPLPPGLVAK PDRPQCSSKHKSWFEFIENKDKKKKLEIEFTENREPPPGFEFVPIGNPTLTTACKELS REQGAMIFIVTSSNGQFSKELSRHLNRVGIHVRESIVEQARQQLGQDSDLPTPDTGAG QPEPIPEKQEDINKQADAAIRDLFPRIPNTDRQMIIEHSFNKARLQDKKDPPVGLAAH VTLSRRVQLAVLAHIRHNHTRYDKLLRETSYVNARKAVEGLCLDYLVKWRGDEETGRD QLDEILAEVVVISDSESEDEDEDEEDDDGEDQSSDASSVEEVSPDKQTAPVRSTLPAS APKPSPPIVDLTQPSHAQPGPAISRRANKKRAKKEARKERLAAKRAEKRAERGFNRYR AVGQAWSQAVERQRLGNVEHVPSPTATDGVDRSVPQVPQPWQPSGPENVRPPDQGIYY TREPLQQARYVYDNSPQQSGPVYSSVQRPSFVEAAPRVADPNFYSEQDWPIQRLSLRN SSRPIVGAQAASHASTVVERVRHHGEDLRDYLVPSVEERSPDVAKFPSEFPSQEYRPP REAHNDMSSRQVHYTLNTREEFITLPPRSDGIRTATVPATRPESYIVVSSQPRGMTRA YAPVAGTGNPHDMPVYRDDAHLGDYGPPVRADTQSWIRDDNAHPRSEACPIVIHDSPR PVRHESVVPARFPPEARPPPLPRREHEDVDHLGAIYVGDRDTWRSDPRNGQYVEDQLG DFAEIVRVSNKFPKRHGSQPVPVDVEFYDSRPTAPRAQDHGHAYREGQRIYDSQLGHA TYPRIERVIGRYEQPAEYRSEEVVSGIKRYADGYPSGSAKRQERILGIESEGHFHPVT TYVPLDDDYRYHGQPQVTHAPLRTSIYPPVSAYPPRH NEUTE1DRAFT_84047 MAADAGLDAGFIPALHKPSALLPIAKHREALLYTVETHPVTIVV GQTGSGKSTQIPQFLEKAGWCADGKVIAITQPRRVAASTVAIRVAEEFGCELGKEVGF SIRFEDVTSEATRIKFLTDGLLIREALVDPLLSRYSVIMIDEAHERSISSDILLGLLK KIRKKRPELRIIISSATLQAEEFLRFFSDSTDEAKSADNVQSDEKQDAPVGAIVSLEG RTYLIDILYLEKPAEDYLEKAISTVFDIHTNEPKGDILVFLTGRDEIEKAVEAVSERS AQLPAGSQAILPLPLYAGLPTEKQMYVFDETPANFRKVIFSTNIAEASVTIDGIVYVV DSGFVKLRAYDPQTGIETLTATPVSKASAAQRAGRAGRTKAGKCFRLYTEEAYQALPD ANPPEIQRSNLAPFVLQLKALGIDNVLRFDFLTPPPAELMTRALELLYSLGALDDYAK LTKPLGLRMAELAVEPMMAKTLLSAPSFGCLGEMLTIAAMTSLGGSIWVQPDGGKDEA ESARRKFAADEGDHLTLLNVYQAFVTKGRKEARFCHDNLINFKAMTRAMSIRAQLRRW LERFGITEDDLSVAQPAAAAAAAGVNKAEQIRRCLTTGYFAHAARMQPDGSFRNVSGT TVLHAHPSSLMFNRKADWVIFHEIMESGEKTFIRDITKIEKNWLVEYAPAFYSTM NEUTE1DRAFT_117425 LLYGVELLGQLLFRLRKRGSPRRWNTGTRRGTVWGERKREAIPG IFFRKTTRRGRQHPSREISIFIAKDITRQ NEUTE1DRAFT_84051 MEGDVGRLHYGLGRRIPIVRRHGSMGIGSATLGSRIPTPVLVAR AVVSSSDGKDCVANPNLCEKPVGGSQLTVPIVLGLCIPLVAAFSVFFYLHRRNMKKLA AEEAHDPHKSLDFGLDENMGKAKGRNMAGEKDGNGSRFHAHQMSMDMNLSSPYLLPPD AHGSQSSLNSLARTLNPQDDPFRPVTQYTASDAASVKSMPRGTDRGPAGPFRGPPPRQ GSMPRSPEPTHARPGNGPRPPRISVQDPSSNATSDNETSDSERTLTGSPRELHAATHK DGVKPLASPSQPISPANPAVDVGSKKASTGLGLTNIPEPMQASIHDASSPSSTPASTP REPTHPSSAAPTIQQPQEYFDLPKMPEEPEDDDRRGRNMQRKSQLYEQQDAAKDGPGL GVPQNGVNRRLSVGFRPLPPDDIMESEDPEYRANRIRSFYKEYFEDKPGDAPPVPALP KNIGQMQGKGPQQPPQNQDYDDLAAPYFDPKANAFVMPYAQPVARRAMTPPPSGQRFP GPRGPGGPRGPGHAQTGSMRGMPPGIGGPPRPGSSVSNQMGPPRRPGSAASGYGRPRA GSAFAGSNAGSRAGSRAGGPRKPMPPPPADLPTVPAPSMLKDEFSIFNAADFAPPETF KDRVRGRSQSPAGDRRPFQTKVPVHSPLANAFEELPALPSPHTLHKSSTFTGLDFAPP PKIGHAHSNSDAGSVHSNRSGPLSPAALNAIRTGAGRVDHLPEDAQVFTANAVVDKLK PSWNLRD NEUTE1DRAFT_147257 MKAASPPAADIHIQHTNIMVRLREIPRTAAFAWSPGANPLLVTG TRSGAVDADFSDETKLELWDLKLDSQEQGLELQPIASITAESRFYDIAWGQPNDEHPR GVIAGAMENGSLDLWDAEKLIAGEDALISRTTKHTGPIKALQFNPLRPQVLATAGAKG ELFVWDVNDTSAPFRLGTAAAHDIDCLAWNPKVANILATGGAGGFVTVWDLKTKKASL TLNNHRKAVSAIAWDPENSTKLLTASSDDTAPVILLWNLRNSQAPEKTLQGHDQGVLS LSWCQQDAGLLLSCGKDNRTLIWNPQTGERYGEFPEATNWTFYTRFNPHNPNLSATAS FDGKIAIQTLQNTNPSAAPAAQNNLSDDDFFSKAASQPQAASFSLPRAPAWIERPVGV SFGFGGKLVFFNKVETAAGQKRSSKLQISSFSIDSDIGSATDKFEQAIQSGDIASICE EHIENAKTDEEKAEWQVMQTLSESDGRTKIIERLGFIKDEPVEEAKGAESPMSPEPKQ EDSASLKPNGGEAKKHRRVVSMWGDGDDGEDFLSEIAATKGAKTDNPFNLLSVGNTHL EDQITRALILGKFEKAVEICLKEERWSDAFLIANCGSKELVEKVQTAYLQQKRGSPSY LRLISSVVAKNLWDIAYNADLADWKETMVTLCTYADPSEFPDLCEALGDRILESGNRK DASFCYLIGSKLEKVVGLWVDELQETEQAALNEEAGDSTFSVHAKSLQQFIEKVTIFR HVTKFNDSETSLTEGWKLAALYDKYLEYADIAAAHGQLSVAQKYLDLLPTSYPPAETA RNRVRLATQKAGAQTAVRQATPAGRTTTTTSSRTAAPVGYQQPSLVPAPANSSSLNPY APPVPAPVSAAASNPYAPPLAPVSAAASQYGASSSTYAPPQNQGYAPSGYTPPTQSYA PTSVTGYGVPVQNFGQPSPLGGPPKATAPPVQLKRDGVWNDVPMVTKAPPRRNTPSVA PLTSPFPGQPGIASPPPAGPFQQGAPTPPPPPKGSAPPRSVGAPPTGPPRPSSVSSNA TNPYAPPPPAAGLQPTYGVPPAPRTASPYNPPPSAAAPPANRYAPAPATQQYTQGPPS GSGIAPPPASGAYTPAPPAASQQYAAAPPPPRAGFSAPPPASRPPGGGPPPGARAPPQ QQAPPPAAPPKPRYPPGDRSNIPEHAKELVDILSKDMQRVASKAPASFAPQVKDTQKR LNILFDHLNNEELIKTETIDQLAQLAGALAGKNYDIASKIQVEISKEKTEECGQWMVG VKRLISMSKATP NEUTE1DRAFT_138758 MTDTTRWKATVHIRGLAPAVTTATIHDAFIPFGEIVDISLPKNE KPDSTDPHRGFAYVEYEDEEDAKEAIDNMDQSELFGRVIRVSAAKIPKSAKEGLGSKT AVWEQEGWLAENAVSEEDRLAATAPDDPMQGLEGLDVAGPKPQ NEUTE1DRAFT_123136 MFASRVARQAFRQTPRMMRPIPKEEQAAHTVSQRLRMRIKTIPA ELWPLGVVVGFAVSAAIYSITRHLVVDKTIRLKRQNRAADSHALHAAHEEKH NEUTE1DRAFT_45658 MSDDERVTKPFKFVTGVDARFPNVNQTKHCWQNYVDYHKCILAK GEDFAPCRQFWLAYRSLCPSGWYQRWDEQREAGNFPVKLE NEUTE1DRAFT_123138 MDPTNTINVLITSIAGTGLPPTLTFALPASTTVTELRDRLLSRL PGSLESPATFRFLLTTNSNKQLPSSSTQPLSSLLPGLGNNDFLPLRLSLPLCGGKGGF GSQLRAQGGRMSSKRKKNGLQGEDNGSSRNLDGRRLRTITEAKALADYLAIKPDMEKK EKEKRRKRWQQIVEQTEKRQEEIRHGKAGGGGFMLDGKWVEDKEELDERTREAVMTAL KKGAYTDNLSMLAATAAAANAANPGEGGSGSGSGSSSGGEAMSEDEKESERDGAATPP SEPEPEPKMVVDKEKLKGKEKETVQPKAQIKTFAGFDDDDEFMSSDDE NEUTE1DRAFT_27368 MLIPKADRKAIHEYLFREGVMVAEKNYESTHETGIRNLFVIKAC QSLTSRGYVKTQFSWQYYYYTLTPEGLDYLREWLHLPAEIVPATHIKSQRSHAPPRGM LGGEERRERPFGGRGRGDREGGYRRREAGEGKEGGAPGEFAPQFRGGFGRGR NEUTE1DRAFT_84070 MDSGFRSHRRGASARLRKTFRYPSEDEADDENALEVMDEQEQES LITTLTIQNSQRNSQFRSLLLLIPAIASVPYLLVLFLSPSSSSPSHFPSHGQTKTSTK TSTIFSLLALTSLAATTFITIKLPPTKTGLSIVDSLASSSSSATTKKKNKRLPNQYGA SVLTPSPLETWLPYLNVGLCVLVLLTGLVTGGAQGVNAVGKVYLAALPGVIYAATVAA KVVMAGVDPEGELGGLRYGYKGA NEUTE1DRAFT_84072 MTQRQLHTASRHPSLQLLSHQLLPAGPASADMPPPPPLHHQEPP TPDQPQPVFGYLPQNVAQPPAPLATLLTDDQSRYLDSFFQNLNDAAADDPFLISPDFE EEGLAFTSEWNTRPGNLVGSNTSFAPFARTNFNTGFSDLSSVHSFGGPSFHHQLQAPP RATDGIHDLLNAAAALPNEVGGHGGNTFEQSYGGSSTSGGQHGIQQTDNSFGGAAIAL AMRPYASINEPSNNFYRDMIFQQPGQSQQPRQNSYQHGQPVRIQFGTDTSFSQSTFTP QRTQESSEVMSQAQLKVLDCLVPSQSAATTRASSPVTQGQGQGNEHHGSFSPPKLRTH HRPSLPQALASIQEEGGPPKKRRATVDNTTNNDAAMASPGPLATFSNSPYSPFSQSGF APSSPMTSLGGRKSAGPGGAKGKKLSRQQGLPSPNTVSEPTDSQAPSGDTRSPNDDED NKPARPGKRRRSYKEKKPNLTLEQKRLNHIDSEKRRRYMIKSAYDNLSIIIPGYKEAG VSKAGGIQLAVDFLKKIMEENEELKERLERAREKAGVKSTGTDVEMTERGIQTGQEGG DNGAEEQ NEUTE1DRAFT_147264 MSHPSQSPGSPAATGLPSSLRLQLPSARLAPNSKGWKRIESHIP LLLRPLVRAYLLGYVSSVAPRLFTLISKHALRWKAGKKQQDGFVESFVNILRGGMDWH RFPTFCAILTGGSTLLEPLHCPMSHENGKLSRSFASFIAAWLGIKLLQSKRTPGFTEI ISSPTVINVETPLPTSDAVPDQRQEVSPKQPIRTVHYAGRTLDLTLFAVTRALDVIFG ELWSHYKARRHGSPSTTASSRLVESLISRLTDPAIFALSSALIMWTWFYHPSRLPRAY NKWITSAASVDSRLIEALRRCRAGSLVYGKDTGQAPLLGSMCKDYDWPEAWGDPAKAV PFPCEIVHMGCGPSCERHALSRFARSFKWAFTMYLPLNLLLVLRKPNWKALKKALVSA CGSSAFLGVFITLFYYGVCLARTRLGPYIVGRDVKGCQKMDGGLCVGTGCAMCGWSIL LENAGRRKDMALFVAPRALATLFPRRYDLRKQWRETAVFAASTAVVFTCALENPKRVR GVLGGVLAGVLKP NEUTE1DRAFT_84077 MTEGLSPADEAEARALEQARIRKERREAKIRANAGNRLNKITGL GGGVERDPPPTQPASSSSSNDDKTSPVPTSSSAPRVHADPEEVDISTSEHFYQPNTTP RVPKLPQPTQGPSPFDPNDLSEESLRQMMLGRESPMVPGAAGGDPFASLLGAGPGGPG GPGAEDPMMQMMLNMLGGGGAPGSGAGGMPPFPGGGFPGMPGMGGMMPPGMGMGQQGV PPAMAVPDRYSSLWRLLHTAVALGLGLYIALWTSFSGSKVDRDSKNILTGNNHHHVGA GDQVAVGFDTDSARRFFYVFATAEALLLTTRFFLEKGLAKSPVGGAFGGGMLGMAVGF LPQPWKGYLEIAMRYGQIFSTVKADILVCIFVLGVCSWWRS NEUTE1DRAFT_123145 MASSRLMKAIYVALFLLYVDAAISLSLVSSMVAFLHGRGGQPFE VRRLGSFFDLPGEPAHLLTDHGHTTNAAGGTGVVLVGFGGLLALWLEHRSRAKYGKTS PFYYLWALITILSWLLTLTALIYTYVLTNRHSDQGIDLAYAEGNAPKPYPRDSWTPEN WYTQVNSLSLVHSDDHDMLKKKLRSMRGWRYNLIPFMILGFVLMVLVIVELLSFRRSR GRKTEQPFEERPHESAHLVT NEUTE1DRAFT_130548 MLSRITQRMALKRALARPSPCAKGRAQPQRRSLTSAPRPGDGPL MERRADRELPDVQTSRFRWSRTFPIFLGIVAATSIAIFNYQKLSSPVVESTLYALRTN QRAREYLGDEIYFKAQIPWISGEMNQLHGRIDIKFNVKGTKRTGVMRFASFRPTPRGM FETTEWSLETEDGKVIDLLEEGDPFRAINGRAMEPELDDDAEDAKVRGFRQMPK NEUTE1DRAFT_101936 MVFYFTSTVVSPPAFIFVGKDKFENEELIQHGWEEDFHVDKLSS AHIYLRLPEGWTWENIPEELLTDLGQLTKANSIEGNKKDNITIIYTPWANLKKDGSMA VGQVSFKDQKKVKRILIAQRENAIVNRLNKTKVEKHPDLREEKEQHLKELRKKDQAAV LARKKEEDRLKKERAEKKWQKDHAYDELFAEENMMNSSNQDRDETWEDDFM NEUTE1DRAFT_138771 MSHHCHDEHDDHHHHHEGGAEHDHSDDITPAVQFSLYQHINFDE ITTLNEQVHGSGQAIIKKTWAERLATEPEVVSDADEQLIINVPFTAQIKLHSVLLRTS PSPSAPRTLRLLANADIHDFGQAEDSTPTQEFELSQTSEIQELPVKRAKFNAVQRLCL FFPDNFSQGEEDETRISYIGFKGEWMTLGQAPKNIVYEAAARPTDHKVKGTADARGVG YNRQG NEUTE1DRAFT_84095 MADRHGPIRPVGRSHALSRPRAHAGGIVGRLTYIPAQINQGTGQ LRKDRARDEIPQFQQVTPFNQWSAPTKTALPDLGSFGPSQLAKSQNNWLLKTLPEAFL GDVDTETLLIEEISNTYSRTRPATPTTASLLATGEITDVRDPDRVKGHTVLATASGES GHILRLISLAREEWSWRDEDVKIRLHAPNHHLEGDWCQDSVPISLIKFVNDSKKYDQV RWLIVQKPTSTTVCEPELRKLPVQCSSSTQPSSSTLTQLVANPLFTITSDRSGGGSHS DVSFNPLSDDQVPQLAIIDQAGYWSVWDIVGRRGARPKVMKPVLRMCGNISLGSIPKF PGRTADDQEPHRIMWLSLKRDRSDDESRPGSRSRSSTRAKPRHRLSRASLLLLGNSTN LCLFDTETRKSEVQPHLLAARKGERLLDLAPSHLDPSQAFLLTNTTISWLSVKDDGSG MRLEPLVFSPHRRDVQDPSLRLEVSPGTFIDGALACFVSIRSSRNGEVTVFWFISPSS GKPLRYHRESVRLRASSKSISVAMLPVERRVGQAKLTAPLTRALAKRQTRFFQLVTLG HDLDLSCSLCMWSDEPGVRVRPPDECVARSGSASEQSILREQLRNAFALPDELDDRSL VRESRSPELGRDSSGTLKREPDTQSLVVYGRALANTLVVPSTSNEARPSQNEVDITRI ADVLSRETHDGYMPRHSLGVQDVVGLASRWSSHQHLLLDNVPGRLVPTNGRHVLGDEI DRAFEKLMAMFSHLPLNHRKASRGALIPKLQLLAAETLLSEAGVAAVPEEWAASETGD GRLSQSQPFASSMPFGSSPPLFSSQVHSQFVQHSQSQSQSQGYDTTQSQDQPDGEDIV GVRLRQYVAMNPVSKRIGGRTRVTSHWELGEDPNVITWRPGQDDEEDDAGLRRRRKLE VKRRKREKLLARIRGVEAVKAESQPLPFAIQSSQPRGFAFTQNTQPESSQFFASQPMS QIVAGPHGGRPSHVKKKQKVKKRMGFR NEUTE1DRAFT_84097 MSRKSVMSSTFEPSLSTSRQPLGPSLADTLPSINFGFDELRDRM AKFTAKFDAFIEQGRKRVLEERNQFRMNVAELQEDQRMKKKDIEILQLKTNTYQQTMA KEAAETREMQAAIASLTEQRDKQAAMRDALKEQIAATQREIDARLAAQRAHAAQLEAQ ARYNVPELDFWVTNLCMRIEGAGAEDRLKFVYTHIDERNWEREAWFELSMSGRDYDVR HCRPKLEREKVEKVLDRVNETRELVVLLKGMRELFVEAMKS NEUTE1DRAFT_84101 MEKSEKQAAAQQAVDILHEISTILNCQLDRRTLSICISMIENGV KPEALAMIGGERVLVGSSKREPLNEEDDQEQMDIDLTSDGIDQRYLAAPNDTISRYHL NLTVYTLRWVIMGFKELKTRYPPLCLSDSKMDQIAADLIRRLHVLLRREELSSEMSRG RRASRNLTETGRNGGLPLGLRRARRAQSAPDRTERIKFYQEMLRFVRQRPMISMSLQP SPTESWDHDRFSTDWNQRGEEEETNDAMELYETYGEEVNQDSQEEEQQAPVETEVIEV CKTGDGEENVKDDSEQEQAPVVTTEVIEVQPSSGSNSSDDNE NEUTE1DRAFT_84103 MATLVSDLLRGAQRQVRRFSSGFAAEEPDIPTPSSTSQHQRTRS DGAALPESVFEDGDENYRRSLDGIIVGRTVAQPVAVVTTTTPTNAASSSHSRLGEAAD VATATPAAGHIGQVPVPPISAAAYESTPPARPSLTSPISALAPEWTAQVAQQSFLTLP RIPSQVDSDARSQTGELPEDDGKSALRKRIQAIQNQADISQALKAQLIHQVMTEEYYQ QSPHAHDGTMGMRPESPSGRSVRSVHSVKSARSAQSHSRDRSSNRDRDSFGPLQTALK FWNPLAGESGFSDEDTLNIHVTEEDLKPTYAPKTVPKRDEHGVRELDVSLAYAIAQGI QPEEETEDLDENGQPVLRLGCKHYRRNVKLQCAACDRWYTCRLCHDEVEDHTLPRRET RHMLCMLCGRTQKASQTCVGCSQSAASYYCNICKLWNDDRNKPIYHCNDCGLCRVGLG LGKDFFHCKKCSACVSTRDEHRCIERSTDCDCPICGDYLFNSPRPVAIMKCGHTIHKH CFSAHRERSYRCPVCNKSCVNMEIQFRNLDIAIATQPMPDEYQDARAVISCNDCSAKS QTRYHWLGLKCSVCHSYNTVEHKLLHMPGVNGDQDEEEPRAATGSSDANNGAQGVSML SVALDNAATAGGRRLSAARGLDPQYPDTNGQQNRSIFHSDPISPPRRSALAGLFANRN RQSPSSSSADQPHPSTSNLPTTPSPSTYFPRPSSFAPSSPAATAAAVLASAAALVGFG GYSASAPSGAANNTMIPDRAIPGMTTTRTTRRRTRGRSINEAAGPGVTTSADYHSDDY TDDDEESRWGEDMVTLEDVLGFLGRSPRRLVPVPQDGHTSIRDLIDEDDEDDESGEEE SEEENDDDDDDDDLEEIGDGGFELDLIGHR NEUTE1DRAFT_111124 MRTFVAVGAWKKNGKCQNHDHNCASPPKQPSTAPESVTEATGPE LQLPMRGNLWGYDGNGSDPDVVAQPEATGVQTADERSNFQLGTCWTEEPTLAGDQLIH KDPCLIFGALTCISSPKVPSHRQVAVKVHSQVTYMPLHSNPGLIVGVVLRFTLFLLKF GSVDFGDASPGKALEMFPF NEUTE1DRAFT_130555 MAIYTTQARSTREGTMNINDTSMPSSMAVSTTSPFWPLGPFPNR VDSHANSHPLPHQLQHPPQDPLQHPQGPFSQGSSQQQQQQQQQQQQHPQWHPHPFPQS HRAFGPPPSSGSIITTNNLNRKYSNGNNNLNGNSPGSGNVSSNNVSVNRPPTANVQVR RRPHAATTAGRFRSAVRVPDLPVLNNLRITSNTGNTNVDNSSSSDEGFRSSAARPQRP EHRRSMSHPFPSLFSSKKKKSYQMYAGDSGSETVDDAGYAPKLGTIRPSTQHSRNHRN VPSTGNKDYSTGNCMTCGCLVRWPKELSVFKCTICLTVNDLQPSSSGQGQGQGPRRDA SRNSPPAAEERVFSHERPVSLGHTKSLATQCLRSFLSSALRSKSGRQADVQPDSYFTL AKVPSQDQQESSLSPPSGPGRVPPATSRAVGVSPQPQRDGLTVPNTDLDPQQRSNRTP RSYSSSYPEKRPAIPDLGLQAPGPQASPQIANPEIDSRRMFKRLEDYIISCFTSYQCL NTSFSTTRPSHQPRPSGEPERRRRPTQSQPQPRPQPPPMPQPEARKDSVTTEQPFVEL DAKMLLLGDFAENGLWWTGGHEEKVPGRSSSNRSDPGASAVSPRSPRIDWAEVEEWYT AVLDAVRSWPKFYDELVSEDSSLAVPPEALKEIEAQLLVGQDHVHRSLLKASETIMKR PGRLMTAPHELRFILILAANPLLHAYYKPYTGEFRHMDSFWSAQGGPSSGRHSGIIKR IVGLLSNTPNECHNHLVNWFARYPEPLFIKTKDLISSFLAFRLNRQNEKKYDARVDIT AGLIPSLNAGRSAASLHAALGSSQASGKKQKEKKKIYNEDWQIKASAQVLALIFAANN TGHSRRSLANLTDGPVASTRDRVQMRGQILATSDFYTTLLDDSDLVADFETWESKKGK FAFCQFPFLLSIGAKNQILEYDARRQMEDKARDAFFNSILTHRVIQQHLVLNVRRDCL VDDSLKAVSEVIGSGGEDIKKGLKINFKGEEGIDAGGLRKEWFLLLVREVFNPDHGMF VYDEDSQYCYFNPASLEPSEQYFLVGVVFGLAIYNSTILDVALPPFAFRKLLMAAPPA TLATSQPRQPMTYSLDDLAEYRPRLASGLRQLLEYDGDVESTFCLDFVVDIERYGSTE RVSLCPNGERRPVTNANRREYVDLYVRYLLDTAVTRQFEPFKRGFYTVCGGNALSLFR PEEIELLVRGSDESLDISALKSAATYDNWSTKNPVETEPTVRWFWELFEEASPADQRK LLLFITGSDRIPAGGAAALSIRIACLGEDCGRYPTARTCFNSLALWKYGSRERLKEVL WMAVLESEGFGLK NEUTE1DRAFT_84109 MTSGAQRLAFHSLHSLQLSHPVSTHCNLQNGAKTQDHQPQLNTT FRNTNSRCIHIVYENKTPSLKSLPLGIRQKSLLATCNYPARRLGVKKLMSIASALAIC PDLVIVDGEDLTPFRDVSKRLYALLRSYSWNDRVERLGLDEVWMDHSWFCLDNKDPEK GFAFNARGFAGQVYGREKVGLEGSGTGGYGGGQAGLLRTKLLLASHLALHLRLKIEEE GYTTACGISTNKLLAKLVGNVNKPRNQTTLLSFGPDDGEETIQRFMGSHQLRKVPGIG GKTAAALSDYFISQSKPGSSSPPNPKEITVDDLLSCPNLSPSKLDSLLSSLSFSSGTG TGIGKHIVSLLHGHDSSPVKPARLLPTQISIEDTYFSCPLVTIEQIQRELVKITGSLL KRMKTDLMDDDKKRWLARPKTLRLTTRPRTDPREGRGYGYGRVSKSQGLPGYVFTIAG SNSHGNAHGDDEIIQRLVAETLLPMFRELNPLNPRKGEKGYSIGLLNVCVTNMDSVDP TTGGGGSGGRGRDIKNMFMRAREFTVYDPEHEHADNTGIQPETEAEPLDNLDAEDLSQ DPDLNLDMSDTSYESSIGKARGSAEDNNNDNGDPSTTNHQQQHPVSNIHEQAQAQADR DTNHLGPIMSTNHYYFHIEDDDEVWDDSPDNVWNHDEEEEAAEEEEATEEDLDYDDLR DAEGLGVNGDSDGGDGIKCPLCNHFIPLFALSAHERFHSMEFEGELDA NEUTE1DRAFT_84111 MAFTPSNRHKLTPASSPFVTRTSRSPGPQRSRAINETCLSLRRV IGTTCSSPTGFDSVYSSFAYIAGGAVVVVDVSGEHYSQRFYRARPSAVPVFALSPVSH ITAANANPTPKANDSRNRTTASQRELAYQTADSSQTWTSRERIKAATCLGLSRDGRYL AVGETGYAPRVLIFNLQDASSDTPLVSISEHAFGVKAVTWSPDTKFLASLGAANDGFL YIWKVDTRTGAARLFQQNRCTSHVKGMVWMGNNLITLGVRHIKVWRVEEPPPPVSPMK AKFSQQEVACSAAQLAQKPLPGRNILLGSMLDATFSCALALDENRAIICSETGSICLL DDTNKQMKLTRLLDLNFHVSCISMRNETLYIGGKDGQLSTLALDGVLEGTPDPTIHNP HTSDGLVALGFLAENFVTIDSRRTINIWSPDHIPAVSSDDPHHIPIPGAGDIVENNID GEQPANQLVVVRATNDGSLFVVGDKLGVLRIIDASTRVCLLETKAHSSDCQDIAIFED ESRLLIASCGRDRTAQLFHRTSSGAFDHFQTLEFSAKVVQVLIPSRDKVLTCSLDRTL QVHDLVTKESDPDVMAAISSRVITLRASPSSMVVTPLGKSIFVSLLDRSVCHFDYNNG RLLNSFKCHDEGGSETVVLESLKYGQTGGDMGFLLGISNTDKSIRVYDAHSGTFMGRE WGHTEAINGVVMVEGEQAGRKIISVGEDGTIMVWGLDIQFPVTGSRNRDPSPDKTASS RANNPSAHSRPPLRRVLSKAELAEFQRPSSSHAGSSRQRRLSPSRAQPRRSSQQHAVT STARTPVTSGKRVSPDGTGSIRIKRNALRRTSSGAGSRSESPPSEDNGIYRRCASPPL INGDVTRAASTVRSSARKKTSQSNLRSNTAPSTSAGPSSANGYGFGSLSAATEQTCRQ LRAYRKKLASSDAISGDILAELDAELRLTAAALGERAIRSRSRRPHLQNHHSYCGHGS DRERGHGHHDRDKALSESMLTNLLDQYSERLVSMLDEKLRIRLLSEEEREALMSARQS QRPTTSGGGSTSSGASGVTEGRSGSSSIGSTIMCIDGDGMMMMQEEEDGGEADGETEC DGEGGADTEAEDFCGEERGRESGGLNERMMGLDLHC NEUTE1DRAFT_101946 MPDDDEQNHRRQFSAPLQAVRSSSRAPSFASIAGSYPGSGNSFF THVNDSRGTVHHLAVKDDDNDNTLSFHADDELSDDDDQHNQYQRVRADTAAAAAANKA EDDKLTQDSKDVLVERLTDLIHHLQEAKEPTVTNHGVLSDVSISELHAKVNEMEAVLT GKGTGTRLRGIDSGDGPAGAAGVTGGLRVMKRPVGPSARRSRRSQAPSPRPEESGGES ESGGEEDEAHSPLNDMPAFESSEAAAAAAAPGWIAKNFSGSEPSPPESRAHSPSPSSK AHLKLAEAAEITPSVGAGIASVSVAAVEVEAQKRRGAETERIAAESEKLAAQLEAVLK SLETRREESNHVHALLVERAEAAASRILELEKEVLDLEDEISYQESELKHLRLELRAI ETLVNEFLPPVEAADPELVRSIENWKADWKRLRDQMLSKSRRTSKSKSEINHHHRQHE TDPEGQDKRPRDEKKKKDTKHEHEHELHKEKEEVKAYETHELSVVEEVTEESLRQGHH YHYHPHHHHHQQQRQPETNGRAVLGSGVDGLEEEEGSTGESVMNSHGPPAHAARVSRT GA NEUTE1DRAFT_117440 MGNSFGYLEVPDKTASAVMGARYRQLGRVLVVLPVGHLKPVGRN TTEASGIRRQ NEUTE1DRAFT_95348 MLAGGYGPWPLSLSPIDAVESSGVLECRPAAVHHAERELALLVV PDESDRNWVFSIRAVFIEEGWLRYCVGG NEUTE1DRAFT_111129 MSWKMSEKLMDTIRHYASFPATGVSLRQMVQFGEKPSTGTLFRA SQFLAEELPIRLAHRVQELDNLPDGLNEMPSVKKVQAWYAQSFEEITQLPRPHLPKDV RERLMRPSRSGKHAYLPEATPNPSIEEGQYASHNGAGYASGLNHKKFSASRRYFAMVD DTGDWPPDLHLYNQKFAQTLHKIKRRHDSVVTTMAQGILEYKRKRQRMQIDHNIQSFL DRFYMSRIGIRMLIGQHIALTDQNHYRDPSYVGIICTKTYVKDLAQEAIENARFVCED HYGLFEAPKIQLVCNPNLNFMYVPGHLSHMLFETLKNSLRAVVETHGQDKQEFPVTKV IVAEGKEDITIKISDEGGGIPRSAIPLVWTYMYTTVDRTPNLDPDFDKSDFKAPMAGF GYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLQ NEUTE1DRAFT_117442 MPGTSRMPVSLRQSIDSVKRSRPRNPIQVLNLNVDLIRNIVFFL FLLRWTRRALWKLKGRGLFGTLFELFTDARRILYGYFLRLPGVRTKVRAQIDDALTKM QAKMIPSGQTRYLSLPKEGWTEEAVRKELEALATMDHTRWEDGYVSGAVYSGEDELLK LQTEAYGKFTVANPIHPDVFPGVRKMEAEVVAMVLSLFNAPPGAAGVSTSGGTESILM AILSARQKAYHERGVTEPEMIIPETAHTAFRKAAEYFKIKLHLVACPAPSYQVDTKRV ARLINRNTIMLVGSAPNFPHGIIDDISALSKLALRKKIPLHVDCCLGSFLVPFLDKAG FDSQPFDFRLKGVTSISCDTHKYGFAPKGNSTVLYRTAELRSYQYFVDPSWSGGVYAS PGIAGSRPGALIAACWASLMSVGEEGYLKSCTQIVGATKKLAEHIRSHPTLQQELEIL GNPLVSVLAFQARGDLNIYDIADGMSSRGWHLNALQNPPAIHVAVTAPVAKNWERLAQ DLESVVEEEREKERVRQVEALKMGTSGKTGKQKAAGDTAALYGVAGSLPNKSVVVDLA RGFLDLLYKA NEUTE1DRAFT_130561 MHFAYPPRKSSNPPPFRPRTSALPTIRGKRLKTIVLTGLAFLTL LWLFQRSGRSRHAPPAYHKPSGNPPVVIVTVFNEGKYGKGYLDMVKENRLKYAEKHAY LPSSPPDAGYGTFFVKSSDYDLHGAPVSWATLPAVRHAMTKFPDASYIWYLDQNAFIM NPLLKIEEHVMKPSRLEELMIKDHPVVPPDSIIKTFSHLKGHDVDFVLTQDKDGLSSS SFVVKNGEWAKFFLETWFDPIYRTYNFQKAEQHALEHIVQWHPTILAKLALVPQRILN SYSKEKGGQEYKEGDLAVRLYACGEAGEDTCETESQRFAQWKAAFQDS NEUTE1DRAFT_123164 MDFGSTNWSWPSGTDPNGHPNSSAQASSTHETPHSSSSGSSASK PSSQQQPKQRAYKPRTCRICLEVVQPTTEIDESFAARFTSRARVRYYSEDPELGRLIS PCRCKGTQKYVHEGCLQQWRQASPLSDRNFWQCPTCRFEYRLERLRWGRWLTSTTGSV VLTGVVFILAVFLLGFVADPIINLWVDPWGSVVETIHDVISDVEAMRPVDDEPTTWSF HFLKGFLSLGLLGFLKTFIAMSPWQWFNIRSTVGGRRRGRDRVESVNWMLVMIGVLTF LVAAWKFVRHFSARVLEKARDRVVDIQEDEDADDEDYAVDDETRKDK NEUTE1DRAFT_117443 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTALGIKATNGIV LATEKKSSSPLADPSSLSKISLITPNIGMVYSGMGPDYRVLVDRARKVSHTGYKRIYN EYPPTRILVQDVARVMQEATQSGGVRPYGVSLLIAGWDEGILPEDELEEQKSKDEMVA DDGETKKKATGKTGGILKGGPMLYQVDPSGSYFPWKATAIGKNATTAKTFLEKRYTEG LELEDAVHIALLTLKETIEGEMNGDTIEIGIVGPPADHLLGVEGVEGAKGPRFRKLSP QEIEDYLTNL NEUTE1DRAFT_123166 MKPHGTMELDIHTIRSFDSHTIVYQKRLNKFITKAGRVPRDHNA LRYVDENIYRTFAKANNGHVAVYNSETAMITEYATLSDLLRDLGFHYRQKHELWEDEL VKEERDQNRRPSSKEQFACDYEHYHAYHQVIPRPTEISKVSYTGFPDIVQLLAHSTGF AALSSTGEVYTWGDERFVGCLGREVSDECPAQYPSPVPLLSQLPTGPARHLSISPTSS LLACLTAGNDLYIWGDPRQLPPSLQTLFFDERRADDDGHDYNDGPVPVVITDTDGNEV DGIVDVAVGTEHMIALTDQGEVYVIGQNWCGQLGLGEEIKWVTKWEKVPLSLDDGGGG TKKEIKAVAAGPWASFLIVGEEEKRM NEUTE1DRAFT_123167 MDQDPRKDNPVEMDVDRDDSSQDPDGEEESFESTLDEPLDLKEL YQNALEEPSEEPSKEPSEELNDQVSTPAALTARAYQLEMFEASLKQNIIVARIWFLTP TVALARQQHQVLQSQIPSVKAIMLCGQDGVDSWSEQAVWDAVLLNVRIVVSTYQILFD ANAHSFVRLDSLSLIVIDEAHNCSGSHPIARLMTEAYLPAKKAGLPVPSILGLTASPL KSNNLADIEKLEQVLDAVCRTPTIHREELLAHVNRPEMLVVSYGDSKGPGTEPTPTDL MTRFLEAYHRLDISKDPDVLLLKAQRTERAREKLRQMVTKKDTLAQKELRGVYNRALL VRREIGPWAADYYLTRTVSHMLAELERGEPPAQHRYIGEALRSIPIPAISKEPIQLSP KVQTLLKVLASHQQDPVGIVFVKERVMVSIVTHIISTHPLTKDRYRTASMIGTASVPG KARNHMDMTKKEDMTSLEGFRRGRFNLLVATSVLEEGIDVPICNLVICFDEPSNIKSF IQRRGRAREVSSTLYLMVQNASGESAMDWHNLERLMKERYEDEMRQNAELELLDDPRI GSYPVLEVESTGARMTIRDVRSHLNHFCAKVSSRSRYLQKEPYFVIRQVSPDPASPGR RTLLQATVHLPASLAPDLRRHESLWTWTSEKLAIMDASFQAYKALYNAGLVNENLLPT KVSDFLADLGDDPGHIWVKTQFDPWPEVAYAWQESASLYSRHLTVLVPGVEKPLEFEF ILPVPVPFMAHLKLWWNATSALTLITSPEMQEFRKQEGASAGSDHSYALLAMAFAHRF PIQGRQYPIRLVSTQRKLDVDGIAALEFDPQLYESSPQPPLVRLVDGRNMPYFVTEIL PSKPPVELISKPSTDHADLPENVPYVVCKPVGKAVGQFIPLDAAQDQDSWTPKNGKLY RKVLPSTQIRMDNFPAVFAQVGAVIPAFTRAVEMSLVAADLMYNRLGCLQLDNLPLIT TALISSGSRGPTNYERLEFIGDTILKFCACLTASALSAHHSIVPNHHERLLSQWKDKL VNNVRLCRASRDFGLDEYIIHSAASKKWRPKFVEDYLDEMKSPESAETRQMSSKMVAD VVESLIGAAYMCGGMSKALECVALLLPTPKSSQFKWQEISLSRAQLFEFAPKDAILSK QLEPLEKAMSYTFHKKSLLIEAMTHPSCAGLSTNESCYELLEFLGDAILDVIVVKRLM AETGPNELAHNDMHEHLSSVVTADIMAFLAMEWVIMQTDINEIDPTNLDALGLLPSSQ SRITPASLVSNKEDWPFWRFMRHNSPQVGATQTATIERYLALRDDIRDAIWKQNTLPW ALLARMGPQKFYSDIVESLIGAVWVDSGSWEACEHVLTQMGLLPLLDHLLKTKAHVMH PNVELQILAPPNKRATRTEFVIISSKRGIISSGTEFQDEPSAVDDGLVAVEPYEDTPE HDEVFSCKLFVGGKQVADVTGAATKEEARVRAAEKGCLVIKAERKAWNAAKAAAKEDK GHNTENGDANADNGQSGEKEEVPDRRDADGDTVMN NEUTE1DRAFT_65451 MDDEHDAGDDIFAAEFKGGSKRKKDDDYAEEDSDEELLPKPKRI ASRAKTAAVAESDDEDAKPGPSKSNKKSTTTTAGKRKSPVVISDEEDEEEEEVKPAKK KGAPAKPRAPKAKKDAAVEELEDVKKILDNIPTIEAPDAPPKDPNFKFDWKTKAAGAG NAGPPPMAGTADIPEGEIDCLAGKTFVFTGLLKTIAREEAQALVKRYGGKVTGAPSSK TDFVVLGDDAGPSKLRKIKEHGIKTIDEEGLFYLIRTMPAGGGTGKGAEKAKQKREEE ERKVREEAEKLDREEKALRLAAEKEAKKAAAARGVSGPAPALPTPSSQLWTTKYAPTA MNQICGNKANVEKIQNWLKNWPKSRKYNFQKRGADGSGGYRAIIISGPPGIGKTTAAH LAAKMEGYDVIESNASDTRSKKLIENGVSEVMTNTSLLGFFGGDGKHADARKKKIVLV MDEVDGMSAGDRGGVGTMAKFCKKTEVPLILICNERRLPKMKPFDHVAFDIKFQRPTV DQIRSRIMTICHREGLKIPPPVVNALIEGSGRDIRQIINMIFTAKLDQTTMDFDQSKQ MSKAWEKHVILKPWDICQKLIGGGMFAPSSTATLNDKIDLYFNDHEFSYLMIQENYLR SRPMVLNQKGYTPREQNLKYLELVDEAAESISDGDLVDRMIHGPQQQWSLMPTHAVFS TVRPSSLIAGQFGGQAQFTSWLGNNSKYGKLNRFNREIHAHMRLKSSGDAQEIRQQYM PVLWDKLVKRLEVEGKDCVPEVIDLMDSYYLTREDFDSIKELGLGHMAEETVNIESQT KAAFTRMYNAASHPVPFIKASSVLAPKKLTKEVPDLEEAIEEDFEGDDAGLVDDAAEV DEDDDVDITKDKYIKQPKAKKAAAKKSTAAASKKKAAAKTKDEDIVDDDEDDEDVGAK KPAARGRGRPAKKK NEUTE1DRAFT_84136 MKHNASSALLSAFQGLRISSSATPFRAASLATSAVRRPIAPTPV SVASHVRLFSATAIQTGSWLEPNLNRKKKMMKGRPRVPTGGSTKGTTVVWGDYGLRMR DHHRRISAQQLKLAEDTIKQRLRGQKYRLYKRVACNVGVYVSGNEMRMGKGKGSFDHW ATRVAVNQIIFEIRGQLHEQVIRDAFRLAGHKLPGLYEFVKKGDPPVVGITKLEDGLT VEDLKNPRKKLLMPEITQSAASTSSTAAPPS NEUTE1DRAFT_65455 MALIVDKHRPRSLDALTYHTELSERLRSLAQSGDFPHLLVYGPS GAGKKTRIVATLKELYGPGVEKIKIDARVFQTSSNRKLEFNIVASVYHLEITPSDVGN YDRVVVQDLLKEVAQTQQVDLSAKQRFKVVVINEADHLTRDAQAALRRTMEKYSPNLR LILLANSTANIIAPIRSRCLLVRVAAPTHKEICDVLASSAKKEGWPIVKGLHQRIAEE SGRNLRRALLMYEAVYAQNEKVTDSTPIPPPDWEALIGQIAKEIMEEHTPARILQVRA KLYDLLTHCIPATIILKTLTFKLIPLIDDALKADVIYWSAFYEHRIRTGTKVIFHLEA FVAKFMRIFEMYLMMSMDL NEUTE1DRAFT_130569 MSLNIFRVAADFSHLASILILLHKMTQLKSCAGISFKSQVIYFI VYVTRYLDLFWTTSYYNIIFKILFIASSGYIIYLMVSAYKPTNDPGLDTFQVQYLLAF AAVLAILLPYQYNFWEIMWAFSIWLESVAILPQLFMLQRTGEAETITTHYIFALGLYR ALYIPNWIYRYVTEPKHKIDYIAVAAGIIQTLLYTDFFWVYYTTVMKGKKFKLPV NEUTE1DRAFT_117446 MSTRENTKSYHSPVVWVASTNNLSTAGSGRTHVASRSTMATSAI KLSDYEDESVYNDDGVNGGLPSSSRGGSLMEGRTALSPPPPPIPPRARSRSRSAPKEA APAPPPAAEAFPFAPENPVLPAPTPITTTAASVLLSPFPPRPKSIRTGVGTGPVKLRD RQNSGDEAMGVGYAVSYNSAIPPPPPVRAAPPAPKWAPPTIPGYKDDAKPLSSPGFKL DDPPPSGAAAAAAANKGKTGSNNRNNRLSIFPRPSPSPTPSTQGWSSSPLSSPSTPPV PTVPTDRLEASEHESQHKRGGSGGTAEEEAEEENEKRGRPLQKRQQQPQTRARGSSVT GRSPIPAPTLEAWLKRGMSSTRVPVRRGTETETGIAGPGASPPSLPRSASTSNLAAVR RQSYTARLREQVQQRYMLDADDGGRGEDWPIRQS NEUTE1DRAFT_130571 MVRFMDEQDARARRGRGPSPTRSHHSSRDRDYSPDFISGPGRAP RPYASGAIPSTATAHPAPPPVPSPPLSPPISYRTGAGPGPGGYVYDDGRDRNGTPLRG RDRSWERSSGIGTNPPVAARTISVSRSRSRSRGPSLASAPSAAPGTRERHIVRETKIY GGPELRDPERAVQALAVSDARRARSPSRDSRISRGLSRSRSRSRGARSIYTTDDESDY PRSSRGASHSRRRRSPSSRRSRSRSRSRRSPSPAAAPLRKAKDLYSSTFSPSTTALGV GILGAIVGGLAAREATEHARKSSSHNGKKSSHHDGHSSSSSKGRKNQKEEEERERKAA LISTIVGAAVGGLGANVIEKKLEEKKKAGEEEKRAKERGGGYRELRDRDRDLDDDGYG IRDRRRSRSRHGGGRGSSSLDTPAYSDDEGYYGSTGSAYGAGSRRGGGTTTVRGGR NEUTE1DRAFT_65464 MSPSDAAAAAANSNSNIRVFVRWQDHVVFAGEEVKCTITFKNVA RPPGPPPTTPTKNPHPSPRHLGAAAEQRMRQPSPLGPGHPVQSSSVATQGGNGRTKGH ADGLAPPPSVRGRGHGHRSTLSLTVPSAAATSRARDSSIPWSPIQNPGPSSSSRGGPP SSSSARSNGNGHGHKRSVSIVSLGSTKAMDEVPDLNSSPAKSQRPARGHARASSLQIN SRLPFFGGPKSGKAASFLREATTEQTPDYVPRPHTATHPKVQTSQQPSPLFHASYPPN RNTLHSPTEGPLTPSERTRNIFPWATSPSPKASPRAEQNTEFRFPFTKSSSSPDVVHG GSPAGPIHEDNIMSPTYSVAGESVRSLPMRSRDPIPTINEHGAIPSARILSTTSIGGT PRSSGEFYSMSNYSSETLASEYVQPQPLRMAGGRSGHSRRPSSFSPSTAKMPETLMMG YAQIQGSFTLDGSLVNLGPFEQVKRKAVVGGHGGGVIGVETTKRDSGLLRGFGWGSIT SSIGELLGGGELSTIKEMRGIASSKSIPLLSTPQSILFVDLQLAPGESKTFEYSFKLP KGLPPTHRGKAMKISYSLVIGTQRPGGAKDKHVKSVDVPFRVLGSVNSHGEILGHDLM APYIILRDQARVKTIDNTNTASTTTLHNHNHQQQPQQARQKLLGDKPAANEDSFLSYV DELLSSRSLQLQNGARAPGLLSPTASGPPSRRQSNYSLNSNTSSHFNPFGPQTHMPAL TAKEAIDLAILRSNIASHYSNQSTNRFEIARNGRRVAVVMLARPAYRLGEQITMAIDF EDAEIPCYAVHVALETAERVDSSLALRSEASVHRVTRKVLVSSSEATMFAKRVVFTPT IPVTATPEFVTSGVSLEWKVRVEFVVASAEALERMGQSQVLGQAQGQGMMGLGITNIG EEEYDIVSDEEAERNGVIEEEDEEEEADEAHKGSEGKEEEERETRLVKANGSVAEGGN QKSKSRPPMLRKNQTISERERERQRNAMQQQQQQPHPLLEEISRDDRGGLILVAAENL ICESFEVAVPLKIYGAVGTGLEKLERDEATEEGLPV NEUTE1DRAFT_123175 MKSAVSTIAHYLYKLVYYFIYLVLLAFLIVSPIDLIRQAIITKQ NYSILLVALCYLVAILIIVFIYATRLYYNKAVLDSIPKSWVPIEKGDVPRDVRQLIVA GLSRSAAIAYEARPRLPLPPTSAAPGSGPTSVPATANVPHPTSQRQEVERNNDEGQDE EPQQEHSGRIATAAKEASKEATDRFAGRQSRWKPKVRRGLSAGTIEGRESEHDSTTTH PSGHDEPTCINIGALHGGAHIWGEIEHPGWGPPTSPDLANVQYDTVIRELPNLIEAKA ITLAPPDRACEGEAEGEAGRMDPPLLDPDAVELLQRHESMGLREYLTSLTELGVVAPS EALSEFIKLYQRARYSTRPLSLDEFRRLMHVFAEVLKDMRPLDPMVLDEIAREYEYDG AEDNDPGIAYNAGTEGDGSNDRRFFSPYSQQPQQPSPHSFYESDIDNDAPRRSYSSGD LRKDSDGGSMYYGGNEPEQYIASRQHRRQQQQQEPPRTPTPKTPKRRRRRAKYTTAAR PSDPGPSDANPFRGLNHLTRQQTNSSYFSSSSSLRSTHTHNYLRPETAKTARTHLSSR SHRHHHHPYQRSANRESSGTCSTTYSWQQQLRQFRTAPASPRSVALTGHTGLCRAETN GTGISPGEVSQLSLASSSGKGGSVKSGENSDSSSGSVIRLAGRGDQTDLPYVLMPTPS IRETTTMSGHTHNNPNPGSVRFIDPEDEQDMPIRYFINPDEVNTEAEEPAVQPPPITR PISPGLSTRGRADISSAEQGSSSRPIETRHFSDAEMMAYVTIRGITGHGHYPRDEALE PLVRLMYEPRASETEPESTQETTTIEAVQEEVNKAIQEMSSGPAEETTMAEPARETAN EPTQEVTIQPLQFLELTEPVQDDNRQLISNDTSTCQEYQDQEPQVDHGKSETEDGRDG KVLKTPLSSPMSISITSPFSTIDSPFGLQVRGRYYRPHSAITEERADWEEQGFSRPPT ANLLRSFSNGSYGSSHASHGSAKSNSDQSSGSGDGGDGGYGSSTPSTPPRGFVKMSFL REGDVSDDEGLDASSISPRTFLKLTEGCATDNRYFRVGGPAKVPVPSNLERTRPSTPP IEEMPAVSPPPSSQDTYESQYDAYDGSVMTGRYSVPSNPSVKWTATGVAHTNFAGRIE NFPFDRMDPIAGRELCHRRYGQPESVQGLQGTDNDSAFASASTSTAAVAAAATAGPAL LADGTRPVSNLADYSPVKIPGESKPVYVYVGDLPPRIPIDERNIPPLRKAVPFDAQRQ IRKIVSVPPGFEAQFAVQAREFDMTQDEAEASSASANAFDGQQKKTDWKGKGKEIIFP EESLSSGSGSSLEMVELKAGPPPKLPDDYVETQEAGAPELPYGHVETYTYDEIEDAIT NYPGTLADGIPGHKAREHLEARNKAIADLEKQEAEMRVETAALEHEVDELERIRPLVR ELIECEVHAAISARLQAELAKGPLAFGGLFNPAITTNEEAIAIIERIVSQPLPRSRGR SRSQHFPSSSSFVNATTSRNTNTKMPVETPKIRKESDVDRPAQIREYFNQQIAQLKYK SDAQYVSVMREGQTQSKLKHKKDQKLTAIGEILENLGRMGVEDTDRVLEEAQAIVKRE MEEEERRRREWAEWQEWLALTEGWKGT NEUTE1DRAFT_84149 MASASVRRPPYKDFLQPALHRRFSTTALILLVIAYVYAIGLARW NSFLWSWFPIGPTGFRAAFFWFSGSLILILRIAQYHPGYRTSDSAFDTFLKHWRNFST LETILTYAFSAWLFAQVYLLSQPKDSGMEWVTYLSYDRQRLNEKAVFFTTHLVILGVY QAIRHLYLDIDRLLLGVAKPSEKAVRNNNSDVGTLMKKFWGEMPIIFLQSLNQTLASL ILTFVIYPFLRKAVWRLSLFFFRPFFNLPKTNYVPYSWPVSFKSATSMVLASTMLLIV WIAGNTAFTMFMVKEPTKDQRPFTSGSKDPNGSLLNGLRHRKLFYKCFAMWELALIAR DFPERRKAIYEDLDRKDGPAWSQVCSICLEVLKSLETNIGNYGKAPEPVAAPVVQPVE EKVRTAPPPKEEPIFLGGPARRRNFLSEVEKTVVEVAVAPGQGSPLKPFAKKVVDAAK HQLLEIQKAVTGTDDTASLIRSWALKGLHKWVGIPFRKEYRRRMLHAVLGSPYGEPSL YINAAYALGMLTSHSLQEDKYGNVQRDIATIIRTLTNMTKKLDRFKEGFEVHWTDVEG IKECPEVDAILEALREALTTLIVEFGPYARDLRLTLTDMRLAREAANFPEPQPRADHL LDLSQWKR NEUTE1DRAFT_147296 MSFDQLSSLEAGRRRNTGGSQYTDDPEFQRLSQDLMNKLFKLNG NNQRLQGEVGHLGTRRDTPRVRERVHELIEESRDLFKEVGGGVKKVQTWEDVTPTQKY MQQKLSREFQSSLSEFQSLQRTALDKQKASVTAARSVIDATDPSHSSHPVLESGEPQL LQYQEQELTHLASQSDVDFQDALILEREEEIRNIEQGVGDLNVLFQQVAQIVSEQGEM LDTIANNVENVRDDTAGADRELRSAARYQKNARGKACCLLLILSVILTIVLLAVFLG NEUTE1DRAFT_45591 MAAFGGRTVGGLSMSSLARHGLSTTSSSVRPLGFTAATQIRHRR NSPASSVPSQRRTFVNEAVTTVEQLLLAAQANLHIPWYILIPGFGATISLIFRVPAAK YTQRLAQRRALLKPILMAWGRKHYQEVNYAKQADPVKHDFHPPTEIMKRMEKTSKRLL KEWGVQPWKQFVPFLAFPGWLVGIEALRRLCGGPVGLLGMFLGANKDGKAAAEAASKA AETTQQAVSQATEAAMNAAQTATEATITTTPVADTIVNPLANILPESLSSYFQNPLPT TMEGCLWFPDLMAADPLHILPFILSFTMFVNVMPRNSEGWLRLLNPGTEDVNPNNIGE HASKIKQQKFVGLRMQRALLLLTLIVGPATINLPAALHLYWITTSLTSNAITSVVAAK MPLPPYLGPAKGRGDDPYVRPKLPGLDWEPNPTAVQKAILRAKSQDGV NEUTE1DRAFT_123179 MANGLFTYVAISLFTIGPLLALFIPFIWRLVGSSLGWYLRRKTD GRRCHILEVVEADERKYRDSKGAKGRKEEKEDRDTVNNTEATGTSDGKVYDKDWDGIV GFFHPFCNAGGGGERVLWAAIRATQKRWPKAKCVVYTGDHDVSKEAILSRVEQRFNIH LHPPTVNFLYLSTRRWVLASTWPYFTLAGQSFGSLIMAWDAFSLLVPDIFVDTMGYAF ALGFSRFLFRDVPTAAYVHYPTISTDMLESLDPASTVGSQGVNAGKGTGAKGRAKKIY WQLFARLYSLMGASVDVVMTNSTWTQAHIEKLWGPVRNLTGAVPGIKSKVNPIAVVYP PVAVEELEQEVEVSPEGEKRRENVLLYIAQFRPEKNHQLIVQAFAEFLKSGSEAARDA KLVLVGSVRDDYDSKRVYKLRLLVNELHIKDRVEFHLDASWPDILEWLRRASVGVNGM WNEHFGIGVVEYQAAGLISVVHDSGGPKLDIVVEVDGEPTGFHATTSKEFAEGFEKAL SLPNPYAVRLRARKSAKRFTEEEFARRWIEQLEKCVAIKAVEKPKSRQ NEUTE1DRAFT_65476 MSATARLLFTRSFIKPTTSAFIRSQLALPNNKFSWARSASHYTA STSKADFDNEFHKDNGFHKHHQHNKMGKRQFNGKPGKFRKKQKKVVVASEGSPEEVLL HDINALLETLTVADVIDEGATEAQAEAKPEVEKVEGEEKTEEKTEDKEEAETKQELLA QGTEIEAEVLSLSSTGDGLARQKGSNSNHIYVVPFTVPGDVVKARVYRHVPQDGYSHA DFLEVLTPSPLRDDSRIQCKYFAKCSGCQFQMLDYSEQLKHKRSIVVKAYKNFSNLSP ELVPEILDTIGSPLQYGYRTKLTPHFDGPPGGNRRGFKKPMEEMPPIGFTPKGLRKVM DIEDCPIATDAVRAGLKAERERMSKEFASYTRGATILLRESTKRVPKPADGSEIAVPD LKPGVLSTPIATPACDGSYTDFKTCITDNNATSTEYIDSFIFQNPAGSFFQNNNSILS PFTDHIRQHILPPSLPEGAKPIKNLIDAYSGSGLFTITQSSLFPGGSIGIDIADKSID FARRNARLNGLDESQCKFIAADAPKLFESVSQLDADETVVVLDPPRKGCDASFLRQLM QFAPRRVVYVSCNVHTQARDVGVLVRGRVGDTFGEAFVDPTEGEKKMSRYVIESIRGF DFFPQTGHVEGVAILNRVDE NEUTE1DRAFT_84161 MLIQSTLIALSATLAAAVKPLTVKNQFFVDPNDNIFQIVGVAYQ PGGSAGYNAALGKDPLSDGDICRRDAAILQILGVNTIRVYNLNPDVNHDECASIFNAA GIYMILDVNSPQVAGSLQNYNPWESYYDTYVNRTFAVVEAFKNYDNTLAFFSGNEVIN DASSAGQVPPYMRAVTRDIKNYVAKHCDRKIPVGYSAADVRDVLFDSFEYFTCAEDGK SDDPSRADIFALNSYSWCGDSDMQKSGYVDLVEGFSNTSVPVFYSEYGCNEVKPRMFT EVGAIYGEDFSTVFSGGIVYEYTEEENSYGLVSVNTKDQSVTLLKDFYTLKDQFAKLD WKKIQGVKSAKDGSGPKPPKCDSKLIQSKDFDGNFTLPAMPPNVPKILANGVSPKPSG KLIEVTNFKSKYTVKNADGSVISDLTVKPLSNDESNSPGTNDAKIQSSSSVSSSSGNS TTSGSSSEATSDAKNTSGAAGSAVAMTVPAMAMGAFAIFAGLAL NEUTE1DRAFT_84164 MNDSEQESQPKGAPLPLASEVSTSYINDGEHFEMTIKFAPEKHS QSWLFRDDTTFEDLLMALGHQWPEYDFLKSKAIVEKRQSPPSSSSTTKTKSLLKTPDD DALPMQLYAGNSLRFMAPKKSALESLHAASASIAKREAALQAQRRMAYKGRPAPTSSQ RTDSDTRFTFQTIRPLPHLPNPSRSLSFLNRLASDPGIRTAMRSHQFTVGLLTEMDPG QYTASNHEGTTRILGLNRNKGEVIELRLRTDAYDGYRDYKTIRKTLCHELAHNVHGDH DRQFWDLCKQIEREVERADYTKNGRVVGGEEYEYGPGPVNELPDEGEEEGMVMDHGGW TGGTYVLGASDAVPSPTSAESAGLTRREILAKAAEERMKKASGPKQGSENEDGRRG NEUTE1DRAFT_117451 MSAKSILEADGKAILNYHLTRAPVIKPSTLPNPTKHNPPPRLAS LHFAEDADVNGVLSQAEVTYPWLLQEGARFVAKPDQLIKRRGKSGLLALNKTWAEAKA WIAERAGKPQKVEHTEGVLRQFLVEPFVPHPQETEYYININSVRDGDWILFYHEGGVD VGDVDAKAEKILIPVDLSQYPSNEELASTLLKHVPKGIHNVLVDFIARLYAVYVDCQF TYLEINPLVVIPNEDATSAEVHFLDLAAKLDQTADFECGNKWAIARSPAALGIVAQSS NTGVNIDAGPPIEFPAPFGRELSKEEAYIAELDAKTGASLKLTVLNPNGRIWTLVAGG GASVVYADAIASAGFADELANYGEYSGAPTESQTYHYARTVLDLMLRAPVSEKGKVLF IGGGIANFTNVASTFKGVIKALREYGKALIEHNTQIWVRRAGPNYQEGLKNLKAATQE LGLNAKIFGPEMHVSGIVPLALVPGKWEESGAVEFQA NEUTE1DRAFT_101972 MALTDRTPRSPQLLWANVQGDHALPRATKGPQLRTFVDAEWNQK PPEDPRAPPSAGVELGSNGRFPGGHQIGQGSGGPPFGYMWIQLCWMTASCYTQDL NEUTE1DRAFT_123184 MPSATTASTNGANGASASPAPGNLSANDNIRRFTAPSRPLSPLP AHALFNEKTRCFVYGLQPRAVQGMLDFDFICKRSTPSVAGIIYTFGGQFVSKMYWGTS ETLLPVYQEVPKAIAKHPDVDVVVNFASSRSVYSSTMELMEYPQIKTIAIIAEGVPER RAREIAHVAKKKGITIIGPATVGGIKPGCFKIGNTGGMMDNIVASKLYRKGSVGYVSK SGGMSNELNNIISQTTDGVYEGVAIGGDRYPGTTFIDHLLRYQADPDCKILVLLGEVG GVEEYKVIDAVKQGIITKPIVAWAIGTCASMFKTEVQFGHAGAFANSQLETAATKNKS MREAGFYVPDTFEDMPALLKQVYDKLVADGTIVPAPEPVVPKIPIDYSWAQELGLIRK PAAFISTISDDRGQELLYAGMPISDVFKEEIGIGGVMSLLWFRRRLPDYAAKFLEMVL MLTADHGPAVSGAMNTIITTRAGKDLISSLVAGLLTIGSRFGGALDGAAEEFTKAFDK GLSPREFVDTMRKQNKLIPGIGHRVKSRNNPDLRVELVKEYVKAKFPSTKLLDYALAV ESVTTSKKDNLILNVDGCIAVCFVDLLRNCGAFSTEEAEDYLSMGVLNGLFVLGRSIG LIAHYLDQKRLRTGLYRHPWDDITYLLPSLQQPGPPGTEGRVEVQI NEUTE1DRAFT_84176 MSFFRITLHRSAIGLPKRTHGVLAALGLRRRNQTVFHPVEPQFA GMLMKVKELVRVEEVPHRLTKRELKDERKPDTGFVVEKQVRRFIPGVGVQDEVDFSKV VEELKAQKVENVEGVEKMVVEGGAVVRDGKRAGDLGVRTRSDWEVIGKMKSVLPKVKA L NEUTE1DRAFT_147305 MSRSMRSHGRDWDDDTKSRSSLISSFAACEARWSKLAEPADRLM RLPNKLTSTYVDRYGRTTFDDTYALGWTSTRPPPIGHNHPKIIRARNNGNWSEKLEAY YSELPPNYNIPLAGQACKKPDEDWSSRSSTRVATPFRADFGPFTFLLDALAKIRKPRE PTAGKKMWECRFTPKDMEKEKEDNFHNRPSIKLPLPDHVKALLVDDWENVTKNQQLVP IPHVHPVDEILKDYLEHERPNRVPESPQMDILEETVAGLREYFDRCLGRILLYRFERA QYHEQHLIWTAGTDEKHKSASDTYGAEHLARLLVSLPELVAQTNMDQQSVNRLREELI KFTNWFSRHTTKYFVSEYETPSQEYVDQARSV NEUTE1DRAFT_111156 MAPSKTPQPPYSKDERVLCFHMEMLYEAKILDVQPTESGDGWSY KIHYKGWKSSWDDWVPQDRIRKLNDENKDLAQQLLAQYKQLQSGKAAKQPKKGGRPGG SDLSSARGSEERTAAGTTTQNNRNPRRARDFDLETGQAGQLQRASFMWLSLATFYKCG VSVARRHRKSRAYGEGEKCQGTTHNKEGHNSDF NEUTE1DRAFT_84183 MAPTETKILSDYLLVPAQLPAIISLQELTELFPRSLQSSPQIRN LYRDLQTQRNAVVDSVAAEIEAEAKRGKAMRRVMIKAKREEEAPENDDEAEIERLLFG STSYSQTPKHNIGSILPDLEGAVSELESELQLLGEEEAALMSSIQQTVGSMSDLRYGR FANGQLRDQVLEGLASLRDTCKSKN NEUTE1DRAFT_65502 MRFSTSTIVLALPLLAAAESPLDQYKAKFQNFLGSFAGTAGGAA VPQADEVPQQKPIVSDTESATSSSVEPKHIERLTLANWKDTLYAPVQPEATTPEEWWV LVTGGNKTCFGRCGPVEKAFGETVEKFSTIPNSPHVAVLDCEEEPVLCNVWSAGASSL WVFDILPPPAAVDVYWKRLNFTTITAADLLETFDKETTEGKEAAGFQLVEGALHPFDG TLARYNLAVPIAYALHYLSIIPSWAMMLFVSFFSRTMMNRSVGGAQNRRANPARGAAP GDGRS NEUTE1DRAFT_147309 MEVGTAPEIPNQKRKRGRPPNASKAGEDASGRAEETATRRPKPT EEAQAGDAGGVSNPGPKKRGRPKKTPHTEPQEEESAPAVVEKQSKRGPKAREETIAEE PLNPKKRGRPPKDRTEHVSTNAPAEETRPRKRRRPTPAAEEPVEDEVQPDPEPTKRPR GRPSKDTGKATAKGLRGRKEVASIEEEPAQEEDVRRSTRSTNPSQHSSKPTQRSKENR RDPSAHLDNGRSSLAEVSAFQAQNRMSPPQNKQTTKSRKGKKVAEAEDVDVEEAAPTR QRRRGQTVPEVEYSERPEAARKNKRGPAHRPPNATIREKAIPAAAAKQQRAEKRSAKD SDTNTPGPTEKPRRRKNVEPDENEGPPAQQQPKEPKEKPPPVPKYRHLTSRTRQIPRS TIASKWSPLDPPSIAAVDSIIADAHRPILFSLRETRSDSSRYAHAQDILTTFASRLHK KLEKGMPFPPPSIPSVSKQPRDINGEPAGASHEAEFDFEKTVNAIQALERTLDPLLHS VALLKREKEKEEQELERAYRRLRTLETNARTQSRGWRERGKRDHVLAPGIRPTGPGAA RDWEEEGEIELVKPTEKDTRGSVFKEIEGDEELVKVAQQLGSHMESMRGNLEQIEGVV PAMEKTKGALQGVLQKYLDDKQYDQVVFG NEUTE1DRAFT_123190 MWSSLTPARRQATTTSWRDRLLTLLMALTLILSSLASPLPIEGA VKANNNNDAVSQPQAQAKAEVRQFSAPAQAQEAETTNAATPDGTTDTNTDDPLLPERK YFHEPGWTEELSHYDTRFFTSPVPYDPHLVHLRHLIRSYLLMTSSRSLTTWLAHGTLL GWYWNGAIMPWDYDLDVQVSNTTLGEMAREWNQTTFDYVYTLSEEEEKEGLGKQGDVN VKKYLLDVNPYWAQRTRLEGMNVIDARWIDMENGMYVDITGLSEDREETGTRQGVWSD KNYHGYGTRQIWPLRRTEFEGVEAWVPWDVEGVLKEEYGARSLTEESWAGHHFDHGRK QWVKTELA NEUTE1DRAFT_123191 MYAHDSKAIRGNFIPVSKSMNARAPTPPREPSENIHHFLQLSLT ESQMEKLAKVLSEDGDTERESRGRQAPQTEVQQPDVVDSVKPKPKAKEHQTLKQRFRS LSPAKRRKHQQEVVATPPRQRSPSPVRRGTGPKTSEQRRLGYGSDSYQSPQPASKQRQ FHQHQVSWDRQYDSPKTVSRQRQQQCMSPQSASRQRQEHQQEQRAAEAAAFRLASPSP SKRRQDRVPPVPIDTDIARLHAKVTAHREPIVIHYSPEPDARVASQTVQIVATDKSSV YSQENQEKAPDPRFRSQISPLHVVKENKENDSGYSQTLSILQDYGNWSNWANSEEPVQ SSQGPEVTRAPTKLSNRSADRPGTDGATGTDDFHYSALTPLFPIHNINNPNRNMRMAS KTLIGENGWLESTSRPSDAQPNNQLNTATSNGTNKKPSTAVPARKPGFLDNLVKKAKD FVSAPLPENANNQRSSHDSNKPHSPRTLQISLTPREQSLLYCELEFLLSTLLNTYITT QLSLGLLDHNKVRRISDTWQSKGRPRVVSFRYDLETQLDLVRLHVNDFKFYGPAAANT TTIMGIVDGAKTDARAMRIRTFCQPDTVVAKQLVGARALCGLLGAGDTEERKLGECVG FFRAVVEREVQRGMIEVAAGGNGGNGGGETTMDEQQQQRESGNTTTSGDGIWVGGAPQ YQGPPSPIPEQNLNQTQTQAQIQTRSQSPVRRGGHSLDESNKWHQHHHHQEQQRQHNT APGHPGASGGHNNALSSPVRFTTFAAATSGGHLKGSASQGIIKMDPSAYEDDIHPGSK IF NEUTE1DRAFT_45010 MGTGGSRGVYQDAIHVKDLGEYTHGNRSFVHGTDLSSVRYIWLS TEFPEFIARTDVRSNGAYKAITRSNLNLTVGPARSTRLGSGRNILSTPHEFVPSNAEN RTLAARSFRTD NEUTE1DRAFT_101982 MSVRLMNVRVYLWAQGSKSVCDATEVSTAHLSSSEDPYNAKPYF LRDPARSRHSPLMEGASYDQVPTSTYGVCCSVSARKWVVTPNAMPSS NEUTE1DRAFT_101983 MATTTFTTTICAATATKPVLYSQYANNGVISIQVGVPRRVDVRH GAKPQVAEQKGPPPSPPGSPVLSSARYQGLKGSKGFITGCRPSGELPTCHLHSIFPHL DTTGGLWAPVIGSAFPAASLGPVVTKLSPMGPVLGEPGRLDPEPDPSRVPKNRTCESS QQQRRPRPPSSSAGAGADGLGPYRSQESCSADPEFCIDPVGQYITLRK NEUTE1DRAFT_65511 MFLDRHLPRIHPIGDLVETVPNQAAFAGNCLLSKSSTMAAASSH NNNNKPSCPRSPVDPSTGTPLFDESPVSSATSAKPHAPQQPYLGGLTSTTPSATVPGT TPASSVPASAPRPPSPRSLPQPPHPPRISTLASSSTENLLSHQVSHQNSSFHSYTPAT ATPFTMGGRDSLPSNDSVSGTPGPSSGHQLPSSSSQAQKRAYRQRRKDPSCDACRERK VKCDATDTTSCSECSSRNVKCQFTKETNRRMSSIKQVQDLEKTLDKLKRENLNLKRAL ETQGHGVHGHVNHSLGRGQAHMPMDRMDIDSGPVGGMGIEQMLALLLPEIGAEPKPKK RPVPIPALAQARSNIRIPAKGVWKPPAQYRHSPAGPSIFDYTPRPELPSQQTTDHLMR CYYSSAHTMTPIIHWPTFRQEVDNLYRPGGLTQHVPTSFLAMFYAVLAVGALFSPDPH RSYRAAEWIEASRKLIDPWGNDFVLDHARSLVFISIALNELNLKSAAWNWLGNAVKVA QDIGLHSDMGSWPLIEKEMRKRTWWSIYILDRSLSLELGRPMLIDDVDCDVPLPMGVD DHFIRDNGMVVPNGAEPLTHSLLAITHVVRSYTALGRLLSLSGNEGPMLHNRNEFMSP AIPPARLATFDQYFASCLRTFPPACDPSSGSPLAPVLLNPLIYLLHARLLLHRQNLSP QCPPDSRLLAVEQCTNIALETAAYLKRMTPTLPEGATALTTMHIFRCALFLLLIGHLD QALVCIRELAAINTNREVAVPCGRYLLFFVGVVGQKRSDYLQRIMMTGSPEPAPVRLR NALVRDEELIAYVSADLQARPDTAWIWAGGEQDHAAAAAAAAAAGRVVGSTSGSSHGG ANGLGTLFSEEAKMGLSKEEINEWNVNKGWTRLDEMVRGLAHPLAVPLAQVPMVPSTS GQTPTSATRPTHSLASTPTSATAPPPPYSQGPPPPVTVSGPHPPPPPPVHYSHHAPPP PPPPPPPPPRGRQMPPIQPGPSPQQPPPPASWGHPTSGPTLPPPQQTRSPYIPPHAHH PQGPPPPAQPPTLPSISPAGTPRIKSEPGLPSLPPLGGDRDDKRPIGLGIGGGGEKGP ASAISPSNRAAVLQSSVGGGHGAGSPTLSVSRSPISATTTPTTAMMPNGILNTIGGKE NANLKPPAIKSQSQERISIANII NEUTE1DRAFT_117453 MNETWTVGMDGFTIIVKGEAGRGWSSLSSLGVALKGASSEESNK QLKLCY NEUTE1DRAFT_123193 MADYNRSSSGGWKPVLDPTDNKTIIGHHWRRHDYVPGEEALALQ TLDMWIPISPAALSYGKPTPNTLLGDGAKAPWLIYIHGGAWRDPVIDSSSFNASATKI LSDLAQQPLEQRKKTVAGIASINYRLSPYPNHPTHPSNDPYDPNRTAKHPDHIADVLT ALGFLRNYFGMKEYVLAGHSCGATLALQACMSPERWGLAGTAEWRAGWYNVAKPKAVV GFNGLYDLAGFIADPPKGYERWKEAYREFVVGAFGKKEEDWKKVCPGSIAEGWVREWN LTQQQQGEEGKKRKVVLVQSLEDSLVPVEQTEGMAEYLERESQKVADEDKKVEVVVLR EEKGGDHDEVWKKGERLGEILLGVLNGEI NEUTE1DRAFT_101986 MANGNRSCSNTQLLSFAPGRSNGRSTLGRENTIPILVQHVLTSR LSLEKLGSRDVMRCGVAVSRRLPSV NEUTE1DRAFT_84197 MVHLTIIPKEDEIRDGLDIPLTGALKAVHLQLANDEDRFTTSVY GSKFAAADLPRHEMPDEEMPKEVAYRMIKDELSLDGNPLLNLASFVTTYMEEEAEKLM TESLPKNFIDYEEYPQTADIQNRCVSMIGRLFNAPVKDAEASSAVGTSSVGSSEAIML GVLAMKKRWKNKRIAEGKPVDKPNLIMSSAVQVCWEKATRYFEVEEKFVYCTPDRYVI DPKETVDLVDENTIGICCILGTTYTGEYEDVKAVNDLLVERGLDTPIHVDAASGGFVA PFVVPDLEWDFRLKNVVSINVSGHKYGLVYPGVGWVVWRSAEYLPQELVFNINYLGAD QASFTLNFSKGASQVIGQYYQLIRLGKHGYRAIMSNLTRTADYLAESLAALGFIIMSQ KSGQGLPLVAFRLKEDPDRTYDEFALAHQLRVRGWIVPAYTMAPKTEGLKMLRIVVRE DFSRNRCDGLISDIRSCQGILEQMDKETVKKQQEFIHKHHVVSGKASHNHPKYHKEKH SLQGKTGKTHSIC NEUTE1DRAFT_95361 MAAKTAYDYLTLSRYCGRKAMVRGTKQHQSKGAGQKFGLELPGG KKLLTLRTG NEUTE1DRAFT_147315 MATQSDNYYDIVIVGAGPVGLMLSTCLARWGYKIKHIDNRPEPT ATGRADGIQPRSLDLLRNMGLKSAIMAHKPARVYEVAFWDPPKTGGGIVRTGTWPSCP EFIDARYPFTTLLHQGLIERVFIADLEKNGTEIQRPWTITGFTSDEKADPEYPVTVQL AHVDDSSKTETVKAKYLFGGEGARSFIRDQLKIGITHKDPISYVWGVMDGVVKTDFPD MKMKCTIHSEHGSIMVIPREANMVRLYIQIASSTDPDFHPRKTATEAEVQAAAKRILA PYSIEWERVEWYSVYPIGQGISDKYTLDHRVFLGGDACHTHSPKAGQGMNTAFLDALN LAWKIHAVEGGFADRHILETYEPERKDVAETLLAFDNKYAKLFSQRPDAASTAQATAS GTHTNPDAQQSAEENEFIKTFKEACEFTSGYGVFYKPNALNYSPAHHPAGTSPTILSP EDQTTKLVPGRLFINSTVTRVVDANVVHLEQEIPLNGSFRLFIFAGSLTSPTQKSALE SLAAGLQKPDSFFSRFQRPDIDTVSHHERHNPHSKFFTLATVFAAKRAEVEISRDLPA LLARYRDNVYADDRWDRRVPDAKAAAHAKMGFDEDKGGVVVVRPDGYVGFVCKLEEGG KTVEALEKYFGAFTTKQ NEUTE1DRAFT_65523 MAEFLEKVRFIDVGLDDTATVRLVERVKDTNVKYITLSYRWTAE TPKTNLKTHNKDKYHQSIPTENWPQIYKDAVALSRTLGIRYVWIDSLCIIQDDGKDWN TQASLMHRIYTHGYLNLAHACAEFSPGLEVTRDPISVSPCVLSRTRTASSKEYWACFR NYTPSSDLARAPLYSRGWCYQERFLATRTVHFSQKLYWECKAGHASESFTGDKGLAWS RGSASSNPDILTSVDQANDQSLSSVFGVSTECDWCVAGLWKKYLIRQLIWYRVSHRHG HYDEFERIRDTAYYASFEKQLTGFPSWSWASCPTSPGSSSIAWPGHTEPEYQNEPLVE VETIIPLNSQALHLGYPGFESSALILRTAFDFLGFDAEQLLSKWESWFSTCKADARAY NGDKCQFQVLINLDRPIIGVVKKEDLRLLPISMEYQAGRWDTVWIDGILLEELGVEDG LPTFRRMGQWSHRYDMDTCTQQSPIPGLLGSFDTKERLEFELGEFRKRRESLPFHRYK LV NEUTE1DRAFT_111166 MTSILVPTLVEEPALTDYGQITKTDKDTMKTPTPVMALMAGESV MELLAEDSVKELVAKASVMTLTVEDSGMDFDKAYVRDLGSEDSGLDSDEDSGILMSED FDNLVLEDSDNNPTTGKSNIDIHKRSLVVIPAGYFIIASNGEIMDLITHPEMLLDDVF QYFPDYEPRFKGEAHLNPLHRFVCEDTCFLRNWLFVQDLLFMRFWDQFGRTRHASSLN KDTVGALGEIISFFVFATMPFDTRRLPNNNWIGNYGRLLRPDSDTFLRVWEFYDGPLR CEIGDALVGQTISNIVKHFVAKVSLDYESQPAGNPERINATVCFNPGDNVHLREVQRS WMNFRNNVDKRLAQLGPSSECCGNKEVKVPTVFPKEYFPTGENIPVGNNIIEPPRIRR YYWNNETAPPLVNHDWWDTAAHHYNFVTDAHERSRPAREEPEPPRESSYGNKML NEUTE1DRAFT_123197 MTQKGLQVNKPGLTLCDPPDPSVPRVFSTFSKPRSPELPPLCFF VRVSFNHASRLHYVGASSCVPTTSPPCTRASERRRTLCPWRSNLLPWLPFRSRWYQAA QPDIGHRGSKPPETPSIMIDHLLGRPSAKSRRLQVLAVLGFWSAYLIKGHKHGPPGFR IFSRLLSRRLTTWQTVILTMIYLYAARNFSTLVGLASPEPMANMYDAAYFRATWVLTA LDAGFWTAMKIKQKWLRDISSIAFSLFYLVAAEKADEKVRKVRGNLTVEHLRVSWNKG VTSPYLRFVQNLMRPRFTRWPPRQIRIPRPATSDYKDPVAAWLYYDGPLADLEYHNKI ILDIPGGGFVAMDPRCNDDKLFSWAAKTGLPILSLDYKKAPEFPYPYALNECYDVYST IIKTKGRCIGLSGREVPKIVVTGDSAGGTLATSMTLMIVESGSSPVRRFQGEANLKVP DGLILFYPALDMNIGSWMSDEQMALIKDRRMRGTNKRIVQRKTSQYNDLVGTPAPSDD EDDGPSSGPNPEPSLVKEKEPLSAARSAPEYAHSGPSTWSHADIPSLSSSRSEKSKTT EKQPKAKSSAVVTTTTPSPTAAPHSHHPQPMRTRLAMSSMISYFADRVLTPEMMRAMI ILYVGPHNRPDFSSDYLLCPILAPDILLSKFPKTYFLTGERDPLVDDTVIFAGRLKRV KAAMVASDGTRTQYREEDVDEAIRKTNGKWDGKQAAEVALVPGISHGFLQFAGVYPPA WGLFGKIAGWMGEVFAEAERKEREEERARRKMGVAGGAKLEEKAETGAAGRGTNEKGV GFGAVEEHSAEERTGRHHRRRGTGGTESSADEDKPLEMGGKARTRGRVALKNAGSISE GNASTSADAMEGMVNEDGIHVVEGAEGDEEAGSDTATEAAIAANTKVKAKKAPRRMKL RRVKVGDEDGALLVRLDSSDDILGRRMQGLAGGLTGLQEAE NEUTE1DRAFT_65526 MWASVTPRDGVDLGVPQTCFQLCDDAFLESKSLLTRQDLCATDG PLIREFDSCNSCIKNGTTTDSAYAVAYQKYIDPYFGDILRQCIDLGATVTLSLGPPEP VATTVVGTIATQQPTSTTGVEASNLHTLTAPFDPTATAGASPSSATGTSSSIDASWLT TDQAIQTNNYVLLTGETITVTELVIATITRADWTGWHTSDISTRSTIPAGGYGTRKTT PLSPTGASHSGSKSVAGWVWAVIAIAAAIVLGALVVSFVLIRRKKSKKARHLRSSSGS TPELHGTSGAGSRTELDSKISSAELHTDKLPPSELDSKVPPVEMDTEFRFELPTEYNK ERDFVEETITPISTCTDATRIPASPLEEQKVLVTPGLNLRSKEELQSHTKPS NEUTE1DRAFT_123200 MSNTTETHHTFGPSCRLAPITEPEPIDGLEGIPPINAQFFYSST IPIDDPLSAATTVGSSDAKAANLPLRPFSPGDNRDLERAWLGFSSDRDRRNHRHAQRN RSPSPSLSKENAEKLAAIVALLAAKHKDKHDREGHSAFSALATTENEHDHSVPVCCAE LSIDADAELRKEFCAVTRRRQPTLDHDRLIQEVMAQLTRLWANSDTSQESSAFRPSSL PLGTSPLQRLSGSLEVKPSSLNDNQLPLSTIGKMIPRPPVVDAGISGKPFVRVESLSR SVGATPEDKPSREPSIRGLPSRLGGNDSRAAKRIVKEVIRQAEDSVEVPVGISRLHMV SLPVLQMKPIYWSPVNDISTVQRATWFYRDTMTPVKPAVANQLEAGYRELRVWGQTWK DELKSALDVGALGEEKVSHPLWPEIPDKRPKVKDDMPPEPPISSDPFCAARCFRGEAA AEGTLEHVHPDQELPPPPPEPYANFHVIYKDKSAAFLLRPSQKPSAYHGRRPLQKIMR GITVGIPVVRGFDQAIWDKVHQKKGTSANKAPNRNTPRQTNVSGDGCPACQMEQDRGQ VTDLLLIAHGIGQKFAERVESFHFTHAVNAFRRAVNIELENPMIKPVLRPDQNGIMVL PVNWRHLLSFEDGGPSKEEDASAYTPDGFGLKDIEPNTIPAVRSMISDVMFDIPFYMS HHKPKMIAALVGEANRVYRLWCKNNPGFADKGRVHLIGHSLGSAMAVEILSKQPTSVP RPLDLSGTQPETRHFEFDTTNLFLLGSPAAFFLLLERGSLVPRRGRLKPGADAADTLN KDIVGDLGRFGCIAVDNIYNILAKEDPIAYLLNGTIDPIYASSLKTAYVPTFTTSFFK SVSDSLRGLAGLSTSTSAPTSNSPNPSDPSNQSTDPTGHQSQMLSTSKPPTFLRLPSQ LELEVHDFSREEVAEKKAFLLNDNGQIDYYLRSGGGPLEIQYLNMLSAHTSYWNNLDL IRFLCIEIGRRPGRENSLGVLRADKIVRLRKGERMG NEUTE1DRAFT_45995 REKGKKTYNTRDSLVVTDPTTSLALTGLSMGERTGSRVFQRVWS YVIVVYESTAYQCFVFLFFLRKAFTWETRLLM NEUTE1DRAFT_123201 MADSIHNESTSTAPSPDQMYCHACHHQWQRQGETLECPACNNAT TEIVSNQTSPTTLDTRTTLLTQDRQITPENDPRHFHRRPLPATVEDAPAEDEPQPDYS AAATETESQQPTEVTTSNTETPNANESNDHNDARPQTEANGTSTTSGNIRTSDETNTR GTQEQTSNASNTEAPRSGSRSTNTQHFDIRFIFPPVTFFTTVISEPLTPRSQQQPAGS QPNGQAQSTEQSNEQPNNQQSNASNTSANGPTPNGSTASGPSPITFFGLHFFTPRPPH AHTAPASNASSNTTQTEGTAQQQSQFNGEQAQASEQEQPQSSSEQPQASQQQQPQQGP QPATQGQSSAFGSGPVPAGLMNAILSAMFSPLSPLVLGNLNFVNDGVYSQEAFDRIIT QLREQHAAQNPGGAPPASQAAIEKLRVKDIDEQMLQGCQDNKTKCVICVDEMTLGDKA TLLPCNHFFHGECVTPWLKVHNTCPVCRRSVEVEEAPESKKRKNVAEHEPTGRDGHGI DEAEDEDEQQSAEVAAAIPERQRRPYGPERLRGEGTDCA NEUTE1DRAFT_95362 MAPKSVRRVAYHMQGTPSPISVWADGVYINQENDDKCERNHQVR LMSRIYSNCRTGLMYLGEETDG NEUTE1DRAFT_65531 MRDIASISFFLLVAPLGTLAAPTPFEDVISSRVHQARAVVTPAP CQPQLSPPPTEQESAERFENFAHAFLETKNLTEAFEYIDATANGPNAALDALGPYWDS IQITPLRRTFKGEFGWLNYRTNYFGEIVDRYRMEGGCIVEHNGSFTWISTVLSITSMT TKRTPMASRPKRPPSLQAHSYVVDVRMPQPRIAWNSSLSNTFSRWHISP NEUTE1DRAFT_84215 MASVSTTAPNAHTRAMHNDLGREDQTLLLFAHLMEGGKEDDETV ESLGKLTKLLNDDVEATKKGEKSITAVIDSDCVDTILCYLDMRQTDVVRGHAALCTSA YLKAAGEDGSKKLSAFFLDRIQRGTYDDYIVAFCVAATIFPIVPDLTSELFLSEGFLP SLGPLMRRKWKSRKVETACLEMLNAACMHSHCREAVQKYCIDWLEEIVDQDTEQVVES MYTTDPDTATQEGSVSMRRHSIHVQNLAAVVLTKLRLKAVQPDQAPPDLSDPSQPRIQ PATTSVEDLSKKFTQMLIKDPEHSSQSSVEGLAYASIRAKVKEDLAGDPQFLKSLVKT LESAPPRSPLMYGALSIFLNLTQYRPALTDEQKRISQLKAYADAVGKLQPDPLNDNEH VSARCKRVFEAGLTPVLVTISKTSSVASLTLIISILNSLSMTPSMRGPLAQQGAVRLL IGAWTNLPEGDPKNMTVRRTAAQALARILISTNPMLVFGGSTPINTAIRPLVHILLPD QTVDTRDLLPTFESLMALTNLAAVDDDTRRTIVRVAWKDIEELLLYNNPRITTAAVEL VCNIVQSPEQAEALFADTSKPQAQTRLKILVALADAEDAATRSAAGGALAALTGYESV VKAIAERERGPEIILGLCEDDNEDLRHRGVFVLLNMVACEGQAGKVAREKFLSKNAVE IVKTVVTRSKRREIVELAVQSLKLMLGKE NEUTE1DRAFT_44414 MNQKEGEKTYNTRDSLVVTDPTTSLALTGLSMGERTGSRVFQWV WSYVSVFDTASTYEDALICCQVRCWTDAMYGCE NEUTE1DRAFT_102000 MAGVNTQSSRRGCPPRKRARIDSYLDNSSPAEDLTETAEVVSEA SSTRKKGPEQGNERHMPCGPCVTRASTTPGQKCYNQGGLGVACWQCAKSNHQKTCVPV PLHIQSKLKAWWDQNRTEREDDSRTKEFMEELHNIIREIRAHNKVNVQPPLSATLGSS SAGQSLSTSIRKVEAFEAIANSAAKSAEALSLLVKNTDQMKDGLKYGGSDEAEEEEDC SSSPSRSTDEESILAVKDHYISLLSERYDSTDKPLISVSDRDALLALAAARDGTIGGL LQRKYIVASTRYRKERSRRTSGARNHGATGSAVRLRGPGMEAAQHRLVASEVLEDLAG EGHDGMNFDM NEUTE1DRAFT_45899 MANIKAQSSYHSHPPKKRSRIDSDLEDSHSFKDSDSANTQEDEE EEEEDDDDDDGGEEAHASPSLSSSTHQKSPSTSRHHYHRLLVTSSGPNYNPMIALCDQ TAILALAAARDPSTIGKLLQQKYDAAWARLRRNSRIINFDNYYQRVEGLLSSLPRDLD PSESTRTHMRQACTTIDRIFDSIHQQVDNESKLESKISAARTMQRILRRLLIYSVNSG NIIPEEFSGNGHLGRLGLDGKMFMLIDGKFDHKKHEIKRMTAREDAWGGSDWVERVKE LVSLSSRGGEVFKTLARVLEVVEKDAYNPATDYDSYGRPTF NEUTE1DRAFT_45076 IENLRLPLLSLDIDRTIGSFFDLEFAEENNESLMRIVFRHQFQR THMHWREDPAYSHCYEDKIELFLTYAFSSNETTALFRLNEGFERLDMRKDMSKCFDEI SRITCKVAHPLTLPYIMIRSVRLRLNQRHLDAASMAEQMELILACNTSEVKHDVRRIY TDTSDSWNFRPIGRHWADNRRSMISVLSSAAALCEAIDELREAMASFWSRLSDEEESS EMTAIHTQLARGLKEERAQLDSIVGFTRQLEQRMHALESSINIMALEHSQRNSQLNTA IAQWQIDLSTTTTRDAKTMKGLAFMGALFLPGTFISTILSMPFFEFKIDKLWIYFAAA VPLTAFTIAAWVIYERSQQRITRTEHGVMRKVVERLQAKVHKASKIQVSFSLS NEUTE1DRAFT_123207 MLSHVPPSKQKNVLDDDLDYDNFDLTADDVPPISTSKTPARKEP GLSTVDLKGDSQELKSIQGSARTMSQKKTPELDIDISSDGFLDIDSITRSTIGGERTS EPARKRQRLSPVSESNSPKPRLAQTHTLAISKSFARLGPSRNDSSRVIEDSESSTSPH ISSRNISKAAKSTYHHNDDDDDPFASPPPRFTREQKGKGPASAKVTPDVINIDDSDDD DPFVDSPLKVNPNPRGPPRPATTAAAWDPISSSAPLPAKPSPHRSLGRSQSGFSPPEN SDEDVGVDPLSNDEDDFPDISDLRFPASRSGNDLSGPTKRPFTKSASTTTGATRTKPA KGGAKKTAEERAREREDKALEKKSAAERKRQEKEREKQQKAEEKQRAAARAEANKLKI RKEIATPEMIVDLPSSLPPATKIQIEEFLKKIDVKEINTWTSPVDNVVRWRRAVKSRY NEERHHYDPIPETIETEKIILVILPAAEFAKLAMGAEGHNLEAHVLKVQRHFPNHQTI YLIEGLKKLLSSNRNKRNNDFASVVRSRLAEDESASTASSRRTNKKNDPPMTISESQI DAALLRLQLLYSMQIQETTCLQDTAHQLQLFTQNVAVAPYKRHQENYLMKSAGFCMDS GQVRTAIGTEEVYVRMLQEVARITAPIAMGIANVYPRVGQLVRALEEGGPGTLEDVRR VINKEREVGEKRVGKAVSKRLWKIFTGRDEMSTEV NEUTE1DRAFT_117461 MAPNQGGPFCPINSRHPTALLLIPPSYTQKTRISSIVHTTTIRY DARARGALPVYRLMGCL NEUTE1DRAFT_117462 MLLGFWTGQKEGLLAACCMLICRGDDGMADCGPLAGRRVLSSFN LHNGRHM NEUTE1DRAFT_84225 MDFASPPNSPGGSSLPQRPMSAMVRPTQRSSSRMSITSKTGGGS RASDDDVKTAVRVAVRVRPPLGPDDPGYDLVPQRFQRSMVQVQGETGVAIDSPQGRKL FVFDRVFGPEVDQEGVWEYLSDCVNAFTQGYNVSLLAYGQSGAGKSYTMGTAGPDVQE DLEAMGVIPRAAIALFEKLDGSSPKSQGAASKRSSMSQLRAPSKVAMLQPSNIDKDWK LTATYVEIYNETLRDLLIPEHIPQHERGTVTIREDVKGNIILTGLQQVEVNSVDDLMN VLAQGSALRQTDATAINARSSRSHAVFSLNLVRKGAKGPTAPTDRRMSMPLEAMSGTE AMVTTDSKMHFVDLAGSERLKNTGAQGERAKEGISINAGLAALGKVISQLSSRQPGAH VSYRDSKLTRLLQDSLGGNAITYMIACVTQAEFHLSETLNTVQYAQRARAIQSKPRIQ QVDEGDKQAIIERLKAEVAFLREQIRSSERGGGDRRSMLLAPGERSERQNEREAELQN QLLDARENYTTLSQRHAKLISEMAKARENEFAENQHLEESLGESATERLNRSNSFAQA VEQVVLEYEKTIQSLEQSLASTRATLANTEATLLEKETKCAYTETINTQLQARLQKLM DREASTENYLHDLEAKLDTHTSGEEKNATIITELRKEIARVRENEANAEDYISTLEER LAEADQDAELMQREIDRLEQVIERQRSLGKLDSLLNELDHIQQDPPTIPENEHELTNG ARHRKMASRDYANHSRSQSHVSHRSQFDEPIRESSEEDIPEEDEDNLDATPTDKANHS TPKASHAQLAGKNGSKLVPVDQFETVTKELVDLRTEHETTLHDYSALQAKHEEALRAL AELQDAVDEARHPSRVRDSILSVSAPGTRPMSLMSGETKTGHRSLSSELSSALGSHTV VTDVSGAETAKHHDSEIDEADADAQIQKLKSIAAAREAAERELATRYAQLEEKHQETL DMVEELKTEIAKAQALSVESSISRTSTPVIRRKSSQNVMIIDRAHRSFATLSNIAAEN LHDPDVMANFELNLNAAMHELHARSVRIQELEADVTNAKKEMETKMTIISGLTRERSS LKAASPMDMAMVSSLREQLERSEKHVQELRESNAIRQRELEAQISELQHALHQAGVDP ASVGPRVFDGDSDAQAKRIVELEAELRGWEAKHQAALENLQDSENRMKSSMAELGSQI SFLTTQLTETETQSSMLSSRLAQTEGQVTSLNDQLAQNGHKEPEGDEAAKEKKHKELV EILRREIDDYKEIVNRTQAKVTQTEEQAAATKVLLDAATRERDDAAAEAEHNKQLVNR LEESITEHEHTIKAHQESLHALELNHALELEEARTASRREVEAEMKALKAKHAEQVRR LEEGLTDAREDLLRVATQVALALGLEVSIEKLSERIEDLLESRDQVDLEQKKRGELEQ HVVELTSINDQVMNELESVKAALANMLATENDKVKTVYPVKESVALVKKKMVDLETKN KKNSRLVEELEDQLQSNYDQVQITSNRLSMLQSEKTQQLEEANAAMIRLEEELKMARE EYAALQTKYNVLSEQAASVPQRSDSKSAPNPSSPIRKSNSVQSLPSPPPAIPLPPLPS DRASGAVSPTSGAPRPPSKDILAAALSNQQFQAIQEDQEARIRIIEKNLLAEKQLTAT LEEALTDLETQQLKIKADADAWKRRAGELEAELKELKDKPQVDNRWSLQQVEEERKKR VDAERARQHLEERMQSLASGKKKKKGSLNCF NEUTE1DRAFT_123209 MDWFLEVPADLPPLFLVGDHHTRRYPPVDSHLPFRSLRRLSALI DAHATTPTDLCTATTFSNFPIHTGAFWSIHFHDTPISLVSCPFQELVLDLHLFDGLRA VRIEEHNLLELKYVCFQGLRGRICCRWPACPICYSHVDDAVIHTPSHRVHSQSTFDLS FYLHDRQQKIRFVLEPNYDILPDDATIHILGPDGKVKRIEPIAREEHKVFRGTTFVQR EGMTDADWRQAGWARVYVLRDGDRPIFQGSFRVNGVDHHIQTNTNYRQTMIPGDPEIE EADEEYMVVWRDSDIKKPAHDDLKKRDPASTGSCASNDLLWNRDENNGVLRWTEEVFE HHSRWAISPRALFGRQMDGTQGGNGAGVNLLNSIGSTAGCPTSKKVALIGIATDCTYT EKFNSTESLRQNVIDMVNKASQLFESSFSISLGIRNLTISDKECPATPPDSAPFNMPC SSGATITDRLVKFSGWRGKSNDNNAYWTLLSTCNTGSAVGLAWLGQVCQGGATQSQGN ETVAGANVVVKTSTEWQVFAHESGHTFGAVHDCLPDTCADGSAGENKCCPLSTTTCDA NAQFIMNPSTDDRITSFSACSIGNICSFLGRNPGRMSCLSNNKDVVTITGQQCGNGIV EAGEECDCGGEEGCGNNPCCDPKTCKFTTNSICDPANEECCTDKCQFSGTETVCRAST GPCDPEEKCSGTSGSCPADKTADDGTSCGDSLTCASGQCTSRDQQCKTFMATEDTHNQ TTSCSNEGCTLACEGPMFGNAQCYSLPQYFLDGTPCEGGGKCHNGICEGAKLSNQILD WFKDNKNIAIPVGCVIAGLLLISLISCCCSCCRRARYRSRRAPPRMMAPSPVMGQHPA GWNWAGGRNGGHVPLPSQSGPPPPQYQGPPPPYQGGPPQPPMGQPMGQGQFPGQGGDG RYEPFREQQRGYGRYA NEUTE1DRAFT_84228 MSGSRVPAGAVVPEAHINETFQPPKEMLQNHPSKPHLANFEEYQ KLYKQSITEPNVFWAERARELLSWSKDFQTVHSGSLANGDNAWFVEGELNASYNCVDR HAHKDPSRVAIIYEADEPGKGRNVTYGELLREVSKLAHVLTNLGVRKGDTVAIYLPMI PEAIVAMLACTRIGAIHSVVFAGFSSDSLRDRVVDAQSKVVITTDEGKRGGKLIGTKK IVDEALQQCPDVRNVLVYKRTGADISMTPGRDLWWHEEVEKYPAYYTPVAMASEDPLF LLYTSGSTGKPKGVAHSTAGYLLGAAMTGKYVFDIHDGDRYFCGGDVGWITGHTYVLY APLLLGVSTVVFEGTPAYPNFSRYWDIIEEHKVTQFYVAPTALRLLKRAGDHHVRNEM KHLRVLGSVGEPIAAEVWKWYYDVVGKGRAQICDTYWQTETGSNVITPLAGVTPTKPG SASFPFFGIEPALVDPVTGEEIHGNNVEGVLAFKQPWPSMARTVWGAHKRYMETYLHV YKGYYFTGDGAARDYEGFYWIRGRVDDVVNVSGHRLSTAEIEAALIEHHSIAEAAVVG VADELTGQAVNAFVAVKEGTQINDALRKEFVLQVRRSIGPFAAPKAIYIVPDLPKTRS GKIMRRILRKIVAGEEDQLGDITTLSDPSVVAKIIDVVHAQRQ NEUTE1DRAFT_84229 MLGQLFLTWHPAARAWPISHTVKPLAFCLGGSLRFFMNTHSLLK RQKERCSFTPSKEQQEIAELCGTKNVVVSARPGSGKTATAEAIVAAHPEKRVAVLTYS KRLQLETHRRLRTYSNCKVFTFHSMAGLLFGTLVPSDATLAQQKKRVLDRNELPRWDS APFDIIVLDEFQDCTKLLFWLINCFILANDQKAGGQSARLIVLGDERQAIYGFRGADD RYLTSAPELLGIVSPYPFIKAQLNRSFRLSDQSVQFINNTFLSGESYITSSKPGPKPI ILRCHLQNSYALAKQLSSLLERYGAKNTAIIAPAVGKRGLLQDVVNILSVKYRVPISV SIDNEVPLDDRVIKGKLCVATIHQFKGSERDLVILFGLDSSFFEYFGRDLPDDRCPNE VFVALTRAAEQLVLVHVEEKKLMPFASVEALYETASIINMTRNGNQIKPPDPPGRPLK RGLTLPCSITVRDIARHIKDEHLDAIVHIHLCIQVQSPLPEDQHIKLPDVVISDQGKR FYEAVSDLNGLVVVAAFEHDIAGSLKPDDLALACSRLRGELSGIVANPKFEVQSEGNF SIDDQRCRLVGRADIVAASPNPDGNNVGGVESVWEIKFVSQLSNQHVIQACTYAYLLE LPRIILYNVRNGEKWKITPREGQEGLRSMIERVLKLKHTTKGKMSDEEFTEMCTGVSL EVLNLRSQMVHGSEEASVLPLSRFGSEGLRTDRNSTKMQ NEUTE1DRAFT_123213 MTLSEQQKKIIADYPLGGTLDNIRNKLRDSNEADEILLDTLAPG TPPLRPTALPTFKGTPIKSSSNRLADSAGVDPVAKELFSEMRYCTFRDVGGFWDKFFN PESWPNKYKEMLAEMKTKHDGKTWTDFPRGTPTEALVSAWFRSLEKPFLADAPNKLHT TTSAHQFEEGKGQLDLFLQRSTTEASTIYSYKNVLVVGELKQSYNPGRFKADFLQLTR YVRSVFNDQPTRRFVHAFLLCGSMMELWIFDRAGAYSSGPFDIQEEPDRFARALVGYL TMDSEAMGLDTFIERVVEGEEQQRYVTLEDKRARLHTAMYKQNAIVCRGTTCFTTYDN EVVKFAWASGKRTSEVEHLTQAKKNGVKGVAEIVAHRRITSIAEMRKGLKFPAAHPFR DENSDFDDLPSATSTNTSGSKRKSPSDATINTASGSNKKQRPSIPRKGSMQPPSSTSK TKPSMQPPSSTSKTKPSMQPPSSTSKALTKPTPPTPSEDLWEDRIYSCLVISPAGRVI SEFSAIKQLLESMRDAIKAHQSLYVTGNILHRDISPNNIIITDPETPHDFKGMLIDLD MAKVRDSDPSGARQITGTIQFMAIEVMENVGHTYRHDLESFFYVLLWMCARQSWSNGL AGGDEQAPNKNLLDRWEKGNDYSQIARNKKGDMSSRVMFEDVLSQFPESFKVLKPLCH YIRKILFGPEGGMFLGTPEGDPDQLYRPIIEAYSEAIDKL NEUTE1DRAFT_130605 MDSHSPFASTTTNSSHNNSTSNLHNNNNPSTTTSSITNVGHSNF TPEMRDKQARGKDPYAERSDEESDFDGGGPAVGMMGRSMGAGGGVGGRGLGRGTGRGG AGGLSWGERKDVRRKVEISGNAKALSSMSNSNHHVDYDTREKRRKASMFLNDPELLIT HAEASGMTIAGSRHHFMLMLCGYDNKSRKKAAQGSSSVSSGPSSRMYQGGSGFSF NEUTE1DRAFT_117467 MLASTAGSELGRNNWMQYGPRTTDATRNVISTPNRPASRFALLV TSKRLRIKT NEUTE1DRAFT_123216 MALGGWYISFSRLARLTARTVFCSTDYRDTAAKLQKEWRVQAPH RKFDFAKHVHTYALVSLLNKGLIYEDYQRKFAEANQDTREGQAAAESARGVFGPLKFE PAPNESVEDMEEEDESEAETEIENGNARKRGSERPHHVLRNGTPAKRQRLSNGNENGN GAESATTPMEIDSHADNSSSSSNNNNNNNNNNNSSSSNVNNNHAYPSPLEGEQAASPP PRTEGPEKGTQTEEPLDLTPATVFLRMGADESTESELSTSQDQMAENPIASPLALFCE WNPSNPSILAVAGTEALARLWTLSRGDAPVADIPAGHVDDRTAPPFTLNSSFSSLTEQ DVLKSSTVSSLAWNADGTAIALAVDHGGKSRVSIWDVNGSPIHRFDGVDPPVIKLRWS PNKDLILGVSLDSRGIMVTVFSLSTANSVSHLLERTLDDSVLDVCWVSETEFVICGGD CLVALRCEEKGIEPGREFDTDKDESFSQIKYDAKTGLIATATEKGVIHIWDQAGQRRS ISAHIGNITGLLWQPLDREPEEDERLLASSGEDGAICIWNVRNADNKAKYSMTMADQI ASIAMTPDGTYIAGATADRVLVWKLDDPTVPYASWNKESHPGWRSPKTGAEADEILAP CLGWDAEGGKLVYGLNSRLAVINFR NEUTE1DRAFT_147333 MPPRINLPPVTRISLLALGLQSVLSAAIRYRQWTAHSEIVIPYL NLIPQLSLVYPWTFVTTTLVESNIFTFSIAALTLYHGGRYLERAWSSRELAKFLLVTS LIPNALCFATLILFFTFTRNERWTLMTIAGTISLQISFLVAFSQLVPAHTVTLFRGIL SLRVPRFPLLYIGVVTALCLTPMLTSVSFLLAVYGFITSWTYLRFYKAVFPDLDQSQT SSLRGDASETFAFAEFFPGPVRPVVAGISENVFNMLVAMRVCTPFTQDHISAARGDNA FSHHSHQRGVPGSARAEAERRRALALKALDQRLHAATAGAAARASSNPAPPAVQPPAP SATGPTVQTQPQANTQKTMTAQTGDAGSSSDNDGSRSNA NEUTE1DRAFT_123218 MFSSSSDTISSSDANPSQSSSTLFVLYAITVIIFNIEDDEEEEK EEEQMGGERIWGQVVMERTTCVSSSEYITSDVVNKGGQRGGTDCHDTQDENSVDNVEE EGEDTDVGDTDDNDFVDYAGNESEMKEEYSDKDSMAMLKTMRGERRM NEUTE1DRAFT_102016 MEDHALCPECVRAARKIQAHFCQAEGWQRRTAASKLLRPKVAHA SIIAGHVPKGPSISRKWEVSEMEGSRALWLWWVEGGVAQAGHKWDQKEGEVDTDPPGK RNRVDTQFWKARKVWMKCHGSKNP NEUTE1DRAFT_102017 MVKKKEVCCSNQSLCRGVPDADELREGGEEWARADTFLAGNLHF KGRSTKYSVRTAQKRGPHPTKGEEIDGGASPEQALGTAAEQRERSATCIFLPNARCTA PQRCRPTSLQVSRPVCLHREIPQPVRHQCLVYKQIARRQSTGNTVHVSVEGPGRETQQ DDLCSSALESLMEDRAMDLEMVSTRCCCCCPAGSCCGQINERQTGIYCLELGHSKVNT AFLACQGMKQPLPPSPLRVPKSTQRLDDVNYKCPPPLCFTCTHVVHKQAVAVAWHQHD I NEUTE1DRAFT_65565 MANHHPSPTMQMQMHHGPPGPPGPPPASMPSWNQQRQAFMSLTE NVWIGIGSVSELMGNHNEALEAYERALAANPNSVTAMNAASLVLRTREDFPKASEYLQ RILKIEPANGEAWGSLGHCFLMMEDLQQAYAAYQAALVNLPNPKEPRLWYGIGILYDR YGSLDHAEEAFSQVMAMDPNFDKAHEIYFRLGIIYKQQHKYQQSLDCFRYIVNSPPTP LTEEDIWFQIGHVHEQQKDYDGAKQAYERVLQRDPKHAKVLQQLGWLHHQQSNSVVSQ EKAIEYLNQSVSADQTDAQSWYLLGRCYMQLQKYPKAYEAYQQAVYRDGRNPTFWCSI GVLYYQINQYRDALDAYSRAIRLNPFISEVWYDLGTLYESCNNQISDALDAYQRAAEL DPNNPHIKTRLQLLRSGQANGGAPPGSVPMPTDIHPQTYNASGAVGPPGPQWAGSGSG QPPHQPPQPMHNGGPGPGQGANSWSGRISDINPPPQPPNPYASGQDREPFRGPAPPLP RQPSPRQEQQMRPYQEARAPEPLRRGPTPPQAHYAPPPPPPPQQPHQPHPQQQLQQGP QPTREGGSGTRVRNPNYANPQNVVPSNSGPGPNGPPPNAMMHFNNSPRTDGRPPHMHE NRMPSPKSAYPQHQPPYPPHGEQGGPGGPEPGPPHPPQPGMAGEPPHPREHDPRPPSV GPKRMREWEDDREVKKPATEETRVRMDDHRHRRPSMTPPRMEPPYARRNSSEARRFDE RRMEDSRRVEEQRRAEEQRRMEDMRRAEEQRHQNEGYHPSEAAHHPQSHSAPAHLPPM QQGSAPMQNLIHEQGHGPQQPVPGPQQQGPGPAHQPAPEDRRMDHPPAQHPPIVNEPE RAARKMDVDEDYDDSGEEDKKGGIIPGPSSGSGPAANESKNGASTSGSFNGIMGQKSE SN NEUTE1DRAFT_95379 MSHRKYEAPRHGSLAYLPRKRAARHRGKVKSFPKDDAKKPVHLT AAMGYKAGMTTIVRDLDRPGAKAHKKEVVEAVTIIDTPPMIVVGLVGYIETPRGLRSL TTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYVKKHSDNNGAAITRELERIKKYCTVVR VLAHTQIRKTPLKQKKAHLMEIQINGGSVADKVEFGHGLFEKPVSIDSIFEKDEVIDV IAVTKGHGFTGVTARWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQAGYHHR TSVNHKIYRIGKGDAEDSAATEVDVTKKKITPMGGFVRYGEINNDFVMVKGSVPGVKK RVMTLRKSMFVHTSRKALEKVELKWIDTSSEFGHGAFQTPAEKKQFQGTLKKDLAASS NEUTE1DRAFT_84250 MASDDSYMAFLNKANEDPSLGYPRDRPNPATQKVGSNTFKTRDE GVSPPSPIAKLLEKGDKFYVSDADEPFEGVALKFGEEMLPDEETFASLINHPNPAEAE IEIFDPVDWDRNGQYIDLIDAVTEASEGRDVKVYRVVKDSVRVEYWVVSVSVDGKLLV GVRALAVES NEUTE1DRAFT_84255 MPPQRDSWFAPLSIDLLVKVLKTSFFHPFVAWIIPLCFRAQNMF WDAPPMLVSIAWASMITLSWIFITINNRIAYGLPREVDLSDEVIVITGGASGLGLLIA EVYGMRGATVAVLDVKEMDNGEARGVTYYKCDVSDKAQVAKVAKDIERDSQQLGTPTI LINNAAIVLGKRFLDLSLDEIDRSLTTNLLSHFYTIKTFLPLMVSSEMGGTIVTISSV IGTVAAAQLSDYAAAKAGVSALHRSLTAELAQTHPNMRTVLVTPGQLSTPLFYGVQTP NSFFAPVVEPVDVAKEVIAAIDGGLSTHIGMPLYARWIDWYNVLPVGLQKIARRLAQV DTSMKTFVGRNMGATGVDDKKGQ NEUTE1DRAFT_130613 MTDNPEEPLIANFQSTEGDQHDGSHDVEHAHESRGGTQHISPPG LFIWLLTFSAGISGLLFGYDTGVISATLVSIGTSLSHRPLTSLDKSVITSCTSLFALL VLPFASALADDRGRKRVVLFADTLFIIGALCQAASRTVEVMVIGRSVVGIAIGAASFV TPLYIAELAPATHRGRLVTMNIVFITLGQVVAYVVGWIFGTYGSPETTGWRWMVGLGA VPAVCQVVILLWMPESPRWLVKDGRSQEAREVIAKIAAGDDAQDPSTRREVDAVLKSI EIEVREEATAMRLGGAGAGYANGNAVNAWMEAMRELIRVRRNRRALAIACLLQGLQQL CGFNSLMYFSATIFTMVGFSEPTLTSLVVAVTNFAFTLVALVLIDRVGRRRILLWSLP FMIAGLVLAGYGFSFIELPDSEISSPSTTGGQSGAVIILASIMIYVAGYAIGLGNVPW MQSELFSLNVRSVGSGVATATNWSANFVIGLTFLPLMEALSPSWTFVLYAVICAVGYG LIWTVYPETAGLSLEDAATLLEADDWGVR NEUTE1DRAFT_84258 MPLTEADREIAAAEIDASPMEFAGQESLARRSDEVERVMSTSSV STSSSEERQRRARRMSGVSTQHDLERHPTELSRIQTQRSQHSGTVGRSGTRNTRTSRR SEKPLPPFGAGKPYPPQLPDPDEYVVEFDGPDDPLHAMNWPFKKKFWSAAMLGYTSLV AAFGSSIFSSATTSIAKIYHVNQTVGILGVSFYVLGFAFGPTLWAPLSELKGRKLPIV IGMFGMAIFSIATATAKDLQTILITRFFGGFFGACPLAVVAAVFSDMFDNRTRGIAIT LFSMTVFTGPMMAPFIGGFISTSYLGWRWTEYIVSFMAFLAFGLDLLFMHETYPPQIL VKKASELRRRTLNWGIHAKQEEIEIDFKELVSKNFSRPLRLLFGEPIVALLSIYMAFI YGILYLFLTAYPIVFQGVYHMTPGVSGLTFFGMITGQILAGVTILLQQPWYMRKLNAN NGIPIPEWRLPSVIAGGVAFSAGLFWFGWSGYSGKVHWIVPTLSGILSGFGLASIFLQ ALNYLVDSYLMFAASAIAGNTFLRSLAGAGFPLFSTYMFKGMGIQWASTLLGCVAAAL VPIPIIFLYYGHRIRAKSAYAPTFAPRAPAAEDESGDETNSEHAVGEKDHQPSSVPRK DGDAATNSV NEUTE1DRAFT_28399 YVCTSVGDDEAGYGLHMRQRRLAFLRAEWLKKMGSTYDNLQTVD YAVDQTSTKTVRAIELED NEUTE1DRAFT_84263 MKASFLSAVVGAGLTHALPTIEAVGNKFFTSNGDQFFLKADPLI DTEQCKRDAALMEKLGANSIRVYHVDPSANHDGCMDVFEKAGIYPLIDLDTFDTYILP NDPWWNQTQHDRYAEVMDAFIKYDNVLGFFIGNEIIIQADQSLAAPYIKAATRDMKAY RDKKKYRKVPIGYSAADIAELRPMLQDYLTCGGNSSENVDFFALNSYEWCDPTKYAES GYANLQSMAKDFPVPIFFSETGCNVPGPRLFGDQNAIFGPEMVNDWSGALIYEWIEEE NHYGLISYGPKLEPTATGANIEGGFTRAGTPTPVLPDFTNLQNQWATITPTGIKRSDY DPKHVSTRACPTSGAQGWLVNGNVALPTIGETLTTNAPRPAATQEAEVSQPAGSASPT STKNPAPMNKELTGMSAGLVGVMLFFTFWL NEUTE1DRAFT_130616 MKRTMEGAEKNADKLAKRILPQRPHHLTLSLTDKYPEPAGFWYT GKSHRLQYTTFLSDCDRGILITRPSYDICEEPASAPSAMPPKPTLLNGEAKKKLSLKD YQRRNQSPQDPSATPRLDAKSESKGDTKVNGTVERNAPVKENVKPDDAKAKTKPVRTE GGESEKPRITVNGDSMRGSHAQSQVELDSRKRATDSERDQPKRPRTDTNYDTSRLPPK PEAPRNRLSDRSDKDVKKESLHLTTNGQARTAADRERDVSASPKSTILVNGSKPYKES TPVSPRRKRDTASKAPVPALLSPLHPSLLAGESDKPKSKKPAEKAPPKPLKIDSSSRK KYEIPALLSPTLPAIVEEELARKFKSTPSKIESRDIKTQLPDSPSIARKTKVKAEPIE EEDEEEEEQEPSLIVTLRLKKANAKRAKDLLSLPSKAVKDAMRKERTAARAEATPPPA RKRPRAPDDTPSETVAAKRPKSAAVGDTVIARPTGMTTPLKPSAPAMSRVPSTQSQNA TPGPPPTRHITPGMGERSSVPPLPPPSAEAAPFRERDAEYRAYGSKLKHQRDAVDAQL RDPAKLKTISSAAMTLETKRAMVLHIEMVLAYMIAFYNFNHSRMMDRRPPDFATWESL VPHFSELKKRVYSVRPFRILTTQLFAVMLEHITATFSQADQATAPALLARWQKMERLR PEVWHELYGLADFVDDKRVKMTVGPWTKIEEAVLSAMGVMKKWAEIEEVKWTPMIMKP EMAAEAKKEKGQEKEKERDHQPQRDKEREREREREREREQRDRDRDRDRDRDREQQQQ QQHHRDQQRDREKERDRDRVRDMSRDRVRDRERGERDRPPPPRERDPRDPIRGVGGGP GRDHPGKDIRDRDRDRLYNNDGRSSARGRGRERSRTRDRDMGIGGRDPPMRSVDLRLP LPPPPPHHHGLPPRMNGYR NEUTE1DRAFT_102028 MYQAAVRSLSRCCHKGACLSRATENDGSACNDGNRSVSVPEQMA DGYGTGRRAMVYPTATMYEDGRYRERGPEFGWCCAGKPSWTSCRCRNVSRRKSRVGSR RLELPPCWVRVVRDWQECPGTAVEKRG NEUTE1DRAFT_123229 MSSRPPDSYARRPSTLSDRRPSADPHQRRDSQPRNVYGNERYSD RPSSSHASSLPNRPPNSWSDSHHRDRDRDRDRDRDRDRDRDRGLSDAPARGLTVNTQT ASADDRARPDHGLNTPVAASSPTSNSRSTSDAEMGKCLRALCDSLISYTTHKYNLTQA EKALEKRQAEYNKCTAKLADFPSVPELQKKYLDRDTKRRAEYSNMVRVAEDGIKRARE GFLSCLLQLMKSGQLPAALLPPASASSKEYDDKIAELEQALSSHKSVTQTGINELQGC YDGLQSKRLLDQQNMESRFKDLEDKLGKQQREYQNKLAKQQEDFSKQLQTELAKQRQD FEQKLAKQSKDLPKQSTKLEEQINKMQSDLRNFKETQEQELKTQLAKQQKKYEKQLEQ QLEQQRATAQDDSKQSSASEVASLRQELADLRCHLGTREQELNQVRAQVAEFEQKMAK QEEKLENVDFVALDEAAEIVSFQFPALKDRVTDLETKAPLDILGLTKQVTDLGTKETN VSGLVKQVTDLETKVTQVSAAQRDSNRKLEDFQNKFAVTFGQLVDAERTRINKLTNEV NNLKLRPTSGTTTPQSGVNMANDEALRAEFKQAKAEQQAKLEELQQKTSALDFAINNL NQRFNNITSKHVADILIQQLLPETEKWKLDLEDSSQRVESLGKEVDMLKASVGILEVK TAGLSVELEPQAGMKRRRTDHDGTHIERPTSNTGSLK NEUTE1DRAFT_147343 MAAQVVTVGESKDLRGLNLIAAHSHIRGLGVDADTLEPRVASQG LVGQEKARKAAAVVLEMIKQGKIAGRAVLIAGPPSTGKTALAMGMAQSLGTDVPFTTL AASEIYSLEMSKTEALTQAFRKSIGVRIKEESEIMEGEVVEIQIDRSVTGHAKQGKLT IKTTDMEAIYDMGSKMIDAMTKERVMAGDIISIDKSSGKITKLGRSYARSRDYDAMGV DTKFLQCPDGELQKRKEVVHTVTLHEIDVINSRTQGFLALFSGDTGEIRSEIRDQINT KVAEWKEEGKAEIVPGVLFIDEVHMLDIECFSYINRALESDLAPIVIMASNRGHSKIR GTDYKSPHGLPLDFLDRISIINTHSYTPDELRQILTIRAQEEEVDLTPDALALLTKIG AEAGLRYASNLITTSQLICAKRKAKQVGVEDVQRSFKLFYDPARSVKFVQESEKRLIG SDGVVDFRVNGGATGEPAATAAGGDSMDTSS NEUTE1DRAFT_123231 MSSRFSAARPKRASEAYARAHHGESRSNDDDTSSGPSHKKVKFD VRNPSALAPSAHDDDLDEADEEVLAADVIGGLSRATKRGAVNIDGYDSDSDNDNLEDR AEARGKSRKKGKDAEDVDLAEMMDNYNKPSNGAGKDADEDDEVDMFGDLEDDDGAQPT TAGGGSAKDKKSVHFLADSEIEGQDLSSKAGGTININPAASAESDDEDDDEEVIAAAI AEEGVDEEVGLGGLKKHAPKIDAFNMQAENEEGAFDEAGNYIRKAADQNAVHDKWLEG LSKKEIKKAALAHEKREAERRAQEREDDKIATGDLLRNLILTLEKGETALEALARLGK DKTKGPKKVPKWKQKRQERKQGIDSMDVDDKKEEEDPKQKKIREAIDAITEAADKLLG RDYPDIYDKEREWLVREYRAETGETWVEPTAPEKEEKEEEQSSSLNGHPKMWEFRWTD GRDGGGSQGPYDGPTMKAWQDAGYFKEAVEFRPVGGGEGEWSRVAAFV NEUTE1DRAFT_111197 MYGDIYSRAAGRSRGAQRFDEYYRCYPLVMAPGAERPELNYGSK ILLPPSALDKVSRLHVQWPIMLELINGSQGTHTHAGVLEFVAEEGRAYIPQWMMQTLK LDVGDMIQIKTTSLELARLVKLQPQSVNFLDISDPRAVLEKAFRNFAALTKGDVFNFE YNDEIYEMAVLDVKPETAKMGVCMIETDVSVDFAPPVGYVEPSRQTQGSGTSTPRNGR AVGGGLPAGGLLHNQGTMAQAINYDAIAPGATTTSVGNFQGEGLRLAAKKGSKTGTPK PATPVAGTSTNVKDVVLPRRKNNNGPLPLRLPPNKLFLGYEIKPVKTAADKEREAAAA KQPHFAGQGQTLRGGVPKKKSSDGDDKAVQAEKQPTESKGRRLDGRNV NEUTE1DRAFT_147346 MSKVEARRRASARSRTRSALSATEALEVAAAVGGGRSLRPRRAG SQGGGAVGAAVGVAIGRGATSNLGPASPLRRSARSGDSAAGAARNSGGPRRSFNGRRG DNNNTSNGTTPNHNIRPRRSSINYREDSSDDSDSASTTSDESDSEEEEEEHDGERDAR QPARRSAISSTWGRPSRTELNNNTRAVTSGRQALGKRRRPRSGFFHEDTDGEDDDIVN TDQESPPTPRRGRATATSVARARESSVPRTPERKRRRGTPATATKRRTPASSRKSKLD LVHSGGAEEDTAEKEPKVIPPWQHLPYELWLLIFKFASAERDRDAVNILLYASRLCRE LAEPALTALYYSPPLLTRKMAHGLVALLSSDPSQMKYRYRWKVKKLRIDVEEIASKTY KGLHLDWEALFANVPQLMSIDFFHAKDDAPFRSLEASLRWKYPTALWNFLNGGDPPGT KHKPLELEAWRWNGRLMTPDMTLQSPAIIHQTPAFSKLKKLCLQNFQVPSMPLHNWPA VVQEDEGFENFLISEFAHAINVLPQLEYLSIESSTIANDYLLRLLPKTLKTLELVNCW EITADDFSEYLLTRAYHLEHLYLKHNQSLSLSFLTVLGTACPHLQTLSVDLKTYNHHE FYNDSDPSYDEVLSVQEVPEWPVSLRTLELQNMRKWSADAAENLFQSLIKAAPDLPGL RIIDINAKLNIPIRQRSEMRDRWEARLKGVFLRKWEDPKPPFTLRPPNKQQIIPTFST SKLPPSATKRSRTDNWVAQSIEAQQGSSSSLSTRRSARIAALSSGSSSSNGGTPSPSR GHSAQRGEREVSVASSLSLSAEALSLSPREANVAGEILYRHGWCEKVELQLDNQKLTE QTLTMEDFVDGSGDDDEEDSEWDGMDRDDDYGGGGYAW NEUTE1DRAFT_45681 MDSSRGTTSYRGHDRHDWNHHVDDSPQHSPSSVHMLEENVPLHD QPGLTDSRRYPDLERTGSSLRGLGNINHDCPWQPGRSRRILYLGYAPLLLSLACTLLA IYVIFNSQGQLVSEWDGSNRMQPGQLLAFTSTAANSLMMLAYVQGWVSFFWIQALKGN MPVSNLHYNWEAATSLWGSLKSLMRKRARRVSIVSILVATTSFLRGPLIQKGSYIQTI NSELQGTIGLQVLPTTEVWESQSFDTPKFKRMFSDVMREYQARTPIYIPGSDCNNCSL SVKGFGFRYVNTANQSNLHNFLERPSPNKYGTYTVDRIFNVTASASNDNKYIEVDILR KASYDCVANLISTTYHLYPSTVEYELSLHGQKATFRTSDWRNDSVYRGATSFLYEQNS NNQSACGPSFDDPTNDILNGFRELALRLSIREAIGRREAAAAAGNVTEDNPAFVQKVD YTSHQLRTEYAVNKPALALAVVVSLLGPISILSLFKGWTTLGRDFSLSPFELANSFLL RSPLPSSSPRSNSSGDSISELKQRQHQLASLLASCSSNASAEKVLESICQRAEAASDG VSGTTTKEPVVQYGVLDGTGLLGFAISDVNGVVHARKPREGEIL NEUTE1DRAFT_111200 MVGHFSAQKTHSATSRSLWVLSPCVLTRGIILLNKYSSSSLAIR PLLAQRSMERDLSQETRELTGPTSLVAVTKDVQSLGLAAIRQRVEEIEAGLLLHMMRH EMRMTLGISPLHQPRPIYKATDCPERTCKNCTWFSQLTTLGWPRSAPRKSASIDFSAN AELQLAREGSTRVEPIDSFRTADLHPAILCNVKLAGYDMPTPIQRYCIPATVLWQESV RGLR NEUTE1DRAFT_102034 MRMSQPFAICSICSLADPLSMRSMGWPRAVHEIDETTRRSDEDV AAFDELLHVLLMRWPPRPWHSPATATGRVHLPSSPHICSGGAVANVDLGLDADAHAEV KLESGSGQSERGGNIVIVEVVEKVYLTSPPGVGVGNWGWGWVVGLFHRTIHLLNRKST NSEAKLVQAGSQASVLLLAEEKSPTPPEPRRWNSPRQMEKSATTTTAAKSRGSTVKMA NYVEPQTTTDKNESAVPSPATPSTHRSLGRPLPQPAPFGEVRYYQDEYEEEKTSELQK PNSSKSQDNTRGSAQRPRVRSPIALRVTPLPVPTTRPITRPNQKASLLYRLTSAASTA KLAASLAYARTTVPVYLTNSNFDFEKLKALRRFKAVCGPHSRCERYQLSRDLAAIRPS SSGLQGIQSHACDWSRQKRPARNPLGRPSRSLLKRTMPWISCSATI NEUTE1DRAFT_44305 MSQLSHLGLAGLLRKTAQVARSEEELVWKNSLALSGLVANLESA RPWLVRLPEVIGGCT NEUTE1DRAFT_102035 MQNQRAIGRPEAQCHQRLEAIRAPNTDENGTYAPIERVIPTKLE SWVEATPYRYDEFANRETDWDSQACIYEGDGEEGDSPLLTLTSTPIWLGFASNTRING TSTSTPYGTGTGTPIPQHIRPLSGHSEAVAL NEUTE1DRAFT_102036 MAWWPRKDVGTDPTAGAWANVLSSLQTRKRATSSICTLSAAFTK AAHGLATTQLADHHVRLRVGRARSTHSNIKQDTIWVEPYLKKQACLDLLNTVPPGRTI IFVNNKHATDELDDFLFNKRVPGRYSVFTITRRRNSSCMFSRNSLVESRVVLVELKQK FRLSNNGEVRGPVRFGRKD NEUTE1DRAFT_102037 MLSKSSKASKGAFSLTGSDYKLLCGVFINGEKIKYDLASVTAYM GSKSQESTQIRVNALLRKLRRDGYEIAEEMDAEANEAIRNAVAPASHVNPRKRAREDN ERDNENHNVNNRHTPGFSSSSLSAQTPRHALPQQQDQQQRHYQQPQKHHEIPFAAYTH GPREFSVPAEAQKYETPPPPYTRLATAGTWVMTTAPVINSSNAVNQGMGVGMAMGSLS GAERLGNGSRQGLDGDGFPLGTHHVGNGLTFGVPTRSAPLAYSSDARNGFGVHGNQNS NRVNSLDEGYMGNVDTVNGSAMGLTRPNSLGYNLSVNSATFYNNSLRLGDTLLSLVDN DLANGLNAISGNSSNGAAVDRGVQHNSFNPRFNHPTNSNEISVSVPITTVSHPVKPVF QPGFQPTQNVRVPNHQIVNLNVDFLGKDGNENGITAAATQPNGVQPKVTVFNLEQLPA PIPMPAAERTPERPPTLINTAATIAVADPIILQQQQEQDQPQEQQRAPEQQSFQEEID SWDPLLYQDHQEQLPQGGFDSVEAQVFSAISAEGYGLDHLDL NEUTE1DRAFT_102038 MSMSLAPGLQESHGLNKSMPPGLLLYIGYACLQAQVSESPPTIM VTVRPLVRQLGPSDVHCEPANWPDRPVTAIPIHVAIPNLNELLEEFGVGQVPILPSYH DSPRILALASPNHNSTLSRVMIKSEDCTAALGSLLGDNRHQTGGLVGKPKLKFWDLLF FRSFVLLFPPPSSLLHVVVHFLKQYNDNDNSDYNDKSRKQLLLAEAYPITMSQLFCYS GAIALLFPVGYSPTTTSASSLCWDSLPNKFAKLVNQTCISFPFRAPNVQHDKEQLPAH VPLQLVKLFEITHRAQYSYSPVCVSPVYLAFATTALYQIFSSNPLFSRILAASCHSIH HPHRTRQRVVVRIPLAKIRVIAAEACNLHLTLASIQSMHPKQAFKIREIRKRSTQG NEUTE1DRAFT_123233 MSATENAMARFLFAILQQKSLKDIDWNEVAASPFLCQKISNGHA ARMRYARFRASMLGTEPRPRNRTNTDENRVTKAKKKVGEKPKKKAITVEIEKEDEVSK SKAASATMPSPPLKDEKDFIPPEPSPLDRVYSTPTSMAKPMSDIQSRMHMRFLTPCSD SDAHTASPHICTRAAVTACPTEMLLGHPDTPFSFTTGSSTATTTITPTGSWQSTLPAY ASYGMGYELDTYAMAFAVSDSQQNEQHAAEQLRVHATMMEHEDQTGMVKQEDWDAQQY QC NEUTE1DRAFT_102040 MGENTTSEVFGASSSYLALNHAVPTIPTSQLPSSPSIDQPFSVP ARVSYPARLQVNSLTNQQETLLSLRLALVRLAVE NEUTE1DRAFT_117479 MNKNWNDRADKDLFFTILNVKNIGVISGSEWITIGNTMRAMGYG FTNEGCR NEUTE1DRAFT_123234 MHQDCINMSRFRLDKPTRQHFQGLRRAQHKSEGGVATASPDPNR RVDPTLNPITRRPGPGRGRPKKQQNTAQGQSPASTASVSETGTTGPSVTPIPPPVVPG APGQSTTAIPVPVVPGMPAPPGGMHPAAMAQVHAQAQAQAQAYAQQQHAQAQLQAHAQ AQAHNQAQAQQARVQQNGRGGNQAGGQGPANQGVQAQSGGQSADGDDVAVDPSLEDGD NDEQQHPNKRRKMEQDPLDDAAVLNALAAHNDPASTAAHFAPELKVPTTLIAGRYALS STFSTSTIVFCPLRLVLQDGHEHHTCNEYGFFYAFHGLRYSFRYKSPVLLSLVSYSLP VMKYDNSVLIASRLSFPSFGSTSTIWSSSSHQPIAAHVELNKPVNRTVLRASRPTTLV TMSNADEIPKESKWGNDAHSALCVALAEALIAAGSSPAQKKDLIMAVMKACGQLGFTW ESVRYQKRVFLFLPCPFLPPSLLPQNFCDIYATTTNGSTFCPTTQPFLPRSHRKMPRW DEQTHIDLMIALYTALQPSMTKEIQDFVVEAMRSKGHEDVGWDALRCIRVLSPFPQTH KDPASSSPFSHPSTKTYFTSTESPRIAIKMPKWEEIRDDLFRAYMNATGVITPEMQVS IEKSMQPYDPPTAGLDPALSVCAISSLPFWSCSSLPPSPQQPTWSIAAGSPIPSSHLN TTNISNSVTMSSQRSAQRNLTRWDQKTHEDIMLAMFEHFRPTAADMKEIVELLHVQKG HTFTDGALFLKMEEEDHEAQFYVAKASKPTNWDHDAHLTLLQAVMIEALPSKPHAVRI QARSCRFFCRIPPGWAHHHVCSPASSIMVDSETEKVAETRMTWNHNADKHLIGCVVDE IVPGEETYRNLAARMNTLGYGCTPKAVKQHLQKLRRKEGVSAAVASDSGANTSTPTKG RKRAAPGSGVKKTPGTGRGKKAAAAKTAPLVINSDDEDDIKESPEKKLKTEESKVKEE YDDGVDDYMYPNEI NEUTE1DRAFT_44488 QRSFCYCRDGKSIDSIVFSSTTTQHLRCHTSTRESNRMVSIMKT NLSHRGNRLYCYTEAKTFILAKEEPPDSERDQDECDDQQERRANVRNREPTKGDNPTL APVNQK NEUTE1DRAFT_102043 MASTGEGREVTQGWISLPSSDEGQISEATAEMAVGNKGIPTYRK QKQTRQGNWPDELGRWKKMCSFYSQEAVDDVSLEEARPSCKTRGCVGGGGQKTRRYDP NRENRSEVVPVHRSKKNDRYHSDLSRVRLVQEQQNASCNQPYTPRIRYRVPSVPPEAR T NEUTE1DRAFT_138873 MPPKTSSAVPKLRGREPCIKDMNLIYSVLENITGSDRNNKGLLK FDAAKAAQTANFSSGEVLRNKWYRFRRTFLLNSNLPVDPKRARCSSIEPELRGLKPTT SDLNLIFSILENLKDKVLADWAKVAERAGLGSADEAQQAWVNLCAEYQLWNDDIAVWR ASNSPCPQHPLVVDADKLQPAFISVADAISVPHTHQNPIPPFTPIRPTVLSAPSRNNL LPAPASAPRLAPVKTPTITGPHAPVHHQVFISGTQINKNNNKDSVNNKPATDASRGTQ TDAKCCPTKAIGFKVVARSLLWRSILAEKSERKQHERVLSLSAGCHARAHASYSWSWD TPINKNESVANEEPTITVTSTNKGTKSKGRDAGTQTEDPCCRVTEFGFRVAFVYLFVV LNAPDQQDSVSGWPLEHLGAGAKPVSNSKSYSSISNSVFFNNLRITTATTISPFPTPT TGLTGTPFSPTTKRAKTLLAAARYIWSLSAKAEHEHDESLGEWPGWLLLDQGGGGGVS STAYENALASAVAPLRLLWLRVAVMM NEUTE1DRAFT_138874 MPPKANQDVAGQMNFLLTCIKHSTNGKINWTEVAEELSIVSKAA AAKRYERLLKAHDIQPPKNYGSLTDDVGASGASSPAKAKTPRAPRSTKRKRATATINY NEDSGNEEGSGDNREPFTYKAEPEDSDDDEKQRPLKKEKTTPEMQTKQEEEDDGESDY FSCCSDDVDLLDEEDAAYGWEGKGYDVLMDVVGRIEGEDNYKYEGEI NEUTE1DRAFT_102045 MGVSAILYFTAIFAATLIAGYQSLCAKELPSTVHNWHPGSTSDW GQPLKMGSVHHRVRRDGNREQHGTSPVFVPRHLNRDFILDLLRIGQSSERTRKFAGSI PGHCGVCLASSKKTSCCCCWTRQPGPPFHCIRTLYVLI NEUTE1DRAFT_147350 MTSRCRRYRQLPFLHRNWIHATNYWEDRMGNKPSTQSRVPAPES DVRFTDHSHKPDQDRDDTCQHPAKRRRLNDNHGFPLYEDPNGNTPRCLRIEVLKIIHK DSPRIKNGVPNGIVAPNVRDTANMRARCKLSIYGHNGDEKVLLHVDSQLCELKVYRNP AAASTHMARLYAIKAFDIPEDKIYMERDGDDPAFGFADSYSVLIEIESAGDPNWPPSS LMPAKMEDPLVRNLPPRQWALYAQLPDIFHTRNRKTARLKARIQQSRETPTDFAMDID VRWQTSISSQLTGNQKPKEIMPSITVIDPWAPKKPLSELPVNGTNGVKGINGHHHDPN TSNEIKKTGNWEVNSTSLADTEELAEGDLTPNRSRRHRTEVNYNVRQLWNTAVGKEPR KRRRADDEHPILDEHTVTYVLPPETPGNEEKLQATCNKLSCLICAAEHDRISQLRAHF SCHPEYEFSFEQKKGMYLVAIHRAPGYISTTMPPLEASKLFSLGLPVKPLDLSKFVNG DDSWVKSRLLPDPNDCERPNLPASRSPTKGPQQQQQQQQRQQKEKCLSTTSTSTRSRA AAAAVKPQKKPVYIPHNINRPIYDPLSKVELAPGSEVRYPPLDEGWLITKHADALGEF SDVEPQEKEYMMQWDAYILQKHLCSEQYLPREFRNFVREKATWLLEKRSRAEELGKHM AVLLARRYVDDATVMAVTKELNEARKAMAAAEGANGPGAGDGGQASKEFEVQEQQQQQ QQQQQSSGRKKSAAGGCARCGEVVPQPEMVICCNKHCKQRIYHSRCVPNPEEALQLVR KRKWKCFKCEAVGH NEUTE1DRAFT_130625 MPVAGARALLSAQSSCCRRLPRAVSVAASASGSLSRRQHAGHQS LRGFRTSAASLKNDPDSNSNPADHKKPEDEDKTTHDKPASTTTTTSSSQEEASADKKD AESKAAADAYKEALTLKGTRGRHRPGRMGAVNIENNVAPQASSTPSTPWVKLDPWFID HNVSLYEARPIGAPQTLLFPLEDVDREAVLELLEKKFAKVQVTEEDVKMWTSMLDELV RLPEEEREAAFEQLPESSSQLLKKAHAAHSTAFLQALAIVHQTGANPEHIELLRKHHS SREDDIVRLLWWSDKPLSGEAQRWLANLRCEAQPGSGALHGSPLASRPDPEYPVCMEL LAAIRAELVADVPHGTKPPDYHRLTTVLSVVNRRGRSVANHVIDDIATDLKADVIHLD AFSLARLLGTQLQQNIHHARGSVSMLGYAASEMNGRLVNRDDADSDGDVGVLHVSMPS KLRSLLSSGKDFGSSRSVDPRWEGLKIQNTLKAIVRAADAKRFAQTGTYEKRDLIIHL HDYVELSELQESLITRLRDIVHDWHFEEAAHDGKKIVLVGSSSSDMDKSQHWRNQLVE LGREGHHIIPFHASPSTEWMDRKDNLYDNINNIKSMLEARLPTPAAIDFDAQIKFEDD QVLVDERYTDLFQTLSKHVFDAQWVYRLTSLLLGSRSPPPKKYGLGHLKYALAFMSDR DNHWKGIYSGIRPPYFSPLFRQKGGASNIFDQHMPEGGDSPTSGFSSSWASQSQTASD NKDYDQHEKKLLAGLVNAKDIHTTFDDIIVPAETKESLIGLTSLSLQRPDAFAYGVLK TERIPGCLLYGPPGTGKTLLAKAVAKESGANMLEVSAASINDMWLGQSEKNVRALFSL ARKLSPMVIFLDEADALLGARHNNPGRTAHRETITQFLREWDGLSDMRAFIMVATNRP FDLDEAVLRRLPRKILVDLPLVAERAKILKVMLREEQLAPDVDLDALAKETDLYSGSD LKNLCVSAAMEAVREECRAKEAHDAAAAHSEEKEKEGRPSAAYEFPERRVLTRKHFEK GMREISASISEDMESLKAIRKFDEQYGDAGGKAARRKARRGIGFEVAGTGRGGTEEAR YTFKLFFGGNGSPA NEUTE1DRAFT_84286 MKNWRELGEVPGSDEDDDSSFDDDDIDIDNLDQIITEAESPSIK LPESAVVSSARNGGKEHDNSPSEGEKSPATEDVDILQAPQDEPQHSEKPKPAVDDIWD IPSSPITRNSAKPTPAPQPVNEPPRKASPVPSEKPDDSEDSPLSPAKSDIWSLSSLSQ SQDATNTDLPVLEVRIPQTIPGREAYVKEDISKSPVREDPTPTPSSPSLDGTISHRPR QVSQARSDQPRSDGSTLDDIEDGAQRTGRRSLRPRKPIQQHPYLLENVRYAKVMKLHG IKPIKVVLEDSQRKRKVHEEDSQEQDFVGEESQETTRGEPTQVTEESGPILWDDDDEL ALSPSPLKTSSPARHLRASSVLNSGNQTDITALSDAEEFPDLDSLLSRPSEAYKKPLK RQASTLLSAQRKKQRTASKSSLTASPIGRFRIPPASIWDLSPSPTTPRAPVESSQDLG LAQRPDLRRLTRSPSASHAEPVQPIESRQEPVGSPIFIRDDSDSPAHEGSDMESLASS HHSDSESDVIRETSRKIRGVLPASWLRLDQKKDGPTARHNTRKDHDLPIDRLNRKGVA LPKQTSPKRLSATQFPFDLAGESEEEATQAQNRPPISRSSPTRPTRHVITLEDDDDGF MIEEDSIDAMLAGRRKRAGSPSLSRGAKKPKSSSKATRQPKITQSFSRSKSGSGSLPP AKGTVKTYRKPRAGTSTKPSSRKRAATPPLLSILDVIEPNAPKFLKIAARSVKKRSDL GKSSPTKKAIRFGNRQDNIDALSTLRDWKSGKTRPRVAAPPRKKPASKSTRAVLGEVS NNVERQPSSTQLLPSSLLPRKLVVKKASVGKPVTNNVDGPGSMSRQPSRTTIHERGPV IRQAQLETSIYEDPQKRLKNKKRSLDALFRQTRKAQSAPSLDRLQPILDNGRAASPEP FALEGQVDSVTEVAPAVPKIKAANDKSRYRKRRAPQHVDVEAPQYTRADDPLPTAFAV VEEQAKQKDVDKLNGLGPYGTQYTHHFEVFPLDHGTFFHESTTIGRGYVKKAVEPGFS EKIRRPRPAVSFLFQGQSLNWGVWDDQVSSELGILVDWVAEQLEAGSKDDAEFAGRQA TDAANYLLEYVLDALRVQDDIGEKAFLSRWLEVMASFVGRFEALDWRPLREQTKKTAL DIATRLSLAMLPVYMMAQSSGDDPIQSMKLEGLLTKLCRIAITGALSCGLEDVRTLYG ELQRPSFRERGIRPNQVLAVCWVVLMQTLESAGIPRSTFWDVVHSAMLTPEIVSGSDA QVFERLWQDMFTLLPLGEIDQMGILLPGLRNTVPLEGWTLPQKLMKRVFELYKSNQRQ SPSFNEYCRALIGRCYFLVQQWGWRRCTGIIGTIFDFFGSQNLCHLRNEQVYKSPRFL EELANNPSLAIEPEDRCFHIFIKLLALAIQHLRQLGRTKDIRNLVTRTLPNHDRQYLK EDTIHERDLAALRNHHDLLCTLYWAAPPDLRPRVDLIERLITPSNAHKEACLINIRAW SQLARLVVANGEGGAAFRPFITWRNNVFNQILDQYMSAESDIEQQFRALSAENMRSID AAWREELITKNKATALDILHTSARASLDVLKQAKTLEAAIYTLNVTQLQKMCTTLHFG SPGFDWGILNVALDTHAHFLGWIEKSSEEQYSSNESSADIDPRQLEDAILLLQEKLTK EFFWMARELLALPLKAFTTFGRQTEQVACTEKTVTLAAKLAARFIQERVTQVLPYFQP GKYGLFPDIPKNMSGPERRWLPLFIATLVNKNVFDFKDIETNILSLWVQCIIKPMRFL GYETYLAEVLQQRGLPFLAEADVSAGMTPDYNIHLDLFSRAIHYMRKALRGGATTPAP CATSSASTAGSSSAQSIRRQREEFSHTLQLAMTNIRKDLFLLRSLALSDPTASSTEEH KDYMAFTHGLISLIKSHGVGIVVVDSFFLTPSDSYSPPLQDPQLHTAGIMAYGVRLSE KDVPAASQLFWYLFNNFKVALANDGLKEERGILERALGDDKVTGGKEFMTFMLEVMLP VVVQVAGRVDEQDGEGEFGSVLLECYAGAVVGVLTRSCVPRELRGEDVEVHVVEVLRS VVWWCEEMWRKRERGTEEVKRRDLALLNQLVGLCVALQPSIIPAVITLGSNKKKEQTA QREEKVKVLVDMVEVVSKLFDGARACIRRFLFSETEDWADVAERPGVEALTGFIRVEC LLEGLPPTPSSQQARVNPRMQGHVTAIVKDMSNNWMISDGRVTTRFTAAGGSTRVPGQ QQRLSSSTQSTTGATPARSQGTGYTVRDREELLWDLYARLGEWRLGEGSDDRRGYRME RRRLWDDEMFMF NEUTE1DRAFT_123238 MLNGKKIITIGLVVTFGIVNGYYTFAPSLRAEKEKREGLNLGPP AKPSPYEIRKQEWEKRE NEUTE1DRAFT_117482 MLRTPTVSALVRNVAVRAAKPTMAVRAASTMPISNPTLANIEKR WEQMPMQEQAELWMALRDRMKGNWADLTLQEKKAAYYIAFGPHGPRALPPPGEQKKVL AYTVAGVFLSFVIFATMRAFAKPPPATMTKEWQEATNEFLKAQKSDPLTGLTSEGYNG KGHVQSPSASA NEUTE1DRAFT_102051 MIFHHVTPSRVSAPFRYPSWVELIAKKIPFCPFSILVPGLCLFL AYAYSCDMHKTPVHNDVAGFRCMTDINCGGNPTGWIYVQPNTGPGERRRTEGVCLGRH RDSTPRPLWLFGRR NEUTE1DRAFT_130628 MSRLLRAKKLVAPALVAGVAGGSLYYMYKPRNIPGYEGPVVPLP IFGADGTFKLPRFPQVKSRDQQIADLKKSNSGNKEDEYDVLVIGAGATGAGVALDAAT RGLKVAVVERDDFSSGTSSKSTKLVHGGVRYLEKAVWNLDYAQYELVKEALKERKYFL KTAPHLSSWLPIMLPLDQWWKVPYYWAGTKFYDFLAGSEGIESSYFLTKSKAIDAFPM LKQTDLVGALVYYDGAHNDSRMNVSIGMTAALYGATVVNHMEVTGLQKGENGKLCGAT LKDLVTERDGNEATPFNIKAKCIINCTGPFTDSIRKMDDQDCKEIVAPASGVHVILPG YYSPGKMGLIDPSTSDGRVIFFLPWQGNTIAGTTDEPATITKNPLPDEKSIQWILNEI SHYLSPDINVRRGDVLAAWSGLRPLVRDPKAKNTESLVRNHLIDISPSGLITCAGGKW TTYRQMAEECVDAAVKEFNLPVKPIANPPLVSGTEHVEDDAVLDGSCQTHRVRLIGAH GFSRTLFIHLIQHFGVETEVAKHLTESYGDRAWTVASLCKATNKRFPAKGERIAELYP FVDGEIRYAVRHEYAQTAVDVLARRTRLAFLNAQAALEALPKVIDIMADELKWDAKRK EVEWKDTVAFLESMGLPQPMLTTTRQQVEKGKLDWSNSLEWKMYSRHDKPVDEKERNE QAEIAGRAGTHR NEUTE1DRAFT_130629 MAQYTASTGSSLRASPNLRQHHADTSAAPDTTEKFPDFDTSQTT NGYPSGNGRSINTGSNAPSDQWRPRRESKVTWAPRDSPGPVNAYSHGRGHSRQTSISN AIHRMRSGSMSQNAHEIAEALRAPVSYKLIGLCMMWYWSSALTNTSSKSILTTFDKPA TLTLIQFAFVCSYCLLASWLASTFPKLRTAVPALKHPIRKPSRDVIVTTLPLAAFQIF GHLLSSSATSKIPVSLVHTIKGLSPLFTVLAYRLIFDIRYPRATYYSLVPLTIGVMLA CSGKHSFGEGQFLGILYALIATIIFVTQNIFSKRLFNEAARAEQEDGHSNGHRSKKLD KLNLLCYSSGMAFILTGPIWLWSEGFSIIGDFLWDGSVDLSETPNSFDHGRLTLEFIF NGTFHFGQNILAFVLLSMVSPVTYSVASLLKRVFVIIIAIIWFRSPTTNVQAVGIALT FLGLYLYDRSQEKNKADQRARSLSRSKMHESILPLNTKQTTETSSQYQPSSLNTQIGG YGYMPSIQPTTSSADLGANKKDDGPNPTGGGGGRQRGASMAKSNGGWLPPGTRQEHTW RVGDGRGQNGAEVSGPAGVAVMS NEUTE1DRAFT_147357 MAATKMTKNQMRRAKKKEQKKAQTENGTKAPETETTNGEVTIDA KEDATVKNETTPSDSEVKKEDSPQKIEVDGPVDDQVGVELSAFDEDPAFAAYKNIFEK FGMSLDEDDVAREANAGNKGEVFFDQDDEIPSEEEDAGKLSKKKRKKLNKLSIAELKA LVKNPEVVEWQDVSSSDPRLLVQIKAQRNIVPVPSHWSLKREYLSSKRGIEKPPFKLP KFIAETGITEMRDAVLEKQAEQTLKQKQRERVQPKMGKLDIDYQKLYDAFFRHQTKPD LTRFGDVYYEGKEWEADYKVFRAGEISDALREALGMQPGFPPPWLLQQQRVGPPPSYP TLKIPGLNAPLPPGAEWGFQPGQWGKPPLDEYNRPLYGGDIFGIMAPGQRGAAAPFQQ PQSQGPAATGFGGEPVERTLWGELQPPAEESEEEESDEEEDEDEEEEDHDIPPGGTET SISQFDAGHPSGYASSMHPGEAPVETSMAGEFDLRKTRRGYETEESAAPRSAYQVIPE RQTRAEGFFGSDKTYDLASGRPGAGPGPSVPVLGRDDDDSRKRKKPGDVEVALDPDLL AAQAGLSKEELRKRYEAGRKEEGPGAQWASSYDDDLSDMIAQESRKRQRREEERREER RGGRR NEUTE1DRAFT_102055 MLARGSPPRSKRQRSRLEGGGRLIATSATTTLLRRPCYEGIGWN ITYLVTGGLYDESKFRSQVDFRSLAEVADRVVFGRRHMKLAVETSKQPHPQHNQPRGH FIQQSLDVHHEHSLPLNYLALPSTGPCHLPRAKYGSSFPYDFRDRMSYTTLHSPSRHK SHTWCSGPMLERANTFLESLSRDDEALPPHAPQAGARPTRQRRTIPLGDGVF NEUTE1DRAFT_123243 MPWVDVAEQNPWLSSDDEGTPVLCVSSGPVLVDTAPTIKEEHRG VVVLEIPSSSSSSPSPSLKGEDNEGQDDIPELPVTGPLPAPIAGPDGRSTSSHPSPSN SHINTPKPTTPIAPSVVNGGEGSYKEEVHHGSPSTAHAAFHNSPAAASETKRRTSSES LSPAPTEDADIDDDEDDAELKGPVRDPPCFLCVTKVTLRGKGDSVCHHPANIRHGRWA CVGCRKYGKRCESSPDLVVQAWKAWKAEPRATVAAERALKALRTICAACRNLRQLVKM GMIPSATVETLLSGGDLYPEIDEGSSLPGVPSR NEUTE1DRAFT_43812 MTARDRREPTVFHGTETEFCQSLTLGVQRGLLLVSVPAADISCD RSLFKGELHDPFQYQFIHLAVGNGVKRFWRYCHLLTAPGRQVPFLAHSHHMGVCSFML MIDF NEUTE1DRAFT_102057 MLAIGGCDYVIRNVHNIALKRAQTQLWRYQGPPCRLRCRVRKIF DFYWRPDLGKKGSQAGQVPTPAGARGYHRVANFILSPDLPYGTNIVQRLSYSGGSTIT TTTTRTRHTKMERLQFKQQAPNSR NEUTE1DRAFT_102058 MIAPWLRLGKSQHSCTACQHLKPHDTSDLQSFLALGQAVAKTLF STAHIPPLKRELVSSRFAALLLLLLQQGTELHGHGSITRIMSSADGSCRRRFSQGLSW H NEUTE1DRAFT_123244 MYLRLKSNTLQNCNFNKQKTPFPSRGFWSPLFHLAAITLSPMPR LDLARLDTSITDFNISPIHPIHPSARGRYNIKMVRFSVMFPCY NEUTE1DRAFT_102060 MTVRRRAVPLPFFCLFAGQEWPVHGKWSTIHPSPTLGPRPCQSA TPCKNPAKPCHLPSRDLASQSGCMKRLEGLRVQEASVGVMVGDRPLEQSTSLQDMETS ELSDCRIHRLGLGSSSGVEQVTDKDGSSSASISTAYPVFGRHAILHFF NEUTE1DRAFT_84303 MAQSRPSSMAIPPPSLRDILSNTAPAPYTFGAFLAFLSHNHCLE TLEFTLGADQYRKAYNDIMLGRCRPTPDGLHQLRSMWEKLMNAYIRPNSSHEVNLPAH VRDRLVQLSCAEQPPCPSELDQAVAYTYDLMEGSILGPFLESLAPTPSPSPAPTQPTS SHSTTHSHSHSHSHSHSHSLSHSRSYWKDLVHDPRRTHGGASPPPQHDGQQSNRSSKA SGLLPLHTFGWQSETSSRSASSSTVADSPIDGFTDDSSSGYPSGNEPMTPPTTPPSAD WTFGTSPSGISRAMHASGWKKMGAKLGLGKKSRSKRSQTTSVMPALDGARSSPRLHPT TSAESINSDYSMEDVADVKLEPPILVQWEESTPGQHIPSPMAGNNNAVQDAGREGRLH KDGMAAKGYTPYIRTTPEGVARRFTRPRVRRAISSNPAVTVDKSATNNKPAVSSPLRT VSASNLRSKFLAVDHSQDQYLKPPTVDPYGWEAAYSGEKLEADDEQTCLENFGFDEAT LVKLTYRSAGGERRNLFHRMFAHAPRAEGGATATTTLALKA NEUTE1DRAFT_130633 MGDSLFQLPDELLFTTLSQTFPCRDAQIRAVATLLHPHAAPCRN LVIHGTEATGKSAITAALLQALQDDTEKDEPLLRYVIVNSIECITARHLYESAIAKVA EALQWQGGATRCDSVSQMLVELSKMLKYPSYPDNFRFVLVFDGIDRQREAPITLLPAL ARLSEIIPRMTSVFIITSPPAGFLRTSFVPYIEFPNYTKNEFVTILNTTSLPTAPLPT TTIQETTELWNRFTGAVHDALARSASRTLPALQHACTSLWPRFTAPILAGTHAPREFS KLMVAARVHFQDESLLDPGIVAVRSYSSSTTTTTQAQAKDNTAAQLSAPPSQANTPRK AHSTTTTPSKPKATANPLVSATTTDLSTLLPPTARLLLLASYLASHNPTRHDLVLFST FHHGRRRRRGGLSVGMGRGGHRGAQSKHRKIARKLLGAHAFVLERMLAIFMAVRGEWD PKWLDQDSSSREMDADIEMAIATLASLRLLVKVGGAGAGGMAGDQMDRGGKWRCNVGW EVIRGLGRSMGVEVEEWLVE NEUTE1DRAFT_65628 MFKSGISAFARTARPSFAAASRRAVRPAALNLRAPALSRFASSA GVGDGKIYQVIGAVVDVKFDTDKLPPILNALETQNNGQKLVLEVSQHLGENVVRCIAM DGTEGLVRGAKASDTGAPITIPVGPATLGRIINVTGDPIDERGPIKTDKFRPIHAEAP EFVEQSTTAEILVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGG YSVFTGVGERTREGNDLYHEMQETSVIQLDGDSKVALVFGQMNEPPGARARVALTGLT IAEYFRDEEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGQMQERI TTTTKGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSK SRMLDPRIVGQEHYETATRVQQILQEYKSLQDIIAILGMDELSEADKLTVERARKIQR FLSQPFTVAQVFTGIEGKLVDLKDTIASFKAILAGEGDDLPEGAFYMVGDFASARAKG EKILAELENQA NEUTE1DRAFT_84310 MNDISDNSSNSLANGGTVANSGIPKDGTGVLSIDPWLEPFKDTL KSRYSKAQSWIDTINKTEGGLEKFSRGTEIFGFNVDKDNTITYREWAPNAKQASLIGE FNNWDRNAHRMKKNEFGVFEITIPPTANGQPAIPHNSKIKITLELPDGQWVDRLPAWI KYVTQDLSVSPAYEARFWNPPQSERYTFKHKRPSKPESLRIYEAHVGISSPECKVATY KEFTKTMLPRIKNLGYNAIQLMAIMEHAYYASFGYQVNSFFAASSRYGPPEDLKELVD TAHAMGIAVLLDVVHSHASKNVLDGLNEFDGTDHQYFHGGGRGKHDLWDSRLFNYGHH EVMRFLLSNLRFWMDEYAFDGFRFDGVTSMLYLHHGIGTGFSGGYHEYFGPDVDEEAV VYLMLANEMLHQLYPNVITVAEDVSGMPALCLPLSLGGVGFDYRLAMAIPDMWIKILK EKKDEDWDMANITWTLTNRRHGEKTIAYCESHDQALVGDKTLMMHLCDAELYTNMSIL TPLTPVIDRGMALHKMIRLLTHSLGGEGYLNFEGNEFGHPEWLDFPREGNQNSFWYAR RQLNLTEDGLLRYQYLNNFDRSMNLTEDKYGWLHAPQAYISLKHEGDKIIVFERAGLV FVFNFHPSNSYTDYRIGIEQAGTYRIVLDSDTKEHGGFNRLDPQTRFFTSDLPWNNRK NSTHVYIPARTAFVLALESTLSE NEUTE1DRAFT_84312 MFTARTPASQHLARLRYISYSSRLALSPRRSQSYNNQGFTSSYD PNQENGRGPIFSNKASFGVPQFYPRDLKRRVDDYVVGQDRAKKTICSVIFNHYQNIRR RQHHEIQDQRQREKLQRQRYARDHRDLQDREGYSSSNRDIHPTPSVDDFYIPEDANAP QRVKIDKSNLLLIGPTGVGKTYILETLSKKLNVPFTISDCNSFTQAGYIGQDVEACIE RLLIEANYDVKAAEHGIVVLDEFDKIARRETVNGRDVGGEGVQQALLKLVEGTKVTVS VKDHRSSRPPPPPNLNISTPGYGPTGTTPSATPGKVEQYIVDTSNILFVFCGAFVGLD KTVLRRVAKPSIGFGAEVRNHRGSSMSSSQEILPPELYSHLPHQPPTMPVDLSGGSLA SHGGGGFTPLDLASPADLQAFGFIPELIGRLHNICALSPLSLDELYRILTEPRNSLVA QYTALFETYPSKLYFTRKALYAIAERAAKNETGARGLKMEMERVLAEPMYDAPMPYVL ITEGCVKGTEKAGYWGKDGRLELERMMAEEDQKGLGQGPVEGGFERMREAGQSGA NEUTE1DRAFT_84315 MATETTTTTPAAPSATTAEITPLPAFSPDLYQRAWASIPHPSLP LIATCHAHSVTVFSLSTLSKHSVLTGGHTRSVRSAAEERLEREIRLQSGENDKETEEE EEAEDEWELTLVLEGHENEVKSVNYSPSGQYLATCSRDKSVWIWEDVGNPNPSSEEEE EEEDEDEWETVAVLQEHDGDVKAVAWCPDVPGRKGKYAPPRRYGDDVLASASYDNTVR LWREDGDGEWVCVAVLEGHEGTVWGVAWEGRPRENDKFPRLLSWGADEVIRVWSLKEP EEEEHGEGAAGGGNNTWGFGVPNTMRRSLKEEWECTAVLPKVHKGDIYSVAWSTETGL LASVGSDGVLALYQETVNTTEKNEENETNGEAPTTTSAGGWKVLTTVKGAHGPYEINH ITWCKRYDAGSERKGEEEMLVTTGDDGVVRPWQVRIQ NEUTE1DRAFT_84319 MLRGSIRALQTAGASKRCYSQVAQTTRATLKPAVGRRPLAVSQQ RRHESALHSPPDPNDNFLSGSAANYIDEMYLQWKQDPKSVHVSWQVYFKNMESGNMPI SQAFQPPPSLVPGAANVVPAIAAGAGVGIGEGANVTNHLKVQLLVRAYQARGHHKANI DPLGIRNTPASKGFGNIRPKELTPEYYGFTEKDLDTEYSLGPGILPRFARDGREKMTL REIIDACEKIYCGSYGVEFIHIPDREKCDWLRERLEIPQPFKYSIDEKRRILDRLIWS SSFESFLATKYPNDKRFGLEGCETLVPGMKALIDRSVDYGVKDIVIGMPHRGRLNVLS NVVRKPNEAIFSEFAGMAGADEEGSGDVKYHLGMNFERPTPSGKRVQLSLVANPSHLE AEDPVVLGKVRAIQHYNNDESDHKSAMGVLLHGDAAIAGQGIVYECLGFHNLPAFSTG GTIHLVVNNQIGFTTDPRFARSTAYCTDIAKAIDAPVFHVNADDVEAVNFVCQLASDW RAEFKQDVIIDLVCYRKHGHNETDQPAFTQPLMYKRISEKSPQIDIYVDQLLKEGTFT KEDIEEHKQWVWGMLEESFSKSKDYQPTSKEWTTSAWNNFKSPKELASEVLPHMPTAV DKPTLEHIGTVIGSTPEGFHAHRNLKRILTNRTKSVVEGKGIDWATAEALAFGTLVKE GHHVRITGQDVERGTFSQRHAVFHDQETEDTYIPLQHISEDQAPFVISNSSLSEYGIL GFEYGYSLQDPNGFNMWEAQFGDFANTAQVIIDQFLASGESKWMQRTGLVMSLPHGYD GQGPEHSSARMERFLSLCNEDPRIYPSPEKLERQHQDCNMQIAYMTSPANLFHILRRQ LKRQFRKPLVIFFSKALLRHPVARSDIEEFTDDAHFRWILPDSAHQTGEIKAPEEIER VILCTGQVYAALLKHRQDNKIDNVAFTRIEQLHPFPWEQLRENLDQYPNAKTIVWAQE EPLNAGAWSYTQPRLETLLNHTKHHDRKHVMYAGRAPSASVATGKKSSHLKEEKELLE MAFSVTQSKLKGE NEUTE1DRAFT_102068 MTALAKAQLSEAPSPSAPAVAPSAPLQAQCSAPWMLLLLAIALT LWSRRNWVELPNFSHWEQLHRRRPGPNKLEDINVTFSRYSRKLAYLQLFTAQSATYPY GEHLSEVEMYGNAFGSIFERELFEAFAWGIELMELR NEUTE1DRAFT_84322 MAPIEIPRGQASYKKREGIITLTPDKTALIWSPLPGTGPPVISL SVSNITNLQQTPKTNPKVVLRVVEKPKAPGADPAAYPFQFTHATEARNEADAIKDLLS QIIAELRGDDPSLPKPAKSGPNGAGASAAMAMASAVNSKHLPFRWFEDDMLKADVELQ QSLMKKDKALAHIYNDAKLSKPDSLSDASFNSQFWATRISLLRAYAIELNQKKGSYNV LSTIKPRTENGELKLNINHEQVQLIFQQHPLVKRIYNENVPKLTESEFWSRFFLSRLS KKLRGERITDNDNTDPLFDKYLEADNTMAVPAKITATSVPPIINIEGNEENQGGFRGG NLKDVEMRPRANIPIIKTLNSLSEKIMANVAPTDVDPSATSYSKDGIADALSQQLALQ DLRGDAEAQLIRLSVKDTSTFFTGNQPSLTDQEAADARLYATQVPSDVLFEVQADMDT LDSDGRGGIDLHRSIGVDPDSDDESSALDSKSGPKPQHVGSRAALRFAQNQILESMKS ARSHLTTHGSHTNASEERPMSLPTDIAHRATLTCATTAEFLKQFWTVFNSSDSSPEKQ QELAYLADSLVRSKQRIEALAEEAEKRRQEIMEKRKREIREYYQKTGRKAKWVPVGGG RDAVWAVFEGVVGGLERAVAVWEMVKSGRI NEUTE1DRAFT_84325 MALTWRSFDFFDATKIKIADDETRALFESNAISSVCSGSDSLFL GAYDGHVHIVGRSWKIVRSFRAYETGPITHMRQVEGTSLLVTVAVLWALDKPAKKTGI PTCLSTVAINNGKKPFPISAFTATEDLSQLAVGFANGAVTVIRGDLIHDLGTKQRIIY ESDEPITGVELRVDANITTLFIATTSRILKLAISGKGHGQPPRTVEDQGCGVGCMSVD KETGDIVVGRDDAIYYYTLDGRGPPIAYEAPKKLVSVYQDYIALVSPPTNTGESDTMR RRFWGATADSIFTFTLIHPDLRIIAHSESVLSDVKHIVQIWGDLYTFTQEGQVFRYHQ KSLQQRLDMLYQRNLYTLAVELAQKSGMDAHQQCIIFRKYGDYLYQKGNYDEAMTQYI KAIDTTEPSQVIRKFLDTQRIHNLIEYLEELHERGKATSDHTTLLLNCYAKLKDVDKL EKFIKSPGDLKFDLDTAISMCRQGGYYDQAAYLAKKHGENELVVDILIEDSKAFDDAL DFIWHLDPDTAYSCLMKYARVLIEHCSMDATRLFVDYYTSKYKPRIDPPAVSPDAPVT NNGGGFVTGAANAVQNLSNLLPLPYMNPLAVGSNSQAVTKPTVSDAEVVKPEGLATPT YTPPRPRTAFSSFIDHPDEFIIFLEALLKEGTNSLSEADKIDIHTTLFEMYLHKANEK PGNDQHREEWESKAKSLIISSPPKDSTTTAVVPPPTTPGALPKIENSNVLLLSHLASF RTGTVLMQEQSNLLFDIFRSYTSARDTKGAIRALHKYGPSEPQLYPLALSYLTSSPTI LSEAGEAELSAILEKIDRDGLMAPLQVVQTLSKHGVATMGMLKPYLQGRIERERKEIR ENRRDVEAFRKETEQRRQELEELETKPQVFQATRCGVCGGGLERELPVVHFLCRHSFH GRCLRGMAGVNVDVRGGGGGREAGEAAEGGECPLCAKDNATIRALKKSQEENAERHEL FRDDLERSEDRFKTVAQWFGRGVMSVPNVE NEUTE1DRAFT_65646 MAALLLRNTTGGIRCLTKGSAFISTTTTISSSHGFGLLAKYRRS YSTTISQDLLNKKVQVYQSTSKDPYLNLSIEHHLLQHSHPESYVLFLYINDPCVVIGR NQNPWLEVNLPALQEAEDIKLVRRRSGGGTVFHDHGNVNWSVICPPAVFDRDRHAEMV VRALKDLGVTTAKVNERHDIVIAGDGRGNGQDIFKVSGSAYKLTRLRSLHHGTCLLNS PRLKNIGKFLRSPGEPYIKARGVESVRSPIRNVDVDTEEFTKGVVDEFLAMYEEQCGE DVKVNRVGEEDMKNMDNIRKGMDELTSIPWIYGQTPQFTFSSRPTEDDPRERPPLDFE VQSDFNIQFTLRHGEIQTANVSGLKYEGPAIDGDFYDGVVSQTLAKGSEDDSAVKLYE IKDWATVLKSATAEQAFKVSDSSLNSISQWLNKVFPGNHFR NEUTE1DRAFT_84330 MSTFGHYFRVTTYGESHCKSVGCIVDGVPPGMELTEDDIQPQMT RRRPGQSAITTPRNEKDRVIIQSGTEFGVTLGTPIGMLVMNEDQRPKDYGNKTMDIYP RPSHADWTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKYLKLAYGVEIVAFVSSV GSEHLFPPTAEHPSPSTNPEFLKLVNSITRETVDSFLPVRCPDAEANKRMEDLITKFR DNHDSIGGTVTCVIRNVPSGLGEPAFDKLEAMLAHAMLSIPATKGFEVGSGFGGCEVP GSIHNDPFVSAENTEIPPSVAASGAARNGIPRPKLTTKTNFSGGIQGGISNGAPIYFR VGFKPAATIGQEQTTATYDGTSEGVLAAKGRHDPSVVPRAVPIVEAMAALVIMDAVLA QQARHTAKSLLPPLKQTINSGKDTVGNGVSENVQESDLTQ NEUTE1DRAFT_147370 MSRFGSLQAIPRQLLRTSVHLRAGLQGARTTISRSYATANDQRP SQPTQPTFDNRVKIVEVGPRDGLQNEKKSIPLATKIELIERLAKTGLQTIEAGAFVSP KWVPQMANSDEILEHLLKTPPPSPVPLTFSFLAPNTKGLDSALSILGKYPDAYETETT RSGKSAQEGGKPALEIAVFAAATESFSQKNLNCSIDASLRQFKEVIQQAKQANLRVRA YISVVLGCPFEGYDVDPHRVATIATDLLEMGADEISLGDTTGMGTAPRTKELLNCLSL AGIRNEDLAMHFHDTYAQALVNTAVALEHGIRTFDSSVGGLGGCPYSPGATGNVATEN VVYFMESLGMDTGVDLDRVADIGDWITREIGKPNDSVVGKAVLGARKREKKENGDAEA PQT NEUTE1DRAFT_65655 MADNQIPSSVADAVLVKSIEMPEGSQKVEELDFNKFKGRPITVD DLLQGMKHMGFQASSMCEAVRIINEMRAYRDPTTSEKTTIFLGYTSNLISSGLRGTLR YLVQHKHVSAIVTTAGGIEEDFIKCLGDTYMSSFSAPGADLRSKGLNRIGNLVVPNSN YCAFEDWVVPILDKMLEEQEASRGTENEINWTPSKVIHRLGKEINDERSVYYWAWKND IPVFCPALTDGSLGDMLYFHTFKASPKQLRIDIVEDIRKINTIAVRAKRAGMIILGGG IVKHHIANACLMRNGAESAVYINTAQEFDGSDAGARPDEAVSWGKIKVGADAVKVYME ATAAFPFIVANTFAKEDGL NEUTE1DRAFT_102075 MGEEGSAGCGGRRGCGCVGHGDGEEEEVKEEEEEEAAVEGKRGR LWLDGEGVGHGHGDGGCLGGGGGGDDGNRALARRLLGTTNWRLKPPLRLFRKSGAAFV VVVVVVVDVFVGDTRMCDVVGEVL NEUTE1DRAFT_84339 MPLNVDAERARRGSRDAGPLSAGSSSSDPPFAPIPDRGIQDRGM LDRGIPDRGGFRGGYNSRGGNRGGRGRGGDWDRGGRGRGMFYDDHRDHRNWQPRSRSQ EGRWGPQRDLMDDRDRREPPARYMDTVRDMRDDRDPRERDNREPPPRQKLDRVSHEPI PTTTKDVSPPPLAPSAPAFGSVPSRQPSSAEIQSVTGKAPPTGPRALITEERQDRPPA TGLPAANIERGPPTGPSKPLLPEGSPLIPVGPRAQRSSKQWINPTLGKKIPESPKSAR SQSFVSQSQHGRPFGGHRPESSHSDHHGETERRPRSPDAKSESHLVAADGQSRSSLLT GANDTPIRPERGTQSARASVDRDTRASLDNRDMRTDSFGGAHRSFRELDVERRDERPA IMAPMRQREDADKSRIPESRVPVKRKRSVLAIPSTTRLQLPTQQTSLPGTESDDDEDM DDYFDAEITKQETELKKLKDSTAGVPMQIVRQYACTVHDAMVKVVSNNVSLVDMIGGL PGGYSFPRPIPKAHTQKEPSPQPKPQQELQSNAKPKRPPVQEAVPAVVQEQPAEQVEK PVQKPVQKPAQKLDEVEPPRVSESEPEVAPKPEPEPKPVSEPKKEKAPELLPEKLPEP EQIPRPQPQPQPQPQPQAQAQAQPPRLLTEAEPKSVTEPEREREAEVEQEAETQEQAP TERQLLAIPTVEENEETSFHRPEPQPKMEEMDIGGSGLPPLPTVEEHKVVDQDVDMVD VDDEGRDALQPPCKPVPLRDVSGDGESVSRFPRPFNQPTSDGASSSRMDEDSEERTED DASVSGSVENVRELSTTPPTDELPVFNVKPWHQSSKVRKLSEQSPGFGAFFMGNIRDM AEETAKEQQEAKQVYRTNYDAYLRFTLSDDPTAVKSRNQFSQSDKDKASGGKGHSGSD NHGKEGGRRTTSRFSTELDVEYAIQESIREAQEKKEREERAQREKYRTDKEAVIPEMI WTDEEKDHQLFVDTSGLLPLEKLVDTWQAVPYHVNFTAEEAEKFEKAYLEYPKQWGKI AHELPNRDFHSVIQYYYAKKRELNLKERLKKQPRRRKKGRGKQKYNALVSELGNPENE TTEDNQENGENSNGRRQQPRRAAAPSWGHEATPNADSDGATPSATPGRRRAGTTTEPK NDSGAEKPEGVKKGGRRARQPKADKEPKVPKPAQAIAPTPPAASGKGTNARSRSNSTR VQNPEWMPPKTPAELGARVQNPMFDVPPGSMQPPLAPAQQTPLASPERAPPTLASTTI SEVMAPPSLRPEPPAPPASLPTFEIGQPSGPERIRTPQQASSYWSVSESNDFPSLLRS FGTDWSAIANHMGTKTQVMVRNYYLRQKKEGKQEWEQIATEADLKKQRGERRPPPPTP SAGPRKRYDVPSSSGHRPLAAAEAEEPQPAKSEAAPPANQPFSRFQVTPIQASPVLHT LVQRSPTVMPAPLPPAPVAASASAAPSPQTPSRPGPAVSQTMSPVPRPLRQPTATFVF TEREGEPIPVSQPPAQTQHQPVRISQKTAPVPSSSMPSASEAMPRSAGWIPEANRPTP LLSQQQELREVREIREGRERQIRMEPLQPREQPRPMERPAMRFKQEPEQPLHHGDPFQ NFMPLQRSMQPRAEAAPMGRQDPPRSMAPSAPQSYTPPIQAQPVRNLLSESVPPQRTP PQLSPAMERPPVPSTQRPVPTSMQEQYSANTSAAQPVPPPQAPPAIPPEPKKVSSIFS ILNDDNPPAPAPAPKRVNDVASMPRAASTSTPPPQQMSTRPPQPPPTTAVSASQRRDT EALGYSYARNPPSAAQAAMPSLKPYHTQSPQPPHMNVARSSMGSGMEPQVSAAGDNRE FYSRHQYQPQHQPGASNSPVPHQVHHYSQSAQHPQQHLQQPQMAYPSQQQYQPYTTSQ ALAASPTPQYAAHPASISGRREAQSARDEWSSTQQGQAAVPSLQQRQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQGWPPSHPTPSKSSQASVPSQTAWAAQHGPNVQAKPPQMGS AMSQQQHSWQATPTQQPHALGLREPAPRGQAVFSAHEAQSPTGSVVSHQHHRSLDGRS QFPPMPDPRDRQNLRRGEPVPPQGQPYVRYVNTPGPGHGGPGGPPGQVPGRGEAPADL RMQQMSQARSYTPGPVGAGFDGMGPPPSSSLGYPEQIRDAQIRDAQLREMGSRDLGRD HRVMGRDPRELGRDPREVAAQQREQHAAQFQAQQQHGIPANAAHPQHIQVQGHPQQHQ IAQHQQHVQQQQMQHDMRNAVQASHQQYAPQHHPGGMMARQLRPQHQYDQQGHGPGPG QGDPRRAG NEUTE1DRAFT_123259 MGCAVDACYSTEPFSTTLVDIITTISGGKTITTTQISAVLTTPT PPPALTGIDDNSGAIPKFLPSSVPKASAIPKDTKDSDGSGGLKGAQLGGIIGGAIALL IIVIIAAFLIIRRLKRVESAMESKKGSTSGYHSKASYTKTSKTGASQAQMEQSGRFLH VRAPSDTDNASADPLMFMTETNTPGDHTTNASSLAGTPQPGAHGVGDGSGIGRHGRSG SDTTYMASPHGAGNNNGSELASPDPNAGYFNAASPPLPSSHTTSTYAGGHNGNGNGMR ESVDSQSTGLGYHYSSTRNQHQHHWRNQSNASELSADGSEVTHGVASPLVGSSHARGA SGGTSHSYTHSHSHSHSHSGLGDVPELDSSGMFVELPATTTTTASASLPPRNSFGLSS ASRRRRTGGGSNPNTSTPQSPNTDSTQQQQNNLVDVRSEPETAEFITRPHHQQQQQQQ QQQQQQQQHTSLDGYYGPAKRQVGQTTASGLGFVPERWDGSSPGAYPMGFPMISVPGY GLGVSSGGGGGGPAEEGHDEEKEEGESDLDDDNHDDDEKVNEEGTKGRKQHGSENGNG QRQQ NEUTE1DRAFT_130647 MPQKPNRLAMHTKRRTHWLPVIQSPDATKGKHPPWRCQWERGTV RSNIQSNHVEREHVRMSSHSECFPSLHASFSMVARNGHVGEVGSSSTYKDLGARLRSG KVDSLFSLLIWIFKSHHHRHRPRHHASYYQESETTVGGQPCHHPSARTAFNVLSQPYW WFPSDTVRGPVALSLLRL NEUTE1DRAFT_84342 MDPAGVKMLLYTRLAPPKEPKRRSRAGNNDSHRLSLSRRLSDFG YDSDSASPPESTEVDYMFSPPDLHQSTYWNAPSPTPSYPLISPTESLFTLPDLSHAEE LSEDAEDGSVAKSWTTYTAVTTVSRPTPYYPDLVMIAPCPVTSPLFEFHSPAFSEFTD RPNRRALVDHFCNILSHLIVFREESGNPFQQLVLPLTRQSSPVLNAIFALASAHLEYR GIQNEEHSLFFHNQAIQGLGRLIEQSAKSNRNEILATIMLLIYYEVLVQRGRSNLVDG HLKGALTIMCTNPEPSDSTSIFLERAFRFYDVIAALSNGRAPLSAAPTAGCLMPFPPL GAPMTSPLSNVDTLLGMATTLWPIIHRLSSIVTLKSDLQAAIWSNASASKIAVLRTEL EATTQAIEAALSRWQPQLPPGFVPDEDIDEETDKTDKVHSKHLNHGSKIDVMSPTVAE RSRLHSIWNNALAYRHSAFVYLYRSVHGYRRSHRAVQEHTHRSLLHCAATVKHEGPMG ALLWPLFVAACEAVTEDDRGLAEQAFEKVKKRQGMRNIERAWEIVREVWERADRAESV AGAGAGVDRGSKEQEKLGNMGTGEDLWRQVSREMGVSVVFG NEUTE1DRAFT_102079 MPEMTLMTAPLPPPKQIRFVNNQGQPPSKRRRINAACLTCRKRK TRCAGEKPVCSTCTKNGHQCQGYNDLVERRKEDNRGNGLTKGDHDQVAGVKREADDDE DEHSDDNEEEEEEESHRQWKSKTAPASKTAGFAVVDRDASMRRDSIPNHSYSGNRPRG LSNDWGQDSRSPSSTRTARQSNSNGIRSPTQHHSHSVRRRDRIPYFRYFGPTAIVPGF KQNLPKEPSFRDPRFMRTGSSVATTPTPSHHIQPRTIQETLKDIPTLEDMPVYSTDDS KPVPEIIKVLVKTFFIRLGCNYPFLKEERFMRQLEEKQVEPILVDAMCALAARFSELP TFTNEQDGSRVPKSEYGDVFAQRVKNATVETFSCPSVAAVQAFLLMAYEAFGANQDSA LWMYLGLAIRMATDLGLQKKEGVKYQGSRDPWFTRRWLSKYNDDGNSPTDKQEEEETI SPEEQKEVEQARIDTFWAVFMLDRIISSGTGRPVTLRDDDMDLEIPEPTLDQEGWPDP FPACIKIIHLYGRASDVLNNIRDANDLTEESMKKLRQMESDLTIIYSKQHERLVFNTH NFKHYVEAGQGTIFILLHFWFHAMIIILHEPALLTPFGKLTNIQLLSNSRELARSSAK TIADIVAFAELIDHQSYIGNPFTSQPIFIAGCAFLKESALSASSPPSREQSPGANNKS TGSRTHGGRLSIPTDNRPRHSLLTSAAAANYSRCSQALSRVEQYWAGVGYIINAMEQR SQGIEDCETFAPGELERMLARTRQASVQRLMGFENPIPPSPGVPPIAFSLTGTTNSPN SNLTRLYTNTSGTTSSPSSNLTRIYTNTSNPAMSNNFMLVPSMSSVASPLPPTSQPTT AATPPGNMIYDPIRQDTPTVVFHPPPHPQPNVPTARYQGRSSSFSHLRDRRSTSTLSR GTSLKYETPGSDDMTVGSDSPTISESNFNAYGKSLSPALPPLAPPPHRHSYLNTQQQQ QQHNNNNNNSYDNSNHNSTTNNNDYYHQATSRPSPNPGLGVVDPFSQNTFSTGLYSAF TPDFMFECNEINLHNLPDVLGLDAIGDSHMMESLSNFDAYALYGLFDETFITGGSGGD GGSGNGSSSHSRGNDDDGGGRRGSDHGGGGRNGQGGGGDGRGHHRQGH NEUTE1DRAFT_84346 MAFRTALRRVAAVNAAPATRLTGAGAGAAAARRSYATASQLTHP DPTEDSPSGKMVREHVPYMVTTYSRPPPVFVKGKGSYLWDLEDRKYLDFTSGIAVNSL GHCDEEFSKIIAEQAQELVHASNLYYNPWTGALSKLLVESTKASGGMHDASSVFVCNS GSEANEAGIKFARKVGKVLDPSGSKVEIVCFQNAFHGRTMGSLSATPNPKYQAPFAPM VPGFKVGTYNDIAAIPSLVTEKTCSVIVEPIQGEGGVMPATEEFLVALGKRCREVGAL LHYDEIQCGLARTGTFWAHSSLPKEAHPDILTTAKAIGNGFPIAATIVNEHVASKIKV GDHGTTFGGNPLACRLAHYIVGRLADKQLQEGVKAKSEVFLRGFEKLRNKFPSLVKEV RGKGLILGLQLSEDPTPVIKAARERGLLVITAGTNTLRFVPSLLVTEGEIEEGLKILE ESFEAAMVKA NEUTE1DRAFT_117495 MSAKEKLNDGVKVLSLSRNYLPRSKPRRPGEAVLIEYKTHSFPP SGLLHDGMVQSVMTELLLQGWRT NEUTE1DRAFT_102082 MPLVVLIWPSVLDDRPLELEDRMENPDYLQYLYYTQTWTLHEGP KFSLATLRNSLTTTMRYIAAKGLLETGIRSKRTYCNDVGLPELRMLIDHDILHTGSQE VAEVHHLAWALGRICALRPGSLGWSHPSMRDDGMGILKHYSTIDELLDGDRRNIIIKE RYLDDPKPGHWKDTTSIRYGRWTFTAIALGEEENQDRMATREAELALNVLRNDEASAV FGPLLNEHNHEQNHGIRRIIPTTANARGASKL NEUTE1DRAFT_111238 MPGLAKTEVKHKQQCKKKVDPPSANWIELTLIVDNQDADVASWV KEVVAEDELILKIAFTVVASIMSKLNLRNSRTTYLAGVFLGPYTSGNGRRDLTHKEER ETSGENRQRNHRRKEAATIRSQQKPARYRERETPEKSTCSVKLRYHLSRVLDSAPPPL FPNVAESQCRLDFKRKARLAYNKEYKKNRLDNETPDEREATWASKEEGDR NEUTE1DRAFT_117496 MMYNTFTTGLVATLLAGAQIASAHIEMSYPPPFRSKFNPNADPG SIDYSMTAPLKDTGADYPCKGYQVDFGTPSGKSTATFVPGQTYNMTTAGTATHGGGSC QLSLSYDKGATFTVIESYIGDCPLAGKYDFTIPADAQSGDAIFAWTWHNRIGNREMYM NCAPVTIAANNKRDVAGAAFSTRPQIFVANVGNGCKTDENFDVQYPQPGPNVVKASDA PLKGPEGSCGASAAPAGGSDSGSGSGASSSSSVAAAPVTTSAAAQTSAATGGNPGGIF ITAPSDGSNQGGIFLPSATDIVNAPSSSAAAVVSSASVSVPAVATSAPAVATTQAPVQ TTLQSIAKPSTTVVSAPAPIATGTAPGNGTGSGSGSGSTAGAYAVGTACTTEGAWNCI GGSSFQRCASGAWSAVTQMAAGTSCSAGESAQLKMIAKKHLGRYQRRHGYAHLSN NEUTE1DRAFT_117497 MDGWPRETKNNETQNVSKRLALTLAADETHQYRGNTFMSKEGYE YNLCAALGASGQIGRHR NEUTE1DRAFT_111240 MENRPASAKPATTASTPSQSSTPDVKSPATRPLYSSKLGDQRAR TSDAVPATLASQQNRQSAPPVQQKAWTSAKNPITGQSARPQNNFSSQDKKSVTSSLRE GQRVRITLANGSEFEGTYANTQDPNTCRLTTVTQKKLPNSAEMNGASRKEQGTMTFQK KEIVDARPLLVNNLGKSDGKPTNGNRASFRTDAAISNSRFGNERTLHRWVPDSTDGLD DSLEKTNTSGTWDQFAANERLFGLKTDYDENIYTTTIDKSHPQYKERMAAAERKAREI ERSAPVTAHVAEERVMDFQGGDDRDEEDKYSGVRRQDFPPLSGGRENKYTPPARRAPA AHSTVKGAPVDPAIISSQLKAPPQKQAAQTVADVKSPIQQTKPSPAPTSTTADSKVES KPDVKVAEVKAVNAKATDKSAAPAQAAVSTTATAQTLSSKQQGIEQRLLTSFKEFANI QRGSALSAQRAKNKADKEVRLNDLRKFYHEFKLSTPVPSDLISIIAKDPAKQKEIQEK ALKNAQEMARIKAEQAALKAKEVNVAKEGASKTTTEQTSSATTTTADTRTASRPTAPQ HSSSAGGVPSRHQGQRNSYQHPQAAYHQPYTRGNRPPPHMSQSQQTGNLSQRLRNVEQ HKMQHPHMGQHPQGPDMRLAPTGPANNGDPSFARRTSGVPPPTKQFNPLIHEFRPGAT PFAPAFSPAGPSQASSPRVSVNNVVEPAGASALPPGKLIRRKTKDVDPKKCNILAFLE KEEKPSMQSHAVRWEENGALRPAYETPPTWRQADPQNEKADSTMNMTYTEYMERYPVP STVNATPNPSHALPQFHHQHQLPIHMQHGAQNLAPRQSPHMPPMPMQPGQHGHAPHVP FTAPDDHRMMHSNSQQSFASPRMGHAAMVYPQGTPGQFQYSQPMMQPYMPGGAPQMGP FRSFSNNPQFMPQQQHHMGGPVMMQPQFIPGPNGALMAAGPQVQMYPGHPQFIPAGGV PPQPMNGTNGYPSPGRPTAPMMVHQGSQQGQPGVYGMSPAVQYQQPAFVAQQQPQGKF PNQRAQ NEUTE1DRAFT_102086 MSPCIELHVSGFICSFFLLVRWFHQSWSCNTAQQEGHSLAVTKS PQTEPEASHLSTSELLTFPANPRRFPPKTRPGSWVPPKFPLTSFFLSSFGPAPPPPPL IAFHTDRSPPSPAFPNTART NEUTE1DRAFT_130652 MQFKTLIVSTLAGLAAAQNTVPLSTAPVGLSTGVVPLPTGTGGV ISTKPGGIYSNSTFISKPAASTEETTATVTSKVGAGASSTSAAASASTSGNTNGANLD RKSEFGMVVIGLVVAAGFAI NEUTE1DRAFT_65672 MASSTSPPPSDPEKIGHHQTHNVQPAPTISNNTNHDHDPEKSLA IPIDGEPKSKTTTAHQFSEQESEPELEQPNPNSPQQPDHDQKKSTWNASRASIFRFLL ICYSFVLMGMSDGAIGALIPYLETYYSISYTIVSLVFLSPFIGYLLAALFNNLIHHHF GQRGVAILGPVCRLIGFIPMACHLPYPALPVVMLFTGFGNGIEDSAWNAWVGNMRNAN ELLGIIHGCYGLGATIGPLIATSMVTKGGLEWYTFYYVIVGLNGSELVAMTAAFWTAT KEVYRAGIAESESESEGVAGAGGENERGTDKRTTTRTVMRDPIPWIVAMFLLGYVGAE VSLGGWIVTFMLKVRQAEPFDAGLTVTFFWLGLTVGRVVLGFITGRIGEKVAISVYLL LCIALQLLYWLVPSFVASAIFVSFLGFFLGPLFPAAIVAATKLLPPGYHVSAIGFAAA FGGGGAAIFPFAVGAIAQKKGVTVLQPIVLAILVFILLMWWLLPGGLKPGGLERAREN REKVGDEVRKGFRWLKGKAGSPNSCSSFVHTKSAAGVNRV NEUTE1DRAFT_44158 MSHVKRTRLDAGFPDTPSAIPEAMENALAQQFEALGPNAGLRLA NLRSLVSSLTPQETRFMRLHLQSLPAPADIISSFPVDILIDISPYLSALDIVNILAVS KAWRQAWSQRHVVGALAKHHMPNFLQLYAHRNRLPTDQNLFDSFYQAARKFSIRQQGL FQSMIFNPVPWLHSVTRDRFFSLESSGAIESWDDVFPGGNFNNDFPDHLTEKKAEGKP PYSYINLLYRNGKVAWQPDDDDDDNLSSLTFVDDLRSQQRKVYKVPISVVLLGCVAHL EDLGSERDSVTLPSANIYKVSIEGKRAYILTDNSEVYVWTFRGGIRKVDTSAAGEDGI NRIVNVVHDMEMEGMFDILPHPFLDDKFYLFSFRESERMLVVHEFNHNGTLRIHTTTL PKVYSLTRKWGTGDFDFEISCEGTEPNGDEDFFRDERHKVDAYGNYTICQFFAKGRPV KPKEFRGLYDDKWKDWNCEAYHDEDDDYCLGYTVLFNALTASVSISPFISNIRRPDQF PPSLTFWGGQQIELIRAPTTGNNLDFCMLLVSELNGQKRVQSMDDLPIYCPSTINAAK PISVTRRVTTRLDNSPAKKMMQGHKCSNIVSRYLTERLELISSIQPQYGLEITFEYDQ LRCCINAELTEGDGTFELKADEDFLVCVSPEGYVAWSFYHDMKDLQVKA NEUTE1DRAFT_44669 VIHFIQFLEAACDKLPHVAPPEVSLPNNVGTHKYERQPRVVMFC RAFLVEEAAEMRASRAGIAKEPVAWLVSDPSVGSPPLPVDGALPGPESSLKAMALARG LLAEWLAGDASDGGNLDR NEUTE1DRAFT_123268 MASPTPAPRPDQISASTPLLQSDSTSSCASSIRSLSPSRRRHHN GRTSPAAAASARNLSFASALLSSLCAGSITIFSMYGHIFQERLHYTQFEVNGLSSAAS FATYMPVPLLGYMCDRVGPGPLSFVSALFFAAGYGLAAGVYKREADGAALGNGADGED TGRLAYAAMITAFVFIGVGTCSMYMSAVATCAKNFGRGKHRGLALAVPIAAFGLSGMW QSQLGSRVFYERFADGTKGDLDVFHFFIFLGVLLFVVGCLGTFGLKIVDEEDLIDEAV EELERSGYLDGSTFLQGSWTADRPGYGAIEQSPLDMESAGILDPSKPDNDSDSEEEDD NARIKKTWVLNAETRRFLNDHTMWCFALGFFLMIGPGEAFINNLGTVIKTLYPPHLKF VGEPTSAATHVSIVGITSTLVRLLTGSLTDLLAPSPQARHVQITSSGTLERKRFSLSR VSFLLFFAVTLSVGLATLASGWIQNHGERFWVASGLVGAGYGAVFSLTPIIITVIWGV ENFATNWGIVAMFPALGATFWGLVYSAVYQSGVEKAASNGQGGEEDQFCYGIQKLATN RALHQARQLTNWASTFSFSFAILTHHLSSHTNNSSIVSKRSVEKLYYPNEPHFFRFFV KKFQRRAPLINRGYHFRLHVIDVLVRNFLQEQRTGEAKGKRKVVVNLGCGSDVLPWQC LTRYPDACRSGEKDGVKFVDVDFPDLIERKKRTVLETPELLGPLTNVVVPEFAPVLST PAATTRAAATTTTTTEPKTMAATASSTSTEAPQKPKKSPKPKDKSKVARAPAPTTAPT GIVLTSDQYVQIGCDLRDLATLQDSLTRAVGGDLSSCTFLFVAEVSITYMETPGADAV IEWASSLGDSEFVLLEQLLPSGPTHPFASTMLSHFHKLNTPIKSVDVYPTVASQVERF RSRGWGSGDVRVWTLWEAWADAEDTFVNAAERRRLDEVEPFDEWEEFALFASHYCVVR ARTVARDGQSKKKERGIPNGRELGVPVEKVKVRWDDVPGQRGQRRFAAGAVLTSSSSS SLGKKEEVKLLNVMGLGTKSRLQNCDVYGRKKVGDADEADGTDEEKTAVPFTFREGGP STRMCHSLTDLGTAQLLVGGRASPSTPLKDCWLLEKTNGSESEWAWKRTNDLPIPLYR HSVTRLGKTDMALLAGGRGVADIFPDWLLYESKLGWIRCEIAGDVKPTSVYGATLACL RQESDSFSGVFAGGLSDDGLIADQLLAWNLDVSDSSKPVVTFVPLQVKSGDDGREEAL SRLLLTRFGASCLPQSRTDFLVFGGVIKDHLLDMDDEILLCSLKGDGELTITRRLAPE AANAESSSHPGPLLLVGTSPVVTPDDGSLMIMGGGATCFSMGTFWNKGISTLELALPA AVENGASPIPAHGWAHEKTVDIIPGEPRSLPLRNQAPNGAEGEVSGSVSVRNQPIPRV KLENAEDFARIVREGRPVVLEGLNLGDCVSQWGNGDYVARKVGTDRKVVIHESTTPAM DFTTKNFRYVTTEFGDFMRRIEKGDRLYLRALSTDKPTEKPAVLSDDFPSLATDFVLP PELALVGERLFSSVLRVSGPVNMWLHYDVMANVYCQIGGSKRMILFPPSDVEHLSFAP GASSSSIDVFSTLFGESSDSRYLAQVTHPHETVMTPGDVLFLPPLWLHTATPNSDSSI AVNVFFRDLEGGCYAAGKDVYGNRDLAAYEKGRTDLTRIANSFQKLPAEAREFYLLRL ADELRRKAKGAQ NEUTE1DRAFT_45024 MTLLPLLHCRRGYEKVAEAVYSVYPKKDMSDSAQTAVNLGSGLI AGFAAAIVSQPADTMLSKT NEUTE1DRAFT_117500 MAPSTPKVDAVVEKAKAAAAPEMSAASLYGRFALAGAICCSVTH GALTPVDVVKTRIQLDPVTYNNGMIGGFKKVVQNEGAGALLTGVGPTFAGYFLQGAFK FGGYEFFKQQSINLLGLETATNNRTAVYLASSAAAEFFADIALCPLEATRIRLVSQPT YASGLISGFGKMLKNEGIGAFYAGFGPILFKQIPYTMSKFVVYEKVAEAVYSVYPKKD MSDSAQTAVNLGSGLIAGFAAAIVSQPADTMLSKINKTPGAPGESTTSRLVKIAKELG LKGSYTGIGARLFMVGTLTAFQFAIYGDVKKSLGATSGVELAK NEUTE1DRAFT_147384 MVRIRFSRRSRGCTSFNTFHNIATPVSSPLPVETPLFDARREQE GVRFAHELYIAIRNQHFMRDCHCPVPTIYTSKEAALAATGHIRFYIADENPSKLSVLQ QPADPHWHCECRDAHNYWPVYQELLSRHHEPRLNDNGKRVAEAGELELISENVEHKAV ERVLPAAVDDSTPEQEPAPSSETPMESSWMNPFALATRALITMATPFTAVRNFISGFF PGETHYDIVDTRKVDDTNGTVSVKRLKRHCTPSTDAYSNELPGFSWADDAISYVGRDA LDHIANVFHHRIDLISRSLVTYSHSLDDLRRSFDPNKGEDLQLNIAMHKLMTDTPSIG PVLPTDSTKKREWRYKEARKLYLDGACTAYELMQDIYIQHNLDAYRVLFPKPPRTIAY GEDTKPEKNTRSLHEKARVAAEFISWVLRNHMHGMEGFEEALSKVFVDTNAIHKREII PSYVKPTANESSSIPGTFPTGPDAAFEDVPIDDLSFAYRWEFKYPSTDQEETEPASIN VKEFRPVLEPKSILKKPKKWPTPESPTKYVATPDRNKRLDFVAPVASYAPPKEVPVQV MSLKDAINVQWHHPTESYVHDRENNANRNAEPTTRDLHRFAKVDKSRSFTVSDMTRWT EKEVERDDAEMGLRVHEAKYGPFLSEIRQEMLENFQASGAQEHPKPELDFKARRQILQ KIRQERNEKTTQGARGEKKSMLDRLFRKAEQEDIPATEGAVSGPSRRGSNPSVEQASA TSQVQQSAADDDLAIATKKLEDLEVSRQLAREWENGIRRDIEERHREAERLHKEAERK RREEKRRQEQESRRRREEEDQASRTGLRVPKRPLIGSLSNDWEKKVSNVLYANSSAEL AKMLDGQPLTKRDFVEKLLEPQAWLNDNVIIGSISHIANAVNKSAGAKDSDPKCAAFT SYFWPRLVDAGPSQCGRLMRRAGVRKNNFFDIDTILIPICDGAHWTLAVVRPGKRTVA HLDSMRAGAGDKLIKEKLLEWVRVTLEDKWVASEWSAIDYEAPRQTNGYDCGVFTITN ALCIALGLNPKKSYTAGQLTLQRRRLAAVLLNGGFTDDFALDGF NEUTE1DRAFT_117501 MAPSKIRIATASPSTQSTTPETLSQISHLARRAASNHVDILLLP EAYIGGYPRGTNFGCVMGSRSAEGRDEYLRYFQAAVDLGDIVGEGGAGGLEKWVRREL VGDEIQGRVQEGDKGDKVKNKRGDGTREELERIARETGVFLVTGCIEKAGGSLYCSAV YLCPKMGMIGKRRKVMPTAIERLVWAQGSPATLRAVSTVIRGVRVNLAAAICWENYMP MLRQSLYSQNINLYLAPTADGRDTWLPLMKTVAIEGRCFVVSSNMCVRKDGDKQQAKT NGGPALAQQQEQQQQTGTSAIADPSPYSHNDSHSRPKHIRRQSIFDEDGNEIVLPCCN EQGVVEVEAEAGVDDEAVSTTTAKTSLSSAATPAASVPAPALAPKPENNGKTFKSPVI DRSTGAKTAEEFVSRGGSAIVSPFGDVLAGPQWEDDEGIIWADVDFEDCIRGRLDLDT AGSYSRNDSFKLTVEGLDLSPLPYQ NEUTE1DRAFT_117502 MGGRSTIAPTVVTDHETSCSMAAPSYGASSVTGTTRTVNIDSRR GNDSTFSSPAPSVRSLTTTLTTIQSLMPNGAAAMHNNNSNQNSTNTSNSPNHHHHNHH SQHSNSQVIHFNQPFPTTATPVSAIPAHLVPSGQGGNSPFYPTTYHTATANNLLTDNA SILTLASSSKRRRRRSFDTDASVRALAPSSLFGGSRESLPLSVLSSNMEGGTSGGITG ATTPGGLYRGPNGLANDRTSIYSSTTGILASDRNSFYAKQAIGGPGAGGDAASVRSGL LGHGRAESISGSIGGGFTSAPMNGSLVGLTAAASPSPLASPRDRDRERRISGGVGNVD EDVEGEERA NEUTE1DRAFT_123273 MSIQPLPGDVIAQIKSSTVITSLNGVVDGLLRNSLDASATKINI SIDYSRGNCSVEDDGLGIPPACFREEGGLGKLHYTSRYPPHPDFHGRHGQFLASLAAL SLLSISSHHHEHRSHNALTIYNSRIVARNTPALPEERLLAFPSGTRVTVRNLFGSMPV RVKQRATQIERLGTAKSLDQLIHTIVSLLLAWPNEVTVSVRDAFSQHFVTLRTSGIAN QSQDRRNLADELVLRASSLFAQASLTEEQDSKSWVPVGASVPGVSVSGCFCLVPVATR RVQFMSLGIRLLSNENESNILYEEVNRVFANSGFGVIEEAALNEGQPRRREGFTLKEL KSRKGIDRWPMFFLQVIMSGQEGSLNADNFLDERQQDLSIITDLLQVIAYEFLKKHHF RPKPVNALERLRSPRGSLPESSGLKRSGSPSSSQAASSRDKKHTPSGLARSTPSSSSA SSVNRHIKEGSSAFSTDGVVKSASTPTQSVEIVPWAQNPLFDRAGKLIRKPFEDVEPH PSSNAPFASRGQSRQSSLPPSLESSKTDNYVEWVDPTTNTRTLIDPRTGFVIRPHSAP GTRPRDTPKPRQKESLRQGGVPASRRTAFKPVEAPIPHVPGLYESSEQGAHHSCSRHS MGAVNVELTNGGALVTLQGRISRDALRTAIVVAQVDKKFIFVKLAPTEVDKVSPSSDV DRSVLMLIDQHAADERIRVEDLMKSYFTIVRRSDATESDQMMARTQSLQRPLRFDLSK QDGNLLVRYKAHFEYWGIFYEVFARQEHSTRFTVEVQSLPPSVLERCRLEPRVLVELL RKEIWRLNDNPGRGLSASRGISATEKEGERDWVARFHDCPEAWSCGWPIVHSRFSVHM GDRLWFPFSIWVVASFPFRI NEUTE1DRAFT_44979 MSAIIPSLPLPTSLVWSWTTILTVLVPSYLLLIRYLRFQRLHSY RTRFPHYFAPDGSPTNLDKMTLQDAHQIHMDLSQREFPYLYRRSLFFALFKTYSIPSI SSLLLATGQLSATQSASKRATDTEVLIGEIIINPPWEKRGLEALARMNWLHGRWQKAG RIKNGDMLYTLSMFALEPERWIGRWEWREVSVVERCALGVVWREVGELMGIGMEDLDR FGRKKKEGEEREEEESGLEWMEKMKRWSDWYEDTEVRYTESNEKVAKHTVDLLLLSQP SFLRGVGKGFIGVLMGKKLREAMNFPEPPQWQEKSLTWILLFRRWLLLHLSPPRPEWM VLHKIDKNVDPATGKYHYNVYELDPWYVKPTFWKRWGPSALLSRLAGAPVPGDGGSRF QPEGYHIHEVGPEKLREQGKEEIEEMVRDLERRRLEVLAKGGCTGSAGGGRCPMGLA NEUTE1DRAFT_84377 MSNHHENPLYNSYYGNAPPTTRVPLPSYPSQQINAQQYHQPPQH VQHVQPVQQLPQFQHPQIQQQAYASRSQSSQSNPQQQQYGAQGQGQLDQQPQQPQPEQ QQQQQQQQQESQERQTPEEPSEDRPAKKKQRITRACDACHGRRQKCQGFQPCANCIKK GVECTYNNPYYRGRARTPPPPPNDPNTRNFARTTDIRGKEIRERSWVKRACDICRDGR HPCSGTLPCDRCFTMRQECTYKKRNSRNRYEDLPNPELRGPGNGEGDAEGQAMRDDIA RHGGPEQDYLSLKLDRRYGEEDTPPLVFLQAAWKKLAQVQRTSQLPLDQPWDRSTTVR FPSNRQRWYQQQDHFFRSWNGTFHFLHRHTVRNWLEQVEKNFVARQELWYGVGHARAA VALMTMALGSLFRDAPKSWVRMNKKTGKAMKSRKMPPPDDYIWSLEYGDSLLNTALNL TDAEKGDPRLDSVQARLLQDLYLLSTCRLNKAWYTFGNTLQMITSLGLHRRVGRNRGL GRDIIKRPDYAKLQCERRTFWTAYIIDKQLSMVFGRPSHFRDDFIDQELPDAVNDEDM GPTGPVRAHKGDCYMEALVSHAKLNKLIDKLLHQVYSLREIPDQQRIDSALRIGKEVQ QWRDELPYLLRNLKPTLLLPLFQRQMVFIRIAHCHATMLAYRPFLTTPYPQSGELKEA TDYAIRECVDAARVSLSVVTGLGRTEDNAQFVTLWYPHQVAYCAAVVLIILPHIRERQ KLFGGPHYRGHEVMDGKLHKLVERGIKMLASDTSPYSPARKWAIILEELKREVTRQTA ANENTPADGEENGEEEEDEEAENEVEEADGVSPDDQLLEDALRAHWAAEMVGSVQDQV ADQEGEATTPGLTRRLWDNWTFTDWADLDSAVSWLFTCCLSCRANGSLGLWTYCRLC NEUTE1DRAFT_138922 MTLIEVPASKPSKANKSSESSYRLKTYLQSDPTVTERLMNNSGL DGIKGRIGILEAEVNALAQSSYGSPSPRSGSANSR NEUTE1DRAFT_123276 MYEMKSRGSHSKNLEFSNELPRSGTQLGEDEQWCKISEALQLQF CDLATQIKVRWRRRRDTPKCCVVNVNNDEGRLYTVIKKAMIGI NEUTE1DRAFT_84380 MEDVCISKLGNTPANSSTATTTETASSLLSRRKKLILQLSMARF ARKLDEWLDKFPEQPSPKTLKRPRGAVESNHPYNQDQDSAAATEDELSKDQHAQWQHY HAVTGNIKKATTKRAKHHGPEAPGGEGRKFACPFCQHNPARYRTVKTCCGPGWDSVHR VKEHIYRRHSLKNTCPRCYDQFKTDDDLKSHQRAETPCRLRKDNVPEVITDEQDKKLH ARAKAGLSEEDKWNDMYCIIFPGPAGSKIPSPYYDTSTPTPSSSSSNTSPSSTKNKTL TAPPTASNFDISSFKDLLRRELPRYVEPIFRQELDKMMTSVQTQMNQKADQLFKDVVF KFSRTWVWPATTTGAAGPSTTDNTAKVITPLSSPPSPSPSQFRNSPEDANAGAGVDGH HGDRNEEEGEGDEIDGVTFPENQQSPSWLDSLVETFDPSSLDLPFFKNGEVDLEACLE GMMGNGGDEVSDSAYGTLPASEGQHGSYGLGLGMGMGVAGMTGVMGLGGQW NEUTE1DRAFT_102103 MASRARICDLQLGIRLAWVCLLGIFSSVAAQDSIPVVISAAPEA QTTLPTGDVKGTYIYSYGDAVPVSCVPGERFMYSSSYAACCASKAQCDFAVGCDGSVL LFNGKPHSTWFPSPLTSWMDWVCTSWYEAVPTIYQEVDPSAVASVSSAYYNRFSSDSQ DQTTVEITQHITVTISSGIYTSIVTQPRPVSSSNISPETESNTGIVVSSSNKSENNLS TTTSNSTPTSSTTIDGNLIPETNARRASSRAWIAGVVAGPLLTLVAVGCLVFWLKRDR RRKTLAESGYSEPDVHAHDLPYIQSHFGQSTEPEKTSDAHPVCELEATEVHQGPFHGT GAAMRL NEUTE1DRAFT_84384 MANPSATPSSIPSWMERLDIISITDPSATPFSYLVTAFLLAVVV YSLQGPRFPKNIKHLNPKGPLEFSDTRPKKEFVHGSRPMLANWFKANPNKPCRVISDF GEAIVLPPRMANEIKNDDRLSFTRWTYKAFHGHLPGFEGFGEVSRESHIVQEVIMRDL TKYLNKVTEPLAQETSMAMEAILPKAANGEWSTINLRSKILPIVARISSRVFLGEELC RNVEWLKVTQQYTIDGFGAAEDLRLWPAALRPIVHWFLPSCQRARADVRVARSILDPV LKKRRQEKAANGGKAQHDDAIEWFERTAKGEYYDPAVAQLVLSLVAIHTTSDLTCQVM TNLMQNPEFIGPLREEMIRVLSEGGWKKTSLYNMKLLDSVIKESQRVKPIGVASMRRY AEKDVTLSDGTFIPKGGFVAVSAHDMWNSEVYEQADKWDGRRFLRMRETPGAGKENAA QLVSTAPEHLGFGHGQHACPGRFFAANEIKIALVHLLLNYEWRLPEGSDPKIRTFGFS MGVDPSLKVEYKGRQPEIEL NEUTE1DRAFT_65706 MQQPPNGGNGGNGSGKDNQGHHVSQGEYFTEEDVRRTSTASTRL PSLLEAAESPQPLARPTEVAADIPPPPPAAALSPISERPPALHPQPAPQEPQVQPRRP SQQPDLVSHRPAPPPPTRQSQGQNIPFQPTRQESAIRLRRLRTSSESSSRLGPSVISG QRRPSHPQFASQSRDAPAPLAATGRRRSSSDPQRPLSARHWADDQPIMHKSTPLVPEH EGGEVPQPPAAHLSPINESVLAQPSIKVEPEHPGLRHQGTFRNLMPRRRRAENQASPE DAQPQAEHDTYDSRIVDFLDVIDPEVAALSSITNVQNSLFVPSLGRFVNRRPTYDLSQ LPVLPPNLPGTSPPSQEDVHTIRTTRTATTAGEGETEDESAHQRPSPPHVHSFSTVLT APQYAILPKDATLEGWREEDIRMLNDYVRHMLHSKRSKFKQRMKAFGKYCRRPLGFLV TLYAVLITLFGLAWVLFLIGWIYVGDKQLYVINVIDNVLVALFAIVGDGLAPFRAVDT YHMIFVARYHLKTIKLRNRLLVPLKDPNDVPLQTQAALEQADVERAPVDPHMTEVRHD DTFIPVLSEKSQARFIHHQKKLAKSHTFYKPHETGTHHAFPIGLLIAIVCLLDLHSCL QITLGSYTWSTDYRTRKSAVTTAVLCCSIAANSTAGLLIAIGDRRTRKKDVLERLLKQ ELTAEVMHKMEKEREKKAKQEAGEPTGIMQPALTLTNKFRKSEDRGVRKSEDHGVRKN MDHGTSKASSSSESSRTDRKETAGRFNPTVSAAQVQDQEKQQGARNGTA NEUTE1DRAFT_138926 MATIRRKASFSHHEKVGDTIHVCLSDTIHVHAPKPTCKRRRTKK LPSLRSKPPVSITYPPLDPNTGAPVPDPLLDDVYRRQTLSELEEDLSKANAASTTEDG GYSIPTHGQRTQRLLHSLLQNASHPKTNYTPSSNTDTNSDPIEALYLNQAQATALIES SHYDPNVLLIVQDKRYFEPTPTVSLPFTSSQTQPKPEATAGSNTDRLQYRPITLFFDH LLPTLHRQVSVQIPSQPVTEPSGQLRTLRSVRARFHSEDMDAHEVHEKMNQKDDPWNV LDLSTPIPSTTPSFLQGPGSQMLRYIRSEVLMTAGSFGFISPPASPRVVKSGLVTPPG DARKYTPVLEGGSTPELESTATPEPNSNTLLFPNLTVNNRNFTPDPTFISTPPLNSIP SPAPAPNTPPNTTPSKKRGRPPNSKSHSKSPSPKKQRITATTPEKRAERLRASPSSWS EWTNVQSWSLLSQGGHHTPPHCDAFGFDTWITVQEGMVGFGWLSTASSTPESKAEVFG EREKWAADPHQYAEGPRWRFVILKPKQTVFFPSGTVHFVFRLKSQDSLSVGGHVLRWN GVERWLEVVLEQMGNGEITNEDMGWGAVRRYVDVVGRLVARREKEGGEKKVGEEWEVL VKTFKDLSKRRKCQ NEUTE1DRAFT_65709 MPNNFSMPGGNASGDGESDRAAASQLLLAQLRRQQSSTSPNPAA GPNGSNQYGQLAYHGHHGHGHVHNIPQGGQPYYGPDSPGLNASFQNPLDSAAFLPEAP TPPIHAFNHSQFPYGLMQPIGAGRGVPPAGAGENRTAQLLNLLKLGQGSSSNQNQPQF SQEAQPSYNTPPPMIHAPAPSGSDPSGFLAALMRGAHPEQPKPEAAPASSSSWNQPAP PSNTQQYLLNLLSKPKPSQNDTSEIVESSFLTPPPAEDDSKEARKPADMTLPETVPSR SQFDFEPQGLESTPPKFSSTPQSQHSQPASAARSGIFSSQHDDQLASSPSQRTPKSSA GSAMDPNVSRPPAASAMQILRKPDPNDPKRPLSDHSSTGSPDHTRRKLEHVSSPHGFT TGAPRVAPNIITVSPGSEAKVELGQDHVEGERKKESVAEAVVGLAEQADREAQEALAR AEGQSAQAEITQDLEQMMSAETDDEFARSAQVAAQAIQKQLEKDENKDVLESALDAEV AKEVRSIVDKAAQAGQGPVADSWESAEADEIVAIEETAAPIKVYNFPLKPWITISVQE TDEVRPVFREEAILDIARLKKDFDQIDRNLVSASESYMAYGMSKAGGLRVIRQEDGKD AKLFTDTKDRIFNVAISSSASNQHPKEAIIGTGVSGTVYWVQLKNGDRDHLEDAHPEQ YGFALPPLSSQEGGDAPGGVLKTRARPSSMHPDYFAVGRGKSINIIWPSFIFENNLFK NAHDRVVDTERLFQQCSLKINTGKAGKDFTFSQDDTLMVTLDKSGRVKFWDVRDLTAV REGSDPLNPMPAHTSLEIKDPLMTLTTTPEGEKAWPTSVLLLDKYRPYQKRAALRYMI VGMKQNHTLQLWDLALGKAVQEFNFPHNKESDAVCSVMYHARSSMIVVGHPTRNSIYF LHLSAPKYGLKNLSQVDYIQRLVAQDPSIPQPEATAVISGIREYSFANKGVLRSLGIL GNPAGSTDGDEANLFELYAMHSKGVTCVFIKNGELGWSKDNKVICPADAEKVGLVKIS KLVAPVQPVEVQQALTPAETSAPPQIRIATRPNKEILQKTPSSQGDDKKGIDSVSTVK PDRKEDIETPVQNNNQPERAEKKGRKKKAAAAAAAAAAAGGDSAVNGQAEQQAIPTIG RTSSQVKARSARNADSSALNLPSQFSDLSLNGSGISQEQLNTVIADLESRISNNMNSM PGRMSGVFESSCNDMFKRQREQLHGWLQDWMRNFMDSRDDLFLKNQSAVLQVVSDVLN DNTETVLKSLVVDQVNNAIIPAIRSTVEKVAKDQVDSSLNKQLPAMQKEIEKRLPSLL TQSLQKADITPSLVDKLADQVLQKVATRLDVNIANQLTKSFSEQLPVLSKRVAEDVHQ RIAGDISTRMGESIARFEERKRDDDAKLDRLIAQNTELSTALAALAASQVQMQKEFGA LKQQLHEQNRDRDFSVPEPTHSHTHSRASGSVSSHQQAGGPSRDLVTYAPSQAHQHQH TPSIHSMAQHQQQQFVNQEQQALFSPTPRENREKMELNNTLETIDRLMSAGQYDQAVM RWLQAEDKSEEVFQQVISKYDPRCLSGLAPIFLLSVGASIVSNLSRRSPKIQTKIAMV ETIVYAMAQALPHLEDQVVEAVPKVMSLIQAKMEELLLAISRESPHDASLKTLSNIAH LAGRVGESCQPSRQSLGHPGAPY NEUTE1DRAFT_117506 MRNGRFWLSTQVGIQTTTNTQRWCFDFLPQAAFRQWQWQRNRRL ETSKALKRCH NEUTE1DRAFT_84392 MFCLRSWLPLLFIPTNASPAFILVFFICTYFLNRPCIYCSFLLV ILFLTSCNWSDRCFFDVSSNWFQPKEPNSTTTHFYAPTNNGTGTAAMAERPNSTAAVA LDMFNTTVGTLATAAMDKAARTRVEWTGLGLEWLRSLLGRREWRIDCLDLYIRL NEUTE1DRAFT_102111 MPLCGFGKVSHTIATLRKASTEARHNTAVSSHSRRIITPSSYFM IVTSMIAIRGVTKFEGGNRSALTPFRAVPCPALRGEVGNSRTELLCKPFRWPLQPLLL YQSYILMPRG NEUTE1DRAFT_102112 MRFRTTEWTSGHRLRGDKPTKWPHCFNMHTAHIRQACTGIRGRV PYRQMTVTAFRFRPDYLETYRTKELPFPTVFYHSPLKLKARLAQSSPACVKIREAINT KIGQRPKHEHGAGKGEAYSKEIRLDTTLSKQTSHHW NEUTE1DRAFT_102113 MSDFQQRSPRRDINRTDPASRQWPPLYTSQQNPKVRQLCRSNPD IRLAQNNFPRLATRNLASFSFDLDAVTAPRKRPRY NEUTE1DRAFT_44566 MSQRQSRVGPALERKSTGKAVGFDARLWSESGQGGTLRRHRGGD RGGDSWRGAGRSCTIESARVSSSRWTLRGKTMYRWRGVKLTGTWKRLPCLNSGEAGKV DLLSQQQEA NEUTE1DRAFT_65715 MKRSREELFVAERRTRPRIFVANIAMSPNQGTNTHSTTTTTTTY LTMKTPGAHSRSNSQSYFTHKPTGTPLASPRIYSVGQPYPPRLSPDTSAQQQPAQQQD ARIPSPNYFGLSVDHAADPLESAVLPSENWSSPSSSVKSFAAAMPKPLPLDANPDFEA FRRQIDANLGRSSFSLSGSHFNITMGPRTPGPTTPFGFKRPEPPKRHNLSLGAEPTSG HFPRLSGLGLHGPLPNLKLNKDIQNLKQPGDNDSIHDSAYISGDSKRSSQASLNAPSF FNMGRHESPAQIDLPFGTPVEWGKSAHSISRVDDRHPRLSMSSTKAEPPMQQRRADTV PPNPKAAANNDGPAMIDPARLKDLMDQGDDSDLLLLDIRVSPQYAASRVKGALNLCIP TTLLKRATFNLQKLQQTFSANQDQDRFSNWRNAKYLVVYDASSSDKRDAMGAINMIKK FTNEGFSGSANILRGGFRAFAETYPDLIDRGSSATTTPALSLGQGAGAGLGGANIPPV IGGVMLPTTGKGPNPFFNNIRQNQDLVDGVGQMDIGLPQELNKETLPKWLKEAADSGD HGKKVSEKFLHIELTEQSRMKDAYSVFTPGANGDPKHHGKVQLSGIEKGGKNRYKDIL PFEHARVRLQGRQEGECDYVNASHIQASRSKKRYIASQGPLPATFEDFWSVIWDQDVR VIVMLTAESEGGQLKCHPYWKGKDFGPIKLRVLSEKKVSLDIDKHRQGSQAGVPTTSE SASATTNASSGDATQGAFPWNPTQAGGADGGRRRANTTTVQPNLDGTQQPATALAETP YVIIRKFALSHSAYPFLPMREITQLHYPSWPDFGAPAQPSHLLALVELANIMQRAAPA LESSGAAPFDEIQVDVKNFTNRLKRGDSLPLDPGDAPEPNDHARPMLVHCSAGCGRTG AFCTVDSVIDMLKRQRMRKTQKADRRIDDRFGGRRISETDGEVDGVMDGVKPFKRQAT ENNRDQDGDISMGGQKGLRFDHGPGGSGGMKNLDESKGFDFGVPPAPTEPKDDQGIDT TWLDDDGLDLIAKTVEDFRGQRLSMVQSLRQFVLCYETVIEWIWRLEERSHHTSAGGA GGRRGSSRVRTGSLAL NEUTE1DRAFT_117508 MALDNYYHNKIEAMKLEILKGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMSTKKVLVKVHPEGKYVVDVSDNVDITKLTVGKRVTLLSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKVIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRKMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFELATAKILNKHDDKEVSLAKLWR NEUTE1DRAFT_102116 MLDDEHLQGKVSMRAGSNRDGTAPEHDEDFFGVWRDSLDATTQP STAACGVDDAAGSDIISKGFTFKAPLQVWSHEVTCNPAKHEPLPSIGTYLILTWRSDT NEUTE1DRAFT_84402 MGPPSQTGDEDRPDPSPTNTRRNSRLDLINTTETRHDDAGMNPP DTSYHSTDIVRRLPDPMGPAGAANMANVEGAPSITASERERTVSRASQTGIPRSPMVG PDRGRPRPRKPPMARRTSSNAQAPHRGEVFSVDDDITEVEADAAERQKSYSGSTARRR NLLPAPLSRVHSILDEDGANDSRDRDTPDVIEEVDTPGQEGELNLPPPAEEDSAEGET PVEDDDGDISDAESFTLKDRQQAINETHPFGIRLWKPALYKKHRSVQKTAEGDIHSSP GGHVSRWLLFFNIMWTLAFGWWMSLIAYTGAIVCFVFAAAPSGREYGRVLWGLAGYLF YPFGKFIRLEQQDGYLDEDQDEGRSISEYEQWQSGDLEDGRLFFGPERDRSIIGHSRR SLDSEPDETESLLGRGRGHGSDSDLPRMKRRLFGRGQWNVGRVIYFLFFYTMIMPSLF IVSAICWFLVFWIPMGKVTMLLIDHLRRHPLALWFESDISTARASTTPHSSILICTYR AVGIKYWKYTIDGTNIFLVNMVAIVIFVIFDWIVLEGVLEIHNFFTSPAFLFVTGLLS IIPLAYFIGQAVASISAQSSMGFGAAINAFFSTIVEVFLYCVALQQGKAQLVEGSIMG SIFAGILFLPGISMCCGAIKRKTQRFNAKSAGVTSTMLLFAVIGAFGPTLFYQIYGTH ELTCQDCLYFDHPRGSVRDCRRCYFSQTPAMNDRFYLEAVRPYCYIAAGMLFLSYIIG LWFTLRTHAAVIWNTDADEKKHEETTGRNSARPSAVHTSLGDTTGADVRDSHLYKRIL GQSLRQVGHQGPSDEPQRPGSSVSGGAKANGTPHMVPPKSTGYGATDSQHSSTILNIP GFSDAENNNLVHQVAEIAATAATVAARNYSSQRRTSHLGGSSITGQQQSAPGSRRGLI RTATFPDADEAGGESTTAAHPGGGHDAPNWSRLKSSIILMGATVLYAVIAEILVDTVD VVLESFEIDEKFLGITLFALVPNTTEFLNAISFAMNGNIALSMEIGSAYALQVCLLQI PALVLFSAIYPPDVPVADMARYTFSLLFPQWDMVTVILCVFLLSYMYGEGKSNYFKGS ILLLSYLVVVIGYYFSGYSTGLEKSNSGISRFDTLGDDGQYMSYGFKTVGRGTSGVAY NEUTE1DRAFT_44974 MQSWATLAAAVTLLANGAVAQNMLRFACSQLVVDRVDPLVNPGV RYTPHLHQIVGGNSFNLTMDPDSHDLAGQSTCTSCSFAQDLSNYWTAVMFFKAKNGSY HRVPQVGNGGPQGKLVNNGGLDIYYIPSGKVTAFRPGFRMLAGNAANTDPKKVSSGNI CHRCWTSTNEGNFVGGAPCTGSDTVDIPQDTNCKMIRQTIIFPTCWDGKNLDSPDHKS HVAYGGGSGANGGGSCPSTHPVKLPQIMYELMWNVTSFADKSMWPESGPAFVYSMNLG KNSGPAAHGDYVFGWKGDSLQKAMDKGCNLNKDCPSAGLTAQTPAQYNACTMKQQAPE PVDGWLKAMPMGEMAIKA NEUTE1DRAFT_123288 MDYDRTSLEWSERDFSNFTCQFPDEKTTIAVLDFLPSSGHPCSG ICAVVEPIFDDDEDGANTQSPVSYLPFNSDDILSQLVHEFHLHPRITRTISRLSCYFS AQRHYSDDDDLPRGGKERRPMITCTARTSSTLPNDMALSTTYFTEIDLNLAVFYGCNE RQKEDISRRIRSCDLKHNHPLLLPGLFFELERIRLVDQVENLLDNFELRNNYEYGQLE KVGGARGLDLDMDKKRMTDVLKSTYRSRELVSLIMAVKRQLKKFETAMDMVETSVKSS PSSAFSSGCLTRTPSATLSSAPGSRTMSRSTTLEVNSEENYTQQGLPRLSGVSGYLRL QRAGRMIRERLQDIMFEFDDKINDCHLVKDNMSLTMQTVWSFFSLQDNQTNLKLSRLN TKLAHANTGLSEEMKKDSSQMRSIALLTMVFLPMSTVATIFSTNFFSWDAEEGQSVVS KYFWVFIVVAIALTCIVVGAWYTATCAKDRKGHRYCCIRLPFGALFGRKAGSTDDDFQ PHRKSSRRVSEDEERGISLDL NEUTE1DRAFT_45366 MLRHNFRLIRNQLVGWRLSMSACIEHVRRSWRGRDGRGKFSPIP LTHRVRVTLTDRIQHLRTSSVPESQPPLTLSNNLQYLSQDRETPSAFDGGFSLTCFNN HPETSRPLSGSSFVTRSSGKHQRHLRSLAQHRRS NEUTE1DRAFT_84406 MLPSSTAVSLNQAPPAPVQWYDHVLRKKYRDPLKLKQALTAMYG EGNYRIKVRADRWIVTIPKEMSNIEMDELENSVYLHY NEUTE1DRAFT_102124 MAPRVKTIGLADPRVDKCSDRGNHYYDDDHPIERPNTDIVPQDS GPDIFDIIREELETQEVEKGKGFFIPRSSLQEIFTPGRLLAAIQQLWKDVPEEDHQRL CIRVLHESHDGNNKEVTFALKRLHASDKEAFDKELASLITVKTQKSTHLIKLLTTFSV VEEDGGSEVFYLLFPWAEGNLWKYWKVHQPSNQQERLTSALWMAQQCHQLARALLCVH NEREQTLKLYEFPAGKDELYGRHGDIKAENVLYFEADGMLVLSDFGLGRLHTKYSRSN ADPKALEKSATYRAPEFDLARGRISRASDVFSLGCMFLEFVTWQIMGYDAVYNEFPNH RMEEDITYGFESDIFFKIEGETAIIKPKVHEWMVQLKQHENASEYTKQFLEVIEEMLS PYPKDRINVVKLERKLDLIRKTCENDISYYGALHS NEUTE1DRAFT_138938 MALYRTTGKLSAVMGQAKYHAAAEGGGTILEIPACAVTADELKQ ELQRRLQTSQYTIQLKRDRYSVTLPLHGKQDILNTL NEUTE1DRAFT_102126 MAHKNILDFDLPRTRRDSGIITPSLALNSFLEYFENPDAPIRGA IVSVYQAGAWLGSASVGITSDRLGRRKAIAFGCAFGVLGGALMTGAAHVAMLIIGRIL VGYAVGTITGVAPVFGAEIAKSKNQRSYPDELSRPHPKSWLRVTDLRVGEGKWHNPNQ WRLGFAVQSIPALALGVGVLFISESPRWLCLKNRHAEAEKAFRSYHHNGSNDEWCREE FRRLQANIEIELQARGCLSWAELLKAPAFRKRLFVGSFVWAAAMLSGISFIQYLQPAI HATLQYNQDQQLLISGLYGSVAPVACLVSLLFVDRSGRKKILVFSASLLSLCYLIITI IAAVFPARPGFPTDEAASLPAGNITYEPELGEANDWASWLTAHQIFFVLTNALCAMVF ALVYPETRGKSLEDMSEIFGDIKRRTKPELDEVHSSPSGKKDSGISEYAIEKTESKYH RDRRSDSFRV NEUTE1DRAFT_123290 MTSLLRGPSAMAQGGRLNQPSFKAGTSPELAVTNKPAQDSYLQD RSNKRVEFDNLESNTSERTEWEERYFKPRRSDTIFSSFSNASTVTNTTEPDPLTPATA SFEITTLFQYPSSPSLMESRCGSPAPMTFHAGTYFRSEEGLDSHPVDMTTANFTDEGS SSPRHIISPNLKPRRTFREQDTLRKNLQDPARHLPKWRSKDSMSKHAVFHVGPPSDAT HPEKPLVSQITAGLRAKSAGDPNIVMPVRPKEESESLVPAAKTFRNLNLPDLQGMQID ETSGDVRADATQESVPQDVDTPTSGNGSSPGGLSPLIMTPGLDDPEDIETSPIHILDA GLIQDVCEQILRQAFDTEYDDIAHTDAAGEAYNTVSYCLDELSRILPDESLFSSAICI QELPRGAGHIAPAQQEGSGSSSGTGRRGGVNNGSQKRPNDDGNGYPSRGPEDGSGPGD RGLDGGGNDGGNKRARITTELGDQGLSCPFRKRNPERFNIRDHQQCAVRPFDNIYELK CKEDMRSREAVQAHLAVPRDSICSPQEGIASRNPEDGITDRHEFLLNNRKADGKIDNW QDLWHNLFGLDEEVPDPDFEPPVEREEFYRDLQNARPQLKDALTSNEELRRFDNQRSE GHHVEQLVDIFYSHIGKVFDSSRRQPMNISRRQRVGKRTPRVEPSNSASPISPVTQKP ARRLDQLAVPRQMVRKRLINGDSNGGSLASSLETINSAESWANVGQAEMVTRAAPGID SPGSVQSRQAQVAQTGVGVGVSPSSFRQARQHDLFVTPSPVTPPTPINPQVGFGAYGN EVVNLSQPAQATRFHHGRQDLVDSGIEMVDYCRPTQDMNGLSDNRRAEARGMFGPSPQ PQQHRPAEMNFGGPLPQHLAYQQQPGHMELASPDDAQMIMGGIGKSDMYGCQITLCCL CARTNPSFRSTNKSDKRDSPRPALYRELFEAQESTNVVFILYSKHLFLELVRYLLCLE VIVKILVIEGLWGEDDVRVFANGYDGVNGELDLAGDEGILGDCEGRGGDGNGS NEUTE1DRAFT_65730 MMDRGSMAARKSTLYLLYIHMEAIGTRDSMVYRGIIGVKGEASA RCLADSPRLHSAPVTKNRCGWSEAFDIIDRIEEKMRSGIEDWWVSPLLRAK NEUTE1DRAFT_138941 MSTYYSGGAGNTGSGNGSNGAAVGPTSGAGDLSAPAQAPKRREY GSMMNRYIHDTTTQVSDRVSGHQDPISNDRHRLEVLQRVERRRCEAERLARIARGN NEUTE1DRAFT_84413 MAGGKGKSSGGKSSGGKTSGVEGPKKQQSHSARAGLQFPCGRVK RFLKQNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIR GDEELDTLIRATIAFGGVLPHINRALLLKVEQKKKAKAQEA NEUTE1DRAFT_123293 MPSNPNAKAKPPRLVLFDIIPELTIVTGSISEMINSPTKVKPQN SPNSPITDTFDGSGTTTTNNNDTTKISPTQPSPTSPTTNRKRDKNGKKRKRSGSGRKG GGPCTAEFDYLGWSAWGSYRRSVTGAAARRALTVGGGDDDDNSGKKKLGSSGKRRFTS VSLSPRPSLSSSSNNKDTTTTSDSDSSQSANDKVAAARLQDFATWHLIPVPPLDSSSS PSSSSPLGPGSKSPRATTLAWHHVSERETRSIARAKEKMYVERTHNCWSHCDYPSECR QTVIAACEEGRAKIDRKAKKLVWVNDREKDAIEARRRKERERERETMKKALGARRSRS GQEERERRMGGQRSSGRKGRGHGGNGSGSVREKKSDDSSSSASTSSALGSSSSSSDAS GSEDEQLLVKEATIKFDWKETMIAAQGTQKDPEDDNTGNLTIATLEPYSRLDGCSSRQ DCYITMVADEMTIDPAKLLKDDLETIPVSPVSPLAGGRLALGIANPDIYESFASFI NEUTE1DRAFT_130678 MVVTNQSAAPAKTENKMAVFSLPSGRTISYELTYSNEPTRPTVL LSNSLASQYHFWDHIVERLHDAGYRVLRYDHPGHGASGVPDNLSSTTFASLAEDVYAL LTSPDVATAFHGHHSPDAAFTPSLHAWIGVSMGAALGVVFSSRYPGVVQRLVICDTIS ASPKNAGMSDALGPRVAAAREHGSMEKAVAETMDRWFGQDWIKANPAEAERVHTLMKQ TSLDGFETCIAALRSDSFNIRPLISGLGNCVQRVLFVVGEKDADLPEKMKELRDACPE NLEAELKVIPIAGHVSFIDGKEDFLKAVMPFLGEN NEUTE1DRAFT_117512 MASWLPNREKMWAIFETEMVYRLQQPGIWNVTVFYGHSHCCDCC QEFRLRYRGAAETWSQE NEUTE1DRAFT_44710 MVISAHTMPVEVDASTYTDCRVVPVVCHLTQDKAVSPEEQCEFS ARVVMGIASVPRVIDKISGSAT NEUTE1DRAFT_84419 MNPQPDISGYFPSAYPMNNANRSPGSSRPGYNTAVGLTGVSRMT QRQMEAIGQPSAALFAAAAADDRFASYDNAAFRHNRLQPAAAFATDSFNGNNQAWAYN AGANTVNGALGDNRVRNGGRRALPTEWMSEQNGLGSHALNTPSTQYSSTFGQSVGLLN GDGNFAGDRHGYPGSMYDPRHDSKTLGSDLIPTAIVIKNIPFNVRKEMLTQIMTDLGL PQPYAFNYHFDNGIFRGLAFANFQSPLDTQTVIEQLNGYEVQGRKLRVEYKKMLPEHE RERIEREKREKRGQLEEQHQPIALHSQSSMHSLNAAHTSRSRNSPLRKSSRRDLLPPN SNQDDVTGDVDLNNPETLKFYTELTLFRNDPNREIIIFPASITPQQRRTVHILAHNMG LEHRSVGEGPTRQLHVIKDTATATLASMAPPMHISPGVSADAHRRGLSRAATIDFAES RANAPGQFATLGRQGRHGPTLELPDSPDGGINALRGVKSFADLRSYTPSPSLSASGFP QSSLHSSSIAQYGEYSASLGGPNSVMTTPTTPGAASNKDTSLLISDLGSLSLSEPFNA NRLRPRETPGAIGSQRSPLNGNSTRSVPERQPHGPSSGDWGESIGFAGRGRTNGHMQR DSDSSENGTRGAATSTSSRFQ NEUTE1DRAFT_123297 MDTPYATEISIAIPTIQLATRISKHVLASANKGTIEKAEDLSPV TVADFAIQAYLTKVLSSSFPTDSFVGEESAAALRDNPDLLQRVYDVIHECVDEVSSSS NDKNESHAVIAEVVKRGAPESKDQVCELVDRCGDGGKDGLGADSGRTWVFDPIDGTKT FVCGEQYAINVALLEGGKQILSVVACPLLSRKATAPVGNASVFKGDDDEDGEEGCIVY AVRGFGAYVRPLFVGQGSGLTMCASESLKRHADGVTVSGLRSVSCWNTPGSGVDDAHK AATERLGVEFPGSDLLGWVPRWVTLALGLANMTVWVYKRRDRYAKIWDHAGAMLLFEE VGGMITDVDGKEIDLTKGRKLTGNFGFVAAPRSVHHVVLKAVRQTLKEQGKEALLTAA NEUTE1DRAFT_123298 MAVSQKLLVIPKWAEFQEQAKGGVIIEIHSRHVSAHELKDTLET MFPHSKYTIQLRRDRYSITFPERRKCDLDQVLLGPIPSGQQSKPPITAPTSTPLLAIE SIEELPSSNEDTTPMIGPQESPKAIPHGPKSTQREGVLHRTRCLLLGIAKCARSAATD FVRP NEUTE1DRAFT_102137 MLLANIGLASGLADLRLISYNIRYATTLLSTNEEPWAARRPLMA SQVNFETTNCPEALICMQEVLNEQLVDLQSDLGSNWNHVGVGRNDGAQNGEYSPIIYQ ADTWQLDRNKTYWLSQTPHVFKHRTTGVPLVFMCTHFDHIGTVARNESAKLIIKISDE WMVQGSNSTKPAPVFLGGDLNLEPTEEGYQTLTTPGAFQDIKDLVPKTHWYGNAKTST SFTTSTLDDIRIDYLFVRNPLGTQFSTYGVLTNKFEDRVYISDHRPVVVDAEMLA NEUTE1DRAFT_65744 MPTEFISLTFPNASTEINPIPGAGIDPAYLVRYARTLDDYDFNY TLVPYDSSSYDPFTIGATIAAATKNLKIIIALRPNTLYPTVAAKALATLDQISSGRAV VHLIAGGSDAEQAKEGDFLPKDQRYARLEEYIRILRRAWQSAEPFDWDGTFYQFKQFS NRVRPVNGTGIPISVGGSSEEAYRIGGALADIFGLWGEPLKETKEQIDRIYQAAARAG RPNSDRPRIWVTFRPIIAETDELAWQKAYHTLDVLKANQARVSGGGSQASDSKAQVRA PTPQNVGSQRLLEIASRGDVHDRALWYPTVTATNARGASTALVGSPQTISDSILEYID LGAELISIRGYNNLDDVIDYGRYVLPKVRSALQKRKNEGVDSA NEUTE1DRAFT_84427 MSDGSVLDMLKPSPDADLVSKSQIHGVEVVKTDENRTEPEQVLL EAGTAGFTSAEAWSESVELGDRNWPRGHQKHHP NEUTE1DRAFT_117515 MTAYRPNPFRPTMPLRVSSQPHTLLKTPAIRSALLPRVVPGLVV FGAVYTVVSYVRSQLRNESNTLNRVFSQQNTPSVMEKRKERYLIETEGDPRKTIYNVL NW NEUTE1DRAFT_111277 MEVLSKDKVNRYLKFKLTARVIPKGRLPRLRKAKHSFYLSKLFN KLSKFSNLLYIGAIRFACPLKIKIYKAFTGLTYIKTLKITIFIPKTTYFTYRLLDPKS ACLQNGIFYMSAIGLQKWVRLSNGEVTVRDLRGIHAVRYTRQVYKIDRQTSGQATS NEUTE1DRAFT_45813 MTKLEEMLRVLKRRNFAGKNGIYDIRYYRLSGPTILGFWVYIGN GVGVRRVTLII NEUTE1DRAFT_111278 MLLNEANNRVYINMLINASLKAIYLSKVILIRRAKGKLRNPGTI RALVRRNYSQYELGPSIKEMDTRREQALRKRILCAHENYVGHAVCGSRIRTTVRVILP SI NEUTE1DRAFT_43869 PLIDRYAAPPSLALGGPMDYDLWCGSSMLPFGLRERSSSKCIGV SIRIIIRIRSLVYCNILYSL NEUTE1DRAFT_43920 INPVRIVFSFSGSTITPTRVISGSSSTIISARTVSGFGSSGIAP SNPMAFSTPSRPVINKD NEUTE1DRAFT_43913 ITKLEGMFRTLKGRNLASKNGVYNVRCHQLHGPTFPGFWVRLGF GVGAPRFTLSNLLSI NEUTE1DRAFT_44593 IANFITNKRSTLFFNNKIFRPYTIIINISQGSVLLLIFFILFIT LLYRKLVIILNIIIINLADNINIIIIIYITEENYWTL NEUTE1DRAFT_45656 YKVRDKVLFNIKNLNTGRPYAKFIPLFEGPFNILKADSYWVILK LLINIKYNLIF NEUTE1DRAFT_44315 NSINNYVILKVLINIVRNKNKKVIIIRVQPRKKRDGENRSLFLI EVATSKAANFL NEUTE1DRAFT_45276 FIRLLTSDIAFLILLVRKLSGGIRIYINYKGINEISLKNWYPLL LIKEMLNAICRLIIFIIRFGLYESLIYLSRLLRNFINNILYNIFDIYIITYLNNILIF LETYKDYVKYVREVL NEUTE1DRAFT_44154 NISIDYVTPFSKLKRVGRGVKYKYILIIVNMLIKIQYFIPVEGL LIGELVEKFIDRIYTLYSLLNTIIFNRSI NEUTE1DRAFT_45127 MLPSISLLLAAALGTSAHYTFPKVWANSGTTADWQYVRKADNWQ NNGFVDNVNSQQIRCFQSSHSPAQSTLSVAAGTTITYGAAPSVYHPGPMQFYLARVPD GQDINSWTGEGAVWFKIYHEQPTFGSQLTWSSNGKSSFPVKIPSCIKSGSYLLRAEHI GLHVAQSSGAAQFYISCAQLSITGSGSTEPGANYKVSFPGAYKASDPGILININYPVP TSYKNPGPSVFTC NEUTE1DRAFT_130683 MPVQESIIPMTSENMSKTGPISLQVLRDKTREIGERNAQIVDAL EAALNINDDALIPQAAKRLADQLDSTILTRDDPADMESEVEDARYNVYTTLLAAVQLV PAGHEAHHRLALVLHDLAGLDGHPAWQRLDGFAICNRDAWQDPTLSLESATDPEVHER WCNLNAFLAILLSTGAINWRELPIWELRDGLEESVEEFSQEGKDTRVVVATLWIKHAG KFIWEHSLSGKPGNLDETDSRMLRAGELYQGQPGYSRDRWDFWKRRLGELRSHVSESR SSAIDEAIETMTELESKS NEUTE1DRAFT_147410 MEDTTPTPTTPAAVIASAAAVAPLERSVSQQSVLSTTRSHRSRA SSTARARKRLTSYPSSCASSIAPSDKSLTSFPSFSPESPRQELSFFELNPSSLSPSIS PSRHSRKLSDPVSVADSVGNDSDPRQTPEAPQPQRIRPASTVENLVTAESEPDTRDAL FQDVPLTANKIPGSLHHADNAHIERLIARHGAVNLVRQISRDLAERDAQIATLRRRAD DRERALRKLILECGLSTLDLETRLRTIEQDAKQTNLARRGTAGGGLSDLMNEAMAHDL RAVGASTGLNDATIRATTISVPPAADTGTTKGMTKGWKDFLWGTGAAGKNSGRPSSVT GASATETSKTVLRGSGLGPEQRRPTLADGLFNPPEMDSTDSVRSSSRASSINSANESR KSSLASLALRLVAGGATTGRNGDMRGRASTVLQPGGSMRTPSTSSRAASSLSSRTVSG AQTGPRSFMAMRRATGPTKPLDVPQRYQQQDRWDTMGSSPSRDLVSRQQSYGPVEMDT ILPPEVQPPTLTHIYNNHLGSEFLTDRFGFIYDQRRKKRQREATRMARHAKKPSRTEM LSTGRNRLSPAMLDETSSGRGDTANEAGPESPSAEEQRDDSKPRKWQDYLKIATFPTE LLSHTPLITVPGFELMEGGEVPEAPKSPGLITSEERGFVPSATPAIAAIALPENEDQP SPDEGEQQSSSASMAKEDAEPVRLLLQNLNQLHDTLQREKTVRWNDFLRKVRAERRRD GEAAAAAAAAAAEARFQRAEAVMPETRLGDGELVGVASLGIQGKVGRAKANEFRQLIL GGIPVAYRSKIWSECCGAKALRIPGYYDDLVNQAGDQDDPQVVAQIKADITRTLTDNI FFRKGPGVNKLNEVLLAYARRNPDVGYCQGMNLVVANLLLITPCAEDAFWILVSIVEN ILPPNYFDHSLLASRADQQVLRQYVSEVLPKLSAHFEELGITLETMTFQWFLSVFTDC LSAEALFRVWDVLLCTHDGGVFLFQVALALLKLNERQLLACDSAAHIYSYINHQMTNH AISIDGLIQASEALRRVVQRDEVEARRAKAVDLEREMSLAREKRREEMQQQIKRLAEA QAPKTAGSPAVADRAVTSVNGYSDDLNSPMVEADDGITGTIQAAGGITA NEUTE1DRAFT_44265 MALVVAAKIMGGSSGDEECGCYMEAIEIRGDSPTAEAKQCLQSC RDQFLRTVSIDHETPEGWKYMCKDLTTTTPSSRFWSLYWCDTTFCGVWINQTGGLQQD HGSIGFHSVLDPGPPPRGFVCQTSKGGVGPCSQTGFKINPVSAPSPLVVQEPISIPST SGSTTFRSATAPLSLSTSSATPTTTGDISLITDPSWLSATPARPTVAESFGVNNGTTT LKGGLSMGVKAAISVCSVTGFIVMVALAFLCLHRRKKHRCGLDDVRGQSRSRFDNGVA PRGRPTPLIASSYDSRPLIPPLRLRDRRLLPSILRSGHNQSPSPPLTPLTPAHSHGGG HSNVTFPSSPITSPTTNKLVPRHEGMPRVYGTTGSLPGPPPPALFEMHGFGAPESRGS LSSFGAGSTTGPSSLRNEAFSLSGTTSPPPTSPTRPPRPHEEPLAIPDLVKPASFPFG ARMNRSIPSVPSAPISPLSHTSSLAMSGSSVSPMWNQVRAISSPRDERESGNHHATGE YSGKDRGSWGSWSDNGYGYGYGNGSGNGANGSGNESGRKVTSAIVDSFGHAAGSLLPV RGSRFISKGGVSPPPRLPLRPMAISTMSSPVVIRDARGGDLGPIGVAMTGGPGRTGNS AAVSTLHEEDGGLF NEUTE1DRAFT_102145 MYQSFKHAKPAGKRIQKQTPQKSHSASQALLDYTQLHNTKDLYP VTLPRIPLGIQKRGPQSVPSLLQPGSIHNLVKAGPYDTYVTAMFSAEQLGSSSADSMR LLCCEYLPTTAVYPELGSTTWYLCLAMHAMGVSYVTLYQPYGFSRLMD NEUTE1DRAFT_65756 MSESAKQRVQAIGNHLAANKVIPPVVKMAGDSNGPRVTGKVVII TGANSILGIGRASAHQFAQNGAKAVYICDYDDSNLEEHKREIETLYSSANVHTRQFDA ADEKAISEVVKDALDRYGQLDVFFANAGILGPHTKFSDVEADEFMETMRVNALGPFLA AKYAAPAMQKTSAEKPKSSGSIIMTASVAGLRSNAGGTPYSASKAAVVSMAQTIAYQL VGTNIRVNALCPGLIETAMTAPVFDSARARGTEGKIGQLNPLRRGGHADEIARVALFL GSDESSYVNAQAWAVDGGLSSGHPYVPGKIA NEUTE1DRAFT_117516 MTALATMAGGNKAVMKRNTRAFVGDNTEQALVGPQSERLAKEDE RADVGPHRKGSIGTFTSQSSRSSRQTSLECSPMTAATSLDTDLSDLSKDEHFSLPDAL RDIEPPHAVQAYSHSAKAPLRPNPTLPLIPSEGRPKNFGVVVPGVYRSSFPQTEDYPF IEGLKLKTMVTLVQKDFPEGYGAFLSRNGIKHHVFDMKGTKKEAIPITTMKAILRLVL NQANHPLLIHCNHGKHRTGCVVGIVRKTLGWDVSNILEEYRSYAEPKVRETDVNYIQG FEMAQISNLFSKDMNLRRWRFGSPKFAHSTVVAMIFLLFWYHFSGIPLPTSDAVDRKL LTQ NEUTE1DRAFT_123307 MATEETKASIVDRVRAWGSSSLPGMGLATLITALHWRPFQAMPM LLTPLLMFSSYLSVAGFKIDSAGMTAAWSGIYFLLAARRRPAALKNKFSARGAVRATA MGLSAANVIAGTYTYVTGDRKAEEQERKEMDKWGMYSDSE NEUTE1DRAFT_130689 MPAATTSGADASNGSSAHNRQHNQGNQERKYTAEQKAAVLRIRK CEPTAFYEILEVSKTCTDAEIKKAYRKQSLLTHPDKNGHEHADEAFKMVSRAFSVLGD KEKRDKYDRFGTDPDSRFASAQQQNPFAGFANRGAARAHQGGMWGDDADISPEEMFAR FFGGGGFGGGPFGAAFDGGPQFVFNFGGGPGIRVHQFGGGRPRTRPRQAGQQQEGGNS MWSTLMSLLPIIILFIFPMISSLFSGATTGPSTPAMAFEQAIPPYTMARKMPNVKVNY YVNPNDVRHYSASKLYNLDQKAELIFVQQLQQQCEREMTEKRRLIEEAQGWFRTDQEK LAKAERFETPACIRIKNLYSPGSKY NEUTE1DRAFT_123309 MVTRKPLPANAALDPAVRREPTWSSVMDSEANMAWNDEREGQQR QGGSDGQVDDVPRSLRPGPSYSNLSGVENENVWADNSAEGNKSATAGQPGDISRVPTV LRPGGSAQLSRSGAMDSDEVGGDINRIPTVLRPGGSHGQPETNPFKKKMSGSTPGNDR EDTFLAQPTYLPPVSPVLFPSLSNLSLSEGAEQSKNPWQPLQKQRGDSPAPLLISDLQ QNHIGGDPWKSTNASQQPTPGPSSSPPTLLSLPSQEDSAKWGEQRSSKAPELLPSTTG EETLDGSHAWDDLSDMSRDKAPGNPPATTGDGWNLIDIDIRPGPPSRKSTWEDFADDD DDEESSPKAVTENAITAPAAPPTIAATVPPTPPPAVSSTATSTVPPSSTPAVEQAPPL LERRMEQDAPDLPPRSTEAQASLSAQRIRQVDRSETYQIKRINWYDTTAEINPRTSPI LIQNANGPCPLLALVNALILSTPAHQDNTVLVETLRSREQISLGLLIDAVFDELMSER RLGEAVLPDVTELYNFLQSLHTGMNVNPRFVPTKQMEDAFNRTSLTNLPPTERGGQIP GTFESTREMRLYSIFSIPLIHGWLPPRSDPVYGAFERQAASYDDAQNLLFREEELEAK LSSRDEQGLTEDEQQMLQDIFAIKDFWKISATQLTTWGLDVIRSSMKPASVAILFRND HFATLYKHPQNGKLMTLVTDAGYATHDEIVWESLVDVNGERAEFFSGDFRPVGGASHD PSTNPAGPRGSSYTDSSSYGTSGEGSGWTTVQGRRGRTQSGNMHEDAPTSPSHEQEDH DLALALQLQEEEEQRHREEQERRRRERELSEQFIEQQARTSNGQQNQGRGQGHARGGS LGGGGSLRAGRGGSTASLGSQRAAALASRSSLSVSSTAASTRRSTSSMNVSVNNGGEA SPTANRTGVRTSGQTVRSLIPPLPGQQSQPFTNRPADEGVEDAPPSYDVAATQAPYIP PEGHPSHPTSSPTVARATIANGAGAASPPRSGAASPPQRLGSTAGSMGLRNPSQAPGH SSISQAGRPQSGFPGNANMAAPRPMRQGIPPAAVAVPGIPREDKCVMM NEUTE1DRAFT_123310 MTTSTVGLIDNWYPPTRENYELILSLWKWFPVAASLQWGVSWYG MGKTSVTSRLNLPGRIGWLTMEAPGFMTLVYMMRTLPAQHGFTLSDLPWQNKVLAGLF VFHYSYRALAFPFLQPSMAPIHIAIWLSALSFQIINGTLIGAWLAAYGPTSHAAWDRQ LFSSFPTLQFTCGIALFYVGLMANFYHDDELREIRRRENRRQERLAKQNGQKGQPGKK VEKHYEIPKAGLFKVMLYPHYFCEWVEWLGFYMAAGWGCLPARCFLLNEVAAMLPRAV KGRQWYMEKFGEEKISKKWAVIPGVWNFGPKQTELLALRPHQREIIEAALDGHDVFVQ AATSFGKSLCFQLPAVIDRGITIVISPLLSLMMNQVEALKASDVDARTLNSNTTLPER DYIYADLATGHPLMRLLYVTPELCSGDHFRRKLKLVYEQHELARIVVDEAHCISEWGH DFRKDFKRLSWFRETFPDVPVMCLTATANEQVRHDVLTTLGLDKTPGKLKIFSMTAHR PNLHLEVRFTSDEANDRYDDFVTWLKGVYDRRAAADRKAELDATGERVENVPGIIYTI SRDEVESLAAALRHDGIGARPFHAKLPNQVKEETLAKWIANDPGREDRDRVCNLVMRE PVSKNASADGIINKQARQMSLGRLVAYCEDTGSCRHAAICRYFGETQVPACDYACDWH KDPQGLKRRMARGLASEEWVSTQREEGMYDEYWSE NEUTE1DRAFT_84454 MAGKPSVLAKLSVGQLQELMVRCGLPKTGAKLLLYNRIRTAARE HKPLAPNSRILSIDMGLKNFAYSVLTPVMQDSKAMAEEYPLPGDGGIGINKPKDGEVR INRPKDGEIMFKRPKGGEIVDTASFFGMLEGTKDGKNGVLGSTFEQNLNTQQSYNIKN TTRRVADLHAWRHLNLLPWLEVSNIDGKNTDAVSENFAPNAMADMAYRLITEHILPQK PTHILIERQRFRTANAAGIFEWTVRVNMLESMIYAILRVMQNRGEFKGVVVAVPPKRV VNYLVDKYGHEIPTPRDLVNPKRAKIKPKSKRGFSRLENEIPPEEDKDDELLNPFEEP INVDEKETNKKIKDVKKARMCLLGSWLKNQEKLGLVNREMQRMADYFVRAVPVAVNNL LGLTKQKLRNSAVEMPAPAHLPESLGVGTLMGKREERTERVTKGEHIDKMDDLCDSVT QGLAWLEWGLQLEEAMKRMPWIMENNEEPERPKLGIPVRKRTTGGGL NEUTE1DRAFT_123312 MPSLSFLVRPSVVSSRLASGRVGSLSRHFSVTALNMAPISKETD FLVIGGGSGGIATARAAAGKYGIKSMVVEAKRLGGTCVNVGCVPKKVTFYAALIAETI HQAKDYGFSVEQTAPFDWPTFKQKRDAYVARLNGIYERNLANDKVEYVHGWAKLLSPN SVEVTLDDGTKSVVNAKKILIAVGGNPTIPPHIPGSEYGTNSDGFFDIDTLPKKVALV GAGYIAVEFAGMLNALGVETHLFIRHDTFLRSFDPMIQQVSVKEYERIGVKVHKKSQL TRVEKDAAGKLAINFKEGEGEQSISDVDHLIWAVGRTPAVEGLGLDKAGVKTNEKGYI EVDEYQNTSTENIYAVGDVCGQVELTPVAIAAGRKLAARLFGPEEFRTLKLNYDNVPS VVFAHPEIGSIGLTEPEAVAKYGAENLKIYKSSFTAMYYAMMKPEDKAPTAYKLICAG PEEKVVGLHIIGLGSGEILQGFGVAINMGATKADFDNCVAIHPTSAEELVTLK NEUTE1DRAFT_95427 MFSRVALRAAPRQQPFSLVARRTFQTTRAQLSSPYHYPEGPRSN LPFNPKTRFFWFRYLMYCVVGFGSPVAIAVWQTYRPRS NEUTE1DRAFT_102155 MRAQGCSYMVTFSGYCCQQLPGQLLVGIIDSSDGIPPTLIMPHL ISTSTLAPDGGDDLGAGGQDGCYSVAIRKRPTTNVADMQLCAQANRPASVVPCKCIPD TLRQSRAKGTHDAAGSPPQRSQRQPPFHRFHLPGAGAATKHNTD NEUTE1DRAFT_65781 MDLPPVNLAELLRHPDDLDKITTLKAEFMRKKAAVDSQLRSGLR EQLETTQAGMNGLADGQRTVQQIKEEMMKIDKICSESQDMITDFATINLVSQAHRNFG AVETMRRNLETFSERLDAIDRMLNEDDEDPENMPNLLAIHYELTQLRNIRDDAIEQIQ RGDDPGLQSTLEDYFSRLDKYIEWFDVNITLVAMSLINLVIADNNSLVVRFALIIEAE EKSDQRVLALQEALKDHKEMATRFQSITDGAKTVRGYKDKFLKAIKTFAEDQFKESKE KFLGDPDMLDKSLKWYFNDLNAVKIGMTPLMPKKWKIFKTWATIYHGLMHDYLIGLID DPETSSAHTLAIISWPEAYYRKMTKLGMKQDELTPHVIDNREAELVRDFRALIIKFLD EWIDRIYKQECKDFVDRNVEGGNLDQDEYGYFRTKNLVDLWRMLREQVDAAGNSKRAD VVEGVIDTMFQRLRARQQSWQKMLEDEAARYEDEGRMAYLSDFRRKFSTLVSPQYMDR AETEITALRDGYVDLSTWCMTKFAQLVFAVDFRTVMPDFFTPRWYTTNAMARMIATFE EYVSDYKMVLHHSLVDIFIEIFAEELLIRYLSSVRNKGAKFRRQDNFQDKIFDDLSTA FDFFNNLPNPDVGNAIKETWRVSEPFLQLLTVDKEAVPDAFAEFKSQYWDLQISWVEA VLRSRDDFERSMLNAVKARAAQMDVVRGPETIMSKVK NEUTE1DRAFT_65784 MKVSSRFEGSICGTIFLHRPSWNWSAIAASATAIAATSSVSQTT ASIQLGDQGSGRRRQVATAGLGPVQQRRRFHGSWSSQSGGLVDGVARRPIGGSTGCPL LTTPSLRATPTSLSGTNSRRALDSNFFRHSVSAPQKQSHTAAAAAAAAAPVPELVAQA GPEPCADQKPADSVFSAPSALHDDLSTESHSGANPQSQELPESHSVKLGHLTKEELLE LVDPYHDLNGTVEDYLKFIRDPYMHNYAPADRPRFTFVQNEDDYEYPGPDEVVGLDEY GQHTLSDLRFAVLVRLRTPHKVDLDTIYDLYQQLPEPRMPYINGRLRHQLLKALGQPQ RRNSKSMLRYFAVIADVRNCSMPLTAGEWNCAISFASRYVGTVTETQAQSAMRLWREM EVDAGIKATEVTFNILFDVASKAGNFTLAEMIYQEMVSRGHYFNRYHHVSLIHFFGLK RETGGLRAAFREMVEAGEMIDTVVLNAVISGLLRSGEEAGAERIYARMVASIAKWSDV SFPTRSYASDRAITQALKMMARVAKKAPELQHSFQEMVPMHPNLRTYSILVKYYGVRC GDLSRVAKYIDDMKLFDIPVHGSIFKVLFRAFDRHGGYATSAWSARRLESIYSALLEA VDAGRTLIHDSAPPPVDEEAFTDDQAQETQSYEPVQVQPDHIQEEHHPDIYDDEAELP FEEEEYQQKKEWGVDRPGIEIKTWLAIWVLRAFMRCTNGDRVVEVYDQLRARWELSDK DELFMADFLSSLLINGSRRGKW NEUTE1DRAFT_117522 MGKGRIFQAAKVYQRASEAAATNMVSGLPPRNPPLWLKAIESIP PAEINTRPYPIQHSPPNPRARKPRNLFRPTKIVYPEDELRRDFYRDHPWELARPRMII ELDGKDARFLDWSKGLRQRGMPLSGESVVQRQLWLMENQGMTKQEAYDKARHEFYKLR QLEQMERRIAVEEARMVGGYFGKDLLTVGMELENKTYESWKKWATTEIARQESARASM YTNVVDNSALEESEEDELLAQN NEUTE1DRAFT_123317 MAPTTSASVLTGKEESRNDQELKPDTRAETYSQLHVETVSGINL TAAAFVAGAASSTAAGLVLSGLSWPWLISLVITVGIVLVTFIVYRHFEMIRRRQKPGG SWLIPSNTPQPNAAGTQVPNTTSLPAIYFTYILGSGGHTAEMIETIKQSFRGQANIHR RYIISIGDTSSLAKARLLEATIKDAYPGEDARAGTSDIFLIRRARKVHQPLYTAPFTC LISAFHAANALTRMPNPRSTEDHGKGFRYPHVIVTNGPANGFIVCFVAHLLKIFYLVP ENRAKMVYIETWARSKTMSLTGKLFLKTGIADVVGVQHESLARIFKGSQYIGPVSAGF LQR NEUTE1DRAFT_130699 MPRLNRTRYLTCFYCGRKTSTPWNSSIRNFECRNCDATNYLDGN GDITDPPVATEYEASPAKFATTRATSPTVGSSSASVFCNKCLANQDKLRAVLAQYLPD PDDSEYEERCRNIYKFRRLQERLYPQMCAECEPRVQKKLGEAAYTAKTDVLLWMIERS NKQRQQVTGWSWLNVFDSLGRWLRTASLVLQLLWHLSIMLMLFKAAIVTRLGETPNPW TLRAVNTCFWFFNLLPSTDRLSGWSLTTAILSVWWNPQYPQLHRGFTKHITGFRAWYM YQAILVFMRFWVRRLPILAGPEQAELNMPAAAHVFWAGFAILVHLLAPRAIRIDMAPL FGTTSKPSAPQGGSVTQPSTYRPTTANTAAAATGPRRSGRAVKPSAQLLAARSSFSSS LPPAPAPAPAPAPVAAPAPTPDEKPDEIKVMVDLLDEIVNGPSSPASPTPSIDHSPFF VPRVGRSNVPTTPGSQFSPTPCRFGTGASTGTGPSFSSLGPAANTRSATAAYTQEMDW TPTQSQYRAFQTVGQRENQGFNEAPTDENKGPFWYRVPPAPTAPAQRLNNYLTKQPRI IAPRHTAPRPGTGIPSFGSTALVNTPQKQILGRINNNDHMTMTMRRDAGEDTGIDPTP ARVAFAPPKFFVPPPQNDPRNSLSEMLGRSFSLSQEEEEEQREAEREEAEKKSRGWLG GGLLTFRSTPAKKDQ NEUTE1DRAFT_117524 AYNARPSLIYILNYPEGWLINLFLATLRTAYSQSKPLYFALGLS STSVVLESLYSQNEQPLHQRLLLCNAS NEUTE1DRAFT_44355 MEISKSEWGRLGELGLFWAVRFSASLPDLHLDIPSPNRTTIVAL KHRIRERLHSSSKDSKDETTAKAARSRLRFIHAGKILPDTAVVSSVLRPPPPPPMVMD AKGKGKAVDRQHQQQRVYVNCSIGDELTDEELATEAAAAAFVPAPVGSVDSNLSDIGS GAGSGKTSRTTGLQPSSSSLSTGESRSRINASAGQATTTTTTDRNRPRGFDRFLSAGF TPAEVNQLRLQFTSIQASRFTPDTMPSPDTLRSMEDAWIDNNHGGVGGPGMVESDDGS GLVPEPIGAETDGFNGMVDTLVKGMFIGGQDPLVRWIGDVFMEMAHWVGAWDFCRFVA AFGIMSWSIYRFAGGRVQEWVEEE NEUTE1DRAFT_102164 MVRSPTPSIPLRMVGGHPLPANGMRPPPSPSYESAPYSFKLRVP PRLCPARQLDSSPATNTGGDQSARYQSRSPFPHPSTSEVHHTSSRAGRAPSRPHRDYH PNHQFETNIPGLDWREIQNIKTRTKAPSSGHQNHHHHSYRYIHSGSRSRRPCDNIDHQ IKDPVPMYGVALVDDRGGVEFLATDRMDDWLAGVENDDFIIYEDPVEHGPERDDIEMS SAEPQTDESGLASTVVRYAMMEIEDGGDSDKENQNDAPKYRRIRKPTPIKPTILREIK LNQVKTEIVKEDDAKTIWPKVDDSMLSVLKRRSIKRQAVRPSLAQHHNVDEVAANAQQ AYQHSQAWLVQDEPEEYQKQPCFQASRYFICRHPGDVTPQHSPITDHQIPTAPSSRAT DYHSFSIIADDGKLVTIELWDFPGNIANERTTQLASNFFQAAIICYSIEDVKNLPSIC DTWKPKLDRSLIDCPRFLLGLKKDLRPAFPPLGLSFLPRKEPVMADMGRSAAYHSRVA GYGECSAKTGENVDDAFRGIVNFTLSHMRKKEIGIAHGKRRDKAKETVKDAGKVMVDA LCGFPNGPTPGRAWSRHL NEUTE1DRAFT_84478 MSAKLKPAARVAGNRQDVWTIVNEAAAASPRQPIVNMGQGFFGY NPPEFILNAAKKALDRVECNQYSPTRGRPRLRKALSKAYAPHWGRELDPETEITITTG ANEGMLSAFMAFIEPGDEVIVFEPFFDQYISNIEMPGGKIVYVPMHPPKEGHIKTLSA SEWTIDFDELERAVTPRTKMLVINTPHNPVGKVFSRAELERIADLCVKNQIIILSDEV YDRLFYTPFTRMATLSPEVEKITLTVGSAGKNFYATGWRVGWLMGPAELIQYVAAAHT RICYSSVSPLQEACAIGFEEADQQGFWTQCIEDMQNKMSRFNAIWDELGLPYSIPEGG YFVMANLNKVEIPEGYPFPPHVAERPRDFKLAWFLIQELGVAAIPPSEFYTDVNAHIV EDWLRFAVCKPDEVLEDAKERLRGLKKFIKQ NEUTE1DRAFT_117526 MAACAKTLKKVTLELAGNNACIVCADADLPKAVKNVASGAFFNA GQVCVATKRVYVHESVYEEFVRRLVEEVKGNYTV NEUTE1DRAFT_130703 MAPSAVPVESGATPLDLGSLPGSLTTTFDDTLRFYLNGTRVVLD DIDPEITLLEYLRGIGLTGTKLPLGSQLTFPPDSGCGEGGCGACTVVVSQFNPTTNKI YHASVNACLAPLVSVDGKHVITVEGIGNVKKPHPAQERVAKGNGSQCGFCTPGIVMSL YALLRNNDNPSEHDIEEAFDGNLCRCTGYRPILDAAHTFTKKAPSACGNSKANGGSGC CMEGGGGGGCGGANQNGDDQPIKRFTPPGFIEYNPETELIFPPALKKQEFRPLSFGNK RKRWFRPTKLEQLLEIKKMYPNAKIIGGSTETQIEIKFKALQYPISVFVGDIPELRQY SFKENHLEVGGNITLTDLENVCQEAIKHYGEKRGQIFNAMYKQLKYFAGRQIRNVGTP AGNLVTASPISDLNPVLLAADAVLVAKSLGESGIVETEIPMSQFFTGYRRTALPQDAI LAAIRVPLTREKNELFRAYKQAKRKDDDIAIVTSAFRVRLNEDGIVDQCSLVYGGMAP TTVGAKTANSYLLGKKFAEQQTLEGVMNALEQDFNLSFSVPGGMATYRKSLAIGLFYR FYHEFMLILGSTADEEVVPELEREISTGQEDREAAAAYMQETVGKSNPHLAALKQVTG EAQYTDDIPPLKNELYGCLVLSTKAHAKLLSVDASAALDIPGVVDYIDKNDMPNAAAN HWGAPHYQEVFFAEDTVYTAGQPIGLIVATSAARAAEGARAVKVEYEELPAIYTMEEA IEKESFFDFFREIKKGDTQEGFKNSDYVFSGVARMGGQEHFYLETNATLAIPKHEDGE MEIISSTQNPNEAQAYAARVLDVAANKIVVKVKRLGGGFGGKETRSVQLSSIIALAAQ KTGRPVRCMLTREEDMVISGQRHPFLGRWKMGVNKDGKIQALEVDIFNNGGWCWDLSA AVCERAMTHSDNCYHIPNMHVTGRICKTNTMSNTAFRGFGGPQGMFIAESYMNEVADR LGMPVERFREINFYKPGERTHFNQEIQDWHVPLMWEQVMKEAEYESRREAIAKYNVEH KWRKRGLAIIPTKFGISFTALWFNQAGALVHIYHDGSVLVAHGGTEMGQGLHTKMTQI AAQALNVPLENVFISETATNTVANASATAASASSDLNGYAIYNACQQLNERLAPYREK LGPDATMKDLAHAAYFDRVNLSAQGFYKTPEIGYTWGENKGKMFFYFTQGVTAAEVEI DTLTGTWTCLRADIKMDVGQSINPAIDYGQIQGAFVQGLGLFTMEESLWMRNGPMAGN LVTRGPGTYKIPGFRDIPQQWNVSLLKDVEWKELRTIQRSRGVGEPPLFMGSAVFFAI RDALKAARAQYGVKAKVGAEGGEDDGLLRLESPATPERIRLACVDPIVERARVLPKEG EKSFFIAI NEUTE1DRAFT_102168 MPIPTRNKDVSQSANKTDKHIEAALDFVRHLYKILSSDPSDAPA TDQLVEEINQHVKKLHNHTHKHGAGVSGHPEIDQQGTRLWNICTRLRRDCEPTNKRLK RLHLHGRVLAFHLLVVANPIKTSKAQDLIYVVKLALKAARDCVESSEFELATRVLQKA ADYKSQLQDLASGLPKEEVDECNCLEVEYLIMRTALSWADNHVDVAEHMYTKAERLRQ YLTPEYAEKLADVLYEIGKSLASRNDFPLAKKWFQRANEIINTPNLEHLSREGLELRL AILQALVSALLATGASADLDKATKLVEYIESEMGNRPVVSLLKLEVLRKTPAEIFDDD AYADVLRRLIKDFNSSDAGFKLIIHHIRKLHDKSPGAACAVLDDFTLSLRGVDENVWM EKAVITRMWMITSQRDTNETIDTVRGVLSNLTRPLSAEAAVAAQALIWKKLESNYSQR QYDIAENWCRLSLHHIFQNCGPGNVAKLERKLLLCALARNEMGAAISVVHNLSKQSWG EPMTAYLAFKVAIRCEDRNLAEQCIHIIGQAPEHVDFLGACIAESHKKGDIFCAIAAL KKLQENYEYKDPSPIHLPALFRCLIRLLNILAEKPDERQGDFISDLCRQFDIGKPRFI QITFALLLTASVVYTLERQSNDPQTPKLFTIDELEWFSRNAYNLALKHTTSWDLRCVV RLLTACVNIINHFPSDMRSQVEVTLKALFSRFIIASALVSFARTQDNVKEQLEDYQLM RKHVSAFDRLVPEYLSRLDEQSREDMVRKHATLLTFDFEAAVALGQWDDLGGIVQRAV PCRSAVAFQTMADSLLRAQVPGQVLYSTMRKLVNEIWDLESFDAVKLAKYTRCLFQAT LPLDDTLAMKLLQEACRKARELHESDARWPEEELEWMAATAFNHAIDLYGAYERDRAK KWGSTAINLAHYCPDKSFERMLQDRFMRLSFEDDERNRGR NEUTE1DRAFT_147430 MTGTEATEKPNGKEAGTKDIIRSGSDTKPKDHHPTPADDVQKAP KKRRKVNHACLYCRRSERPCTRCIKRNIGHLCHDEPRDTESRKAKSVLGTSTLHDSES QPDIGRNATDKTMRPPGFNGGMSNGSVQVAGAAAVGRGAPLQLVQPGSVAGIQASALG GSMNQFAGLPDSWLTTQNHYHDMHNFHPNYMVAPEVTNEFNLLNEFLSAGLLEESAFM SDDHGLILGANQSAVSGLPNANNNANDASSNSKGNSSSNSGMLPPSATQGTSMLPPSS DQTTAIGKPASTNLDNARDAYYLQAADPSGNDTPEERMQRLLRAKYEAGLLKPFNYIL GYKRLSDYLDGHVSPTSKQKILKQIDRFRPKFREKIQLLTDMDLLMVEMWFERTLLEY DRVFASMAVPACCWRRTGQIFRGNKEMAELIGVPVESLRGGQIALHEILTEESNVRYW EEFGTIAFDPAHDTLITACSLKNPNDDKGTKVVNCCFSFRIRRDDHKIPSLIVGNFLP HDP NEUTE1DRAFT_45781 VCKESYSGSHTVASPAARHLWVSIPSCPAVLANEGWRTRGTPAV LGVPVWPSVASFRFHYMGDAACN NEUTE1DRAFT_84487 MISWHRNSCGRPDVCLVDGGIPFCMSCDSLAPLDDLSPSQVPSN PSVLYHDETKALSLHWPASVDYETHCYDSNGCDISSQLAEILGTEPWDETSRPSSERP SGMIANDGVSSCEPLSSGQRPRQGAINPPSLNPREDNLVASDSIRILHLSKGNKSDPL HGRLEVCQLKYFPEYEALSYTWGTASGNSSRTRKLYLGREWSMLPITINCEAALRALR LPDADRRIWVDAICINQENDTERTHQIQLMPIIYATATQVVIYIGNEKWEVDIGWTYK IERQQRTITRNQWEKKWDKMDSRLKCHYFFRSWIIQEIAAARKAWVTDGSSWQPWPII DAQAEGYASRTFLPWIKDFETRKYRLPGYLVRLVMDSWSSQASDPRDKIFALLGVVTG AAADGLVGDYSLSVEQVYTGLAAFALTKHGEVDILRYASGYTKSRNLPSWVPDWRLLS RDWGIMLRMEHVELQSVPAPDECIQYPWQLRRWGEDGWVRNKTDDVISDELTLPKATV HGPTGTLCVHGIRLTSLTKKFKRHDFSTHAVFWGDFFSVTAPHNSEFDDCIYFLRGFD VPVALRPVRKKTRYGLPRKDLFTFVGLCYVSPGSLITLEGSDLRADYPDDLAQISQWT VLHASTGFFEGLRMENTQALHLKLAEMCSAAHTEYSAWQVAFFKDLRQRVNMIWDNVL HRFGKDAFALTAWRGALSDPDEACAGPSNFWSETIVLSDLEEAFARQANFWSEIIGQL MTASMSSREEMKEKLRQQRRQLQTLGETMRLLRVTRKATEAGNAPDASISEELDSLAK DRLSYRQKLPARQQEEGDRYCPRWYDFAGVSLYWYNMRYIHAIKAASYLEQALVYMDT AHAENSSTAASVLKVNIDAATEYQRATCIASMEIKLAAKSLVLPMYETDPEEAMDDWQ KIFII NEUTE1DRAFT_84489 MATSSSAGSAKKKDFNKTPQELVTEFWDQFFVKKPGKVTRIFPR SLYETLLPPSQPQGVSSSRNATDSYQAAVKECKEKVKRIVKECERTNEKFTDPDFDIE GDFEVRNCLHGLTHDADLNEFFGELQELYDLGAGKGAKKGIKSRGVARSADDAPEAPA PEGSKGRHEGVKAGWRETFTPGSVHRLDWIFESPQWTKDGYSSSDIKQGCDGDCWWLA AVATIAHRKDLMDKVCVARDEEIGVYGFVFFKDGEWISTVVDDNLYLTEEDYRHYYDE YDPTGKKGRKHRKDKQTGSGALYFSQCSDPNETWLPLLEKAYAKVHGDYEAISGGWPG EGVEDMTGGVSAMLQSNRVLRKDKLWKELVNSNGDFVFSCSAHGYASTRGSMANGHAY SILKATEEVDEDGRKVRLVKIRNPWGERTSAGTGEWDGPWSDGSKEWTPYWLKKLDHK FGDDGEFWMSYQDMLDNFLYLYRTRLFDDKWTVVQQWTTVTVGWIAGYLNKKFVIEIK KGGTVVIVLSQLDERYFQGLEGQYMFILHFVLQDKDGQEQLCRVRPTLNWSSRSVSCE LDLEPGRYEVLPKITVERDTSQRMVEDVVTRWAEENPAKLRQIGMQYDLAHAKGGVPD EDEIITQRKEEKKKKEEKKKAKAKAKAKAKREKERREKRKQKQVQITINMPEGSTVDT SGTGTEPDKKEEKKSTAEDKKEEEEKFEDAVEEKAKDDEKMKEEQPKKVSAPSSSSKS AGDTAAGEGKNTSTEKKDGEKKEDDAAAEEKKTEEKVSGEEKKQKEEEKVVEEPRPTA AATEEVEEDLSEDEEEEEEEPKIEYEEGKIPWNAVCVVGLRVYAQDPDVTVTLATPNT AEEGASLVLDQHAVGATI NEUTE1DRAFT_84491 MSFEMPPPNTPEEGVAAPTISSRADARRIFGSGVPSTIYDSPAS SPGGSRRSSVADYGPYQVPSRGHTHDQSESGIDYQALDYAQQIDEALLCPICHTPFFM PMTTKTCGHTFCASCLDRALEAQHVCPIDRRPLDISRDISQTRTRVILDQLDRLKVKC PNSGCDHVCSRELLYAHVERYCGQTLVRCPDHHCDEMICRKDARPENGCLHYVTPCPY CEMAITFNDLERHCDQECTGQEAECPHCDAVLVRHRLAKHIATDCREVETHCQFQSAG CKQVARRKDIEEHLKNGCIYEAIANLMQAREEDHKIINELKGRLDALDGRTRHLERYG GGGGGSSGVRESSAFTRAAPPSSGLTADALNNLNRMQSATSGYADIDEANHNITLDDL FETFDQENNRHNDSNYSSPQAAAAAMAVDAPPAPWAHNSGDGPWESPEDYMLSQFEAM EAKIEDLRKMMVELDGRHTMRLVNDTMRMNEQIAELGSKVGVLGMHTTWLMSMQRQSR GQQNGSGSTPGTTRSNISAGGGGSGGGSGGTGGNNEEERGRTNTAAAGPSSSSRTATQ TQQGSRNGDGESARGRFLNTSDIPPLRRSSVGRGENPPRL NEUTE1DRAFT_65815 MDPNQQQPAATPDAPDKETMEQIRARRLAKLGGPSTASTTSPAA SSSTPQPGTETPPAAAAAAAAAASSSKIEDKAPRVQPRSIIKPASRDVPEPPPGSSAS RKRERSLSNIDDIPCPPAPRKQYREQTLEEWTDKLLTSILRVSLDPNQTFDSSGHNLT FLPELSQEIRGEDQEPLLSIDRFQEAVMEAGRVYPPHKPLFEYFLACWKRVTRYRILR ASTPEKEEALKEAKRLCFSNCIFAVTMPEMFGREPNPKHDTMVPYILEGVAKEEGLDI EFYNEAMTRIEDDDSIVPLFTKAMVEISTALSTKNMNGDYQPHVQALFTYSRYPALVN ALAEHPTFLMAQSAPNIERFTLLGPFFRLSPLHPEAASYDFAAPRTLDKGRIGTTQQS LQMTLAAHQEHLTTIANAFIRASTSSRNKLLDWFAYIMNVNHKRTATYVDPKTVSSDG FMVNVTVVLDNLCKPFMDNSFTKIDRIQVDYFRRKPRLDIKEETKLNADQEHSDAFYS TKLEGENNFITEVFFLALAAHQYGTEATQNKLKELDKQIKHFQKNLTLMEADRPNLVA NHPERVPMLEAAQRRLIKMLESAMSAKFAIEGIMTDKTLQTRSLQFMKYTIVWLLRVA SQSDYVPWKKISLPLPETQPEAFSCLPEYVLQVIVDNLKFTFRHRPEVMVSAIGDEVV ALCVTFLESSEYIKNPYLKSSLVTLLYQGTWPAYHLKKGILGDILTGTKFANDYLLHA VMKYYIECESNGTSSAFYEKFNIRFEIFQVIKCVWTNDHYKKQLTESSRVDRDFFVRF VNLLMNDATYVLDEALSNFPKIHDFQQKLKDPSLSQEDREKMESDLHDAENKASSYMQ LANETVGMMKLFTQTLAESFTMPEIVHRLAGMLDFNLDLLTGPKSRTLKVENPDKYGF NPKILLPQLVDIYLNLGSSPAFVEAVAADGRSYKPETMASATNILRSKALKNPTEMHA WEVLCKSFEEAKAIVDQADLDFGDAPPEFEDPIMGDLMKEPVILPSKHVVDRSTIVQH LLSDPKDPFTRQPMTIDDVIPDTELKAKIEKWMEDRRAEIRGKVEEVTPAVGDIAGAE AATGGDAMDTTE NEUTE1DRAFT_117528 MTKVLLTGGSGFIAAHILEQLLAKGHTVVTTVRSEDKAQNIREA YPDKASSGELKVVIVPDIAKLDAFDEVVKEPGLEVVLHTASPFHFKFKDPKTELIDPA VNGTTGILKAIARSAPTVRRVVITSSFAAILDENKITDPNHTFSEASWNPVTIDDIHR NPATAYRASKTLAEKAAWDFVRDPANNVKFDLATINPPMVFGPVVHHLASLSSINTSN ERIVDLLQGKWKEGVPSTGAATIWIDVRDVARAHIRAGLEVPEAGGKRLFTTAGTFSN SEIAEKVRKNFPEYGDKLPEGEALKSGELPPDDQRFKVDNKATNELLKIDFISVEQMV VDTVKSLKEHGA NEUTE1DRAFT_111309 MNRSIEQALLSLLPTHNTTLPQPLVDLASSLLAQSRHRASTLKA EEEIARTYACAHLACDRLKITLNLPPIDPRPPIPPRIYKRLYSHLSNILPSTSSTPGR GGGTPRKSEQSAAATPRSQRTIKTPTSRLRDQQNRADDLTASPSAGRSARSNRSAATG RTATPGTAGLGKDEKPLHQFRGTLFPRKDGASGGEGGGGLPGWMKPTLRFLLKELGPS HIGPVVMSGLESIVAPRGQRTKDEWVNANLVSLLGALYLLVWRRVTWPGSDVDGGEYV AMRKKVAAALKKARENVKVAVKLGNGREEEEEQIEEKMWEGWADDGVRVKDLNMATLH IGREGWLEMDWAAGVEDLARTVLEKEDYDDAAEDERGSNNVELFRVGQGDSMFQDRYD YLGERKQKEYAIWKQGILRKIKALENPSASTTATPRKRKVPEANMDIDEAE NEUTE1DRAFT_84499 MAFHASGLPLTPPRACQTIAAATTELWPSIATTLAVPFGLSTSL KAQQRHSHNSHGSTASSNASRNLASSPAREASSSSALPAFLRTAPLPQPVVSQGLANA SPTNIFSEISSMSSRQLAMANPLFRRSFSALMSRPLGTVNTLRSMSTHQPGRIPSFFR SPVHSPLGFTLQARNFGNGGLSHNLLAAREAAANQFPTSAGAQYAFYQALLKANMPAI IIERYQSGRFATNEQVDQIYQQALAMSTGQPYTPANNAVDNNGYHPSGFTASQIHAAG TAAAAQHTGGNMAMVKPIAAGAKTGPLHIVVDESFGSSALRWVKFLMWFTLFTYLSMV VITMVFEGLSSIKRPGGKLEASEVKPENQKARFADVHGCDEAKEELQELIDFLRNPEK YSTLGGKLPKGVLLVGPPGTGKTLLARAVAGEAGVPFFNMSGSEFEEVYVGVGAKRVR DLFAAAKAKAPSITLNQLLTELDGFEQNSGVIIIGATNFPESLDKALTRPGRFDRNVV VSLPDVRGRMAILQHHAKRIKAAADVNLEAIASRTSGLSGAELENIVNQAAIHASKLK AQAVTQKDFEWAKDKVIMGAEKRSMVITAKEKEMTAYHEAGHALVGYYAKDSASSLYK VTILPRGQTLGHTAYLPEMDKHSFTVRDYLGMIDRAMGGKVAEEIVYGNELVTSGVSA DLDMATRTAWQMVAQLGMSEKLGPVEYLRKYNQLSSETRAMVESEVKRVLDESYERAR NLLTSKRNELDYLAKALVEYETLDKKEVERVIRGEKLKDRISVPPGPMAIPKPSDTLE PGLPLPPLPGDVPPPGDSGPGPAPPPPVPA NEUTE1DRAFT_84502 MDRPRGLPDNPGLVRRLPPVGAGHAHGNHPPHPPHRDASNSSLS ASPPLSSNQVIALVRESMRVAHENEAKATEGISTLKPGLTIDLSRKRIQKLPDEVVDI IKDELERLALSHNYIQTFPARFSECTSLRYLNVRSNRIKEFPLALCDLKSLEILDLGR NMLRVLPPDIVKLSSLKVFSIQKNEVSELPLCLADMPSLSVIKLEGNPLVFPPPQVFQ VPPPSVQNEGILKESELTEITVTAHVKKYLKATANSILEREQAESPNDETDGIETPRP TIKRVFSGRFPIKVNGTDVPDLRSPNLIRPPPIPSRSHYRGLSQQSSAQRRPGVMPLT IGNPNERIRSNSETTGAHHSSRERSESRSRRMGIVSRKGSELGTLDELEAKDRFSIHY RGLSHGSAMQPGNGSVPGQSTAPSSPADSISLQRPIYVRRLSILPERRRESKFIDPVL EAAKGILYSIFQIHPMIQMLMGLANDGSSKRSSLEIVFYNTNAHVEQLELEIQKHDQA GDGGGPRENENVQRACITLVQAYSHVCSLLANNVDLFLDNGDPRYIRTLLTQLYNSIM ELRVTCSQPSPGMPSRNVPPVPPVPHRLELETIRPHSRDNSVTPTIDRPPQTARLRNP GPMHPHANLRVATDVPLMPYNNGMHRQVMSAVTPRSGDPFPMSAGGMRIPSDEEDRIF ERIFLALMKSADLALKVLPNLSAQFSVALRNATTQRASDHVVHCWKALIVKCNDSIRH TEILRERLSTIKLKEPGIRGQPGFWRMCNDYIDAWYVLGKKTMQFQADIQLPVDAKSR MRPIQKAMKDAWELMVHSPWSHFLKPQPSSGGHGRGRMRGRMPGPGMEGVEDTSSPYS THGTNTPVLMPMTPQSAALGPAVQATVPSTPKNSSFSAAFNGGLFERAETLIQMGGPG MGSRSGTMQSSSAASLNSIGTTLSGDSAMTPNSALSPGPGSLTPLPFRMNSGKVGL NEUTE1DRAFT_123333 MSRPSRPNFLQPARSAPAPASVTNTNVTAAMVSAPGDIDIDIDS SDYHHDTEHRPGPGPGSVTAAPSTTGSTTTTTGRASSSFSLPFFAGSSSSKRSTAPSV SSVSTAPQPLIPVPNIQAHAYTGQSVPVASPTSGTSLRTTTTGSSTSTSTTTTTTTAA RKSAPTFPPPYPSQPHPHPHPHPSSRTSSQTHGNLPSVPATPRTPTVPITPTVSSTTT TTLPTAQARTAVLASISNLLDRELTGRASLLHQNHNAILKQEREMSKAVESFRKENDK LEKVAREGTKRLKEVGDVQNWAEVLERGFLRIEETIKRANGEYESGSGSEWSGSYGSG SYGSGSYEGSERGEGEGDGDGDDRDGDSKDMENGTEEGDSKDERVGDGGERNLENDGG GDEESGIVGGGSKNNGVTRAAEAEDVNGDKREGEDIERDDEGDVVMVTEDDVHERHTK LDKGKGKAVDDTISYPSMGPLPRSPEPNGSGGTQFLSLTPATV NEUTE1DRAFT_117529 MAAKLSLKLSNKSPRQPIQKLPASLELPADATVEDAKQAVARQS GINDFNRIGLFDPETKKILKNRKALVRDEAGVVKAGELVVKDLGPQIAWRTVFVIEYF GPILFHVLVPLLRPYIYSFGPWAYKNEAETPMSKVQWLLFALFNLHFLKREYETLFVH KFSANTMPAFNIFRNSAFYWLLAGLMCSLDIYRPGSSADRNDLGLLDYFGIALFAVCE VCNWIVHQHLASLRKPGGTERGIPNCIGSNLVTSPNYMFEVLAWVGVILISRSPAVVI FIATGTIYMRSWSRGKERALRNEFGDRYKAKRYTMLPGLI NEUTE1DRAFT_84510 MDDLFEVFEEQPRAQKKRKASPDVEMADGAAAAAPPTIPNGDAA AAADDVQSPQSPHGQGDNKRRKKTDEAEPIMTDAFQTAESREVTGAQGFAPTEGESIV LSHNIQHQVALPPDLDYEYIPLSEHKPPAEPARTYSFKLDPFQALSVASIEREESVLV SAHTSAGKTVVAEYAIAQCLKKNQRVIYTSPIKALSNQKYRDFQAEFGDVGLMTGDVT INPTASCLVMTTEILRSMLYRGSEIMREVAWVVFDEIHYMRDKIRGVVWEETIILLPD KVRYVFLSATIPNAFQFAEWIAKIHRQACHVVYTDFRPTPLQNYFFPAGGKGILLIVD EKGNFKENNFNQAMAMIEEKKGTDSNDWSAKQKGKGKNKKTNKGGEAADEKADIAKII KMILKKNFQPVIVFNFSKRECEQMALASSSMKFNAPDEENMVNKVFENALASLSEDDK NLPQISNILPLLRKGIGVHHSGLLPILKETIEILFQEGLIKVLFATETFSIGLNMPAR TVVFTQVTKWDGQQRRPLTSSEYIQMAGRAGRRGLDDRGIVIMMVDDKLEPETARAIV VGNQDKLNSAFHLGYNMVLNLLRIEAISPEYMLERCFFQFQNAASVPQLERELISLQQ ERDAIIIPDESIVKDYYGVRQQLEEYNKDMVFVIQHPQNCLGFFQEGRLIHIKSPSGV DYGWGVLIKHIQRQTPKNGQPPYPEQESYVLDVLLKVSGDFNPKTRGEGPMPEGIMPA GKDSKNARWEVVPCLLNCLRALGQLRVFLPKRLESADEKDGVGKAVDEISRRFPDGIP ILDPMENMGINDDSFKKLLRKIEVLESRLVANPLHNSPLLVELWNQYSLKMQLGEQIK EKKKAIARAHSVAQLDELKSRKRVLRRLGFINDAEVVQMKARVACEISSTEGHELLLA ELLFNRFFNELSPEICACILSCFIFDEKIETQALKEELAKPFREIQAQARIIAKVSAE SKLDVNEDEYVQSLKWQLMETVLAWAQGRPFSEICKMTNVYEGSLIRLFRRLEELLRQ MAEAARVMGSEELKDKFELSLSKIRRDIVSFNSLYL NEUTE1DRAFT_102182 MMQSLKTHASFQRPRTSERDVGSIARDQADVNAAIPSRSSSLHS RITQPMPNSLNGKPQQRTPKTLTHAYMVCGVGREPSQWVKAPAPAQGKIGHMKGAVGQ FWLPEILGSSPRLEQDNDIARALHNAMRACFPHDVEICTGRSQPHCVHHAFVLQQDSS HTLYGICLRVWSRADEKRAETIRELRRRTEPDFFDNPDEQYWIPYCLSFLSRYPLYNL LGDYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTG FQNFAMWPLFNCLSIPNIVGVIEAAISPTRRIIFVSHYPAMLTMAAETVRFAVRVYEW SGLYVPIVHARHATQLVEEPGPYILGITAECRSLFTPPADALVVDLDRNFVLTSSPPN ALSPSQRNKFVTRLTQALNGDVTPSGVPPHLRSAYGGGKLIPAGQIIVMRGEVESIQD PDWWNQDAVMSVMDHVCEKMGRNTGIKAVFGGSAKKPLMTKVSMRHLNEIVRERNQYS RDAMEAWQDFINLKGRMETELSKVTKRNNYLNDELESWKQQFLKFQTFAETLTKETQD LKAKIENSKRENRRLGSLIEEHRSDYNRLQMRLQGTEKQRDDALEALVLQQEIAEELE RERKRNRKELTALQHTNNTITRQRDEARRVVLHLRSLISGQHHHMEHIVKTMTSPQEL AAEIEAGFVAAAQEAEEATAGEEPNSERFIKNLTLASKRISSQTFVDVADRHLKDKTD AIAHIIRNIAEQCQAAVDGLQLAQDAEIGTHHEPLSAKGAGMYSKSRRGSNLSIAHSD DGTSVATTEAGDDSFLHPGASGRVSSIPPTPDLIPNRSSTSMSIASTATTPERTSQQY SLGHHDIPTRILEDDEDELAGERSESENPIPHEPSNFVGKHAESLIHRPSGARISALG SSR NEUTE1DRAFT_147442 MADSVLSTLCGICHAEPPKYKCPRCLARTCSLACTQKHKIRADC SGVRDPTAFVPATRLRTTAGIDHDYNFISSIERACKRNEQEIVEVRKILSDKDIHPQN EDKAFVKEWHGDELRHVPVGTLPPPSWKQHKMQEEGAWISGFSRLVRQRLRKLNIEAV SMPKGMTRQRENETSWNRNNQCVNWQVEWLLFNVPGLPTTGQQQQPHRILHKALESKP LNRALAETLAWYRRRLSRQAQETETLPDPFDPAGEDQLDADGRPLKRRKLESGFAPTK SKKITEIIASPYLSSPPQNPLTSAWSTDSPYTMQYPLTGAWSQTACDGFASIPKTDDE EIIDQSRLLAEWRFFLHKTWAPTSSASSQSGQTKPNGKLLIPLNATKNFSHALRGRTV VEFPTVYVLPPGGDLPEGFGYADTKRRKERVAKIVKTEAGEEGESASSSSSSDSDASD SDSYLNESSDEGEVEEISSNNPNGRKRQFGSQQAAFRRDQRDQRGGSRGGGKWERGGR GGRGGRGGRGGGGGGKNVRFETTEDQGQTEEGEVGGGEVDTVALEAAVRQAASGDFDV ILNRRPTREAAEDGEINSDGDEVMADADTGYRGGRGGRGGRGRGRGGSRGGRGGRGNF QQRGGGRGGQGHGGRGGFGGGQQQQQQRIKQETEAPTHMGVNMELDAPRQEQQRMAEE PPQQKKPDTGNKGGGGGLGGLVNYGSDSE NEUTE1DRAFT_130717 MSEFLGLGSPADRHFSYVGTLHNINSEESTVSLENVRSFGTEGR KHNPDEEVPASDQVYEYIVFRGSDVKDLRIEEGPAPPKENKPPMPDDPAILGARPRQA NVAPGPPGPPGPPGPPGPVPPGPIGAPGHQGPPPPPGAPGFGYYPPHMGGWGRAGAPP NAFGGMPYPPPPGWFPPGQEFPMGHGPGPWNNYPYPPGPGVAPAGVPGQGGRQSANQT PSNQGPGPKPAPIGPAGDKKPSTPSQPQQQPQQHELSAEVPKSFGQQPASGAPSLPND SKPTVEEVKATAASLNNTAPAGPSPQQKTIPTGPKGSTTRPSQILPAVPLPAALTARP IQPAAGSAKPAGEIGSGPMSAAQLRDATQQARAAVAVAMANMVKLDGQVATQQQVTAA AVDNLTKRVNEMNVNAAATATASRAPLSYNAAAANRGGRARGPRPAKVEVPDSDFDFE SSNAKFNKQDIVKEAIAGSPLGEEPAIEAAAPEAVADVSGVAQQAYNKSKSFFDNISS EAKDRAENNGQKPGGREWRGEEQRRNIETFGQGSVDGGYRGFRGGRGRGRGGRGRGFR GGRGASNGFPRRDAPTAAQ NEUTE1DRAFT_147444 MATRQMRKLQKQQELLRLQNEAEREDDESEDEPIVTKPKPNAFA GFAALGGGDDDDDDQDTEEETREAEDVPEPVAPAKKSKKSKKKKKKGKKTESPAAEKE EEDDLDEIDRVLEELKLKDNKNPVSDIATSNTANSTSQINELLRVNFQHLKAINEMRK LFGSAIEAARAEQAAEANSRQQQRGAARQNVDLETFLSVPPAVQQQLGKRSMFETVLR TNPFIEGKKTWPRDSTRGLKMVRVTESFNGEPGVAEFAFAHDKTYDALEGNFYGLVQM YDPMQLVYFLSRYPYHVSSLIQVSKVAHQDQNSYLAHDLIERALFTFGRVSLSDFRKR LGDGTARLDFNRPENRQFFLAGYNQIKNLVLKGTYRTALEWAKFVLSVNHDDPYGVLN WIHILAIRAYEAKWFIELCNSPLIANLGTGRYVKATLPLAKLQLKDNAGARAAAIESI EELPWLFCALFSALNIDTPRSVWGVQPRDDEEALHTKLYVHLAKDIWNNPAAISLLTE AANAARKVDFFGLPPSPVVSLATARFVYLDNTPELMSAVPRKMLHTASPNFDFDPLPP PKEDNIFSSPTQKMPWSIAEGDGEHRQTRLHEQAQAALQMARARMNEDQLRAVVDDAR ARIDDPEADEEQRGVWRRIVDVLTGQGWTGGAGGVAEGGEGNQRGDVMPGGWEDDFDD GWGDDDFEDEDHWYEDQLDPELELEFGPGGTPNRRATVEDAEDSDEEETARR NEUTE1DRAFT_45078 MSGSYTYKFDVTMTCGGCSGAVDRVLKRLKENESNIVSYTVLLD EKSANVTVDDESPALYEKILRTIKKTGKGVNSCVELDSNGNKIRDVDVVLKDE NEUTE1DRAFT_123342 MKNFFLVTIISILVGICSIFLLPIDLLPVDISTLTNQLLNYTNI NTYFAIQPLEDISSSTSSSNPTIIITTTPTAANMSVPRLIRKIFLATEQAEGAGARVR RSIGTPQLRNFSPFLMLDHFTIRPGSGFPDHPHRGQETITYLLSGGVDHEDFAGNKGT IEAGDLQFMTAGRGIMHAEMPRQNPDGSANVGLQLWVDLPKELKSCEPRYRDLKAQEI PTVETDEGRVKIKVISGKSHGVDSVKDLAYTPVWILDVEVKPGGKVVQEVPEGWNAFA YTLEGTAIFSSGKDGEKRTVEQFHNVVFEPQGEAVHAEVDAGAEKPARFVLVAGTPLD QKVVQYGPFVLNSQEEVYQAFVDYQTHSNGFERAQGWRSEIGKSMRH NEUTE1DRAFT_45451 MSNSNRQVNGDVASNSAVIKHLLGYPIVESSISTVKANPYGQKL LEVTEKVYQVGAPFLGYLQGPYQYVSPYVHKADDLGDKTLSKIDEKFPIVKKPTDELV HDAQSLLQFPIRLGQSGKEHVLSTYNTELEKVGGKGLSAYYKAALTTALTIGTETYAT AASFLNTQKENAKQQTANGTANN NEUTE1DRAFT_111321 MAFENVEFQTLIGTLNCEVQVNRDLLEPRPAGFHQSGGYAMLRY ICIQLSGIFTILVSLKITGTRLMEDARNRLVQERYLLGQQSGMDAVRPRVVENVGGWW WPGPLGSTRKILNGADFDSSIGPHGARAGAYDASQCSKFKVLRLASARPLWRNQLKSL PQRPDHNKCCEATLHAALLALLAVGEPDTRLNEAAWEMWLDVEPFVSYEREIASGAAG EVDISVIPSEDHQPWKRRN NEUTE1DRAFT_84532 MAPRQKKPCYVLGVGMTKFIKPRGKVDYTELGFEAGVKAMLDAQ ISYDDVEQGIACYCYGDSTCGQRVFYQFGMTQIPIYNVNNNCSTGSTGLAMGRNFISS GAADCVLVVGFEKMQAGSLQSHFNDRENPLGTTYKMMATTRGVTKAPGAAQMFGNAGR EYMEKYGAKPEDFAEIARINHAHSVNNPYSQFQDVYTLDQIMQSPKVHEPLTKLQCCP TSDGGAAAVLVSQEFLDARPHLKDQAILIAGQCLATDAPSLFSKSAIDLMGWDMTQHA ARVAMKEAGVKPSDFAVCELHDCFSANEMILIDALGFSEPGKAHEFVRAGDITYGGKV VVNPSGGLISKGHPLGATGIAQCAELVWHLRGWANNRNVSHTKYALQHNLGLGGAAVV TVYKRADGKEAPVIDSATVGKLNKLGYNPAVEAKGFTAEQVKAVRSREKVNEWALQDT MTKVEARF NEUTE1DRAFT_65853 MQITQTSFMIAFLAIGAIAIPTPGKSDEAWAFQSTKRADDEAWT QNNGKRADDEAWAFQSAKRADDEAWTQNNGKRADDEAWAFQSTKRADDEAWTQNNGKR ADDEAWSFHTTKKADDEA NEUTE1DRAFT_117536 MDKFKPSNYLPVDCEESYSPDPGSDEDDEECREFSTTSSARWAG SKSSWIQRHWRSVTVHALLIAANLFLFIAYTKGVIVPVRYTDPQHEPTPFRSVVKYEQ RAFDMGAIYGLGKNKSLNMQKGNINFNGPPRPELENAWNDLLRFQNVRIPRKDMGQFQ DDDTVIRLTDGTYYFTVSVFHGLHCVERVRKYLYKDHYYPDLSEMQAIALLKHTEHCI DYFLQYLQCNADTTLLPMEWTTEDPKPVSQGLGKHTCVKWDSIFDWMKENSFDPFEPG ILMHPILGDPYDRNASHHHVNVGLTEAGGILHLNEDGTVKEGSKKAHLHS NEUTE1DRAFT_130724 MAFLQYALMGNHVQNTRNLVPLVRGTGPWHQPDNRRSRVPQEAT PSYWQSICSMAISHATRQIHQNALRPSLFIYSCPTVYTNTISRPRGTQTQNMSQSKTP FLSRNFEKDYEENVETESHSTTDVDLEDALSTTTHKNARPHRRPSPAFLRRVHFRSCP DLILYLFALWGFLSLVFQLAQLFLPITPIFPSYHAKTTTSSAGARPGTSNTTKDTVDV YRPSTFPSPSYDLCSCGSSIREAQSLHCVYDTMAAAWLPPYCRDPELTTIFDRSGPGE GGAWSYYADQNGSVRLSPHQISLLADNHQTNVKGGNVFWATREWHLAHCLFYWQKLVR MRDTNAVMERRFDGWRHARHCYGLLMRRDPPGRETLLEVDVRLSGGFEMGREEKGGHK GH NEUTE1DRAFT_130725 MADSSNHAIIFGASGLIGWAIVNQLLRGYPRYGSFSKITAVTNR PLDTSESLWPTDSDQPDLQLFSGVDLHKGDGATLADSLKQAVKDVESVTHIYYLVFQA VEDDIEEVAINRRMFQNVVDAHTILSPNLQFVAFAGGTRGYGIYTPGGTFTPPLTEDM VKNLPPDYAKTVVYPAYRELLSAASKGKPWTWCEVCPDAIIGFTPNGSQFSLALHWAQ YLSLYAYNHGVGPFATEAATSPVEVPFPGNAAGANSLFSPVSSATIARFMIYASLHPE TCGGGRLFNVADNETPCKYGEIWPHLAKWFGLVGVGPVAEKASAPDNSMGVGQLPEST SLLPPGEYVTKYRSIFKQHGRENAATKGVGAGHRQLDSVGYWLTFDRQLSITKLRETG FEGDKHPVQGWLSAFEMFRTAELIL NEUTE1DRAFT_123349 MTTPAMDSTGVTAESSRSAEISPPSSAPPEVNKPNSQSPRPSPL PPYFRSYEAYIEHCVAQVGRDEIPEAAFDRLCTSCTAVFMKIARLIAGGKEYPEVPFC TINEFLRSAVEGKCHVCHWSLESGVDWLERGDAALTLCRCVYDLYWRVVKGDGTKGEL TWIDVERNDGSYTRDRRLLTALTTASEASWNQALKWIENCRSHPLCNPIQTANAQDKW PARLVAVGDIGDAHVRICETSQPGLVEKPYMTLSHCWGENGVPIRLLNENYVRFLEGI QVGELPRTFRDAIDVTRKLKIPFLWIDSLCIIQNSNEDWIQESGKMQQVYQNSFLNLA AGASADANGGLFYRRHPLSITPWFIRIGEDRYLMAEYINEHTTKSYLSTELILYTRGW VLQEQLLARRTLIFGRKELHWECSTYQASESFPEFLDRPRSLYRDYAKIFRPDWQNLL GGKLVDSERQEAWNRLIKTYFRRSLTQTSDRLVAISGLAEQLSSEWSGITYLAGLWSY RLIQNLLWFCRGPCSQKNMEIAPSWSWASLIQPGRSGQLSNLGYFLDTQETVDVLAEV LEASVTPQNRTNAFGPIKFGGSIKLRSPVLRARITAIPDCRRDDCEDYNLGTLELEDI RICVQWDEANDVKVDVQDVYLVPFMIRYGGYNIMIYGLILKTAVYPHETQFQRAGHFF FFDKEPQERRDSNAEDAVLENQENSSDEGIDMVQKNIPQELKKKGLFRNIDLWFTKKV RNGLKERMDYLKKDPYVNGPPLNTTLENRYLAESGRKFLPHTSKVLEAIAQAAKHNKA NGTPDPVLGLGEGNGYYTYEIV NEUTE1DRAFT_65867 MPMYASTGSERLKTPKSRPPIPGTGSGTPKPLLPVSERKSNDTT SPISTWRKYGQGSRDFDITPDGGSAGREGRHFAVANVGHNGRIYLRPTIRPANQRYPQ PPFVFPMTPPDTAGLEHSSSEKDDTFGESTKMFDNQWAQTPDLPTPPITRRDYLGSLR RPGHRRAASDSTLADGNVVREPETGGFKVIITQPSEGTRPRTVEDTDMTSSPLLEVAI PSWRIGTPRFSIRGTPCIRGSSYAPTEEILSSSASVEDRRINSTMANCSATPGTGPIH GMDFAISNHRISDNPVSSTSPASDRPLRSTHMSTHLVIEPAMFDELTFKPACDDRLIV RYSPNTGAVTAATPPRLVAEITSPSFLDYDLVSDFFLTYRQFLDSHDLLSMLFARLRW ALAREGDLGTVVRVRTFVALRHWILNYFVDDFVVDYDLRVSFCNLLNDVVDEWAEVSK AHKIEMKTLPELKKCWRRICSQFWDGPDFDASLAADIPITPGGIAGHRDPNLTPSFWV KYDKGPPQLDELNVLTTPRGPTSFYAEIAKAGHIDSIIVGERPGTPENPEIDEPQRNQ ASPTSVTSVEVVSCSFPIKSSRHTQVGSNRPLTAHPADPSSVYNTTEGIATTPRSLTG KRVRPQHSSHKRNNSLSDSLHDHATLTERVLYKNAEFLLTLPYAGSLIQGNIFPPGQA FVEVRPNSAMGDPSSQTTVFQPVEVRKDKFIGSAMSSHGMKKLLGSVRRALSHKTSTH PSNQTMLANISPIGPEGATTNRPPGTAVVPQSKARQNGVRPPVRIDLLGAGVAEDFKK AIREDAAVEAEKLEYAVPPATRNEEAKSHGNAMEYSAAHMDTAFNLRSVEDVQPRSDM GISSGSDSIVIVDGTTLQPVMSGALPAASSSASFSHSFIPITADPTPPNTPPDLQQGT PRTSSYILGQRVLRNSVSTNQASPIILDSTPGEGEDLPSDDFGQPSIDHVMQDFDQTP RSGLRGHFRNLSSRSQHSTWSARHGRFASYTSDIIPQPTVRSFDATTYSEESVADDSS QVLIPQPLRILRRRPGGDLRAVTNVGDLDHPPLRTSRSVGSLTTYTDSFASSFIRSPA HQDSSSFVDVVTGDNNRPEMFSLGALAEQNPKKRHLSLFSTHSSKPVMRPSFEAEAIK LAQIPDDEEDGGVEAALLKLEGKYERKSTIRLSMDLPKFKVEQKTNPLPTQPEEVTGD ERVDQRHVRAAGKNIYMPPSPTTPAPMDIADSYLDVTPRQHRITNAESFISATSRDSY NSSPLLEQEMMDNYGVNQDTLQSWTDRSILQPPSSDEQSVQSSQHPSTASFDFVEKAD SMEPVKVDDAIPTAEPEQSFLTLESEDDTDLSSEMSAEPFDASVDDYGVITPSAVGVA ISTEPAHSPAENQFGPNPPSPPTIMIQALQMLPDMAKGSASQQQGGWHAKPLPPTPDT TPTTTAAPYGQYQHSFPVQPTASKGMPRDKRQLDVPSPFPEAGDVTEASDSRLSAHLP FILAFDSDILAQQFTLIEKDALNEIDWRELIDMRWKNAEQKGCDARSWVSFLRDTDAH GVEVVIARFNIMVKWAISEIVLTRDIEERARCIIKLIHIAAHCRRFRNFATMAQLTIA LMSNEIGRLNKTWAMVPSSDQRTMQELETLMSPTRNFYNLRKEMEGGDSKTADEGCIP FVGIYTHDLLFNAQRPSEIASSPTTSPLVNFERCRIAAGVVKTLLRLLEASNRYSFQP IEGITERCLWMGALHDDEIRRLGESLE NEUTE1DRAFT_111329 MSRSETSETFDTIGVTSRAQWWGTGSEAKHGREGREGGKPSTPP PPPPDVEPFAVFWDFLTSVKQVTFESHYVNSQRLGYLSSLPDFGASAHGHANHLDHLS RMSHGTFLVGTRSFLADLSAVATEWSTVTVCCSRCPLDKLSKYIVASLLVQYQLRQVI SDIYSNKIMPTCTDTVSDMCW NEUTE1DRAFT_65869 MAPTQPQTKVRKPPRSWDALTPPLAQWILDYLSSMGFTQPTPVQ KSCLELFRGNKDVVVEAVTGSGKTLAFLIPVVEKLLRGEEPAKRNHVQGIIISPTREL ATQIYNVLVSLIKFHEPSAEAISHAKSDEKRPTATQPVVVPQLLVGGTTKAAEDLGTF LRLSPNLLIGTPGRLAELLSSAYVKAPASTFEVLIMDEADRLLDMGFANELNRILGYL PKQRRTGLFSASLSDAVERLITVGLLYPHKITVRVKSLKDGGIIQERKTPMSLQMSYL VTPASQKMPAIVQLLEKLEPRPQRSIIFFSSCMAVKYFSRILGAVLPAGFSVTSLHGK LEPKVREKNYERFVNTTSPMVLLTTDLAARGLDIPQVDLVIQHDPPTDTKVFIHRCGR AGRAGRRGLAVVLLQPGREEGYVQLLEVRQTPITPLEKPAISVTPDEVERVSAAFRSQ ALADREIFQMAQLAFVSWTRSYIEHQASSIFRIADLDWLDLAKGYGLLELPKMPEVRE AKIDRSLGLGINTEEIPFKDKVREKKRQEELAKWKEEKAKRAQEENTGDKRKKNEAWS GKAEQEETKLQRREKKRRKREAKKFSEMTEKEKEEHLKLEQMIEEVRKRNEAKAAEER AAALAANPPKPKAPVKKVDDSDDEFGGFDD NEUTE1DRAFT_84553 MPTRSSIPATPRIISPSPTPSERDALDSYTGPMTRAARRRLATP LPVSEEGNEEDGVPALRKARTRSRSPVETRPTAGRRTSKKRTTKTADAKTTSTGSTAA APKGDMAVSSENQEREVSNGHLLPPQPAGYTGWGWKDISRSPSPLGLIPIHRHWKAFI HKHEVPRKALHVSIGFFVVWLYLSGTQTLSVCPYLMAALIPIAAVDVLRHHNASFNRL YVKVLGALMRESEFTGYNGVIFYLLGAWIVLGFFPKDVGVVGTLMLSWCDTAASTFGR LYGRYTPRIRSGKSLAGSLAAFLVGVGTSAFFWGWLVPTKGPMPGDELFMFTGTLRLP QLLSEAVGLTPAQATISGGLALGIMSIWSGFVAAASEVANVCGLDDNLTIPVLSGLGI WGFLKIFG NEUTE1DRAFT_111332 MAALGIASEVPVKVKSPARWSAYPMGEEWQKPDNGELERSNDEP VEEQVPWLVCDMARGCGVGSGGQQGDNASSPPARPWRGTAQRRMGAWGDDGWLLLVFE KENGFRGWM NEUTE1DRAFT_130730 MSSNYSTQYYAATGAVPIPSGKGQYPTYPAYYNSNDSNYSVSPP EESDPSVTSGSGMGHSTFSVPASTYAGSSQGDYESAGSASGIDLNEYMQDRFADTFSP IPLDRLTVEQAKISGGLNAKHREVLELQAKAQARLARTRARFAQGLEDAQAVRKDLEW TQKKVTALSAKAAKQHPKEYSKARARHPSPDAF NEUTE1DRAFT_123354 MTQPPIDNTTTTTTTTTNTNENDIFTDDVEAEAAAMAAAMGFSS FGHQAPADYSEEEGPADDPHGGQQRPSKKRRYNSRIDDAYVGPTPQGTGANDVPVQQP RVFHVPRGGDSNEINLDDDASAAADVTSTTSLPVNSSLSLPPKPQVQVQVSNQQHNQR GNHGVHSGRGGGRGGRGGRGAYGGGGGGGGSGGGTHGRDPTKPWYTDYYDPSSNENPW ERLEQQRGMEAVGKWLPSRSGGGGGGGGGGRGGFGGPGSGSGSGQQEGQSAVQAV NEUTE1DRAFT_147460 MPLHLLGKKSWNVYNADNVARVRRDEAEARAREEAEEQRMQQVD AERRLAILRGEVPPPLEEQPPAPESSHDHGRRHRERDPLIEGRKRKRTGEDDTDFEMR VARERATAGQNAARELSGPVKSTSITTTTASLVDSRGHITLFSEEELRLTEKDEKTET EAAKKKREEAEQHQVRFTSAAGRDAEGLLARNGPWYATPDGDVAALVPSKNVFGKEDP GRKAREVERLSASDPLAMMKRGAKMKSDDEKRGGEQNIARKSMMVERLVTNLTVVGGL GRRGGNGTMIETDREIETGTATVTMTMTGIMNRVVTMRKGREIATENEVVGVKEIRKG GEIILATGTIDGLVRTETIASIASTKTVMPAMISMTITGITARRGQITSTSQDVQDTI RHSILNFCITQNAE NEUTE1DRAFT_123357 MDIRGNLFGKKPQTPQGGAGLPGRPVQQAAGGGYGGGATPTNYG SPGGRAPSQPQYSGSGAGGYGGAPSEKSYGGGGGGGYGGQPAPGGYPSQSAGRGVPLR LAKVDDKTLQSLYIFGNICAVSPDDFPPNRDGTDLYIRLTGRQLRGDYVVTARPTPGF RPGHISLSDPQRTWCGVGMMDELMGEVYDPFARGGDAYIGSMDVEIGFASTNRIVDTP YDQDELAKVFLKTFQNQVFAPGQRFLLDVKNIPLAVMVKTVTLVDLTMLGSGEAPTRS DPGARGILTNQASIGFYKDARSPIKLKGSNKRPAANAIIAPDFKFENMGIGGLDTEFS TIFRRAFASRIFPPGLIEKLGIMHVKGMLLYGPPGTGKTLIARQIGKMLNAREPKVIN GPEVLNKYVGQSEENIRKLFADAEKEYKEKGDESGLHIIIFDELDAVCKQRGSGAGGG TGVGDSVVNQLLSKLDGVDQLNNILLIGMTNRKDMIDDALLRPGRLEVQIEISLPDEH GRGQILKIHTSKMKENKVMADDVDLAELATLTKNFSGAELSGLVKSATSYAFSRHIKV GTMAGVSEDVANMKVNRQDFLNALEEVKPAFGADESELEKHLAYGVIHYSKSITSILE DGLLYVNNVRKLERLKHMTVLLHGPSASGKTALAAHIAMKSDFPFIKFITPTDMVGFR DEVAKKDYMHKIFTDAYKSPLSLLIIDNIERIIEWNPVGPRLSNTILQALVTLLVTPP PKGHRLLILATTSQRTVMEQLDVTESFDYQIAVPAVNNLQELGAVLNEVGAFGGDQAA VSEVLNTLREYTGSDSVGVGVRTILTIAESADTSPDPKGWFVEKMAGLIARYNVR NEUTE1DRAFT_117539 MVNTHSSKLQQQQPSITPLPLSPSSLPPPPPPPHPTTMSSISSD QPALKGKGPAPNYDHHHESTTNGAGGFRPQAPMAVQPPRAEDLQKSYASIITNDADPK DWYGYMINGLGAVIGTIGAIPCCIMCPNPYKTVQQGNVGLVTKFGKFYKAVDPGLVRV NPLAEKLIQVDVKIQIVEVPQQVCMTKDNVTVQLTSVIYYHIVSPHKAAFGITNVKQA LIERTQTTLRHVIGARVLQDVIERREEIAQSIGEIIEDVAAEWGVAVESMLIKDIIFS HELQDSLSMAAQSKRIGESKIIAAKAEVEAAKLMRQAADILSSAPAMQIRYLEAMQAM AKSANSKVIFLPATNQTMPSQAQFNASLDAPGSFNPGEQGEGSGSKNKTSTFHDFGGS DGGFQQALNAHLVENL NEUTE1DRAFT_102206 MEGRKAEDNVWLETEGAPACWRFGESNRPVLDRIQKLRIVVDIK ELSRYCTDDAKPSKWEERGQQLLEEMERLGQNLTRPMAGLPTLKGLDVRIETHPDLPA TPKLPDVLDHVLASETCSMLRSFSCCQLSELIRPGSPGRMEVYINGMLKVREPGSENL IVAVVSSCSQVRNLSIPSLALLYKNLKFHRVHKGWEKPLVARGINTIHEAEKIASIKR IAPNGGLELEFHWWRA NEUTE1DRAFT_102208 MSPFLPHDVLYRVSDSPLFLPVIALGTAHMAIPNNEYNFWDSAD YNMSVHRGNDLVHPRRQVHSMFYLKSFLGIIHYFCVLHLPTACEILDHDFHSHPSVQQ LLQFCFVSKAFYHAVMPHIFKHVPLSFHEFDCWPQCLQFWSSNETEDLRVKVRRLKIA VKSHGISKYCFVEKNTPQDQALALSAAKERQLRALMVDLMNQLELPMVGLPSLRELIF SVDTFTNVYSDDFVVNRTIPDLVLRIVRDFGCALSNGRFDHLTSLRVKAPADQLVEIL LDATRSKQLCVNLKTVHCDRCQAIGSVENQDAVMAAEEAVLTLVELCPNLKELCLFTF HEMPQLHPENTGLDKLIVGRVLVKKLDANRSICFRRRPDCVYTIMGPIQAHTPRLRAF AKGARVPQLTGHEGR NEUTE1DRAFT_117540 MAPANLPSIFNATSTDIEQLLAAQCHIGSKNLGVHMQPYLWKTR ADGVNILNVGKTWEKIVLAARIIAAIDNPADICVISARPYGQRAVLKFAAHTGAQAIA GRFTPGSFTNYITRSFKEPRLIIVTDPRTDAQAIKEASYVNIPVIALCDADSPTEYVD VAIPTNNKGRHAIGCVWWMLAREVLRLRGTIYNRETPWDVMVDLYFYRDPEAEAEEKV EEEKLPGVEEEGVAAIESGFPATGDWEAAPAGFPATGEWSDAAPGAAAPNWDATAPAT TADWAATEAKESSW NEUTE1DRAFT_117541 MEGLLFNVNNGYIEGIVRGYRNSLLTSTNYTNMTQCESIDDLKL QLGPAYGDFLASLPPKPSTSALAAKTTDKLVSEFRYVRANAAGSLAKFMDYLTYGYMI DNVALLITGTLHERDTRELLERCHPLGWFETMPVLCVATNIEELYNSVMIETPLAPYF KSSLSLQDLDELNIEIVRNTLYKNYLEDFYHFVNTHPDMAGTPTAEVMSELLEFEADR RAINITLNSFGTELSKADRKKLYPNFGQLYPEGTLMLSRADDFEGVRLAVEGVADYKS FFDAAGLGGGPSGPGNMGGGGTEGKSLEDMFYQKEMEISKMAFTRQFTYAIVYAWVKL REQEIRNITWIAECIAQNQKERINNYISVF NEUTE1DRAFT_65898 MPLFILTETSAGYGLFKAADKKLLSSDNLAERLNSVEKITKEIK YKEFAKFESAATALEEIAGVVEGKVTPKLNSLLAEIGNEKKVTLAVAESKLGAAINKI PNLDIQPIADSTTTDLFRAIRQYLPELIPGMLPENFKEMSLGLSHSLSRHKLKFSPEK VDVMIVHAVSLLDELDKELNTYAMRVKEWYGWHFPELAKILPDNLSYARIIVTMGMRS NATTADLSEILPHEIEAAVKAAADISMGTEVSEEDLQNIKYLAERVIDYSVYRKQLSD YLENRMRAIAPNMTELVGALVGARLIAHAGSVMNLAKNPGSTIQILGAEKALFRALKT KHATPKYGLIYHASLVGQASGANKGKMARQLASKVALGVRTDALAEFPEDADDETRAS LGIRSRAKLENNLRQLEGKPIASKGVSVGPNGIPVASAPKWDIKEARKYNIDADGLAA DAEAPKKPLIQEVEMQDAPADEDEEMKDVSKKSKKDKKEKKDKKDKKAKKELDDEDFE RLAKAAGMSVDKFKRRFERGQISIKEDGTLEILSKKDFKGKDAKAEEEAEEEEKPAKK SKSSKRKAEAEEEETTKEEKPKKKKKKSSKE NEUTE1DRAFT_65900 MIIVTVNDRLGTKAQIPAFPSDTIKQFKVMVAMRVGREPHEILL KRQGERPFKDQLSLADYGVSNGVQIDLEVDTGD NEUTE1DRAFT_102215 MNHRSKGQLMCRLEGCPHEVLFKTVDSGGGSGGGYVDPLERRAS IIRDRNGHTLQGRGSPAGAGAAGGGGYDARCPVPECSQARLQYLDPAYESRHGDSLPV YQRWEYCADHGCRADGCKKLVLEKQICCEEREISLLCHPARHNVADTKEYLRFCVDHA TCAVNRCKDMKIEQSLYCANHTCRERGCSKSSQSKPFCSEHCCAEVNCRYPRPWAASP NPRGKFCPLHCPEFVDRLAIFCRTHGCSKPKCHEEVIVEGFCLDHLKAHYITQGELKA RGGSSSSPKDSPTTTTGHPTTMTTRPNPAQPPTFPRRLSQQSIASIRPGPGPGQRPTS ILLHGHAHEILIPPDEHPDELLDHSRDDPIRFQLNQVVAQQHARQAYQAHVDDPDPDL DNDDNEDNDDDGDDDDLNDHHLPPNLNPSKPGGGSGSGRSSLSSEAVSALSDNENIGA AGIVNGSLVNGNGGGGAESPGDPPEYSKVAAPGSIVSVGQGQGQAQGQGQGQGHGEGG ETKTGGGSRREERERERNRRKVLERDGGTGTGGGVGMPGSFVGGGDGKGEDSDGW NEUTE1DRAFT_117542 MAWPRSMNGLGKNNRSEPVPSGSGSGFPVHPGRIVRVTKQKHKR VGEEWNNKPTQTVSVAHGQGKT NEUTE1DRAFT_84585 MMTAAAVQNPPVATESKSAKKKKAKQGTESPALATSSTPDKPAS LAAGNEPSQEGDSSNPHIREIQKAIRNVSKKITNASKIDHLVAEHGSKSLDDLVSLKI INADQKAAYLKKPALQAQLFQLDEQLALLRKLDAEHKIRLVEQEKALADKFAQEKADL IAELEKKAEADADKKLHDSLLHLSQFLRLAAARRAEDADSTADENMALEGVLLHIYSG DENAVKNMLKLVQGAEEQTRSTTGELLKTTFAQVKQVAIAYAAPFSQPAAEEPVAQAT ETETEAPAETKPAETETVESKQEPQTDLTVANAGLTEIDDGSAVALINGNTQEQPATG GAPAQTDAGDSTANPAAESGWDASGSAAASTDMTGSQEWVEVQRDPAETEKGLEATPA APSNTQSWADDHPETAGAPTGADDGFQSVQGKRGPQQQGYRGRGGYRGRGDGRGRGRG RGGPRGGRGGPRPPRAGGDEQH NEUTE1DRAFT_84588 MPGQKAYPRATVKKIVKAHANCNVSKNVDVMIYLDYVLFMQTLM KEATIEAKQGGERGITGRSVKKVTADTLAKFKG NEUTE1DRAFT_147471 MNRRMLSKEDEEAAGDEIEVRREDQDKINRFSRLHQRELALEEE LKNKNKEKEELDDLETELELVEDEDELVQYKIGDSFFHIPLEQAKEMLGIAAAKLIKE IEALDDKLETIRKEMTGLKVELYARFGKTINLET NEUTE1DRAFT_123368 MSHNLQESPGDDDRRQSQPTEAEQGSSRYEDRVDSGFVQQPENT DGTLQSSKDKESLRNKKSTEPRKFYLVTHNSGDDERHRGSESSYSYKSVNLEQPFRFG EFNPLVGESLPSLSIRDSTSGERTYASPNPATIPISGHASSSSSFHEKPTTVEPRGIP SQLLPRASSITSFGFIDDGEDTIISWEENDPENPYNWSSGRKAAILLTAVMLILNSSM GSALPSNAIPFIVEEWKIESEQETVLPISIYLIGYVMGPILWAPLSEQYGRRRLSIGT FAMFTLFTLACALAPHWISFIIFRLFSGIFASAPIALVPGIIADIYNEPRQRGRSMGI FMAVIFGPLIAPIISGYAATTIGWRWAFWIGLMYAGLTLLPLFFLLPETYGPILLLRR AQHLRLTNPNAHVIAPRELEDTQHSLSELTTIVLTRPIRMILMEPIVSTSCAYISLCY AIFYMTFEAYPFIFIDLYGLTPGQCGLTYLAMGVGCLIALPIFMAWDSILTRARHRNA AWTRQEEYRRLPLACLGGPMFVVSLFWLGFTSRSPSIIPFWIPMLSGIPFGMGFMCVF QALLNYLTDAYEIFAASANAAASCSRSLLATLLPLATAPMFHRLGIAGACSLLGGLSL LMTMMPFIFLWQGEKIRRNSKFCLLLRERREEMERKIEEQKRRRTGIMTMRMSGVSAA AAGLRFSAYGEGLARTETRGEAGRVLGGGGAMGDITEEEQQGTKKDVEKGEMDKGKEM EMEMEMEGRKSSSSGSNYSSHSERGGIQAHGILHADDGGRRTGNVVNHAFERPDYGGA DEVGESSFSHDHDPPPGSGSRSGGSSATVAISPPPPRITGTPHDDDGGGKLNGDGLVG GGREGNEGRRMNE NEUTE1DRAFT_43805 MTGFCCCGVPRPPRTVTPSTPSPRNQTPKPSRALPALPPPARLP VAGPLTSNPVIAIPLAVDAYPDSSSKSGTSLQLATSSVIVPIGPADLSDLVVEDSEDD LPGRSTEPSKSTSTLQLVRENIRRHLSQDSLPKKKSRSAVGCSEEEIQRRAELKRLMH KRIQEELRIEQSHERSRSEVSSVLPPPRSSSLDYLPGGGPRDALEFSVAQKEEGEAHS DTTSSSIAKSSADVSALGKAAPLSDKENSCPRANSLKYPTIAFIQKPIRERSSLPEMP ASPVLAPQRYSNTIDTSSLGSWRLSYSATQLEDFLGFIDQDGSSQDAESFLKAAASKV VTSRSVPLLRRSKSETRPRSSSARTPGNATPCVLDQSPMGIWLRSQGLGSCSPSLSLG RTSDRDGDPEISVEQAKVVMLKRCSSIPNHPSGDIGLQRPEIVHLHDMDIHRQLATQT LNTPEESPANTESEQNTVRRQPNGQAGLITNPSSAYPSAGHSADPSAGTSSSHLPSGV MGPHNLLSATPLRWLLSSNPFGESHSNMATGGSSLDSPTDGGTATQTELISSGVASNT INKRQSVPKLNIMERSFARFHLGHGAPSNIAKRFDKPTDSMKLRAEPSKRSLLARLHL SLPRRVKLPPASFDGQVDDEQNTPLSSTSRPVDSQRHKKSALSDVGPQTLHQSSEFPF SDCDDSTVELWQSAVREEIWRRHTGIKKKCSNGRFSSLTYDVPPKTYYPYPYPGARPG GQDQRSSPVSISTGLSAKTLESNPEPSDNVSNVAGVRRSSLESPGPEIQRPRKFPEAW TRFPSYNRAERNEDHENTDQARPEQTASHRSPNQEITQTAISGDNSNRRRNGVMGHRQ KNSMSSKFGKALKSGLNKLVHSRRSSDVSCGESHLDQARATLEYPELALHPTEAGYRE LEALGREIRRLKRLASNEVEDGPRPWVVNPVGSDDELNESNAGSHRNLTMPGTFPGSR GGDSSATTDKFATPLSSPSLNDASFHSFPRSYSPESSRQSSIPILNKAVVPEIKITDL SSVKSDTTLVLRTHQVRFSDENGQCQSDSATSGSSKYNTWSCPKASQTNDDANESDNS DQIFPKNNKRRSHLENSLSIQEIATGNV NEUTE1DRAFT_65915 MRNSFFALCGLAALHTVGAAADVVKGKVFDRFITIWLENQDFAK VAVDKSIADLKKQGVLLSRYYAQTHPSQPNYLAAIAGDYFGLNHDYEVRVPENVSTVV DLLDTRGISWGGYFEDMPGPGFMGNYSDGSTHNGGWDYVRKHNPFVSFDSVTANGTRL LCLQSFNEFHDDFVAKRVPQFVFMSPNMMHDGHNTSLETATEWSHEFLKPLLDDKAFD ERTLILLTFDESENYGEPNHIVSLLLGNAVPKELRGTQDDTFYTHYSILSSVQNNWGL PHLGRYDVGANVFRFAAETARYGGNRDPTNLATLNNSLSYPGFLHNESWLPIPIPNWR LVGAGGKGALPAVEKTWHIEDGVPWDTPYDGSGKVFDGDKNMPIYKPAVAN NEUTE1DRAFT_147474 MASQNFLGASITGGTREKTYFEQQREALIGEIANSFEHVLANIN KLNRSLEAVITVGNEFSSVEALWSQFENVMAKDPSEAQGQQQQQQQQGQQQQAEQGTT TARQEHGQTRGEEGRQEEDDTKMEVDEEDSKIKMER NEUTE1DRAFT_117544 MLRWIRKPVSAKNGVCFFLSASYKFQALSEEYHGGLVGKAYGVV VRPSGYCPPSRPCTASLLLN NEUTE1DRAFT_44457 MDATNGNGGASKYITLVSKDGFEFVVLREATLCSDYIRGMLRNN MTEARTGRCELQDINGVILEKVVEYFHYWYKYRDREDVPDMEIPVENCLELVVAADYL GMDSKPH NEUTE1DRAFT_84606 MTEGPAYSKKVVVVGDGGCGKTCLLISYSQGYFPEKYVPTVFEN YITYPTHPPTGKTVELALWDTAGQEEYDRLRPLSYPETDLIFVCFAIDCPNSLENVMD KWYPEVLHFCPYTPLILVGLKSDLRNKKTCIDMLKTQGLTPVTTEQGLAVAKKMGAQY MECSSKEMKGVEEIFEQAILTVVANDRKTLEQEAANGMLGVGAGSGSGKGSGISFSSG DKAGSGIGPVKAAGVGGTIVPKTRKKKRKCGMM NEUTE1DRAFT_117547 MTQPIMQRLADWYRAAYERLVVEEKKIDGVVGENETVDEEVEVE VEVEVEGEGWGEEGVGVLSAATLITTSLLRVVVER NEUTE1DRAFT_147478 MATPTPTTTNNKDGIDPTSLARTIAHMNKDHATDLLHMLIHYSP LALLQLPLDLEKPVRQLVRDLRGEDVDIRLVDMSLAELKVQTRAKEGAFQTRTTIAHT IPIHPPMKSWAERRERLVAMTKEAREGLGLPVEGVASEEEEGKKKEQEKIGKREKKGG GAVVDTYLAPRLFWDGAVAISVAFFFGCYAAVRGGYVEQGLWAWEFMQKWWPVGGADG FKWLVNAMFWPVLVIHIAEMVMFERTRLAKNEKIPMGGLTWLKWMVSVFFEGYMAFRR YDEKVAELERKQH NEUTE1DRAFT_139035 MALPVSTVALEIFVDANPEVWFSRLRDQAHCNDLNRAKSAVRHR EMFLVDLLQKHAGKPDGHYLISAQTHPSFPRVLARAMFKDKSAIWSLPHCSNITLSKE EQEEADLTKRSRGQVEAMSEYDMVRRMHEEGWIEEAGRAPVTVPGPEWQVSLREEVDE ALVSAMDVETKICQRFQEVRRRAKMSPGTLPLWEELEKIEEEIQKVKLLKGLLGSLNR YLNSRNRSQSPLKVLMIDPIRAYLSTTVHLFWAIANLFQLASSSCLEMPKPNKEKVRS DGGGILRRYVAAVLCAEKGSQIIDAQEGRKRIMETIKAGSRGTYIDPALHCGDWW NEUTE1DRAFT_102229 MTTFLTLDIPPEDVDRLLDERGRSPDAEDKRLWLLCRRHHLSKK FETDDGQFDSDGLVKVLSEVMAGDRGDKDVPNLSRHEQHYLRDDHFPDLANAVAKAAR RAREFHKGEKDKEDHLESLRRLVLDLGRAIADCDSIQDYLSVSNLLFWSAQNVLKVTS VPLATPQNTGIGVLKSIVRDFFMNYVTSVLLVESKAGIPSAGLAGIELGIPSEEFDNL VKNRLELESIGIGPKRKRLGQSQLFPKSSTYLTPKGRVDIGSFANGLAKMMVIDKQVE LPQPLMEVFDFESEYLIAINTINDFEMTVRYLQQLEGEWKIRDEMGASTIMERLVGIG LILPIFDRAKILSDDLISPESMKELTKELAVLIRDQLLTEETKIVETQPKDGEVHIRL TEVRPFIIDLGGCIMRCCKDFSTYLAASLYLFLATADAWWSLPASDSCREAREFMRSI AEKFLRNYLALVLICETKAEAQKVEELKANESKAEQPKAEGSRAGESTSGDPKPVKRL PVTYDIPREPFSKPCCILF NEUTE1DRAFT_111357 MSNSTIPNQPKKSISISLSLKISEEDRNYLLEDGGEPLLPLFRK FFEKQESNNTKVDPGEAAIPLSLDISSRIRLLDITRHDWDPQQEDLTSEEESEWEHRH KRWLGHLANALTVIMISHWTSLQDSDSSDSDDNSAAAGTDNAEDEDEAKDKQWEMDAL KNAQELGDRLMKEPKRSRSWIKYLGLGVQERTFTGSDFQEPCALAGMAEGFVSYLRKD KVGSKQQRKGLKSLMKALEEDIEECESLEDWLVTSLMLFLGAAEVLRLQENPTRQAAK PVVLFLRNYVASVLLVESVFRGD NEUTE1DRAFT_111358 MNNRADIVLAKFSSHQSMIEDCEWDQGDEKVARLLGKLKEQEKQ LQALFKKLVGTQSVEIKEKWDELIKYLDKETTAENMPTDDKDTFLKKLQTLSDNITPK IQALESAITKPQTTEVAPAA NEUTE1DRAFT_65925 MSSNVFVELKTPITGTYKQPTGLFINNEFVEGVDKKTFEVINPA TEEVICSVHEATEKDVDIAVAAARKAFEGVWRDVTPQQRGIYLLKLADLLEKNLDLLA AVESLDNGKSITMARGDVGAVVGTIRYYGGWADKIEGKTIDISPDSFHYTRQEPLGVC GQIIPWNFPLLMLAWKVGPALATGNTIVMKTAEQTPLSALVFAQFVKEAGFPPGVLNI ISGFGRIAGAAIASHMDIDKVAFTGSTMVGRQIMKAAAESNLKKVTLELGGKSPNIIF NDADIDQAIDWVNFGIYFNHGQTCCAGSRVYVQEGIYDKFVTAFKQRAQQNKVGDPFH DETFQGPQVSQLQYDRIMGYIKAGKEEGATVETGGERHGDKGYFIQPTIFTNVRHDMK IMKEEIFGPVCAVAKFSTEEEVIKLGNDSNYGLAAAVHTKDLNTAIRVSNHLRAGTVW VNTYNALHHQLPFGGYKESGIGRELGEAALANYTQCKSVSIKLN NEUTE1DRAFT_130751 MADLNFTAAFEANLLAALSKHTEEAKSPASTPTPAPAPGPAPVP TPTPPSIPTQDASAPPPQPVQQQSQQAQQPPLNHSLQSSQGPPSQTAQPPQQQLSQAS SLSHALQQALQSSNPPSYQTPSIHQPQPSSTTAAPISQPLVQVPPPQPSPHAVSNVPP QASPALTEPPKRSHSPDPQDSNGKRPKLKHVDEMDQDIPFDIKAALATALGDFEKQHS QIGNDSSLENPSGPSSAPPPALAVSLSATATPMPEKCGDKLMKASSNSTYMIRSMSLP VLGNLAVQILLRLSQQPRNETLSLLSETDAEFRKHYDLLTESFKLARKGFSDSPVLLP DELEINDSEDRETVRVANLATTAISVFGANDVACKDLDDSFFSIFVPEDGEFKDTLAD LLLSLKTQAFLDSLDEQATPQQVTEQLDKFFPDNFEDWLKQRNLDVVTDTDADQLAVK IKDRRELLSTLANSEQPKKSKYSPDTFPETLCVFLQSHIGVVVEYAEEYGVKIPISEE PIVSESIESGPIQNGNNVQDEHADLAALLQSKIVENGELKDFHAGNLHNEASVSADDN FDLSKLIEQSLPDHINGLKDGLLEQNGQGESSGLFDTKDLASLIAEKLNDNLETPVHG LSTVPQNYEDTMRENHGQVHPQYHNINQLQTPTYHQYPPASSSNIGMGTTGETLPPNQ SCPTSVLYEKARQAAVAKSSSTTRREGLHSTRRPWTPDEEKALMMGLDMVKGPHWSQI LSLFGAHGSVSDILKDRTQVQLKDKARNLKLFFLKTNSEMPYYLQSVTGELKTRAPGQ AARKEAEEKARLNAEDEQARLAGIMTLGGLHNNHHPVVGSPIAPSPARAHTATPGPGT GHLNGTPTPTPTQGPTNQPAALAPVPISPMVKTEPVDHSAHQVGNLPQIQPAPAPQSL QPALKPQSQPQPLHQQQSQPHLQQQQQHPQANAQQHSQQHSQQHSQPLQPLRPQQQPQ QLAQSQMRSQTPQALAPDPQQQYHQQQQQQQQQHHQPQGHQQHQQLHQQQQLHPGSQQ HEQTQPQPQPQVQAQPPPPPQQQQQQQQHHVAPAPASTSTTTTATPAIATAQTHVNVA AAEPAAASSTLSNQATPSFGLPPIPPNHHSTPDQAQDVKLFETLQAAIGATSPAHESH QAATVPETSAG NEUTE1DRAFT_123378 MSEPSRGSVEPSSVERSVERSQSPAAGNRRDRISSRDRDESHDQ DDDHHDGNGSRPPPRKRQRVRLSCLECRRRKLSCDREFPCSRCMQSGTPERCEYETRP GLAPPNKLGLSHSALAGFDSRLSLPNGGSGDSSYYRKDARESERIRRLELEIAQLKNI VLKQHASLDGSTVTDNSPPMQKLHTASGEDQEIGAQYGYNQFSDAVNDESKEELRFLR GKEFKTRYFGPHSASRAFSEVCIGVVTLAGLCHFMKETSEEFFRPFTIPNTKDRQQRT EEREIRFHQADESLESLLPSKERTDSLVTIYLDQFEQIHRIVHIPTFRRDYDKFWDPA QTRSAAFSALILAILGVSSCLGSHFPQEFDKMISRSHADALRWIDAVDEWQQKQSQKH RRLIHYQIACLVYLAKRVNTVKKKRFWKGAGAMSMDAISVGLHLEPSHNISPFNQELR RRIWATIQSFDLQASFDMGLPSILGALHFNVEPPRNIDDDEFDENSKELPPSKPPTEY TFSSYQHLSRQSLDLRLDLIRVLNGPCEKLDYDQIIRYTNEINQEIDSLPSWDVTDAD APTGVLKKPLLAYTLLHIQLRQFIIKLHHPYLKLRKDNSKYQYSEIIYYNAARDMVLL NDKLAQQGIRTLNYLREDCLTLAINLCSVTMLQPRGSTNMIMINSQHTLQLLEKCLAI KEDRILRCGNNEPWGYSIMCAAVGLLEAHLGVKTTEQAKATSAERFIKLHCKLVPMTS GGAGGSNDSQPSSSQERGHQCGAEKQEDAERPPQQPGLAGDRESATPVNGQPADVSGV GNNKVAPNGLATPSNSQFLNGIAADYMARLRPPTPFLHPGNTQQQPQQAPMPPVTLGP AVDIPGTPWSVVPGVGLTGAGGEWSNAGGFQFPNTMNSEFDMTQLGASMGELWPSDLW DLI NEUTE1DRAFT_44003 MNAFFAHPPSGHSFTPRAGTHHGDAGQATSQPGLQHPEPPSGNI IWAREYPTTTDIKPPRSSEDIQARSESIIHCTEWPSIRLSSKLGVGSSSVELF NEUTE1DRAFT_43753 MKTLSSPYLHARTDPRTTPRKPGKAHGTGCRTSHGAEWTNGRRW KDTIISANLSRRFLDLVQGLMATAVSLHLAPS NEUTE1DRAFT_102237 MLQQQATLGEEGLVWHRDADVHCLACPLFGITIFFSQLCTIWAS LPQRPKARGVVHGPTTEQGPPGVSVGVSELQWVWQKPTSCGYSRYCNRPRPLAWVILG GS NEUTE1DRAFT_147482 MAEDPERPRPFLCDWQGCGKRFNRKSDLQRHHRIHTNERPYGCN WQDCGKRFIQRSALTVHLRTHTGEKPHQCEVPECRKPFADSSSLARHRRIHRGEKPYR CQDVGCNKAFCRKTTRDKHHTNSHRGGINRSMTPRQQLHPSFGSGFDQFHMSAPPELT ASTDSSPADSTAYSPAALEGDWSNQNFNNYTHLPNLQEASIALQNQIRSQQIPQLMSY MPSRVLPSYSAPPPNMITPQMPQQGSFSSYSPSPVESAQQQPWATGFQNNQRQYPRVL TSNDTAPRMFSPYPAPPNMLPGQNDFNSQYPPPQQQQSWGMPQNQGQQDQRQYSLPSP SQPLTPVPVSAGTGTMQPLQPMPGHIYGARLVGDDLNDHFFKVETTADDNNPGMTLPD ARFAGL NEUTE1DRAFT_130754 MASQYIQVAKPPSKNAILESLVENVRACNARLPPGRDEMVFGAC ELKVEMPLLLNRPGACRESPAEFETVNAHFSAQIHAFINALHALEDMADKASSDDLDL YRPDECLRPVICVSNQSFEPHLDCLHRAFHTRRLTVQNPDSLPLLNRVTQLRILPDRH STFDPINMRPVSLRTPIELITRLPQLCELDCPWLWERLPVAFTSKALRIISRVWAGPW RDDRTEFARAVRHTMPLLPSSLTKVRLWFWRPSSHGDEVDQAAQMPDLVGASSSSSST NEFGGMDPVSLGLRDLGSRLEELDIRALITPDLFPSGGDGPSWSHMRHLKVEFHPCAP DGSWYFSGPRGGDPHATGFAITREEHYPPGQEDDDETHQLMSDEEEEYWGDAPDIYDL RNPDMFRLRPIAERINPLLLAFASSLQRQKMPALRDAELFTWLTWRPSKERAREYEGS DDVPPTSYEDETVMFRWGVRYEAPAVGRDGKGKATWQVGEDWRPEDRVIKAFEDLVCG DGEKMEWKAFEFVEEREQDFEDYI NEUTE1DRAFT_65937 MRSSTIILAAFGLAGSALAHGDHGSGSQKPIVGENASWMVKHMA EEHHVENFDAASFFALHDFDADGTWEGLEILRTYGLMDDSNKGVSQPRRDEIVRDILG LIDYDRNGVVTKDEFVRFIEVEGKTLPDMGTGPGHHGDAEYEYEIHHWEKYHDDNTKL EDLTHPEDIEHFKKHEEMELEEERLAQMDKIAIIEENIPAKFRRSG NEUTE1DRAFT_14617 PKQKKENPITAAVNSWLQDHIHSISLPTLLSTLDNIQDEGQLKA LLLDKAPKRWVIYEPMVLLPSGSFTTTPWPQLLDCLSSNVKSSLWTRILSNITRDGAS KVELSRLAVNEGIPLHIADGAEKNAREEEEENLLRSPSGLKLLHGDFGPALSPSTAPT PQDFDQAFWVSTKQNGITQIWAPRYTMFSRGNVKEKARLLDFHRHRRKAPGDLKGKYA LDLYAGIGYFVFSYAKLGMRVLCWEINPWSVEGLRRGAVANKWSVKVFQGEEDLTKKT TAELLQEADAEGVQIVVFLEGNESAGRRVRELREKGGRELNVVHVNGGFLPTSAKSWR DSWQAVVGKGVGDGWLHLHENVHVKDIESRRGEIQELMTRWNEELDSSDGTTTETKAV VEHVELVKTFAPDVWHCVFDVYI NEUTE1DRAFT_139047 MKAEDAYQGDGTDNTFNWRNGSFQAETIKCRKRVTGDSHFPRHR KQGKYEQRHQKGKR NEUTE1DRAFT_102242 MSSPGRPDSPPTLNPPPSSPLPLLPPFRAASGTSRPTATDTARQ QGVLLGLLSSVCRVIESLYQDLRFLERRFGGDSVRASKQQLEERRKRVRIVWKTVEKH PGLAWEGEVVRLCERMLGDILKGEGRGYRGRMGLGARKLVGVGVDVYHPFINNGESTT TFMVPWGEYLGTFTGTITDVEEEVDKAVKKLNANDRKTSGTYGGAGPGGGVRIESLMR PLG NEUTE1DRAFT_84627 MSNGVATNGTESLDQTHTPCQRYLSTRGEDGGHSFEYVVLKGLA ADGGLYIPQEVPVVPESVWQSWKDLSYTDLAYNIISLFVSPSEIPAEDLKDIINRSYA SFRTPEVTPLVHLQDSIHLLELFHGPTFAFKDVALQFLGNLFEYFLVRKNQGKEGRDR YHLTVVGATSGDTGSAAIYGLRGKKDVSVFMLHPKGRVSPIQELQMTTVLDANVHNLA ITGNFDHCQDILKSLFADPEINATHKLGAVNSINWARILAQTVYYFYSYFSLARQSES FNIGDKVRFVVPSGNFGDILAGYFAFRMGLPVDKLVIATNENDSLDRFWKTGCYTKKP VSGPKAEGGLPEDGAKAHEDGVKETMSPAMDVLVSSNFERLLWYLAYEFASSAGMDDE WNKKQAGQEVSSWLKDLKVKDGFGPVYKDVLESARRNFESERVTDQETLDTIKSLYQE VGYVLDPHTAVGVEAARRSVKRAGADIPHISLSTAHPAKFSGAVNLALQDQPEFDFEA KVLPQEFVGLDQKEKRVQDVANDWTAVREVIREQVEEELKALDSA NEUTE1DRAFT_111367 MLVIQDEDSQAIAPYLFNPSSPSDMAGFSILRYKVNIDAISPAD KHYLVLASCKHLLVGLVGHFNSTRCGGICRPAAHVTFTFPPGHHRDMEMKPGADHFKL VRDAWLLTKPKQDIYPPTERPVPIGTNADEADITRRKHGLLWWNYLVIMSNGL NEUTE1DRAFT_84631 MATIALPPPFANYRSTSTIGSITTTITIEQPTSHPTPVPNKHIP VCPPGPAPQDDADTPPPSPWPLTNGADEHSSPRSFLYPPHRFHHVDSGHLSLYKIDAA DVAAALDHLSRQPLPDPAHVFPWYHGLHPRNQVQQHFFLSARTRALRKTPSCLRGITL VKADGDLNFSRLKGAIAPYEFLHSSSAEFIDVDPVDGFSVRNFQIQAAKAAMTSDIIV YGDNEAAVCKLGWDIASAQQKWRYKHELQCHWVPEYNTFICLSPFDEFEQNHREIVAV DSTGNLTGNVLDFVNQERLEMYLMTQASEIAPNVWMGPTPDPIIEEDNGYHIQLECSD MGRANTCAAFLQALAEDGLGDVPEPVHFDFPSSGSFLVPNYSHADADALLDTCKWLWH LSHGTRPEKSSDAGKDRSWPPLSPRKILIHCADGYTESTVLAIAYYSYSTGLPIPEAW LKLHTIMKRNFFAYPSDVNFLATIAPRLLQESPIGTDRSLAQVTELVRNEPKWWTGFD GSLPSRILDYMYLGNLTHANNPDLLRAMGIGQILSVGEMAMWRDGELQEWGEENTCIV RGVQDNGIDPLTDEFERCLEFIDRGRRLGTATLVHCRVGVSRSATICIAEVMRSMGMS FPRAYCFVRARRLNVIIQPHLRFAYELLKWEEFLRSNQETKDHGNYTLTADGQEDNES SSEGQGIMRELEWGEIAREVALMNKPYSR NEUTE1DRAFT_102245 MTLDLAVAVREMLKDAFHPGQFTVARCVLSSRFTKPRLHYRQYC DPADAYGRLPGFFSGGTKMSPNVRQRADMFAGIDASIFKDRQPAKGVAGSGHVPFVQ NEUTE1DRAFT_123386 MAFYNSCRNVKLTGPVLSADCKDHNGNWIPSDINLNRYIGNNDG CFSTKTEEFFDSATNVRLEGSVLHAQMLMTSGHWRDEQTIDLNLIIYNNDGDLKFKQH MHESILKTGSFFYLDGSVLRGLVLGYNGKYNVAEIDLNDHYANKNGSFQSEDNHFWYS SRNLTLDPSPGALTLKGELTNWDKNWQHAEMNLAICIMNDDGKFRFVRHDGFWDRDGW FAKTFEGVPLIGFVIAGVHEAAGNHEHAARALAKCANSSIVCVGVVVGFMLGESVGAV IGAGIATPIGIWVETEIKEHLIEDPMLLAEFEDATLGRYIGETLLNMGMAAIPGDPIA NMTGAAGNEALKVTFRKALTNYTRQVVAGMRSTVAPTEIEEKTKILLSGIGDLLKGQP PSNWAEAEEKVKNVLRDRGAEVLNVPPMVNFKI NEUTE1DRAFT_123387 MPAHRVFIETRAVDRSPRSPRSPRSPRYQPRTRSEERIYVYRDE LLADNSNNNNTMTDHDRVLREAYDEAYRQNQNLRNELRAKDLELQQKDARIEELKFDN TNLRRSLDSLSNLDGLQEDEIHNLKRKNSKLRKDKDDLNARARELRHEMDAKIRPMVD QINALKQEVANWRLQFESEKRKNNDLERRYGRLRENLDIHTQQSEAFRTQNENLRAEN EQLAQVLELERRLRVSRF NEUTE1DRAFT_102248 MPYGAKEARRTLARYQLPPSRQLAPATDHEAYLGDEKGNKDVTQ AWRGRVGKHSKHPM NEUTE1DRAFT_123388 MSHKKVGFRDDSPSGASHYSDHQRSDSGVGSLSGSDCGVPNSDR TYTPRDYDDHRDAREILRLKNELTQAEDKIKDLQAKIQHLERLQVSHSQLKITHKEVI QSEATLQAQLDAKQNENEVLSDQLTELKSKNTELVQKNKDLTSENTQLKEENKKLREL EDAKKATELKDPKESSESVDSEKMKRPRRKSMSMPPPSDSSEDRRPRRSSSRRAPHRE HEKLDMDSEWERQLERERQLALAHERPVIDEEKERLRSRFRTRGDDNDAKSSSQSLPS LPPATIRGQPRNSQRDSYIESSGRGAPRPQVPGPQLVSARDAYDYAYNAPSYPAPQYA SIREPSSAVPRAVAHHPAVYVQAPAGYVDNRYPTDDDFRYQQAIASAKMPRR NEUTE1DRAFT_65951 MMAAPQLLLRKPNGTAAGQTTNDAQNAPKTKPQSEGEKVVVRRL PPLLTEEEFFKILGDEWKVGHGKVDWFSYWPGKSSQQKDDLLVLSQAVQNGVWEDAKE SYNDPVLNLPPTVELSIHKKIPSDKKRLDNRQGTIDQEPEFMAFLESLANPDAHKTTD TAGEPNAEETSAKPEKVTTTPLVEYLKEKKAQRAKEAALARSAKQHARQESQGGKTKT ATATITLEELKKRAREAKGEKTDKASEKPRDNVKILTRRGASSAAAEAAKAANTVASQ IQDNARSSSKADSQTKAAQSSSQSATQSTPSADATSELPKSRRAGIAAAARILQRDLG LSPGNAHRKARQEAAKAEADAKASAAATASANVSAAKETASREKKEKETTPAPASSVR SSTPANAADSSAVASPSASARGRESASGRTRGRRGRGGTTEEGSKSKGTDGGKETKPG EVATPQPPIKPTMILKKRDPTQATPSALSTPSTPVNAQPPALSASQKPSSPKQPSTGG RQRGGGRGGEAKENVQPGSNAANTPSTPAPTGPTQAFIKHAHQTQGVTESTLREALQA FGAINSIDLRKKGLAYVDFADPEALKKAIAASPVMIARASVTILERKSDTDKKEDGGK DKDKETAAPGPAAASALAEKEKTVPEKEKESEKEKAPEKGREREKRDDERRRGRGRGR GRDGGGGGGDKDKEKEKEKGEKGVDSRGGAKGDAPVGAKEAIPQEGNGSDRPVSTPKA ERGNAASASARPTSAQDKDEKDKENDKGEKGEGEKKGENRSRRRRGGRGRGGGDKDKE KDKDGGGGSAQAAPAS NEUTE1DRAFT_84638 MSTIPKPGPANLRPGAGLDEWLEEAKQCHYLPEPVMKQLCEIVK EVLMEESNIQPVVTPVTICGDIHGQFYDLLELFRVAGGMPGETNVQAPKTATTVITSE DIEPPMEITDPNLKKKIRSSTDSEAGAGSEKESPSEETPQSSANTGSQSADTRFVFLG DFVDRGYFSLETFTLLMCLKAKYPDRIVLVRGNHESRQITQVYGFYEECQQKYGNASV WKACCQVFDFLVLAAIVDGTVLCVHGGLSPEIRTIDQIRVVARAQEIPHEGAFCDLVW SDPEDVDTWAVSPRGAGWLFGDKVATEFNHVNGLTTIARAHQLVNEGYKFHFAEKSVV TVWSAPNYCYRCGNVASIMTVDRDQNTKFSIFSAVPDDQRHIPAGRRGLGDYFL NEUTE1DRAFT_147493 MSKETLEQRWTSLTQDEKFFNNVDWKPVALIPSAGYERKICFLG CESLAFQNPSGKAIWATKGDGEMIVPANVAVYLIRGKWNPPPPDLRSLDMTDNKEIQG ETQLAPGDGRDFDHEQGNEPPGRLIALLQEELERLSSKNELIEDIEETDEALSNLSLD NRKSGCRL NEUTE1DRAFT_84642 MTDRPQSEIIREHPIGTGLDAFRAAFESVCKDRGISFPTPDALR KLGPQDLRGLAFSLLDTLQTLPITRLLRSNTGSGTLRIDLLRRLPALDSDDVDNFDSD QFEPLFNAVLTNKPDDKIWRQVYCAVTEATPPPRPTAFSFLQTPKSFNTNSFANSSEY RKHMDMVLKDELGAMYVDLPNFHDIFFGGVAGLKTASEAVFNKCTEGSEPLFRNGWCG WPTDANQERVLDWFVELSEKLAMFAEEYNPNRTQTPRRRLLVQPNKRILGSIAERKLD VGFVDDSKGGKDTQWNWSHVLVPGELKSNRSADIASNAWLDLGKYAREVFAAQDTQDS RRFVLGFTICGSFMRIWEFDRLGAIASKQFDINTEGLEFVSTVLAFLWMSEEELGFDP SIIKEEDKRVVQIKRNGSLERLIINKVMLRARCISGRATTCWKAHPEGDPQTLLVIKD SWQYPERNEEGELLRDATDKGVVNVARYYHHETVQVRGTDDDVRSNVRGGIDVSTAKN YRPEHSTPPTRTVIASASRKGRSTSMAGKKRSSSQTDAALPSGKRSCTTQASSDAPPN RVHRRVIVRDYGRPIYKASSRAALLAALEGCIDGYESLWRKAGLLHRDISINNLMINE DDNNPSWPSFLIDLDLAVRKERESASGAKGMTGTRAFMAIGVLLGEQHSFMHDLESFF WVLFWICVHYNGPNESRVVEEFDQWNYIRPVMLADLKKAKVDDEGDFIKSAQENFTLY YQPLIPWVNKLRREVFPNGGRWKKEDEGLYDRMKGILEKAREDPKVLRRDSFAN NEUTE1DRAFT_111377 MGQGTKAMPRAGTSARAHARDVLGTVTGERSSETAFHFRTVFKY HMSKQFHLGKKFISLEQDEQQDEQHDQQQEQDDNQEDKEEDNEEDNEEDNEEDNEEED EEEDEEEEEEEDDDDEEEEDDHQHNQEHDEQQHQAQYNHQKHGNNENGNQNSDNDTDA DTDIELGRQLASLTIDNSAILRFMSIVYAWDSTDDTLKSSFASKKPTDELPTIKHYDN LRRKAQNLSILYTVLGISASFRLSKVMKED NEUTE1DRAFT_111378 MGKATAAASKRQKRVSIAGTPVFKPPVKTPVKNPEAPAKSALKK TVTTPEEAETPTKTEPEEDGGACEPSLGLTMIWAFVAAYNGLPAHWDVKVMQTGGEAC NKVLDSLGKIKLTSSGKMPAHIQMPYIPEPSIRAAMIIMKNWSVEGTRKSKGKAFEID DVLPSSWKNSNIFKSFQFTDYIMGRKPAEGSKLSKIPFHEAHWRKSHMIPPQNLCTAI KIPVLKRSLDLGECQRVDVDGRLGCEARA NEUTE1DRAFT_111379 MNSIFGPAKACHANGASSSSPKSTKPDDESSSDDDCFNTAIQDR LRQNPVQEIPKEEKVEDKEQKSRRGQETATMLLSLSSQLFRYRRSNTVLHDLVQAKAD RIIPKNYNQAVKLANYKAYWLPAMEKQVKAL NEUTE1DRAFT_117554 MVDVILAVSLPQFDGCDNDGLLAIADLLGGAIIKASKRPRSKAG LQPCSKGRYTSGQIAGAGLVGTLAGGSRE NEUTE1DRAFT_45354 MDGYGTNVNEPAADALLTHAKIYALAEKYLISGLKAVALRQFKA AATVSLDIDDFLGAALVVYESTIEDDRGLRDVVVETLYEHSEWLDEEKVRDVVKELGA LTYDMVIYMRQKHRFY NEUTE1DRAFT_84645 MTTPITTKSGRYLYTTYRRQISPLVYWVLQTSTALVWSLPSSYP DAPDVPLGDPHITLSNLVALSKFIGKHVDPGDVPTAILGLFLLVTGAVFDSQAALQRI ATKKTHPDVENGIASLERFAEALGECYVALGGWDWALHDYTPLDSLSRYLPLTKGNVD CLVIKDFVALDPPEASEADQDSEQETSQQAGPRLGHQRKQARPGKGKRGRRRKDKDTA KPKAKDIDKGPLPDVLSNRLLDSFDVTLDQHGMTTGYIIAAYDLIKDCVELRAYLQDL WYEVAYEGLHSAVAGALSNTALNLVQGCEDVLSANFPDKQTFHSVISTVSSVLGFGAE REVTGANKGDTDLMDAKEMLLLHTYHDLFDFITDYQKNDNGKPTKRMMKEINNWNPDF DLRRATMAERLKWRRAYTINWLYDLVNVLSNRMAQVTEVSAKRPGGGISRKEAQSARV LGLTSFAFLISLLATSDGKVGVRERILPHHVFQLQCIVDSLTVTKGWKHDLPKGHVFI APPPADKFRPMRDVDLFLHGIDDARPGILTGFERLEALLRIEANLRPTSKKNPQFREI LEAVREVCTQFLGKHPALGNRSRFSTTDSNALWHYSPYLCGAGLAEALDAQFVAILYV WGHQMEPVMLMHLYNFLRQEGYLEKPVDLFESLQRLFPTSFFPNGEIPVSDHGNALAD FLIDLENKDSRKKGKWRTVSGSGVPVHLTIPYESVLVLLREKSWNIYRVPDDHVALSS LLAKLRIAQTEHITDHVTGKRRLKKTTLVKRAKAALVTEGLAEDLAEEKLLALADALE EFDCMQEQQAEDAHDTPQEIRNCEWLDILKVDLTADVDGDHPTCGLNLAKITWRMINI FGCIEEELRRLGNKTYLNFCNDKGQYDSSKYVYKETDARTLLVPMAMVNKDVQFLEVV AKTLAEEFTTLSDSIYWRELKSGTGRSTSPRQTGHEATADASASTGDENAVHVDQCVV IKGVATEDGTMLI NEUTE1DRAFT_117555 MSIIQQHTQATLSDAWRTINIDALTEDSSVNFDVSTLHPPLPEI SEADARAINGQVRQLLRGGDAEGALRGCLEMPVYAGSEAAKETHLHTVIEVLQSIKAS EMTPMLQRIYGQPGGSECLDVLMKYLYKGMGATSASSDGSGRTTPARAMTPQATGFSQ VSQRPMAANESTGSAMSVLLSWHEKVVEVAGLGCIGRVMTDFRRV NEUTE1DRAFT_84650 MRLNLAPVCRPQQWKPLLTGGTARRSYAFQSGSLNFEVFNRRAK WLQKERAAANVEEGRQADYLKDEVAMRVCERILDVKRQFPRVLDLGANSCNIARALLR PDPDPESEPPVTDPLATKITELVAAESSHSLLHRDHDHPSLSTTHVPDFKLTRHVLEH DELLPFEPDSFDMVLSSMSMHWINDLPGVLSQINSVLKPDCPFIGAMLGGDTLYELRT SLQLAEQERKGGISPHVSPLADVRDVGGLLQRTGFKMLTVDVEDIVVDYPDMFALMQD LQAMGEGNAVLGREMGPIGRDVLLAGDAIYRALHGNEDGSIPATFRIIHMIGWKESPH QAQPLRRGSGQASLKDLLEGQ NEUTE1DRAFT_84654 MSSSTGTGFLGRSSSSNSNMRGLVQFIADLRNARARELEEKRIN KELANIRQKFKDGSLSGYHKKKYVCKLLYIYILGWNVDFGHLEAVNLISATKYSEKQI GYLAMTLFLHEKHELLHLVVNSIRKDLMDHNELFNCLALHAIANVGGKEMGEALSPEV HRLLISPTSKAFVKKKAALTLLRLYRKNPDIVQAQWAERIISIMDDTDLGVALSVTSL VMALAQDNTEQYKGAYVKAAARLKRILIDGEFSQDYLYYKVPCPWIQVKLLRLLQYFP PSEDSHVRSMIRESLQKILNLAVESQKNVQQNNAQNAVLFEAINLIIHLDTEHDLMKQ VSQRLGRFIQSRETNVRYLGLEAMTHLAARTDTLEPIKQHQDVIIGSLKDRDISVRRK GLDLLYSMCDTSNAQQIVAELLHYLQNADFAIREEMVLKIAILTEKYATDVQWYVDIS LRLIAMAGDHVSDEVWQRVTQIVTNNEELQVYAAQNILQYCKQDHCHETLVKIGAYIL GEFGHLIAEERGSSPIEQFLALQGKLPACSSSTRAMILSCFIKFINLFPEIKPQLVNV FHVYSHALDAELQQRACEFLAIASLPTDDLLRTVCDEMPPFPERESALLSRLHQKHAN TSDKRTWIVGGKDANADNAELSLAKKASLRRTFSTKTGPANGGAAPAAGATNGSSSAN DLAGLDMNNIGPAEAKVIKAPNLASAAHLSPGWEKGFNRLLLRADGILFEDGQIQVGV RAEYRGQMAAIILYFTNKTPAVIGSFTTTLDLDPSERANLSWDVKSMPETTIYQGAQT QQVVVFEAKRVFEKAPTVRISYLAGALQALTLKLPVVIHKFMDQAELSADDFFKRWKQ IGGAPREAQRIFGLSGPKDGEREITESFIRQVLEGFRWGLLYNVDPNSKNFVGASVVH TSEGGKFGCLMRLEPNYGTQMVRLTIRATDETVPPVLLKMMEERLSQGVSIRPERYEP PTASDISESFRNIMVR NEUTE1DRAFT_65975 MTGGTLNFITFNQDYSCLAVGTSKGFRFYHTDPFSKIFSSDEGN VSIIEMLFSTSLVALILTPRQLEIQNTKVDQPSQRHCLASELRLMRRPILPTQRASVI CELTFPSAVLAVRLNRKRLAVVLECQIYLYDVSNMNLVQTIDTSPNPNAICALSPSSD SCYLVYPRPNPREDVGAKAPAHLPPPSQYVPPKRGDVLVYDALNLKTVNVVEAHKSPL CAIALNHDGSMLATASETGTIIRVFSLPQGQKLFQFRRGTVPTSIYSMSFNLSSTLLC VSSTSDTVHIFRLLNTQKNANALPGGGPAQGIRSRSFDVNDPSPHKGEVPSAGNGNNG SSSHKREPSGSFGNMLRRSSQMMVRGVAGVASSYLPQSVAEMWEPERDFAFIKIPKSS NSRAGGLSATPLRSVVAMSSSSPQVMVVTSDGGFYVYNIDMEKGGEGYLVKQFSVLDG DDKLMNSDYGA NEUTE1DRAFT_123398 MVDVPSEFSVSSASSTRSEASSNETVIHTPSPAGPDRQPSLPQA RIGEILRIPSTRADLRGIRDDALREHIWRQSLDYIPLSLRHTGLERFPQFQIPLSELR PPQDSLPNNPRPRQPIILDGSAGWRARQRGRTEVNIATARLLEEMERLRQEDLRIRED RRHIAYLLRRLMMANQPIILNHIPPPQMPVNADFPLRVLEDTERENVVNRLSPHTRQR SRPVRQRPDEVREESEGTERDPFDEG NEUTE1DRAFT_130771 MGGLGRRALGRLGFEGSRDPITGERLPTITRSHEAFGSSSQDLC VLVLTQEVLPVVIMNLGLTFTIIVYSSVLSECLIQTLAQSQHRPNMAEPTDLGLNSPD PKDGHNHPARPQFCSACSQINFKALFTSHERPHYTWGWDKETCNCLEIFEARARHGWP GPKSANTFEPPCCFCTFYNECQLQAKDIASRIEHMSYPYLDGPFLKGFHSLTGFPIKH RGCEDNECRQHSVQDVCALQFVVQDNRPWWGTRCFHEADNAILRVVDGPKLPGLTRDR AAGGGILARRIIPHQVNYIVIGEWLSLCHSRHVHCDGGDADVVTIPEFQVIDCTTSKI VSAVDLLASGGVPGRIEYVTLSYVWGQAGEAGFRGPVLREDALTLPDDLPLVISDAIE VVKRLGYRYLWIDRYCIPQNDSPVKHIQIGKMDRIYSCSVLTIIAAAGDGPEYGLPGV SSRHRTEQVSVQVTEEISLLFYKKPRNSVAASKWNTRGWTYQEGLLSKRQLVFTDKMT YFQCYEMYGDEVLSLPLSGRFSSSSDYDQDDQFDDVGHISFFEDAQTDSGWIFPPRIT WSEPRTAWTRIEQFAQRQLAFDEDTLDAIAGIFEKYLSSNTSEPGKDRISFLCGLPVI PFARGDQPTISSMQELWVAPSLPWDIPDGAESAAYDNHASLTYGLVNSLLWFDIWEPS KFESIDMPTGRGSHLRRSGFPSWTWAGWKRCKIVVEFETYHLRDRVFDSCTTVHVEYE DEGGLVRRLDWVKDNERIHNLSHQESRFPMYLLIKGTAMDMKLAWHNVSGAGHSKPGA GYWIVTSPSFLEGEKFLTPRCLFEDIELKTGDNRTSSCLTGKEEVNVLGMTMGAPCRG KQYTMTVMILRPVTRFLNGQPETMYERAHLLTFSSRHFDFKYYYPQYRSPTRGTFRMT TVRLC NEUTE1DRAFT_117560 MRQSVLDGTLFSVCPPNVKDLFKAIETTPGPQRKPPNLHPAVLH LSDEGAVPLSPTPPTITKHQHPIVPSLSILKDVLSPAECKSIIAATESVGYLPDAPIK EDGSESSILAHNVYWIVDQTFHDALWERVRPFIPTHDAGRKARGINRRFRVYRYVPGA EYRCHFDGAWPPSGIHPTTGKYMYDASPADKKQSSLFTFLMYLNDEFEGGETTFFTPS VRDGVMNAHPVRPVMGSVAVFPHGENHGALLHEGTGVRKGAKYIIRTDVEFDVDPGRE D NEUTE1DRAFT_111389 MEPSTYIYNLPTSYLPYPESRLFWATRMANLHLAFDLIRRFKLN TPRHIASWEEFLLHVPHPESHPIHVQEWPMKGVNNLLVIMETLRSICKSPIFAFEGLF ILEPWALWYLAAAMAQTWTRDPVGLISGVPEDVSTEELQRLTKELHVEIRKVSTKIWV ANFAYYQYILCYRWHDVQIGNMKDSYDGGHQDATEHQEYVEDVEDVEDVEDVEDVEDV EDVEDVEDVEDVEDVEDVEDVEDNVEHDAQTGVQDEKATEVRSDKDHDSGYWSMEEET QEDGNNADDDDDTSSDDEAVLTPSTESDVGETECRQEREWPRELEWHPGFGPYSKIDC LIQDANKAIGALRGFKLDPHLQTNCRPM NEUTE1DRAFT_139071 MLSIHKEIAVTLPMFRPRFRASLPLPVVFPGPYPLAVPAPVILN PGASTLWGLAPKLALYCLKQARSVIPD NEUTE1DRAFT_102262 MVSWRADSPIRTRAFSIHLSNFLEYLRSQDSLMVCKVPVPYQNA SKIKRVHDTYPGLSAVKSTIGIQPLPRHRELQTGVCLRNKLICMRTESSSRGGGTVLG ESCDIVSYPSRCSSLGMFRCRTASEFYCGFVDPSNDEDTNAQTALPTQAVLFLQQGLM GVPSPDVVAYHAGKCLKTLDPATTALLAGRYSRLVGCWAAPLGSGRLAGFNVRFAMLT EQLLVTVGTVNWLQIEAIPLLCSDGLALLNVWSSSPCLHRSPHRCHAETVLAPSSLCV NEUTE1DRAFT_102263 MQCHEAQQAPNHHRTEEGRRTSNIPGTCQSGILSGLAVRGGLLV VRRASWIPQWPGVAAHAPLVPRCDEVRRDGRDKFRAGHVAAGHGETQRAGMGQAVGLG CPDKAIGRLVMMLSNCNAAVSYQCPPP NEUTE1DRAFT_147503 MGVVFIESGLGAGQRQDGKRTAKKMKLEAGPVRSRSEEQLAFRT VPDMDFMVLRFPGQSAPSFSIEADPEKSWSCKEDQTAELHCTPALHCVRNQENPLKSL PASSNLIAQCLSGMAPSPRQTLAGLTWHRQAIPRRVDGSHGRTGRQSTFDNNIVALLA RRTGSLAVSLDLSGVRAEGASGTVIPFWRGTVPDYFSDHCDNASNLPRPRKLRSSVFR DVWENWWIGQGAPRPAEIHRGHPLGPEMGLKMEISRQKEMGPSKLAPNDRGTWVQLLR HFNTTFRTAKMITRESQSVFNFGRGAYDTTGVPKPPQPPKPSRR NEUTE1DRAFT_45216 MCSGIAVVRIRDSQVRGFEAPGAAVAFRYESTGSDSGSDKGVQV NVNNQAVKASSQDSQAQINNAWLCAMRHKMEVATLGSRGREAGERYVRDVMPPYGVWA YQSDPGNIPSRRPRSAVLGRIGRALSNLKKKGPQFGNLLRRFGW NEUTE1DRAFT_45708 PDQTGPNTPSCTGRNFRNPTSSVPRKAPQKPERTGCIPMHPSPH LPSTGFLTPSISCHAM NEUTE1DRAFT_139075 MHLSTLLTSLVTLGLPLVTLTSAAALDTTTSVSTSRISSTYSAP VSTSTSKSTTTSAPDSTSPQTIEEYIKDKLAEQSATNNNSTTTNDITSIKHVQGMTIE VPTCCRNGCRTCSSKICGKGANGCKEWPYYSCCATIIIWDIGRDVMLDAFTTAGQTVE FEYD NEUTE1DRAFT_147504 MNGAATRKGAPPPLHGLGRSMTEGFNKPRYTPVNDADRPRISAL VDLDDPIQIHLLMETALSDSKQWEILSQEEVDDLKKQTRSLTIRIDQARANLAIQTKY RDAAVSMARLYNPGHRRHSSREDIAAAREAEVEKQNSERLCNELSSELALLENQLFNS QRRLLQHTAAILQLTHGARRRNAQTPNGQVFGNSMMINGMPESPESLYTYTNSRDSIS MEPIGGDDGFDWRTLHPSEGGPERSVNLAPTPAPALTNLEIPPKSPIREQTSQLREEM ERVKEENERLKAAEQKLRETENQLRAGQQELSAENDAIRTEINGQIRLFAEAEQRLEM MNHKLRDVITEINPSADANLDDPEPAAGNGQTLPVQLAYLERGLDMAMHEQQLRSAAI NRDLELAGDASAATLSQAEKRIEALNRRAHTFLTQTIPDIGTAAPPTASEGSMDEQLD YLETILRTIRVEMGRTQGSTANNNNANRSDSEQVEAVLMGLWDIIHTGFAEIQRQKAA RRQKRLEMNLAVDEEDEEYLSGSDEAIDPNEQYSLQGFSAKVQWLYAQATSLKEQKAV LQRQIKQQRELNNKSGSEKDAELRAKMEELEKMRGLIDDAEARVLEAKDKMENALEQM EAMQRTTAEREEAAMARGAQQTQDKLMERNAVIANLESKFNDVSTRLAETEADVVDLQ SQLQQSAKAKAAAEKAQKDAEDVVEGLRKEIKDKDEELERMNMMLVEIKMEMTIAKAE LDGAYGSRAERAAHAAAISNKNEVADLQAQVAKLKMELESTLKDFEAITKESIAAEKE KLDLEHKLDDATQAKESLESEVAELREKMEREISRLKEELDKERLRPPPSPALSAAGS AAGGLLSPRMGATMLSEQFRATMKEERKRFNEEMKEELAKRRKLEEELRALRRTTSTG GLSPGLKSPADAGALSPSMS NEUTE1DRAFT_65986 MSFTDAPVTKALVLGLVGLSIAASVFDIKHYFYISIGTHFLRYG QLWRMLTYQLCYTNSSEVLFGAMSLYHTRMVERFWGSRKYASFILLAGLFTAIIPPIF LTVVLRPLSFGVLDYIPAGPTPILFAILAQYHAMIPQIYKYKVALSANSPSNASDDTS GFIFSDKSTRYLMALQLALFQWPGSLLGAVIGWAVGYLWRNDLLPAAVARWRVPGWVV GLRAQKRNDRFDGIRRRLEDEGASTGVATGAQPAAEGEGNRRRPLGQQLIDEVRGAF NEUTE1DRAFT_84674 MSDLYLSRIRNWFLSSPPAEWAIRGLRETLIGALKQGPVPRHVA FVMDGNRRYARSHKIETIEGHHLGFEALARVLEICYKCGVEVVTVYAFSIENFNRPKY EVDGLMQLAKVKLEQLIQHGELLERYGASVRVLGERDLLSDDVLEVIDRAVSTTKNNK KCILNICFPYTSREEMTTAIRSTVEEYSKSSAPKRTPFSQTRITQKIMSKQGDRSGKM DSDNTSLGQEPSHTPPGSDDNEDSMSSTTTLYPDSPGRTSKDGSNHVTIYPNVENITT ETIDKHMYTADCPPLDIFVRTSGVERLSDFMLWQCHQDTQMFFLKCFWPEFDLRHFLP VLLEWQWRQKKKAMEEGPRQRVKTA NEUTE1DRAFT_147507 MKTAKPPAAEAPSGPSGSNRRAQPVRQTRINPPRTSSLNRTNSF PNGPAPEQPIDIFPAVTHFTDAITALPKELVRHFTLLKEVDAKIFAPEATLFELVDAA LKAPVPDRTRSVTDGNSSVAPASTPMSAQNSTSGRVAANANPATVPPSITDSAHAAVF DPTNLPRRHLFRQAAWKIQEMLVSLEEKNHVISTANDALNKQLSRIEEIWPHLENEFS DEAKWGSTTHWAYAENRVPKTNHKEAERSRREGAATLSAAAQQIAEEAAARSSDRKQA LAAKKNNKGQATEPEADPKAQETTKKGPGTGKSRKHQAEATPVGLGIANGTPNNGTGP SKRRKVEKEPKANGSAAMERAMSSVFGNGTAKSKTTASPRGSPAPDGATPTGPTTKKR KALPSGSTQAKKSRTNAANSPSVAASPLLGSLPDTKAGRNSPVPLPPRPASSRARQNS TASTTLPIQQRPATAATNKPNGITPAVVEAVAQSKSTSDVKAQKEADPPAPTPAKTEA AKPETEIKPPVPPEPVANASTTKKENSKAMTAPAEEPETKKRTNSIVSQTAILPPPIS TTITTTKSGRASKPSTPATGTFAEAVNATTTTARSRPSRNNTNQSDKDSNITSGKDTF GNSTTSTTSGTTISKRSHKKGASSVSAVAVSIALQDEKPGKSAAASDDKKDTSDENNG RPTTSGRGKGGAAHRDSKAERASERDRGGDRDTKIETNHGHGHGRRGTATAAAARAQQ QQPQHQQRQEEEDDDDDDDVDADEQRYCFCNGISYGEMVACDGDGCPREWFHLECVGL KVAPKGNAKWYCEDCKKRLRASGR NEUTE1DRAFT_130778 MVILHHLNTFAAMENIQAPSPPITPPAHQECLSPALPSPNECDN NNNKTMGEAQTRQPSPDRASRSSFSSIRENENTLTQTFTHSKISHLDVDEEVVDDRTP EVTPGPSTLNKVDTFSMIQTQFRPPVTQPNSRLRGYVAPADSFQGWKGIQVRGKLASR SFGDLTILNNVWGSPPKDPRSVKIRPGEAPIERLPAEILTAIISLLFLDVPPNGVTRR NIDLMSLLLTSKTLHLATLSTLYSQITIPHSRIFHKFLTHIAEHQTLGTIVRRLDFCH FNPSQLFSTAAERSQARNLTSETLLRCLELTPNLQEFLVQEHIDQDLSVDVLRKLFLG LERMEALDFCGCTSTFFKDSFTSIVTADWPAQLSIRRLSLHKCLTLPSTVFEAILPRL TRLTHLDVAGTRITDAALMSIPYTARITHLNIAKCKLLSARGVIDFLANHPAAQGLVY LSLATDARSHQLFDVQDISELIPILPATLKSLSLKGSKMDPSHIDLLRPLTKHLEELA IGRSLLLEDVNRLFVPDETDDIEKQLEWVPHTLKFLDLSDLWGNELDLVYLFNSRCAI MKPFSAPLEVVELAEDVFRRVVKSSATLQRMGWRTSEVGSRGWMVRQKSQDPSVRHDD GSRGWKMGAEAWGMRKIPVARAEVGGMYGSFMFGRKL NEUTE1DRAFT_65999 MAPKQDTPFRSADMSMVQLYISNEIGREVCNALGELGLVHFRDL NSELSAFQRAFTQDIRRLDNVERQLRYFHSQMEKAGIPLRKFDPDVDTLTPPTTTEID ELAERAQTLEQRVSSLNESYETLKKREVELTEWRWVLREAGGFFDRAHGNVEEIRAST DNDDAPLLQDVEQHNTAADVERSFSGMNIGFVAGVIGRDRVDAFERILWRTLRGNLYM NQAEIPEPLIDPTINEPVLKNVFVIFAHGKEILAKIRRISESMGAEVYNVDEHSDLRR DQVHEVNARLEDVQNVLRNTQQTLEAELAQISQSLSAWMITISKEKAVYNTLNLFSYD RARRTLIAEGWCPTNDLPLIRSTLQDVNNRAGLSVPSIINEIRTNKTPPTYLKTNKFT EAFQTIVNAYGTATYQEVNPAIPVIVTFPFLFAVMFGDFGHALIMLCAALAMIYWEKP LKKVTFELFAMVFYGRYIVLVMAVFSVYTGLIYNDVFSKSMTLFDSQWKWVVPENFKE GMTVKAVLREPNGYRYPFGLDWRWHGTENELLFINSYKMKMAIILGWAHMTYSLCFSY INARHFKRPIDIWGNFVPGMIFFQSIFGYLVLCIIYKWSVDWFGTGRQPPGLLNMLIY MFLQPGTLDGGVELYPGQATVQVILLLLAVIQVPILLFLKPFYLRWENNRARAKGYRG IGERSRVSALDEDDEEDPSNGDDYEGAAMLTHDDHGDGEHEEFEFGEVMIHQVIHTIE FCLNSVSHTASYLRLWALSLAHQQLSAVLWSMTMAKALESKGLGGAIFLVVAFAMFFV LSVIILIIMEGVSAMLHSLRLAWVESFSKFAEFGGWPFTPFSFKQQLEESEELKEYIG NEUTE1DRAFT_147510 MKPTTHLRVFNPPLNLILRHGSSASKQPLGRVLPLDVQLPRRRQ GQRQGQPQPEGQRQGQEQLQRKVVEGWVRVRGVDVESRVRGVEGLEKKGRGQGQEMEM GMGQRLGQEKEMEMEKGREMEMEIEMEKRLEMAKWLEMGQEMGQEMGQRLRQEQRLEQ KVGQVKVEVSEARQQQRHQQQITRDDIIGVHINRQKGHQQHRGYRQQQQQRGYHQLAV GREEHQHQQYQQQQQYQQHQQQQVRQIQGQQHQRQQRQQAILARTHPLLMDQDPSKVS EMSAAKEEVKNGDNGLNGPGQGQIQRQEDGKTGLEETKETDFGLAEVSNSGGVGGSVE GAEGTGGVRIRRLESRGGSKNRGSILAHMGGWAAVRRNRAAGARESGSGSGTVKNQQS EQTQQGQQSQKNQQAQRVQQVQQVQQVQQTQESNGLKGGGDGKVKSEKKAEPVGKVEK KGPSYFTFSLKSGRKFGPGALEHQEDLGGWKVGNDMIINGGSVVLRSLGLSSPGLAET TAETRTREARKAEPKPKTTSASTQAKTASSTKQPQQPPPPLTTHHLTLIPHANSSDIF SPLPPPGSLLIHACNAQGDWGTGIARIFRTHYPGAYKTYQAHCSSTPTTSTSSQTNLL QDQEEAESDPIQARKNALTKHQRSLVGTALLIPPRPSVPDDKKHFIGCLFTSLGYGPY RDPAVAMMKATGKAMEDLMRHVAEWNVNVNTAAATKKKQNIVVGPEMRMPKINAGKSA VEWEMTKEVLEKLEVRVPEGMLPGKGEIMIFDGVGAVEGEKGKGGKGKGKGKGQRNGN GK NEUTE1DRAFT_84688 MEPRARAGKNVGKMNFNHNELAQLLYGHGDLKNPLPETVRVLDE LITDFIQGVGFEATRAAHHAGRQKVKFEDFEFAMRRNPRFMGKIQEVFEKKKEIEAAR KNFNIEDQLMKDADKEEKEKEKKGTDKGDKEKGEKEKEKGEKGGEKGGEKEKGPGSTV SGSGSGLGSGGGTKRKRQQSVLEDEELDELDDDLEAEADIGTATKRR NEUTE1DRAFT_102275 MTALFNFQSLLLVIILLVCTSTYIHQMFPAILDRNKDGLMGIFW KCARIGERLSPYVSICCIFMAADGNQEMENNNGHDDLACSGTPTLCDYRRPKLSTPGQ SLSVKRDRQGLCGTWKQWTPYRQCWTP NEUTE1DRAFT_27903 KVKGDFRGAIADDNVETHSRMLHLKGGCAARLMSWSNVQCFKVR PSDPAARQRQRAKKDGSERRRRQQRRDRIESD NEUTE1DRAFT_130781 MGEQGAGGGFESHLSTHRRQDSTAEEPLPTETVPETRNPPQQAE QEDQIMQEFSRVSRELATDGGESTASTPAAETAPRLSRNSSTRSNRQSTSEYFTAHAR DRRPSPLSRSSSVPIAPKPLEPPVTRATLSELDVSKIIHNPKLRHDINFDPELHFRPN LDGEKGKRKQEKANQFWNMLMEQLIQFIMDREGFYARYGTDDNWCLPALLKAARDIIE TLVPQRDRDLLNDGLNVELLMQQFHRGVADLEKLAAWLSGVLKLHCAPMRDEWVDEMY NELSNGNRNNDMGELVKGMRNLLSVLEAMKLDVANHQIRCLRPVLIEDTVHFEQRFFV KKIQARKLSIAAAKQWYRNAEIAASIAYANTPSPHAQAFGETGTFFEALTHLVLPSTS TGNIPNTFLFDEERILKLRSDMYDSICLEICMRKYEDYDSLSRQFSSGVPAYVSDDNG RTLSTRSSAEFNFSRPSSLTFSDRNSLSSTPSSPRSSVIFPLTTGSCIPAQDSAESRR KAQELYSSLLALLHTAPPASRPDYRWKNLAGAMALQILRFVNHPQASLAELESNLVRV LGDPTCEVFREVEAEFQQKLLGEVAVKVKEFRNLSGVGLFSRATGGRIQTPGTRAWNA GGAGDHLRTPGASKVMGIQSLGGSEPLTTATSSSPSSNRDPREEAGVEDMAMRVAHFG VLHWRVWAQLAYIGDVESELENADLMAQMQAQGSAYAEQFAAAAAAANVGRS NEUTE1DRAFT_84696 MDAVMLHSLIAVESEPALKLPSAPGRAVARTYPGAPQEAASIEL QPLSRTPKPNRSASTSRINLASTSSSGHLPKSRTETTIFSVGPELEEQSRPTTPKADS VNDDSANIDGVEAMQSIMEPYMNRWRLLAMCLISLSNGMSDSAPGALIPSIEEYYNIG YAIVSLIFVGNALGFIAGAFFVDAIRERLGRAKTLAVGQGLISLGYIPMIATAPYPAI VVSFFFVGFGMSINLAMGNVFCGSLSNSTTALSMMHGSYGIGGTVGPLLATAMVTVFE VVWSRYYAVSLGLAVLGGVCATCAFWDYERDTQQHLGSSTSGSGGPSGSTAAPKRDWR ADVTAMVSALSNRVVLLGALFIFAYQGAEVSISGWVNTFLMDSRHVHDGSVGYVTAGF WGGITLGRFLLAPPAHRIGEKLFVVIVVVGACAFQLVVWLVPNLIGNAVAVAIVGLLL GPVYPCAAAVFMRNISKQKQVSGMGVISAFGSSGGAAAPFTTGLLAQAVGTFVLHPIV IGLFVVMMICWYGLPNKPKRSE NEUTE1DRAFT_130783 MRYPTDRETEGYSLRSCPLGGAWTASAAYSVSRYAPSMVLCVLS GDPVVFEPGLPGHPLTSTEIFAPPPDQYWIVPQSFTAAPFFGHAKRGLSAVPFSPTLI NYPLLRAWCEHCDLHHSTCASATQTEHRPDKQGVGPVIRCIDCHTREVVEIQTSDRFF ALSYVWGKQPALKSEYALVRIGTRETASASGKRQLPSVVPAVIENAMIMVTSLGGRYL WVDQYCIDQDDLDDKHAQIGNMAAIFEGAYATIVAFSATDSASGLPGVRNLARKPYPS VTHLKHPIPALRPSAISSAKLVTASVWMTRGWTYQEAILSRRLLIVTDYQVEFICSNA VWHECTLPEMKTGSLSRLEFLFPRPPMYLLEAITPIRRSAGRIPVSIDILNNYIMEYN RRHLTYQSDTLNAITGLLSRISLPTYLGIPFFNPIELDVSLSHTLAVEMALSRFLVGL TWYPVHEGRKHLQRRYAFPSWSWLGWEGQVGFRFQNQLIYCYDEEDPTKNPQPRARVS VQITNQVTHTKGEETLVPLEHLLNEALWGKRPTSILPHLTNYLWVEGQVIKMTFTMGR GEQSGFFVPKSYHPPMSVWYNMIRLSFRNCNPERPDLSTTMYERIMTEQWDCLLLVTK SSLFRVCYFLILDQVQQDEITEAEDCYYAVGAAMLNIRLEGDTPWYDPSLRRRIKLC NEUTE1DRAFT_44559 MAQSSQLTSLYAPINWVAEENIIFGPLATPFVDSWVQRVLDKNY WDCSEAFTKLISRFRLLVRYAESSENGPSNLARDRFMLERLKRTLGYTYQPTQEPSKN FRSGQIKPPTFGTGQNLGTTHSFSHWHREEFIKECQGIEYWCKNGNWLQPPSARPKVG EPELPTPQEYSHVQRPYGRIGIGIGSGKNSLSIPLLVPPFRRHELPAMEPPAEARGPP SRFDTRLLPSGPNTYL NEUTE1DRAFT_44964 SEKTYNTRDSLVVTDPTTSLALTGLSMGERTGSRVFQWVWSYVF MFVNLRNHEGQFNARIVLGS NEUTE1DRAFT_84697 MSHYDNLPDSKTDIIPYDGEDSYAMISPSSSLSSSSISPDSIDK APGPAKSHNNLTIPDLSTLHPSALRSLTTSPFSEHELLSPSFQHIRRTLSRSPSPSRS FQHSPNGPNPSNPKSRIINFLHRNRPLFQVAIAQLFGALMNLSARLLELSGEGEGEGE EEGKAGMHPFQILFARMFLTSLLSLLYMHWKKVEFAPWGRREVRWLLVLRGVTGFFGI FPLWYSMLYLPIAEATVITFLAPSLSGYLSHLLLKDPFTKKEQIASFVALAGVVLIAR PISFLFSSSSSSSTPTTVPPSFDPNTNATNLTSPASPATNNITDDIPPSLRLLGIASA LLSVLGASAAYTTIRALGPSTHPLISVNYFSLWCTFVSLLALLLCPLLGIGQEATDLV PAIRWGLPRGFYQWGLLIGLGVAGFVMQFLLTSGLGAGPGRDKDGDGKGGGESGGEGE RRRDQRVTMTKGATKSNRATAMIYTQMLFAAGFDKWVFGRTMSGWSVVGCGLIVGSAL WAVLGKETGAEKEGVRGGQEGADLEMVGGMRESRTESDEEEEEVEEYSRWDKSVTQVD NRGDSVTVTFDDGTSTSGTLLVACDGANSVIRRALFPEEQYPRYQIPPFKSLVCNAPA GIEVKRLELTDWAPPKGLRSTGRVALVGDALHPMAMYRGEGANHAILDVLEITQQVLP HLEDTTAELRNAIDRYEGLVIARARPAVFASRQACIDAHWWERISGNSPLLSRRTPNV DFEEEDVRTWSH NEUTE1DRAFT_102281 MARHNRITSISTIQASLYELSQHVLTVQQKIRVLNQEVQELKEN REQEIGTSNLDSIPPAAAHDDMEVAELDEIELEGMELENLQTEPVELENDTATQFYRV MMDAISGLYDCVSSIDISPEYVCSALVRPYNLPTCERMLERWENFKRHGVVDIKYCLS GILYWGHDDHDSPFSGERMIPP NEUTE1DRAFT_44410 MGRSDAKDDRHTATSLPPHGSRSDLKKSPGSDTKSPGLGHGQTA ADHSSSHGYSSDSESSDIIADSPCYGHEIQEESCEIHELTLHAVMALASDAAEPTSRG DSMSVMVTTSTKDDTTEVITITELPADSGGEANPSNEEAFAGENWRNHPEHVVESYAG QEEVNLVPPWQQQAEKIAYHHKMPGWTYHHPSPAWWVDHYPQAGWSYYDPFPIWSYYN PGLDQISYFYPSPHLCVHNPSQTWYYYDRAMGWIYCDNALAWKYMHPEPYELRGMGPE HPPDWTFYRYFECPIFSL NEUTE1DRAFT_147515 MVTSLTASQRQECQEFARPTSRDDFQIAIVCALPLEYDAISLLI DQVWDVKGDQHPFGRAPGDPNTYRNGRISSFNVVLVLLPNMGKGNEEEVLLGDVIISN ILIQYDFGRQLSDRFLVRDSIEDSLGRPTQHIRSMLRLLEVESIRDDVEDDAAQYLQM LQKKHNGNPKQRKLGKYKYPGAHKDKLFDCSYEHKHHGPSSDCSLCAQGSTCERSMGL SCDDLHCDLSRLVHRERLAQMQISDQPIFPLRVFVGRMGSGDGVIRSAKHRQELAERG VIGVEMEGAGVWDQLPCIVIKGVCDYADSHKNKGWQDYAAATAASVAKAVLEHCATPM VTGRDASPYGSGSGHHAVVHGTVSGSNVVLGGIHSTGGSTNNVRIGNNWGRAASWEEI NEUTE1DRAFT_84701 MSTNQSGDGGIDHNTVNGRVAGHNVMVGSISAVNGGHNVVSYGD YHFYASSAPNEVRKSVEPFAQLYFPRNEDFIERPYITEWLEKTLKNPGSRAALWGLGG IGKSQFAIRYAQDVKHRSPETFIFWIYARTRDLFITGYREIAEKLGLLPDPSQPDDTV LRAVRDWCRQNKQWLMILDNADDVAIFKNTTTSGDSASEDGSAIKAQPLSEFLPCGQT GNLLVTSRDKAAAHFLAGHRNAREVPAMTEHEAIQLLRKKLGDYNDEDCEVALVQALD CIPLAITQAASYIAFEADTGLTTPATYLELFRMSDQERVSLLSEVTVDDPFREPGTSP AVVTTWKITFSRIKETNANAAWLLSLMSFFHNQGIPHWILEKIYALLFEQDAGRALPK DLSLLCRYSLVTRFQIQDGDRKERHGATFRSQQYQMHALVQACTRAWLEESREKEDVQ RHYINSLGVFHPDPCFESWTECGELSPHVESLLNLPGLEHLDKDRAPFLLRMLSCAGN YNIETGRLDRAMILLTKALHVAEKTFCPGHYYIFRAVGDLWKLRDLFGKHHSLQIKIK GLLARFVNTLDFVAKYAEKETISRALLHRRFQFPDEDWLLGKAGMSEGDIWIAISQAM LAQWKTEELLNVLEQRRSQLEMPDADGSFQYPAHVASICSLVKQGKWEDAEKSCRKLL EYYERTFGSQVPLLLGVRMHIVSCLASQGKHMEAVEELDNIVQLRKKLLGPEHPDTLE AIVKLAHCLNELGRHAQAEELLLDCLTTLEKNHEDKIGSKIYCLAIKTLSNSILAQEK FESKSPEFWRKIMIGFIPFVFGDELDLDEDEDIGEDESPAELSATEGTARALVPALPN AISPGAAISNLAHSYNSTDAAYQANLQDWEENAAARAVEQLHVGERMVVRDNTSRPEM KRLFSIGLGERRSLHAERALEDCMRMPMEVKKGAGEDLNKDRSDVRGDEDEVEEDVE NEUTE1DRAFT_43809 KNTYNTRDSLVVTDPTTSLALTGLSMGERTGSRVFQWVWSYVVD WRKIGAQVEVCPQDILMARGIFDGLPSYP NEUTE1DRAFT_147517 MASNSELAEIKLLLHSLASDIKAVKADVAELKKSQVQSQQSTPT CAKRTDGGSKLPNIEKALSDTETKLADHIRDTQSAILQLVEEEKVLIQAEVVQLSRTV GTALENAKEKMMEMVAEVVDENNRKRSRSTAGLDEDDDDDDESDMDEDNPDDYDSWTK RRRVSSALDKTVMDIIGIAEETFDNGGVALEWLLGALISFHNRANGGRIRRWYVFQKE GEIGFGYCLYGLFYHGHEHEGVAEGLCFCRERHPPGLRQRATRCIRVRRSEDGSGKLQ FSWGADIGPAPVLGRYE NEUTE1DRAFT_45663 MASLQMGFSTRLLTFGLLLITLVAGTFAAPLRVDHETALPPTGA PPPRPGPTINIQRSTNAEYLGVDMTILNQASSGDLFIRNAHLSSGKWFEYPDAGEQLS HDQVEAKSASPNGGSMVVASSGRAWTPTGTEGHFDIYHGDIKVCHVYWDCPWSRRSNS FEVSEVDDSYAVEATGASLNKGPLGSITIEVVNTGEGE NEUTE1DRAFT_102286 MTTLTEFHLFPYLPEELRFMIWTLALRPLDRPGVHIFDVVKTIT QLDRVNHHLSRLIGPGYSDLYGGLFVPPSLLPQPAWTPPMDCSCSWVSSLSTYVVPGN VNLKAITPHSNASSSPLSNREKNQTSAQHISSTSDCGPLARSHAGSSKKAFGSRKLNK PFTTTALYRCNNSTRRHPFTVFQGRDETSSSIDHCTRFAKGFSLLDGSQLPFSSPFPG GEVSLCGEPNRLRGYYQPNYYRRLDKLGHYQDHMAIEWDPSWAFDDAAFEELKRGLMS TSLQRGRIWFVDYGLRPINKNFALSDLDVAWKKKGTSEVFEDAHGGRYVEVYSSKIHL DLHTGERVSSETFDGTGFFVSTDGSLTMLKPLLRRDLPDWLGRTLQLSGEGIGEKNWA QWGILAYLPPGFEEGKQRPNASPRTRTQETVKERM NEUTE1DRAFT_111412 MTNKNAPKDTQMPEYVSLQLFRWSSTKLTLCLILRGFSFLDPRL VPVAVTAPDRDSVHTHRRNRHPDAHQFLGFLNTKRGGGGGPAVKSNLVTYLQKMLPLT TSVTGTKSKRSTVVVQYSLALQAINQTENCLEIEFKRRDKDWTLGCVRMRTVNYRTT NEUTE1DRAFT_84705 MASKRKASAMATEPEEPVDPADELMFLNLGGGNEVGRSCHIIQY KGKTVMLDAGQHPAYDGLAALPFFDDFDLSTVDVLLISHFHIDHAASLPYVLAKTNFR GRVFMTHATKAIYKWLIQDSVRVGNTSSNPQSSLVYTEEDHLKTFPMIEAIDYNTTHT ISSIRITPYPAGHVLGAAMFLIEIAGLKIFFTGDYSREEDRHLISAKVPKGVKIDVLI TESTYGIASHIPRPEREQALMKSITGILNRGGRVLMPVFALGRAQELLLILDEYWGKH AEYQKYPIYYASNLARKCMLVYQTYVGSMNDNIKRLFRERLAESESSGDGAGKGGPWD FRFIRSLKSLDRFEDVGGCVMLASPGMLQNGVSRELLERWAPSEKNGVIITGYSVEGT MAKQLLQEPEQIQAVMSRNIAGARRGPGGDAEKVMIPRRCTVQEFSFAAHVDGVENRE FIEEVAAPVVILVHGEVHNMMRLKSKLLSLNATKEHKVKVFSPRNCEELRIPFKTDKV AKVVGKLASIPPSLKEAKTAHDGPLPPSTEPQLITGVLVQNDFKMSLMAPEDLREYAG LTTTTIACKQRLKLSAAGIDLIKWGLEGTFGAVEELPEVKPKLEIVKSENGDTKMEEA DEELPHGDDVVAAYLVMGCVTVRYRASGEVELEWEGNMLNDGIADAVMAVLLGIESSP AAVKRSATKNPHTHSPLPAEQNPHSHLTPEDRFFRLCMFLEAQFGQDNVSPIVEPKLP PLSPTTKDTKAITSPSEETAKSSDAKSDADADASMDVSEEDEDEQQLKARERAEVERL ERMGIPKPGVRIKVDKMEAKVWLEDLEVECANKIFRERVRAVVERAVEVVAPLWG NEUTE1DRAFT_123417 MAQPPQQPQMPQLQQQQPQLQQQQPQLQQQQPQLQQQQPPQMSP QLQQFQLQQQQQQRAQMLAQQQAQALAQQQQQQRAQQPQQHVQPRQLPQQLQQHTQQQ TQPPPPQQQQQQQPQQRVQQQVHQQGHQQAQTHQQHAQQQPQHPQHPQQQHVPQQQQQ QHHPQLQHPQAQLQQPQIQHPSPPVHQQPQFARPQHTPSPAPTTQAQFSIPPNQQRPQ TGASPASQPGSPYLPQNYATTPQSAAAPTPPAASPKFATIQSPGLQQYNQSFTNGTVS QPSTPLAVPSPGPTQATTPGPGPTLSHGAGLQMPESRLSMPPVQPASTTPGPVTPGLA TPSLAPPNLSTHGPSTPQPVHAMGAQAAQNAQNQQYTTATMAPIGPPPPAPTTPAAGG AMGPPQKPVERPVKETEYDVTDSLAGTGVDLRAEEQALADYYAGSFSQDARTGLPANP PGSKASFYGAGWANQASQPLDGKSQEEFEKELAKKAWDEAAHRLALTRANEMRTPFLE VAPLHWKAQKIAKEYGLELNSELKGGSAVNKSKPANDPAQTQPKVTVSTKTGEGGALV TVTSSWIPVDSYLSDQIALLSIATKYRIRQIIESAHTIAINRQTTSRGEVPAEWADVA VPLKTGLNDALQEGMEAAAAGVGPQPNPLKRSYDAAKLNDPNLTKHNLTTALRDTARA DRNLEEARLRKRQKRQNPDTATGGTGSRAGSVAPGTPTTADADGKLPSKKELKRGAAA AKLAETSSTASANQTLNAIMGGFGRKKGKSYSWMNAGAGGGGGGAGGGGSGASTPRTS LGGDGLSTPAGKAGAAGAADNKPQQLTSENQKNRLGMWRESSEQGKNIQLRDWLVALE MDGIEVRAIQEAYTRLDEPPRTKVDEVK NEUTE1DRAFT_45334 SDAGALLLASTSPDINLLGVLVNKPSTYSVLAASAIVSYYTRSQ SKAVPIGVFRHPTSDGTVIPLPDTTFLDVVYWHLGEFASKIAYQFGSPSSPDYVKKPK GPSIPWGQAEDAWDAVQLYRKLLSEADDESVTIASIGFLDNLSLLLDSKPDSLSPLTG RQLISQKVAELVVMGGRYPEGRSWNFFGSDPDSPHYNGGRGLGLGPKGTANVINNWPT LEKDGWKGRVVYVSDEVGGNVLTGGELVKKGPKGDPVGRAYGYYAYGGARPSWDPVAV LYAIDGLGELFKYDERYGHGRNWVDDQDGSNRWILEVETKNQFVLALKADHETVARKI DRLFLEGALSAVTERAGP NEUTE1DRAFT_123419 MTDVGGGLGLVTSSSSPTTTTAATHTHSSHMQSQTQTQNKWQQK NQTHTQTHSLRDTSTRRSTSASLSTSTSKSTTTKPATTTTTTKGRITRSAAAAAAVAA AAVSSKAGEASEARKAAGDKEKDEEKAGPPPRQKKPSNNNSNDKMSSTMTTTGGLTNT ANAATSSSSSNSSSSPLTRKRAATLITTDLALPTPSPQKQRLTIDPGAARSGGTKVEQ HPAPASASAPAPAPRSAAAAAGGSGGDAKEPGGSGSASPQGTGTRAGAGAGGAGSATT ELDNYSAGSSVGGSVGGGGGGQAGQGGYGGGGGGGGGVQRDLICLCTPAPKVPRPRNA FILYRQHHQARVVADHPGLANPEISKIIGEKWRAESDLHKEEWKQLAEEEKLHHQRKY PEYKYQPRRGNKHTSTSSIVVGVGAGGGTGSNRGSPTTPGGPGGIGGIGGSGGIGGGG GQEHPGRCPNCGGRYIATPRTPSTPFTAGSAKSVMHGGEGRTSGGGGQSGMDTPRGSI EHASSSSARGQHYGQQHGGGYYRDHHRASVSSQGGGYQAYPSRDYHHSQTYHYPTASH HQPYPAHPLYDMPQEYDVATPTGTSSSSKRRRYDSTSMPYRPEREYALPSPTTLYGSS SRHPSVGGQYAVRPPSHYHQQNPMSPTPLGLGHSYGHGQVPLHIYPAPPPPTPSGTIG SGGGGGGTGSLPPTPGFAPAPAGPPTSTAALSGPASIAPSGRGRSPLSNTMAPPPRPP KLSVPGSSGSYHHGQQHSASGRSRSGLSPLRTRGDESVFDPSLRLPPLQTHLAHLPPT SPESGSGSSGTGAGPGIISAAGGGGGIGLGITYPSDPRDPRSATSSSPYPRLTGHGTA GNTPTAGSFPASYHQGAQPHPTLQQPPTSVHPSWATLHHQGQKEQQQVARGDPSPVAA VRDNIREPTTATTQHYSHSSDPNTTNSSTNSKRQLALLEAQQRQQSVEAMVMSIDYVR KASVLRWIGRELPAYGAVADNIDNMSETGDDTQEQQQIVTTRGPIIAVEGPNKELLRV VGKAVERALIELGDCEVRAWVGEGDVWHSDEAREKGTTSEKEKENKGGGDVEMGDTVI VRGSSPKSNNNGQDRVSSHSPPSGIVTPIPSTNPNPFPRYLDLMLSWHLKASELTKFV TTPYFSPSSSSSSYPSRFSSPSHSPSSTSQNLPKLPIALLPLGYSLTYSDRFACTIPI ADAYAPVDHWQWMATLWRGIVGPDLTVYVKPVTEENTAVEGWAPVGVEVKGERLIVVR VVVPSGWGGQKEAVAELGSEAEKQLAYEVGEWVRAGAFGVQGRGGVARGERERDLVPQ GGYEYGRGHGYGGEGW NEUTE1DRAFT_147522 MVQISEVKGNSRDNRTAAHTHIKGLGLNSQGIAEKQASGFVGQT TAREACGVVVDLIKAHKMAGRGVLLAGGPGTGKTALALAISQELGTKIPFCPITGSEI YSTEVKKTEVLMENFRRAIGLKVRETKEVYEGEVTELTPEEAENPLGGYGKTITTLLI GLKSAKGQKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDLEA EEYVPIPKGEVHKKKEIVQDVSLHDLDVANARPQGGQDIMSMMGQLMKPKMTEITDKL RSEINKVVSKYIDQGVAELVPGVLFIDEAHMLDVECFTYLNKALESPISPIVVLASNR GMTGIRGAEDLVAAHGIPPDFLSRLLIIPTTAYDPEEIKRIVKIRSTTEGVKITEAAI DQIAEHGVRISLRYCLQLLTPASILAKVNGRNEIDVQDVAECEDLFLDARRSAALLSS EQGQEFIC NEUTE1DRAFT_66034 MSELGVAVGQKIELADGSGRTAFVRYVGETAFAPGTWVGIELDE PSGKNDGSVQGERYFNCEMGYGMFVRPTTFNSPTRSPTKQLATASSSGNPSRSGTPST TTKPAGPTTRTRPSLSTSRHSMGPPLTPTTRTTRKPSVSSVGTRPSIGATRAVGGRAS MSARSSTNRLSDPRESTGSVSSVGKSGFKRGSASPRSSDEDLSASPVPASPVHQKTAA LEKLTAPGAGNGGGGASPGATSPNLKATTITPRSSITNTATMNKEIEDLKAKLKVLEK KRMEDREKLNSLEKVKAERDKFERIIQTLQIKYQPQQQEIQDLKRQLKEAENRLYNVE ELQAEHDTAMELATLDREMAEETAEVLKVELDALKQKNEELELEVEVLREENSEFTNG MSPEERASTGWLQMERNNERLREALIRLRDITQQQEEELKDQIKSMEEDLREFETIKE QHTTAKEKLAQTEAIVEDLREQLNNALGAEEIIESLTEQTMNQSEEIKELRAVIDDLE SLKEINDELEINHVQNEKEMQEEIDLKDSIIAEQFRQANLQRESLEDMEYTLSRFREL VTSLQSDLEDMRASHAVTENESEQLNSRSRAMLDLNMKLQISASKAQVKTIDLELRRM EAQEAEQHLEIVKLFLPDTYQSDRDSVLALLRFKRLAFKANLLNGFIKERVNGQPHPG HEDDIFDGCDAIDKLTWVSAMCDRFVNAISHCSLEQFSRYEGALYELEPVERALNGWI DGLRRDDLKEKQCADELKRTIALMTHLGEVHISNDLESFADDVHMKALLMQSHLESAA ISVNSLKAMVQRVIPPSGEDDELAQYFSKRAEAVVSQTRSAKVIAGKTVRALEDLKTR SLSLTPDTLEAFEQSETATRDLANMARQIGLDLHAFLHEEGRTEPYNYMEVQSCIQRS AQASSPTASSPESDLFNTYLSYLRNATSTIFDLASLASDLAQTQEFDRTPAPWILRSA ELKAAKTVAPDIDDELRRLRDDIAEARRSIAVREEMLSTAQVKIETLESRMRDANAKA ARIVDLESDLQAAKKEAAQLQEDMEKQDRELKALESDRDKWKKIASESRVVVADGSGV GVDNKASAERAVATAREMDALKKEIEALQAAVRYLREDSRRARLKEQGDYEWLAEPLV KKKPSVQEQRKQLVKKEGKAVLGELVKLVSGAKVFDLGSLPEKAEDRLKWRPAKTTPG WWVAKQMEDWEALKEWEGSVKGRVRELGGASGSKKAEREEEAKRMVRRTAAAKLQIRL PGMESKVGHGGGGGGRRVQIVGSREWESLQGRLAVVV NEUTE1DRAFT_102293 MSTRPTPPEDESSRYSNTETIFISSNCPTATKLCGVCSKIPAWF WTWEFGKGAEISVELQPFKEMRAEAQNGCGLCKLLCSGSYRAGWNKVVISTLFGTGKL VKKRTIENVVYGISCQFEEAEASLGEVYPIPSSWRGRSLAAADKNSDLILIQDWITNC QLNHTSCNNVTKDFLPTRLLDVEAFNNGRSPKLEDNIKLVRSASDQIQKGRSAPRYIT LSHCWGPPEKHLFTTTRASLSVRMERISFAELPRTFQDAVVLTRKLGQRYLWIDSLCI IQDEENEWAREASTMADVYTQSYCTLAALSSKDSTAGLRKKTQTDQSMLIDISANDGQ NEPFNVRLVSSPDAWNSEYDGLFSLRRASESGSPLQYRAWALQEKELSTRVIHFGFRQ QLWECCELKGTTQLPWYHAMDIIERVQSSQEKEWAKSHPQDPILFHRMTASWLRLCED YSIRSLTKETDTLIALSGIAQSFQKYFPNAKYIAGIWTCHLPLALLWRSYHIPIGRDR TDYIAPSWSWVSPGYRICYEQFLFPVFPPFRRMTNNTPGLADRWLDELEVVGIKGQPK HGDDYGALKEGAALELKGALLFELDPDLDFSNFTKCDWIVYFRKDGVPAGGFSPNAIG PVEGKLLCLGIFCHTSEGVTGLILREDIHDGNSVYSRVGYVAKLDPSLWDGSKRRQIT LI NEUTE1DRAFT_139103 MGDVHHSHPPTNEFSLYHATLPSRADYLKESSVVSETEIHLKDN AAAIPRTLFPSEAETLSYEQQHKVVLQVTKRGSLELSIDHHAAQKDQLEPIQNQNQDQ NQDRDQDHLTLLTSLNKQTTSLLQIYSQSQSKSEEGGDTNVLEQAVVLGQVALVLASS LIAVSATSGDDRVVCRLDLHSKARVEIKVEVQTQVQREVVVALANMGVALVKQFDRTG DRRDLEEAGWIHARDGGYSREREPHLAQPQLDPYETLKATSADNTVQTPPNRDTIQTS AQSASKNPL NEUTE1DRAFT_84722 MPSHPRVEEVEDSDLEMSDPSEGDIDDFAESDILAAQAAAAAQQ RQVPPPQYSQQQQRQPAYTQPPGYPQMQTTTDDSAYKSFQCLYPCYFDATRSRAEGRR VSKELAVPNPLATEIVNACAQLRLSVVLEAGKLHPKDWANPGRVKVNLKEFIRLHGEN GKLKNKHHLYILVAEHLKKHPTTDESPALRVVVRGAGPPPREMLEEGKKWPRPAVPRG WKMSELLPYYSPAMTGGGVSENFLKDMMKEMGPGGMPGMPGMPGGAGAGAGAGGMPDM ASLLQGMGGMGGLGGLANMLGGMGGMGGSGATSPGASGSEAAGKKKGKGKK NEUTE1DRAFT_130794 MAAPESDLMAFYQRFQTLSLFNDSSARLIEDLLLYSKNVEDALR EENRALRRQLTDAQLDLEDATRSRRDLQQQVANTESRNRDLAQDNHHLKNNNPFVVVL IDGDCCLFKEALIRQGVEGGKKAAYALRSAILEQCGDFAGNMEVIAKVYANLGGLAKA MRRNGCLEAEETLKEFTIGFTQGKATFDFVDVGHGKERADNKIKETAKWNLRNYNCKQ LLLGISHDAGYAPFLDELFQDETKKRRVTVVEGVPTVRELASTGVNILNFNDEIFRSE KLVDRTSLRTNTSPLITTSSSSTTTPTVSNGNLPTPTSSTTSTPANATASYAGAIGNA SPPPKITLPIQPRPVNANARASATPKQPAWNPGPRGLDPPIKVSPAALESIKKRKDNN KLCNNHYLRGPCSKGDACCFEHKYKPSKEEIDAIAFLARLNPCTSGQDCDVEDCIYGH HCPNVKDGVCTHPYCKFEDADHPPGTKYRSTKTYDYFS NEUTE1DRAFT_45649 MSTSQETQPHWSLKQSFAERVESSTHPLTSYLFRLMEVKQSNLC LSADVEHARDLLALADEVGPSIVVLKTHYDLITGWDYHPHTGTGAKLAALARKHGFLI FEDRKFVDIGSTVQKQYTAGTARIVEWAHITNADIHAGEAMVSAMAQAAQKWRERVPY EVKTSVSVGTPVADQFADEEAEDQTSTTKDTDGRKSSIVSITTVTQTYEPADSPRLVK TISEDDEIVFPGIEEAPLDRGLLILAQMSSKGCLMDGKYTWECVKAARKNKGFVMGYV AQQNLNGITKEALAPSYEDGESTTEEEAQADNFIHMTPGCKLPPPGEEAPQGDGLGQQ YNTPDNLVNIKGTDIAIVGRGIITAADPPAEAERYRRKAWKAYQDRRERLA NEUTE1DRAFT_66044 MTALSLEEGSNFNINANHNGSSHHTRAVSVRPPPRKRRRIVISC TECHRRKQKCDRKLPCTNCISRHRQDDCQYESGAPTAKETQRYQQQPKRAQRTSSNET ETVIHGAGLDENRGSITEGQWSGESSTDDSNDHQHIPSNVANIANLGYSTTGASTSTL SFIHKIENHSESSSLPHPSSTLPLSNGDGRVSTSPTDIVNRYKSLIRQLPARIYIERL VDVYFRDFNWQYSMLDRDIFDAQLEEWYKIPFNVFNNGGPGAIPPDLRVFPALLFQVM SIVMLILPEKTGKGAREEVVADGRDGEGSGSEKGKVGSKRTANTGVKGGVDFEALKYA GNMTFEDLAKEYILAGFVRAAFLKFVAMVPEAWHAIGTAIRDAQELGLHRSSLDPRPP PSATAEAILQNQWEIQRRRKVWLILVTWDIHCGATLGRPSTIDLTTNPPILPIDVALP TTTTTMTNCRSPTPIIPRSEENDPPTPLTRILWTYKITLQLVSILALEKEGPCPASFS KVDLLHQHLVDLENSIPSYFRLHNPDTRFDSLPQCYWIPWARALLPQLTAFNFMALHR PYIFARPLSRQLAVERGCLAMLRAQRTHFEILRREMRQNTFTLFFGTFDAIVLMGAVY ILFPKEKETGEMVGEVVQQFKWAEERFEEMKERNPLARQARGVVRGVGERLGRAVGVD LGQKGVRVGGGRGDSLVLEGTAAAVKPTTVAVGGRRKRLARQRVVTSVTTSTVQNGLS TASLSTSVPSGIASRADSSTTTPATATSTTTTTTGITTPESTAPPSLAQRQFGTATSE INTAITNAFHTSTTFPFNHTSSETIPIDPSLNPALSPGVADWAAAASTMPSISLPSLP DDFNWTHISPIYATGDLVYNDLTGFVYDEFDPVVHDGSNHLVQRGDGGGYEDGLGGVG LGNGLVTGIPSHIDIDMDIAPGTGDAGTEGDMPRLMGVDQDDENIGERQSQSQSQNEA LWMFDGRGIGEGTCVWGLLNRY NEUTE1DRAFT_102298 MGRGSFSSDGSSDAGGARLALFSPPSRGNQQWRSDTKSYKTETP DTDTFPSEPSGSERQSGGARVNGHSRHSSMASAVSMHSSHSRSSSSTFQPGASHHPSG PSRPPQRYQIPDNQVTPPNKVQHLSQGLSSMELIRSGDHPAISDIRKHAADANRRLWG LKHAVDSSEHVPSEFREGFDVDITQVLKHLTTISSAAESLATGLDRKSDECESLRTMY SSQTMDYDGTRAQLEAERAEIRAERTRMLKFEADMKAEKDKDERVIKALQEQIAGKRH LWMQVRKDPEEKAMALEMLSRSSTPYTSVTDSSASPTPTGPRDWQASRAISSNTRPPR SGHNLMPGTHFGGPLPPRSVSGFAIAHSQRLNYRGGHSSHRKGTGRVVSEWGSPVSRG SAGSGRGGGNRSHDHGPPTAIFIPPTPKTHDEDGPTATFSGKLTHLLTVVIRAFTHKY FGKPMPEVESRLRKESPSLFSYMCDLVYPGRGRKIGESHVSFLLNDDVSRPYLVERLL VQYITSTMLQSEGWTGYEPSVDKEMASLSARLKDSSGAQNHSKTHERQAMVNRQAELV KQMVDHPKWAEFKNYKVNDHYQKFKKMVGPFLPPGPKSDVRDEALFDLFSIAERAWSV AQMGWESRMTYVYLWSETCSKFVEASHTAVNSEVPAGQLQARQMRISLVVTPGVTMRD DSRGMNIGTRLVRRSDVLVMV NEUTE1DRAFT_84732 MRLQRSRATGDLFYALTPCITKRYQPLPSELDLSYNVRWRFFDA MTLFLRCIYVVRHLAKLY NEUTE1DRAFT_66048 MSGLDVEALLDSTASSKEQQMDVDPPTTNGGADQNGSKSERRDR DSDRDKERERDRDRGRDSSRDRGRRYRDRSSGRLRNSSSEAGRDTPRSDAGSHRSRRR SRSRDSGRHSRRHRDGDYYRGRRGRSRSRSPNRYYRPRGDDRRDRGDSYRRRDDDRRS GRNTPRARDGTPQPLTEDERDRRTVFVQQLAARLRTRELKEFFEKVGPVAEAQIVKDR VSNRSKGVGYVEFKNEDSVQAALQLTGQKLLGIPVIVQLTEAEKNRQVRTTETSGHHP NSIPFHRLYVGNIHFSITEQDLQNVFEPFGELEFVQLQKDDNGRSRGYGFVQFRDAGQ AREALEKMNGFDLAGRPIRVGLGNDKFTPESTANLLQRFQGQNHHQQFQGSAFSGAGG RGPTTSNFDRAGARDNEKGTGASALDDTDVAGVNFNNYSRDALMRKLARTDEPPASVI PERQILKPKTETKPLPVNVNMASRCVVLHNMFDPAEEEGENWIKELEDDVREEAEAKY GHVVHISLDPNSAGDIYLKFDKVQGGENAIKGLNGRYFGGRMITAAPVVDAVYSSLFS RSKAI NEUTE1DRAFT_66051 MPDRTLPTLTIDEVESHNSAKSCYVTVGRNVYDVTDFLDSHPGG ADLVLDWAGKDIGAILKDEASHTHSEAAYEVLDESLVGYLASGNGAAANGTAKDGENG TEEQWVHPRTGMSCEEDLSKDTDYNADYKTHKFLDLNKPLFMQIWNGGFSKEFYLDQV HRPRHYKGGESAPLFGNFLEPLSKTPWWLIPLVWWPPISYGVSVAFQGFGSVAPVAGY FGFGLAFWTLIEYILHRFLFHLDYYLPDNRVGITAHFLLHGIHHYLPMDRYRLVMPPT LFVVLAAPFWKFAHAILFYNWYAATAAFCGGVFGYTLYDMTHYFLHHQNLPAYYKELK KYHLAHHFLDYENGFGVTSAFWDKIFGTELVYNPKTN NEUTE1DRAFT_123428 MTGIRIGWGSVLLVLALVLVVLPDKAAAFGAGNIPSIAQVEGHN WRHGDIEDVLKTLAFINGKKWTTMMVGRTYFGNWLRDYSQAIDVGSLKGVWVLSFLAH GYATEEFEVTEERLGVYRPEEHIDNPLGYADGKDAREYDPRLRGPVHPAELEIDPNTG MKNYIANEELAHHQGWNTTSAGYIRFSLQRCIHYGRLYTSGSHGRGKESDLCEALRCL GQALHTLEDFPAHSNYCELVLIDMEERRGQHSPVFPHVGTETKLKLENGQFRRVRPGE GYDSRAKYAWPLVTGTFGGVDFLHSVLGEANDHFTQSEVDEMNDALLTAEQLTKGSGG GSSRDRGLSLFGLNLGGSSSNDGDFISLVSKLPGVGDGYASTARSLKAASEAQEQENS RSAGNVNVVPGMSPNFDPVKTAAKIYPILEFRDKIVRSINNMIEKIPGLESLLEKISE TLTAFILGLLAPFLRPIINQVTQVLKEGSSGLIATSAKQQLEPWNNPECDNPTHSMLS KDHFTNILNSCAGRVAANIVQYVVPRVVYAWENPGVPDSEVIADVLQVFHHPANYKQE VEIQRTMYDTVVQWSRETHHNYRKLLASESVKEGLNHVLNGQPMNRGPGGGHTSCGAD GGHGKVAGSLWSKIQSRDLDTSAPSGGVGGGRPSSSTGHKYGYSASSSHHEVPTHGEA ASYFSSADPRHASPPTDRYYGSRPSSSGYGSSVHGSGRPSPAPASFGYHHGGHQAYEG SSSSYPSQPSYGGSSGPSYSGSSGPSYGGFSQPSYGSQPSYSSQPSYGAHPSQPPYGS QPHYGGPSHGSYSGGRPPSPPRPSGYGGGYAGYSAGPPPSHGGYGGYGGGPSGGAPYP HGGPVGGGGSAPYPHGGRADAYERNYDQHYERDERRGDRGSRRRRGSHGSRSSSEERD GRGYPGQGSRGSGHHGGGGGYGGGYGGGYGGGGGYGGGYGGRDEHRRY NEUTE1DRAFT_111426 MPPKLCSICAIVPHWLASLDWDDYSYLNDTTITLRSWRGMQVQA EAAVAEKKKSRGIYGCGSRNGEEEDQEDGEGGCDLCFMIVHYSYRESRCKFTSMFPAA RSRPYYLPPEMDPREHKDDERYQDVPLVFGREATKQGRCWEYRMVCLSNRSVWGAEVV KVPGNWRPKYLPPMAYNDQNPENNVLLLKDWLENCRRNHKRCREIKKTTTDTGTDFLP TRLLDVQAFGTGSEPSSHLGDDVRLVCLSPPTMVSKSADTIKSPQPYFTLSHCWGPPE KRPTTTTKANLSQRMERIPFLELPRTFQDAIEMTRKLGHRYLWIDSLCIIQDDEQDWA REASLMAKVYSHASCTLSALSSRDSSGGLHLEPLDEDRSYMDLSITPSPAEQPSTESG DTENNRAFTSPRFRLLYYSNEDWHTLYNGTNRTMHMGGPSDDISPLRSRAWTLQEREL SRRIIHFARKQVLWECAELKATAQRPWHDHSSSYYYAGLDSLPQHEWKEEWSEIEVES IQSLSLPVQDGDDLVQAAAAAAANGTTLTVSSLLKAYTSEREWWAVVFDYSQRSLTKD TDKLAALSGMAQFYQRNHFPCARYVAGLWSSRLKEELFWEVEDKSRATRPAPAPADIY VAPSWSWASVKGGVISFKPKIPVRRLREMRKKQMLMMAGTWRDAADTRPEEKDNTDPR KDGKDSRKDGKDTWKDIKDARRVITDGWQVEEINLFPKYDDPYGALKGANLIIGGARL VEVELFTETLIEPDPEYMYGSHYYYGGLKIDGRWVANYSLDVEGEAERSGGRLWCLGM VAQRDYSKLRKPVIGGLLLREEEEKLDADGDLCIYSRVGTFRHMRVETFDGVEPRRIK LV NEUTE1DRAFT_84743 MAAVRRRPPTQSLVSALQHLEPASFHTKAPRAAHQDTELLLDAF MGYLSTFFHHPPTATRPTMRRVTMPGPRVLRTAAGRRYLHAYARPSRTSSSSTISADE LCDRFRTHTLTDRTSKRKFHNYFVTHLPSSSLHPDSRLQHPHHKLPRSASVPHKQDPG AAPAAVPPNIPSRDLTVVRIPLRSAKHHFGTAVSRGQRNYNEDTNQAGTISMPSFAKR APMSLVRSQLRSLNENATANSAFGDPQIFYFAVFDGHGGSECSEFLRDELHGYIEQAA LDFGLQSSLQRQGTTSLDSLSTPTETFGNAPRSSRDALNRIDMKSPEEVQDRLDVPET KGNTVVEEASHEPPLEGDEPTPAVADAAKAEELEIDLLDEYRNTVGGYFRRFNSRYFS ERAANRGRQSGSNAASSTDDNKNKLQKQTDEAPSTKPVTVETVLTYAFLRADLDFITA QARKPDPDDLQVADNPVNKDEVLGQPHLPPSGHRIGGPARFKGGSTASIALIATPTPA PFWHPAAHSTLLVAHVGDTRVLLCETATGLPRPLTHDHHPSSPVETRRLMRYAESLIT DSFGEERIGGLANSRSFGDMQSKRIGVSAEPEIIRVNMEPAEYSFLVLMSDGVSGTLS DQEVVDVIKEAKTPEEGAKNVIEYATEVSADGDNATCLVVRLGGWERRMEGGLGSLGT KEIRDARRAEALDPRRGRR NEUTE1DRAFT_84745 MSTPINGVGSTDLEIIEIVPNGDIILDVTFETSKPVILAAKKAF AAFSSRPTRTSKATIPGQGPAKTPVRPPRPPPKPRERVGFRVHLSVLKEHSPYFARLL SDTRFAEAKAVEAALAKLSLENISPGEADWTQLPRVSIREDDEATQSAEMRYIFHDLL YILHHGRPETPDEPVTTEPAPTAPPLTIPYLTTLSLLADRFSCTPSLSRLPSSSSHTP LKWPATPTRLTKDQDGHALTFAGEELLRQKILVSWLLDLPLKFQSATRELILYGSRRW TLSASPEDYSESDYTSDPVPHHPEKYTGNKYKHKYKAKWWSLPDLLEDELSYRRTCLL SVLASIPRHFLRLYIVPAAQGRQCKLGYESSASCDSYQLGEMIRFLCTKGLFALVDFS PPSFDRAITQYDGGENPLAKNNDLGGISTMEINHFVAILRQCPSYQIDRHHTNCGLRT RMLPVLDYVQAMLNSEVVLVKRADWEKRREEVSWVSLVERRQEEGEDGGRGEKGKNRV FRFTRTLAADPRMRYNSTLGTSKMAMELFLADEWDWTAEEY NEUTE1DRAFT_84749 MQLFSVPIFLLVFRETIETSIIVSVLLVFLKQTLASPSSSSPAE AISHAAATTAAAAAADDDELKPPSSTNNNNSDSPQQPVVVDLRVYNALRRQILLGTVL GFILCIAIGGAVIGVFYTLGVNKWESSGAELNWEGAFCLLASVIISVVGAALLRVGRM KEKWRGKMIKAMEGKEKNKKSKGGRVAGGEEDMERGETVERQRGWWVKFKAWMERYVM FILPFVTVLREGVEAIVFVAGVSFAAPATSIPIPAIVGVIVGSLVGVVLYKFGSSTKL QLFLVLSTSLLYLVAAGLFSRAIWALESQQWAKAIGSDAAELGSGPGSYDIDKSVWHV DCCSPQVNGGGGWGIFNAIFGWTNSATYGSVIGYDIYWLVVIVSFLVMRYREVKGKWP LIPQKTEVEDGSEKGSLFGRLSWKKGRA NEUTE1DRAFT_84752 MRILSRIALLSLTTAAHAATVVYDFNVSWITANPDGLAERPVIG INNQWPPPRIDVQVGDRLIVNLHNSLGDEDTSLHFHGLFMNGTNHMDGPVMVTQCPIP PGASFTYNFTVDQPGTYWYHSHNKGQYPDGFRGPLVIHDPKAPFEYDEELVLTVSDWY HDRMSLLQPRFMSKYNPTGAEPVPKSALMNDTQNLTMPMVPDRTYLFRIANIGAFAGQ YIWVEDHTITVVEMDGVYVHPTETNMVYVAAAQRCSFLLTAKKETSRNFPIIASMDTT LFDTIPPGLNTNVTSYLLYNPTAPLPLPTPLDTLTPLDDTLLLPQDNLTTLPSPDQTI TLNVTMNNLASGANYAFFNDITYVPPRVPTLYTVLSSPNSSSSQGDLTTNPSIYGTYT HPFILSHNSIVQLVLNNLDSGRHPFHLHGHQFQILHRSAADEGTWSSSSSTPDPNNSN HTAAPMRRDTLVVEPNGNAVIRFQADNPGVWLFHCHIEWHMISGLAVTFIEAPSILQQ TINLPADHLAACRDRKDPIPFEGNAAGRGGNSKDLSQWLVLDGQPTPPKPIPAGFTGG GIAALTMSCFTGILGVAVVAWYGFSEPVGESNTAAAAGVKIKSPAAAGESGSESGVYT DVDSAGKSASVVRVKRGTEAVESEALSFGSEEEAVNGVGMVSKKGDGVVVDVDAITRA NEUTE1DRAFT_147535 MAPKNQKKNTTKAGVAGAKNGIKKPPKKTALEKLMANSKESLEK RANEELVQVHNITAKINDKKAKWAAEEARDLAKLKRHKDEYKALKDQAAAALTAEGLA RSVNARSEALKDEMAVTIKEEAVTIKEEDRD NEUTE1DRAFT_84754 MASHQHPPPGAFHNRKFSINRNTGIPRPARRFSIQEPSTTEASS KIHRKFREAHEGHLPHAGLDATRSSTGVIWCSEQAGEHGFFEEPEKWANLGQGAPEVD DEIEGCFPRPQHLDISVNSREYGPTAGIKPLREAVAHLYNEMHRQGKESKYTWENVAI VPGGRAGLIRIAAVLNNAYVGFFIPDYTAYNEMLSLFKNFAAIPVPLSEEDDYHIHPE KIAEEIARGTSVIITSNPRNPTGRVVANPELAEIQDICRERATLVSDEFYSGYNYTSN CDGTTISAAENVQDVDEDDVLIIDGLTKRFRLPGWRVAWILGPKEFIKAIGSCGSYLD GGTNVPFQEAAIPMLDPTLVKAEMKALQRHFCDKRDFVVGRLREIGFSIKLVPDSTFY LWLNLEWLPGPISDGLNFFQACLEEKVIVVPGIFFDLNPSRRRDLFDSPCHHFVRLSY GPTLDILARGCDGIERVVRKFQALEADGAYGVPKAPSPSRTEGEKESHTVPSAGGGER EKDEELHLL NEUTE1DRAFT_84756 MPSTANTKKRSSVAVNRQQRSCSRESRSGNNKMTDLDNSPPSNI SPNCHQQQTATNQTSSNDPNMSHQGKKEEGTALSRGFKRLSGVFKKKSDSDLRDQGQV SQPISTAHDTLAPNNPFANSEAAPPSYSEAMSGKPGGSSSIPAIPLKGNQLHASNRQD PGASTSFQRRVTLNGQVITDIDDPYAFLSIFDTVFLIDDSGSMIGQRWSEARDAIAAI APVCVAHDKDGVDLHFLNHPRSYTNITSAAKVNQIFNSVHPSGRTLTGQRIWDILGPY LKMYTRGFGHSSWDPEKTGVKPVNLIVITDGDPQDDPESRILQVIKELERVNAPPYQI GIQFFQVGDDPRARAALAELDDELGGEKSRDMVDTVTWDNGDTRARQLEGEKMLKIVL GSVVKRLDRRPAAGKDKTAKRY NEUTE1DRAFT_123435 MAPHVTPTTKLQIIGAFKLLRFLRSRHQFPPGTISNNDIFRLFS VSRTTGYRVLSEITVSQDLTASERAALDAETAAALEEEENARIAEIINNPREETRGRK RKLTERDVEVIEHWLDRNGGRGEVKWKDVPVAAGLGVILGKDGKGVVSGVTVKRALSA WRERNREVDVEDWTRARGAGGLRGSEKARERWGRPKQGEQGEASGLGTGGGGENGNED GNGQGMGEREGPSESESESEDESVVETGAGPLEAGTATATASGSGSGSGSAAIAPATT TTGNGGEIIMNATGESQAHQQQQQQNTKKRRTQTEPQGPDPKSLWKNRWEIERAAKEA EARRQAEAMAEARARAKAQAAKERYRLTRQRKRQQQKLERQQQQQQQQQQQQQQSQVQ AQNLPPPTAPVPQQQQQQQQQHQYPQHPQHPQHPQQHPQQHPQQQQQQRPYTSMSFIP LPTYGQQQQQQNGVASASGSASGSGNGSGSGSGSGPPISSNANQSFNNGAVAGSWNGN ASAVDGQRTVTTGGGGEAEDIMMLGRLSGVLR NEUTE1DRAFT_66075 MAAGVDEKDKKTTVFLHPDLGIGGAERLVVDAAVGLQNRGHKVV IFTSHCDPRHCFDEARDGTLDVRVRGNSIIPPSLLGRFSILCAILRQLHLILQITLLT SELRTLSPSAFFVDQLSAGLPLLKLLVPTSPIFFYCHFPDLLLVQGRQKWYKRLYRLP FDIWEEWSMGFADSIAVNSSFTKGIVSQTWPSLASKRSLEVVHPCIDVRSTSDASQNP NDDDKDVLPWTKTGIILSINRFERKKDIALAIKAFASLSPEQRGKAKLIIAGGYDNRV HENVSYHMDLVDLAEGAPYHLKTATAKTVVSALNTSPDVEVLFLLSVPNTLKEILLRS AKLLVYTPSNEHFGIVPLEAMLRGVPVLAANNGGPTETVVEGETGWLRDPNEVGEWAK VMDKVLNGMGEEELKKMGRKGVERVKSRFADTQMAERLEEIIERMPKGEAAQSGMVVL VVGAAVAAVAGVVSAVYWKLW NEUTE1DRAFT_123438 MGSHNHGASSGAELSNGAPLLTVNGKPVENGVVDAGLKSLDHYQ RALPKWRYNLRQRLLPLIRWETPYLAMLQEKLRTPALDRYFAITANLGTHTFFMIGLP VLFWCSFSSFGKGLVHILAAGVFWTGFIKDMLSLPRPLSPPLHRITMSGSAALEYGFP STHSANAVSVTVYSILVLHSDKNTFSPSTTFALELLAYFYAFSIVFGRLYCGMHGFLD VIIGSIMGAAISYIEFYYGPPFQDWLYASDYLAPILFAITILILVRIHPEPADDCPCF DDSVSFAGVIVGLEIGTWHFARSRWNHIYNGPNALFDLSALGWPVIVIRLILGVLIIF AWREVMKPTLLKVLPHLFRVIETHGLSLPRRFFMPASEYKDVPLNLRDDEVLPKVSDI PRMMRSIRGPGRGRAVSIGPQSAADAYETLAYRERKRRESLSSEKGSGLKQAQSTAEG TDGEEFMVDQAAHSSGVEKYERQMGQGQVVVESTGNLSPNSSAVEEPEMYLGQRDELG EREIFSKLTRPRASDG NEUTE1DRAFT_84766 MTNADPDADPDASTPMGLRSPPESDKAMNLDEASESELSDLDDD VADKLDQDHTFDLDLGSDPLPDPKTGQQQQQPEPSVSHEHEPATAATVESATQIKEEQ EGEGKESTSHSQQPDTGAASATTPMSPSEDIGEILPDRYENNVPVFKPTLKQFQDFKL FMEKVDKYGMKSGIIKIIPPEEWRSALPPLDELVKQVRVREPIKQEIMGQNGTYRQSE HQPPARRGERRANAEKTKPAPRSRAAPPAANGTTSNKTTTTSTNTGRGKRKGRVTRGS AKAATDETDDPPITPVSPPPEDEDKPLESIEEEDVKDEACEDEESLPRVGRMGFSRQG KPKMQSTSARRKYIRREGSAMIDEAAFKDWDYRMDVSDFTPERCEELERIYWKTLTYA PPLYGADLPGTLFAESTENWNLNKLPNLLDVLGTKVPGVNTAYLYLGMWKATFAWHLE DVDLYSINFLHFGAPKQWYSISQADARRFEAAMKNIWPTDAKACDQFLRHKSFLISPS HLKQHYGITVNKVVSYPGEFVVTYPYGYHSGYNLGYNCAEAVNFALDSWLPMGKIAKK CQCAQAQDSVWVDVYEIERKLRGESTEYEVTEDEDEDEDEDSDESDQDTGLPSPPSGL DHRGGKRVRAAGQKRKREANNRGTEGKAKRSRFRLKAPVEPPCCLCPNDIPGAEIMPT DDGRKAHRMCALYLPETYIETVDDQEIIANVAGIDKARLELKCLYCRSKKGACFQCSQ KKCPRAYHATCAAAAGVFVEEGDVPVIGEDGTEYKEQAFEFSCRFHRVKRDRKTEGAI LEDDPVVRKTAEALKKNDICQIQYYQGNIFAGVVVENLHEEETLLLDVIPSGDRIQVE WKWLLVADPSEYRLPKASPNAIPMPTSKKAKQEINAKRPVEEIPRKGDEFSTGFVWAE FHTGEPDKNKEQVKIDLDKENQVWHYLGPTSTEARAQYTENPSRKQHNSKSNFLDTIP KPPPTYPVQVASAPRKSLSATYPTQQQQTFIAPPASSSALASKQDKPYVYKPRKPVQP HTQFNVQYTSHMFMPAPPLPSPSYVPQQNHPFYQAQLRPNVPQTVHPSQVFSPQPMHY DGQRRMSQSTQPYPQQQMSPQHFAQHQMPQQMPQHQFQPQQQQQQFQHFQPPQQHYQQ QPQQNYPQTPVPLPPQAFQFQQQTCAPLPQQVQPQPPQQQSPVPLPQQVQTALPEQQQ QVQHVQQTPVPVPQVPLQAQQSTQSSPQQQQQPQPQDEEAHQPLPPVDQTRPPSPPQP LPKPRPFKVYKASQFRKLKLPEKRPGAKKDLPEAFASRRFSFAGIDRIHDLPGIVWPP KNLISGRESQCRRGVWAPLSDEGIMPAPMTSSLLKCADDDQRVSQKYEFFQHHSPYKP AVTELDETTGKKKLVHADFTNDYAENFTNFMKNAREALTRKDVSEPSSRPATSQAHSR NASSASAQPNDGQFQQNSFTGQPTQHPRVQPQYTYNQHDAGFGESSSSSPFSSHSVPA PVLFGSAFEQQSGSHQDIRQQYQGPWQQQYQNQGSRNIWMGSPQMVGSPQPQMFSPSP TQQGHHHHMPQSQQQAQNQQPQPQQPAKPQFTKQSHSPIPLPPYVQKMNQAKPSPLSQ PPQTNSRQGSQGASSQSQSQKRRQRKSAQQASEQTISPTQLSVDTGAGSTPQGQPPKA QQTSNNPWTNANNVHLQFPSALNASSQQPAPATAAQRPSQNTSDHTPAEADTGAEQQE SGGEEEAHDVEENSYFNEAQGFQTPPLQPQTLSSTDVSPDQNYFPLSAPPPTPLGGIA FGGVGVGGFNPGSAFNVAALSGGIAGLGALGTLGGLLGGLESGASGNGTWSGRARGLS RSGDNVFMGSTSAIEDGEEGELGCGMGGGGGGGGGSRGGHAGGNGGTALSRQDVLDKI LSNLHRLGTE NEUTE1DRAFT_130811 MPTVMGLTTDSSSKTTTTLGNQDLKKPNGGSRLDTISKRNHHAA KEIETLFWRCLCDDPSAAPEYMAEDCILINPLLSSHPSTTPLSKDSEPNILEVFEKAE PWAGFRFHGDPLVVEIDLMAVALVYKVSLYTVDKKGKGTREVVASVSSSWRQTAGADW VLVAHHCQYVDDE NEUTE1DRAFT_111439 MPPLSYYTIGEDQGEDELPPAILPLTEEGGNAIRLLTLREPSSY EGDTLSLEMHLSTTSEEYIGLSYEWGQAEPDDPIILVNNRQVQIRKNLHDAIRQIFEH YSYYRKHHCGATAVDERVLEDHDESFPYFPLDRHRGPFVDTWSCRDWSEESLFEGVWL WEDGSEEDCYEKASFPWTNLGDSSFWAKHNPPRLWIDALCINHRRQQRENSAKLVLSW IGLPRDGSNDALRMVRILSMSCGKPSELGQKEGLTDSLIVSIASLCERTYWRRVWILQ ELFLAQKYMVMCGPESIASESFDEALARLARTPIPHDSIDRSAAKAIVASTWNKEAYS LVRWLRVILVGNFQATVPHDYIYALLSISSDAVLDMDKPDIDRAQIIVDYDKTVAEAY CQFLQSFEAGPSLMKHRLWLLKLALKMGLSREEGVALLDSEHSKRCEVTKGSKSDLDN WLFGFRYL NEUTE1DRAFT_66086 MDAHEVGRTVGACNAGPDQTTGECYPYRQLEHENIIRLLFITPS SGEEVSSTYSLLHAELGNIPYQALSYEWGLPSDDDPNITIDGHTVRIRKNLSEALKQI SSVIRYLDFLLLWIDALCINQNDDAEKSQQVQKMGKIFSSAEQVLAWTGPMANDSDYA MDILNSVPQDKILYPEILNNNRAKTAILAWCNRPYWKRIWIIQELFLAKRLVFMCGSR SISRRGNAIANCLWVILKTSEKSLPAVEPDNAEFWDTVLYWGKNNSHTLRKWLYVCAT SQIMATDARDYIYAVLSISHETRSCLAQIIPDYTKSAETVFEDLNTLMMDEKAREGCE SCIGKVKTFDHIENKIVNGWLKKTVGLNVQIGWHDRSEYPSCNSCGRPERIYNKGELS DVKIGSKRRGIRTSEVHRLNFTAMVVESLEQG NEUTE1DRAFT_102318 MGIFDYLRPRRQNGIPRKNTMPSNSPELPSYQNDALDKRHSKLS SMSPNAEQPQLEPNTRPTTSSSWTSILTTNSAITSTSISTSTSTSILTPTTTSHTTTT TPLSPPHSNHTLSNHNLNRPPTPPNRQPPPPSPPPSPPLLPTSEFTLHLPPPPPPPYA RLSSRRTDLPLPSYAELVEERQIVPPRPRPRPTPREPPPSFEAAEIQEHDELHADPSR WPGCEYCRVYELWVCYWRGEGVGDVMG NEUTE1DRAFT_84772 MSDIEKGSAGSHDKETRVDAATLPAYEAGSVAEAQQEDFMTRNG LNLASFKPRRFHTGDGTEIEHTMKSRHLHMIAIGGSIGAGFFVGSGKALHNGGPASLL IDFAIIGVMMFNVVYALGELAVMFPVTGGFYTYSTRFIDPSWGFAMGWNYVFQWAIVL PLELTVCGFTVGYWNQDISVAVWITIFLVAIIIINVFGTLGYAEEEFWASSFKLGATI VFLIICLVMVLGGGPKGSRYDHYTGAALWYSPGAFANGFRGFCSCFVTAAFAFSGTEL VGLAAAEAKNPVKSLPGAIKQVFWRITLFYILGLFFVGLLIPYDDENLLGANPFINVN ASPFVLVGKYANLTGFDSFMNVVILVSVLSLGVSCVYGGSRTLTALAQQGYAPKIFTY VDRSNRPLFSVLAIIAFGPLAYVNLAAAGPTVFDWLLSLSGLAALFTWGSICLSHIRF RKAWALKGHSVEEIPFRAAGGVWGSWLGLVLVVLVLIAQFYVAICPPGGGFNTVEGFF VQYLALPVVIVFWIGGFIWKRTSWLRVDQIDVDTGRREHDWESINAYQAELAAMPAWK RWFHKLFV NEUTE1DRAFT_139129 MAVSLSSSLGTPHAKYSAIAKLPNQDRHVEKKTVSVGSNGDLPS LLHFLPYFSAVFLFGARELPFMFGAWCLSPGLAGMPITNYFKTLVYELLIMPFALRGD SGA NEUTE1DRAFT_44892 MQLPTNLLTFLLTTSSFLSLITATPIATPSKQSASKTNPINSSI PADDPNTNHIHTETESDPSDPAIAFFSSLPPPSSPPNISPNPSSPTTTGLVRPSKRSG NKDHCRGGGYFNATSAGSPLVDDCKVIIQNISPRGGTWHYMSGTQRTLVSHGSCALGI ESTPDVPKGLQTLVGDLDISEWIQKSIDKYQWKGRVGSYGDTQCVSQTFKGDEYTLRW GLYHS NEUTE1DRAFT_102321 MLPTNLRSILAVIAVVATVSANPYPKLVGCVDVVDINTGKVTDC VPIGQFPNGQDSNQTKYQRQHARRRAAKENNLCVNLKFNNQSPSANGPNQNRCVSLPT LRQLQTDYQHQQSLKPRDTDDTDASNEPCLDIYYLSPKDNTTMTGSCIPISDLDKYQT VTINPSSDPPSDGSPESNDPYAADSSSPLSNRDFGPGVNYTFCTEPYDVIPHESPHFL DCAMIPANALGRSRYFTYRASITDSYTLLTAKTCELVLRTRGTVVNKGVVLKIGNTDI KRSYLYGIKAIIDSPDGAAGKQGLWMVRGFEVEGEPAGVVGRDCELREWRELCSTLEG AGRSSRWWKGWEEMGRNGRAEVDAITRI NEUTE1DRAFT_84777 MKTSTFLAAVTALAGTVAAESMAKLRELKIDTWARQQEVGAYDI DRYQATAATSCVNGKAGEYQCSNVDLKGFLRHQDMGSSTRKGNDIWGWTAPNGREFAT VGQTDGTAFVELLSDGSLVYLGRLPTQTTSSSWRDMKVIGNHVYIGSEASNHGLQVFD LTKLLDVDPSSPKVFSTTSDLTAIYKGFGSSHNIVAHEETNMIYAVGTAASAGCRGGL FMVNVTNPASPVKAGCLSAGGYVHDAQCVIYKGPDTRYTGKEICFNFNEDTLDIVDVT SKSSPKTLSSKTYNGASYTHQGWLVDSSMKYLLLDDELDEYYGNGNASNKKTTTYIVD ISSLTAPTFSGIYQSPATSIDHNQYVVKGLSYQSNYGSGLRIVDVSSIPSKPDGSGLE QVGFFDCYPEDDSYGGRAEFTGSWSVYPFFKSGYVLLNSIERGVFSLKYTGAGAAFAS E NEUTE1DRAFT_102323 MEARGNVREEQILDTAGATLAQDRPEFLESPDWSTFGTRTYNIP EARLTFPHLTILALHGLPFDENSRHFLSLPTSSFRLRELVLVLKGAPHLSCLELSARV AGTGRPRGAIIQELCRNYLHAGGRALQKLRYIKLGKGFELYFPRNPGYNYNHPAGFLG NLLDFSRLEELHLFYDDYVDGPYLIEAHHPKDFTGINLAFNTHLARKLIHALHLPSLR KLTLPWADGYAWEMIYYDSKFTLRQNLVINFVDEFHLQDQHRPRGGLHPYSYLWRVHT LLRPFPLSGLVLPTNGMSLPEQNLSHLVSLTTLKSLKTILPPLCDFGFFCATHDTTHV ECNPGELSSTNISTITWQLRRIRNLRELWLAGDSRHKSYKCIVEHIRSHHSLRIEHLA MAFIQRFRKLEYIRILDRAWRIARRDDADDKDNEKEEKEKEEKEKEGYCSDDDMGGEE RTEAVARELSPWEVENDVPDAFDYRTPSLFRGR NEUTE1DRAFT_102324 MTTNRMAPKDPDIDMMDIDHNDDDDDDDDFDADELDAYFQAHGL DEVHEPFRWGSPEREGGQQQQEQQQQQQQGQQQQVPPPPPPSGQPQREFESYMGGKDS RWEGEGKGKGKGKGKAVEIGLDVGIDGELDAHFQAQGQGLQGMDMELGLAEDQDQDQD QDYERPPPSYQPPVQPRVQQQTAYSLGPNAKWKGKEKEKDYGRGDVLGLDAHGPTVLG NSAGLETRNVPGFRKGDVLGNATGFGKGDVLGRDAGFTSDVLAKDSRLAKVRAWLDQA AGQPSSKVLSKGNAAAATAVTTNQQQTKEDGQTKAEGQEQGQEQGKGETTPPIDDPDD SEASIAARPIVSHDPDHPDRPIDLDVKLARGVIRHVILSEKFPEVWPAPGTVVEGEAQ EMVGGKGEERQEGQEKDQEKKEEKGVEGAELKGLEKETDQEADDSNGQTDKKEVVIPK GVVMLVKGIQYLKMPPDYLDGSQLGSEYEYEDDSQLGSTPASELGNRQPREHGNDSQK FYNEANVDDSDHTDYSSEHERRHSSSGQHSFAKPSKELDDHDLEIPETDLSSASNHPS TIANSDSDSDIPMSNTDYPLPPPSSQVPPQSQSSIVSRKRTWSQRHDPSSPSSSPSAP SPPSPPAYPTIFDNRTPPPRPPSSNSVPSQPPMGPPDLVIRLLLYDGEFWVQAILRPE FHYLVLGHDFDEWASVTYPEGGGKKNGEGKEKTAEEIAKEEEEEKEQKMQEKWQMKWQ EKARGDVMEGVYVRLVAGDVVVEFEEVDVEEKPMPQLQPMPQQILEQMLQQMKDGDAV SRGQEKGKGIQGVNGKQQVGGIHDDGIGDPEKLDKLRREILERKWLEKWKEVEEREKA RVAKEQEVDKPKEDGKPKTTKMVCLVVGHMVPVGLDRKFMREVKKAQLKAMAEELGPV LQVSSTASDKMDLDKKDDKSKTQPWWVPTGYVAELDARDAKRRKEEAARAKERRKVEE AKEAEERKERTRLIERFEKLTGAQMAKPVEKAKPPEKAKPPKIKQPDPRIVLPEEWKD LDMATVDGLIKEWEKQNKPKPPTPPKPPKPEKKPIVTARQAPPFHPSFTAQEIFLVQN PQRKPVIKAPEPVYVASELRSFQVAQDTRPAAIVNNQQMQRKRILELPPRGQRRPQQQ GSGPGLPPHLQPNPLLQPPRPQAQIQPRPQPQPQLRPQPQLQPQSQLRPQPQLQPQPP TRLQPRPFFQPQAQARPQFLQQQPRPQAQPQPPHRLQPQHQPRLQPQPQPPPHPPPKP NPHLATDPTTPLKLCPLHQIPHLPYPQNWMVNVLAIIVSISDVQPSPMPPTFNQRIVR LADQSTPKHILLNVFLDAEDFNPKPGEVVLLMGVKNHKYEGGCLKKYWSDRPPEGCLW KWWVGEEELRGVGWCRGVVEGLRGWWRERGGGGGGG NEUTE1DRAFT_84780 MSTMGPATVHPETHVGFDSITSQIERKLLKRGFQFNVICVGQTG LGKSTLINTIFASHLIDSKGRLQPDETVRSTTEIQSVSHIIEENGVRLRLNIVDTPGY GDMINNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRIHCCLFFIQPSGHSLKP IDIVVLKKLSDVVNVVPVIAKSDSLTLEERQAFKERIKEEFAFHNLKMYPYDNDELDE EERSLNQQIKSLIPFAVVGSEKSIVVNGKQVRGRQNRWGVINVEDENHCEFIYLRNFL LRTHLQDLIETTSQIHYETFRAKQLLALKESSAQGHSSRPISPAADREMSRNSQRMTM NGY NEUTE1DRAFT_147547 MAAMTAAAAPAMRLFNPSTFFQTLRTQRFGVPALNFAVPAAAIS LLPSIPSLLEDIWEGILRAVPKKKTSHMKKRHRQMAGKALKDVTHLNRCPACGNLKRM HHLCSTCLGKLKGFMDRNGGSNAKAY NEUTE1DRAFT_84784 MSKQYLTLHTVDQAHPAEIFSLAPTPNALISASGSSHLLIHSTT TSPTFPLTQSLSNAHRLGCHHITTARGGPGNTFVSVGFGGDTKVWHRNPETDQWALYW TLPTPDNKKEKGDVWAVALSADEGYLAATTSDGRIHVWDVLRKAKIQTYETGAGAGGG GGQGSVGTGSFAMAVDLSRDGKFTASGHENGGVYVFNNDAGRMVYSLSGLAKPIRAVA FSPGCKRLAAAGNAGIIAIYDMQHGEHVANLSATGTRPAWITSIDWNDTGEYLLSGSL HGKVRVWDVARGVCVATHSETESALWCVRWLPKSEKTLAPGMGKGEMFVAAGANRSLT FYREATGS NEUTE1DRAFT_123445 MDSDTPPPIPPRPPGWVSSPLSSPGSCPVLSSPQPQSVNNETPP PLPPRRPTNEEQPPMVLERHSRPYSYVPPSRESSGLSPPPLPPRVTRRPVSQREPQPQ PQPQPQSSSPQFEPFRPQQFQEPKFECNPPSPFQSQAGNQTTSADFEAFRGQYAPYQP QQTFPPPPPPPPPPPSSSSSPQPIPYEKWTPLFQPTGAPNPIFIALMKSFFEMLVAQQ TPEMRPAATGNENGNGDGNGMMVVNGQKLLLSPEKCRKLNKTPWSTLPAMSFPGLPDP FAAVTPLDKADWEFRLILQAFGIHHLPIPRPRPASPNPSHRSTSTNPLIPSWFINLLT TSSIEIPESMRVLGIGQTPFLTEQGFIKYMALETAGEPDRGFEGVNAALRYYASCSAG GSGGGQWGGPGGGGSGEGGIKWWMEKLGPIPRDMFPGSCPKEIQMAVDVGQAKHRRLC EEAVAGSRAYCEMAAEGRRHAVELTGDYRTVRVDEWGNRIW NEUTE1DRAFT_27442 RESNRSESFGKSCTLLEATYVVKMVARTDWGWSGLPLLIGWSKY DLRECGCCGVAMLRFGRVRMVLTSEVHSARTGIRHMFFAMTDGRLQDNGRTEKTANLQ VKAFQHLA NEUTE1DRAFT_43853 MIGCCESGDIGTKAVALSNMFHQSDGKCSQITPRRNLMMAAVTA NRLTARCRSKASVLFIYIGYKRNKLRVSISSENVTYVGLNGDEYRAYLSHGP NEUTE1DRAFT_44693 MCAHAYASVGYDSPLQSKRCEIVRMHSSNSSNSSSQTCKALRSG ETKKKKKTRAS NEUTE1DRAFT_102329 MAHRLPCQPATAAAATATTRPEGNGPPPSISSHRGAWRPQPNSD NGSKQKQDLAAKCLITTCSSFPQWQLGKLKPNNLREYRGQRTRGPVLLLKA NEUTE1DRAFT_84788 MEPQQPQQPSMSSSSQTPTQHHPRPLHPFLPPMASQIPHRTANS TPVSSPGLFSPSIPRSNMSLSGQPSSENTTPAPTLHSPYLHPLQSHKVRETHKANVEH DYTTGRKAINQYEIIEELGRGMHGKVKLARNTQTGDNVAIKIIPRFSKKRRLGKVTAM STQDKSKREIAILKKIRHPNVVALLEIIDDPELKKIYMVLEHVELGEVVWRKKGLPHI CAYERRRQEREQLGALPDAREEEYLRFMEKRQAEKEAKRAHVARQAHPNNAEFWSLEF GAADDDDLDFHARSLGRDSSIPSFAGDWLGLSSRVTSRAPSRTQSMKSISRSNTPQPS EPDHASIASVVPEVDEDEMETPHGHSHINQDSAVEDSLFAPRENEPSLRKRSPSMADS ILSHMSSVDYNRVHDPFVDDYSYVPCFTIDKARSAFRDTVLGLEYLHYEGVVHRDIKP ANLLCTKDHRVKISDFGVSYFGRPLRDGEPDEPVSESEARDFDNDLELAKTVGTPAFF APELCYTDTYDDRPGQQPKITEQIDVWSLGVTLYCLIFARIPFLAEDEWRMFKKIATE DIYIPRQRLRPVDPSTKPDEKSLYTRVNRDPYRNDDEPLYEEIDNDLYDLLSKMLTKN PEKRIRLRDVKRHPWVLKDIDNVIAWLDDTDPSRRTAGRRIQVDERDITQAVVPLTFV ERAKLAFKKTVTKFTHRGDRSESVSSRKRATSSAASSSAESPAVGIPTPGVRDGRRKS LRPDDYFSNLSQYSTGSHPLTQSVTASPSHSPLGGSPASAVPEPSTSAVSKHSTTRQL REFFNRERDELPDRTSMKLRDRGHARSATNTFLSLTPTTGFVPNHCQTVPPTPLYGIA PEDPCNALRKTRDMKPPADDTSRARSVDRGFFASDDKRAGPRVALSTAVAPGNVQMPQ RPRITHSIDLAKVSEQSEEQSLPPPTPGTSNFHHHHHQSESHIVDRQRPMVELDERPQ TAHRIEDFISAALNKQLPLAQDPDNHTLRGNHEYYAAEPASVPCPPSPGDNMFSRAQS RQGTIVWSSTTSMGALTSPLTSPSEAASPRYSSKQSLTKDAVEQILAFQSDPSLPALL SSTSSVSADPEGEFLGNPGVVCRSSLIDTTDSLTPPAFSKEPVAGFPLEEQQEQVTPT AVPVCVADNKPAVKHNPMSHVRAKSQYYRSHHDDDDSDSDEGLVMARRKKSPTISSPS PALTSREPISMGRLVNGTRRRDTNASVGSTDTAKKLVVESD NEUTE1DRAFT_111453 MHIPVRVWSPRHSSIHPPPHHKPPKLHAQLPTPSSPGQLSKREM RSLLHKQALITGGGSGIGLAIARRLYLEGCSVTLLGRTESTLQRAQSYILSYPSRPSH PPPSPTSASVPNDSSVSASSPASASAPSHQPSDTKRVSYHPLNVTSASSWEDLLQSNL KGGRIDILINCAGITQRSPLMKTPVEEVEGLLDTNLRGTVLGYQEDKGQGTQEGVKEG VQERGVIINVASLLAQKGVIGTSVYAAAKAGVVGLTTSLAHEYGRSGIRVNAVLPGYI ETDMTTARQGEQQERPSIHS NEUTE1DRAFT_123449 MDPTMGNPMVPMTAQIPGPVAQPIPLPVLTRDSYNSQSLGRSLN ANVKQARVLMVGAGGIGCELLKNLVLTGFGEVHVVDLDTIDLSNLNRQFLFRHEHIKK SKALVAKEAAQKFNPAVKIVAHHANIKDAQFNIEWFSSFRIVFNALDNLEARRHVNKM CLAADVPLIESGTTGFNGQVQVIKKGVTACYDCAPKETPKSFPVCTIRSTPSQPIHCI VWGKSYLLNEIFGASEDESAFDHTVDGDNAQEIEELKRESAALRKIRNSVGTEEFAQM LFEKVFKTDIERLRSMEDMWKTRKPPEPLNYKELLEKAKSLDKEKVLKDAQKVWSLEE NLVVFNDSLERLSKRVLESKSAGEESIITFDKDDEDTLDFVAASANIRSAVFGIDRKS KFDIKQMAGNIIPAIATTNAIVAGLCVLEAFKVLKGHYEQAKEVFLTPFANARMLASD KSREPNPDCPVCGVYQTRAYVDLEKATLNDLVEHLIKTNLGYGEKDFAISNEVGILYD PDETDNLEKKLSELGIKSDSFLTITDEDDEEPFVNVVIAIQEAKEPLGDKPVKGILDP EDVKIPLKPKKQPQPEPVATPTAATNGASTSNGQNGGVINLDGDEPMTTPAKTLKRGH PEDAEGPSVKKIKANDKAADDDIVFIEDSAGAIVIDDD NEUTE1DRAFT_84796 MSYSSDEDQPDPELLELLRQHLNGKQPSPTAAETGVLDSAEFVY NHAIDVALDMRGCKKAANTIWDQMQQREYSPATWSTHELHPKEKNEETVQFIFTMDLL NFSFWSEKSEEDRFQVEYRGKRWTGYWSLVAALQRGLEEGIPITDPAFWINDEKFTPD VLRTVFRSATDEEIPLLQERFDCLREAGQVLHEKYDGSVLDLIEEANGSAAALVNLLA SDFPCFADIHSYPGRRQPIRILKRAQILVADLWACFEGQSYGSFADIDKITMFADYRV PQMLWQLGCLLYGPTLEAAVREKKMIEHGGEWEMQLRACSIWCVELIRREIIREHPEA KGKVNAVLIDFFLYDAVKELEKEGGERIPHHRTRSIWY NEUTE1DRAFT_66114 MPVTRKSTRSRGGAAASAQGKQQTLSFHHRVTKPTVKTGKDLIK EEEAPETATKTKTKDIKVEEEAIKEPEPSESEEEEEHEKKKKKKQPHAKVKKPAKKAA TKHVKEETRQEEPKQQEKEDEPKRSEIELCALEIPQSAIDAYWNKIDSARMAPAVHKK HTQDLTTGEKVLRYFDVSSHYGPCIGITRLKRWQRAEKLGLNPPLEVLAVLLKEGEGE NKASERAHMDELMNSVSTGP NEUTE1DRAFT_147555 MPGPRSTSHIKQYTPTQFSAAIAAVSSGSLSIRKAAQAHSIPFS TLQRHFQGTPQAKRSYEASSREMQKLTPEQEENIAMWVLAQENLGLPVKMEDIRRFAD RVLEVGLKEGQAQGQGMIQKRGAGGSGGGRRKVVGKDGKEGKDGKDGKDAKDGKDGKE GEGQGEEGKEAQEERSTTTSTVTVGKHWGPKFMQRHPQLKAAREARRKELKKGLRGKQ AAATTTTTTTTAAAVVNTPTPAAAATNGHQIGLPQTPTPAVSGGGQRQRNGPQKKNNG QLQIQQQQQDDEDVDPELMDQDQDQTVVMEEVGQDDEDMEDDDDNEEDQNDTVHVKDE LEEEEYYEDEQTPDQQLLMDSQRYSRFATTNGSH NEUTE1DRAFT_117584 MKTSAILALTGALAVSAQFPDNFPSCGTLCGNNMLDKAGSEFPC ARDDASCLCQHVDFGYGVRDCSIAVCSENDADTTINWAKKWCADAGVDLNLFPAAAGP GAGGSIPGVPTTTTTVTSGVSTIPSSTVTSDASSDGAGAGGAATAITTSEFTSTWTSG DSTGTTTGTTTISGISGVAGATSVPQTTVTSEVVSTVTSDSTTVETTFSTTMTSSLTG SALSSALQSQASSTSSPGAAPHQTAAPIAGVLAAAGFAAMLL NEUTE1DRAFT_111459 MDGEKRPIVPDHHESDQHDRPSKRQKTEVTESSEAGVGGEEQEN REEQDDKEENERENEEITSSDDGESETDDDDDTAQQEPQQQPHPEPVTPTVVVPHQHH ETQIVAGLPTPVLVPQGGHPHEAQIVMGLATPAPEAEMHLLIVPDDGFALPPPVLAVM PEPVAPAVVVPHQQHETQIVAGLPTPVLVPQHPHEAQIVMGLATPAPEGELQLGLNLP AAVPDDGFALPPPVIAVMG NEUTE1DRAFT_123454 MASWVQTDATLTDSLFSYDSVRLFDPSTEIRLLDLHPGSTYTDD LSGYIYTVPISQAPSYIALSYVWGDSTRTHEISVVNEVNDGRGAFVTLRLTTSLDTCL RHFRTLQRQWQIEPLPLWIDQLCINQEDDKEKSFQVLLMKDIYSSAHQVIVWLGPAAD GSDKVMDAFADIGQELLDKIGDHISEGQWLSVDRLIEKKIEQPGVVAFLKKAYKVVYV LKRDDYFARWQKRPWFTRVWTIQEFCLCSDTIFACGYKVVPEKLVSAVADLVNCIVMD RCLRERLETPDTPTYCILASCFLKLHLLFQRRVHCQYPNAKETLEHLLVELFAGSTRF YATNQRDKVYGILGLAGDADELGIRPDYSPSTTNAQVWTQTARAIIEKKWKTQRVRGL YILRYGSLGQRRSTQPGEGMSSTKNEREVPSWVPEWDKEMNKTYVGTTSFSACGKFRT AGLVPTSSPTILGVHGFCVDTIVDLGELAPADHVGYRDGPKKIVEFFDSVKRLLRMSK QNKWAKNIYASTTHHDAALWRVPIGDQYVAYGVGQQHTKRTDSKVESVYRKFMAYYED YASREGDWNDYVTAHKAGDKQVNLAADMHMDELLAEGYYIALLYMQGKRPYLTQNGYL GMGPGHSKPGDKVVVFHGDTIPYVVRPVPEKGDKKYLLMGEAYCDGIMDGELADTAER EDFFLV NEUTE1DRAFT_45294 PTLTSSQLKHIVYNNITHPTTTLAYTMNKQGDLVEIGRGNCGSV WAERLSDVDDNDEDNKSVIKREDCNTGRSITKEHDIHKHILARLAAATMTSKSDSNLI TGYRVDIPTAIDFIASTSPEWAFLLPRLPKDFQPCKAIRNERIPQMQQYVRQLLVQRF HGGHGGCETRSEKLAADLLDLARTEQNCLVRPFLGRRRLPRNRPRMISAVSFRNFPLH LDQIEELGLPKEQYAMAMADTLAFLHWEAEVDARDVEFVLARPRSSSASLSAPSIGSK AFSSGGLFGTHAMWLLDFDCCKKMTMPEVMDDGGKVATEVAFLEAAAASFWGNDPWYH RPPLPQSNGNENGNHGAGSEYQPADSQLWEEFKGRYLETSRQILEGKGKESVARELPG KVMGMILKTRWKNGKLVYLKDEGEMN NEUTE1DRAFT_139150 MTDDASKLWNNYVIHSKVHGGSFTSLPYHEHQAQVPECFWYGTP STTESWGLNIKTIAVNLSFAFTKRATALWLLDFDDCSPITMDMRGLDMTVKAFLDMDC YCPKPNAYKEYGSELDFPHYSFRLCGKAVSCVLMARTRSIKKILMETVAQN NEUTE1DRAFT_123455 MYVKKRDGRQERVQFDKITARVSRLCYGLDMNHVDPVAITQKVI SGVYGGVTTAQLDDLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQWSFVISELY NYVNPRTGKHSPMIAKDVYECVMRHKDEFDSAIVYDRDFNYQYFGFKTLERSYLLKLD GQIAERPQHMIMRVAVGIWGDDVERVIETYNLMSLKTFTHASPTLFNAGTPQPQLSSC FLVDMKEDSIEGIYDTLKTCAMISKMAGGIGLNIHRIRATGSYIAGTNGTSNGIVPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFEFLDLRKNHGKEEVRARDLFLALWI PDLFMKRVEQNGQWTLMCPHECPGLADVYGDEFEALYEKYEKEGKGRKTVKAQKLWYA ILEAQTETGNPFMLYKDACNRKSNQKNLGTIRSSNLCTEIIEYSAPDEVAVCNLASLA LSAFIDYENASYDFKKLHEVTQVVVRNLNKIIDINHYPVKEAHNSNMRHRPIGVGVQG LADAFLALRMPFDSDAASKLNIQIFETIYHAALTASCQLAKEQGPYATYEGSPVSQGI LQYDMWNVTPTNLWDWTALKADIKKYGVRNSLLLAPMPTASTSQILGNNECFEPYTSN IYQRRVLAGEFQVVNPWLLRDLVEMGLWSDAMKNRIIAEGGSIQNIQSIPNDIKALYK TVWEISQRTIVKMAADRGAFIDQSQSLNIHMREPTMGKITSMHFAGWKMGLKTGMYYL RTQAAAQPIQFTVDQEALRATDDRVAPAHSGLKKRSPPAGTYTSIVLRENTSGPRPYA QTDVSGTSTPIGTRDVPTPASTPPPTEVPETLVQSDNRPRPLVSPAKSAGFKADLPEP ESPKALATDPIVKTEAISSRELEMKEGQSEDKDEESQEREVDIYSEAKLACSIENPES CIMCSG NEUTE1DRAFT_123456 MASNSTLASNGPSETTTYKPRYIDIGINLADPIFRGHYHGKPRH PDDLAGVVQRAIDVGCTKLIVTGSSFKSSRDALKIAQEFPHHVYTTAGIHPCSSSIFS TSHHMHHDESGSESETSPAAATAAAPETAADSASTPIPICADPDPDAPQPEDPSLIDH VRTPQLIASLSNLIDSNRSPKGGLIAFGEFGLDYDRLHYCSRTIQLHSFRAQLSLAAS LTPQLPLFLHSRAAHRDFVDCLKEAFGPNLERLEKGGVVHSFTGTLEEMQELMDLGLF IGVNGCSFKTEENCAVVKQIRLDRIMLETDGPWCEVRGGHEGWKYLVKYYAREREAKE KAEAEAKAKADEEARKKKVEEEEEAAKALADVSINGNGTELPTEVEAGDGTPDVSGTS TPNPSGQQQPGQKRERRKKQPQTPPQPKKNKNAKKESEVPERFKVVKKEKWEEGAMVK GRNEPCTIERIAIIVADIKGVSVEEVCEAAWKNTVKVFGVEE NEUTE1DRAFT_66126 MAPISIPTTARLPSSLRVDPSNPAVFKILNRLSRASLLTLALDW LDERNLALAAPYLRQPSSSEYDDDDNDDEDEYADDFHPPARSLEALQELYTSLQSRKG SKREVIDRIIEGDWRHGLTLYQLAMADIQYLYDHPTSQKWAAYRIMPLKPLSTNEEEE DQQPEVDKESLVIPRFHPSTFLKNLQAQVPPDVKAHYNFDRHRTLPLSILRIFILDSP YNTSLGLQSGSSKTGRKAATTTFDTSRTVYIAFPDASPYIYISKPQLLSGFAPAAGPS SAATKTTGINTTAGEAKSLQHLLLVGIPAALSRPRQRFCLKPTSLATRNLNELLDRRG GANTRQGAAGGGWSIYADEMRDKGKGKRGNRETPLDTVLPSPPLSEEEEGGGDKEEHN GAAGRKKKRLEPPLLLPGARREEREKKRRKLVAKARFAETARVGDGKAEAAGKEREEW RPNVKLTFHGSHVFAGIRQLVEAGIVDGERMPGWLTGEEGVTVGKVREGRIRGNKGSG L NEUTE1DRAFT_66130 MAGSRINFTNYLRNLNVQEPQVEEYVAPNDEELALFTNTNFFDY ETGQNTDYQAPPVKPDAVVAPTPVETAATSPDVPTDAFMTDFLSGLDQGLEFAAPAAD FNFGDFSTTYTSPTIPAYPDTLGQLQPIQPNPQAAYPPVSQHHASHHVQHPHQPGYVL ANPPQLGGNKRKASDAMSVPPTPSARVMSFEEASRLAAEEDKRKRNTAASARFRIKKK QREQALEKSAKEMSEKVTQLEGRIQALETENKWLKGLVTEKHGSKEDILKLLREFSAH AAKVSKDAAAAAADKAEAAADKADAERAREESSICVSTSSPSSDESVDSNNKKRRKD NEUTE1DRAFT_66131 MYSLLRPAAAARRFSTRSLPSQISTSISSTQRVPVPSVPITQTL SSRLFHTSRAQKKMDTTSTSSKADPATGCSSSTSPVPGITSSTTNPTASVADDTTTTS TPHRPTQFGPEEVNDDPLADPLSVEHQKLENNNNPLPLPSPETATPVSPDQQPKVVTV NGQAVALDNLGPMVVHKDGTISRIANWPEMTEIERENTLRILGKRNQLRLTNLREGRV NGEGM NEUTE1DRAFT_139156 MANNGLQGPGLFTPGGSGEGNFKDPRVFSEFDKNRQVDAELLVP SPDQISKGETIPCITVFKVSKPDPEHWRADESFVFLTIDHPEEKSNWDLVTSHQQPSE RRFRVRFLPDDPKNMYFLVDDIQFPAAAAHKFNLMFRVVVRPQYILSHNPHTNEIEQD PVILPDELWDGGKPDGPQRHTSTLKMSDITTSRWHHPHSDHINHELRKRFPQPMIRSL DGRPVAARLIVSPQPKAVSGGLIEYAAVIAVNPRPNPAL NEUTE1DRAFT_102345 MFWGLTDLLLGKILPLFHPITRHPVTVQSKPVQQKQCIHHYNAK SKAVTTIKADTTVERNNSARPAPPSERNSVDQDADKGTMNHEDGDNVNHKHEAPVIEE DIVADQGTIVDADIITDTSTHRTPFGKRASRGPPRIQTLSSSKYGLLSINENDLYFVF HHVRFPCKQGKYRVVFHVIVNEYRDDQDNRFPPVHLWPQGEYPGEKIARSYQGYKAGA LEVVYNVEVICFQQWTRWLTASYWLPIHYEYFKGLFSTAVPYTPKRWSKERERLASLR RCCHVHMLQAQIEAQGPVDNIQNPILAQNPFEEEMRALDAHVQAQARKAFLNKHLPRI NEUTE1DRAFT_84821 MATDQKPNMKTLFTFPIAPSGSQPGGTLTCTTPLSPPDSRIYIL TLSSPPDNRLTSPLCTALLSALDTLEFSHPPGVVITTSSLPKFFSNGLDLTHALSDPS FLPFSLYPLFRRLLTYPMPTIALLNGHAFAGGLMLAMHMDYRIMNPSRGFACINELDF GVPLKPAMSAIFRVKCTPGIYRQLVLEAHRFGGTEAKEVGLVDLTGGLKETMEFVEER KLTEKGKTGIYGLMKMEMYRQTVEGYLTAEGHEREEERVRRIVEGEKERKEKGRREVK GSKL NEUTE1DRAFT_123461 MRPPTTPLAGAAAATVLLLAGGLPATITTAAAAAQPAEIMRCKE IVVDGHKYDFGELGGPHTVVTNEYDPPAYYNYTYTLDICAPLKRKGDLKKEEQCGGDA RVCRLKHHYSPSDKKSTFETATTLAGGSSFHYEATKLDSSSDHKDGGVKLTFSGYKHQ GRQQKVVINLVCNKTLTGTEGEVESSEDIQYEKPKLLLATRDDDKKKEEEGDDGYPET QILKNGTATALVWNGYKRVGDDVDQLELTWNTKFACLDASGGGGGGDKDKPKDGDDKD KPKDGDKDKPKDGDKDGGGNKGGNGEEDINKSWGFFTWLVVLLFLGIAAYLIFGSWLN YNRYGARGWDLLPHGDTIRDIPYLLKDWTRRVLNTVQSSGSRGGYSAV NEUTE1DRAFT_43829 MKRRSHLVHGWLTEAFPDEPVYAEAIHTSQDILYSGSEDEAYNS PAERRERYEDKGQRFLQGKPMLLLSASLRGPFTKESGWTNPWRSKSGRQRRIQSQAAH STPGPAEEESIVIAATPTIFESEVRGQQEQPHLHDEASSRPNTPIIPHRYLDDEILHE IKAWQDTVANQSGLEDPFDENTYEPSSPDTLQSLQVEITPRTHGSRSFIVSSSAVAEG AGREGSVEYNNENIAEQNEEVNLGYSDEEEAGLINVDEDDGSSLTDLDEEQIEELIGD QDEEQETDEDEKDNIQNQGTEENQPISQSLPVESLSDYDSPTKHPITSIHLPQPNGKL DLRPREELECLPVDAIDLSPAAVKIYEESLRGSTCYSPSWPAQERRKQGNLLPGLQLA GATLSAGNVPQSPSNRSGRSLHAPASTSISHDEKRSNKAGSITLEHQTIEPERILVDN PSSEPDETRDSPNDPSDTPAHHQQIIVTQPESPLRVISATDRPQPNAAVTHTVPEITE GEQKSLTTDPTSPSSSELSSPATSFEEEEEESIEEPVQNVRKLLWAKTQRQPSTQDST PDMALGVLPATASFAQAGPRPLQTVSVSESVESHGEQGSLEYFTINQHPANDREPTNA GQNALNSQSSSRLLQSQPQSAPEATVSEESIYDDTINQVRLEWPTGASSLPHQSQVQT QNSAPVASIEEPLITGHDTSVQRITPDQPKRSVNVMDLRHITNPTSRNATPLRPSPGL QNPFTTALEIPVLVQPRSSVTPESPVNPEPSRSQLSIIASQALAQAVQPVIQQSPWVK GDSQIAFLAVPAPEPRCVNPASSPLSSPASSSCFVDMEDPVLPVLSYPPVPGNDSHTE EETAVPPAQAETPQLQVPHPPSTPETKRSSLPTPEFTMSIKSFKHFMTPSPKRPAKRP RLSLGDGRLPDTQALEEAVVTNPWDSSRVELAAPSRRTKRVSWAPLPGEVDYMDPDMS ADMDVDMEDPDQPSSLNRMKIHGPRRSILSVSAPIANYRGIPRRAASPPPNISMPSVD SLPKESQRFGKHFAAVSGRRRLAPKPPTTTPTAAWYRGDDERESPMLRDVSGNARSTG TSRPAKRLLPSESQQTCGSPAVDGMANAFVQADRQTENLNLNRRKAAQDGIPTVSSSS SSRGIWQDPIDAVSEPQQKDDNDDDDRFRLPTLPGLDSQPQVEEMDEVTEVMDNLDDF IDSWDIDEELAEARGEKTKKKKTAQPSKLGQGLSSGLGDGRNLWDSPSSRPQSTLKRK RAGDDEQAKHGMVESQESTSGGDRGGGIYDPGVWDRIV NEUTE1DRAFT_130833 MGHAWLDSLSEDWPSQPGSLASDASQFAKKLDSHQRSQSQSQSQ SQSRPATAHSRNLSQADSSTSQSRKKPPKTPPSRIPRSNSSRTSANNSILPDDRRNSN TASNPASRRGSTKSLGDRVRDRQRAIDARSRTASVAESVQSAGSVIHNTVNRKEVNGS PGRKQDTPEWKRRLVYRELDYGEAPDLFTSAGAILESIFKPPPPPPLAASNMNAADTH TNADTRNGRGFESQYESQAESHVEITLPSSPPFLGRERDPSTVEIHVDESFQSLPPIP KEQEEEVEEEQQEQQRRTIPEIRYRRATDSTDPSQGSESSFRASTSQQQTYPKVRSGT PSTSASSHLGNLSAGSRQASGQSVLRHEDFSPILLTMHDEGEGNSSFVPDISPDELRY RLETLRKNQLRMARSGKSDSGGSVSGKSESGKSEAEAAETGGSSRSDNKAGDTSNTSK DYEKLGSYINVRRGGRSADGSFQNHMLSSALNDISDLNPEESLQASTPKRFPSVKIEN WDDYEHVPAQVSGHISDEISPRTRPAVPRIPNLSVSTKRVASSQQGAGSPLKLFQPYD TFTSEILQRRLSQYHDDTPGEQASSGQQTGDESPVHVSYMDSGGYLSPPKTHTRRGQE TGQRKASVAVNQFGAGVLDGYEFQDDFSYQSVGASGIEGDKENQGPNDDSQPEPRIPV FDFSRPSSRSEADAGDDADASNSSVRRKRQKPADSGSSKRHSRMGSSMAHKKRHAFTH IPATFATPSKRRSDPAPEFKRPRTSPSKDPTPKRRRTLHESDIEFGLQDISPSPETQS MKLSYQQIQAAIEKRRKEVHAEKHREQVEPGTPSGKDVPRPRTPTPLQRSSLQKDGKK GRPPLTELITKPSSRPTRPSSKASSKGRTPKKHGGPGSAMNTDRKPSIKTEDFLIEAN KIMAMIRKNAASGLTSVEESDEYDSRHDADGESSYEESTQESFLRPPSREGRPPLTRR STKQEDPVLAERLKQYEEASDMGDIMGSSLRSAALHRQGFGTVRQDEKHHAGKKGGPV LPNFREDGVISDPPNIRISWNPALKTKLSHENVEDGRHTQSESDNYTGRSSHTTSSKG SDSRKTIAPESVLHLIPDRVGNMVLDRERNVWVRKRPSNGFMGSRQNSFIASEVSEDD PFADIPDLTVDITEELEKLRLTTNQRGQEHEHEQDDVDLDQASDVAAPFGDDDFLNSE PQWQPTGSRKDSDTSNPGKKNKPTRTDEQRDAKPSLQSPTTAAGTGTSSTGVDVEHEI GIYEDRVSNIPQAARPRRLTISFSSPIASIIQDIQEVADDVDSDADAPSTLGLTTGGE GNNKQQQRKRSLPPLPPRGYTKQQQQQHPQPRGRGAVSKLRNDVVSGSASRSRSRSRG PPRHLSVKGQTYMARPVSRIDEHDEESSNANKNSFPFHGHGQPPLLSPQPQGMELSIV AADTSMVNQDDHEAQQHNSISFLVATPAHHLRPCSMSGADPAHIIGQYVGTFSLSPLS DFTIHPPDESSLALEASYVIGNHHLVTGDHHSRGRSMSMSTRDLVAKLTEVEPFEPYW EDMRNLCLAHKRLESLHALDEFCGRLESLDASHNEIRNLTGAPSTTRFLKMTNNQLSS LTAWGHLTNLQYVDISNNRLTSLNPFKQLVHLRELRVDNNRLESLEGIKFHDGLQVLR ARGNCIEEVDFDGARLVHLTELDLRGNKIKRVVNLDQLPVLSSLNLEGNQIEVFEVGS PAALTSGSSPVSDHGQAVSPLRYLHLNDNCLSHLSIHHLPHLRLLHADRNRLVRIHGF SRARHLDSLSLREQQGPEPLDLDHLLSRAYEVRKLFLSGNYLGSTTNPKGVEESGFNP PIDLLNLQLLELANCGLTKLPVDVGQMMPNLRVLNLNMNALEDLSPLRHVPRLKRLFI SGNRIRDLSSLVDVLANFSCLTAVDLRGNGLVMGFYSPVQELCKSNTSLGGKQDKPTT NADEDARKGQEEADGGGQEQEENHENKDQWTLPSQTLASDKAYASRLDLQTKMRRRVY DHLLAEKCTRLKKVDGLPFDRDHVLSLRDEVWKALVARGVFTVPGQSHGQSHGQQTPA GANAIAAPVPGSAAAPAFALAPGNNLVGGGGVPNVPGVPGLPTGASSFGSAVGFGFGS PTTVVGSDAEGPGHLQSHGVGGRRQSMSMSMNMNMSPVAGRVPVNGQGQSQSQSPYQQ QYQQQQQMTQNGSPSNVRGRGVNGVNGRRNGGVLREVDMNANGGMGGVSGGGGGYGMN GCPPNQNLMGQMGQRETQGQSQRSEREMEERLRQMQREREERWLAG NEUTE1DRAFT_95495 MIISGFLSVLFCLVLICFGLFWFALFTAAHCCSLLSCLYPYIHK ITGCYDRHKHQT NEUTE1DRAFT_111475 MSFSLWTGRELKSRTSTIVRVVCTEPSWDPKWTNRRGHRDNGFS IDNEAKKVSGHGGKSCRRNSEDQREASQWEKFQERDEPVNDQSPGTLMGKVFTISLLA QLQTPLIGLKVEDSSRDDSTPTQTNITTTYRRQIDCPNNPASISCTSIPVSAQLSDVL PMSNSHSTNHDAAAAAAAPAAASVSPARLAAAAGQDASSFDITSPSAESSPCRLVPPV DPPELDQEHGIIVSAPCLSPGPPPPPADGHQPGHCGAPPPASAAAVPTPTTPLVITDN TTDRTVAHAAAAAAAADPIKYHRGPAAYLQRHPSGPGASLLTQAFATARGTNHNNPQH QQQQQPPLADNTLQPQPQVVSSSHLLSAVPSESHTRPPPPPPPQDHRYSQDEEEDALT PRAGSPRNSIFHKTQHTMASMTATVTSTATMTGPPLGNAIELDLRQVNSMLKGHREYL TKNKGRARSPERLESTDADISSHPVISTKLSESPTALNNSNNHDGAADNGDNAIPRLR KDQRAQTVPEKAWSIGVGELTDDQDGQVEKSITEVLAGVEPNARSRKASHSLRFFKEG LPDEKSKKKDAKRERLTSTAEEAEETETEGEGKPRAPTAVPASTSEDTQLPETDEASQ ARSIPLLTPESPTGNNTAPDYFLSKLVEEPAQLKHQPPATPGREHTSALQDTAAAVGK SSPSLDRKPEPRRKSDASIEGGSHTEEGEESGEEKISSAVFLPHQGPEEAEEEHSDVP GAASSRTALPSRTHSRAEDFHSWLVKAGEPEVECLDECPDVEKTHRLAKPAADSAATV PFVGSQIDTGKVNEPAVADEIETGVPPPQKPFQSIAHHAHHHHHHHHHHHRHPEDVVH DHQGETKEEQPLDAIELIPYKHQVGGHTTLWRFSRRAVCKQLNNRENEFYEKIERYHR DLLAFLPRYIGVLNVTFQKKPRRKSVHKKDEAAAALEAPAAGENEATSSGAKGDEAAP TTNGAQPAQPEQRMISQSLQQPLGQIPTVTFVDNQHILPRSLIQPALASSSSFTRLRS ASSASLHGRRILNGQCAENPSHMLRPRLEDRHANSWGATMVNKRLRNEVFNDAFLKQP VAVHRHKKGHQRPFSRRSLQPVLRHTESDPNLDVAQDAKPRASSAGEESRLKPCDLVR SEENLATRPRSFREEGVEDDGPKDVTGTSAPEPEILKDASPAQPKKKRRYSGTGLRRK PKDVEDSRGDLQYFEEADSAPYKADNEEPVFESGGVPDVPGAESIGPLDTTHNGTNGT NGVDTATADDLAPSSPHNEITKIPRPINPKEAQTQTDSRVEYFLLLEDLTAGMKRPCI MDLKMGTRQYGVEASPKKQKSQQGKCAKTTSRELGVRVCGLQVWDVATQSYVFKDKYY GRDLKAGQEFQDALTRFLYNGVDRASILRHIPTVLHKLSELEVIIRRLKGYRFYAASL LMFYDGEPLPPPAPPTPSTTTHSEYDTAMEDYYSTDFATDNDDAVSIKHRIDSTLNGG SGGGGGGKKKKDKHEIDFKMADFANCVTAEDLAANGIEGKPCPPRYPQEPDNGFLRGL RSLRKYFLRIQRDVRRELGLGTWRAVDEEIEREMMEDAAYGAEEDEGFVSE NEUTE1DRAFT_44351 SVVLESEPVLWNAGRIVLASFVEPSLTERTYVQEYAASCAYCRA LKEGSGFANCPSFYGRQVGVVHM NEUTE1DRAFT_66143 MPHAVTIATPGLQALILCGPGSSFPTFTANPDENPKALLPIANR PMVWYPLDFCYRAGITNITLICPPTAQQAIQTALNTNPFLTSLPYPRPDLLAPKDLDQ NTGTAEILRLPEVQETVTSDFLVLPCDLVCELGADKLLQAWMVKSASLTDLLDDTRSE NVPPKRSGGLGVWYQTKTATPIKGEETDFLATVPLPSSSVSPPRGSLFPNISKVVYST PTDSLKDLLEEEKGFPIRHALLKQHPRVRMLTTHRDAHIYIFPHWIMDFVRENERLET IGEDVLGWWAKAGWQKGLSKKLGLDAILGQSSTAVSNTDGKTSPVGSHSPKDSTVKHP TGSQIHDAISASGTTNLEGPVRPVIRTGDKPGHEETESANEKQNDSTIPPMLAYIHPS SSTDSTSTPLIRRVDTAQLLLQISLQLAKLPSIEEVGSAEAASPFAHTRKVAYPEGVK PRTTITKQDSLVADNVTVQEKTSIKECVIGANCQIGEGAKLSQCLLMDGVVVGKNCKL TKCILGKRSEVGEGCTLTECEVQENLLVEAKTETKNEKFMSSSGLEATEEDLAEDDDD EENDGNDNDDDEDEEDDESAEE NEUTE1DRAFT_117588 MRTSSLFQLNFLPSLARHVQLEKRHPLPQDPQLAQSVNKPSQRP LPLPS NEUTE1DRAFT_66146 MSDNRRRNGPLGTWVPLVVTAAVATVSVAAWIWSQRKDRTGEHD TNSTVQELDYENADYGDNPPYGATGEGRLKPPAQAQQAEGGWGPRRTPSPADFFNSAK RQVAAGVTAAGAAVGTALSAIREEDSLRSEFADDETWSDPDAKKQVENATPSRNDTPA VQPTTSSAPVPAPAATTTTTTTTATSNKNQRRKKVAIIVSADNHVEPGDEEYHEHASI LSHIPRNIDLSLTKLYILIYAPQLKDKSEGSSKPASLSSSFSNIEAETPAETPAESKD KDPLADSAYTSLYNQAAALVSKPSHILTFSTPAGHSHILRHIQPEIIYLQESLAGPDG SVITSLQTWLRHDIVLVVGAEQGDGGLADSDSEDDDTEKNGEKEKKDKEVKWWQKEER VGRGRGVIVVDVRAGKLGDDWVRRVQGQE NEUTE1DRAFT_84834 MGPATATSASPNMSQPQPPPPLVATKVKRPIPLGIQTNGINTAS PNAASPLMSAKRAPSSARQPSNPPGANTMANGSPARPPPPNRPRNRAPSGHGPVPGSA QRPPASATLFEPPSVPNVPISDRAFLKKHAGNPPSLIVHLHPNHWRFDQQETVTSYTS ALGAAFIPHLRTRTIPHSLLLDFHTADVSFYDGCLIVQVYTYGTTTAPKGPAEPVPAS NDHSIHRWSSYVTPSPYAPYPKEALQEHQGAQPNGLIKQQDAKGNDKENIPAQDGQAN GQSNQEVARKPITVVLRPTPESLAKDLALGISNPMSKIMAIPPTPGASAQPVQTPMGP PRRKRKLDQIDLDPSNLVAAEAQILLSTLPPLDLEPTRDAEQLILKLEQQIVSDPAHS HAPPEPKRRKKTDAELAEEDANARQMEQYMLACDERRSNRAAGAPGAGHGSDGTGQAT GSGFEPRFNQFQLLEEIKARVEQEKAEKELQEAEQRRILAMKQREQQQQQLAAAQAAK QQQLAAAQAQADQQQQQQQQQQQQQQQQALLIAQQKQMEMERLKKEQNQKRMAMVQAQ QQQQAQQQQAQQQAQAQAQAQAQAQAQAQAQAQAQQQAQQQAQQQAQQQAQQQAQQQA QQQQQQVQPTSMGTPHTMPATMQNSPNLGSNMPNGVARFPQVSQGQVSSPIIRQNTPQ MASSPMVNSVAMQASNSNMGGSPARPPSVAPSHGPMSVPMATSMSARGSQQSHAAGTP RMPSATPNMVHATPMSRPSVVPTPRLSQASPPPGMMANQQVGQAMLMNGPTGMPQMGG ISVPPQNMNPQQAAVFIAQQQRALQQRMVAAGLQGHGNPMMAGQMTQAQLQQRFAQQQ MLAARQQQQQQQQQQQPHMMAPGTRDIAAQYSAQLATMQHQQQQQQQYMGQMQGQMRA GMVGQPGQAGMINMASLNPQQVALMQQQIALRQQQQQQQMAQQLQQQQAAQQGQPGVS APGQPGMHGQVGVPRPNPAMPPISQQQLAALSVNPAVIQQINNVTSSLYSKKLPNFAE KWGGQPANIPPGELEAFKNQCRQHAKTMVIQNFLKTQQQAQAQAQAQMAGQAGGHGQL SQQQMQQMAQQMNAGQMGQGGMQGQMGNGMAGQMNGMAGQMAAQQMGQQMAAGQMNPM TMQQLQQHMQLQMAAQQAAAQQAAQQQQQQQQQQQQGQQQGMMGNQQGM NEUTE1DRAFT_117590 MRNGIGRAKLCCSRRMTSRLLNLDLISNQSGKAEQGIVESTSRN IVGKRELYSGSKSRSRNKTSRLLKPNPNSNAQRVKDRRDPAFHIGGIWTD NEUTE1DRAFT_102354 MVDRAGRHHGVQMTYKPLADRLQAVLYEAPQACYTDLVPLVRQD AALGNVKCATGVFGTCTPRYSCSIKWCNMVEAFGCMPASVTKVAWYCIRTTLKKWVQ NEUTE1DRAFT_102355 MWRPLWDLGPCVSTLELDSGIRKNGEPQARSRPLTHWPVVRDID QEVVGSKKRKMLVDNGQRTMAVAPVFA NEUTE1DRAFT_45944 ISYNKRSDSIVLLLPIIINSGTRRFENSIYNPLVEISVRKLFFE FIYFIPFNFEQ NEUTE1DRAFT_45266 MDHHHHDAGFLDYPPSAFTGIRSSYSFASNGPFTPSSGSSTPAR HNSMDFGPSSFAPPVDNVFTPPPSSVSAYFPLNMKAGDGSDLFAPGMPLTPSRTQVNN NVHPMQYYNDVATHFTSPQQMDYYTFHNGLAPSPLAPSPAVQAIQPGNTYDAWSVWGQ AESPIFSKFLSPSSSSCRSVSRVKQEGDMSPMSAGGHSYPSPTEKRQLYVEEARQKTT ALQMIQRDAPLAPRPSMHMNGEGKPEHESHNPYGPGSILIDPIQRVSARTNICDYPGC GKTYRRSEHLKRHKTNAHSEVPVWYPCSFCDKKFNRPDNRRQHLGLHAKPRPTKMKGV KYAPKAKAALEEELKNIPRRNKKPKSEHHA NEUTE1DRAFT_111482 MAFPKGRTKSIEYPSSANPPGTQQTQLFSLHRTRGSFCPEYQSS VVPSFARLTRKVSSFAERRFTIGLGVVNWTYQMRWSVRGSLSPKYLYVYLPKQSSELG LFSSCDAHYLTTYLVWNPSPVNLIGPLGPISSFLAVFELKPLENDDDRLDETRL NEUTE1DRAFT_147570 MPETSLPSYQEATRTLDWLELVGPSISIRDYARLCRVSRHFCDY FLPRLWTDPFAMCYALDEPTDRLDGRIPRTELFTQTVPNARLSTRSLVFAFTPRIRDW PSVLDRYVLSSWLPNVRCMTLIDCPPPSDHERTIQFTASQFPTQPYVVAAARCSKLRV QLHDPEQFREVVYLDDSRVYDQYGRALPIFKSMHNLRILRATGHNMGDSHATQILDKL WQWLWSLDLSNNRLSDRCLQAVARHGFWGSRRLGRRQDDGSCSCAGRGHRHELEGSVC SSKMGLFIHETPWTEDLRLYHARRYHEDSPEYVFRANPFDTIPEYEKVRKRAFDDSLD AVIKAICLEKDISSIRRLEICQRPLWGVTHLYLNQNPMITAKGFKLLMELGLEQLQRF ECDSMLCMTEADMSQFNEYYHDSRAVPSSVSGCKTQALAGILGLSHLFRPVFSNLQIL RIHHSLVTNLPSLVGFDDLSALEKIWFAETFLLPRADLVFPNPFHPDMNPWLSSLTLT HIPRYSTGPLIERLKGLLKAAWFQEQTIAKLRAEYRRVQSRHPPPLLTGLRKIFLEFD VDLREKQGKDHTGTAGLEGFDAEALLNSGATEEFNTFGGFTCSSNSNGTSGPSTSSAV RHVNANNNGTTRSWRQQPQHGSELDRLGTETPWVRAVLQSRHQKWPQEVERRAHENLD WNQPIYVTSISKAASGKTNVFVGYHHEGHNQPVLNNNDGASSSKLASPSVQNLYDPWQ SPYLSQEQAREPQALSPLSERIQRRANSLLPQPPAYTAWPIPPSTPLPPPAETPDLLT PVPPCYTSFPFFLSPQEKQASISTYNLLISRVLWLHPNSVRDPGDRADPSAAGHAGPA GSPTAGLDASSSFNASSMFDAYEGPRGPGDIERDNELLRVFGPIMAATPSMVRAGVPT QSLPRAAGEGGEMRRERLYRPDHIVRRQMEQDVRQAAESRARAAAAAREGVGAGCYVF WSAWKAGLFGDVVFPSFPVSSSTSSVSRDGYGGLNGHGESQDTGDFSGGRMAGGDGVG GQDSEANTSNAAKPERENSASKRNLLRKDMRRPTQEELGQMKDVIEELKKFRRLTGGG GSSKSHCSKDKGKGKQKAMAVTADDRSPGGNYQEGDEQDEDSKWAGKHWMGELKVIRK QPEPEGGGF NEUTE1DRAFT_66152 MPRQYVLFGRPLPRLGSRHLSLLLVSVSLFAIFSLLFTLPSAIP TGPSLQIADHKLSIPDSIKNPWNKVNPFKQPAHAPPRQKNDTYGQSSWYANWKWLSYP FSSSVTLDESRSLLPELAQRPPIYVYYDNTIEREKATKEVESDILLSWRRAWWAQGFK PIILSPAEAMNNPMYEELQHRSEGMDASLKTDMMRWLAWENMGGGLLAHYFLFPMGAY DDPLLVYLRRGEFPGLTRWKDLGDGLFVGPKADVAKAIKLALASPNLKTAKNFLEATS ASKEAGPFTIDDTEPSSLAFYSASALKLYPKVAETIGGDRVAGLKSLNELINAHLHQT WQSLFSEGIAVVKPLPQHTTHMIAPSYSVALRLASCPANNPLPSSCPPNRPKCVPCST TSHPIKISTPAHYKNSSALYTIGTVPHPYTIQSLSSMREQITVPWIRRESVRDAWVGD LMTDLFKGKAVPAGARVLRFKEAVAGEYGSARSLWLTAERDDTPEGILDWHFGFDLPA LPASSPKDKAKEEGEHKHDDATEKIESEIHKPKDNFILPPLHDEKEGPVPTEQDLKLE PNLLSIAKTIVSSAASDDGEGAADNKNKKIHVTKEEIKIREAVEAWNLADWEAWRFAR AYLARKEMEREKWAEEEEKYAGGMGSERKRGKSGGWFDSD NEUTE1DRAFT_84842 MQEGQQALEEAESDQPEDPQAGPSSASQIQPGTLTASPTSIDPA SDMGQAASTSAESAAESATASPTDKTPTQLTSQPAGGASSTPQSAAMSAAAAAAPTSA SAAPAPTSQARPPRPNYPPYATRPPLPDVNITQETIEDAYVDFIFHCNPHVPLDTDTV MLRDTFSDPPKSGGKSFNTFVLFGLIKQLENKEIKTWAELALKLGVDPPDPEKGESSQ KIQQYAVRLKRWMHSMHIDAFFEYLVGRDHDYWVDIPPLAIPLSEIERDGVRAEDDMA LRALLPHIRPRRGRRKPGEDDALSPLPPGGEPWSAHPAQRGSVFTFDNTRLGVPGQGA PWGNNDGGQTPMTAYPQSAITPTTRTNFWSDEPRSAITPSGSKPKSLSRRHGAKVVSS AWRTGGATGRVRGRPPINRQLNSDGPFSAFPASSDSPHYGKHTFPNNPPPSQTPILPP PRPNAPTATQTPTSATPAQPSPTVREAPAPAASGRPAKRTRLSLQVPERVGNEVRLAT PPLLAPAPPTLMINGQAQPSTNNSSSTATHPQLHPQYQASSSSSSSSQPALLLPSGPS SSTHPNPNPNPNPSSLTNHTGPLGPSPFTPSSLADPSVDKYNLLDVESIFMHQILTAT WLDSAGNPIPACSVDEAFAFCHTVIENLHRNASNKQGFLINLAALVGGHTLLNRDGGP ASRVDGDAGHESGRGRGLRVTKLGEGAFDESGIASGEGTGTGESGGKWIKVSAVWELR LGGICGDFSMEEVIRVGKWGGGKRKKNKADETSSSAAAEGAAAGEGESAAEGSSAGGA GEKSGRGGKRQGKKLSKKEEEELEKKKKEEELKKAEEEKEMWQQRFMDMVAAVKRRDE ELAMLKGTMLHALREREA NEUTE1DRAFT_123470 MSQSKPVVPQNDNVAHALAGAGGGILSMALTYPLITLSTRAQVE SKRSADTTFLAAVQKIVAREGISGLYSGLSSALFGISVTNFVYYYWYEWTRAFFEAAA VKAGRASKKLTTVESMIAGAIAGSATVILTNPIWVVNTRMTTRKAAAADDDEKNAALP GAPPAKKPSTIGTLLALLKNEGPQALFAGVVPALVLVINPILQYTLFEQMKNAVEKRR KMTATLAFFLGAAGKLFATSVTYPYITVKSQMHVAPASDGTGAQKREGMMEAINRVVR EEGYAGLYKGIGPKVTQSVLTAAFLFAFKDVLYEQTVKLRGRVTRKALA NEUTE1DRAFT_123472 MSNPGIIATNITKKFLSACKTLETGEIVKDGYFTLFEAVGALEI GDPKMDSGCLAPGETLEETYDVNRQLSAPEVLGIIDQLLCLEMAWHLGYPLAQTILTS VYIEALVEPAPATLQEADFVRNRKTPRDPMTTVLRAYCLGLVRTCADVLETIRDELYY EEEDFVTNTYRRNLMDHIDRYEIRDEILSAKHTLHELRAEIGTDITQALSFRLELRSA FLRALELIEDRGSPDSLQIPWCQMHSVWEAILKLPGLAKEVPEAFSTKLQRKLASSMP PRPIVTLSFDEAAKHFKKLCSDAIDAIKILDYHDSQSLLNFVFHYQAQKPQPLVYIRC LLQNLLFKDMVLLDRLSIRQVMDDDLSIAVMPAHELLDPNNDLVEAPHSARFAIAHQM ELFRKRAAQVYIDIFRVLCQNRCRVRRMLCHLIQDWEQVQLDAEDIDQLLQIQIDEKP LAYQSQTTLTTGSEPGYSLPLSSWAYLYKLRLMEWIVQLGFELEVYAPDELAGMYWYL SHLAKTRAQHVERIQAFTNHRFSELRSSSSSTSSTSPSPSSSSNPPPPSSYTKPPIPA TPWIPPTQPYHPPRGWPVSISSSLSDPSSTSGAGTTGTTTYHYSTTPEAAFTRSLTYL RSTILDAAITWEFADTVSVIYTILSRLSLVTPPPRPYGTDEQRYEVRMKPFKAVSLPE VPSYQTWKGHALGIGTGGVNAGGKAGKREGEEGEGKEGKEGGEERQGEEKGEKEGEEK TSHLLKFAQQAVGQAKKAFEVLEGLGEREAFAAGGGGGGFSSSIPSSAPPTATSGSTA TAVGGAGSGNTRQEEPGKGGHKRWMENVSRYRRSVEKAERILKEGIKKAVEMDAEGRT TEEIKQVVKVKVPRSEEVWEQGGHWWWIVPDVEVVEST NEUTE1DRAFT_95501 MVFAWKAAGITYNRYLAVASRALRRSLKEDKRIIAERRGEAAEV KFAKWSNGKPGETVNLSSANAAAAAENATSVPSS NEUTE1DRAFT_123473 MISRSALSRGSQLALRRPAAAKTAQRGFAAAASPAASYEPTTIA GVKVASRDDSGPTTRLAVVAKAGTRYEPLPGLTVGLEEFAFKNTNKRTALRITRESEL LGGQLQAYHTREAVILQASFLREDLPYFTELLAEVISETKYTTHEFHELVENCIHEKQ AKLDSAAIALDAAHNVAFHSGLGSPLYPTVDTPTSSYLNENSVAAFANLAYNKANIAV VADGASQAGLEKWVEPFFKGVPATSSGNLNTAASKYFGGEQRVAKNGKNAIVIAFPGA SLGVPHPETSVLVGLLGGVSNIKWSPGFSLLAKATAANPGAEAFAHNYAYSDAGLLAI QISGKGAAVGKVAVEAVKGLKAIAAGGVSKEDLTKAIAKAKFNLLSASEVSGTGLVHA GANLLAGGKPIQVAETLKALEGVTAEKLQAAAKKLLEGKASVSAVGDLHVLPYAEDLG LKV NEUTE1DRAFT_130844 MAVIKEKAECCTLLVDELYGQLPARVYATLFQRGKLSVRLISQF SKLSARQVKNGLCVLQQHNLLFYSVDANSGEAEYSVNHEYAYNLVRTGKILEMVDNSY GPAGRDVMQNLLLLGQTRISDLVAAYQAKINEVNNDDAWLNDKKPELVIKSKAQLNSV LCRLIEAELIDVIHSKTFQSAHEIEKQVHREVMDVYFPNGIKGTKAKVEFEQRVAEGL RKVREESKTLKRKLAQNGGASKRRKLAVGNETNGAPEEEEDLDPALDPRQVIRINYEK CIVELRSRRLVQYVNETLGETTGYVYGQLLKQLTKNISRCRSDPLMDTLTPEEKVTPS VTTNEILDKVKTSIDLTLGIGKIPSKSISKSAAERLTPFAPKDKIPFMNQDDSDDDEE DGDYSDSDEEMDTKKENGDAPVARMSRPEQLRQHLLLLAEGHPGFVRHCGEDEWTVDF KPLMENLRATELDSIIEQTSGRQGLRLIRILRTKGKLGEQALQSLSLMRKVDLQQKML EMRLVGFAHTQEVPRDNKADPKKSIFLWYCDTEQAYSSLIAKCYATMVHCLQVLEVRR QKDKDVLSLAKRTNVKGKEKDMMRDESYARFAKFLKDERLLMAEMMRIDDTLALLQDF NEUTE1DRAFT_117596 MSPVAAGRSEKESNLARLLGSGSAGIAELAIFHPVDTIAKRLMS NETKISNTAELNKVIFKNKAEAPFGRKFVSLFPGLGYAAGYKVLQRIYKYGGQPVARD YLGTHYGKDFENAFGKKTGKAIMHSTAGSLIGIGEIVLLPLDVLKIKRQTNPEAFKGR GVFKIVKDEGFGNLYRGWGWTAARNAPGSFALFGGSAFAKEFLFGLNDYNKATWFQNF VASIAGASASLVVSAPLDVIKTRIQNRNFDNPESGFRILTNMAKNEGAGAFFKGLVPK LLMTGPKLVFSFWLAQTLIPAFDIAFRK NEUTE1DRAFT_111491 MNLFPSPSERGRTLYQIPTLKGSFFSLLGLLENEVKKKKKKKKK KKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKNEKSTCR NEUTE1DRAFT_44547 MNIINKRPVHHPRHVRKFLHVLWEIQVNNRPLPAYMVLAAKHLL RTTRLCNHVTIVIESSDYFRPLGTYLDSCPIFLFSQLEAQHLTRGPSVRIPTLPVPAL ILPYLPTGDDDEVVLYPTLFSWHCAVRHRTYHVFAFIQVNMSARKYTIDELKELHQPS IGSAALKFSGNPEVGKYSLRFHGPAISELTRGPPGDIIRGSGSESSDNKAHPAHKPRH WDDSSVASEEVLFKGNASRRAHREATRDPHLDKAHHTVREPKREATAHRHIATQIVED PNASNEGDWVYRGRSDSDVASTEPISAPGGQVTQANEGFKRFYKAVVSPTHVRVTAGG RIVPNTRGPPSPTAKRSKESGLNENQGLSDKTVQSNPSLGQMPFTQPFPVIAPYFPGC PPGFQAIPAGIPFMSMPYGAQLPTGFQFMPQAFNSAGMAQMPQRNMAHNKDVNSAQPA GSQAQTASLGDKNDKVKITPPEFFDPSKPFMFNGQYFMPTTPGATANQTAVPSMVGVP SGVTGMTGHLMQQFPGRPGSVMGAFSMPNQAVQGSTGASGINYQGYSVANYKPPPIPP VISSIKPSDITKKQIAGFKQSLKYHEDQLQYNRHQIDEKEMEAAIHNYRDLIQRFEVK LKTELEYEQSVLGQSEPKDNATTGSQTPKASKIASKPAVTADKNLSQPMSSLTESQLN SKFNNKAASEYTEDEDSQPDLTQRNDYGEIRMKFDPEDSMTFEEFQKMTANLPPAAAA APAFYPGRFRSLSELERARMESDRRLSGIPVEDPIPPPPIDYVEGSESHFLGVPSREN LSYFGSKSSTTASNYGVPYLLGALPKNVDPRSATSEDYVYSRPLTNDEIRARWLFQNN APKDVSKGLPRYDGKHFYPAASSSVAESTVPDSPQMRSPRSGGTVRPDEYRLTRSDPF NPSNPFHRAEPASTLGDEDDYGTKGYDSRLYSQSDNIQPHIEGFHSREPTATYGSRES SLDAHSMALQDRQAENVTGGAKLWPDMLKKSGTSSALSSATATGWLPQYQTSTMMSFP PSNDRPHRAAPSPDNDCSDGGALLTPIPEKRGENRPLQHVSSLDDQFKNITIEASDRR NVNKIPAPFNL NEUTE1DRAFT_84862 MSASLPHRSVANIRAAPTSSSRSDRDHSGTSTPARPIPTFNTPS SLRAEEELIVVDFGTRKIQVGFAGDSAPRGIVWFGPEQQRRIGDFRDWQTDYQQDWRS KAAGGNWGRDYELWRPDVRGLELGQVGDKVEKAVREAYTKYMLIDSRPRRMVCVVPTG LPIPLLSAALDSLFHRFQPPTVSLLSSPVAVAVAAGVRSALVVDLGWNETIVTSVYEY REVATKRTVRGGRRLTEETHRFLAKELGHVQRDNRDDKQEYVLSFEECHDIANRLVWC KPFKAEKTPKSSPPQLASPEGEGLATVEESDEDKPAITITTTATTAGEKPLPPPPKVT TVPLRSGRSPTSVEVTFDQLSEPCESTFFDSQYSLSSFDDHEIPVHLLVYRALLQLPL DVRALCMSRIIFTGGGTTVLGLRKRIFDEVCHIVQERGWDPVYGKAKEQLRTNPKLKK RHGRQTTNNSNSSSSSNNNNSNTLTTPGVSGQEEDGIWHDAANSVPEVDPIEAQLART NPSANGRKPLQGQLRAIESLGPWAGGSLITHLKVSTVSTIDKDQWTQHGVTGATVRQA DVEAKTQQRLSWNAMNRGGREGKEPWTLGVWGAA NEUTE1DRAFT_123477 MGIKGIYKEIGPGQRISLTALATTHLETTGRPLRLAIDISIWQF QILAARGGSNPAVRTLFYRLVRLLGHAIQPLFVFDGPNKPAFKRNKRSYSYGNSHGVA DAMAKRMIRLFGFMCHDAPGEAEAECALLQREGVVDAVLSEDVDTIMFGCRKTLRNWS AEGARSSKTPTHVSVYDVDERKGGINGLDREGMVLVALMSGGDYLPEGVPGCGVKVAC EAARAGFGKELCGIRRADEAALERWKERLTRELRTNESGFFRTRHKALDIPEGFPSLE VLRYYTHPVVSKSETVQRLKRQFPGKQDVDVEGLRVFVRETFDWEYRTGAVKFIRVLA PSLLVQYLLQRSEGDDDTDDLGRKEKEEAVLVKGIKSRRTHLSTDATPELRISYVPIE IVPLDLDAEPEEDNEGFGRGGLALNSDDEFDAEDEPPGSTQGGSGAKPFDPLKPDLAW IPETVAKLGVPLTVEIWEEKQRAKELKAANKGTRKAQTTKRTGGMPAAALEKYVKVTK GSATAGTVTKSTSDYLSLDSSPPRSSHIAPPRSSYVPFSSQQLPPLSSDRFETATTTS LKTTTSKGTTKTSSSRTTSKKTAATSRPPAGNPWSLAGSQASTKITKNIASSQPTAKQ SLFDHEPILISSSPSVPGKRLPNSPLENNRPFSPDPFGSSPPRIAPWKERLPATRTTS DPTRHPSQRSMRDEEPLRWRSQSSALASSPRKGPAFGKDKTPTKQRSILDFGYPSTTR EQAGSRLFGRTQNAVLPSTTGKTFDPLSDDDEEFERTMLASLKSSRLKTFDPKPPHRV GTSSSSSSLLPRKEHNRYARQDETDEDAELEKAIQASLKDIRPTSSAPVAFSRTQAHS PLFRRHKADLSSLHEEEDSDDDDSFVSIGSLTRQKSPSPLRPRAAATLSPSISARREL AREKRSSPALRSSPVPSPRTFQRTENTRSNLLSSKWDWSALEDDAGHGARATGADRTL REASDGSSRATPKRSLLFPGLNQDLAEDEVTIKEMRHQTAREGSGRRWRLSEASVIDL TGDD NEUTE1DRAFT_44933 AVSSSRRKARKAHFSAPSSVRRNIMSAPLSKELREKYNVRSIPI RKDDEVTIVRGSNKDKEGKVTSVYRLKYVIHVERVTRDKATGASVPVGIHPSNVVITK LKLDKDREAILERIKRGRELAKPTKVSA NEUTE1DRAFT_84872 MFTTPSAPAAFQKGNTALITGGASGIGLALAKRLLSYGLRVLIA DKDISSSSLSSSPETKDLLTFEMDVSNLESWTRLKSHIDDVFHGQLNVLALNAGRYLP TSFSNSDPTNFYETMQTNLFGVINGVTTLLPLVQATVTTAKDAKGAIIITGSKQGITN PPANPAYNASKAAVKSLAEHLSFDLAKDHPNVSVHLLVPGWTFTGMTGASGQKEKPKG AWWPEQVVDYLEEKMKEGKFYAICPDNDVTEDMDKRRMVWAMGDVVENRPPLTRWRPE WKEKAEEGINKLDI NEUTE1DRAFT_84875 MLALRGPSSVVGGAGGTGVRATYRDSYSHHGHGHGHHSSNNSNF SSSTPYVSSRARRYNRSHAGGASFIPQNEFPVFSHSGDVEIVVRVPCGLENRYLLHRH ILTRCSGFFEASTSQEWSRAQTVPGGGGGGGVIPELPAPGGASKGGSSGNGAGGPGTG TVTRATQDSTTPKKRWRYELDTGTDDNDIPMLVQKDESSAPLAPPPIPPTPSGPPTTQ SSIFGNGSGSGSGSSGGRHRSNSQKSNHTQSNSFFRSVANLSLSHSSHRQSHHQNSPS AREEPPQADLDLLRDYDNLFRIMYNYPPTLDPISLPDAYVQCKSLLQLADQYDALAVV GPRVDHHLLQFQSRLWRQIAKYPISYLRLGYLARSKVIFQEALIHVVGQWPAGERSIR AAMPESVVDIIEDKVDELEEVVSRVEARLFRLGVTGRGGERVGPGNGYVDWLAVSLFR EWLAENTSPPPSSAEYTSSSSNKHHNSERYSRGGHGHGHRSSNNNVPNSRARHNSSSS GSASGSNSNSNSGPRGDPYAAAVTTTSATTTPNLATLGRTFRLLGGSPKEYLTYDDCK RFLKLSPELYNRDNLRRFEKRIEELKAMAREVVRPLMGSGLELEISGGGGNGSGGSGG SMLGYLTCTTVYDRDLPWMSYE NEUTE1DRAFT_147584 MPSFDRYYASSPVVTMPAQVAHNFSFGKSYLDQQASLSQHVSWP QLLRNCSAAGRKRSRDEAAVNLDPPEKIAEPVIQESEDEWVYGPGMTLIRKTGGYVAD ASSQSGTWVDEKAAAETARKSEEALSLQQQMSQDRPSLRSHKSQRLDVRSSNSSSTSR GGSPLRDTSNPMTASSDSLAGPIVDDFTVHLGIGWARIGENIQVAARGWARYIENHYP VTNAKILLESRGLQAYLVEATEGYFLFAENLRQGRLVSKTADGMLQNLKGTPPVFDGP VTMDASETTRPSNAGPSIFDVASEMDMS NEUTE1DRAFT_84880 MLRLRPTVLALTMAEVKEVEERRRGYRRVSSKSRWPFCTRASEN ATSGASSPSLPDYSDSDEETDEETDILDHDDAEQPVQLPVLSARFPEEQTEVVPTARP PYPNDASFDQRNCEQSVDGAGDERDLDPQPQASSSRSQLPIRPPRSGPRIGSNIRSPA QSSVGQTVTSQKTTPRTGASSARRSNRDGARSFEQFQLSFQNLTIRTRTATPSSAAKP ASASRPREGHCQGTTPNAAGSHHRDGTPRATPDSHAAASDSQTPSSFVRFTRSMTAAL PRHGSSPFEGLESERLASSRTHTPAAASPTSVSTGSTRPAPVIESPTTPSRQRVMTPR PPATTPARTPASFRVYNDSLPAFSQPRTPQNLPEARHQSRLVGQGSFTVPAGWRTSFS LEQRTPTTSQALRRMRHGGRREPSPQGLRTPGMVGLYGGTENHTDDGLVFVNVVGDEG GDVEEMASLAHL NEUTE1DRAFT_130853 MNGDFSLSQALGGLRIANLDDDDSVHSTENDQTTPDAPANQSSA SHQDATTNNAPQTTSPQPAQPAQPPSQRTPLDLERQVTPQDPPQRPPHTYETAAAILK NAQDNLSARGYPPTSQQLPAGNGNWPPNARPTPGGALQSMEGDPQRGAYHPHGHGTAY GDLRNELRNEIQTDTSRTSLYGVPTISRSESRRAPPGAPTREPSRSYRQGRPGPGPPM GVDPNGALPPRRSSRGVQGGYSQIPGIPVAAPGTYTSEARLGEVPPMLSTSSEEWKDR GAAVGVRREVDADGRVTMKPVKKGVRDFTFGRILGEGSYSTVYLATDRQTLREYAVKV LEKKHIIKEKKIKYVNIEKNTLNRLTEHPGIVRLYYTFQDETSLYYVLDLCTGGELLG VLKKAGTFDVECTRFYGAQILDAIDYMHSRGIIHRDLKPENVLLDEQMHVKITDFGTA KLLRDPRDPRPPEETPGQSGEDDERAASFVGTAEYVSPELLTEKSASTASDIWAFGCI IYQLLAGRPPFKAGTEYLTFQKIVKLEYEFPPGFPPAARDLVERCLVLDPAQRFTVEH IKKHEFFAGQMFGKELWRMKAPRLRPYVPGSQEQQPQMMHLGGGGSNSNHNHHSMPMG NPSSTRGNTTTQGGPANNNVNRPARIITELPPPTQLDLDWSPVLTKNNERILKLGDLM VVSSPIPHSPHGKEGGEGHKKLARFFGGSTTKKRQRLVMITSSGRIVFAPAGGEEKKA KQEISLLASDCTWKAQIDAKGQPVWCVDTGGVHYTFEEPKSSEKQHKDKSDKSSDGGA GRDGGNNSSSSKSTVDEWIESLERAKDLALSQNLVGSNDNFGDMSMSSSPAGSMVNIG GGGGHHHNGRGHGNFSGGEGGYSISDRSGRNQLTKSQASLDDSSSVTKRNRFSRRQSK NGLGAAF NEUTE1DRAFT_147586 MQPLQPQYRGYAPGPPPPIGPPPPGHHNPQLVGPPQGPPQHSQV PLPPQAAVGHHPHPGPGGGPRGGQQHHVPQPRRGGIGPMMSAGPAHHQLPITQAQIQQ ESHRREAVNHLAKLRSRKPTDKTLPDGVEETLVGEGDVAVAYKKLRDFERRLDATMSR KRLDIVDSVSRNAKRYKTLRVWITNTVEDQYWQNNNFNVDSFDFPSNLESTYRVKIEG RLLDDEDEITKDEEDGDKMDTTDDSTKTATKSGAKPVPAKPGQRYRFSHFFKALTVDF DQPTASGRLPVDTTAIEWKKPERTPAGPNLPASADFDELTFKRHGDENVNITINLYRH EDPERYELSPELSDVIDMTEATRQEAVAGLFEYIKLMKLQEDDEKRNFRCDDLLQKLI GRESGHIPQLNEYVTPHLRPLPPIKLPYTIRVDQEFHSAPDAPKPTIYDIRVSVDDPL RSKHLVPFIHNPSYAQTLREIHQLDESLAVLIQAVGDSKAKHTFLTSMANDPVGFVKN WLSSQKRDLEVIMGEATRAGAAVEDPVGDEWRRGGKGSVWDTSNARESVNVLLSKQGF TAGHR NEUTE1DRAFT_123485 MPPPSSLPLHHRDGRAGLPQLSSSSRQQAGLGNPNISNSNNNNN NGGTNANANTSFPPQAQAQAHSNEPYYSNEDIELLHEIVTLAESILPSLPERDRLPTN ALFQAAEQILPAHGYPDPDQAPSHISRLIFKIGGQRCAPNSKGETSLLDRFRTVLAGM GIMLEYVPSSPVVGGRSREQSPAGPPGAGGRGGLGTARDKAAGSRSRSVSVSGRSLGG FSSVFSDDDEDVTGGELDIKLRRLLPKRRHSSVSRPLALGGLPIRSRARSASFTDPNA LPGDTLRGERARRLEALAQQREQQQREREQLLNRRGRSPEKGQGRRSQLASRSKSSVR EYGDDGRPFQPHNPVLDKVRHIPRVFGAPHYYDNAEVAAGALPAYARPNKERPRPISF SSVGETDLNHKSPRTDFSELHRATSDTTVNTKDEPLPSSHHHAFTRQPHLDPEYQEAK VRMFRTEDDALLLRDAFTSWHDHFNRIQRDHHRLLSLAAQIDDEDIKTEVLEIWLEET VAVVEERERHEAEAEYEAWVDRMERRATRVYEVFTIQNMLNFWQDQAREELDRTAVAR RHLVRKRAFDAWRAQHIQDETKARNFVLIHALQRWTAVALHQEVRRHVAEQTEERETM REGLMHWYRLQKGRVADDYAVFKAMKGSLMHWATRTKEVQDDHEVAIALDERLLLDEA VNIWQEEAEDLRYTGYKCRGYKVTRDCQIILADWHEQSRLLRTLRKFRAADERTLKWN CLEHWQEEAEYARRDGLLADAVLLLNPVDTWKLELKLKLWNIREDEETLEDTLTHWFL EQRLALFQHHAASTTKKSTLSTWLDATQTSLSVCQRSESEADYFYSDHLLSSCLSTWF DASNAIFTPRHNANLICLYRTTKPCLDRWREALVSSTTRNAYYARKASKQSKRFTIAS VLDRWPFLAKQARRERMMASLRSFRHSYKISLAQSCLSTWFNALLEADDLSADADALH LDHNHSDLYETLGYWSSIARRAQEIRETAADAELDSYLENWMDSAAEMREAEMDAEEW EAVGTMKGCLQRWEHEALHLVAKRNAVKALREREERRMAAGVLEGWWGVVNPGAVVRE RQMGAEFSMLDPRMSTLLSSRRSVRHMGGRYRDRERGSGDVSAANFGNSVSQWFVNSE MPPERERERDRSTFLFPSAAPMRQSVQLPIRSAPPSAAAQQPAQPPPPPPPKVPKGYQ PYHPNPDPPATASYSRPASRTAIRPASRLAAPTIPETPMVSSSAPIEQKPTTGRSSDM RPTNLSARLVNARNNPSSSSFRASQTLAAEASALSGVGGGLGPMNFDDDDDEESFLPD LDINDPGFMSTPSRVRRAPPLGRHASQSVPPVMPPVVQGNTVFASQYQPTPLPAQDDH HRPSRPDRPDRPERSFNARKSLFRPSTAPPPSTNNNYPGTHHHYPPFSGPPTAPSTSM SVGTSTPSAILASPRERELRRVYAEPSTSSAAATGVGVGNTSGILRGGREWTERERVE RDRGVFFSDIREESAEG NEUTE1DRAFT_43828 MQPPKATVRPIQRFASAVSKCSVESAAYGKCILADYNSVHKDMC VKEFMRLKDCYMVCISPRPLFRYLYHFLKGGQS NEUTE1DRAFT_66205 MSIDFPKEEENTIQRWREIKAFETQVELSKGNPLYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGFHVERRFGWDTHGLPIEHEIDKKLGISGKAAV MEIGLENYNAECRSIVMRYREEWRHTVERLGRWIDFDNDYKTMDPTFMESEWWVFKQL FDKGQVYRGYRVMPYSTALTTALSNFEANQNYQDVTDPAVVVAFPLIEDPNTCLLAWT TTPWTLPSHTGLAAHPDFEYVKIADEKSGKNYILLEKLLTTLYKDPKKAKFKVLEKIK GKDMLGWKYEPPFNYFYDEYKDVAFKVLNATYVTDDSGTGIVHQAPAFGEDDYNVAVE AGIVTEKRPPPDPVNETGHYTDRVSDFAGMHVKEADKHIIKHLKNSGKLVVESQLKHS YPMCYRSDTPLIYKAVPSWFIRIPDIIPQMLKNIEGSHWVPSFVKEKRFASWIANARD WNVSRNRYWGTPIPLWMSDDGEEIVCIGSIEELKQLSGYEGEITDLHRDKIDHITIPS KQGKGVLKRAEEVFDCWFESGSMPYASQHYPFENVEKFEKSFPGDFIAEGLDQTRGWF YTLLVLGTHLFGVSPFKNCVVNGIVLAEDGKKMSKRLKNYPDPTLVMNKYGSDALRLY LINSPVVRAEPLRFKEAGVKEVVAKVLLPLWNSYKFFEGQVALLKKVENVDYVFDPKM ESSNENVMDKWILASCQSLLEFVNEEMKGYRLYTVVPRLLELIDNTTNWYIRFNRKRL KGENGLNDTLHALNTLFEVLFTLCRGLAPFTPFLTDTIYLKLLPHIPKELQAKDPRSV HFLPFPEVRKELYNPDVERRVQRMQKVIELARYSREKRSVGLKQPLKTLVVIHHDEQY LADIESLKGYITEELNVRDLVLTSDEAKHGVQYSVSADWPVLGKKLKKDMGRVKKALP SVTSDEAHAYTQTGKLVVDGITLEAGDLVVKRGLKEDDSSKNLETNTDNDVLTILDVE IYPGLAEEGLAREIINRVQRLRKKAGLQPTDDIKMEYKVTADPEETGIEKVFDTQMAM IEKALRRPLDKHEVTHVEGKIPAEKEEGIIMEEEQEVGKATFLLRLLKL NEUTE1DRAFT_123487 MSFLFGRARTRTNVSDLPRQAREHVVKLDQGPQGKVEELAKVLS QMKQLLQGTHEQEASLEHQYQLVTGMIEEDLLYLLAINLYRLPFDSRKDTQVIFSYVF RFRPPNAPARAEPLALAYVVERRPQVLIELCKGYDHKESAQHAGTVLKELIKSSEAAT AVILHDDGDEPGSSARGVGAIDKNRKQTGNGIFWSFFDWIDRGSFEVAADAFTTFREL LTKHKDLVPHYLQTNFDLFFSKYNSILIQSTSYVTKRQSIKLLGEILLDRSNYNVMTA YVDRGEHLKICMNLLRDDRKMVQYEGFHVFKVFVANPHKSVPVQKILLMNREKLLHFL SHFLEDRTDDEQFIDEREFLIKQIRNMPPTPVVPQR NEUTE1DRAFT_102379 MYSTRNNKQQVVGFSNPQAQLAPFFGPGMGPSPLVVGSAPVLPP AMFSEPVNPHEGYEKRTKGLKRPDQPHRPIPPPCEEPAEVTVKLATCKNTANYAGRVV GSINPLWTVAELKQHFELQANLGGIPANKQALRMERPAIPGFDSAESLDDVVVLKYIG VDRGAPVCVIWLERRMESGGIPAMTVAPAGAPTGLQQLFQFSTTEGNQAQHHVQLQFQ QLQHQQQQQRHRLQLRQQVQYQQRVRQRVLQEEKNTVTGFQGPIVRPSQVRSGGAVAG VVGPTPQEAPRQAGSNEPAGLRQREPPRAWRQSNHHQQRHHPFQRPKDQHRVSKPQGQ RSKPQQQQQQQQQERALPAENSVVTLGLPGVSATELKQYQQQREPQQTLGQMWADLDD SPIVVDNGHEIDDDNGFLNVLRHIRPESDVSAIINGSRILTQRRQRQPQ NEUTE1DRAFT_102380 MVSRVNHGRWAAVHHGSTFSGLAALKDPCLALDQPTPTHPPQPP LWAWAGGPSPRKKRGLEILGDRFGRNRQGWVLIRVCRKKRTRARTSAISR NEUTE1DRAFT_147592 MSSTPLKIDPARASALVSQLQSVQDRILAVAKGRPVRLVAVSKL KPANDILALHQAPQVQHAHFGENYAQELQQKAELLPRSIQWHFIGGLQTTHCKSLARI PNLWAVSSLDTLKKARTLNRVRGEVISSDPSIPKLNVHVQVNTSGEESKSGCSPGQET VDLCKAIVTECPHLNLLGLMTIGAIARSKATTPENENEDFVVLREQRDLVEKELGLDK GSLELSMGMSEDFEGAIAMGSGEVRVGSTIFGERGNRQDAKLVV NEUTE1DRAFT_147593 MSDQESDTFHKRFHPLRLLPAPDVEWDVNRRQRAHKDDVVRPCE TSLAGAKCLRLFYLDGDDGGWKQFLPSRAQILACRMANASNTLPEQFTGALGRLYRLH LVEVDPADFDGSASRLQEVQERIQERKFRQEMDELEEKMLSNQARYLEHGETLQEISS PQKEATDPNEGVNETPKQLGKSPLDEPVPPADNSRDKEEPTTEKGTPGDSPVNIEGPS HVQETLEEISSPEGEAMDPNEWMSKILKQLAEPAPPDDSSKDKEEFSTRSDALGGLAL AGNLSDFIGGLGSRHCSGGAGDAAGDAAGDAAGADAGADAGGDE NEUTE1DRAFT_45954 MSAPIEQSIYLRDTNQNFVIDVTVSGAQSFTFTLVTGQDLNQHP PAELNFHPVVFQNVDFLDIHNHGHDFVQAPIRNLEELQYEPVDVPNLNMLRRQSPMST AAMPAAAMGTAKKPIDTTIKKEEGKEE NEUTE1DRAFT_139196 MASTTAMTKHWLEHDAIPIPAPRYSPRTDADGKPIFAPTESKKP STADFLRPPRDDEGNLVTLPLLAALRARKAARAAASAASPKKKTKTKTVGPKKNDPRP VFFSGCMPGSQPGRVLWLQGLDYFAASRAELKAGKKGGSGNGRSRPPKHVREQNAHKE EALAEKQCKFIEKSCPGVKYGEDKEWKRREKEEKERVGREAIVRRIKEQIEKGVRY NEUTE1DRAFT_139197 MSNEAEIEWQSRPAGEIADESQRLINLFTTSDILNSPTLVSALQ LLHTEASKMSRESVVQDGAFYLFVKLLFERVVKHVSDKKHEVKAKLVYEMHEGAATVV RWAFDEKDEKKAREAHKKERKKAHQKALKNKGVRANDKKCKEDAKKERGWSWFDLQDT EHQVPFIERELEALKGWKDGHVKPPTPVLDRLYFCLKESGTLFDLKLYVEACIWNARY TELNTTHHTRGGPPKLRDFVNGSVLEIQRICDVCQARVAELDKLLERAQITQAMYDSS RAIIDRWRVSIENMSPEEVQEVVDEAKAIRSTKEKLEQAYESELRVPSSIVGGRERYK GLLEGNPVDRALSRSIEVHLYH NEUTE1DRAFT_66218 MRPSRLAMRPYTCLQCLTRTRPVFRRPTTTTSIQTPRIASLHNS SRFLQQQQQQQQLSDSPAAASRPSIAPKPVVDIKHIRQNPDLHAQNCIERNYHQQAAY PQRINDLHAQWQAKQREARSLRERSNILRRQLTDPGSIRDENADTIAAGTKFLTRDEL IAEARKLKSLLSTIEAEETRILSEIESLALAIPNLTSEESPRGPEPKLLSLINEHPEP VPSASDRVWRSHVHIGNELGLFDFASAGTTSGWGWYFLLDEAAQLEQALIAYALDLAV RSGWRQVSPPSMVYSHIASACGFQPRDQNGETQIYALAQSADDAARGKPELSMAGTSE IPLAGMHASKMLLCPGDISEFSPLKYVAVSRCYRAEAGSRGLETKGLYRVHEFTKVEL FGWTYPSLDSATDVFNEIVDLQTDLLSSLGLHCRVLEMPTHDLGASATRKIDIEAFFP SRRERNEGWGEVTSASICTDYQARRLATRLKGEDGKMIEGGYPFTVNGTAMAVPRVLA ALLENGWDEETGTVVIPEVLRGWMGGKERIGPKPPTKKGLGVGV NEUTE1DRAFT_84906 MDQLNDIPLRPIRTNASTASRSSNGGGVRKADQTLSNTLGGTVD SSSSPTEKHGLFHKPSKASHRQAGPSGRRRVRDLSRPGTNMSEDHKLNALGRFYRKVV SFPFVTRYLIYIIPIAFLFAIPLFVLPFTGNVDNIQLGTSDSDKENYTLFWLFLWIEI SWLSLWTAKLVAHILPHVFMFLCGVVSAGTRKYANVLAALEINLSLFLWLLASWLVFK FRFTDDSIEWVHTIKRILLSLFISFGVLLGEKAIVQLISISYHQRSFHNRIQDSKRDI YLLGLLYDASRTLFPMYCPEFADEDYVISDSINALLMRDRAEKMRPGGTSTPMRIVGD VHRIGDKITSVFGNIASEITGKNVFNPTSAHSIVIEALEKVRSSEAMARRIWMSFAAE GEEALLLDDIIEVLGPHHREEAEECFNAIDADQNGDISLDEMIRKVVDIGKERKAIAH SMKDISQALTVFDKVLLFVVLIIVIIIFLVVFQSSFVTTLATAGTTLLSLSFVFAVTT QEFLGSCIFLFVKHPYDVGDRVDIKGPDAEQLIVEKISLLYTVFTRIDKMQVVQVPNI QLNNLWIENVTRSKAMKETVDVAVSYDTSFEDIELLRLELEKFVRSPDNSRDFQPDIN IMINDVGNLDKMTLKIQIKHKSNWHNEAVRCTRRSKFMCALALALKAVPINGPGGGGE ALGGPNNPTYSVAVTDDFAATAREKADKDKAAAKMVNKLRQEEDDDISGSGKTGSSKM EAEQQAVANINASDPVAEALDDWGYDRATLRSRDASPVGRAGDDAASSISRRNYLSVR EGEAGISPGTYTYGQGYAGQGQPGPSMSQYAGAAYAAQQNQPPMSPSSPIASGGLYAG QSTLSPQSQQPQAPQPVYTAPGATATTIPPPQTLPAPSPMTLSPTPTAGPSGTGGPVG ARPRGASMSNPTAVVNRPTTTTAPLQGQTQQTQQGQPPQAQLPGNLPQQAAAAVTGSD LTQTQTSHNQEHR NEUTE1DRAFT_84909 MLAPRRLLCLASRTRRSRSFATITSGPLNLPHVIKPSLAEQQQR KLSPRNLEAAVRHMHRDGLVVVEDAVPLNDLDHLNRKMVQDARTLQARGKDGPFNYNQ GNLQQDAPPVAEYFSTSVFANPIATQITSHVLGPRPKWTFCSGNAAMPPLPGADPQRQ PVHSDADFAHPAHPFALVINVPLITMTPDNGSTELWLGTHNLAGEDSSGIAAQEGAHG ERTSGRIRPELLVRRAAVRAPCQPTVRKGSIVVRDLRLWHAGMPNLTAGEVRIMLAMI HFAPWYRNRMRLELGEDVRPVLEREDLGLEVPVDWVGREEVLGRYLNRGFGNSYDFDQ EA NEUTE1DRAFT_139201 MGTTAVFDLFCYKREKEKVEEETWRKKAKNAEEKMARVTKDLKK RAEDDAKMVQEAQEKAEKAETEKKEIKKRAEKAEKLLSEIEEERERQRQEQDNMGVIR DACLPNGASSDA NEUTE1DRAFT_102388 MLFQKASTRESKGAGDEPGESPLQGPSPPPPYEAVTTAAVIPSS LGRPADALFRKATFDRTKLVLTHKGRSVLLVYYLLLEEALDFVKISFRFICAQLPRHG AEGTPAANRAMVNAAGNYAFLLALLHVSKQYNISKGRLSLPVNEAVHAIREHVKGEMV KDLMQGNRYQEQRHVMQQLVDSVEGQFVRTASYVIDDRYDTRGTKRVRDSVDTGELDV WKVQECWRLFKSVLVENCGDLLPPPGFDIWGGKSQAALRRLSLSKGTFYHSMASSFWE GARNVL NEUTE1DRAFT_66226 MSGAAAGNGAPVITTEWTIKGLAMPLPMTLHPNGQLFKATRPGH GGPKFTTHSTALLVQRSLGQGLPLAHRRTTRAWESTSSSTASTGSHKESGHIETAPHE SLLFFNNLFPLKLSSILIWRPWTSEDLLQRFEQSSYSFIDPIRLVKRAINTHDQVPIE VTQIIPRLKDGGAFVKFTHPSDMSAAVVESKLSELLQNNPIKPWFNPFGRVKAGLVEG VPWLEDLYRLPRSRIRVEFVAAKDDASPAELSQETLYSIFRKFGKITEITSQPTDSKV LPRFAYIDFVLVRDAIMARNCMHGFVLREQGSKNATKLRLSYEQRVKAHHIWAWFTSH PRIVIPLVAALIAAFTVAVFDPIREFFVKAHVQKYFEFTNSRLYKWFKSQTSDILAFR RRKTEDAGLNALFTHRKDLIDSIQTGLLESVDTFTVVHGPRGSGKKELILDQVLKERS NVLHIDCKPVVEARGEAGTISRLAFEVGYRPVFSWSNNISSLVDLAVQSTTGVKANFS ENLESQVVKILQTTASALKQVGLSERKKEDKDADLSEDAYLEAHPERRPVIVVDHFLH KSEEKGVIYDRIADWAAALVQSNIAHVIFLTDDASYSKPLQRSLPDRVFRSVTLGDLS PDVAKKFVISQLQTDTKFAHDGQQQDSESDNQDNGDKNQKKDPNTPAPLDPALLKELD TCITALGGRLTDLQVLARRLKIGQSPQKAVQEIIDSTASDILRMFLLSKSSSSDRKYT TEQAWYLISHLAASPSSSIPYNSVLLSNTFASSPETALEALANAELITVKSQNGMPSE IKAGKPVYQAAFQKLASDEKVKARMDLLVLTELAKMETQKIEKVEQELVMLQGLMARR PGDVSERVEYLLEKMKGGQARLKGLEKEMGVVKGRMVK NEUTE1DRAFT_84914 MGWFWSSPSSPKSSQSSQQQQQQQTPPPTTSSEPAGTAPASVLA KYKAYIPFSSLLIKDDPAATEPEVAAAPKKTRSPTSLAMSEHCLPTTMSCRDAFDYAW HCQTPGAQFNAVYRYGTMKNCSELWDDFWFCMRTKSFGPEMKAEAVKEYYRSKEEAKY GGGQPSSEDVWESRETRVEWDSEFRQSWEGPEKVSDEEFKRMEVERRRLIREQLGLDE K NEUTE1DRAFT_44886 MLIKESYADVKTSANGKESTMMTGPVARFARQIAGQGYIVAAPS SYHDFTGPEPLAYDVPGTDQGNEWKVVKTLDSYDEDSRRTVDYLLSLPTCTGRIGATG MCLGGHLALRAALDPRITAAIPYFGTDIHSRTLGPNDGPNTSPSAPALRPDPTTASGF VAPHTIDQFDKLRHCEVAMIFGVKDTHVPDAGRDLIRAKLREAGVTFSFYEFAWAQHA FIRDELSKGRYDPAITKVCFEVLLETFGRVLKTDLGQGTGEKEEVEHVC NEUTE1DRAFT_117606 MAPAVGIDLGTTYSCVGVFREDRCEIIANDQGNRTTPSFVAFTD TERLVGDAAKNQVAMNPANTVFDAKRLIGRKFSDPEVQADMKHFPFKVIDRGGKPVIQ VEFKGETKVFTPEEISAMILQKMKETAEAYLGGTVNNAVITVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKVEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK STAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDLSTNARALRRLRTACERAKRTLSSSA QTSIEIDSLFEGIDFYTSITRARFEELCQDLFRSTLQPVDRVLTDAKIDKSQVHEIVL VGGSTRIPRIQKLISDYFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSSKSTSEILL LDVAPLSLGIETAGGMMTKLIPRNTTIPTKKSEVFSTFSDNQPGVLIQVYEGERQRTK DNNLLGKFELTGIPPAPRGVPQIEVTFDVDANGIMNVSALEKGTGKTNQITITNDKGR LSKEEIERMLAEAEKFKEEDEAEAKRVAAKNGLESYAYSLRNTLSDSKVDEKLDAADK EKLKSEIDKIVAWLDENQQATREEYEDRQKELEAIANPIMMKFYGAGGAPGGMPGAAP GGFPGGAPGSNDNEGPTVEEVD NEUTE1DRAFT_147601 MKKAFNSDKWDKLRMNHKQFEWRSVRGSSSTFGEGLPYAGLSRE GISKMASTFYTSSSLPSSSTSSSGSTTITGTTTNPKEDNSNIASPTTILGKRYFTVLP HQDLFIFRLSTGVMTSSRDMLVWDTLAEKLPLCAQDNGFLGFTNFAIEFNPAWGQSDA YQSLDKCPQVTNMTKATEALGALHERNYYGKTGIYRHDCVLWFIDYRLVPKPKAGTAS TIITTPIISDTWGTASCSSQMVFHDGNGGRYVPVEEWTMDFWDFIEQTYASAEEYFYP EGSLKPIKYEDGGEYTDSCRDFICKALYPYWFDTHEEYMDRGSGTPPEQEMPWAAQWG ILAYLPPGTEEGTGIHTS NEUTE1DRAFT_43675 REELTAMKRQQTRVDVLTERGTEAGSTTNSEFRSSNAAGQDSDT DRRKRAKWGREKTTLDLTNGDERKELQDVLKMSGSRVGCMADVSVESREKGEVCLKLQ NEUTE1DRAFT_117607 MSLHAMKGQKNAMSILKDMKDNKVGRTKRRRHKTALQKLLDDTK EAWEKKLDASDRRMNDTAAEMLALKRKLQEQRRAHAELVKEHEKAETKQELLARLASV GNATTTAGDDGDKAAAAASKTRKGGTIKDSGLGSSIDSIAEETVNKRIIYKLGVLNLH PPLKLNSDSEIRWKDIPTMFHF NEUTE1DRAFT_102398 MSSPQLHVPEESPTSTPQTASSLMSIDMDLASSSTNGTGTGTPS DNVPSTNLDYFPNNNHMAPPAISNGFHSMFINTGAIPAENSNQNRGRVDFIEFTNFSN DAVANQRQGSGTLPDAALVRYPTLFPDMANINPVNPVNPAPATVPNATSATAIANTNG ITNGITNGITNGITNGITNGITNANANTSMTLPAPPIQVTLHVYLTSIPVQDTLGQRS LVLSGLRFQDAGDWRVCIYAARNERVVWSIDAGVVKVVNPATWDGVVAGLGRRPDLSN DEKMLLRRVGRWVLVVHTKAPTFSPSVSLLLAETYYSLIKACSRSKHGNPVNDNVFAI RPSKETAVTPAIRPSIRAIPHPNLLLDASTPHHLKPAPKQYHERTK NEUTE1DRAFT_44257 MSWRPPPMSSTARLIVPLRTPIHTSDDACNNAVVQLPAFCQHTG LQVYAYATNSDAPSPLATAVNVQLSSIPVEDEGWSRYVVLNGLTLPRIGRWRVFLYGV VRSEEVWRIPAGDVQVEIAFVDVGGGPYLCKCFLLSTGLAWVP NEUTE1DRAFT_123501 MADIQDEAGPFLPPTISPPALLSGVSQTYFSPIPPQLLADNTPC CLGVDEAGRGPVLGPMVYSAFYLPLTLSDPLLKQKHSFDDSKVLTPAVRLSLMKELCT KDTELHDNCGYATSSLSPLSISSGMLKASKAQIYNLNQQAMDATIALIKGIYERGVNV TDIFIDTIGQPAAYQKKLERVFPTAKITVAKKADSLYPVVSAASVVAKVTRDIALEVL WADRSKQMRKRKRDDEAGLEKRAKLERGDTTGTNGTDAMDVDEPSQTQNGSPKAAEEE DEEEEVETNEAMAWGSGYPSDSKCVSWLKQNMHPVFGWGPECRFSWGTAKDMLETKGG VKVDWPEEEEEETQKLTDFFMAKKDQEEVDVDELGTWFGAPAGVECF NEUTE1DRAFT_130870 MAPRPSGARRSLFPEPEHVYELGVAGRKTGVTLKDSGIRDEHGM QPLEDLFSSPHKPTEDRDEDEGEDDEDGGASEDGRADSEDMDITTTSGIAPAALLNGN ASRLPLPVSRTNRSPVKVVVNSPARNRLMARSSSPTRGSLGANKENYDRGSSSQPAED INTARRRLNFEALKAGGRSLSQPTTTNGVNGLNGYHDEAEEEEQENTAVPDETDAFIE ESMAMLEDNGEVEEEQRADQEEEEPEEAPEAVPVPAAKKKPGRKPKAKEPSPAPAAVK RGRKPAAPVVEEEEREEEKDAEGEPEEVTPQVAGKRGRGAKGKAAAVEEPAPAAKPGR KRRTVEEPATDAEESSSSRQPKRQRTETAPAPAKGRGRPRKSLTPAPEEVKEPEPEPV QAGPSRSRAAKGKGRAPTPAAEPVNEKVTKATKSTKPKGGRKRQPSPAPAADADTSIA IPRGPPLPKSRGLVINRREVPGDSNAIIRTRSGRHSFKPLAYWRNEHVDYEYEEDEFV ADHAPKASKGSSAGEVARKRKFVLPTIKEVVRVEEEVVDFSRSRGRGTKRGGNNAAAG GTSRRAQRDEDPSPPEPWELNPGILGGDVVTWYPQHEFHPPALDDEVEVQEKQLAISG RAIRTQKVKDAAFRYAKTVNEGFFGAGVVDLPPGAVKRPKNSRKMFMTFFVYTGRVLV TVNETVFRIGKGGMWFVPRGNYYSIENDYDQPARVFFSQGCEVQVRPSSEGEEGVSGA ENSMLDTSAAASASA NEUTE1DRAFT_66248 MNGHFAAVDNGNGSSPDSNAHNFEHGIQVINEDKQYNTNLNEYL NETHVAEAGFNYHLISVFGSQSTGKSTLLNHLFGTQFSVMSERERRQTTKGIWMSKNK NEGKMADNILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGLYQGA NMGLLKTVFEVNMQLFLKDKQNQTRSLLFFVIRDHIGVTPLANLRNTLIQDLTHIWSS ISKPAGLENSKIEDYFDFAFAALPHKILQPDKFISEVENLGSRFIAGHRNKDSDATDD QELTGGVFLPEYHRRIPADGLSIYAEGIWDQIVSNKDLDLPTQQELLAQFRCDEIARE VQIAFDAAIAPLEEQQAESTRAGKPAVLPDLGQIGAEAREKCVKNFETQASRYHKGVY TTKRAELEDKIDNRLKALYQAHLTAAHKAGVTAFSDAVTNAVKAGQKAGGAYEFAEIV EKQKTKTLEIFKKEAQSLAIPGVAWSNFKPQYLIFEKELDEVSARLRKEEMRRLAIRV ERWVKSRLGDAIGLEFNKLGSGRGGSGAPESGEKPATEKDIWDRVWKAFISIVGEAEG RFTDRAKSFEASDDEVQVGLWRLRRKSWVALREKIEEEVMESNILMKLRENFEDKFRY DEDGVPRIWRPSDDIEGIYTRARESTLGLVPLLSRFRLTSTSAPPDLIEFVGPQPHGV EPGDEEDLTPIGGVDEDEGKSLEEETTILSEPKKQDLVVRFKKMADGVYVEAKRSAIG GITQVPLYFYAVLLVLGWNEFVMVLRNPILFLLLLLISGGTYVAYSLNLLGPMMQMAN AASTQGMEIGKAKLRDFLENHEGARGALGMPPKNAQRVESGISMDTLDSNGKRKETGL RAEDDI NEUTE1DRAFT_117608 MSSNSNQHFLVTDFLNLRSSGGSARSGSGESFAAKLAKMHTIPA PIPEGYDKPMFGFPVPTYCGATEQDNTWKEDWAEFYAENRLRHVLKEGEKINGKSKEL SDAVDKVASKVVPRLLGEQTIGKVTPVLIHGDLWSGNQGRG NEUTE1DRAFT_123505 MVYGGKPSRGCRTCRARRIKCDEGKPTCKQCAKSKRECAGYRNE FEIVHRDQTKSTVRRMTKALNKSRSTTTSTLPSPRPSPTRQQTPDPIATLTVPLAQRA FCYFASNFLYVPLGHMPHGHMDYLVPLIDCAPPDSALRSAFNACAIAALGNREKANNV NLTNLSLREHTVALAKTHAALGNPATASSDATLATVLLLGLYESITAIKESRMLAWRS HVDGAIQIVKLRGREQMRSTKTGMLLFQAVRHQVLGRALTSGTPPPLGSDWWMDDIDD GSHLLPGAAMHRFALRASELRAEAAALLNGITRTVENIALMQNMAHRVYSLDQEIASC LLALPPNLRFKTLCWLSYEEVGLSPGRPNNYSKLEVFPGRVDMYPDFVTARTWNTART IRLVLASLGIRLAAWLNMPADYRTTAEYARSKAICEDTIADVISSVPYHLGWHTKQQG LFGNDTDRSGFVCGHEDAIKALPAWFLVWALTCVKNHDMATDEQRIWVKGRLRYIADH VGMKYANLVNDLELRFPSMFIRQDGTMPSADPLRAGTSKGRPDPVSATRIAVTTPRTP ESMVSGGRRPSP NEUTE1DRAFT_84939 MPVEVFLITVVPAADTTKARSVLHGYTETRPVTHRFTRVRHVRR LDQSIRGIPILRSLQSSNPKPDSLAQWQDLHSTLSRQQYMLTERVDITQDAEAAVAAG QPVPMSEQTQKGERILRFNDFPDPPNPRVPQTVMQRKQIDIREPGPLLEQHMADSGFS VANEYIEETYHWWDNNNLEFVLWRQYNDLPNPTPAPLVQAPDQANWMVPDMSKMEPVA PFWMLYVRALVDANPVDRMAERMAEAHGRLGKVEKELEGVFSFMVFDRRALDTRYTGE DPE NEUTE1DRAFT_45455 MVIHARTPRFSAAFPALELKRKAEPGDEVLSFGNFPSYATSGLE GGADAYPQQPQDTKLLGLSVPVFWTVVIGLVMLLAGAIGGGIGGGLAASQHSSQSKAS PPSSLSISGSSISSTTTTATIASPPSQSESASPLLTTTTSPTTTTGTQLTAFGPSPAP SDNCPSVNNTQYTPLDASGNSMTVAINPGGLTKVQSFVRICNRNYPAGAPHGNPDTLD IMKLYLPTLEACIDACATYNIVYQENRPQRPGGAQDGTDMGLCRSVAIGNTQLTRSRY RPIPTEGDYCYLKNATGKDNTFGNPDRYSVGVLLL NEUTE1DRAFT_123508 MLSKQILAISAILAAIASASPVPAPAEDALIIIEQTETENGTIT WYGDESTPITARSTSSPELDKRCGSNAVTCSGSHRAYAPVCTNLINGLSSQTIPQSPR SVCLSQGGNQCCISWANVVQDAQFWYLQSAARKALNGCVSDSYVSALTRDTLIGNTCT TQCLSNRATGCS NEUTE1DRAFT_66258 MGSHEAKAQAFYGAVIHSLSTPGHDLEILPAALLIVEAQGVITA FHKDVKPGEVGSLIDTATTTLHYLSPSQFIIPGFIDTHNHAPQWAQRGLGQGQHILDW LSAITFPNEGRFRDPSHAQRIYSSAIDGFLRQGITTASYYGSIHPEATNILADLCLAK GQRAFVGKCNMTRNSPDYYRDASVEQSLRDTESCITHIRKIDPEGKLLKHVLTPRFAI SCDAECLAGLGQIAAKNPDLPIQTHFNEAEQEITATRELFPQFSSEADLYDHYGLLTK RSILAHCCYMAPHEFDKLKELQCGVAHCPISNMTVGGGFMAAPIREFLNRGIKVGLGT DSGGGFSSSMLDAIRQAMIASHAREVESKGRDNGLKIAEAFYLATLGGAEVCCLEDKV GNFEGGKEFDALVVDWQRDGVMTIVEEEDELGTVFEKFVMTGDDRNIVRVLVKGMVVR EVKI NEUTE1DRAFT_102410 MFLAYCEAYRSITTDFLAKASGIMLFILPPGTIPLDNPAGREFS HMYSARPNISYSKTLKGSLSVGTWNSIGTIVRIKIDATNQQVR NEUTE1DRAFT_43906 MFTSVLKSLVVSGTLVVSLLNPTAFVLAAPSPAIADPISTSPNV PLSPEDALAAYTRYGPLNNREDEGLALNARKDPPKWTGGNNEVIHFFNCQKRPNWGHG TDSLVVICEDDKNCHDLNYLPPDDSLCLMDRFDDDGIFHVWEGSVQGCLFINYNNMLS WNITKDAQKQADFTTAGFLTSDTFVYQGFKDSKPKGLAIPFRDCQSIYYFTLRKDLQL VHGN NEUTE1DRAFT_130877 MTLIEIPFANLTLSDTSKRMYDKRFREWNVFKNVNSDEKDRHPK QRSESPAETIETCSSPAFSSSTPGGQSCATPASSCAWSEDQNRDKDKQLVHTRLPNPQ NLSNFKAQIRALAESPPPFIAPDSRTRTLDIITLSLRDYYDWQIRNVPDGISPDDFLG QGTTDASQQYWSSIKNAIYLIKLSASSAQSDATQRPDLRAWPALSEAGRDAAAAMTSQ PFDFLRNLFATLSPANVRARPELRTILLQFLASEANNNFSPTHPIARICTELNNDEDC QEISRRALQCMVDLFSRLGQRRFVSFKLLDSLATLLRRNGEFDAAMVIVTELFKACRQ EFGPYAEQTRAVQNELAHFYMVVDDGDQALQHCMAVIRRPPPEGLANDPKIAFHQDGI AAHTMEDVAEIHEKRGDLDQAIVWLERAADVALRVWGPQAVATGHIIDKLTTLKKEYA SNMLKSARDWEAIIER NEUTE1DRAFT_102413 MTRADAPAVPGLQSHPLAPPQQHALQPQPVSLSSASARPLSSTF SQRLPPLAMSIGTAPVPGAPHLQRPPGLGQQQSSTRTLQGPSAQDWARHRDIIVDLYR QYPLKKVSDIMRSQYNFSARYFGVPSVRLYQLNQTIDGVFVTMFLSPSQHGHNICTPS ETPCPVPSLHSPIESQLSWELAACAGSTPC NEUTE1DRAFT_102414 MYRTNHPRWKLTHILCILGHLGLIIAGVVAFIHNLESEADNFDS VSQQPTSIIMNMSDDQTVINADTKSCTIVLVQGLGSGVDGKSGNGHSNFGIIVNELLP VASVIVGTIGLLLNIGIFAALLAVESTSAKVNLTEGEQHWRKKIVTVSCCVFNLLLAG AGIGLAGAMGIRLSSVGGRHLGMSESERSLIAPLVLGAVQA NEUTE1DRAFT_123512 MVVWAVTGRRCCVDGIHRAVMTERDGPATGRTCHAILSSLLPGM VRLGSTVLGLGTAVTSCDAPVRPIVGVLSPTASCAVHSMRDQNRGYSELSREFLDKGT VVREMTGENWVSTRSVAHSLHVGSTVKGGSEQEAVHCHNRARGTTYRDREKV NEUTE1DRAFT_66263 METPTPATYYWVQRLGRRLYGRKSQLLDSCRSRHCTSTQEAHYQ HHAYHRVPSPHAQDLLRVKTNEHGQLQCRGCDQITYLLRWAEAERRKDPNHKGISPKR IIHNSYVELDLCARISNCDACQIVRRALLLDQITGRDAERLRHTDNQWPVQVALDIRP GGDSLQVTIEKGSGVPLFSATVVLSQKPCLAVGKKTKAPSAASRLRPNFEELRQIVSN CHDNHQCSSRYRWNSRNPSWLLEILGDGNVRVVPGPDHLVPYVVLSYSWGDPTTMPAE EWARIKGASTKTVNGVPAPERTNPFPRSILPETMQDAIAITQELDFKYIWIDNVCIPK GTDWDTEASLMHEVYGNAAFTLLASSSTKATEPMLYDRLAWLQEPKPCKLRNHFLYNT QKPLHEVRLDPPASQRAWTLQEERLSPRILYWTGQRWYWSCPEHQTVESSPLDLPSPA CTSEDLTWSPPQRFLDVCRIGDFQSIDQEWLDIVEAYTRRDLAHSSDRFLAISGLAVR FLEAKMDGGGKTRVSEQYLAGLWREDLARHLSWSVASAVDSKGSHQDVAPSWSWASLP LCVNTKMRHAFRQSPHFQFLGVRQFSFDHTPVIPTVTLNQQVITDPRLRSKLVEQQGR TVKQIEIKGRFRRFISESSCAVSWEAIEWKRGDRVGFNFEEFPGRDLHSRNHDDGRIV SKDAHGGEVVGQLDYLVPPSGAYFANKKSDSVALADGEETELMCLELGELAMLLLQRN PGSADTWRRVGVCIGYEQRKGFFYGCESRTLILA NEUTE1DRAFT_147616 MVMYKPGQTVRYKPVGGPDSHTSESVGKVKDVLTEPGHQAGRNV NASPENPRYEIQNLNTGKSTTIYEANILGPAQ NEUTE1DRAFT_84952 MMYPNLGSLLGLGALFCLASASFEGNLNYQSPSPRSSHVNLGLS LPLITSRTLKRSSMAYQPSELNFTHGVASGDPYPNSVILWTRVAPTAESDRSNVTVAG TVELYSHETEKYVKANANPICIEWKVWELQKGYNVNVEGPLRRSGKAYTTSDIDFTVK VEAHGLKPWTLYNYQFNVCGSNNSSPVGRTKTAPAENDEVDEVKLAVFSCSNHAKGFF NVYGNAARKGKHDYVVHLGDYIYEDASSGPRAHKPANKLFTLYDYRTRHGQYRTDNDL QLLAQNYPWIPTWDDHEFANNGYRDGFSALNNTEASFRNDGPSISVDTRKINAVRAYF EWMPIRQVDLDDNLRIWRNFKMGKLLDLIVIDTRNYDRSITSTGNNDAYIEAIRDDPS RTLMGGRQEKWFFRQLSESKQRAATWRVVGNQIVFSRILDSKGELTGTDNWSVSVSSL LSNFNCL NEUTE1DRAFT_139228 MRQPTRTALDRLLHRLLNRWHAVLSLPCQSPPTWYRARLIEELR ELRSATTTVSRLSETADVFFSISRARHDGFPVRRLPPLSLARHTAPMIYMVAKYTLRW GFYRTAARLCGAKEWKGVREVINPAKDHKLGEVSARHSIDPDKFRNTGRMLRRVWPLL P NEUTE1DRAFT_43879 MCSEADQSPTTVAAPPTPAKPIIVGIYGLPGSGKSTVLEHLSRA VPSNTFAVYEGSSVIASLMGPNRGLDDFNALTEEEKTRYRELAIAQIRDECQRSGLAG VVAGHYMFWPRGSEQGTVVWTKADQDTFTHIIYLQVAAETLCRHRQRDQVRQRETVTP GHLHKWQEEEMRQLETLAKNSGIHFEGLKYDTPVEHLASEISHKLHEFRTESEHCNHI RVLAAVDEMVANVTACRNTRVTTALVFDADKTLTAKDTGRDFWERQSSRPIGKDELTP AEKIFREHGYSYEAFRQVAMLYSDTHRDFERVCHDVAKEITLYPEFLSILRRVRKHEH VMAVVVTCGLRRVWELVMEKEDLGRHIEIIGGGDIREKLIITAKSKEGVANRLRSPPH NLRVVAFGDGPLDLPMLRAADEGVVVVGEELTRSKSMEDALSMAIEHVNTNDAPHSHN LRQTLLPYTVTPRLDTVRLPVVDLSDPTDPFNQSLFDGPPSNLLHTSNRPSARVLMTP TRDANISGPALREAHRRIGWYLATELITSLIGLEEYSIPHVQGHATTGHRLLREQDTT IVALMRGGEPMAFGVNEAFPLAMFLHAKTPEDVKPYHISNQHTIILVDSVVNNGKTVL EFRERIRAMDEGRDIHLVVVAGVVQVEATGTGHELGRLLRKDSNPVASAVLYLAVPGR VEITADTLDPALCSSDARLWFLDSGVDTDEGGYLVVVVLLEWELTLVLRCC NEUTE1DRAFT_66272 MTTPEQDGAARLAARKPIPKPVPAYLPTAGSPLSVDKNLYSTIQ QAPRILTDEFTIPIRSGRAWTASAGSIIRISTPEGPQVGDLNIWNLHNPRERFWASRT KQLHASHVSTYDRLWSNLPFMRPMLTIIADTLSWYGQDEHGGRVHDLLGTRCDPYINN VLSGGEFDFHCHSNLTRAVMEYGLNEGDVHDVINLFQVTGLDEKGRYFMSPCPASKGD FVEFLAEQDVLMALSTCPGGDLSLWGFGSDNEKEMIKCCRPLKVEVFRLKDNELLPRS GWKLAEKANYGGRHGLVVTERVSLDV NEUTE1DRAFT_147619 MSPHEVIGTVPGNSTTFRTQADEHDDHEEALQNLRTGKYEDWPN EAAFDGLTEERGPIKIAVTGNIPTWAAGSLYRTGPGLYKIDTDAGTTFEMSHWFDGLA HTHRFDIIPNEEGSVDIFYSSRRQAEEMMDVIKKQGTWPYYSFGQKADPCLGFFAKAM AAFKGLREPPGEKWHNNINVAVHVNPPGLEAVRNIVGTRKPAGAENDANVLGHRPELP KSIWVSTDNSTMKQIDPQTLEPIGWATQDVLHPELTGAMSCAHAQRDPETGDFFNFNL EFGPKPTYRVFRVDASSGKTEILATIREPSVSPAYIHSLFLSPSFVILCIPTSHFGLS GTQIPWERNLVDAIKPYDPSRKTQWIVIDRKHSKGVVARFETDGRFFFHTVNSFEEKA ASDSSDINLYCDVIDFGSHEFIHSLYLDVILNRDSAAKKFYEDEQRARNSLAHLTRYH FIINPDSPATNLPVTPTPDPKNHEAFRIPAPHAGEIPTINPLYATRKHRYVYSLPFRG RGTITDAIVKTDTVTREALFWDNPKGHTPGEAIFVPRPGGEEEDDGVLLSLVLDGEKG KSYLLCLDAKTMAEMGRAEVDFAIALGFHGAHVPSGRTLTRVEGPEY NEUTE1DRAFT_123518 MASQEEPGSTIPPHILSKLNPDFVPFFRDVLSKRPRDVTIEELR AHPEKYRAPTAIDTSKCERVTDYKVISEDGATVPVRVYHPDPDKHGDGPYPVHMNHHE TIFGKAFQDAWAALNWVINSATTLNINPSSISVGGISAGGNISFVLQHMARDAGLPLK LCLASVPPTTDCISYDSPTDSPYSSFSEFANGPVLPWDRIKYFGQSCFPVEKRDKIRK MWPEWWLAPIKAPNFKGLCPTFIRTAECDMLRDEGEAYGLKLVEGGNKVTIKRYLGAV HTMMYLPLENEQRRSYDEDAIRALREAHGLAK NEUTE1DRAFT_111537 MSCNSDLHTCPNSSHLEAAEALAAAQSRYVANNPLSKKQHELAV ESLPGGNTRTLLHTSPFPLCMKHGKGTFVWDEDGHKYTDFVGELSAGLYGHSHPVIRT AILSTFDEIGLSLGSTTTYEARYASLLCQRFKLERVRMTNTGTEANLHALAAARHYTG KKKVVVFNGGYHGACFSFGGGKAAPNTADKGDFVVVQNYGDDEAAAEARRVIEETARE GDLAAVLVEGMQGAGGCLPGSKEFLLAAQEAAKNTGAVFILDEVMTSRLAPNGLGQEL GLSPDLVTLGKYLGGGFSFGAFGGRKEIMDSVYDPRVKGSLAHSGTFNNNTMTMCAGF AGLNQVFTEEVCVELNRVGDDLRGRLNRLAKGTRLRFTGRGSLIGLHFRENGTFESQE KADLRNLFWFEMLEEGFWITRRGFIAMILDTPKDELDRFVDAVGRFLERHTGIMMV NEUTE1DRAFT_147621 MSSTTMLPFLYQTRTIQRLSRTSLRTTALRAYYRSESKFDSRSP PSRGRRVAPSMLGRDPIPFDLPSQQFEKPRRKWQPEDLGEGQKTTLTPTERYAFDTIF QDIVKKNKTTEDDPLEISIKDPDPEAAHNTVKILLQDAAAAYTKEWRPVQRPFDPLSP VGQTERAVDRDSALLRFPPSLRQAARMALGILEADKGTGRSSILDNARTAEQTQEQLP ADPLSKQVAEEAMRREERTRVESKMRAATSDFQLWDILEEEVFSMVKKLGITDRSGVP KAPVKKTKRKTKEMQEDGDYEYGYEFEPGQERLSMHLYGPLYPAYLLYGLRLMDTSFS RSSPLALNILPRIKELGLASYVLGASTPFYNLLAHIYWHRYGDAEAVFNLLEEMRFAG LYANEDSLAVVHSIETHFLRSDRGQMGPFMEELVSLPEYEFAIKPRIRHWSTTIGIHI QERQRHLQL NEUTE1DRAFT_84968 MPPPKKETVMSEFERKRLENIAYNNAILSGISTTADKIIPKPAP PKPKRASTPRVKREPVKKEAARPTRQSSRLAGLEADSAVLKRKLDVEAEEEAAKAKAK KMRVSGDLNLGDITVEGRKWESSADGLALLKGLGVRGAQPGVRTFTEKDVKHTKDKGL KDLRLRMSGLKLYEKWAVNDIKIVPQRIYSMCFHPTEEKPIIFAGDKEGAMGVFDASQ PSPKIEDDDEDAEYPDPIISAFKTHSRTISSFHFSPTDANAIYSASYDSSIRKLDLDK GISTEIFAPSSSSEDLPISAIDIPTTDPNMIIFSTLYGSLGRQDQRTKPSSAEIWGLT DHKIGGFSLHPRHPYLVATASLDRTLKIWDLRKITGKGDLRHPALLGEHESRLSVSHA SWSSSGHIATSSYDDRIKIYSFLSAGEWKAGHDIPAKEMQPTVEIPHNNQTGRWVTIL KPQWQRNPQDGWQKFAIGNMNRFVDVYAEDGEQLAQLGGDGITAVPAVAHFHPTKDWV AGGTASGKLCLWM NEUTE1DRAFT_147623 MASSQPTSSGPMLNSPPSPNPQSQPNSELSSSQHRANPSEAPTQ AGPGPARPSALTKRPSHREVAAYRMSWKLQRLRGDSDQPHSPTMELPDRLKDNGKEED NEEDVLQPQGGMFMNMNQSIFGLIAAAGSTVDFHDRFEGQSSEDEDDVPNHMAMTFAG PGIKGTARNRETAGTVKSLSQTVVFNKPPASATADAGPSNTHRRRPSGHKLLQSVPGL SRLASSHKSKKSKQHKGTAMADHKIEEEEDPDPSSPLPPLSKDETKSLGLAPPIEIVR AEGNGAPLMSRMLEARAEMAARPSFDLDRLSGEHRRDDAGVTGQLAKKLKDIFEFDTA EEVIEEYPCWLLQHVLLQGYMYITAFHIAFYAHLPKKAHEIAKSGYLSKSGKRNPKYN RYWFRLKGDVLSYYQDPKDHYFPAGQIDLRYGISASVNDKEKEGNYFSVTTHHRTYHF KADSARSAKEWVKSLQRVIFRSHNDGDSVKISIPIRNILDIEETQMVEFADTCKIRVI DNDETYAIDEYFFSFFSFGKKAIQVLKILIEDPSPEDSGANDAPKKTGGDGAIGDNLG SPRTRTFSEGVKATLSPVSPIQIASPSSRASGDYFKSSFDGTRPFSRRSFDASPGTAG YAGSPPRSLHGDGRRSFSKPRHEPHASTDSYAQSFDDPSQASLSALVASGSEDQSASQ ILRGSDVFHSPIFRRSASATRATTEGEGVAAPPIVRQHTAGLVRLHRPHHAATTGQIG DHMAEAQGGPSTPMLQSIATMGNYPLQRANAFMGYLDQQSRRMSNLLATESMGYVEKV SGMWKGGKKHYDHPAGRRTEREDVEDDPEERALSEARFQAHFALPETERLQAAYFGFI VRVLPLYGKIYISNRHFCFRSLLPGTRTKLILPLKDIETVDKEKGFRFGYSGLVVVIR GHEEIFFEFAKAENRDDCAITIIQSLDAARYLAESQEVEEAQAAEAERDALNQARNEE FPDHEIELPRQASGVSDAPTILFDDPKASFLNFKPSEPMRITCLTIGSRGDVQPYIAL CKRLLEEGHHPKIVTHREFKDWIESHGIEFGPVEGDPSELMRICIENGTFTYAFLREA NSKFRGWLDELLTSAWEACQGSDLLIESPSAMAGIHIAEALGIPYFRAFTMPWTRTRA YPHAFIMPGQKMGGAYNYITYVMFDNVFWKATAHQVNRWRNKYLGLPNTSLEKLQPNK VPFLYNFSPSVVPPPIDYSDWIRVTGYWFLDEGGDKWQPPKELTDFIAKARADEKKLV YIGFGSIIVSDPAKMTQEIIDAVLKADVRCILSKGWSDRSAAVDGVEKPKVADPSFPP EILQIQSAPHDWLFQQVDAAAHHGGSGTTGASLRAGIPTIIRPFFGDQFFFAGRVEDL GVGIYLKKWGVQSFARALWEATHSSRMQMRAEVLGGQIRAENGVDTAIQAIYRDLDYA RNLITLKRQKHQSRRNSVATPTPGAKPNAPEDDQGQEAEEDDVDADDEEEENWTFVGG NEDDLTGSMSMSRSDMLSQTVADLRGVKVGKAPALGSRVLSSPSTSPGAMRGAGGVKY V NEUTE1DRAFT_84976 MVKTIQLGSRLRYPITITKLYKEPNQPIKKKEALFQYSFKWKRT VGDNIRGETWEAEETTYADWSSPSDGELKSWKIRVGQEISRDQDCMVVKESCSHDVQF GGLCAICGKDMTEVNWAAESRDMDRAPINMVHDQTHLTVSETQAQKTENALQRRLLQH RKLSLVVDLDQTIIHACIDPTVGEWQKDPSNPNYPSVRNVKSFQLDDGPRGVANNCWY YIKMRPGLEDFLKKISTMYELHVYTMGTRAYAQNVARIVDPDKKLFGNRVISRDENGN MYAKSLQRLFPVSTKMVVIIDDRADVWPRNRPNLIKVSPYDFFKGIGDINSGFLPKQQ GLLTPSAAATTNGGLARIAPAPSPDKEDSTTMEPPLEKALEEQEKTLEKQIKDRPLQA LQEQQDKQDEAAERASATPENGNTESTTPPPPHHRHQVLQDDDRELAFLENHLTALHK SFFQAYEQNRLSQPSSSPSSLDTQSIPDVGSILTTLKAQALSGCKIVLSGIVPIGMDV YRSEIGLQVASFGAELRSSVTRDVTHLVVSSQRPRTKKVRQAIRYLPKIKIVNQDWLA ACFSEWRVVEEGPYLIEGLEDAQKKLNEKVQDAEATETVSDADDTDSGNAPPGKKRIL RLKSPPRVRFATAASTGNDEDEDEDEEDSDSGGDDEDEDEDMDEELEAFKPTDADGQL SPIDGLKTFNWGSADEELAEFLESGSDDEEEEEDEDDEDGDNANGDEEEEAPAQATTN ITTTSSSYEKIPAAQGTAPSTKRKADDEEEEESHKRRKSLLGPGPSSLRNELKDEDVE ATTAEVEAQAAHDDQDVQDADDLDKEFEELDEEALEADFLAMDDGDGDEAQGQQVDGD GTGNGGVSENG NEUTE1DRAFT_66294 MPQDMPPAGGYDAVQYKRNLPPSAFKPKTLLAFGGLIMVYGWYH LFHGIREQRELAREKMWSRIHLIPALQAEEDRDLVRRHLADVQREKELLGDKAVKAYH SDRYVRPTFAITPGKIIKD NEUTE1DRAFT_117615 MYLAASSQTARSLNEQTNNHPSPVPISLRPQRELTFKRDFLVSQ KWLPRQLTYPTNITLPFPRTLQQAFTLV NEUTE1DRAFT_147626 MASTLPIPDINVIAPSFIQRDSQNSDNMRPPPTDFNHPYTPYPI QTAFMQTLYSVLDRTVAVPPQTTTNSTNNTVPSATFSSTAATLIPSNPSTSSSTPPLF NPTTAPTVHLENRATQPSQSIAPQTTTSKDKDKGPSSSSSVPKGHAQIALFESPTGTG KSLSLICGSLTWLRNHKRLQFEAEIEKIQQQMEASGEPEWMVESAIKRKREELAQKYE EMERTLERIRQKEREMEKEGEEGQARGGKRRKLNRGKGDEEEGGKKKESGGSRGLTAS DEDKEFLIGDWRDEGGLDENDPMGQLSKETRELLEKVGMGTAGGKKGANEGPVAEEEI KIFYTSRTHSQLTQFIQELRRPEFPASVPTPNPQEEPAKEIVKQIPLSSRQKLCINPT VNKLGTLAAINERCQSLQQSKTPKEQRCPYLPNAANLKATHEFRDTALATLPDIEDLY QTGKQLQICPYYASRAAIPGAEVITLPYPLLLQKSAREALGIKLEGNIVIIDEAHNIM DAVSNVHAAEIKYTDLKRAKLSLGMYYQRFHQKLTGENKVMVAQLQRVVEALGVYIKT KLDKAALGHKADQEGIVLDTSLLLKTGGADQINLYKLIRYVQESKLAFKIEGYISYCE EEGRDTDDEEAETEIKARQGRPPVLHTLCSFLTALTNLSSEGRIFYEKIPPPRGELQD MKLSYMLLSPTHAFSSIAESARAVILAGGTMSPFEDYKAHLFPDVPPEKITTLSCGHV IPPDNLCVWTLGSIAPNPKVDTGIGEDCFDFTFAKRSNPNMINRLGLVLLNLCSVVPD GVVAFFPSYGYLEEVIGVWKTHEQAMGPKTIWERLESKKALFIDSKTESSEQTLQKYS DVIHSEVRPLSPAGSRVKGAMLLSVIGGKMSEGINFSDRLGRCVIVVGMPYPNPHSPE WLARREYLEANFIKRYTASQQTSTATAPLPAPVIPPPSNTTHHSTNPSSSRNKDKHKP PNVRKLAARDSHQFYENATLRAVNQSIGRAIRHQNDYAAIVLIDNRFEKEHVRAKLPG WIREGWDETQRQAKEDGKVLKGLQGMMGRVNMFFRGKN NEUTE1DRAFT_123527 MAAITSTRRQREEEHFRLHQDAPSTQDTEMSEEAEQQHHYSNTG RHDAHNVDEHEHDDEEEEEQRQAEEEVEASSATEGEGEQQEDQHEDEDDDQDSVSSDS SDDGIVDPNIQDDMEKLQNTFPNFRQQYRLIKRIGEGTFSTVYKAEDLVYDQYDNSWD YEQESDKWAPPPLRTYGRSSGSSMTSRPRRKPKYVAIKKIYVTSSPTRILNELDLLHD LRGCTSVCPLITAFRETDQVIAILPYFRHADFREYFRKMTPTDIAIYLRSLFTALESV HRHKILHRDIKPTNFLYDPATRRGVLVDFGLAEREGSECKPCLCHEDSTTRRNRLRTA HLNSSVPHNGYPKQDTRPSRRANRAGTRGFRAPEVLFKCTEQTTKIDIWSAGVILLTI LSKRFPFFNSADDVEAMIEIATIFGIKRMRQAGQLHGCMFETTIPTIGTQGFSFERII LWSTCRDTGVKMPEDEKLAVEFLAKCMDLDPARRISAEDALNHPFLQMGLEKEHGGSQ SGEEEEEAEEDEMDILRV NEUTE1DRAFT_130887 MDSINFDINNALKHYMDDPASIPTPEADSALVDCENEPESLGDN GLINSILNPIVDAVAENPDAITRAHIFDSLQFLLKYTSFLSAHALSKIFDLITSGLAA EADVVHHDLEAEEQELLAHHKQLLDIYAFLLQWTIAGVEAKAAEKASNTVTTRGRGKP KKPTGRDGTWDSSAQLETALNTMVKALRLKLARIFMTTSERDTFIGLLTRPVYMILES EQRTKNTSIRMHCWKVLCVAIKHHGHGYAAQISIVQNLTYFEHLAEPMAEFLHILAEQ YDYPQLAEEILRELSNKEFNSNDNKGPKSVSTFMIRLSELAPRIIIKQVTLLAKQLDS ESYTLRCALIEVFGNMLIHLSKSAERGENHKTQMNAFFDVLEERFLDINPYCRVRAIQ VYIKLCELEQKFPKRRQKAAELACRSLEDKSSHVRRNAIKLLGTLIRTHPFTALHGAQ LARKDWQERLSKVDEELNALKPPVDAPGLDGEAGNTSVDPALLDDATQMMESPRKQPS EMTEEEKIAAIRKAQEEAATSEAIEKLTLTKRYYSEALKFIDVLHEATETVCQLLGSK NKSEVIEAMDYFEIGDAYNIEQNKIGIRRMLRLIWTKGNSDEGKGVQTHLIECYKRLF FEAPGNFNANDAATYIARNMISLTFGATPAELTSLEQLLSTMMKSGMISDLVIAKLWQ VYGVQKREISRTQRRGAIIVLGMLATANPEIVVGEMETMLRTGLGAHGRADLQLAKYT CVALRRINPTGRQSKDSGIKFSRLPNDHALLIKLAAITEVPTDSKEWYGVAEQAINAI YAIAKHPDVLCSEIIRRKTKAVFARPPKSRSASHEEKPSPTESAEPTPAEEPNPDAMD EDEPTSAQAPAATQPEDAEAKKQHREHAIALSQLLFIVGHVAIKQIVHLELCELDFKR RKQEKEKAAGTTSKRTSLSASASTNRRKSGGPKAAKDEEEQDELDLIGGTTEDDFTEA IFHIRERELLYGPQSLLAMFGPMVSEICANNTTYRNRELQQAATLCLAKLMCVSSEYC EANLPLLITIMERSVDPTVRSNAVIALGDMAVCFNHLIDENTDFLYRRLSDPDPSVKR TCLMTLTFLILAGQVKVKGQLASMALCLEDGDKRIADLARMFFTELSTKDNAVYNHFV DMFSLLSSDPSLEEEGFRRVVKFLLGFVEKDRHAKQLAEKLAARLQRCDTERQWNDVA FALGLLQHKNEEIAKVVSEGFKVVPSGQQGMQATQGATQAIQARA NEUTE1DRAFT_111545 MAYPPRKALAFRLTFLAVGFLLLGILPRPTDAVHRYNEPKCCLD AVASNKFTLNITRDPDTGAIVSGEAPICGQMYNGTLTPAPEIKVSYDYCMKNCGGFGL SKGDEPGQWAAPIVQFLLPSVIFSMNVPRRHILLSTSRFKDWIWRILPRPQDGKARKA VTFLVGIVLLALDTLSGAFDALLWIVVVMGMAGPMMVGGLHEAVLDYKIVRALKKGAH RQEGAKGTPPTLQSLRDTVEILVTAISGNLVMGEKGGNPEDEIKKALLADFPEPELEI PSDQSTLSSQRTIGPVPQIPPIALLSQMEPSGQDMNGPQEPERPPILVAPPDIKLQPV DREASKRLRERLTRLISSQLDFAATIGAPVVFYLGAFIYTILDLKNEPSDQDAAISLA FGVEWMIIVHVAIVGGCLLASNNPSPVVLLVGKEASKDKGSTKDAQWRYYTRKNAFDG LFQPVNMWKRGMNKSKWLRQSQVYRKNKKFRDRVKIHWLEHCGWIIQTFILIALPPSA GAVVALKTPPVGWSCRSLSFVTYSASQFLLTFVYFAYLNMWERDQARHENNQGEDPES GQQGSRNTYQAVHEREGSDTSANGNGRSLEDLQQVHTAPFRPRTPSMDIDMDMDDEGV SGRGRYMYGLREIPTTTNLLPSTPSPGPSPRHIPDRDPSFSPSPNQNLLSSPYDPCPP RPSISHLRSNTPTQRQTIQTKSKPRKSFLTLSIYALFTVFFFLSLFLSIGTTLMQIMG VYRNCFCYITVGYWFDTSNPDAMVNVASDTWYQRHSSRNWMVMGGVATAFMAACAYIG WWFQGMIRRELAGVVEGEVWPGYGNKNVKESGN NEUTE1DRAFT_117616 MLRVGEMLRHSVFLHRPRSILPLPGPPRFPKFPPKPQNKAGEHV FIRLVTSAPRHPFICVGTIHDLSRLIYQACATLVRSTYLGMKKGDRKRSRQWASNTGA TVRAKVRQITRTSVRVWLHNP NEUTE1DRAFT_147629 MDPYPYPSDIPIAMRPLTGTMTPGNANSIMILSTALVCIIGGLI IGAILISTVPPNLPGDMDTLSPMAVTMTKTLLSTIVLVAIIGTVIAGVIIWRRQAGYD GCGRFLKCHKRFGKTPEDHEDLEANRGSSSTPSRVHVHPYHSYDYHDPYYSAAYEIAQ GSDFYDSDSSESFIYDPEPSPSGPYQQYPRHEAHSSMHNTSRDDHSSNSDGPVSYRYW GLDRATQTSDHFDRATQTSDRSSDSDSYMSDLYDNDYEADSESSLSSPPTPSDRAPLL QTTRRGPRYS NEUTE1DRAFT_84989 MLPAKLHVSPLRIVSICACIFVLFYIYGQLGAGILDVASPPQRY AGVPASPPEKAPELPLELVVASMKYENTTWFHEYLPDWPASIYVVDDPDAPLTVPKNK GHEAMVYLTHLIDRYDTLASTTVFVHAQRFAWHNDDPDYDALVTLRHLQTPYVQTSGY VNLRCVWVLGCPAEVRPNLDVHDLKDLSASGDVALKDIFKPAFQQILPGIPVPEVVGV SCCSQFAVSRETVHNRTREDYVRLREWLLNTELDDSLSGRVFEYTWHIIFGKAAVHCP DAGECYCKVFGLCGLEGCKKDGCDGRYTLPRVATLPSGWPRLGWEGENRGYRGPPV NEUTE1DRAFT_147631 MVLYRHPSAEQSQPIVPQPLTSITRTGSSNCYVDQASACDEPNA VPCTNLKKGVSKACCPRLTSCSDGYEASEEFVRCNIQYDDLFHPTVVVTATLSESGKV STVTLSPSSSSSSTSTTISSSSSRAAETGSTQSSESTPLPGIPVPSSTTPAQQGPSPT PESSPAISTGAIAGICVSVTLACALVAVLIWIVLRRRRKAAAEKSPAPSDSNQSGSDQ DTRRDVGSPLVELPHTHTYSEAPGDSRPYSYVVRQNVVEYYKPMELMTQRVMPVELQG DAQMGAPGQWREQQQYGPFEIMTNPRGSGEIRTPRAELAAPTPRAELGTST NEUTE1DRAFT_117617 MTRRPKVRWRRLPGGGRGRRRARSDPCVVALPSPSASASSSPQS PPTNTVYDPITTKYVSS NEUTE1DRAFT_47017 MLSPRLFSRTLLRPRLTFLQARGSPRQPVVTKGQKKNIHKKREA EDDFGGPGGQELYPWASAVHRKYATRTAVGVAATCAVLYTSKMLERKGFFNQPGDRYV LTHDNTKGELGDVMMLRVRNGQVV NEUTE1DRAFT_66306 MPRTPFSSPSPSSAITTKSSPSIRSLLVLVALVNLAWSLYQIPL NRVIERRLCRDFYLDADPSAIGHDGSVPEELCKLDGIQQDLGSMMGLMETGWVAGDFV MTIPLVLLADRYGHAQVLRLNLVPRVIVLCWTLAVGYFDHALPLPALALGPLSSVLGG DCVFNSIVYSLVSSATHDDVQRATFFGQVNAVSAIFAAQLGPALASGTMSILLWLPLC AGVVLLLAAVPVISGLPASNTGSHRRQHDQESIAPLLPQDLPYRPDPTLSITSFKESV SGRISSVFKVITSYPPNFTLLLASFFFTSLASSDTKLMAQYISKRYGWKFTSVGYLLS GKAVFNFFLLYLIIPTILRRRRSQSSPLSSFQSRRLSDPDVFTIQDHKVADGYNISYA QTCLIFSVLGVVAIAMATTIWTLVPSLLLYAMGIALPMFTYSLLKSPSLLPDPSGPGM SAAGDATVVVSEAQIFSIVMLVKTLLPRTCLHRLPSFRRIPIMANQQLPPPRRRGDHL FERWGVKLHEDSNGIISSGLLPMFPTVDGPYGLAEDLWWTHKRALQEAATHAHPMPKS IPKPSGVQLDELFCTERWQQVALHGLRTWELPSLNQGIIGTSYWQEVFMTDLSIMQLS NISVTVEQFPDIDLIHVDESKWHIAFRRSRWYDLRGVVLEKDVNGRPTNTRDWSVDDN GLWGELRVAIEMANRMLHHVLYGDWISAMLEGSTDPIPNVLPLSGNRMPQRMVSPGEA GIGLKQPDQRIANRIWHQIELLSQWIIWSFFDPRDLDKYGSSGQPGGVTAGYCSSAQL RTVMEKPLNPSALPHPHDPEVFTDIKINTMLLEALLSISNTPEEVASKHTTRLQLALV IVHEFMHALQQARVYVSTCSGGWQYEPEQSLLDAVTIIGPVNVFVPDSDFLSRAGPAF TIAQSWLDDPTSQSFWDSHVAVYGKSALKAEPVVRTKQRISRGNHVRMYHARGHVQPS LLRHQPIVPNQMQRFRLEEEQTFQYMEFRKKLWKSLRPWYKQSYRIWQKTPYSFLRLR RLIDQFRQAVLQRNRADAEAAVKEYSSYLNELAFDLSTPYNLTPAPPGTAPFWFFEFH HHPALWPFCMLEVMMHASLPYDWSISVGKNAAPPSAPTATTSKVSLPNRWFPSQHARA AGAFFKYPLFWTSLDEPPNVSLARPDVTDPSEIYLPMVCREFARPHGTLTWPSPKSLT SLYKARWDMLFATENERIKQPMKKYPISKRLWQALMDQYKQLLRQNQRLGENNSNGQW LDFDFVFPPYDENDEFVVLEKFSRPMSFTRWIMTGFSAPDWFLQGHADPDKLTVMSKP APAPADAPEVYLPSEDEGDGDAPEVWQRWWPVHPYVDVDMDVDMMDG NEUTE1DRAFT_147635 MARRPHITLMLVVGVILFVFTTYMLSSSGSSGVVQHAETLDLGG SSGSGDHKSASGDGGSKSAFGISDNILKGGSIAPKLENATAKAELGRASWRLFHTMMA RFPETPTAEESLALKTYIQLFARLYPCGDCASHFQKLLKKYPPQTSGRNAAAGWACFV HNEVNKRLKKEQFDCNKIGDFYDCGCGDEGAKKAGEAGAGAEVKTETKKGGDLKMD NEUTE1DRAFT_66314 MEFGTSGVLSEDGIHVDMDRLKKGEVNLGTSIMAVTFKDGVILG ADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVQYQLGLYHMVNGRP PTTQIAAAVFQEIAYANKDRLTAGLIIAGWDARHGGQVYNIPLGGSLHKQPYSIGGSG STYIYGYCDANWKEGMEEAEAVAFVKEALKEAIKWDGSSGGVIRMVVLTEKGADRHLY LPDSDYKVRHQ NEUTE1DRAFT_130896 MAALMGDVFTDTPRFHDRMHPQHSSSHYQSPSHSSSSPSTTTSS AAATRPRTTSIHNPQSRPTPSPRRQAAAIATTPIPRYASSSQQQQPQGWMESTPSTDD AQAKHHQEFTGGASRFPSPPSSASPNRPLRQQQQQQQQQQQQQQQHGVHETVPTSAHD PSSASSSTASSTSTAATVVPADHSGGGRGIPSPAPDADSNGRSWNSSVQHSHRTVPSN NHLPIDDRPNTPTARVPNYQQAHQLPPPPQPKVIKIRDLHHIQTLVSQDGHPLSASSG TGNGMLTDDPSLQQMRYEISGMPISDIIEMVAALLTKITTTNDLQHDALQRNQVHMRQ AEAQARHQEERQRREKEGEESSGGRGRNGSSSGGPGTGNGAGSSREVGHSSSSGHMSP LSSSVLAFHGKNVPAITILSYLTRIHKYCPTTYEVFLSLLVYFDRMTERVNDMVMKSE EERRRRRHEEQRARQEREKQAAATAGYEKGTADDPDVPMRDSPDDDKRHDSDETDSDL ADDDDEEMPDEPRQGGGSRRTREQEEEDEQATYFVVDSFNIHRLIIAGVTCASKFFSD VFYTNSRYAKVGGLPLPELNHLELQFLLLNDFRLAVPVEDLEAYATMLVEFYAREVIA QTTRGRPSASASATTVAGSSHAGASAAE NEUTE1DRAFT_102445 MGDGEETLEDLIAVLGYKGEMDAALPSGEDDTGSVCGVSAFVVV SLLPFCC NEUTE1DRAFT_117623 MRTHKTKEPKRDKDRKGKEEDGKKKRENERKERPETSQMSMYSE FVKSERSWK NEUTE1DRAFT_66316 MGHNPDLDSSGTAGEPKGVTGSHIEQTSSNLEANVNLEAKLKNP LEGLSRVELLSRVETFCAEKNLTEHLPLFRKGALIAQSPDNYASISGPEALDDEEKAV LLKEVEHKWRLPARLFLTIATCSIGAAVQGWDQTGTNGANIFFPNYYGIGGDTAREKL LVGLINAGPYIGSAFIGCWLSDPINNWIGRRGVIFVSAHFCIWPVIGSAFCHTWPQQL ACRLLMGIGMGVKASTVPIYAAENSPAAIRGALVMSWQMWTAFGIFLGTAFNLAVFNA SSNVNWRLMLGAPFIPAVPLLLLIYLCPESPRWYMKKGRYPEAWKSMVKLRNHPIQVA RDMFYIHSQLEVEHQLLAGSNYAKRFVELFTVPRVRRATLAAFTVMIAQQMCGINIIA FYSTTIFKDSGSTEFQALLSSFGFGLVNWLFAFPAFWTIDTFGRRSLLLFTFPQMMWT LLAAGLFTLLDMGTARTALVALFVFLFAAFYSPGEGPVPFTYSAEVFPLSHREVGMGF AVATCLFWASVLGITFPFLLDSLGTVGAFGLYAGFNLVAFIAIFLVVPETKQKTLEEL DYVFAVKTSKFMSYQCTKALPWFIKRWVFWQRNAKLEPLYEFDRIKEAEKERRAEEER RAKETGTITSTATGAELDEKKGLSHVNAPNA NEUTE1DRAFT_47078 MDSNYLSRIRSRQEILSLHAAEVMGVLPEGQAAVKELYTYLLGE YLPVRYPTMFRIVSSYPGCPDTTFQNLITNSSSPLSPPPSDPLDCLRVIAQTVEDDFF LLLPFPKPNSLTTSEMEHKCVAFMNLHPSGFSPSSKLGLPLSHIHAPVPSYEKIGPSM ERFFARLSCDKLVKRMNWAMQLHPDLYCPGGNHVHQEDLETMEEVTEFGDEEAEKARL RVELQGVWRLRKTGAVVFGFKTYMYGLREVKEEVLEMEDGGTTKTGEALAQAIEGSGE GNAPGMWTYKGAVRWGAAVARWLRS NEUTE1DRAFT_117624 MSLPSTQPQWVVTSKEKGFDGLIKEQGPVPKPGDNDVLVRLRGA SLNYRDLIIPQGKYPFPINLPVVAASDGAGEVVAVGSKVTKWKKGDKVTTLFNQWHQF GDIDVRAASSGLGGVFDGTLRQYGVFHEDGVVRTPSNLNDVEAATLVCAGVTSWNALY GLKALKPGQWVLTQGTGGVSLFALQFAKAGGAKVIATTSSKEKAEMLKKLGADHVINY REDPNWGETARKLTPNNQGVDHIIEVGGAGTLGQSMVAIKYEGIISIIGFLGGAQPKE SILETLSRICTVRGVYVGSRQLMEDMCAAIEANNIHPVVDKTIFTLDQAKEAYEYMWA QKHFGKVGIKIE NEUTE1DRAFT_130900 MKLGLQGITVVMASGDDEVGSYPGDDSHKNRCAGEQGDMEGRVL HPSRSVKSDASSMSVSIASYFSQVGPQLDFTSYAIPKLIATNFTINNDSNLNLGSGVY NQAGRGYPDVSAVDDHYLVRIAGDWHEIGSTSLAAPVWGAVLTLVAR NEUTE1DRAFT_85010 MSEPAIRPDPSSPADCAENHRRMLAGELYYSWTPDLVKGRARCK SAMLKYNTEAADGETSRRRVAELLMDLLQDPTPLPPKLDDPEQDADQFDDFPYIDTPI KMDYGSNVKLGKGVYMNSSCTLLDVCPITIGARTLIGPNVHFYSATHPIDPITRNGVR GPELGKPITVGEDCWFGGSCVVLPGVRIGRGVVVGAGSVVTKDVEDFVVVAGNPARVV KRLDVEREKYEAMVKENKERGH NEUTE1DRAFT_85013 MDNNSPALQPQAAPWSLSTWWEESPSSSNTLISRAPLPDTRCEQ CEAAMNSKPRYLYPKAVLRVARASPDTCWQCRILLALAERRLGRLLGIDEVLEVVCAW DNRHKIMILYLGTGGGEENRLVSISSLNASSWLKTCVADHAGCPGMEDTLLPRRVIHI GANYTDIRLVEHSSPTLGRYTCVSHCWGGAQPLTTTTHNIAQHLERIEWNSISKTFQD AIKFTAHLGISYIWIDSLCIIQDSPQDWVEESAKMCSIFENGHLTIAASAASNGSGGL PIRDSSGFVRLSGTTSSPESKPFDIIGYFELGGIEVRDHPSPTNHRMPLFSRGWVHQE MLLSPRVVHFAKKELLWECKTAGTCQCPQPPTFRNIFFKEGHYEALNPSRQSSARLAG DLLARQWHKIVESYSSLHLSFPEDKFPALSGLAQQMSKRRGQEVRYLAGLWSDSLLTD LLWTAPYKYVLKIVRILQGKHEWRAPSWSWAARDVSVYFPRTGAERNGFTCEVKALCN IICAETTLATTDKTGRISGGRLVVKGRLFDASLYRDIEPSVSRGGTGETKATITLSVQ VSMDLTGGVKTFISYAFERGTCVILLLTQSDAQGLNSSKPDELGILVKEGFNPDPMTE LLSMKRTDIREYMGSDIKVLRIGRVGRRMPAPDDKKWLADMEYALVLQGIDIEPTNPD GAGAARTYRRIGIIHHGREGYKGVENAREDFWERYPSCFEEGGREETITLV NEUTE1DRAFT_139259 MIRMPKPALALLSLFSIASAAPLATCPGYRVTNAQSGPSYLVAD LTLAGTNCNLYSEDITNLRLTVEYQTDTRLHVLIADREQNVYQIQDNILPRPLSQNAS SQTADLRFTYEAYPFSFKVTRASTGDVLFDTSPSPLIFETQYLRLRTRLPPNPNLYGL GEHSDSFRLATDGYKRTLWNSEAPYIPQNQNLYGSHPVYFEHRGGNGTGGTHGVFLRS AAGMDVVIGKSDAGEQYLEYNTIGGVLDFYFLAGPGPEEVSKQYAEVVGLPAMMPYWS LGFHQCKYGWPDLGHVKQVVKNYSDAGIPLEALWDDIDYMDNKLDFSTDPVRYPHDQL KGFVDELHGKDMRYVQILDPGIRYKSDYGPFTRGAEKDVFLKAADGSWYRGLQWPGEV VWPDWIAPQTKEWWTTEILTFYDPNNGINADGLWVDMNEASNMCADTTCLSSGQKTRS LPQSLIGKIHPRAPGDGQHLGLPNRDLFTPKYQIANHYPTLSSRTLFTNITNSDGSAQ YDTHNLYALTMSSVSRSALISRSPTKRPFLLTRSTFSGSSRFAAHWFGDNFSSWADYR ASIRQLLSFSAIHNYPMVGSDVCGFNGQAQENMCARWAVLGAWQPFYRNHADISAPDQ EFYRWPSVAAAARKAISVRYRLLDYIYTGLYYASKTGEPALVKPLWFLFPSDPATYGI DTQFFLGDALLVSPVVEDDAQSVTFYLPQGKWYDFFTHHRIDQTSAGGRNVTVSGVGW DQIPVYIRGGSILALRLSDASFTTGQAMTTAEVRTRNFEIVIAPDQNGKAKGRLYLDD GESLDSRGKESEIEFIWDGTKLEVKGTFGYDTKGVVVQRIVLLDDGVSGNEQRETS NEUTE1DRAFT_47930 SAAASSILLIKKPSGSIYIYINYYNINNIILKIYYLLLLIKKTF NIIYRIKIFIKFNIITIFNRIRIKEGYK NEUTE1DRAFT_123544 MKDLLKLFFFLAQGLLVLGTTTTGGPPKCNADNCYRALFPCPSP SAVSVASAFCATITASGTTATNYPTRATNACGSTPDRYISACKCGPTCSSTTTTTATV TACPSATPSNGGLVYGDFECPSPSPPWTVQILDPSFSLSTTTINSFTGNRSLRASLTG PSTCSGVCTNARIISPPLPITPNTDYKLTLATFFPNNANGGTGSTGFIGVQINGRAGL TIDANDSPGREKWRFTQSPWRSLASETTAEIRIEWIGPPSFLDTVTFAPVSAYSGSTP PPLGILPGGEFENGLGSWTQQVPDPGATAGVVTLPLPGQVNPDYRFGSHAWRVVSPQK PNPANQEFLVSARLISPTVSVIPGRKYLISFSTYFDAFGIGFVGLMVNNLPIYTRDPA DAGQGGIGWWGPNTVYWTAPENVSSAQLKFEAVMSEAGTMGVDAVVMVEVNPAAVTA NEUTE1DRAFT_102455 MFKPLGGLLWSLLLSSLAHDNAGRAPSISPRTMKHMCPRPVLRD NAISTSIHYHGLRMLNANTQDGVNGVTECPIPPGSRKTYTFLANQYGTAWYHSHFPLQ YGNGVLGPIVIKGPASADYDTDLGPLVLSDWYYGSVFEIAHRVNNPSNPFIPNFPGSP PASDNVLFNGRNINPDGSGGTYTRKTLTKGKKHLLRLINTSLQNAFTVSLVGHTFQVV ATDMVPIKPITRNHLFLGIGQRYDVIINANQPTANYWFNVTFSALPCGQSDNPYPAAI FSYSGASSASLPTQRGVAPPDSRCAAPERDYSPIVSRSADVNSFAPTTSHTLNVDLTV DNSQARVFWPVNNSPLRVNWQEPTLRYVANNTLSSVPRSRNLIQIPGSNTLTYFLLQN NSPIPHPIHLHGHDVMILGSSPPLADPLAETQIREYNPNTDKSTLKGNNPPRRDTTML PAWGWLLVAWRTNNPGVWLFHCHVGWHVSQGLGVQVLERLDALRDGGGGSLGTVEQNC GNWAGWYPGSTWKQDDAGL NEUTE1DRAFT_66336 MTTLTGKTILITGGTRGLGAVTALALARLGPHKIYITGRPSSSA TADTLISKIRSEAESNSNGNICTNITFLPTDNASLASVSATAQKLLETEERIDILICN AGIAAIPLGKSEDGYEIQFATNVLGHALLIRKLLPLLRKDTSSGARIISLGSYGYRMA KTVDLERVSGDGLAKDKDDFLGYQRWRRYTESKLANTLYTSQLAKQYPEIISVTVTPG FVESDMVKNFGFVDHWMTKLGAKLARGQKGMVTVEEGAENTVWAATVEEKELVNGGLY DPVGKRVPEGELTAAARDEALAKRLWEWTEAELGRWL NEUTE1DRAFT_139266 MLATITPTSGQVALAQDTPTSSNSVSYRLLDDVDIIETMSTLRD LIGHPITPTIITKQFAPTPRRDGFSRQRITHHHSLSFAKLQPRTITSINRGRKASRPT SKRFDRRQSRTYWPVWYQKQRRRERKEAARNMKLDEQRRLELMELWEDEFFQILIVST LAHEMNSVAQLGGAGNTISLGKRKRDTNDEKEEDAEVDDQRSETIARASPMRDNTMLG VWAGRLRSWAVKEEQKKLGKGGEDQSASGGRSKKEDRDRK NEUTE1DRAFT_139267 MLSSHTTHQQQSWTHIDQPSTPLPGASQSRSGVGYSSRTSLRAS PFSRSESDYSFNSPNPTVHHALESTPLAGSPASTPLSTPFDTPVATLVLGPVKSVHRP GTIPVTPPLSPLRVPLLPFVAASCQIDDDPGFLRALDEDMGGFWADRAEELESEIQLR QVVIASYQGDIAELTEQAKRSLRADLQRRVAYLEKHMAVHLQDQIETREKLAQATENL DALRAEEQLQLAPVAEEAAVPIEEVNNRLALDESMSAIQSIAQLARDLLNDPRLKTST PAFPHTPFLASPAPTPAPSPAAGPDTTTATNPAPTPDRQLPVPSAQPTSIDTQRTQRN AKGNDNGKPRLVKKPKLLHELKWLSQVGGAGNSAVVGHAIMKRMGIARMTSGLELKRW RTCRMRKESPMNGLDGSERGPKFGGRVQEGTRMRPMLRSQ NEUTE1DRAFT_139268 MAIQVLLIPPIPPLNKDETKSLGLALPIEIVRVEPNGVPLMSRM LEARAEIAVRPTCQKVKDIFGFDTAEEEKFFDAVDAGTVEVSQLLPSEPVASQSDAQL VISDGTDISDSFKGYENGIRTE NEUTE1DRAFT_47974 MPSHSEHSSSDSLDLPSESPAFYQNPSASLGSSSTVEPPSSPTM TSSSSSSSSSPMGSSPFLAPSSPIEPPDLLELPTPTSSGTIHQSQPQERILILGATGN QGRGTVHALLSSSKLHLANFHLAALVRNPTSAASLSLTSSSSQSGAGIPPIPLFIADY SSPATLSAAFAAFLPTTVFFPPILSDDFALDLTYAQNVISAARQCGSVRSFIVSTALG TDRRSEFKGWGKNGEWYPMGSYWRAKGEIEKRVKEAGFASWTIIRPGWFLHCLVPQRM CGWSYLGFRETDEVKTIRTSWRKGTKVAWVDAEDVGVVVRAVVEDLCEGGGKKYRNRG VDLAVEAVAVGELARKMARVLGEDVKVLYAEEQQTLINGNLDGTGLVRDKIDEASQQI K NEUTE1DRAFT_66342 MRRPSDSLTEPARNLEESVGSPSPTTPDPTTDLSLPPPEEQIVS QQADVNVDPATVTPPPHIAARLFIRPTNQTRRKDSAASSRRNSFSSAHSRSSHGCGRD VGPQSKYVAQHLRRASILEDRKARLADRAAHAEKVRIRAAKAKATVKDITQSEERAIA AAMARERNLAEIAAACAEEVKRAKQIAETMKEKREQENKKLKMQMEERLAEAERRREE LRNRNAAKVKCRERGQSLVTRKPTLVEVMPEVKEVKERESSPMRPEAAASRIQWWWKS MSRKRAVAEFSALGLTIDVVRETSFDTVTSLLSQENVLVATSRVLRICGLNEGAPGSV EEMTAVRSFLSAFLILGHPSQVLSNKEGTGEPEQVGSALAKPIPRDDLANPQLQELVG KAGDLLISFENILGRLTAFNNYTPPPALLESFPEVYATFYNAFIAWKARDSSSLVELM VMQFVELDAIWESVKDSTDGSVDQVYRESIRENQLLLLVRIKKLAGPVRGKQLVTEAV RAARKARVKKPRGDLKPRVAEQSESETGMGVLGDGSNQGQQTQTPTPPSTPARQGETF KISVVLPHTKSLLPENRVVVHELAINRDFRTEPSEYHEQQAHLLAPLFQEMRATMQAD NQEVHFMLLLRVADMIREKLQRLVRPGNPMHTLIGELLDTEMAQRQFAMGSFSYEKLF QAMGTLLPKLCAPVRDDEVKILAEEKLVQGTYVDRLEALNAFIDVMLSDYANYLLQQA APQLIEQAPSYEAKAFAADIEAGRYDLSAAKAAWRAARVKLMGEASRRDPEGVDIPRS RPTANKIYNQMLVDLFTQPHPLSIDEMPAMLRLDHKRVVEAGRVTKRIITTGAILLQC KNLLKRDVRGPWRTESQRILAVLERSESSSDPVPTDQTVDGILTALEAGRSMPAATKV HLRAFVTKLVLSAASITAENREPSDPVLRLLLSRLRAYVLQRLAASASASENVKATST AGEKLAGLGLAEFVDKVRDLVEMLGRVGEVDRGAHGVWWDQVAETGG NEUTE1DRAFT_147648 MILAVAILGVVGYMVGKYGDQGFAHVSFVFVGITAFATLIWHFI DLTTLCCLRDRFRSFYPGAYVGVHICICLACIATMGYVGIWVTSADEENDRDLNLEPD AVAVPPIVEALATKYHTAGRKLKGRKGKLYCLGVALLVITVMMLLINFMLSILACKEV RRKDAIRESGGRMDPADPARFRIPGNSSAAAGRRAARASQGARSRAVHVPENQFPGYV VTVNDDVPVSRPPPAAMTGAAMPPRGVDLGVEISPSGHEDWNHMRRDRSGSPTDIDLT PVSPGGSSAMTEAFTEKDSGIGIPK NEUTE1DRAFT_123549 MDSTILQSQIDSLISFIASHCSSGKGLGTTSSAIYDTAWLSMIQ KPANSDHFLFPQCFTYLLRHQLPSGGWTSYATPVDGILNTAAALLALKRHSTYLLSSP PCPQPTQQHQQELDNWPLHHRISQAESALQSMLLTWDVATTDQVGFELLVTRLLSLLQ DAGVQGLSDFPGSATLQALHDAKLAKLPADYVYQARSTLHHSLEALVGYIDFSKVGHL KEENGSMLASPASTAAYLMGLAEKGMWDEESEAYLRGVLDRERFEGEGDGEKEDGGVP CAWPTETFEVTWVVTTLAEAGVRLGDREGEVIRGYLEEGWRRQWGLFSFFPGGLVDAD DTARAIMALRHLGTKVGVESLIRTFEAKDHFRTYEGERNPSISANCNVLSCLLMMDDP TVYAQQIVKTAKFLCEQVYTDSVREKWSLATLFDTIAANPSLEASIFQLAPELQEQIP MTSLHVLTKVLGSQGLDGSWANGIAEVTAYGILTLSWLSHLPWIQQLGRMHGVNFITA SIESGKAFLEAHKHDWKKGQYLWIEKVTYASPVLCEAYCLAAAVIPVPDFTGLTGDVD GQVVYRTKESLFVSEGLLKGVLKAGQLMSLTPLFRDLAPQLLRVAEMQACYALGFLQW KRKELGIFPATGGDEKYMAFIPLTWTASNALRVQATAGYGHGHSGGLSNYVLQEMMVL SMLNYQVDEYMETAVERDFLGFYSGSWGSLEAVREAIGQVFEEFAPPGRKRSIWGDAD HTPTNLKEIRSTLSRYVKHILLHPAVRSAPASVQARLRNEMHTFLLAHVQHAADNIHF AAASNQTCQVDNLNSWERETGLPSPPITEPHDPSSPPPSKRRRLASSSSSSSSYSSSS HKTQEQQPKIFSPTDSRTFYSWVRTTSADHTSCPFSFTFYTCLLSHSHPYNSNKTENL FNKDARTSYVAEAMVRSLASLCRMYNDYGSIARDASEGNLNSVNFAEFHLAAGAAGKG CSGGNQDSGEEEEEEEEKKKAKEELMWVAEYERRGLERTLGELGGLVGSGEMERVKLF VDVTDLYGQIYVVRDIGVGVKR NEUTE1DRAFT_123550 MMSSALLKLFRSTGSYLLAISRHSIFRPHSIRGIPVPKTDHERA AAARDLLKAAFGERVITADDPTSRPMVALTTPWSTTCHLPSAAYFQPVSAAEVSTALS IITKTQCKFAVRATGHNPNPGFSSTREDGVILDLQLLNDKSFDPETGIASLGAGNTWG EVYTWLEGKGRSVMGGRYEEIGVAGFVLGGGMPGFVNLRGMGCDQVRGFEVVLGDGHT VHCSAEVRPGLYRALKGGGSNFGVVTTFYLQTYPLLKTQYTVSLYKPDDYANIIEASV ATHKEMETDVQAAYFTNVHRDFVAIGQLYADTPEEEPKVFEPFRKLESMMAPVVPKTD GTLSTLAQAIGHRPPKGKRTIFTISTKVDKALYLAIHKIWQDITATLPPSSDGTDLHY TIQPVTASAARAGRDNGTGGNALGLQEVSQNWCVFTLEYPRDGDDVVHQAAMDRLYEQ VKAAAEERGLLLDFVCPTFADKRQDVLRGFGEENVGLIKEVAAKYDGEGVFQKLQYGG FLVRDL NEUTE1DRAFT_85037 MNPYANPFHLAIKDRIEHLSYPKTFALILITSWFLSTTAKWLTN GKRKKLTIPTWGYRSWFEPTLLLQARFVMGARQLVHEAYTKMPNMPFVLKRYDADFLI LPIRYLDELRLIPLSKLSNKGANVANLVPEYHGITFLLTNNLHVEVLRKKLTPELWKF IDSASTELSHGWDISLPHSQLSANGNDWVKIDFERTVRDLVSRMTAKVFLGDPACRDE TWLGISVAFSIDLFTASFTMKMFPPWMYPVVAPLIPARRRVLKQLKAARGIVGEQMRK HASLKEKRAQAARGEVSLTAEEAQDTLLDWMLDHGKGEEVRVEEMSARQCVLTLASIH TTASQAANMMFDLCEHPQWWEVLQEEVDEIAKELGPPGLAGGMKEEGGGGGVSVKEWC VRLEKLDSFFQETQRREPPIMLGPQRLAQQDVTLKDGTFIPRGTRVAFPMLEHQMDPQ TYENPNEYDPMRFYRKRHESPDQMHLHMAGQTHPNVLGFGYGNQACPGRQFAVAEIKL IMARMLYEFEFKFADEKKGRPKTGYINEMAMTDWNARLLMRKRVR NEUTE1DRAFT_111574 MAPITISRVLCGLLLFLGIFALLADTTKPGSGQLSKAETRRPVS TTTHAPLVVRRSTRTTTIFTTANVTPVSNISTTTTTSKTALARAEPTPSNVVIIINVP TPAPGAPTRGAVIIIEPSHTPLLRAAPLPDDLVALDRDNHLVSCYKFGWWSERRKFIR SITDFCHALDGESMSPVGTRHPLTGFHQHQWNWMDRGFELIVEVHIVISLEVMEGCEW VFDEGECGRYLRMPVDLCDTDSEHHKKGGTVVGRTSYGGEKEGKTCLLWRVDWEGLHV SDAEPVEGYEDGIGV NEUTE1DRAFT_66355 MASFIRAKQAGVQTDLSQNIQPMHFMPDEQARYGINSQISCLAY DPVQSLLAVGTNESKFGPGKIYVFGQRRVHKFFCPPRPSSIRELRFVANRLISLDSKN ELAIWDLDTGNQVAKYVYSGVVCLVTDPMLDWAFVGLQSGDIAAYDLDRERPSTFRIP NFWRERDPNPTAGRLVSMQLHPRDIGKILIAYTNGAVIYSFKQNIVQKYFEYELPPDA PGGDGHGIHMARKPKITHAVWHPTGTFILTAHEDGSLAIWDTKEGRLVTARSLYRTRV NEPTSNPPRPMRLAPFVRISWCCQTDPDNTGLLIAGGHNIDDPTASNLTFLELGPTPI YATSSWEVLAAHFDGKRSLPISTPPGASVVNYVLIPRSSPYFNGAQDPIAVLVQLSSG ELITLTFPSGFPISPTNMLHPSLSFVHPFVQTVAVSTVPRARWLGMAEKRSLGEQILR GGVEYSHRTRKEQRNIVQVLHADNIIRLWDVGRGDEIENAMQCQVDVARSLNRFEGGV ETTAMHMAQETGEFAAGTKTGEVIVWRWGINKNFGKEEPRAEECERGGLMDISSRAEP SLKEGLQPFVMHNMAQGRVTVVAVSDVGFVAAGSEGGHLSIIDLRGPKVIYHGSVTEW SKGEKRGLFKHSSSSSHGGKPEWPTVIEFGVMTLEGENYSSICCFVGTNMGRVATFKL LPSGQGYSVQLAGVANMDDKVVAICPIEVQTGREAGATGPIVAGLREGKQVDGVLVAV TQTESKIFRPPHARGASKSFDSGILCDAAAVTPADTSMSSHGYALVAVFNDRTLRSYT LPGLREIAKHPLPMLDPLRTISTVISKTGDVLGFTGPSEITVLPVWGGSGRALENTLD NMINIAQELPPRPTISNVQWLSGTQYISPTDLDLLIGGPDRPPSKRMMAATDAERNGA GVYNPAAAGAAGSSTARLQGQVKETEGWGDYLTRQLNERTEKLNIMGDSMDNLANTTS KWAEDVDGFVKKQKRDLFLGGIKKSFF NEUTE1DRAFT_47582 MYRHRKKQLPPPPPPAHGDGRTRQGLQNPLSEGTYSHPRAAPLP PLPPPPVQAQAQVSDPVITPSSSFPLGGGALPGLPLGTDYRGTSTSTQPQPQSEPRRR PLRPAPWRTPTSPQRPPPGRWLDRALVVSSTPQWPAQRRFRAGSLPPIHYEQRTSRGS RPETAHTRDGGGVQISSREGARVVVSPVLIIPSGPGSGGMSPAVDGRRGPSAFGIPNM KRKILSKLPTRKLVADNDHGTAEEKGGGVSTDTSRRGDDDVEGQVLGLRVGKGRKNSG GVGRRQSADSIYSQDMEISEGRESIFAETYHLFMFGEHGDGEERSQGCVDNSKKKGED RRWKWEPPWLFSPDTPGAPLGSHYRRQNPIVPSVEPRDKLEEWHGSEREPTSPTPIRA GTTNRIIRKPARLNLRPSSTQQVLRTPSPLSATLKRPGPHVPHGGRLGLTSAPTSTKD VPAGGAGHAAPMFARVLTASPEVMSSVAAEEDERRGMVSRWSTDSSDMSDSSDSSDEE VVDREVWAVSGGPTREACEKFGQKSVEEPAKGLLQGILGKDYERQRPSEEPIRETLWA KDRPAGQEPNAITATESCRVLQENEGREQQQDEEPIPRFHNLTTQLANVQAALDHIVS QVTSVETAFGRVREAMIALRKQATETLIDTQQFSEEVKGVQRQLTEVKRQLKGKIKEV VGNGENRPKQARKEEENSRHKEGHDDQKGHEKEQYKERETKEEQQRPEEPREGPPKSR RGPPSGPAPGGAEWV NEUTE1DRAFT_47741 MSGHQVTLPARPAGPPQPSTHARTPSGVSAASGAAPGQARSNFP PPLGYDPGKMDTRPPLSHEERVGKRVDLPADAYLKADISSTFATRSGFNSEGTPTRLS VNQYPVTRIANMDVFQYDVALSPEPTGGVVYDKVWKSKAVQQKLASVTKKPWIYDGRK LAWLAQSVDEMRLLVDLDEERGRKPGGERKNAFHITIRPTGKVRLQSLRAYLMKTAPW DNHVLECMSFLDHLLRQGPSERMKTIKRSFFHPSMPGRELDMLLMAYKGVYASFRLSE NVKQIGLGVNVDVSNQTFWKANPADKMIKYVINTYGGLRRDQLQNLDDQMITSVLKPL VSQGRYEQSEAMRALRRLKGCRFTLSHRPNETKEYKIKGFAFDKKYGPNGANSYNVKF NWRQQDGTEKEISIRDYMKERYGYLVRQAGWPVIETTRAGSFPAEVCNIVAFNQYQYK LDPQQTASMIKFAVQRPDQRKKDISASVQRLDWANDKYLKAFGVSISPEMAKTEAKVL RHPEVFFEKKTARPLNTGRWDLRGARFIEGNKEPLTHWGFIGLNMCVDGRAVSNFVQQ FTNIYKGHGGRIAKNPYTTNINANPATLADELHKHVPQIVAGRKDLCPQIVFIAVPDK SAHLYERLKKIFECRYGIVTQVLNCDHVKKAQGQYISNVCMKVNAKLGGQTSSLTATK AKSHNFFTRPTMMIGVDVTHASPGSDMPSIAAMCASVDVEGYQYRAAVQTNGWHNEIL TDENINTWIPTFLKAYKEKTGREVEDIYYFRDGVSEGQFAHVMEQEVKAIKKAFRERS KKEAKMTVIVATKRHHIRFFPDKGDKNGNPEPGTLVEREVTHPFHYDFFLNSHFALQG TARPVHYHVLMDEIKPQVNNLQRMIYQQCYTFCRATTPISLHPAVYYAHLAGARARCH ENRDYGNNTRVPEKVRDQVNNPNNLVAKHQDPTTYSSDWKKNNPPPRLIPMQARIHNT MWWV NEUTE1DRAFT_85042 MSDDYDPTNINAASWEEQEDYGEADGSEQYGEAEGSEQQDIQHS SEHQEAEYSVESNPNDLGDHPSQDGNTDDVGDDYDPASVVTSSVPAPSAPAPQEDSKT APQPAAPVAKKPRTAGGFLVGDSDDEDEDVAHKETGSGASSSGGSAPAPAPASATAAP QSHSPALQTATLTVQDNAGATTFNAPPVPQQVSHQSGATTAAVPTTPNSAAPAVDPTP VTQPAPDRVAIYEDQIRDDPRGAMNAWLELMKEKRARNDIDGARQVYERFLAIFPQAA DIWVEYLDLELSLNNFPQAEGIFAKCLMTTPNVNLWTRYLDYIRRRNDLNDSTGQARQ TVSQAYEFVIDNIGLDKDSGKIWAEYIQFIKFGPGTVGGSQWQDQQKMDQLRKAYQRA ICVPISNVNTLWKEYDQFEMGLNKLTGRKYLSEKSPSYMSAKSANTALEHITRGLNRT NLPRLPPAPGFDGDQEFMEQVEIWKKWIAWEKSDPLDLKDDKDQPGLYQKRILYVYNQ ALMALRFWPEMWVDAAQWCFDNNITTVENKVTKDGNANGVEFLIRGIEANPESVLLAF KHADHIESTYPIEENDEAKIQRGEAVKAPYNKVLDTLYAMIKSLKEKEAAQIAKLQEM TAAQESKAGSDNEDGDGAADNIKKAPIEAIQKGYAAQTQLLSRMISFVWIALIRAMRR VQGKGGLNVPLGGMRKAFHDARARGRLTSDVYAAVAQLEWTIYKDPAGGKIFDRGAKL FPEDENFTLENIKYLHSRDDHTNARVLFETVVNRLTQKPELVHKAKPLYQYFHKYESQ FGELAQVTKLEKRMAELFPEDPKLAAFTARYASDKFDPITARIIVSPTTQLRPKNMIM PSIEQQQPQLPMSQRDTPAAGFSPRPQGLKSPSAGPGAPFAPYAAKRPLDDRDYDDHP RKIARSEHDPFVRGASPLKGAAGRRLDQQRRMGGTAGAYSGSGAGSQVAPIARDITFL LSQIPRVEFYDSHRLNPSRMVSLLQNVKVPEYLDWKRERERMQQMQGDGYGGYGGGGG GGYQGGGHARNISQDYAYRESPGPLGGRPLSPFTGGPGSRLASATAAYRQAPVGRPES SGSYEPPPAAQYGVPPPAQYDGGWAQQQQQQQYGQPPAPQGYRYGNPPPPY NEUTE1DRAFT_66361 MSVVSLLGVNVMNNPAKFTDKYLFEITFECLEHLEKDLEWKLTY VGSATSDNYDQELDSLLVGPIPVGVNKFIFEAEPPDTKRIPIDELLGVTVILLTCAYD GREFVRVGYYVNNEYESEELINDPPPKPVIEKIRRNVLAEKPRVTRFAIKWDSEASAP PEFPPEQPEADEVADEEEYGADELAEQSSIADPAVNGGMEVEGQPNGAIVIEEDEMSE DGSVDLENESEDELDGEGDAEGELEQGQDEDIEMGDEMEIDDHPKQQGMAMAQ NEUTE1DRAFT_85046 MEPGSAKRRKIGHSRGSESAVGNIDAAASSGLSRGRAFILEAEE LVDEVKLDYATALEGVDSLLHKIKGSIEAIEAHDALQISEAATKLEKKHKIQIPFPEP RPSDKSNYKVAFAKPSQFNVVGSYVSKTMIKAQKSHAVDMVVVIPGETLQEKDYLDLR YFYKRAYFLGVVAAALQKDLAEDGELSYEYLNGNTLSPILSLKLKVEGEKKEGRLDYR VRIIPCAPDNFFPKSKLHLGATLVRKAGGDESKAATKPTPFYNSTVVSESCFFPYLKL LRQTEKKCAAFKKACILGRVWLQQRGLGSDMADGGFGHFEWAVLLALLLQSGDSTKGH APLSTSLSATQLFKAMVQFLSVTNLSEKSCVLGTAAVESETAGPVLFDAVRGLNIAFK MSNWSAAKLHQHAKWTRSLLNDSTADQFTPTFILKADLPWQNYDLIAHLNYDGKQDKT EPIDCRGRLWEYSDKVHRVLKRALQDEELGERARSIHIQLPKSSPWDLTKKPSTKQNH TIEIGVLFNAANMTRSVDRGPAAGPSNEEKEECARFQRFWGEKSELRRFEGDSIRETL IWSSTSPFDLCEEIMRYILKLHLRVAYLEDELTFHGDGFTELIPIKPTDTSVFNAARK AFSSFEKDIRDLDDMPLHWPESIVAIQRTKIAFLRMIGDLLERSKPGEVRSYVGLESS TTTNTELENLAYLDIVYESGPAFRLRIHSDLEEALLTRQSHDKTIDQHHRHQASVLLS NFRRLYYHLPLHTQSINTFATRFPAFSPTVRLLKHWFSSHKLASHFTPDFIELVALQT FLSPYPWDAPSSPSVGLLRALLFLSRWDWRSEPLIVDTNSDMPPSERSAIATRLEAWR KIDPLMNHTVLFVATAQESSGVAYTTVNGIAQPSKVVAARMTALAKTACRVIREEGVE LDARRLFVPSTKEYDVLMHLSPKAIKAVSKVYPSEELTSTTTKSSSGSKYKNLSATAG EDALPLPSAPVDTFFEKLEQVYGSGSSAPLLFFRGGEEEKVVGAIWNPTLGRRGLKVN LGTSYKPVKSAGKRKLGGDEKDDEDKEKEEEEQLVEVNREAILSEIARIGGELVERIE VQRE NEUTE1DRAFT_85051 MSTKQLVKAAAEAIKKQQWDDAIEGAKNIIDKDSKSYQGHILLA FALDKKGRLEEAENTYLAATRLKPEEKEAWQGLVKLYPNLDTSKKFKQHQHAVLKLAE IFRDANDLQKCYETVDSFITYARNKAESYQYVDALDLILPGSPIYDAIEGRFGHVWRT YETQAKLVEQNEKKRINTLIGERRTRIGARISEVTLEVKREVYRQSRLSYTYAQLINW TNDDEVRRMYEEKLIQYCHDRLMAWPPGEEKERELANVLKLANDMVIIKHPYKLAWDI AINWQDHKEIKEWDVTVLRQYCTFFPDSDLNRVVMGFLTSSVSPFPQEKQEQTTEDAA AKSEGSTEESEDDEDGGAATIYAPITEEDRLLMMTEGISGAESLLAFRLMGEYYQYLE EWESNVELMRKALTFLKEERVKTGLDFRFTEDAFSLYLGTALVFYQTPRNHQEAKALF DAVLAHDPTSTPAMIGVGLIYEEEEEYDEAIDFLERALKRDPDNIRVRTEAAWVKALK GDFDMARAELEACIPILSKKGQSKELLSQTQYRLGYCIWNIDTSKAARKDRSGAYALF LESLKNNLNYAPAYTILGIYYADYAKDKKRARRCFQKAVELSPSEVESAERLARSFAD DGDWDRVELVAQRVVDSGKVKPPPGSKRKGISWPFAALGVAELNKQEFHKAIVSFQSA LRISPNDYHSWVGLGEAYHSSGRYIAATKAILNAQKLEEASEANLTGETWFTKFILAD IKRELSDFDESIELYQHVIQERPDEEGVATALMQTLVDNAFDSLNKGFFGKAAGLATE TLTFATKAPDAIKDTFNYWKAIGDACSLFSNIQGRISEFPAETVSSLLGTDEDFPAYQ ILKDIDNVGTGIVSTDGVFPKDETVGVDLTKALQATILAHKRSIHIASNDIHAQSVAY YNLGWAEYRAHACLPPDIRKNSHKKPTNYIKAAMACFKRAIELEAGNSEFWNALGVVT SEVNPAVAQHAFCRSLFLNERGAHVWANLATLALAQGDLALANEAFTRSQSTDPDYSL AWLGQGVVALLTGNEKDARGLFTHAMEISGDTSRVISQRYAVSMFDHILSSSSSESLP ITSLIQPIFALTQLQSLHSSASSSTDLAYTHLLALFLERTGEIPRAISILDSLSTTLE QEYETTESPLALKHFAIVKSDLARLQLSARLFSEAIDSADLALQLSSDESADELTAEE RRKLRLSAHVTLGLAHYYSHDITAAVTAFDQALSESDTNPDVACLLAQILWATGQEAA RERGRDLLFGVIADSEERKEQHVQSVLLLGVIALLDNDAESMDAVVSELQSLRSSDEG ISPEQQAQLGQVLRAILTAMGSGEAQPMTDVMLHPFLPHGWSRVAQLAAQQGGEEEAE GASEMALRVARKGVPPRGELSPEDLARAYAGTGRPADAQVGCVVAPWERRGWESLGDA VTAVAAKQ NEUTE1DRAFT_46068 METTRTLHRQLTTDSHTTSLPIPSLSWLTTLIPSTTSRNIPPLP SLLATARLRLLSSDLSTPGLLDPSYVSSHSFPPSLTSGHHPTTGYPKDQTLPQDVLVQ VLDIVNLSRSKWEVVEELESIERGEQTRGREVIRLPTTSNNPDDPNDGVDMGDGGTQT QPTTTTTTAQQQAAAQAKERKNATHKLTLQDPSGQRLFALELKRIEEIAVPQFFNGKM VGGTPIGCKLLLKKGTKVARGVVLLEPGMVKVLGGRVEGWGKVWERGRLERVRGEVNL VQR NEUTE1DRAFT_47598 MDNLNSFDDLDYEYTHNIKFDSAVPPPYDRTLNICWSRLPRGSK KGDGDIVAALPKDTRTTTQLPLPLRTSSACHQDPSESLRKAGHWGYFSLPSNVSLKIC RYIVQQHSTDKPIRLSRWSVYTGLYPVNKDTMLVQGSEQETWESEFFETHNQALHALS PYLQANRRFRADLVAAFLLNRRYHLVISPFGPTTNIPWLAKFGRYLKYITMEFDCTRF YGGKPRTREKIEAATHSGVFNEQQLKTALAPGKDALESVKHYKCALTDVLKLLTCGRQ SPVNRLTIMVRKYWGSRESMPQGYPYFDPTWLNFLLLIPSVLQSNVLNLETIGVPPRL LGLMITKFWGEGKPPTEDAQRPHFTWSPSPSTLWPEMPGQSAAISICGSVDLTADYLL RLDSPGQVEIHTFPSREAQKQQQSAKKRRKSRRKKTKKSSTLPKPEPEPVAGHEEQQS GEQAHFQSPSRISGSSTVAVEAGSSHQMESRAAKALGTFGSLGMARFWNERKKTELDE VSMSSPEKKALHMRRAQEKFAELSKLLEEASPQAPPDSSERLTVVDDIAALRTGTGLE APRATLAGGFVPLLPHFSQPATRQQPPSQLPVTPASPDTSAVPIPMPDGKWTRLTDED SRPSSAGSTAQSGNGAGDLTDSRDCADTRSGDKDVLGIEEECTLVKNKKRNKRKKRKN GKHTHSQAQHETSHADKVEASLKSLKDEWSATLGESSKMKQDKKKEESAAGPELGCSS NQAENKKAGENESEKSRGTLTSASSSKEATSKAGLPQSSQTAQVSPPPPSDLHIAGSC LGNSENMKSGERPGSQSPPELQLPPSSEASIPLPTLWLSMEVPREEIPNASDEDVKAG EEAKENKSSFPSQDTQVGGIASSKARNSKEKGKGRWTGSPKPVGEDKKVERKSASRPQ PPLLRSETQIVQVLTVSKVPPMSQVQLQPMPQMQPFIHNPYYSQVPTTTMSQVPPIPP ALSSQQIYHERTRYVSGARVYLNGCADASASGPSTERSGGFFAEFLSNLSKGEKNRKV NGPWAYIAGATESDFKKQKKRNPKSKEREAKEREVKEHGVKDMDTETEKPAPSNPASP DPGATKLEEIRISDWWDYHIDAANESDFEKKKRDPKSNAADTKETDTKRPVPSKLASS DAGATKLEDIKFSDWWITTGGTHESIFGPIKIRRSETIS NEUTE1DRAFT_66370 MSVTTQVPKDFDAENADNFEDIEKQFAVKAVQQMATYWAILEKV PGSKLRLTKMDDDIFEHLKRDFPEFDPAEPINEDEMKSKTGKERWRTFMMAYDKKIDD YNFGTLLRASPKTEYGEKETIFVPRMQFYAVEIARNRAGLNDWIYEEAEKERQKAKGN TQGSSSSSA NEUTE1DRAFT_85055 MSSSKHETEKGESIAVHIAAPAQPAPKPVRSSFKNLFAFTRPAH VSLMVTALCGSAVIAAGRTAYAVLLGKIFEVCTSYGAGIIAASDLLHQVSHWCLYMFL LGIGMWVVCSFDVALWVMAGELRAKTTRETLFSTLLNKSAAWFDLREHGMSSLMTAIQ TQARELQMGTSQVFGFLVCDLLVFFSCIIVALYYSYKLTLVMLSTALPSALILWLIAR FLDPAIAGQKRELAEAAKHATAATTAIDLVKAYNAADHESFHFISAVRRSSKYYTRQV LSNNGQMSYIKLWMMSLFVVGFYFAVVLVNREELTPGNALTTFYCALISFQSLQNLGP QWIILAKGMAAGQSLQDLVRQADPGQTKTCQEPGGLRPPTCQGDVTLSNISFAYPSSP SNLVLQPSSFHFPPGSLTFIVGRSGSGKSTLGNLLVRFYEPLTGQITVDGQPITRLDL GWVRQNITLIQQSSVFFNDSLFQNVAFGGYQPDRVTVEDVKEACSMALLQTTVAGMPQ GLDTQIGPGGYSLSGGQRQRLALARAKIRDPPVLILDEITSGLDPVSRGLIMDAIRIW RRGKTTIIITHEVGCIGEGEMVYVMEGGRVVQQGHGKQLREEREGLFAGLLVRGLSLR GQRGSIVFPRLTFGYDPYSSADNQQSRPLSRLLGAGRVPVHHPHPHANPDRTRDVSPF SKQDDRRHSTSSIELITQTGLSVKKTRVSNARRAQHPDPKVIEAQLNGSLDSLELFFL DKLANKKQRAGFHKKRRNSMASVVSAASHSSNAQPSNKQRHSVNNRLPSLSAILRTVW PTLDLRSKLELFLGVCLGLVVAAANPLFSYVFAQLLSSFWSSPSARLAAGSKYAGILA AIACVDALATFGSYYFLEKVATKWVTTLRSEAITRILAQPKSWFDKSSHSPAKIVACL DRNAEEMRKLVGMFLPILIIVCTMITVANVWALTIQWDLTLVTLAGIPAAVITGRLNA LFSDKWESRCDQAALRTGQVLTETFGNIKVVRALTLEGWFTRKYEDKTSEAYQLGLRR ATYVGLFFGLNQSIAYYLTAMIFYYGAKILSQDRASVTDLLRVINLILFSLGTCVNML ANVPQIAAAKATATQMLYFANLSHSSSHESQGSQRISNPLPIRMQNLRFAYPSAPDTL VLRNLNLTINPGTCTAIVGSSGCGKSTIASLLLNLYPPSVSPSAPTNNSLTFNSIPSS QTHTPSLRSCVAYIPQHPFLLPTTLRENILYGLPDSSPLRLPSHFHCLVHACQLASIH DFIISLPAGYDTVVGEGSGLQLSGGQAQRVSIARTLVRSPKVVVADEPTASLDAEGAE AVREVFARLVHDESKKEEEMAIVVVTHSKEMMRIADRVVMIEGGMVVEEGGYDELRRT KGGRFAGLVSGGAWDGGHGAASLSARDQQEQGGNRSHHRRNVTLTTLSSSDCGGSQSV EVGESSSSGQYQYGRHGGRYQNGQDGVSDVSDEDLDLEDEEKVGPWTGDGTDAGAGAG AGAGVRVNEEALQRLEGTSPDSTSSTSLSSANQSPERGRRTERGGDGGRSTWGWRFD NEUTE1DRAFT_85059 MADPTPGHTAPDTAPPSWRSEDNRSPVLAPHPATVPASGTLPSN TQLLDANTEITHLYLTFAILPPSANTTHQSSGSTTPQNDVPPPPNLKPFTNPILWSTS VKITMLTLSCIATFLTAYTAGAYSPPQKLLLQDLAHAHSSTAILGGISTFCLGFALAP MFLAPFSEMNGRQPVFVAAGIMFVIFQVVCGVVETLEGMLIARFFLGVGASVFSTMVG GVIADIWPTAERNTPMALFSGAVLCGTGLGPLVSAYMTERWGTDADGNIEEGNGAKWK WIFWHQVILGGALMVALMVFFKESRGSVLLSRKAKALNRWYEQLEEKGFYGVWVDEEE EEGDNGNADADDEEKGPSSPGTKTLQRIRWKVKEDEERGSLTTMIGTSVYRPFHLLFT EPVVFFFSLWAAFAWGVLYLTFGSIPLVFRRQYGWNIEQGGRIFVAMIVGAILATAMG IWQEKMLHHPKWRAAAPVTSSSTEETSASDTEGPNALPYSSDEPKTPSDSTTTTKENP IWPFLRTHFPTNSPEARLYFTCLTATFLPVGLFIFGFTARPYYHWIAPTIGIGIATMG ILSVYLAVFNYLADTYHRYASSALAAQSFCRNILGGVFPLVTAPLFTNLGEGRAGAIL GGVAVGLTAVPWVLVWCGSWVRGRSRFALQLEKS NEUTE1DRAFT_123559 MVHLTPALLLASAAFAVAAPASQILERQCSVAGNYPTAAVSKLP DPFTTAGGQKITTKADFDCRKAEISKILQQYELGTYPGKPDKVEGSLSGNTLTVRITV GSQTVSFTASIKKPSSGSGPFPAIIGIGGISIPIPSTVATITFPNDDFAQQSGTSSRG KGKFYTLFGSSHSAGALTAWAWGVDRLVDALEQVQSTSGIDPKRLGVTGCSRNGKGAF VAGALVDRIALTIPQESGSGGAACWRISDSEKSAGKNIQTASQIVTENVWFSPAFNAY TRQTTNIPADHHMLAALTVPRGLIAFENDIDWLGPVSTTACMQAGRLIYKAYGVPNYM GFSLVGGHGHCQFPSSQQSELTSYIDYFLLKSGTAPGAVERSSAKVDLKSWAPWDVPA LSSECSADMETFAGVSSHDRPAEENCLQWTWE NEUTE1DRAFT_117632 MSDETLWASPSWAKTRADPDPDPEPESENGDAERVARRSGTMSG LTMVRIARF NEUTE1DRAFT_130919 MASTEPQSTLDAVPEPQSTAAPAPTTTTPTTPLPTSIVILGGNL AGLGVLHNLARHTIPALQRLPSQPQYKITLITPNNHFFFKPASPRALIHPDLLPGGEK QIFRDLSDAVKQYGELVTVVKAYATDVDTSKKEVTIKHVHTNSPSDSEGTSAVRYDVL VIATGTKARSALWTMPGQGTEGLHAAEDATKREWERFRTKLKNLQAGTHPHHSILIAG GGPVGVETTGEIATLLKAMGKTEGVTITLLSGSDRLLNRNCNESLGRKAEAYLKKNFG SLVEVKHGIKVVSVSDSVIPGEGGVEQHETTVELSDGTTRTVGLYIDATGGSGNADGF LPLTWLDASSRVITKDDFFRVRGSGSSEETDHQANGIYAVGDVVSGSDNTLISTNFQV PVVCSSIGVDLATKILHPEGGESQSSGVKLPAALKQKTFKNKMAGTLLVPIGPGGGVG QILGWGVPSLMVKTAKAKNFLVDMIEPAVTGSQWK NEUTE1DRAFT_117633 MDNGVMDSKSQGMMDDKKINSGYDQRADKANTEHSTRETQIHHT VTTLPDHTYTS NEUTE1DRAFT_48141 MTGTLAQVCEWYLNPDDCICMLSTDGSLLRSQTAFCCKKLGYKT MNSICGVDRKNRQLFKDCCKGLNQESVIGHCR NEUTE1DRAFT_117634 MIRPYHGRPMSLTSRYLTCSSNKSGEVNRQPTCALQYQSMFILT GFFHHLEPETPDQ NEUTE1DRAFT_123564 MDLRNVLNDSSSGPVAPRAAVSTPDRPPPHQQQQQQQPPHPQYS QHPPPPPQHPQLLLQRQQSYHLQQQQQQQQQQQQQHHPAYPQLPTTPGQAPPHPQQHQ QSYIDYNHQTRPSPVRHISQDPSYGTQRMPPGAFASTSPFSGPPVPGPNPYANRPPPP PPLQQVSAHDPRSPSLASGPGPSPYRHTPTSSISAQSGGYPFPPSAQHTPTSPVQRPY NQYPPSSTGAAYPSREGYPPTPGGSVGVAGPQQQPPPPPPPPGPGPGPGPGGAGRAPY LQGPPVRVPQTPPISTAHNPHFQRSHSTHSSSTPTPTSAHSQGPPGHSHSHSHPQVYG APFGRASPVTGPQTGGGPQGGQFLVDPQQRQSSQPGTPVAGPGGGPGPLTASTGPGTT IGTARPLPPQATVSQAQAQAQAQNFNHYHSQPPSPYRQQRLPPSGSSSATTHHHLNLN SPRSSTQQQQHHHHHHHQQISSPHSHHPAPPQPPPPPPPPPPPPPQSLPRQPSAQSIY DAREREREVIRERSISISPKTRVPSLPSSAGRPATATSVVSIPESEPPRLNNHTAATP MARYRDEVRDGDLGHNAAAAVKRKLDDRELRPDELERRDVRPPPFDNRARPSHTPNKS VKRRAYDGPPPWAQQATRGTTLKEPNFVLYKPVHAPGQGPVQINGHSRHASPEEKRPV PRAHEPTPRAHEIRHNERSATPGTQGPPPEAQAKWGPLGPWEPTLTGDVPQDTMAKVM ADFFFHYIVLNENMGEIQSRGIQFEIEAKFGELIDRNTNQRIDLGVLSPAILKEDDYV YPFRSIMTEAQHRSYNEFLNHQVVVSKNPKSNIGRERPRVPISYEHKYEIDRFYAIPP QIRDKHLPVTVANLLASKGRDAKVRVSYKENKDGSREQIAKIVKARLKDLSIHFPEHR LDCRISINLEMPWEGPLEELEAYATSANKGQSPDRRKNRLSYGHCSYQFDLTQVVENV NGRDEKKHELEIELDPKAVLDQGRRAREGQPNQYFDLVEGFLNNIRIMAKMCA NEUTE1DRAFT_85065 MPGLVTATGVLAFLADEEPELKVFALKTLNDDIDTVWTEVAGAL TQIEALYEDETFPERQLAALVLAKVYFHLQAYNESMTFALAAGPLFKLDAPSEFEETI ISKCIDQYIAVSSLHHTPSKKDLPALETDLGSRAIDSSALISPTTPFSQSSVPSKSLL ARASSDDNTILDPTFQPTKETRSASIAQVHDTATQVALQNVIERLFESCLKEGKYRQV VGIAVEAKNLDVLRRVIKRANQDVKSGKTKVQEGSQGPAEELMDYALSICMDIVQERG FRTEILRLILDLLNDIPNPDYFAIAKCVVYLDSDEEASRMLRQLVAKGEQDSIAIAYQ IAFDLYDNSTQEFLGKVIKALPSGEPAKPKPAEGSNDAAAEREPLLEGQESSEEELPE EVAKVYKNIRTILDGSKVIKLNLEFLYRNNHADMSILNKVRDSLDGRNSIFHSAVTFC NAFMNAGTTNDRFFRDNLEWLGRAVNWSKFTATAALGVLHRGNVTQSRKLLEPYLPRP SGVSTGSVYSQAGALYAYGLIHANHGADALDYLHQQFKDADQEVIQHGGALGLGIAGM GTGDQKIIEDLKEALYSDSALNGEAVGAAMGLIMLGTGHAATIDAMFTYAHETQHEKI VRGIALGIALIMFGQQEGADRTIQLLLANPDPILRYGGVLTLAMAYCGTGSNKAIRKL LHLAVSDVNDDVRRVAVMSLGFILFRKPGSVPRMVELLAESYNPHVRYGSAMALGIAC AGTGLDEAIDLLEPMMKDPTDFVRQGALIALSMILVQQNEVMNPKVAAIRKTLKKVVS DRHEEQMTKFGAALALGIIDAGGRNCTIGLQTQTGNLNMAGIVGMAVFTQYWYWFPFT HFLSLSFVPTSIIGLDSDLAIPNVKFHCATRPSLFDYPPEQEVKVEEGPALVTTAVLS TTAQAKRRAQKKEKAQRRESMDIDTPAVSKTASQPAAEDKMDIDDDKKAAAKPEESKE KKEGESGEKEATGATDAKKKAEKEKVGYEIENMSRILPGQLKYISFPAGRYKPVKKPT GGPLLLFDTQPSEAKSLVEEKLNKVTTERAPVAGAGASGASDGTPRLSGGAAQSLLSQ LQGTGAFNRSSMRELSELLQLGQRMEQDASAGLGESRARGSGGAAAGSGTGAGATAGS GAGAAAAAAVLNAVDEDAEGDEEAPTPAEFEYFTDAEADDEE NEUTE1DRAFT_85068 MPPPRTPQDYTLRVTAGPTYDLSTHVEIPINSPHLTHISSPSSS TTEAGMDIDLTVRIQNFHRGLPRNSPSTSPYFDREPHRYNRDQYSIGLRFRPRRPGTS TEPAKGDGNEKEKGIPATDLQFGNDFDHPIRNYLPPGVNTAMNILKWWIDPGLEGDAY ADQPYLYGPALSSFNAVRVGRGEEDESKGGLWVEEGAKSGKQWREEVLQGSGVGNDPK KRQKWALKEDAKKKWVWEYGRTYAVDFYNPYIDFGKCELKLPGFGVNVLRYWDGETGL RYCLPLGMHAYTNNKRYVLRNRLTKKPYLVILFTLYPNDMINEDGTLKPEALKATARA SGGGKDEQVNYAEKGAVNGGDKFDADKAVEEAKNKLEGVALGEGEGPKRGGGVGAATG GDDDVD NEUTE1DRAFT_102485 MDSHLHEQSEEDMEEYRVILYAGPSNDQFGLTADDDLEEDFRGD LSVEDVEESVRRSLERRSAREEEETREEEMERATEETERGGAAPALHIEFEPTDVSVY VGELLLRSFLAATLACLSALLSTLRFFIILLVVLTQFFVGPFVYYFILALRFLFLSSA QPLETPEPLPIPFGAGEADDFHVDGPEMFFEPALFVELEPVLAPTEAASLGPDILVEE EVNMEWIPYPTPPPTSSEAFTATSSSSSSASTTPGLSTSSSSTPLTSLMSEE NEUTE1DRAFT_139294 MARDGPHTPPDNLYRSGVPTPTSSRVAADAADRDRRALSPSPSP GSNQEVFQQTPSPPTTPSSPSRQTAPREPESSTTTTHDGDDDNDDDDTPEPEGNGEVS ERRAVERDENLEEKVRAYRLNQRQIEREALFCHYKQCICRLYRR NEUTE1DRAFT_47996 MERELAELKKQVLTLQKELSRVSDEAEIRKTHHKYGYYLDKCLY NEVVDLFSNRPDTFVEFLGSRYLGKAGVERLYKKRFAETFVAGRNGPIHGFLLDHIMM QDIVDVSPSGDHAWCRMRALMQAGTHHSIEKDLPLGHRQWWEGGLYENEYVKEDGVWK LWRYRYFPFWHADFEAGWSKTKPNYVPWPTKTFPEDPTGPDEILERKMLWPDTRVVPF HYPHPVTGKRINGDDLRAPRYGKGVREGCEEPLVLELPGGSKWEGAEERESRKGEKVL PELVQDRVLT NEUTE1DRAFT_147665 MSKTQQQQQTKKPIKRVAVIGAGPAGAITIDALAQERTFDLIRV FERREGPGGCWIGDNNNRPQPLTDFTSLSNRTADPPIPIPEVLPSFTPKLDQQRFSES SVYPYLETNVDALPMEFSQEPFPSEKSEFSITLHGPDTPFRHWKSVRGYIEGLVNRNG YQDLVSYSTTVEKVEKVGDEWKVTLRKEGEQKDYWWTEWFDAVVVASGHYWVPWIPAV EGLEAFEKQRPGSKVVVVGASVSAADIAYDLAHSRTAQTPVHAITVGHTFNGYFGDEA FKHPRIENHPSISRVCPKTRTVHLVDGTSIPNVDHIIFGTGYTWTLPFLSQVLPVRNN RVPDLYQHVVWQQDPSLLFVGAVGAGLTFKVFEWQAVYAARILAGRAQVVPTVKEMQE WEEERIKEKGDGPKFSLIYPEFEDYFETLRRLAGEGETARGVGRKLPRFRPEWFRAFM EGHDLRKRMWKRVNEQAREDEKVKAKL NEUTE1DRAFT_123568 MAGTRKDGAKGGNLAVPPALGDTESRAPTIAESEDARGYNNTNN NDVLSDTDGGRTIDSTDPEKKHPHTLSQQRSNATHKSHASTIREDGVEYPTGLKLGLI TIALCLSVFLMALDNSIIATAIPKITDQFHSLPDVGWYGSAYLLTTAALQLLFGRFYT FFSLKWVFLIAIFIFELGSLICGVANTSKTLIIGRAIAGIGSAGIFSGALIILAYAVP LEKRPVYTGFIGSMYGIASVSGPLLGGAFTDQVTWRWCFYINLPIGGITMAVIGFFFP DPNRERPQEETWAKTIMKFDPFGTAVFMPAIICLLLALQWGGTTYKWNNWRIIFLFVL FAVLITAFIAIQFKMQDEGTVPPRIIKQRSVWASALFTFCLGAAFMGSVYYLPIWFQA VKGATAVKSGIMNLPMLLATVILSVVAGALVSYLGYYAPFMILCSVVSSIGYGLLTTF EPDTSSGKWIGYQILVGAGLGMGLQQSLMAVQTVLEMKDVSVGTALMVFVQTLGGALF VSIAQNVFTNKLVQYVGELAPGFGDPENILLLGATSVQKTVDKSVLPGVTRAYNNSLT DVFMVFVAMAVASVFGALLVEWKSVKGKNVEVGLA NEUTE1DRAFT_102489 MRYDDWDVILFPTGRNGKIPIKEFKVQCHVVPDQELAHMHGQAG LPIMTCFIPSLPAGSPFQISMHAWKAPDISLFTKTYSRHTEFVKFEARIFIDGRLVAS TAFDRKVNGPHLIADTFEYTKTGERERLKFPIFRRELLYESHWSPGDDLGRIKVLISE GFPRDSRTVPFERVKNIVAFSFQHAPLELLENNSIAWPNPSMWHTPTYLSNVPVPTYH PDDGINSHAHSPQRPKKNSQSPGFPTPIMTHGVFQPHLPPASYVGTQTLQMPYAPRGS NTGSGSSFPHSDPFTEPSYMDWMGSMGHSSWQSGMRQGIQQQSSDETMPDYGGGPNRD QPEAMHVSGNSMDDEHPTNMKVPTNTSTTVPPPTEDMKAGVHFPSMSTHMPSIPSDMA ACFTNTLLNQPMPLPLQPHQIPLPSSDVKSRKENRFLTISGSKLSSAHSPPTADHGDT RNFSQPVFSQCPIASNLSQMVSSMDGAGSSSDSPSLQSMFSVAGSHQNSPKQAEFVSN SNLYGGHASGPNPASFPPSLPSNLTSNFSSNLTSNSTSNHSTNPSSNPPSGPTPFGVR TESSLNAGLGSVQGHGNGNGSGTAVGNGNGNVKRTRNFTPASTKAIDEEDEPRQASPQ MRVRAYAKEYGLEQNMLEL NEUTE1DRAFT_147667 MMLSRRIVRASPARLVALAANRSPIVQQRRTFLPDQLTGRGGLM EEKYPDSDYPQLTNAEDPEMNGGYINPPRIKRQFRDPYAKWWDPQERRNFGEPVHEDH DLLGMFSPYEYTWVSPGKGLAQIGAFIVAFLGLCYGVKLTYPDKVSYPREFENGLLQE LGGSGAVRARQAGDPDP NEUTE1DRAFT_147668 MTTMMTDSGTASDSGAGLVDSTRNDTTTTTTTTSATTTTPGDND ADDNNTNGTTGHHDNNETDNKSYSSTHHVPAIDNTSTTTNANANAEDAGFLDRDQSPL SSISSPLSEPDNFEFDTEPISSILSPKSTTSDTHARDGETPELDEDGQPPAKRRRVRE TTPHNHSRKPKPESPPWKKFEAEGPTTIITEDGRRKSGRVNPVLLGMKPSDKKVTRKA IQTSPVSNKSSASTSRKPAPASSSSKPAPAKMPPPPPPKAPARKSATTHDTRPSASRS RRRSPSPRRPATPPKPAAVGTRRSTRQALAHSRASYDDGQLSPGASRATPRIKLKVRP PLTVIPLVHPNQANVRPKLGPTFEEYFARAQEIPVEEGGQHIPDEEPKYTDEMALQDA KVILRVEKEVEPGGLLAPDRCSAFEPEAEEEPPRQWAHLDHLTKAMTNFRKLMYREQQ LHMQAAKRIAIACEAEWRRRNPQPKTAEEIELEEMEASKAKWRQVIRAFAGTWENVRV EVNRRRLVEWEAEEQRRVKAALNQAVNLSEQKLQQRQAQVDGDEITDEDEDEDDEDLE SGMPSVMGDEEESDEHSDQGSNEMSDENDEDEDEDNMSSSSEDDDKKSTASDEGLTQE ELRAKYANLPTLDTTDGNKETTKDVEMADASAAMDGSVANGDDTSDESVDMDDDLGSS EESDDDEEDEDDEEEEESDDEPAGLLGLFFGKSELKKLKEEAVTEEPSVESAGDVEMT DAPAALRPEPQVDGHAHEAPITNGSHTNEQLASSQTEGADDEVSLLIIPNDEKAAENT QTTKEPGSGVANKDFLTNGSSLKHHEEIVQSENQALPAKESVEAGGDLPMVDAPPTDD LQRETAAAPSLEAPPNTRHDSQETVAATDMQSQSQTQSPKTTDTKPTDVDTPHSELAV SVQKPDSRQSSPQPTTPTVKTEIPFLLRGTLREYQHHGLDWLAGLYANNTNGILADEM GLGKTIQTIALLAHLACHHEVWGPHLVIVPTSVMLNWEMEFKKWCPGFKILTYYGNQE ERKRKRQGWNNDDVWNVCITSYQMVLQDQQVFRRRRWHYMILDEAHNIKNFKSQRWQT LLGFNTQARLLLTGTPLQNNLTELWSLLYFLAPPENGEGGFVDLTEFHNWFARPESQI LESGREQLDDEARAIIAKLHKVLRPYLLRRLKADVEKQMPAKYEHVEFCRLSKRQREL YDGFLSRADTRETLQSGNYMSIINCLMQLRKVCNHPDLFVDRPIMTSFRMSRSVPADY EWNEKFIRNRLLVTKPMTTVNLSFLNMIPTEYEDLSKTHTDRIAQLSSHRILLDLREA QKVRANNAYTALDPSSVKSNLVYLESAARWGRFEELQHCVYINALRRQQRPIYGKRLT EFLTLDTHLRPYKPRPRVPAKIMSWFEEDSFLLHNAIPTLQQRAESMEMTITKFACVT PAVVTGPEMNRFLLGERGIQLFEELDLKLSAPVKYAPYMPPQPPPDPWHEARMRLTIQ FPDKRLLQYDCGKLQALDKLLRKLQAGGHRALIFTQMTKVLDILEQFLNIHGHKYLRL DGATKVEQRQILTDRFNHDPRILCFILSTRSGGLGINLTGADTVIFYDQDWNPAMDKQ CQDRCHRIGQTRDVHIYRLVSEHTIEANILRKASQKQMLDDVVIQEGEFTTDYFNRLS VRDVLGSNGEVIASNEDDVAANLAMDRVLGGPSTTGAGGDDGTADGGGASQPLPVRNV GRVLEMAEDREDVDAAKAAEKEIMQDEADFGEAGSTRPGTPGDGLADLDGQLLGGEEN KEVEEEVIEYNAWGERMHTIDEYMLGFMAKALEGTPLELPRDRKKGRDRNRNRKGKDT RKR NEUTE1DRAFT_147669 MAWYTTDYCTLCCRHIPVYSWYYHSYTCHRRTVTSTSTSAHGTR RSHSRHRREHDREREYEYETTVRRTRSRSRHGSTSYYYYLPWRYHRPAAAPAPAPVRC PTPAPPALPEPLPCRSRSSRRRGSEDTSRDKDRGWRRRTVDIYIEH NEUTE1DRAFT_117639 MRFFSAATALLAAASTAHAAFFKTIGMEIGPIDDHIESLNKRAQ VEGTSGYGTFDQLIDHNTPELGTFKQRFWYGFQYWKGPGSPIILVNPGEQAADGFNKS YLSDQRLAGWMAKDMGAAVVIMEHRYWGNSSPFDELTVKNLQYLTLENSLKDINYFAE HIELPFDKTNGSKPANAPWIFSGGSYSGALAGWLEALYPGTFWAYHGTSGVVETVGHF WTYFVPVLEATPQNCTKDLTAVIDFVDSVLLHGTPKAKRELKSKFKLQDLTDADFASA IESGPWSWQSTQFYSEKTTGYTPYYRFCDYVENVWPNSTNKVPGPLGVGIKKALDGYA KWFVEESLPGTCESSGYDAFKGEDNVLCFQNQNASNPIFHDLSVNNAYNRQWNWFLCN EPFEWWQDGAPLGRPSIVSRLVDADYWRKQCPLWFPAEKGSNATYGIKQGKRAEDVNK WTGGWKHTNGTRIMQANGSLDPWRDVTLSSKFRPGGPFKGNKNHQVRVIEGGTHCSDF YGPNWSANEGIKKVAEEEVAQMGQWVADFYRKKGITRPQ NEUTE1DRAFT_117640 MVNEIAQATQMVWQVPTGTDVNTALFTPFDGKEAISVGTSHLCG CTSMVIISQRGVY NEUTE1DRAFT_147672 MRWLARDAFERGLGLLSLLTLATANQVLDLGALDQYTPLWNPPS TLPSNTVWLSAKDNDHERGFLVAVSPDVKKKINDALKDCPTVDNLCFQYVRGILREAD LEVDSSVSRRDFSRIVSRFVLLLGGLFVGIASVFIAQERLSQQHEVPLSQFLPAAQAT QVTAITNGVPLTVSAAGTAVVTVTMAPDPTTVTGLVTPSATAVTGNEKDAVAGDTVIT LDASLASRLSEIMRRTTDCKEGSDFDAEQGSKRDTKYGAVVCGVRGAVEMATPGGPLH DLTLIRVATPDLLSKLRAPLTAAAQRAIDIAKDYAPLLEMPPGVFDDIIVLVFALGVH NIIDNVPLSGRNIIPKDILDNTKQIPTTTATSCTGTATACQATCTEVGAQFFACKTSC GSCATQTTSIQTVTTIAWPGPAGGGPVVPTATAHPECQMDQPGILPWNIFDGSEYNVV SHFCSEVDRQPASSRSWIVDAKGNKIPVLKQVKPSYSISNKRSPPTTPDTYKDYRVSL QWRPSRSSAQSDCPMSCTEAYRAIADSTCGHTGGEQNVMALSGSLDVTCGTYSYHIIP PGSSSDSGGTPLALQEQQCYLESQWPKHFDVHEGSVKQAAKVACGSELKLPEKMSKDS KAWEDVHMWGRTGMKLKMRVEWKDGCVLAGGVAEQEPRRPLGAGGVDGKDGCYELLYN DWKNCNNGGVGGYRQAGCLVYSFSPK NEUTE1DRAFT_123573 MTITAHLYHPDFLPACRTGNLETVTRILNHETPQVSTAQLQDGL TEAVQGEHLAVADLLLSGGARVNRRTFQGAIIRGDPATFEVFINNGWDVNTTEFEEGT ALRMSIKNPPLMNLLLTHGDADPNLLSIPMRGRTRRAPSALTTAIVAEGQAGADAIRT LLAHGADLEPNMLHSAIEASCRWRNVPNKDQACAERVRLLIDAAAAQKIKGGDKEKKK GGVQWYLNYLDPKKKGTPLHCAIWWRRLETVQVLVEAGADVVGSALYRGMTVIQAARR RVEMMEGQGHEEAKRIWEWLTGRRWEDCDGREEEEEEIEDVPEDVGEGKSTLMVEEDG VEVAENCACGENTSTGMGRDRPYLGFGALDIKLTLSGYDT NEUTE1DRAFT_85086 MTTDAVQEGTGPEPMSVSPTPDDIIAAFLARQDDIVMYGQSQED YSVPEQSFSSLSMDKSPHGQQEHLFTPSNTFTDALLSPPSMSLVGFQRDFQLPSPPQS RSASPSGSDETAPRHRRTSSYPRKTGRNGGAHVRRHTTLSTSSKGHMAHIPMVPRKAE DWEPWKNVIHQLYIVQNHILKDIIVIMENTYHFKATPKMYKNQFARWNFFKYAIKRRS RSGTDTCSGSDASESTEQPWMIDQNHKPEDMQADTPIFRNDCDGPLDAYPSHYLDDSP SEPPYCFPSSQEELVPFCLDSQISSFAQHIIMNDPDSKDLATRWNRGDFGPDFAQRLH SLYNKVTAMSDSSQSSEKVSKAIQRVYCGWMSDYYESTQQWSEVFEWKKKGLSMTSNQ QYVACSMRLEEMMRDHGSPEEAEDLRRARMDIGWLMSGVLPSLFECLSAR NEUTE1DRAFT_147675 MKWLSKAGTSVLIAARFWVTLPYQHMFIYCTLRPAQEIQAVANL DIEVPANRTTLLKAVKTWRAGKWDELTRIIVGAFALTAIVTASLSWASIQTSHWTGPA LWYASLALCILGFGVASQQQLLLLLLDEEKALDVDNPVDADSAKKVILRHLNQILALK RLTQQHLPSSTDVEAQAGASTQGSQPSSSSSKAGAVVPVVVGTATAAGQSSPPPPPPQ WVLSARRMFFWQCSIMVMSWSTGLYLIGLSIVVCTPILERRPWDSESYVAVPYIISLG LAAFMVTFISYEAYDYVPVPEDADRTFLQQVRRRVKDLAEGRDPFQPRENR NEUTE1DRAFT_117643 MVSKPDSSLPSSTNSTPTPSNYNKHSSREQASIATTTTTTIERT PRSTCFRQHTSQNMCSPQGSPQGPVGQSYMLVPNLHVPDPTVDEIELDNTNAWMVATV IEDDDLMFGGKPLSAWYEEDRRRLSSSNEDEEEIRGRQRDPVVRFDAHHQHRHHNTHH QPQLQHHHHY NEUTE1DRAFT_66404 MATDVQHHHPAPTHESARGHQSVPSNSTATTVIGSFVPFKLEGN DTTTPPSAVDTDTDDANLPEPRQLLERIPTVLQPSIPWRKRLMHFTFAWYTVTLSTSG VSLVLAITPHRFRGLSTIGLVIFLLDLLFFLVITTSITLRFVLYKSTFRRAFTRPHEA LFVPTFFLSIAAILCNVAEYARIFLFNGDISYGVPSDDDDPRASLTSFATFLCVAFWF FSGLTFLASVIQYHLLFTVKAERRLSLTTITPAWVLPIFPVMLIGTLAGSFSRTQSPS SAIGMICAGLAAQGLGLLVSFFFFSSYLSRLMAFGLPAQRAGMFIAVGPPSFTGAAVI NMASDIPRVFAQLDPARVAVLTGGMGPESMETLAAGCKLGAIWLGVFLWGLGFWFFIS AVAGCVAGMQDKRFHLSWWSTVFPNVGFTIASIKIGAGLGSEGVLWMGSGMTVALVLA WAFIGYRCVRAVVKREIVWPGHDEDS NEUTE1DRAFT_147678 MASTVGAAASSFVEAAAHHAVNAIHLEGRRASGTVQVIPDHRSR VALVNFSCWFLTAFATLFLGLRIYCKRYRGRGLWWDDYVLILSWISLTTSSALISYST TLGFGLPTSLFNPTNSIPFLNHYLLITNFAGTFSILAALWSKTSFAITVLRIAQDDWI RYLIIFIMISVNLSLGVAVGLTWGQCDPIPKIWQPYLPGTCIDKSIQIHYNIFTAVYS GTMDIVLAVLPWKIIWILTMNKPEKVGITVAMSMGVFAGCASIIKASELPTIGNSNFT FASTNLVIWGIAESAITVVAASIPILRALLKDMERGSRLPPPPPAEFYNLTSLESESG NGNQDQNGQEEGGGNMEGMQGTTTQGTGGTGSMTVTGSDKTGQSSKKRGLFGSLSTSL TRGSKGSSRSRSSSDGRRDGQEAANGHGDGRPAEVSRLSRLSRELSVETAEGFSRGDS DDTVNQPSVNGGNHGGGVGTVHAVMDPQPTGRSVNGRDMEVTIAYDQDQTSPTRDSHT RRMSEWLAYQTYIQGSDADLAHVHAIV NEUTE1DRAFT_47815 MAYRLNLFQSLVSVLVLCKPILALDFGQWYSAGNSDLLAPRLYT EHDLKRKGLSDHVSFHPEGASEGFYCHYPNLKSKEWEACNDGADSRWCWLRQLQAQEG ESHPKGYTVTTNYDNFAPIGIQRHYHMDISKQTIAPDGTPREARLMNGTYPGAMIEAC WGDTVIVHVTNNLDDEGSVIHWHGLRQFYNNDHDGVALTQCPIARGSTWTYNFTLLQY GTTWYHSHYILQYADGVLGPIVVHGPASAPYDVSLPSPHFMSDWVYRKAEEEFDNEKD PVVRGSKADNILVNGIGRSKEAVAAYNGEDIRSLYPITEILPGQRVRLRLINGAAGTS FIFSIDGHALEIIANDLVPVEPKIVDSLLVAIGQRYDIIIHGLDNPSPSGNYWIRTHP ADGCNTFRNGLFNSTDSTNEDPLDPRTGILHYGHSSATYLSLPDTTANTITDFSCARI SEQTSLRPVVPWSISTTPLNNLTLSTFYPSHQTENDVPYGNYTHWLLRLDPLVEKQGG VSFHNPLFANFSTPSLLDFTSIGQGENDNVIRLPYSSNSTDFIHMVIDGSLLPSTNQT DLDPDVIPLLAHPMHWHGSDVVLLAQSEQPFDPATSMDTWNYENPPRRDTIMAPAGGY VAVAFKPDNPGVWLVHCHIAWHASAGLALQMVIDDGKGLVENMLAGERMKRLEGGCEE WKADLGKVVDYEKEESGV NEUTE1DRAFT_46969 MMKFFFLSLLLAPALAAAADADPTTTYDYIVVGSGPGGGPLSAE LARAGYSTLLIEAGTDQWDNPTYADIQNFNEAANDETTRWDFWVKHSDDAARDLKFKH TTWDTGDGTFYVGLDPPEGAKLLGIQYPRAAVLGGCAMHNAGVASLPEDDDWNRIVNL TGDTSWEAGKMREYLKKIERNEYADADDPSHGHDGWLAISNSDPSWAKNDSNPAVKIM KRLAELIGQDPSRVADLANTDILGDFPNRDTMSSFFNLATHADKTGKRFSPNNYIRAT LADSAKYPLTVKLNTLATKVLFNTTGPKPRAIGVEAMSGPSLYRADPKHVPGTTPPKT QYFARREVILSGGVFNTPQLLKLSGIGPATELSKFGIPVLVDLPGVGERLTDNYEGSL LALGKVPMESGLITILFRTPNAPTSKRNIFAWCGAFSFEGFWPGFPTYYGPNQYSCAM VHIKPRSQDGSVRLLSADPQDKPEINFRFFEHGGDEDLAELVSAANILRESWQAAGEP VLPFEELHPCPSAEKNGTTCSDGKQAQYFKLQAYSHHATSSCQIGAEGDRLAVLDSKF RVRGVEGLRVVDASAFPNVPGFFPSVPTMMISVKAAGDVLAAAAAAKGAAAGVGK NEUTE1DRAFT_123580 MPRIPTVELSRYLLTGHIASPGCLRARAALPGKNTRVALGGAPL PSRQLQPRQHACHLTTETSPANPSTTTSSPAAAAPFRKQLKEQAKALKKSGHKKKKSS DNQTVPGWELTVGIEIHAQLNTAHKLFSPATTPFNDPPNTHVAPFDLALPGSQPLFQP ATLIPAVRAALALNCSIQPVSRFDRKHYFHWDQPSGYQITQFYEPFAKDGFITLYARD GIAAEDGEEIKVGIKQVQMEQDTAKTTAQPGDVQWLDFNRVGVPLIEIITLPEIHHPA TAAALVRKVQMVLASVDACVSGLEEGGLRADVNVSVRRMDDPSGKLGTRTEIKNLSSF KAVEDAIIAERDRQIKLLEEGGEVKGETRGWSLGSTETRRLRGKEGEVDYRYMPDPDL GPVVIGEDLVARLRETMGVLPDQEADQLMERYNLSAKDALSLMSLDGGARIQYFYNVL DSLEERLVADGQAVPEGAEHATLAANWCLHELGKLTDSASSSTSSDTDVLEGLAMTPL GESPLVPSSSLAAILHHLYSRTITAKVAKDLLWAVYRGEIPEGGTTSYIDTHGLWFKE LPEEEYAKLVDEVIQGEEKILGEFLRWKQGKMKAYPQGKLMFLVGKMMRGGPEGRVEA AGAERVLRRRIEEVYLPELEKGE NEUTE1DRAFT_85102 MTRITASLAGVVAVILAIVIQSHAVPGTLGWWKRDIPPPASSAA APVSSSPAEHKPIPTTPPDNCNQAFFTSILPPEASLENIAAVPDGGSHGEGKANIAYP TDPTDLPALCAVTVNVTSSPSSSYRFGLFLPMPTEWSGRFLAVGNGGFAGGINWLDMA PGTHYGMATVSSDLGHNGSVIDTSWAINQPEKKTDWGWRALHGTVMLGKKLTAAYYGS DITYSYYSGCSTGGRQGLREIQEFPDSFDGVLVGAPAWWTSHLNNYLTQLGMYNLPNT SDSYIPTPLLQVVADEVVRQCDLADGVQDGIISRPDLCTFNSSLLLCPFNEPAPTCLT NPQLSTLAKIYSSHHHETTGELIYPGLTLGSEAQWWAIIGNTNGVPSPFGIGYQRNFL FDNATWDYTTSYSDSVVDLAERLDPGQATADHYDISAYKSRGGKIILYHGLADGLVPT KGSELYYQRTMKLFSDETTDFFKMFLIPGMQHCWASPAGVDAPWNIGGAFQAGVMGSG VWSVPGFEGSEEHDALVALRKWVEEGREVRQLVATSWRAGMNASSGVRRQRKVCAWPG ESVLKEEVVQKKEDGGDVDVDVDSEEVWECKWPEEGGEGEVEVD NEUTE1DRAFT_147682 MAHSIEEMDPDKEKQLDEVEVSRNNELTPEFEYSQAEEQALLRK QDLTILPLCAAIYFLCYLDRSNIGNARILNSSTHNDMQTETHSTQYQFNIALMVFLVA YATFEVPSNILLKKLRPSRWIAFLMFSWGAITMGLGGVQNFSSLAAVRFILGASEAGL FPGLVYYLTFWYKSDERSVRVATILASATLAGAFGGAIAYGIGHMNGASGLSAWRWLF IIEGAPSCVSSLFVLFFLPDYPEEHLKGREREIALARLKIEGSKSHHRSMTWQDAKTT LTDWRLYGHYLIYFGISVPFSSLSLFTPSITAGLGYKDLRAQLMSVPPYAVAYVTQIV GSWSADHFNARGIHSAVFSLLGAVGFIAMVTLPVDAYQHRYGCLILASAGSFACVPPM LGWLSSNVYSTASVGLAIAINVSVGGGIGQIPGVWIYPKEEAARGYPTGHWTNAGMLL MVSAGAVGLRVWYGYKNRKLLRESNGQEVRLFRL NEUTE1DRAFT_111609 MARFSSTGSIIPRGYLRPKSRRRHIFLLALLLLFSIAYFLHSSS SSSSPSSAHAVSEFAWLEHHMNSPFPSLTKLISNTSFDWNSVPYKYPPPFPLRHLPLP INSSPSLPPIQHHFPPESRAARKLRESRRQQVKKVFIKNWNSYRTLAWKKDALLPISG GYKDQFSGWAATLIDSLDTLWIMGLRREFDEAVDAVADIDFGTVKEGSGRVNTFETNI RYLGGLLGAYDLSQREFLLIKAKEVGDLLFAGFNTATRMPVDFIDFERAKEGKEGLEV EESVVSASPGTLTLEMTRLAQVTGDMKYYDAAARVMDVFERGQNRTKIPGLWPVYVSM ARQDVVTSGNQFGVAGGADSLYEYLPKMYALTEGMETRYESMSRAFLEAADEKLFYRP MVPGNEDVLMIGTADVIVDTDGKEEMVLNPESEHLGCFLGGVYGLGGRLFGRLEWVEN QGVKITRGCVYAYRAMPTGMMPERYNMVPCPSRDDASCRWNEEVWEREKKKRLEWKQH LPKGFTTAKDPRYILRPEAIESVFVMWRITGRQEFQEAAWDMFTAVSNGTETEFANAA VLDVTKAEYPLPKEDYMEVRVLLTLTRYDAGFKQ NEUTE1DRAFT_102507 MFTKALLAFAALTLANATPLHLDDSSPTSPQPPTSTALGFALVA NLTDPDMARNLFLDDINHWSLRGVHVGAGQSTAVLAKPNTTASGSSAHTIAERSSSGP HQIYYQNSTGGISLDSSNPFPLSIRLGGIYDSDFPSVLYLGIFFGLSTPSISISSPSG HPRLFISSAGADSPQADQPTAPGSPITDSEAPELYQRGTFIVCNETDPVYTRPQYPVR FVKSNGDGTVSLPGNCARIELLAECGVLRYLDGQGMEYGQDKPGVVSCFDGDL NEUTE1DRAFT_102508 MDPVSSPTAADPAAETHPQADDDDKDVDSLFDGKTPAFESQTQS PAHAPPEDQDSHESHSEPPSQADGRPETQPQESQQQQQQQTQPARPNPSSDGNRPLTG NSSTSSDSHPNTNTTANNGPPPGPHTDLHPRPAPSSHPNGNYGHFGPPPPDPGPKPMP PAPAVHGPFTYDKDGFKALGFKRETPYNLHMIMRDPNAVPPIEPVAKAWFHAQLIHYG IPVHQSAPGAEPLPGDLFYALQTALFRETIKEGKLPPADILTIEDNLTRQFNDINRQY KEAFTYWEQQTFARCATPTDEINCSVDLFMAKYFLDNRGQPDRWKTRYPIILHKLNDY DGTRQVVKAVPRLRSHMMPLWSVICWEWYGIERAIEEAFASIAASHPSITIHVPTMEA HFHIDYFLSKYFLTGLHGRPMPFLTQEPIVIEGWFGGPDIRNILTHAVLRVPDLRVWA FIGNGIDKTVIGWGDRPFEVIEQLRETSMRQQVANTAPQRVEIQGNPTWELALQPHFR LCQIKADLLRTNPQQVYEPFEIEELVGSWLIQCPALEDGGWGGRPGEMTIDILNWPVD SYGLVASINLKVALGTMILAKSEQLLEELSAYLLHDPEVLPHIPHQKRRRGDDFYVEY PRRRSPYDPMPPVRIFFDWIGRRAGELDVELDMDPANRNRGWLDIDMNNKAFGKGWLK YAKFFGRDNPVAFSMYKVMDQPRKMPEQWSNFRPKHMEAQLQRRVEEQLQRPIARPIA QLKEIMITSKIPPPASDHTLPPLPPPRAAPPPRAAPPPRLAPPPPPRPPSPPKDLPGF QRIRPRDSEGIQVGMHTIPPKKRRGPKKGYNRAPPGSVVEKQGDGVSREGGDGTSAST PMEGEGHVEGYGSEEEDQEQEEQQDGEQRDDASPDNANEQAEEEQRQTQNQEQEEGTQ GQREGADKKAQEEEDDLETYETPEEEAPSAPDAQEQQNDGNGRQEHQEGQERVEHNQE EEEQEEEEEEDKEEQEQGTA NEUTE1DRAFT_117646 MGFGDLDYKEVIERQFHKELMYARENINPGPGEDGNYWEKKFDK ANFVPVYRKELLDEFIEKLRRAVSGQDEMWIRETEIWSKCQSW NEUTE1DRAFT_139321 MDPASIIGIVAAACQFAELAAKGAIKGAGLLKSLRDTPAKLTEL LRLVQISKQNMVQLRDHLAHPTLLSLLPQLQLQSFRDDIDQAHKIAEELEVQIESLVG PTTQDLRGIKKLWRDVITIKKEGEFNDRFEAIQRYNEQIHRGLSGLQVALSTKNLESS VAIGTRVNQNTTVLAEIKDKVYELNSRKTDVDIDVNVHGSTLIAAQQTRASPATTRVE FELRLEPVSIRDEIRSELMLLMTGQGPYASASNALLPIVSRLSHEDRAQVTSMLLMTQ AQHANNPRRHSNSAAVRRSFDWHSAPWPPLCDCDIKTYNIEKTFKRGPFSFGYGYENQ KRHHTSCPYNGGEAVKQTWNYRLCMQLLPLVNKTVQFALSATIGGDGLELQFPLKVFP TVQRANSAIFMLFDNFAERCAELGFFRGYYVNQRLYPQFLVHGVYPIDNEHDSNTAVA WDIDRVRQELRHIRRVLCDAHVLRIGSIRDRDESGFTVLHELMLLVLQLIPVYSHVVD EITGLLKLISVNDIDAHCVTEGEEQILDSQEQPYLPLDFMLGIMSLDSNGSTLQLHHD VTYYDVAEDFEVFESDGEQGKATMYASVYRALWTLLRRKPDLSTIIFNGELARIVLDR DLLNLEQFLTSSTLNWRAQAFGLYGISILDLAVGWREGLQALSAVWTWDASCTFWLAF MISDKDSCHQILDSFFLPMNSCTFIRLMMDLIKADAMDWAQTVVKSHVRKRRDLAALG RQHLSPTDQKRLGLSTAEVTPLNLRAREVYDLLRKKEIQVPLALHPG NEUTE1DRAFT_147686 MADAATPTADCEQEVAVAAAAAAAAEPVAELVPQNPPTSPTAAM GSSGDNSAQGAQPSAMRCCCGREDCVYLRHNCTLLKSVERDVHTAAKMGQTLLIRHEA YMAAAERDRAELTARIEQLERENAELEEKNRETIHENYSLHAELESLNDTVREADTRI DYLETTLRESQREIRRLELAAERAATLEKQLSMLEEEQATLQATLVNTQEEARTAITR WKQAEKGINDLQEQLERMEKEAKEERERHAEVIGRIEKQREMEKELSTAAGRLKGAAA VKSLSDTKSTRNVVSHFVRDLLQDNANLQLGIAELREMLMNSHDEIQLLREQIHYHQP SSSNPKQLGTVSTLQTEFDDPDSAKTPKISQAVHVHHHYHIAQKGESRKQKKNRRSMT SGTFSPPHIISAPTTPVSSQNPQWQAGRGLGPPFIPRHSAHDSTSTAAGRWSLISEMP SEFAPSSAPTSPQSNPRRNSVFDRGIIEVSVPNSPTTSVDPTSPEWNRKSHRRQASQW STRSTAMHNSGTCSPPYPGHRSLAGLVLAEELNQAMGAYTTDDVPDMAHGVSSAEDDT VETMSANGDVDDPEIVTDDTIHRDLDFHPEAAEQRTGGLRRMTSHESIMSLSNGLDIH TLKVRPSQLTLRPLGLSAAGTGISNVTAMPTISARGSRGSMHARDNLALVGQRLPRPV STSQNNKRPSVAEPPFFLNPNRRAHTDGLHMSSSVRSTASRQLSLPTLGRLVSWRPWS QSSSQPTTAIDAAPGTTGRSTGLEPTPELPEPTSTPVGTGSTGAGTGLGIAIAASDGK SSYRSVSSSTTTGTAGTATTTTTTPLSSSLRSVSSATTGTTIKPRAPGINQPGVIPGF LSRKGGLPSKVTVEALDVSQLGSIEEALREGI NEUTE1DRAFT_117647 MSIERVRGQGAASRCLELVLLEHSEQLAQANRSSDYISFLGRMK KTGSERAWTRDLGYIACDHI NEUTE1DRAFT_85111 MAAAASGQQHHAAAGGPSRPPLFQFQGQQQQQHGPPNASVYSDD GQQSGDDDDDDDDNNHANDQVLPNGKRKRPLSVSCETCKTRKVKCDRGQPACGWCSRN TVVCEYKERKKPGLRAGYGRELEQRLDNLEAELKRHADILAAHGLDLNTSPGSVNSRA TLSINANNRNNHAPSGHRSTPSLPSNGHGTPRDTGPPPVFAHAGPDSAERALFMHKPP TSADFGLGPHTPAVIHDNFQHVATPQPRMQPAMTPSSAVQEYYGAPRAVSHQIAGPSL TAAVLPVTQGQGGAEQELPPYGVCYALMELYFKHIHPWCPILNRETTKDLFFGERIPN EEEKILLHAIVATAMRFATDKMLPKESRQRFYTASKQRVLLYGMEHSSVMSLQALVIL ALDLCGSSNGPPGWNIMALITRGVVQLGLAVETNSMTVCPSYPSIYTLRAMVLPEPRD FTEEETRRRLFWMVYVLDRYATISTAFDFALDDKEIDRTLPCRDELWIKNQKVETRWF HAADDDSRLNSNSSADYQVNKPENLGAFSYYIEILGILSKIHKFLKQPVDISSLTDVE NWQHRYRELDTMLKVWKFELPDDYSNMAKIYEHPRVARTLSCAWIMLHATYHTAVIRL HSSAAYPTVRSPIFSPSYMASQTCRDTVNNILALGKFVVEYNLLSTLGPPFAFTLWVA ARVLLVHGSTVERSLNPDIQFFVDTLREMGKYWPVATRYSNLLTRVVDEYKASVSEGD EVTPSSVRILADMRRTAFDLDLLISRQPRHGSRQHGVLTGTAAGNSVMSAGVMMPVNN NSNGHFAMGAEGGTGGGGGANDLEYLDVFDFFNMPRFPLKQQPGQGVGGDVMHGSAMG GGGSAQGVGQFNIMDFMVYPERDWLENPRGPSWVDATGGRPEQGTGASGPKTRTGTGS AEGAGATL NEUTE1DRAFT_47587 MATARQFPTIKSIRSFVIGGVGSGGDYHNVKGGHWLIDSPISTP CSQWEKYRASRTSWGINVLGSFFVEIEATDGTVGFATGFGGPPACWLVHQHFERFLIG ADPRNTNHLFEQMYRASMFYGRKGLPVAVISVIDLALWDLLGKIRNEPVYKMIGGATK ERLNFYCTGPDPPAAKEMGFWGAKVPLPYCPEEGHVGLQKNIEFLRKHREAVGPDFPL MVDCYMSLNVSYTIEIVEKTKDLDINWWEECLSPDDTDGFEQIKRAHPTVKFTTGEHE YSRYGFRKLIEGRNLDIIQPDVMWLGGMTELLRVAAMAAAYDIPVVPHASGPYSYHFV MSQPNTPFQEYLANSPDGKSVLPVFGDLFLDEPIPTKGFLTTADLDKPGFGLTLNPVA RAKLIPSAYLLTPPPAVSSTLAGASSGVTGAEETKPAPAPGVTEHKDESDGVLDGFVA RIQELTSL NEUTE1DRAFT_102514 MPPTNLLASKTAIITGGTTGIGRAITLAYLSQGCSVAVNHLDLP RDRHHVDSLIAEAAALKSSSSSPQHTTIGDLALLPGDVRDPSTGPALVKFALDTFKTD RLDICVSNAGICQFADFLDMDADLFSNTVRTNLDGAFYVVQAAARQMARHQDPPGGSI IGISSISALVGGAEQTHYTPTKAGVLSLMQSIACALGRYGIRCNAILPGTVETQLNEG DLNADEEKGREKRRYMEGRIPLGRLGRPGDVGGPAVFLACEELSGY NEUTE1DRAFT_123588 MLARRGVIADELTESRSHVQEAVLGSSPDNRTTPQSHSEPHPEP PKTCTDPNCHFSPSTSESASATSSSTVRQAQGKDHHKHPTTHHSHHHHHEWDNLPGIT SEAEVLRQIKMQQQQQREQQHHHKWDNLPGITSEAEVLRQMQQREQREQQQKNGGQEQ SQDQDSEVQGGNNNKPKQVVSTLEEEGQAGNSTTGGLSSEVRGQEQDKGKQREGDSPN RGRAAGSTGKSHGFI NEUTE1DRAFT_111619 MVLRSPHKPLTRTTQDIRLLTFDTTHKAPSESNFAPPVVSLFLS HAPLSASPPPFQAVSYIWGNPNPDEPLPTIHPDYFPMTVAPSLYLIVQEVVLAWKGVV SCGSGEGVVMGWEDIRDALQLLEWMILSSNIDPKYRRLHEFLGGIMSSVVRLEETLNA YRLILRKGKDYSEKTTLSTVLTHLATAIIQEYGPKVLCYHRETARWAHEGLPSAPGKP TIGATDRLHWPLHRTAETTVVGEWKLALFLQRIMMSTAIRIGSEFTSVPGQEDYRKNS DRSSSDVRILSMFEARHSTQSSLVKPTHCTQSLVKAEGERTKFLRYLTAYRAWLEETL NLPHHPFILHAPVLTSDTISLHQTHITYSSQLQAEAPRAPSLSLPERSEKSQLESPGK ESSSPDECTGVEMKDPPFCVKIPDEGMFETFMRMNISRAVSNFDSAPSLQGIDPIHRQ PHHIVNLIASLKGL NEUTE1DRAFT_123589 MSARRRRRDAAASPEPQRPTQAGDMFHWSILFPVAHWDLAPRED NEWNDGDIAINQIQSYKWLQSRARSLGTRGFLLIQQLAPLNRLLLVHDLYTEELVINK RVRKYLRRSDLATLYPERKDIRQRLPRRLQMYAHPIPGDIRFARISGDHRDPRVEVRL PNEPYFQKLYAYGFPHDQMYGHLDHGMVERDGRATEEVYNASLYYEYLNGGSLEHAIM RINWGEGERARCVEEPFIWHLIEQLSRALLYLHWGVERQGNERNEDGERIPRNWQPVC HRWLTMDNIMLHWPEYGEEGYEESMDGRLPRVVLTNFDNAARKGEEPHDNGIIHFGRL TLPKDRILEARYGVSPRDRVWQMEEPDTWQDIYAMGKLLRRILLDRRRPGLHDIPMRG QKNWFNSETYDLAPFAQSRGGPYSDELIDAIRWFESTGPDGEVTEWKGNARFTEDEKP GRLNSVAGLEDQLLEEAESHVETFRENEPPVAANQISIATVRPPDLRHYHMPFRTRRI REKDAENEMQVMMESLNGPAYKVVVEYGHEHENARLAKTDKMRELEEKRAAEEREIDR RAENMFRTVPDSLLGKEVQEEERKDYRERLRKRKDREFRDETMRLVGKKIKKARKRRE RKERQQEEGEAADGGEWEG NEUTE1DRAFT_48003 MSQPQQQPLPPPYPGSGSGGSSSSPNSDSPISSTSSALPTPTDE KKPSSYGTVIPPSPSPDTKNLNFSSSSSTDLSESSEYADLTRNPFLDPVVAAHWRKVY EEADYECRAVFDPSVTWSEEEEKAIIRKIDFRACAWAIVMFFALQVDRGNLSQALADG MLDDLGLDTNDYNYGNVIFLVSFLCAELPSQLLSKKLGPDRWIPIQIVLWSVVAISQC SLNGRGSFFATRCLLGLIEGGFIPDIVLWLSYFYTSRELPIRLSYFWTTLSTTSIITS LMAFGLLHMRGINGWAGWQWMFLVEGLITLCIGLASFFMMPASAVQTKTWFRPNGWFT DREVSIVVNRVLRDDPSKGDMHNREAITPKRLWETLKDYDLWPLYAIGLVAYIPQTPV NYYLTLTLRRLGFSAFNTNLLVIPSSVVHIITLLLLTRLSDILKERTFVAMLQCLWTL PCILALRFWPGLIENAWGTYALMSVLLSYPYCHAILVAWTSKNANNVGARSVSAALYN MSVQLGNVVANFIYLDYDKPYYHDGNTKLVVINFLAIGVFLFTKAYYVWRNKQKDKVW NGLTEEQKAEYIKTTKVQGSRRLDFRFAH NEUTE1DRAFT_123590 MAPTHNKTLVFKKIPEGYPVPGEHIVVEDRGFDPDAAPPPGGMT VSILWASFDPYQRGRMRDASIKSYAPAYQLGGPVTNDTVAKVLKSDNPDYQPGDLVIA HLPIAEYAALTKTEVGSVMGGIVGQVVQTKIDNKYGFKDLGLFLGPLGMPGLTAWSSY KEIGQPKAGETIFISSAAGAVGQVVGQIAKREGLKVIGSVGADEKLDFIINELGFDGG FNYKKEKPLDALKRLAPQGIDIYYENVGGEHLDAALECLNTFGRIVACGMISQYNVKP EEAYGVKNLIQVVAKRLTMRGFIVFDKDFGPKYAKEHQEQLSKWIAEGSVKFKLHITE GIDNAPKGLVGIFKGENFGKAVLHIKDE NEUTE1DRAFT_117650 MASTTKGNAIPSASKLSDLFSLKGKVVVITGASGPRGMGIEAAR GCAEMGASVAITYASRAEGAQKNVAELEKEYGIKAKAYKLNVADYAECEKLVKDVIAD FGQIDAFIANAGATAKSGVLDGSKEEWDRVIETDLNGTAYCAKAVGPHFKERGRGSFV ITSSISGHIANYPQEQTSYNVAKAGCIHMARSLANEWRDFARVNSISPGYIDTGLSDF VDQKTQDLWKSMIPLGRNGDAKELKGAYVYLVSDASSYTTGADILIDGGYTVR NEUTE1DRAFT_130945 MHLRKSPLTLQVLFGAILGIAITTAADSSSALVSTPGQIAPVPA WYLQSSTKAASDLEALSRPGIDTSGWLHVNTSKCTLMGCLLEAGIYNDTELFYSDNLR RVDSKQFEVPWLYRQEFGLDPGAGKYFHLLTHGITSRADVYLNGKQVADKKTQAGSYG GHTYDITDLVDRDNALLIQAHPTSYYADFALGWGDWNPWPADNGTGVWRDVEIKQTGS VALGPLRVVTQLGTPWDSSPANVTLKSRAQNLENEEVTITVTGTVFPEGYTGETLTWS QTATLPPLSTTDVTLTTTVQKPAIWWPKQWGSQPLYNAQLSVFASNNTLSDQVSAVFG FRTVTSQLNSYDDTTFFINGQPFQVLGAGYAPDMFLRFSSTKFESEAQYILDLGFNTI RLEGKNEHPELYQIADRLGIMILAGWECCDKWEAWSYNQDLAVPTPVWSEDDYGIANA SMFHEAGMLQTHPSILGYLIGSDYWPDSKAAPMYMNTLRLQDWPTPVLSSASKRGGFP SFMGSPGLKMEGPYDWVPPNYWYDTEPSASRFGAAFGFGSELGAGVGTPDLSSLHKFL SPSDLTDLWKNPNKDLFHMSSTETSSFRNRNIYNSGLWNRWGAPTSLEDYVQKTQITD YEATRAQFEGYAANWNSPKRPATGMIYWMLNGAFPSLHWSIWDYYMHPAGAYFGAKVG SRIEHVAFDYVKKNVVLINRSLDKEGPRSIDIEIIDPTGKTLYTKTIKTTTEPNTSRE ITSLASAFEDIKDVVFLRLVLSDNSPNSNPTSKDTELSSNIYWLSSNLDTVAWDNSNW YYTPVTKYSDYTALNKLPAANVSVSVTAWSKGHSEYESSSKVQVTLENHSSFPAFFII LNLVNKEGRDVVPVIWEDNYLTLWPREKVTVQVRTLEGAGLAHAAAAVEVVGKNVKGM TVMVG NEUTE1DRAFT_117651 MPVRVVPREDEQVDDERQGVDFATAVSNCPPTAERPQAIDIDVD IYKPSIARANVAPSTEQPEGSAEYVDALDLKDYTVLQQHCMFWDRDRDGVIWPQDTFI GFYELGFNLFFCFLATLVINLNFSYPTRLGVSYIPDPYFRLYLPSIHKAKHGSDSGTY DKEGRFVPQAFEDMFSKWDRGDKGALSAGELWNMIAANRLAADPFGWAAGIFEFGVTW LLVQQDGMVDKEDLRRIYDGSMFFKIREAYRTEKGWNKGFGLREFFNLGREQWAKNKN RCPSLDSVVSKVEKTVTQKLHRA NEUTE1DRAFT_130947 MDNNTSPSSLPPQHQELSYTTIPLNTPATEIRLLELYPSADFSS PLHCRLYTTPIARLHPSKPSPTQSDADASLASGLSAVDISSDDNEVDTVDGGRAGADA LNGEKCIIRITTSLDTCLRHLRAIHHRDHPSAILTLWIDQLCIDQSDSDEKAVQVGLM SQIYSRAKQVLIWLGPAADGSDEVMDVLAELGKEFEIVGIRLGDLEKILKLTNEYVRN SLRISAEGNVGEKRKERIGVTKEKEVSLPLGKEIDCGIGVEGGTGTTCGGDGVVNAPG GGDATAGNSDEVEIGVELGLGVDVTDIEALDEAAVVCTTNKADASTGKTVVAVVEDGA ARLGRMGVSGELQAFFRRPWFTRLWVVQEACHCADTVFVCGTKPPVSYDILGTIPVCT LRAVQWPGHKRNDMSDWGGVSPICTHRKIAGVGVSDDVAEAFDGLSGYTFRSYLTRRT IQQISKGVGGDDLFTLLVGTYTRGNRGGETTLYRDRIYALLGLATDINQLEFQPDYSS QTSTAQILTDVAKAIISKTKREFPVEVLSYSQFPKTILDDGSDEQLPSWVPDWRSDLR YPFYYSLSDTDMFMACGPHRSVDMVPTTPASVLGLRGYLVDTIEEVVVTSTINRWRES IEFFEKWDKLWELSKQKNKPIYATPARREEALWRVPVGDITEDWQKDTMGDTRAKAGF ALEYQKWRRTLEDEKKKATSTVYFLYELDADKGYYYRSATGKMIGMRLYLTKEGYMGM GPSNMQPGDVVVVFPGARIPFVLRPTDEDNTFTYVGDAYCDGIMDGEIIFREERRDFF LV NEUTE1DRAFT_111627 MHLLPSLTILATAFTALTSAVALPQSESRAVVESRTYGGDKCLC EEDANLLRDAYVRMISAWNPADAKYLTDDFRDTSGSINILIGKPLDGSVPTFANKQEF VDHMTVMPDNLPLQVTFSTFNCKYITLIWTATFGVAQKAVRGIAVVGTVYDKKKKMWL INSLDVEWNSMAYLLDIGGSYQVPGQP NEUTE1DRAFT_147696 MRSFTNQPQVTASKSSSLAAPSNDQAPTSNGGSGGNIQVPVPTA PGSSFHRLNRRLTAERPDSAQFTALSSPWDHIADRYCGLEGEGRVSEVAKDVAGGDEE GDKMMLLLMELVSGGIGGFDSQRYAKGRMQLARKEKRKEGSDASMVSVNAIWDTQSSD EGHQAKKIL NEUTE1DRAFT_111629 MTTFFAGETSVDLAGSVGQSSKHQGKSKGKVSSSQQSAPMRFGA PPLVLSANMCLAPSWMRPSQAKGWCCLVGTGFVCSTGPIPMPFPYESDAKSLNGAGAG DTAAGGRSHIGGLCQNGMEMRYDIPARSHRAYFAILAMCMSGKTQRLGFVPLLAIMKF AHLTGR NEUTE1DRAFT_123594 MASPVGEDNWVDFVDHQLRGATDFEGRVKVIETFGRAVQAEPGS LKVWMAYCEYFWSLYSDCQPGSDAGWPMEEQRAGCETFTLDRALNLWQEGYEAVQYRL SDSHQLWNRWVSLEMELLARTATEAGVRRITHLFKNRLAVPHAAWDNTSQMFSSFLSE YNRVNYESEMLHVTANARDAKRLYEMRDPWEMKLNQAQKVGDIAGMKATMTEYIDWEI RQAKSKKDHHTLLNIRICLGLFSRALTGIMSSDEATWLNFLVFVSTWHSDIKMGRVKI PSATLPNMLDVLQRAVHHVPWSGTVWARYILAAEEAGLGFTDIERIKHAATSCAQLDR DGMGGVLEMYSAWCGYLKRTAMNPNATEEAVDLAEVGLPSALEDVRHWGKRRYGDAYQ GDPNFRLEKILIQFLTEKKDDIDEARNVWEQLSVIPLHANSYDFWLAWFLWEMLVFAS TKSKNRSPTPATLAQGLRVPSFATRVFIKALKVRTLDWPERIMDVYLQHCNDYELAET LREAQDTIYKTRKGVSRRREREAAQAQAAAQAQAQAAYAAQAAQAQAQHQPQLHQQQE KYPQQQYQDYPMTESPQAGTSPSSKRKREDGFEDEVDDCAKRAKSETTMSAAELKRDR ENTSVFVHNLPEGITQTKLRQFFREYGHINNIDLQKSEGAPAVALIEFKSPEDARTAL LRDGKRLGESVIQVSPATDCTLFVTNYPPEADEQSIRDLFKHCGDIHSIRFPSLKYNT KRRFCYVSFRDRSAAAAATQLDGKPLEGGKYKLQAKLSDPGHRQVRQGAQAEERELHV NNLPRAASEEDVEGLFQKAGNVVSVRLTRNMAGVSTGTAFVVMETKEQAAKAIEILDK VIFGNHPLKVEVSKPPTRKTTATSRADGEAEGSPESSASGSGPSHVSGPEIAARTIAI LGIPDTMNDARVRSIVAPIGAINKLVLHPQHGGAVVEFADAATAGKASLVINSTEIEG GKKLRVGSVGQLFKEKAEKRIDRIDLPHQQPPKKQDDSKASKKGKTAAQLMPPPPPIR RPPVLGKAGAKKGLGFVVPVSKVQKEQNAATASAKDGSGPEQQVNGTGQSSTGPPVAQ KSNADFKKMFLEGKK NEUTE1DRAFT_85135 MAFGRGPRTPHTTTGTTTTTTHAAADRPSIFNPILSLFNIFVWI SSVIVLGIAAYEIHQFKKYYDAYPGARIVYILVIAVLTVAFFLLSFLLHPRPGYTLLF NLIFSYLWLVSVVFAAQSWSSRHVARKWHAMEAFTFLAFFGLFFNVLYAWWQQHRATV PSRTTTAAVV NEUTE1DRAFT_47988 MTQTEKHNNNNLLQTDLLIVGAGPAGASLACFLAAHGRTGILIA AAPGTADTPRAHITNMAALECLRDIELEQECRLAGTDSKHMEHTRWCRSMAGEEFARV YSWGHDPKHKGAYETASPCDHIDLPQTLLEPILVKRATHAGWTVRFNTRYVRLSRPSP DVILSEVLDELTGQTYFIQSRYLFGCDGARSQVVRELQLPLIKKPGQGLALNVFVRAD LSHLMENRSGNLHWVFNPEEEDYPVWGKECIVRMVRAWDEWMFIFLPQPGADLKADDM SATNDEYVARVKQVIGDENIEVTLLHASKWWINEVVAERYSDGGNVFCFGDATHRHPP FNGLGSNTCIQDAFNLAWKVDYVMSGRAGKQLLDSFDKERQPVGMDIVTRANQGLRDH YGWQKTLGLLENDMEKTRKILAEFEDPGEKGRLRRKAFRDGIENTTTEFHGLGIEMNQ KYVSNAVYLADQGEAPPAPKDTVKTLQVTTYPGRRLPHAWLNTRVPGKKISTIDLAGH RRFCLLTGPGGQAWKEAVKAVGEKLGVEVVAYSIGWKQDYEDVYFDWAERREVEDDGC VLVRPDRFVAWRSRSVIEKPEVKLEEVLRNVLSL NEUTE1DRAFT_47564 MFSLIHNPRTFLRFIFGIVFLMCFLTNMVSGGPLAAQHLRDAFR GHRPWKTDTNERRDDDITQSQSQSSPQQGNVIRVAASPIQIDPHGVYIRASPLYSSSS SSDGRHPDTSRIIAGFASTSGSDRVLRVSSSSNSGASWSPLGEITRFPSDGTHDLDNA MPLALPSGRILFAFRHHSLSPSSSTGFHYTFYRLEICSSSDGGRTWSFLSHIDQRPAS SSGKKNGLWEPFLRLAADGNTIQAYYSSENSAGDQDNLMRYSTDEGKTWSDPPILVSS SPTADSRDGMTGVSAISSSSPRELICVFETTSPDGTFSIARVLSHDDGRTWGERENVY MAQGGKWAGAPQVYLVGDTLVTSFLTNEGTELPKIDGAYTKVVVSKDSGKTWTETGKA ETVAGTGSHWPGLYSLNGTHFLALYSTDEMGAVSHLHSVS NEUTE1DRAFT_85138 MATAQLAYGVEKIVGNGPEQIKQDVSAYDPSKGTGDASELMKAL VWEGAKKVQVVEVPKPKIVEPTDVILKVTGSTICGSDLHLFHGAVMQMNKGDILGHEF CGIVEQVGPAVQKVKPGKRYVVSFQIACGNCFYCKNKLSSQCEVTNSNSQMKAMYGGR TAGIFGYSHLTGGFAGGQAEYVRVPLADANMLEIPDDVPDEKALYLSDVLPTSYNGVK DTAVYPGDALAIFGGGPIGQMVGIFALWEGASKVIYVDTEPRLSFIKSRWPAEHADKL HLVDFKQLSSGFTKNETVVSKLKELTGNRGPDVAIDCAAGEYAKGWLHWLEMQIGAET DTSEIINEMVEGVRNYGRVGLTGVYVGYTNHFNVGSLMQRGIRLIGNGQAPVHKYWED LLQKIREGTLDPTIMISHRVRLDDMDKVYHKFDAKEDAMQKVFVETKFSLPRAPGTPE LTTYE NEUTE1DRAFT_130952 MDRPTREHVLSNGRAFERSKRSDHAPLVPHTFVPVPASSTRCAG STRAAVTAPQPRVAQIMSINDLLLERAGMIQIPQLTYEWGQHPHDMIAGITPLPAPPG QAELMYDNAFGMNRGLPVPTHVHAHTQGLQCQRRPCIHGYGGRGQTKRTYLPHPQSEK DFLAQYYAGPPSADTGADQIPHIPAVIEVPKAPAAQQQPQIVTEISSTGTAAAGSTGL TITTTTTTTGTGAGATTTTRTITGPDGTVLVPPPVPAHPGCELSPQMAKVMDEYGIEH VCDYLRAKNLLRDKIVKKVLNNTQDKLMELLLKELDQMSSEEEEEEDADLDFVSDMED GGETGRRQEGEVDWTFAG NEUTE1DRAFT_48148 SKTVRLSPYGYTLDKCYQELLGRRHTPECDCAVGVEALVRTPCP GLQSFQFSLSDRNCLDSSYNSGDWWTCDLLGFKPRRPPDDLIVTYAPSSIARSRKHRR LARMRQLLGDSASRPLRSIAGGGVGWAIKRVCWANEQRQDSRLPTSSLDRKKETGRSV MMAPTGDETYIYGHFTEDDGPFIPTLGGSSPPPAYDVAVAERVRRAPASHRLDQNPQI IPAFPTPQYGTQDQGHISSVSPNFAHGGYAAVMSGTGMAEMAWAPGLIVQGPNPPPSH HSAQSVQVSHNLSTLSSPILISPAQQSTTSTAPTNSNDTWTPEQNRFIFRLDKVEGKS LQKISIALEHVFHVERDADTIARQLAFLRARGKAWSDDLSVTENLGSIQQPDSTTTST GTSTATNDKQLADVRLAIRLELEQRLRGSLASDLEQRMFNDQRERNAEYTAMMTMDLG KDSIEDYEIV NEUTE1DRAFT_102528 MSPSQSQSEVQDDQDESAPEPQQPRTSAPSHYQAVSFFAGPMPN AFDWQQRQIGSAQSGRMGQFTSPYNTLEAPGFDQNLNMTRPSITPPYIAQPAYPSPVM QHPAFQAQAVFGMPAGTHAAQASHPPLHYQSGVDPSLQQVVHHNVFSPNQSIPGMTAT GFQPNNNSHNFMDHNHFIVPPMGPSMPNSYPEVPQPNDAALPANTNSWTTPQDNLVRR LKHEKKKVAQIKEELKREFGVVRSGNAISKRWKQIMKKDSEEESKALIQNVLPPPTQL QMLHTGLLNAIPEYASAQHDPQTALLISEIQEDFQKGFTKLVEMCVLKVQKVLRHGGA NL NEUTE1DRAFT_147701 MKFTTIALTLFSAVAMAQDCPQATLMPACAAPCVSSAASAVGCN GADYACQCSKSSQLVASAQGCVLGGCGIEAAPTVISAASAICSACA NEUTE1DRAFT_123599 MEVEDEKIYPLKSRVYDIKTYPVHTAQGSTVLIYGHENGVALIW RGGRKLKTSKKAAGAAQNGGKPEDAVMIIDSDDESPAIPSTPFVDKPEFDDTVTTDAS SLADIVQTLDLAFGTAVHHIAVLPMPTCTAQDEAWNGAQILKEKLVFAVTCANGDVFV VTLPLTPPSNESKARPALKNSLLAGNAGKGAWGETLTLLAGQRKPSEGVAITLVKEQP GSESSQGRKGSVTRVVVAAHTREASGTLRFWDVPLDATPGTTARVEPFQTEYLPSPLG GISFNPLHVTQLLVVDPAHAVRIYDYSIPSLPSDDTSEGPFPTQGSWLISLYQPFARG TGMSTSRKPVVGAEWMAHGRAILVLLADGQWGIWDIDNANPTTASSAGLFTKASSGLR GSALTQFSVSGYLEGTATGPQPQRNLPTTTQKSAPLIVPSAVSASASAPEKLATVRGG VYVSQLPLPRNGLGEESAILWLSNTGADHTNPIVCTIPILSRFWDAQLQRTGGSLWTS STQPAARMLRLTDLNAGLLGERLTAATAIPRSTGSLTESTEGKQLPIEIILLGESRMV VIHENEDAFDQAAAAAAAAAGPFSSTERRLIPLPARKKARLDLTSGTAKAIIAFPKPE QPSSRVFNLSLARPENGEKLLRPKLLEAAVKSPSASFDGAGSTQEIDEGGVAADSQDT VAFSAYGGGGKSQSQGRDPGLLFINDLNFAADVEDDKSEAEGRDIEAELMDIMEIDRE LEEMESQRGKQGTKRVFFEEG NEUTE1DRAFT_66461 MSAFTIATRRVATQIPRAAAASTPRAAFSSSVTLQKSISETVKD TLNTVNRAVSDKALDGMDIGSNVASKLKETADTLTNASSPSDLASRVKDAASNAASDA ADAIPKDVKGKASELAGEAKGKASELTGKAKGAANEATSGNVSELAGEAKGKASELAG EAKGKASELAGKAKGAANEATSGNLSGAASELTGEAKGKASELAGKAKGAANEAAGGD VQGKAQELKDEASGKASELAGKAKGAVSEGQGKAKGMAQETEKMAKEAGKQSEFS NEUTE1DRAFT_85151 MAVRIALPRNTTSSFRRFVRVAGRFYSTEAPAGPIIRVTNLPAP NSGHIRILELNRPAARNAISRALLSSLRDEIDDVHSQYDAATGEEKPVASWQKRFGGV AGEDEKGPTRALIISSAVDTSFCAGADLKERKGFSQEETAAFLTSLRTALTSLQNLPI PTISAISSIALGGGLELALATHFRVLTSNAVVGLPETRLGIIPGAGGTYRLPQLIGIP RARDLILTGRRVSAPEAYFLGLADRLVEVAPESEEQAKEWAAMEQEPRDKMVLSLARR TALSEAVRLAMEICEGGPVAIRAALKAVQEPSEMVENDMYLRVVRTEDRDEALKAFAE KRKPVFKGR NEUTE1DRAFT_66466 MQLSTIPSLFLGLTAFIAPLALASPTPINGNSNSNDNTNLPFNL INKHHKTVVTTSRRQTGSSTVDTTPTCVSDPEGFMTMFDARSCLEEIAAKGSEQLTVP APGMALCERSSGKFWAGVSMRGTQVADASDIAAAGQHILDACKFDGVVGMQTEMTGGG AYVATNGNIYVMFSKDEEDD NEUTE1DRAFT_47706 MAKREVRCDIIPGDPRMWESHGRFCLLDFYLHPNEEVVIPQAGT DLCVRGSGKLRAGVSGDGDRRTTKQGGKGSVWCVGEMWGLVEEGWDEKERIGSQVDVG NEUTE1DRAFT_47962 QKKGNQIDLRTFNTEVTDDHEQRSTPSSVQREPDMIGLLKYWFK ECYNNHPYCRPSSPSFSPTRLLLIENEYSARIVETKDCNAHYPYVALSHCWGTVSRLK LQKSNMVDLLKHISITELPTTYREGISVTLALGFSYIWIDSLCVIQDDEKDWAEEAAM MKDVFEHCSINLSATAAPDSSQSNFTHRDATSILLLEGGELSSAPHRPRSIPDVVALP TTLGLRDASFGRMESRGL NEUTE1DRAFT_130958 MLDYTEYNNVFPSPGFINPYDHKGASAIETFRKSLGGVLFVDRV LSQLSIGQGTAYPPRGENGLRSLHQQICQSSASSIQKISVLYYLLLDHDDVHPGRSHW ADSFAEETGLPKKYQILMRGLWHMDRMEFKYAIENLTHPSLPTEFADEITITLVRHPL VNKRSSTSQSDYTLALAYFHAAQPVFTSSEALELLFGALARTNVTEAHDFSRRYPEWT RQQLFERLVASILEQPEKLGARGKELVSAALTGEEESWFQEYLRHGEGRKSKGANVLL RMRGVVTGRLSSTAAMENLAGYP NEUTE1DRAFT_123605 MDEIVVDPGSSAAQFSIMQSQQPPQHGPEVRLTAAGVPRKKPGR KPKPKDPADENSTATEQQPKPRRPRKPRDPNAPPIVRKRKAAATDDAPDAADHDTSME IDQYHGIAQRSKGSLMPLHLLPPDQRPPKIPKRETHPVSMSHLLNEEDPPPKSQPTAS RQVFDPIRGSYDPVRETMMSRDVFGTGSIMGSPRAPTSQPVNRASASPSIASLVDPPA PPNIMSPVPSHQTPYNTAATKSRLQESTSATPPSSNPVRSTPQQIAKPQIIEVRLPPT SSAAAATNAATAAPSTTAPPTNNKKLTALVQQERESTHSRGNKTGSNHSSEAVKPSGG SNSLKDALLPPLPSVGGHGTERSILDFGKVNPGQEIEAPSIKIHIPLNGETNKYVNFM RMAEEQYGWDALHPRQAANRERKARIAAATAALEKSVGTSGRESGEEMDEDIQSDAEN SNIEMGGMGGLNPTTNGVASGPEAPAKPARKKRNFKEDEYDIDDDFVDDSEMLWEAQA AASRDGFFVYSGPLIPEVPKPEVAPPARRGRGGGRGSRGGRGGTTRGGANAAGHGADT AASEKPSGRGRGGGPGSRGGRGGGDGTRKPRITKLEKEQRDKEKAERERLAQITAAAK ASVAAGGGPYMLGLTPPAAAGGPTAMMID NEUTE1DRAFT_111647 MDGTLEILDVKERKVGEVKPPSFPTPPPASSGGFPAPKKRVSAF KKQRQQQADPSSSSSQATTPVPSPAIQFTSGARPQIDADPIKSEKQRIDEENNKLLSS MSPEEIAEAQRELFSGLDPKLIQMLLRRANVEDGSNNNDPKVWDIPEKPADEEPTEKK ADVGSGPTISYKKPPSIQTSTSKSAYVQDEDEEMTEEQPKKPKKTVTFNEDLAPEQPP SGSFPIRSKQPPTPYPQKTSFSAEDLAMPTAGSSSEPQTPTTTHQCNDPSHNHSDEEL KGVHFPAAPAPPDLDPSDPDFLATLHQKFFPSLPADPSKLAWMAPIPTEDSPADQESP YYPGQTSLPVSALRFDFKGRLIPPRLSRQIPVSKGLHHHGEAPEAAGYTIPELARLAR SSVNSQRCIAFRTLGRMLYRLGKGEWGTGAGGRDGDEDDLAFALWRCFQEGRVLESIG EAADVEEGVGSVSVRAYAIEAMWLFEKGGWKEKWRGL NEUTE1DRAFT_66475 MTTTTTTGDIMAKMLDPETQDTSQQQQQQPPPPPTPPPPPPQNR PASNYPLSSTDDLSSLSKPPVDKPSRHRHASSSSSKSHGSPSVSASSTSLSSGHLEAL HQQQQQQHHHQQDEIASIQNATRLSTVQTRTSTFSSASRPPDFEVVFAVNDPENPKNW PLWYRCWTIFACSYSTWVVVLYSSSYTAIVPGLMKEYHVTNQPVATLGLTTYLFGLAI GCLALAPLSELYGRRPIYLISLGVFALLILPCAMPSSLAEIIVVRFFGALFGAVMVSN SPATVVDIAHPDYLALCMAVTSIAPLNGPVTGPLIGGFAYQYLGFRWANWIVLILSGA AILFMATIRETYAPAILRAKAARLRNSTGDDRYWSRFDQKVSTLQLLKTNLSRPFILA ATEPILWFFNTWISLVYAILYLCFVAYPIVFKEHRGWSTGQVGLAFLGMGCGTVASIV LEPFWRSIINKRTSKFDIETGRPAPEAQAYIMTIGAILTAFGQLAFSWTCLPVSIHWI VPIIFGVPFGMGNTISFIYGSNYLAGAYGLYAASALAANSFLRSVAGGVLPLAGPAMY RNLTPQIAGTILGVLEVLLIPIPIIFYQYGERIRGRSKVIRMMREEQAREDRRRMKGD KGERERRGGGGGLVEDDEKSEEGPPGRAEEDHRGGMVETTTTRMGEGQGQGEISGEGV VVPSSALTTSGVLGEKTVRKMGDEVV NEUTE1DRAFT_123607 MHHRNRLLLSLLSVVLGLATFTALAALQIVPGGTWTASNGEHLN AHGAGVIQVNNTFYLIGEDKSQGSSFFAVNCYSSVDLVQWTYEGALLSRTSSSSGDDN DDLGPNRIIERPKVIYNDLTKKYVMWMHVDSSNYGDAKVGVATSDTVCGKPYEYHGSF RPLGMESRDIGLFKDDDDGRAYLLTEDRKNGLRINLLTPDYLSISGTTSTHLFPTAIE SPALLKLSSGRYYLFGSHLTGWSPNDNVYTTSTNLSGPWTDWKIFADKGSNTYASQTS FILNYGNGNVMYMGDRWHSNNLQSSSYIWLPLNITETEVILKNRGSWIPNADSDLPWT ESPAEKSYEGEQGEYSGGAREVSCAGCSRGKAAGYIGGTSTNGSITITGIKSNNGGEG GVTTIQIRYKNGDTNPRYAGVSVNGGAPQKVAFEGTAGEVGVSSVVVRGFRKGSGNTI VVSGWEGAGWGPDVDRVVVPVE NEUTE1DRAFT_85165 MAQPSSLNTNNPFRRKQAGALTTSNPPISLASDAFADAFDPLPT SPSSSLDPTRPPLPSSDDFRNSLQSLGQSNEPPPKTSFQKPKVVKRVRVQSPPPSPES EEEPDTNPPVEEDTAESSSSDDQDEGADPFAQADEVPPPTLSRPELPGSSQVPPNPFG RTLEDLEHPKNEPTQNPGQAGGPAQPPRTDGASIADASSTLTAQDTPRTSHQTSEHGR EEPQSQRTSISGPIRPTAQAPATSRSKAPPPPPPSSRHGKLIKVEPKGEERSGTPSTP RPSSWSSSARASRVLASPFSEQPSDVNTPLPPPPVKSPSEEDVESSFDREAAAKVPDV GQETHAPTPQPPTPPKPTTTSPPPSQASKKPPPPPRRSTHGRSENSIRSRSSSLKVAS STTQVNAPAPPPPRRSTHGSRPSTSISGLGIGITSPVAPSAGGEGTEFPGLAAQPVSG SAQGSRPSTPANSSSNNPETASISSFSQPGHGRTLSGSGKLAPPPPPARNKSVRSGAP STPGTVRRADSGRSKEPTGLASPAPIASSPNHAPPPPPRPRPRGSNRRSVSGSGSVDS GNPAPTTGTVESPAPVESSAALASGSGGAGARFLETSLASGEEPRGLPDGELASGDVD EEDVLRRESATKDILADLDALQREVDALRAAQEQRGTTTTM NEUTE1DRAFT_117654 MGIEEVWIVCAETQRRVGLGYNLWMIGKWETGDRRLRGCLKKRR TYTKKPYFGYCIAYIIRLGTIPLFFLQIP NEUTE1DRAFT_102542 MPPKKTETKAADASAAAAPAPTSAPTSAPKTKSPSTHASYLDMI TDAIVALKDRAGSSRQALKKYVRANNTLGNVTDNMFDSLFNKALKNGVDKGVFEQPKG PSGGTKLAKKVAKPAPKKAAAPKKEAKEKKPAAAKKEGAAKKETKEKKAPAAKKAAAP KKAAAPKKEAKEKKAAAPKKKAAAPAVADKETVLTKTKSGRVAKSTAKPAATKKAAAP KKAAASKKAEKAEPAAEKA NEUTE1DRAFT_147713 MSRHHPNMSMETYYGSVRTTADAIKLFEACRVGTLPRVQRRLSE KERQAIRPGSVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRAGFGGRRNA GRTPDSARASDEDRGSDDHHEGYRYKADGLMKQSFSITTSHGQHLHLISYYARDGRGP ANLPDPSSDPALRHVTIPKGIYPESSVSESSVPVTTRGPMQRHSPYSHPRNPPPPYHQ PYDPNVHAPPPGPGYWGPISPVATPPYNGHPAPYPPGPPYHPDGLPPHAPPHYAHHPQ NFSPPQHHMAALPPPPAGKPGIQLPQPQPYPHQHAVQAPYPPPLSQPPPPAYHYLHQR QQQPPPPPQQQQQPPYHHQGPPHPSQQQPMHHHHQGPPPPHHHFPSPQLPSDAQHPEH QPQQHQHQQPQQQHPRIAPIDSPKSQQLMAAARETLNLDFRLAHSAGGSILPPPQQQQ QQQQQQQQNATSNGTPFSGPGSVPTPPLQPTTSNGMSSPRHHHHQEGGAPSLKPVLSP LTLHPPLAAPSPQKQQQQQQNGHTTTSPIISASTRPSLSAILLPPPNPTNPNGVGVGV GVKTESSSLSNGGATPACASNGGRSPLGSPRGLGVPPPPPPHGLGLGHGGLAAAPDDK AYEALKALNRNLCT NEUTE1DRAFT_139360 MRATEKKQILLRFPKNAHSVPPARPIQPLEQRETFNVLFCDSPS RKAEKQRGIHYSVSIRIGQEEESG NEUTE1DRAFT_111653 MQSANCSCHINLLLPLTHITHFLSFNRLSYGAMKPRGSLSYQGR YLSYPEKYKTSILQPGNFRNRPEFTYVAGAKAFKCQCSAVARYGNDGPPTDRRWIDYS GVFD NEUTE1DRAFT_117655 MRLAALRSEVGLSGKHDKAVNRAQGITKFSGMVPDQSVNTDFSL TRGMGCLDAASAAPSWMRETIGARPPSCAFAISWSGVG NEUTE1DRAFT_66484 MSIAECLRWEPESEEDNVVVQGYVRSVRGMKTHRFVSLGDGSSL APLQAVVPVDTNQAEGLAIGAAVRLTGKWVPSPGASQSHEMQVTQVDILGPSDAKTFP IQKKYQTPEYLRTIPHLRPRTPINAALLKMRSEAVAALTRFFAENHFTQTHPPILTSS DCEGAGEVFTVAPASNVTELSSNEDNKSKMFFRNKKYLTVSTQLHLEALAQSVGNVWT LSPVFRAEKSDTARHLSEFYMLEAEMSFVNDLDEVMDLAEDMIRNMCITMYESPTVYE FLNREGRVGSDLVSPEEVRARWEGMMADEWPRITYTDAIEFLQEHADEFEHKPVWTEG LHSEHEKFIAEHVGDGKPVFVTDYPRDIKAFYMREGQSLPDISSPGPTVECFDLLVPD LCEIAGGSMREHRLEPLLEAMKRHNIVAGEFTSSMDGNAPSEARTGPLDWYVDLRRWG CAPHGGFGIGFDRLLCYLTGVQTIRDMVSFPRWFGRCDC NEUTE1DRAFT_117656 MAYKLQHSSSLPYRVSVAGVSRMRRDGEVVRGQEGGIERVNNDG PATPNGLPLPTTWVTV NEUTE1DRAFT_85172 MAPGSSRSSRPKPSEVAAETKRQHIPYIRSLGTWDINSYLLHQP LAQINFPQRPLDISPPQFFVYNGDPVDCALNWAKQIAEPHTVAFICAANDKRPGGDWE TGAVGYEERLCRRSTLSANLATPAPGSTVTENYPIPSYGGIYSRDVVVFRGPHDRYEK LPPEQWQSIPVVSVHPTRWPKLTQNGTKFSFQNERDMLKDLLRGALRIAAYNGHTQLV IGDFGLGNGYRNPPQEVAEMWREVLLYDPDLRGRITSVAFVFEDPNQSTSKLILEDLA KKARETLSSTTAAACPSDLEVFHRVFHDTEIQRVVSQPDSRYGLNNLLAS NEUTE1DRAFT_111656 MIIVKHFLTLTDSKIIHLSSSPPKYLTQRAGETGTLLQHKSHLY IVLQQVQCSLPTTDKEEKVRQTNWTKPKTPGTSGSTYEQPAFLVQEWGRKRGAAREKQ NSTRSDVWRYLKPSARSLASKKAMIVINRECPLAERCVLERLTAKAPPLHEQLRRRSN ATSAGKDGRPMRREDEAGESPNVLEKVSDWLRLLLREKREAYCYYSVFYLED NEUTE1DRAFT_66489 MADYTMYHALGQGETLDPNDPNRTSQPAPPQFQPPVAPNPYHPG AEYNAPGQQQQQYGQQYGQQYGQQQYGQEYGQQQQQQQQYGAPSPYGAPPAHSPVSPM DDVGLAAQMGGMSLGAGAGADHHGRKKKKDRHAFHTVEAPAGSSQPFNGMPPAGIPAT QFLNADPSLAGRIPGPGHGQFPMPASPAFGPVPTSAADFAARDATQGVGSGVFAAGGP QGGKPSPDDTPSVPLSRDAVQPYFHTNVYPTFERLVPPPAVTSFVALDQGNSSPKFAR LTMTNLPASAEGLKSTGLPLGLLLQPLAETQPGELPIPVLDFGEQGPPRCHRCRAYMN PFMMFKAGGNKFVCNLCTYANDTPPEYFCALSPQGVRVDRDQRPELTRGTVEFVVPKE YWTKEPVGMRYLFVIDVTQESYNKGFLESFCEGILSALYGGSEEGEDQDETGEPKRKI PAGAKVGFVTFDQEIHFYNVSPALEQAQMIVMPDIEDPFLPLSDGLFVDPYESKAVIS SLLTRLPQMFSNIKNPEPALLSALNAAVAALEKTGGKVFCSLAALPTWGPGRLFMRDD GKHPGGEPDKKLFTTEHPGWRKLAEKMVSLGVGADFFMASPSGGYLDIATIGHVSSTT GGETFFYPNFVVQRDSTKLSLEIHHAVRRETGYAALMKVRCSNGLQVNAYHGNFIQHT FGADLEIGVIDADKALAVTFGYDGKLDSKLDAHFQAALLYTTASGQRRVRCINVIAGV SDLARDCIKFIDQDAIVSILAKEASTKLSTTSANLKEVRSSLTEKTIDILALYRKNHL AVPHPPQQLVMPERLKEFTMYVLGMLKCRAFKGGNETTDRRVHDMRLIRSMGARELSL YLYPRIIPLHSLQPEDGYPDATTGHLRMPSTLRASFARVEPGGVYLVDNGQVCLLWMH AQTAPALIQDLFGEDKTTLQSLDPYTSTIPVLETHLNAQTRNIIEYMRTVRGSKGLTI QLARQGIDGAEFEFARMLVEDRNNEAQSYVDWLVHVHKGVQLELAGQRKREDGESHSA LGSFTGLRPAYW NEUTE1DRAFT_130968 MDVELSFSRFHAQGSPGPSQKWGRDAAVSIAGRTPTPGLMEFLG DSHAYHHSFCPLQGALSDSSASQSLLELDGGVKILIDVGWDETFDVEKLKELGKQAPT LSLILLTHATVPHLAAYAHCCKHFPPFQRIPVYATRPVIDLGRTLTQDLYASTPLAAT TISSASLAEVSYASGYSQAASAENTFLLQPPTPEEITKYFSLIQPLKYSQPHQPLPSP FSPPLNGLTITAYNSGRTLGGTIWHIQHGLESIVYAVDWNQARENVFAGAAWLGGNHG GAGGTQVIEQLRKPTALVCSSRTPDAALPRAKRDEQLMESIKLCIARGGTVLIPVDSS ARVLELSYLLEHAWRKEVAKDNDVFKSAKLFLAGRTISSTMKNARSMLEWMDDSIIRE FEAFADESRRNNRRDEGNHQTGPGPFDFKYLRLLERKAQIDKILQQSDDAEPRAKVIL ASDTSLDWGFSKDILKSIAADARNLVILTEKPNLEPNQKPSISRTLWEWWKERRDGVA TERTSNGDTFEQVYAGNRELEIETAERKGLEGDELNVYQQWLATQRQLQATLQSGGTN LLEAPGDVLDDADSDTDSESEGSDTEQQGKALNIANTMAQASRKKVVLRDEDLGVTIL IKKENVYDFNVRGTKGRDRMFPVAMRRRRADEFGELIRPEDYLRAEEREDAENQEAGQ VNANNQEPEGLGKKRKWEDIGTAGRGRGGAGPNKRPHHHDRRRLSAGEADAAPFSENG PAGDDLSDLEDEEDETLNGPAKLVVTKETIPVRLRIAFVDFSGLHDKRSLTMLIPLIQ PRKLVLVAGGKDETLALASDVKKLLTAQSTGTESAIEVLTPAVGTTVDASVDTNAWVL KLADPLVKGLKWQNVRGLGIVTVTGLLLPGGKFQPIEVGDGDGDAAKRQKLEDSSETP TTSTALVKAGTNTSPTTASLPTLDLVPPTLASSLRSQAAQPLHVGELRLADLRRAMLS AGHKAEFRGEGTLLIDDVVVVRKSTAQGGRIELESVGLPSDTMPGTTSGGLLDAAMKV GGTFYAVKKKIYEGLAVVAGA NEUTE1DRAFT_102550 MKLLIPVFRFVLVASLLGRILCVPLAGNDIRHVRTRYQPSRLVD IPLHVDDTAPKAIADFENDGRKSTPELQTPSTGTGQSIEAEGVILAARGDASTDQADT AVTGTDIEVEEVAIYTDENAQTVSTETNTIPIVSPATPSSSSTSSSASSSSSSSPAVI TTLKPKTKRTNRSSYLSFNKANQKLIKPIKHTKLTNTPPSTNDDTPTILPDTTTTTTT TTTFTHPGFLPQRLPGITYAPYDLTGCRSPANITSDFAIIAQTRLYSSVRIYGVDCSQ VLHTLRAASSVSSPPLKLFLGIFSLSDLSSQLTTLIKDVQTFAVTEKISVDDVWTNMI DTISVGNELVNNGQATPAQVLAAVRTVRKVLRREGYRGPVVTVDTFVAVLQHPELCSS SEVDYCAVNVHPFFDAHTEAERAGEFVRRQVMNLREVINPKTQAQAQAQGNDLSQGTD PNQGKGDKGGERRKKRVVVTETGWPKQGNANYRAVPGRWEQKTAVEGVMKAWREGSQR GFVGDDGDFELYLFTAFDDEWKKADKGTFYAEQFWGIHDR NEUTE1DRAFT_147718 MELQELQTHLTEWLNEAITAFQKVPGSAVLIRYVRSSYQNDPVR SAIELVLVIFFIRYLMAPAYSTSKQNFIKLTDDEIDELVDEWTPEPLVPNMTVLEEME SEKLPIIVGATGPKSKLANGRTVTNLASYNFYNLNANEQIKEKAIQTLRTYGVGPCGP PQFYGTQDVHMRAEADIANYIGTEGCIVYAQAFSTISSVIPAFCKRGDIIVADRAVNY SIRRGLEISRSNIRWYAHNDLDDLERVMAKVVAEQARTKKLTRRFLVTEALFETTGEM NDLPRLIELKEKYKFRIMLDETWSFGVLGRTGRGLTEAQNVDPTQVDMIVGSLAGPLC AGGGFCAGPKDVVEHQRLTAASYTFSAALPAMLAIIASESLHVIQENPDATLGVCREN IRLMRAQLDPKSDWVICTSAPENPILLLVLKPQVVEARRLTAEDQERLLQEVADECLA NNILVTRLKGGPITTHMGLKDNVYTATPALKVCVTSGLSKKETEKAGIAIRHAITKVM TKKGNNKLGVPTA NEUTE1DRAFT_123618 MSGYPQQGGGGHHDDGYGHAPNANGNGDAYYNDDQQYYDNRNGG HAAGGQHGEGYYDESGYYNADPNNPYHQDGGYYDNHEGFQEGYDNGYYDQHGYDQAGG YRDNHAARGSEEDSETFSDFTMRSDMARAAEMDYYGRGDERYDSQYGDQGGARGYRPP SSQISYGGNRSSGASTPNYGMDYGNVLPAGQRSKEPYPAWTSDAQIPLSKEEVEDIFL DLCAKFGFQRDSMRNMYDHLMTLLDSRASRMTPNQALLSLHADYIGGDNANYRKWYFA AHLDLDDAVGFANIKGKKGNLKRTKKKAKGDEPQNEAEILQELEGDDSLEAAEFRWKT RMNRMSQHDRVRQLALYLLIWGEANQVRFMPECLCFLFKCADDYLNSPACQNMVEPVE EFTFLNNVITPLYRYCRDQGYEIYEGVYVRRERDHEQIIGYDDCNQLFWYPEGINRIV LEDKSKLVDVPPAERYLKLKDVNWKKCFFKTYRETRSWFHMLVNFNRIWIIHLTMFWF YTAYNMPTIITPMYEQQVNQSPPKAAMWSFVGFGGAVASLINFGATLAEWAYVPRRWS GAQHLSKRMLFMVFVLIINLAPGVYVFLPGLSGQALIDHQNSTPVYIVGIVHFFIALV TFLFFAVMPLGGLFGSYLTKNSRKYVASQTFTASWPRLNGHDMAMSFGLWVVVFGAKF GESYVYLTLSIRDPIRYIGLMDTRSCLGDSILKTYLCPYQPQITMGLMIFTGMIFFFL DTYLWYVLINSVFSVARAFYLGSSIWTPWRNVYARLPKRIYSKVLATTDMEIKYKPKV LISQIWNAIVISMYREHLLAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDQSFKT EFFPQYSEAERRISFFAQSLSTPIPEPLPVDNMPTFTVMIPHYSEKILLSLREIIRED EPYSRVTLLEYLKQLHPHEWDCFVKDTKILADETSQFNGETEKEKEKEKEKETVKSKI DDLPFYCIGFKSSAPEYTLRTRIWASLRFQTLYRTVSGFMNYARAIKLLYRVENPEVV QMFGGNSDKLERELERMARRKFKLCISMQRFAKFKKEEMENAEFLLRAYPDLQIAYLD EEPPLAEGEEPRLYSALIDGHSEIMENGSRRPKFRIQLSGNPILGDGKSDNQNHAIIF YRGEYIQLIDANQDNYLEECLKIRSVLAEFEEMKTDNLSPYTPGVKNEVRHPVAILGA REYIFSENIGILGDIAAGKEQTFGTLFARTLAQIGGKLHYGHPDFLNGIFMTTRGGVS KAQKGLHLNEDIYAGMNALLRGGRIKHCDYYQCGKGRDLGFGSILNFTTKIGTGMGEQ LLSREYHYLGTQLPIDRFLSFYYAHPGFHLNNMFIMLSVQLFMLCCVNIGVLRHETIR CEYNREVPITDALFPTGCSNTDALLDWVYRCVLSIIFVLFLAFVPLIVQEMMEKGVIR SATRFIKQILSLSPFFEVFVCQIYANSVQQDLSFGGARYIGTGRGFATARIPFGVLYS RFAGPSIYFGARLVMMLLFACLTVWHAALIYFWISLMALVISPFLYNPHQFSWGDFFI DYREYLRWLSRGNSRSHASSWITFCRLSRIRITGFKRKIIGDPSSKLSGDVARAAITN LFWSEMLTPFILVCLTTIPYLFINAQTGVKGDFGPHANDDSIQPTAALIRLLVVTFAP MAVNAGVLGGLFGMACCMGPLLSMCCKKFGSVLAAIAHGMSVIILLVMFEVMFVLQNF EFTPTLLGMIAMVSIQRFIIKMIVSLALTREFKTDTSNIAFWTGKWYSMGWHSVSQPA REWLAKITELSMFGADFILGHLILFSMFPVLIIPKVDVLHSVILFWLRPSRQIRAPIY SLKQTKLRRRRVIRYAILYFVLLVIFVALIVGPIVAGKQLPTDTLDVVNSFGGGSLIL RQYPWKLGPSGDNDDTRGRLETGTKATNYSGVWTPTTTSDYVAKATSKAERRMAF NEUTE1DRAFT_102553 MRLVAMWDSSWSLCCRCTKGVPRSDCNRGTGTKLWRSEAAQMWY NYGLIGYSSAFENLTGTSLPHKLRSSLFIGQKLQVAQVVARCLMGLRQVPIS NEUTE1DRAFT_130971 MAKLSRPPPSSSSRSRKTIFSSSSESEPESNSDSDSDSEFSDIA ALPPSPQRKRKVLQPLPATKKRSTPPTDTASTSSHQTVIESATSPNAASSSVAGVKRR KLLLGAVSDNVLFRKWTPAQEEEGGVPARKKRRGLTAGTGFGLGLGMMRDEEKEGSDS EAENLVADRKKVKEVKRVGESTVTAQTVVRTTKSLFRDQNLSKKHREGKELMRTKNKE EKSLASLVQPPKQSARKGKDMMEIDQELDIDIFEDLEDFAEESFHTAQSKESSESNEL GSETMVEKAPNTNTASNSKEDDSDEDLDAFFSKLSNKPKIPAVRESHLSPTKPRSTKN SRGKRMDASWGTQSRTLFDDEESDRQQRRAAKQVVSIDDDTCGEEDVTQDFSCLQLDS SSSSSDSESSSETETERRSRPPAATTTTKKRTQTPSPPPKIPKLKPKPSSTTLPPPSP PKKLPRIPTTPHRPSSDLFWSREFVDDWNDTHSPTKKEPLFSSTKPPTSDATSTRGTS ITSPKKNPPATSPSKAATALLARESRLARQLFDTHKHSLATHFLSLLDARLTSSRLSA LTASTGGIKLVWSKTLNTTAGRANWKKESITCRPGQGSTKPDGRSSKEEKKKEKEVVV TVKHHASIELATKVLSTPERLFNTLAHEFCHLAVFMIDGVTARPHGAEFKAWAKKVNE SEFGAGGGGRNRGVGKMNIRDGGEGVEWEGGYAVEVTTRHGYEIEFRYVWVCDGVLSE SSSTGQGEEKRKGKEKGQGEGKEGCGTEYKRHSKSIDPKRHRCGLCKGILRQVKPAPR GHGQQHHQQGGGRGGHVSDMAGGDGAGTQKGMGMKEKGKTSEYQVFVKYQMKVVKAEK PRLTFGEVMKEVAERWKVEKARREKNKKEIPLAETKGKLSTVLEEVVADEDGDGEDIP DVFDDEPMMDVVDLTADD NEUTE1DRAFT_117658 MTNYKTTPLFGGAIVCDLPEHFADVSRLRQVPDHQEVYIDKDGF TSIIVEITERVGPDGPTSLEEDGRALTTHLEELVGDDVDTVKVWNTTETRFSKLDPSI PAYTLIATQTPKQSDDSDSRRSSRQAPDFTALILTLVRLEREKTDILITINVPHIKGE YDEEDVDLALGKQGALLGRAVEYAAKIWETFEVKDWGLFKEL NEUTE1DRAFT_95567 MASSATCIFCKIVKGEIPCFKLVETEKTLAFLDIQPLSRGHALV IPKHHGEKLADIPDDSLSELLPIAKKLAIASGAVDYNILQNNGKLAHQEVGHVHVHMI PKPNEKEGLIVGWPQQATDMEALKKLHAEIKEKL NEUTE1DRAFT_48089 MRLPPPSTPTQLLRLRLTRSALSRSAVSTTSPLATSTCPSSRRT VSTKVRAAAITPGPRFQSLLFPPSTVTTTTTTTTTAFFSRNNLSTSTTTVNKRDQTVK PTATLAPSTPVVLRASVTGLGLLFVLGLGLGYGITGFLGQKSTEQQELPSEASYTTIK NNIQDILDDESSTTNMSREIAPGRPGNLTPEQEEKLKQLWKLIFQVCGVSSPSPSSES SAPAATSDDVSVKGDDKKKKSKLSMFSRKQKKDSDDTTTDSASTASGSTPGSPAIVLN ASTTSGDDDKYNQLSKFHETLASQSPAAIRETIWSMVKHDHPDALVLRFLRARKWDVE KALVMFISTMNWRDNEMKVDADIMRSGEGGAAEAEKMEAKTSEEIAKKKLAIDFLTQT RMGKSYVHGVDKQGRPICFVRVRLHKQGEQSEESLERYTVYLIETCRMLLQGSVDTAT IVFDMTGFSMANMDYAPVKFMVKCFEANYPECLGAVLVHKAPWIFQGIWRVIRGWLDP VVANKVHFTNNISEMSEFISPEKLPKDLDGQEDWEYKYVEPIPGENDKMKDEETISKL QAARAELVKEYEEATLQWIQGGNSEELKKKRDEIAEKLRVDYWNLDPYVRARSFYDRI GVLLPGGKLDPFLEGKKDGVSGITEGVAKVGVSDTQADDVD NEUTE1DRAFT_123623 MPDSQTPAPQRSTSRPRTPNRPTTPLRPSSRSSLRESARESIHG SSASFPLNAFEPAFAELADAMADLEANMMHFQLMHESLARFSEDFASFLYGLNMNAFC VDFPEGPLTESFKRMKEKEEREAAAGGGQGQNNMETGIMGTGAGMATVKQEREGFDGE TTFMTTDTSFVDNPTSNISGPTPRKPLAAPKTPAPRQSRVPAPSGTTRGTSGRGVGRG VTPSSRTTSRGAITRSTSNGRVGGKGLR NEUTE1DRAFT_85194 MSTQRALWFVASKASKKPSPQGTQGGTIYIHCHVKPGASKTREG VTSITDEAVEICVAAQAKEGEANKAVVKVLSEALNLPKSNLEITQGLKSRAKTIAVAA PGSWVGGSGGEEECLERVKANLNKATEA NEUTE1DRAFT_123625 MFARTALRATASPIARASAPAAASRFFSSSPARLVKIGELLPEG ELLQEGSPGNKVDLRKEAESARNMLIIGVPAAFSPACSASHIPSYIQHPKTQEFDVKA VVSVNDAFVMKAWKENLDPAGESGIRFLADPSGSFTKALDLTFDSKAIFGNDRSKRYA MIVEDGKVTKIAVEPDNTGTAVSLADKVLG NEUTE1DRAFT_66517 MSTALRTLRAYAKYGNNHSALLARCFSTTLRRPEINKLYPSASA ALADMKPNSTLLCGGFGLCGVPDTLIDEVIHKPEITGLTAVSNNAGTDTSGLGKLLKT KQIKKMVASYIGENKTFEQMYLTGEVELELTPQGTLAERCAAGGKGIPAFYTPAAFGT VVQTGELPLKNKPDGTPDVFSYPKDVKVFNGKCYLLEHSIAGDYAFVKAYKADRLGNC QFRLAAHNFNGAMGRNAKVTIVEAEHIVEPGEIPPEAVHLPGIYVQRVIQSTAEKNIE KFTFAKDPNDPDAKKSALGSGETANKRERIVRRAAKEFKNGMYANLGIGMPMLAPNFV EEGVEVLLQSENGILGLGPYPKKGEEDADLINAGKETVTLAPGAAVFGSEESFGMIRA GRINLTILGAMQVSANGDLANWMLPGKVKGFGGAMDLVSNPEKTKVVVTMEHTDKKGN AKIVKQCAFPLTGKACVSRIITELGVFDVDFGKGLTLIEIADGVTVDEIKAKTEAPFV VADDLKPML NEUTE1DRAFT_117663 MRMRRPQGAWMDGWMDGWMDWNAVGVLLGFGTYNHIHVFKAQLF PSLSNNISTGARRMGRYTRDISIATLIISYT NEUTE1DRAFT_85203 MDSEDDFMSNLSSEDDMMQEDDSDNDMSNPEDFDFDDEPDLDTG NKDSQQAKKHNYLDIDFRVFRPEDIQKQQDGLVDEVNMILDISKEEAAILLRHFRWNR ERLIEDYMDKPRQVLDAAGLAQTAADKPRLQVIPGFMCDICCEDGDGLESFAIKCGHR YCVDCYRQYLSQKIREEGEAARIQCPADGCNLIIDARSLDILVTPELTERYHELLMRT YVEDKDTLKWCPSPDCANAIECGVKKKDLTKVVPTVSCLCGHRFCFGCIYTDHQPAPC ELVKKWLKKCADDSETANWISANTKECPKCNSTIEKNGGCNHMTCRKCKYEFCWMCMG LWSEHGTSWYNCNRFEEKSGAEARDAQARSRVSLERYLHYYNRYHNHEQSARLDKDLY VKTEKKMVELQKQSGMSWIEVQYLQSASQALQTCRQTLMWTYAFAFYLARNNLTEIFE SNQKDLEMAVENLSEMFEKPVQDLAQPGLKVDIMDKTSYCNKRRVILLEDTAENLQKG KWTFNIDLVSGAPIVNPTSTPATTSSSRR NEUTE1DRAFT_16038 RSRSPDTKKRPSRSRSPRDRERERGGDRDRDRERRRDRDRRGDR GEDDSDRRHRRREDKDKDGYSDRDRDRDRERDRDRRRHRSSERRSTRRETQQPDDNAD NKRSLIKRSGPLPSQGDSFAVSIGAEPEKPKEKPNFGNTGVLAAQSNTVTQADGTTVT LKYHEPPEARKPAPRDQWRLYVFKGDEVIDTIELHTRSCWLVGRDLAIADLPAEHPSI SKQHAVIQFRYTEKRNEYGDKIGCVKPYLIDLESANGTKLNGDKVPDSRYLELRDKDM IQFGSSTREYVLMLPPKD NEUTE1DRAFT_102565 MGSVNKQISRFEVTAVYTHPQATADIVLVHGLNGHPEKTWTAAN SVFWPTDLLPASLELKNQHANVLVYGYNADVYSRGTNTPSNNFIHQHAQSLVTSLTSF RKSEGTERLPIIWVVHSLGGIVTKRALLYSNDIRAHQQEDLRSVFVSTYAIIFLGTPH QGSDLATWGRMLQAMSDAVIPRRFFETESVLLKSLKKDNETLQGINNHFLDIYQRFKI HMVHENHTTDLRGTRALVVDANSASPQLQGVIYYGIEATHSGMCKFDGQNAPGYRNVS TAITEWVAVARAVIDIRWEAEEEERKKRAADEYYERSVQAMQSYQRSHSPGYSNQQFP SIDNYSNETLLLQHHPHGNQRRPKLLTPLPSSPSQSELSTREPTLVLEPGVISPSQPV DGNNDEPITTTTTDIQPQPQLPASLAPQQPNSPAAQTHRHSQTQTSSPEQPEPLFIHP DSFRPNSFFVGREDELRGLHEMLQDRRRRLEGTSAVLIQCLPGGGKTHLAREYVYRYR DHYPGGVYWVRAKSRGEVESGYWRVARMEIMRSSTTTDATSNDHVTSLGLGLEALRED AKTMVQVVKAWFNSHRDWLLVLDGIQFDIPGLSEFIPDARHTSIIYTSTERAVTGDPR FDNPQVMELGLLTPRQAVELLLTELDRKPTSTVSASSANDAGNNGNDRKQGGWKDEEE EKRALELVNLMGRLPLMIHVAAQHLKATREPLARYLAGWRASKPKQVGNLHAYKKVRE QLDARGNVAALNLVGVLVWWEQLVPVEMVVFGLSALSRDTPVKTCDASHRKTTLNNTL KVLIKFALIERTTESHDSITPLTRSASTVSSRTSANRSLDTPGTNQHRNYSDSSDSLD LLRIHSVIQAFFIETLAEEKTAVAWIERAAAVWCRSFDEAHRRIEASKREGGGGREAF QGPRVGVPDDYRRYCIHGQKLLQNIERFGNEKRDPQRRLEKWRWMVRQRVEEAGRGVE ELSRLERLMGVEDVEVVSVFDGNNSGSQEGSSMSEADSNDTTAPSQESQEEGHVGPGS WERAFGGVAVDEGFTPMESPSSYHFSTASGRRRPLNLTMTIAGQAASGLSSWRERGME ATAPYPTEGVMPPTPGLDNKIRGLLEDERTVVPAAVSAYYEPVDEQPHDEVDQAALTP IVETPSVYTALDGLEIPTPALETGLFEEWNAVVPNHRVIKKQEARRYHDRAGAWRDVR TVGDPRMGVTLDVAVGSLAGKPATGAGTSVVPVWPPRRTRRVTAQTQSEAQLELNKIR QKVAGTQAVIAQAQAQAQAVTDGGLGGFGDSLTPPMPPRKMSGGGLSAVSDLSPPTPS SSGLLSPSGWLKRLREGLGSSAQSVPTAVGSTTPGMPQGQQLSRSAPQEDELMIVPGP IFRGSRTSRSSPADLISSPFPPPSFAATADLDESLVRPSQAAHRFSEPSSQVDWNQDA DYLSPIVNTGKVAAGSPSSGMSNNSNPTVPGTNLNASGMGNRPSPMQLQMPLMPISIN APGSGAGRLPQKIQQQQQQHRPASYTETEPSPRTESAFPGVDTRYSRWDENQMHAHGH PQSASASYQSPTAGGHVHQKPATSTGPGMTATAASSTSAPHPQPAPAILWRNLGRGLT RRKTKERIQNVLSANSGRSQSTSPSAFPTRLRRGSGQHSGSGQHHPLASSATSTTTAG SATQGQEHAGRPDMMASISNMGGQFPSTPVSSVSAIPSTPAQQTSIGSLSAVASSPVT PGPGSIPLLQYQEQRSSSSPALGTGVGVGAGILLSDGTIFQFPSSSGVTSPFTPVTDV SIGSPIVGRTTGQEHGFPPPGSPVASGLAWEAQQSRSRPMATPIQGGMTNAALAMTPT TSSMGPPTMPPTPPTATGLGIARRKRIVHQLTSSSPGLQSPHPNPNTRPLNSSLRTLP SGPSLGSVPRTLTSPVPYVPASPRVPGANPNAGTSSPIPGAGIAITARGGVRGTRTRA RSGSSPGPWRPSTMASMSMGSLHRPWSRGHGAGGTGSALLSRSDSPKGIVSHVLCFLL YFAVIFVLVFIPIKTLFLHFKLPSPYPSLSLLFQVLCFSPDRPLPEGTFITGPLPCSL IPIVPIITTPTPNPTIILFLIFNPAIIILPHCPLHFLLPPLHNKLHFLLPSS NEUTE1DRAFT_102567 MGHSRPRIDSPKKSSFITVTSLRPTGVRKPSPRAKPVADKTVAR SATCAYAWQAAVVLKDEESEGSDSSSSSDSSSSSDSSSSSDSSSSSDSSSSSGSSDSS DSSEDLSPIQHRASRPKASVPHPTLKPSALPAPAAPAHTESEIENLMTIGRKQVEKKL KDRINEEKDKNRLLEKQITTFENYKKYLAKLKDLEEELTELKEENRLNEDTVADLAED FRKSTMEWAKLFEKYAGDLGISVFDIRRLADAW NEUTE1DRAFT_111675 MEQWELPELRPFVGHQLPPPSGNPFPEHSGLTPTSTPTPANSAR SGNGISTPTRTTAVIFKPWSHYTLDSVKKRYKAMERELNDNLVDVERENKWYAERIEE LKQSHKYLASLKELKRKVNEAYERRGRLIEEQHNWKRLVESLQSESVDDGAKMASEDS ESPAEDDSENESEHESQNESDYDFNDGSEEESEGDSEDEIGKGIGEDDEV NEUTE1DRAFT_46664 MKSGELHSWPLALLAFAHHRTPILPHTIPSFGTNTTQLLAPVLF LLPYPPCTGFYRTIVSTPVPAPSSLRYSQQPAVSPSPSSTRNEVDEATQTTSVAEESD KPTTTSGTLPNPKISQDAQSGSLAGDIEKFSIRHFPVLRKELNRKIEERRLVNRRIRN SLARIEHCEKYMRILKTMEEELEAEKSKKFELLALFRQAEQRFIALVDDWEGMAMTGR DCHIKGWHGTT NEUTE1DRAFT_139381 MWPSPSQSRPSFEHVFGLLLSRQLLVTFARSKLDILVFGLGLSS GDILISPSWRFRKSRFGGPLLRGARGSSECEESARPCIICLNADRSGLTVPGRTACAS CHNDGKRCDRASPMTMRAITV NEUTE1DRAFT_139382 MADIIMESPPPSNDAIAALDDWINNNSDRDGSLRNLAADRQLAP QSLEQAPRKIVSLGCDSLYVVLPGFVADLVDQMDKIQLGQLFNHVRVRYAVNSSNGLG QDRHPYNPLNRFPTGKSFALDKGVLVVNSKKAQPPDTMPPKGELRSLKVEQSTR NEUTE1DRAFT_48159 MAEGELSPAAQTELQGTTFGPDPALRIDAYTLKVCIERLSEQWG EVRITEPFSKNRAFPLFYPKGGGGGGSQQVHL NEUTE1DRAFT_47767 MASTSRIGPLASPDWVPRSRSPESWGPTSPGPVPFSPPYIPRSP ESTPGSTSPADYLYYPTSPGPVPPSPPYIPQSAEWIPGSVSPENYYPTSPGPGPQSPA YAPSSPQWGSKGTSPTNYYPTSPGPVPPSPPYVPRSPEWWSTSPTPAPQSPAYAPTSP QAGYRGTSPVKYYPTSPGTVPPSPPYVPQSPQWAFTSPTPVPLSPSSPATASHFDEHE RELDGSWGRGRFVYTRARSILSEEEEEQRQRGEHETTTEPFPELPDWEEDERTEGKAE KDVQDVMVHEPAAAFPEEEEGGLPDYQYYEQLDQEEKGDAEKTAAETLMQLETQHSVA DPYPDIAALNYEPAQSNFCQSYPSSEIGMHQSVEDKHANWISSCSSFHSSSAINNPAC RVSQRLIGCVKVQKRVDRMMWNKLMQKKMVRMMEVCEKYVPADVLKGLKR NEUTE1DRAFT_102570 MDSSNPLSDREKAFENKYIHDKDQPLTKTSYRRQMAKDRANKQT QTESSPSTKDSSSTPIEPEEGGGGTYKEEITKLAAMPS NEUTE1DRAFT_66523 MGFLATLIDRGISHIDGAAAGPGPKYSPDSYCGRRLAGLETGPI PNRGPETSAGLWILVSFSTTFLAARLYLKMYRLKGLWWDDYFLVLAWLTHTLSATLAQ VSISLFGLGHYPCDIPSPATSIPRLTLVGDHFGAMFSMFAVALSKTSWAVTLLRLVRR GSSTSSSSCCTTPSSSSPGQHQRQYAVWIVWLVIITICLIKGAQGVLVWIPKCGSPQA AALGDSNDDVSHVCVKIEPLNGFATFAGSVSGTYAILLAVVPWKTIWGTNLGKREKVG VATTMSVGAVSGVAAFVLAVKMRRITSENFTYDSGAIIVWSTAEASTTIMAACIPVHR AFCRQLRKKLLAQHRLHSSKPHSTPPSTGKNGVGGSTTLTTLNSGSFHSVPDKLSQHG RTGSDCLSLSSGGGGCHAPTDDSASDKAILQVHDIESCDISGSEVATSRNSGRILMTQ EVKVEYHHHPEILHERDVLDERAQSQHVYAATQLCANAKLPLVPRMKLGVELILGAAP LARGRFAVTPSTKAIRTLSCSSAARADDATTPSPAAGDSTAPTEPAQPKLLFRKIYKK QRTADQKADKKGDNGSDMKADKEAGMKVNEETDKLAEAQREFDIQLSRLRNDLAQLKK SNNKLRKDKGALRLDIVNMKKAFKGVPPTAAVQRDGQLLELYNKIAKEAREFKAGTPQ SVEVVDGQQQLVITFAQPDGTTKQVAMSLHWLRDTCKCPHCMNPDSGQKNFSSTSLPE TLEVQSAEVNAADGSITIAWANDTVSTNATPEATTHTSTYDASDIFTWQLPYDLAGNL LPVERTLWDRSKLQAHIDSGDLRVSYNDWLTSDAAFWKAFESLARFGILFVHSIPSDR ALVESQVEKIANRIGILMHTFYGFTWDVRSKPRAENVAYTNVFLGLHQDLMYIDPPPR LQLLHCISNSFQGGESLFSDGARAAYSLELNNPLAFDQLRGNRSPQFHYHRNGNDYHM GRNTFRYAGRTGEGKGFLSRIHWAPPFQAPFSRQTGATATNVLGNRVIQDGGGGAYAE NEHAVVVEEKGKNMTKWVPAAKEFEREISAEENMFELKMKEGECVIFDNWRVLHGRRE FQTEGQAEGAERWLKGTYISHQVYKAMEDKLQWRLAQKEGGIPLAIGVAEAHGLGWKE RGQLPKKEAPKQETTAPVQPKEEAFKVEEAPKVEEVSKVEEAVKPEEAQNEGPSRQPK EQLGTVNWNA NEUTE1DRAFT_95574 MSSYNKDQKDFGEAPKVHRVRITLTSRKVQALEKVCSELVERAK TKDLRVKGPVRLPTKILKITTRKSPCGEGSKTWDLFEMRIHKRLIDLTAPTEIVKQII VNIEAGVEVEVTIAA NEUTE1DRAFT_85216 MASKLPAFALFPLAALRNQLLPDEWIACLDAWLVLIDSHLSLPD VAFLSISAKDESLTAFLTSFAHETALGSTSILGPSQSAKRLLKGSFLLTSRLLRSSSP PVPLSQWEFLSDFCRVYGKKRSSELLSSLSESAQKSLDASLSALKKILIKNLDLGLQE GGDLKGVEQRLDRVNDLIGSSPQVASFFLAGSDFLDGLVSCYKIMNPPLRKVIIATTY LCMVGLADGSQDQKLSALTDQLYSLKAAADVHKAGPTNVNDSLVAELVTSTPLLQQLQ RKLESVGSGNIRTKSVLKELAAFKKPGGGVPRPKRLVNRQRKAIDKGKGKDVSSLEDT QHQQEIHIHRMSQISQIQDLFPDLGSGFISKLLDHYSSDTEQVVACLLEDSLPPHLQT ADRSATLSPPSPKQPKSQGHLRSPRSTPPLPPTRGTTTTTNPLVDDDDFLLSVPASQL QLGKRTDTSADRLLSAPPTTSNPTYKSRILSALAAFDSDDDERDDTYDAADVGGTVDA ATVAAGVEDIRLQDNNNQPSSQGGGAGGLAEATEAVLYEVWKTRPGVFGRDAETRRGR ERKELRDKTGLSDEAVEGFGVMINRDQNMKRKLERKFGEGAFSGQQVEIQTTRWRDRG GEDGDGETDTDGGGDGPSRGGGYGGPRGGRGPGGGGGGGGRGRGRGGRGGAGGGGGRG GGEAGGSTGQGAPESDLAKRRKEANKGSRANHNRRDQRARKMARGGFGV NEUTE1DRAFT_85218 MTICKFYQQGTCRYGSNRFGALAGGGSSFSSNRSGENPYSLDAD AIRKDLTEELPTWILSAYAPGKQPPEQLFGGEQREQSFEEIRMYYLNGLAAGNPEGAL NDINNLYQSAQAQNQAALSNIQGAIQYIVDAGNKHPNRHDICNQNTLPGGTTGEFGKD RPRPSAFGSSTTTLGAPATTSNVFGAPSQPAGAFGQPSALGAKPNPFGTPAFGQAAQP AAASPFGQPSALGASTSAFGAPSALGAKPNPFGAPSTGGAFGQQAATPAFGQPAQATS AFGQPAQLGAKPNPFGAPAAPVASAFGQPATLGAKPNPFGAPAAPAAAAAAAAAAAGG AFGASTTASPFGQSTQAANPFGQSTTSAFGQSTSTPAANPFGAPTQATGGPFGASTGP ATTNPFGAPAAAAPAATPSPFGASTTTPAANPFGASTTQQQQQQPSAFGTSTTTPAIN PFGQPPAAATSTQPTSAAAGTSPYAPNATRQHPLITAYTTRSPLDNRLQTFKGKPVTY QRIPKPGAPDTAPPEKEVPVIRNFDGSFQMIWFPDGAPKYTPDTEALEGEYTPEVLSA WQKFMETGKFEGGVMPEVAPKREFCAWDF NEUTE1DRAFT_123635 MAFGAAHLQLWLGALVALGAIYTSCLIFYRLFLHPLAKYPGPFL AKLTDGYMAYHAFKGDRHLEFWRMHEKYGKFVRFGPNSLSVNSNTALKDIYGFKANVR KAEFYDAFVHPAPNTHNARDRDLHARKRRVLAHAFSDSAIKEVERYILSNIRTFCDAI GDLGRPSFAPLADKKGWSSPKNMSDWCSWLAMDILGDLCFGKAFHMLERPDNRYAVDL VSVAAHRHLICGTMPMLDKLSLDRIFLRKIASGRAQYMAYSRQQLAERTALGDETDRR DFFYYLLKARDPETGQGFTTPELWGESNLLIIAGSDTTSTAMAATLFYLVRNPTALAR VTAEIRSRFASVEDIHQGPSLQSCTYLRACIDEAMRLSPSVGGLLPREVLPGGITIDG EHVPQGTIIGVPHYTLHHNAAYYPDPWSYTPERWLSTQSPSEKASGAAMQTEEQIQLA QSAFCPFSIGPRGCIGKGLAYIEMSITLARVLFLYDLRRAVGVDDPAEGGKKGSEYGR HRVGEMQLVDTFTSTKDGVMVEFRPREDLAA NEUTE1DRAFT_111685 MAPLHNDHSSQTLQLPKSPIIKKEEQEEDQAAEMIAKQEEEQQP QPQPQPQPKKQKKQKKEVKVESRSPLLTPPSAPENFSGRSSSSPEVEVVPRPEPVKSE DQEPSVGSSVVPVKGSSDDTSRELKYPAALLKYRKVLQLRSSPKREATLQKWLPLANQ LMAAHVKKLAELRAEKLEAAAAAPMESCESREKEEVEVAAAGAERASCCPHHEVEASE EDDSDDDDDSDSDSDDEDWWPGKNLGWSRDEGMEYREGQPVYGDGY NEUTE1DRAFT_111686 MANRGVKRNFDTVDPSSDTTTDNNMRMMREPNPSPTTAPASEPR FYPTTNDPTTGGDMSRAARPSANQGIPTITSPAQHDPTPSDQATPNANTGVPDANATT PRAAYHRRQAAATVQRIVLEESVALTEQIAETLTERELRQILRTCIIRQASGIPQRAM IKYLIRKLALMKMVNHPDFPEWFERFQRGEMDVESGAEADDEMEEGDEYMGEAEEVWD TDEGEAREDMAEAGEKMDAEEGEAGENMGEAGEMDTEETEEAGEEMDTES NEUTE1DRAFT_139392 MIHLGLCCRVTTSGEHSALPQYLLKLRLFLHSNMLFSSQQRLLM AYNKEDQISRHKCKSARQERRERGSVSAAVFVGIGVGNGAGAGVEVGVGLGVRRSGNC GRADRGVEVERRHVELTAQDVAFNPMIGGGGKGVYGQNCMWVYVELT NEUTE1DRAFT_25790 MNTHDIMMARLQAFNVSQDDEQRLPGSEAQAQGQASSSSSRREY FMNAMREEVSDDMFFPTPQTTPHASPISEPNLHPNPTPTPPSPGPSPPRRPLVRVRSM PPNLDVADSYMYGRFSSTGTTATGGDGATTKPPLPIGLQTPTQASAPNRVTKASSHSH HKSSRSGEFVMRELETPLEQTLYARRMAKLARREQAAARVKERRMASENRRNVTRLWI LEQQLMAQVRAMDASWRIKHPGYGMNKFSAAADTAGGVPPPLGLAMEEDGSSGYGQV NEUTE1DRAFT_102577 MDSTIEVTQRLDRLSIATNLPSFTLNEASASPGPSRRNMNQSFV SSETSTQGQTATASTTIHGSDTDITMSNDLITATRNLSLRSNPLQNRRALEAHGRGHA EWSRLCNQLSARHGTVSIAMMNIRARERQAAQALPRSRPHSRPRLRTSSREACEEAGS FFRHCAQIQSQTVRAHHHHHHHHHHHHRPRTPPVTATTGDVAGLTREEHHRNLVRAQL RYRLQIRLVSAIAARAGH NEUTE1DRAFT_102578 MKPTDSSESEGANQVIHGMATLSVNPSQPSAIIMHPRPGVDVDV RSALSDPEPSTMHTQSSPPRPSTLTTMTVIPGTFSSPAVPEISTTYIHPSVRTQAAEH FPQICQHPQPFPGHYKPHRHQPRSQAARNRPCQKHTSTATAAHHMRARHQGQSLMRVG DPDHALTHPINNRKRMGFEQMRQEHRDLAAKLRALRAEFESINTLHRELTLGSSMSSL SLTAGEPKPLSVSEDRMEHLLAEMRGGNSLMKEEMSVEGGGEDNVEDVMEE NEUTE1DRAFT_139396 MDSTKEVIRKLAKLNIDPCAPTPGQELSASHPPGPNQRPGPLGP ASQAGFQTQSGTQFSPIIDKRTKPEEVIATATCTDPESPKNIIPLRKPVRNISRNLAE SLAKGVKPRNSDDRPHTTSITKCRDRVRVGVVDFLRRDASKGLKRWLKEKERRHGSTR RATRVHRRGAFSEGMRKGLGLKLKREEANLGQLEVIKEEEEEEDGEEEEDVKMEEDVE MEEDTVMMD NEUTE1DRAFT_111692 MKPACNITQKMDGLTIKPKAPVSTPNHTIMESTHEITQEMEDMT LNPKARVDTPFTTTTSPHTQRVPSQQQPQPSLPAAFNFAGNSTGDYVYTTTSAGLSFS NPNASSSSSSNTTTTTTDTTNITTNTAATNLFQDQDFCDHLLNCDDPVGFLEVSLYLA RFPSMSLSHNHPSSNNRITKFRSTTTSSSRERHHHAHPIRLVQPGTMTPEMGTTIYKA TMKKVPGTTIYTTTAERNAAEEKEKEKERMTTAYVENCLTFTKARVAAEKAARVAREK QMQMQMRSGGSGMGGAYGEDSSWFLRGRERAKKTWVNEDGDVVEERWLEPNPMVSWSE GNEDFDGMDGVEESVEGEGEEEDGDEDDEMME NEUTE1DRAFT_102580 MTDNPKVIVPRGTISNRTIYSASYITQKRPRIVRTEPINMRNGQ RTDTGGASPSVQRTSTLLPISPTLFKSVTVAVEAEDPASSITTKPDTANTNIDADTAN VTGHKMDANPSVISAPSGTSSPQDTTPSHASPVKVSSEPAGHSITRRRVNRTVRLMPE NPAHSGCIYFGRARLHQG NEUTE1DRAFT_139399 MDISKDIIPNMADKTGRQDDSSDVIATPYITSLQSVSVHHHRRD SVSVKSDPPSTHTHHTVTTHHPVTITTPTTTTFNDNTANWRELSQPRARRLIARHGTT YTSLTKLGSSGRTTSLHHILGRGRHEEGAQDMSGSELRMHFASLDKTAVATSIEERIR SVEVELNRLREEREKMVRELGDKMERADVDER NEUTE1DRAFT_85223 MPISIPTASLEGKVALVTGAGRGIGRGVALELARRGASVIVNYV SSAGPANEVVKEIESLHNGARATAIQADVRRVSEIDRLFAEAKRAFGRIDIVMSNSGT ESWDKTEDVTEEKYDYVFDLNAKAQFFVGQAAYKHLERNGRVILMTSIAAGLMGVRNH ALYNASKMAVQGFVKAFATDFGDKGITVNGVAPGGIKSDMFAENAWHYIPGGTPDLGK DKIEKMMAEHCPLGRCAVPEDVARVVAFLASEDGGWVNGQIITISGGSSQ NEUTE1DRAFT_85225 MSNESSEGTDADPWAVPKFTEGTNVSRDIPRVKPPIIKSVERTS AVHRAYRNDITKFFFKWRRRGNDSPSEWDGATMARRVKARINKIPIKSSWKGPGNYSG LIRRSKKKIADWGSGGPRDKEPWAMARSKWLANQFRRIKMRHIKTLGWGGLGVVNLFE QERPDGQVIKVVCKIDIDSGKGYLRYEIEAHKGTAGAKHISQRIPPGYFARPNGQPIQ PAPGTVPSSTRRSFGSDLMMMMSSGDEAKMGKGKRPDQSLDAPALWDTNEVLFLEFMS RGDLRKIVAKIAAGLSTHGNLPPLTLWHIFGNLFKGVVGLAYPRVFMPEEYKTPYMSD EIVPQASESCMPLPKLTPYCSYKTLVHFDLDMSNVLVGDYDSFEHNATPIAKIADLGL CHPFLGNHDSPGFRWSCRRRGKRSIFAPEQFTEEWDYVKDGPLESGAKTAGNYNWWTN LYQVGIIMYSLITLCEFEVPPTPNMAEVRYPDGSEKEVWGYGMDLLVCGYSHIDFDLV NAVAACLCHDPADRPDLHDLETLIRTKVTSQPPDGPATKQARDWGRRYFEGAPPPWYQ PATTGRERLKLWQKPAPGGGGGGPPIPPAVRQPGVQAGLAQQRTTSLATSMRQKHAAA GRGQQRAASGAVPPGQQSRFPAGRGQQPSVQAGWGQPPMAQAGRGQQPLTQAGRGQPP QFPAGRGHKRAASAARGAGLQPGFQAGRGQQPGFQAGRDHQRAASGAVPPGQQARFAA ARGQQPSAQAGWGQPPQAQVSATADRWRQAGFPTGGHPPATGRTSRTHFQGPSGFSTR KGG NEUTE1DRAFT_117669 MAFTVYTIPPTIRPTTMRTHVRDCEQQQWKHSKHVRRQIPTIPK LTSHGPEHKTPVVKRDRGHPMSRHMDGRTG NEUTE1DRAFT_130988 MMSNPPLSGVARPRQRLHRRQNSTPSAFEAVKIAPLPTFPQQQH QRPHMGHRRGVSLDTRRHPLMSSTITTTSQQDYSTLVSTTGPDTGIPTSLPAAPQDIL AQQQHQAHLIARPSSSQTDYSDLSSDSGDSFLLSPHGTPHQRNHFMDGLASQGPIPEL SLSYDTYIDPNFDAMMKRNQVSYDSNNNNVMASSSSATPNFDFFASEAALSTPNFMSF PDQSPAGTGQGGWISEGEASNTQLRRASRRVSVGLNNSLIDKLAKYEPMANGQRPETP PMHNAAGKLAGSDVLSGNTENEAGFYPPTPMETPHDRFMKQQATQQQQQQQQQQQTLR PSRFADDYDESMEETLKPIRGHRSNRNSGIFQELRQQAEAMAAAAPATSQADSMPTTF QSHTLPAAQDEDLLRIHSDFIKLEHGFTFPDMGSQMGPQMGSQMQGLGGMSPFDNKPD LGPPSEYGDADPQASSRQSSVSPSRRGSPHRRTDSIASIASAASIASINIEETKTETG VTLDDIAQYIEGPDPADGKWVCTYEDCRKRFGRKENIKSHVQTHLNDRQYQCPTCKKC FVRQHDLKRHAKIHTGIKPYPCECGNSFARHDALTRHRQRGMCVGAFDGIVRKVVKRG RPRKNRPDMEERKDKSDRTRDRSRSHHSTSSSVSSFSGYSDSSVPNSPGNDYDHIIDD EQFPGLLDMPMGNVPNLPPSIVSGHAGHGHGAMPSSSTMNPAAATMSVSSVSSAPMPS FDPRVNEVMNVDSPASRNYANSPSAVSSYSHASHPSISITEHHGPGSDFGLPKSQNAA SPAKSAVSHYTPPELSSSSSPPTHTQQQQGNQGPTYYDMDPSHGSMSNIPGPATSMGM GAAVGPTHVDPTNMLLHGYEHQMDQNLMLGNLDGMTKFEVMEGYDAAAEVNMFGDAHE GDGSGHDGSNMYFGS NEUTE1DRAFT_123641 MTTPDTTTTSKSKLTQQSPFDPLSTTPATNLVSPLPPDLDVDVL VIGGKDVRHILYTAYAEVGEHGQQKSDASNSNSPRQRSPGLRGSRRESRRKIDVTVSH EDKYVVARNVLVLSLVLGVDLDGAEAGGNGEGGVDMEVEKDAKEKAAKEKLARIWDIY YTRFLPDPETRDLLASQAEKLCQVSKTMEDWEQGPYGGTIHLVNQKSLDAVRGVWEQY AEAARSALDTEKVGELHSALVEGMRSSPDEQRQKWALGSSAAPLTVLLKDQIRFYVQV AWEFDQNIANAMMLFPNPTFAAGLLSEPDSESESESQSPGSHRRLAYPSNGVLGYHLA LIKAPLTELSPLRIDRDGNDFNDGIDEDPNGHMTALRVAKHQFTQWSLAFRELAAAEQ KRLTIRFAVDGWFPLCQSLLHPPAAAKSKIQFDIIDTTDLSSLWDPSAAGVPDPPGLL GLLVAAKRLLKDRASSTLVLDDREGLSLVVDNVNGDGNMDSNNSSSAQAEASIFANHT TGAFILGMAPVEYWSNASVKATVDECLLAASSLYDEDREQLQGLDKDKEAMAAAAAAR VKKLEEQGLHISNRLFRKHSRWLAPGQQHGGKWRKSPVVNVDAKELAEAAWRVYEDSV IYQIEGQRVVHEGQELIKITWGKGLWQTFMDFIGVVGGQFETDREEFRRVIMEKIGGN VVQDALLQKALEEVVSESTTATEAEADGCPVFTASFDVTSGTLTTITGHLDFITEEDK KLLADKTVPISLRQSSPWTIDIVLGAGTLVKPLVFPTPVLKEGSKTRIARKSGYIEVI APAILNPLAAKVLDEYIFPTVLQTADSSSETSQLVPTPLNAPTVNLDSLPILSISDTS RLSFLGPLASSMYSPRELRERQAAFEKGLPETSWDSARLNLKESLHTMINIAAGLQVG QSGLFALCPVSGSGSSSSSSDGRHILILVSAIRLDCSAQSGGGIVIDAAVLPLTHSLL AKKNNNKNTDNDDDDEDLQSFLVLLRTLDCVDLSVTDAELALWKSILPSFAERCRAWS HSPSSCEYATTGQVPLSLKKGDPVLCTCGTGHFPPNYLSLPLWESAAAPHATRVAISA PYCSPAVEKVVFDPVLAKKIAERGLEEALRKKEERCRSCGKGEEELVEERKAKGGETA GKTAEVLRKCARCLKVKYCSAECQRRDWKTHRTECVESEEHHR NEUTE1DRAFT_117672 MKSISVLVTAFAANCAIATAHQPLGSLFVRDDPPWPTIEKAAFN QNTYHGCFNDSGTLKLNTTRMNTQQMMNFGNGLEKDLGVSSGLCFQWCKGNQTTVAAM SQGDQCWCGTEYPPVSAQTDIENCDITCSGYNLEYCGGADTWSVYNLGAPTVVNSKPK TSSTSAAPSSTTSAAKTSSISSAVSASTVTTTESVSSDDSKKGKSNTAGIVAGTVVAV VVVLSAVGGVFLFMRRKRNKEIEDEHRRNAAVNAFVGKPPSTSDGMSMADARLDPVLV QRRLSDGSIADNQDYSRRILRVTNA NEUTE1DRAFT_117673 MVAMKFATIAVLATVAVAAPTTAVTTAKEVKPFSWSQWVADIMN PDVVALTPEQAVEAYYQSINATASTHDGAAKVKRFNRTCATSENWRADVDAASKCVSG LAAQGTGVSYTVKGQSNALELCKDIPGVLLDVYSYYNGDYQWTAQEFAVGGGHIMDGC TWGGRTGGAIYEDASPLLQITLRRR NEUTE1DRAFT_117674 MVSLKLTTTIATMLATLTIAAPFPGPGPDPAPAPVSTSTSTSIS TSTATKPFSFSKWVDDILNPNVVALTPEQALEAYYQSINGTRTTTTTTTTTGTELSKR AGATCEPSNSKRMPVADAVYIVNKMAAGGQKPVHMPPPFCSESICRSTSGRSEMVGQK DCNLYVEEPSEYWARAGGLIMDACTWGDMSGGKIIMPANQYITVLLYGQGH NEUTE1DRAFT_85234 MDWDLPVYRLDSFISSCTDDDFTEYTLGQATDWFIHTADASLEH LVDHTIKTCPKKFCRSLKWEGNPDVSGIGVYAAFMVQAALATLFLLFYIRFYVKDVVD VNKPEEEPTLPKQLQGNDSPTDPQPTSQQHDDQPAAQKATKLFDPIAFRETADNCLEV FWATCYVFAFTFVIAAVVFITDHDNDSTGRVYSGYFTYLGAVNSIAVLICLWPWFPGR HKYPVLTFSGLTVLLCMMAGVSIAFFRISLGENKTTFEARCLGTVHSAQSVQKLVKGT PYAVLALIVLWGGSLLVIRIRTPKMTDKGNDTVLTLYLVLTGIFGGFLVLTSFVLVWL SLGFFHSLRQHAENLSGLSYAENEWGFGQVAAIVAWVPVFGQFSAIVIRGLARLLPVG ARELFEPVPKDQRGTARVLARALRNRKHQHTQRHESMTAEAVPLSSLRSISHDEEDVG TTSAGAGSHAQ NEUTE1DRAFT_46170 MADNTKGTKEDIKAPKPAASLQIKTPKGTRDWHGPDMLLREQLF QTVGDIFKRHGGTPLDTPVFELREILAGKYGEDSKLIYDLQDQGGELCSLRYDLTVPF ARWLAMTSTQQVKRYHIAKVYRRDQPAIARGRMREFHQCDFDIAGVYDPMIPDAEILR IIVEVFDALKLGITIKLNHRKILDGLFAVAGVPAEKIRTISSAVDKLDKMPWADVKKE MVEEKGLPEEVADKIGVYVQNAGNITDIINYIKADADLPTNDDIKAGIHDMELLAAYL EALEVTDKVSFDLSLARGLDYYTGLIFEVINKPEPVADGDKKKKSKKDDPASQVGSIA AGGRYDNLVGMYGKKQIPCVGISFGIDRIFTILKARGENDIKKREVDVFVMAFGGGKD FDGLLVERMKIARQLWNAGIRAEYMAKAKPKLQAQFKAAEGTPLGVILGEEELKAGQV RLKKLDQGQGQKDEGNLVPIENLAEEVQKLLL NEUTE1DRAFT_139409 MEGVDLLILGAGWTATFLIPLLQQHPDSLTFAATTTTGRPVCGI DTIPFRFDPDSKDPTPIANLPRARYILITFPLTGKGQSTWLTETYQATHPQTPSQEKY RFIQLGSTGIWQVEGRRKRHGAKSPEEQQAEREARAKAEAEQAAAAAAAKNNKKPFSF TTRHSPYLTTDPRAIAEDELLASSVVDGMVLALSGLWGGARDPRNWVSRVAFSKEALR HKTSLHMIHGLDIARAVFKIITTCEDEKKKEGGEKEKKKKKWEEHGKGQRWMLTDGFV YDWWSLLMGWATEGQEDGEPREQAKWVMELMEEEGVQALPRSMELMGRAYDSREFWRT WGLVPVKARVGVNAP NEUTE1DRAFT_102594 MASSQHIQLAHKNLTKVASTTMNLKRDLSAFHQGQQNTQGSADH QKEDSISRPKKVAKIVNTEPTDKPNGGNDHMASRCIGPWDPVSGTIKVCVLCNDASHG LDNCSVLAKRSASDQRFVLSRYLIIYRGGRPPIESSVCWVDLLSSTEYKEVLAKHSSE KGDKLYPWTRAFAISQHTNKLWKRYSYSQGDDSKRTWWPEDPKTNSEAEVQKNIYESE REWELTAAGLKAWPDIFKIAPKLKAFIEKEDN NEUTE1DRAFT_47419 MSAPDASNKALPAPSLAPIACPDQERRSQIVLKLVAENARLNPD GVGALFDLAKKEVLRQLVASNKAPPAISESYCSWLAEKMIWTRFVPHETFSFVEPQLS PGLFLQPSAEYAAALMRNLRKRRELVLQAAPVNPTEPNSKWRFKKIGTPIQRKEIMNF LLHQDSRPAVTKTRHLTIRSPFSLTLHNSLWNNNPGQLKRILHESQKLPAVLSPWGRD SPLQLEVTSSALARGFLEVVILLAQKPQERIGSTLFEHYVAGYMLLLKWYLHICCQTQ DKDSVGVNLFGAVTYQREKTLGHPFDEAYRWVDNPIDLKVMHEQFALADASLWLDWQR VAETAYSTSEITKAKTFEEAKAVIYKTADNDSMSGIKREHALLLLHEIWQRRGSFLDR FEIEKEYDRLVTDYLLPDVVSTLDNFFEINEMPEKMCEWAAANPFEIKRKDTDQLCEL MWRAWRQLTAGFEEKQKRILFVYQVQHIRKMYREYLAELKLYSKGMKELREFVCKNEA HFLLSEEIRWQLALDEYEKQFQAPALDVCQKEGEKEIEASQNGKKEKDAEQAHSENGA APPE NEUTE1DRAFT_147746 MDEDQGPSHIAPHAMPKRTIGDRVTGFTRTLAHTFLTKEGWLGD YDYGFLFRPNLPFMRKINKPAVFFGLNDRMPVLLALILGFQHSLAMLAGIITPPIILA GGGGANFTAEQSQYLVSSALIVSGLLSCIQIARFRILKTPYYIGTGLISVVGISFAII PVATGTFNQMYANGMCPTAADGTRLPCPDGYGAILGTAAVCALLEVLLSFLPPKYMLR MFPPIVTGPTVMLIGISLIQSGFQNWAGGSGSCAAANPLPEYVVCPNVGAPHALPWGS AEYLGLGFLVFVTIIFCERFGSPIMKSTSVILGLLVGCIVAAACGYFDRSSIDAAPVA SFMWVHTFKLTVYGPLVLPLLAVYLVCACEAVGDITATCDVSRLEVEGEIYESRVQGG VLADGINGILAALCTITPMTTFAQNNGVIALTRCANRTAGFCCCFFLIIMGVFSKFAA SLVAIPSSVIGGMTTFLFTSVAVSGIAIIARGVPFNRRNRFVLTAGLALGYGATLVPT YFATFFTYKGDNKSLQGFLDAIELIMETGFAVTALFTMFLNLVIPYEFEDIDDQAVEG LPTGVALSKEGAPAGMVPEKGSFSASGVGSDDIRPVEGGSGKTVKEA NEUTE1DRAFT_102597 MTVYRKVFWCADCTSGFYDEDDLYDHCEWEDHYPGTDGFGCYLL EHARIKGHSRYECDDCGRLFVTEDARTKHEVEAHFFCRECDRFFQSINNIKQHLNSRT HRGQEIKCPFCPNSYTTATGMTHHLESGSCRNAPSLNRDSIYQFVRSKDPSGLISKKL IGWEGTSQNTYTCTDRAWNGYRWECYLCHKGFNTSHGLNQHLNSPAHQQNLYHCPNRS CRQDFKTLAAIINHLESEKCGAMRFEQVQKSIHGMVNGNRLIGY NEUTE1DRAFT_147747 MVYLCHTCDQSFYDEDGLKEHLDDYYDHEAAHEYARYGCDTCLA TFNGDAARWQHMNAKGHVGHECRVCDNKYRSEQGLIEHEVEDHNYCADCDKFFQNTNN IKQHLNSRIHRGQNIDCPYCRNCFTTVAGLTTHLETNGCRSAPSLNRDTIFEIVRQRD PRGFICKNLISWHGGESKPDRWTCTDSAWNGFAWACGLCARVCRTRADLNKHLNSPAH YTILYHCPNRNCPSEFKTLASIISHLESESCSFMPFDTVQKRMKQVFTSSHMLEF NEUTE1DRAFT_123651 MADFNEYPANLSVKDALIVRQVAEPDHAVVPYTGEDLSRPRLGP ANPFRDDTDSAPTAVGTKRKNVLTGTAAETYISEHTFRSKHRAIERGGGPAREQLGST AKKAAAAKLRQQREGKGSATIADGDGAYVGPWARYKKQEYEEVNEEELGSDEEYEIVE EEEGEEEEDVVESGTVVKAPTKNLARRKEVEEQGAETTTFHGTEEFDYLGRTYMHVPQ DLDISLTKDVGSVTNFIPKKHMHSWRHHAGKPITALQLFPKSSHLGLSGSTDSTIKIF DVYRNRELLRTYAGHSKAITDLSFNRDGTKFLSGSFDRWIKLWDTETGQCVNRFNTGK TPHVIKFNPSVDQGHEFLAGLSDNRIVQYDSRAGADPVQEYDHHLGAINTLEFVDENR RFMSTSDDRSLRVWEYGIPVEIKTISEPDMFALTKSTQHPSGKYVLYQCSDNSIVAYS SASDKFRQNRKKSWRGHNTAGSGIGLVCSPDGQFVASGDTGGYVCFWDWKTCKMYHKI HADTSGGAINCVAWSEQETSKVFTAGAKGEIRMWD NEUTE1DRAFT_139416 MSGEAWLYLFAVLINAVNLFLQVFFTIMYSDLECDYINPIDLCN RLNTYIIPEVAVHGFLTFLFLINGYWLPLVLNVPLLAWNVKKIVDNAHLLDATEIFRK LNVHKKESFTKLGFHLILFFFYLYSMIVALIRDEAH NEUTE1DRAFT_85251 MTEQPPAKKKCLGADCENDAGSLQCPKCLSLGIKGSFFCSQDCF KKNWNTHKELHKLASPETYNPFPTFSYTGPLRPVYPLSPKRVVPKSIPHPDYAESGIP GGGRTRSNKIEQLDEKGREGMRKVCRLAREVLDIAAAAIRPGITTDDLDKIVHEACIE RNSYPSPLNYNFFPKSVCTSLNEVICHGIPDKRVLVDGDILNLDVTLYHEGYHGDLNE TYYVGDKAKADADSVRVVETARECLEEAIKLVKPGTLFRDFGNVIEAHAKSRGCSVIR TYVGHGINKTFHCPPNIPHYAKNRAVGECKPGMTFTIEPMIALGKYRDVTWPDNWTST TIDGKRTAQFEHTLLVTETGVEILTARTADSPGGPVPMPTAAA NEUTE1DRAFT_66569 MEERKQKVVVVGAGPVGSLAALYAANRGHDVEIYELRGDLRDPS TTPLNFTRSINLALSERGLKAMRHANQPRLIDYVKGVTIPMRGRMIHGKRPDGKLYEE AQDYDIHGRSILAIDRGDLNKRLLDMLEEMPNVTFFFNHKLTGADFKRNKAWFEDRDE STSNPRDRAREIEVDFDFMIGADGAHSAVRYHLMKFSRMDYQQEYIDTLWCEFQIAPS SSAKSKFRISPNHLHIWPGKEFMFIAIPSNDGSFTCTLFAPAAIYEQLEEAASTTTGG TSSSSIPDFFDKHFPGVTSLITPADLVSQFQTNPHLPLISIKCKPYHFSSSVVIVGDA AHAMVPFYGQGMNAGLEDVRILFDILDKHDRMTNDDSSLEASQRELALAEYSAVRVAD AHAINDLALQNYIEMRSSVLSPVYRWRKALEEWLSVYVPSLGWQTKYSRVSFGNERYS EVVKKSERQGQVLLRSLVGGVGLPMLAGGLFLWFRYKGALGRAAYGVFYNFMGMVCRT IHGRRR NEUTE1DRAFT_123655 MPPTEESKPVDPAQEKQAAEALASLKEAQQPAADESGSEDEGAD GTAQEAGSGSTKKKNKKKSKKKNKDKSASTEPSDEVGLTEALAQADPKSALSGLTPKQ IQEFIDLNPALANELLAASGSSGTTDVMEAFKKLKIQDIITGLASSGKNRKDMASYKF WATQPVPQFDEKPAIFEEGPLKIQKVEDIPDEPIPLNLAPFRWVTMDLTDEKQMQEVE KLLYGHFVEDDEAMFRFKYSTSILKWSLMSPGWRKEWHVGIRSGDTLCAFIAAVPTEI RVRDKVIQGSEVNFLCIHKKLRGKRLAPVLIKEITRRINREGIWQAIYTGGIVLPRPV STCRYYHRALNWQKLYEVGFSPCPSNSKPAFQVRKYALPEQTSTKGLRELQVKDLDAV HSLLERYLKRFDLTPVFNREETEHWLLHKKDSYAEQVIYSYVVEDASGKITDFFSFYL LESTVIRHPKHNSIRAAYMFYYATETAFAEPFDKGALQKRLNDLMADALILAKRHNFD VFNALSLMDNALFLEKQKFGPGDGQLHYYLFNYKANPIHGGVDKKNRLDEDNLSGVGA PTTTHKPPVPTVAETIKHPAFPTAIWNLEPDQSGLAPVAVGRGGPFNVSWEIHGEGPI KVLFIMGLGGLKSAWQRQTLYFGHQNRDKYSVLLIDNRGMGDSDKPLMRYSSSEMARD WIDILVHVGWLPAPPSSSSSSSSSAASLSSHSGPPLPLPTERTLHVVGLSLGGMIAQE LACLIPTAISSLNLCCTAAAIENTTTFAENIANRIRMLMPRPVDHSMADTARQLFPLS WLEQPDDCEVPDPSTTPKCGPPNPRSPKIPRPAGSEYLKFDSNAQRYVAQEMHKRLDP ARFGLKGFLLQLIAAGWHHKSAEQLQKMADEVGRERILVMHGTEDGMISVPHGRKLIK MIEPEVGLIEEGMGHAPVVERTGWYHGVLVERIQRGEGLDGRV NEUTE1DRAFT_66576 MGKPSPRLGVSDDAVSMRTQPGEHSHSVPLDGTLDTDAPELDID DLPPLYDEINASGSSSSAPLLPSGAPPYINHPHNILPPGDWVNHYKRDEPTGTELYLD SRLDHDPKFLQKHIELWSKQPPRPFVRIHGTHKRRRDITDPQNGRRKNDSEEVTDFDL HIELTPYLYSDPVSQTSLMQLRTADNDEKVRRGTVLRKRAPGANRHIEVGLAEKPTLA EWCHRYCASHAGLKCLTLQRRVVGFDDKKIVQKLTDLVRATNYRGSLEISFPKKDEYV HVYNDCETNRWRTTRWIIWLCIFSLMFIFTWPYLFFRTKRFETVFADWHFSVMGADGQ RKYTSISEDQWYNMWGRAIHRAVMEKRQCTLDQQDLLNSQEGQQETFGHPVVDGVANF VRAGVNAMNAVNRSLGWGYDEF NEUTE1DRAFT_66579 MDEWKAIGGQRLIDADKNQVTPFPLHTLDSQTLYAWHILPLGLY VRHESALAAQDRSSNDGFVPDITTTVNFKLLRDDPEARLVLYFHGNAGHITQSIRPRS FHALTSVSSKIHVLAIDYRGFGLSTGSPTEQGLILDARAAVDWATQVARIPPERIVLL GHSLGTAVAAAAAAEYCCTSGAGPGCCSSDDGTEPNRDDNHETQARREEEPMEFAGII LVAAFSSLPTMLSGYAIAGWIPVLRPLSFWPWLLDKLISRIVDKWPSAERLTRLTKSA KTDGRRLNLTLLHARDDWDIPCHEDDKLFKGAVRGLVEADLGVENQHGTGEQEVEHDD ERERIRREEEREVESCVENLLEKEKGKRTVERWMGDVRKESERAFVTTWEDEGVCIRQ ELWPYGGHNNLMYYAPVPMAVMRAFGLADQPEHSRD NEUTE1DRAFT_85265 MTTEKKRKLPPRAAARSEQAAKRRQTDTPPTSRKSSTPAPAPQK EPEPESAVEEPPKQTLPSSVTAGKPLPTVEEPQPEDLSTKDYQTLQESGVLHESFVRS RNQWMTEQIFEKYWTKPIKKRGAVIEEPNNPPKDSMVKLGQVTITVEPHVFEATMFAV KDPNPPPPKPPTEKRPILEYGPPNGVMPPPPTPKPKSVVASTSSTPTPAASDAKKPIT TEADPKVTSQTTQQAEAKPQTPGKLAVHPTSQTQPTKQPSAQSAPSPAAAAPSTLAKP AGTPRGIESLLSPSPSLAAPRAPTTAPVAGAQPPRPVPVPPTVPPSAATPATPSIPSG VPPRPATGAPAAPAAPATPRTTANGAAPAKPAAGADPIILMLAEKAGEDPQLRDLMKR VAQGEASKQELERFQGIIDAITSESKRQSNIPAPPAADKLFVDGKTVQYFADEVAAIL DIVLASNPKQKSSTLQPPKDSDALLVSLVKIALDDEKTKEMVRRIAHNKPQPADATDL KAILDLAHSNIGTKKNQQQRRQSTVSAAGSLKQTNGASKKAEKATKEKGEKADKPSAQ QALRSKGPPPPPKQPDYSAIVFEFAGGNGDRYLFPKFSILDYQTIPSSSASSTQGQHQ QQQQQQVVASFLIIRRGSKAVYPMADPELDYYQPITIRLFTAAPSGKHVLDNLARVVA PEEEVKRYMDDIMDTMQRSEYVLLAMRLPRPTETAENGEGEGDKAQDGAAAAGSGKGQ HAKEKDKDKELVMIDAPSRAEEAAAAELKAPKAARTGGVLWTTANKQIPKPEIRELPP RRNARIMLETTEDEQYQSFIQTVTRKDKEVVR NEUTE1DRAFT_85268 MASNSWQFAGADDESDDDNFNPAPADMSDEENNDNDEETTHNHR PAGKTVRQASSPGNRRKRRNKDRRAAFFDIEAEVDDEDEGEDEVGDGEEIEDFIDNAH PDDIADSGRLNDDRRHRELDRRREMEASLDAEKQAEILRERYGKRAPARGYGDMAIVP RRLLLPSVEDPSIWAVRCKEGKEREVIFSIMRRIEERMGTKNEVPITAAFERGGINSV MKGYIYVEAQRQNDILVALDGILNVYPRSNMHLVEIKDMPDLLRVIKTPNLEPGAWVR LKKPAKHVGDLAQVIDVTENGLEARVRFIPRLDYGVRDDPVFQADGKRKRPGVPGPRP PQRLFSEAEARKRHPRYLQGNPQTNSWNYNGDDFENGFQVKDIKIQQLEVKDVNPTLE EVTKFASGAEDGTENLDLKALAATLKDSAKSVAYVPGDIIEVYEGEQQGVIGKATNVQ GDIVTLQVTEGDLAGRTIDVPNKGLRKRFRIGDHVKVIGGSRFRDEVGMVVKIVDDRV TLLTDQTNTEITVFSKDLREASDIGGQGSLGQYSLLDLVQLDATTVGCIVKVDRESVV VLDQNGDTKQVQPSQITNKLPKRKFAVAADRNGSEIRLDDVVREYGGQQRQGKIIHIH RSYIFLHTTTTNENAGVFVTRANSVTTVAAKGGRNTATAGPDLSAMNPALKRNPAASG KQMPAPRTFGPDRAINQTVVIRRGGYKGLLGIVKDTTDTHARVELHSRGKIITIPKAD LSFKDKVTGKTIDINQRGGSRGGFGGGGRGGGEFGSRTPMASGGSDRTPAWGSSRSTA RTPAWNRDAGGSRTPAWNDGSRTVNPYDGSRTPYGGATAYGGIGSRTPAWHSGSRTPA PDSFGHGSKTPAWSAGSDSWGSKTPGYGASAPTPGASGDTWGYTPGASGGGNSSSAYD APTPGGGLSAPTPGAALNAPTPGAYSAPTPAAVSAPTPGAWQGGWGSGGDAVSAPTPG ALGAPTPGGAPGYADDD NEUTE1DRAFT_85271 MPWGLPFFRNRTEDQNQNNKKKGNSKSPKNANKPTNRVTKPRAH STGNLEKSTKSTIQKAIDSADSSKSVPITNPYGSRLFGTDPSNLASFNFQRPTPAIPL SPTELAIKKRDQRLAALAQRKAQEEHDNKLRLASLRRVDPTVTPHSDAFQTILYSIRT HLDSPTSTLNELLKSRFSPEDRDLLLPADWEQNPVLAELQGKNSERYKRLVKGEVKGW QKKGDNNEGWAKEADLAFKLTCLYFGLPVAPMGWEQSETRRWWEKKKQQQQQQQMSGG VKSEAGYGGPGYDEEEDDMGNIVRRPREDPIKFLNCYGKRVFEKDAVREPDPVLETYN ALPKFPPTLKELDPEGDYINADALRVDMPDRFDFSIGLRGGGDFDDEDEEDEDVDDAV DEDEEYDLDEPVDLVPSPENALVSLRGGGPLKEIGGVFKDIDRGKHRLSTEAFFAFAQ TDHHKEGLKSRLEGERGGFENLWIPLYGYQGIVWFRIDTLNTFVDAVDRLLGFGVRAG ASYKLYVFDRNKEYKTRAQKEAFLRNDRNSLEVACKGPGDYSNDYIAWNWVVGHLGSL GDDTSGSPLAHQKVLFVAGPGDPVPYQWEPANMHNVAKLVLEWDGMPDMNRPDVAYLR MPIVGDPSAADVHTNQFAPWMTQACRVLCAGRIPNRPGHPFVPDVYIGVKTTFDPRNG GKDNAYGTYGGLTFNFNQWTSILQAHKDSRNQPIVLRAWTSESPSGHLDRWHLFVPGV SYVYSDDCAILHSEFKDSKRVYAKISNMLESGLGLEQVQDLKHIEVHLPGESFLADAR EQSEVLISVDSTGKLDKQGVQRVAELLEQWYNWLKEKPGVPPAKNGVDMFPQFITLQP VYWDHALVCDEDTEQSLDWNPRETSLAEFRRLIAELWIPGRYSVDYYAESSRVRLTQN ELDGAPMLVVLHTTTELEWYKIRDMIVWPELVVQVYDDQFDVFGDIFAQPFGYRDIYK TNANLLYSSLNNKDDLPNGNILRFGRDYQLWAEQVPWSHGELRTIQPSDHQPRPVPGT TASQQSRTPQTAVNQHNPNPMGFASNLPPPRPTHTGVFSASSKLDINAMNPSEKGRFL REFSYANPLTVDFVKGIPVHIPPIDQLLDCKFDNTPRLANGVLTPSEVRLLQQKYFEL RTIMLEREGKCHFPGCDAAFPFGSGLMARHIKAAHTAEKCNFCHDPLYQHWTVAQRFQ HFASKHADILGALQTSRPVNAASYDDHPNPFQFKRELSWFFCCRCGRDHSVLSAKLDR FHHDLVCYPGAAHHEIHPWKSCTVCGQRINTDDPSSAKAHKHPDVARGPGIKPDFCAQ CGLELRRLEIADREKHAHFCRGHCGGKGHNSSSFNVRFCPWCGLEMEMTTSADGTKVV DSVAAQRHIDSCVRKPQDDKTTFGPIHPVSSRAYYYGIPNGAKTARVDVPQGVIPLKQ WQGRSAATGGRQPLRARKGERVGPAGATDLTMGTVVPAGLFANVTQGGPGPATTAAKT AAKKARFADVVEEVKPEEEEEEDEDEEMSDLSIPSEDEPAGKKAGKRPARAGGKRKRA PFTSDDPTYKPTWSWDPSDHSSEFMSGKSLFILSVSAARFNNKHADDESVPRPSAAKK TKTVAEPEVKVPKVSFRPTGKGGKKKLAPFQSEDPSYKPPKDHSDESDVSDVAADPLD ISLWPQPHEVVTFPGDEVVDESEEEEEEEEEREPTPPPTTENSTAKPPRPAKTLALTA AAQKAAAKAAAAAQGSFVEKVILRAPPKIVPTIHGIREPNSDATTSSSEDEEASDEEP KKGKKKAAPKKAAARGGVTTRGKAKQAAAVDDADESEEEEEEGGEEGEEEAGEEEEAQ SPVSATAPEDQDQEADDQERPPTNPLPALKAMKVKVLHAPDSPTSTGTRSRGLSAKMM QLPSGSGSGGGNESNTNTNSTPSKLRSRGRGRAHGRTRPKVSLSPNPRLFGKGTSSDP LQPSQPPPQPPPQPPPQPPQSPQPQSPSPLSQPQPYSHYGSRSRSDPHTKKRIKRYQP KKPKVDVEEEVQRIIEGQLKAARLARQERQMEDYRLARYEQRKLQNVDDDNDNDPFLP DMDAELDELEYIKRLKRRRQQEKEEEEERERKRLANERAMVKRLKIHGSMEAVESVNE ESVREEESVREEESVSEEERQEKRKRPGPPGRVTDETLEALAREYPGSIV NEUTE1DRAFT_123662 MASARPAARLAGDLLRLAPRRPTPLVPTTPTTTVSNSCRSFTIR TRFLHDRAFSVPTTPNPKKPPKHDAPRGPKDRQTRTPPRQARAAPTSLAVGAGVAVLA SYLYNRDREHDNKSKTKVKLNSNQTGRDKDPDKVPLPPPSPEEESSQRPNEITDDLRE PLSRPRYRLSEIRSQHSSTSNTPWVTYADKVYDITSWVGAHPGGDVILRAAGGPIEPY WDIFTIHKTSPYVRDILEQYCIGYIHVEDLDPVTKRPKMDKIEDPFEKDPERDERLVT HTAKPRNAETPSDLVGADFKTDENVFYVRHHMWVPVVDDALKEKHVLSVELPDGETRG YTLKELKERFRRHKVTATLQCSGNRRNDMTRHAGKTNGLQWGVGAISNAEWEGVLLRD VLKDAGLKVADPSTATAVPVQPSSSPSSNSDSGDDIPSQKDLHVQFSALEAYGASIPL SKALDPTGDVLLAFGMNGRALPRDHGFPLRAIVPGHVAARSVKWLNKIVVSDEESPSQ WQRRDYKSFGPNEGANPDWERARSIQEMPVTSAITGVWVGSDCLKGKYQSDDNKQKET TVDLAGVDGLKVGCSKTATTTIATPSPSSVETNPQIPITMQGYAYSGGGRAIARVDVS LDNGRTWDQAELIDDFKAIDEAYNTQPESHAGIYNVRGNLATAWHRVRICPECVTCPD GEGGRGGGKGVKWVTRKVVGCGFEKEVEEARERKEGQGKEKERDER NEUTE1DRAFT_123663 MEGNLPNQSWPSPWHHILPSPSQQGTDNNLNAPAATSTAAANDD PHHRQTPRLHAEPLDLDAYTYTPESQSFCSATTEANSERMAEYMASQQRRHPFSPLLS ILARVDTDERDVADEVNNTSPEQTSPLVHLPPELLEGIFDHLSAIDLVAVSATCRHLR TIATSEFRWQGLVQENVPGYRVTSCYPYTSFRQLYKAHDPRWFLPKYKIWFADDSLYG RLIIARFDQRRGCIEGYQLVASVPSHLWDDDLLVNDHPIRKHDFRVGLHLDHPVFHLD PENQSPESDNATVRSWQELDSERYKDDPSRPKPHFKVEVRAQGGSSLSAGSRSRSFHT NFFLAKAQRLHNVIHDAEDLMDAHDVRDLLHADLSRSLSPRDYLMRSAIPGIGGGDGL RNAVPHHGMMTILRDKYWPPPTIEAPHRVANVGPVGVHGGYNAEATSKILQSPPATRA EISDHAFHLRRWVEFGRVMRAHIGEELVTYSTLDEKLYTPTPEKPYRGIFVGHYGPHG CEFILMHQPDDDPNIQDAEIERRENETDEEFQKRTQESKIYKGGLAGIKLTGDPNVPR GEYTFVAKDIGEEGFVKVADEEEFRGARVVKAKGHVAEHNFRDDDWIDCDLFLASHNT LALHWHRWDMTSVFERVDIDKFIVPELFDGPWFML NEUTE1DRAFT_46688 MASLLPIIDDILPMILPSSVSVTRKSDIIPPIPPVIPTTTADGE KGSHRVRVYSRDAVVNKLDKVCSTVLILSPDSYSTVRHHGEQDAIIYVASGNGVLLTA PKDEGGEPERHELGQGDFAAVPAWTEYQALNESAEQDTHWVIIRSGSHPVEVHLKGWG RGKAEYPPSRA NEUTE1DRAFT_85278 MINNTFPRLIFIRVCIVLLQYAPLIETFLLLIAVTLRYPPSPLI AYAEHIASFIPPSLLTILTSPTKILLLAALLTTELIYITTLYLPHKSSLTHEAVHPPL PGRKEREELFDRASDSTTDWNEYLKLWFLGAEVDEVKRENVREFLLWAFFDRDGETKG NNEDIEEELDSYLAKIESLLGRKLPPGRGTATSLRLTFDAIDTRYRSVVWYGVIALLD LVTHFMLAWHGYTHYRPSRSLSPQPVTSTATKKTPTTLFPPRLQHSIPLIPSSLRHHT SPTKHLSYYLRPHRSRTQAPVVFIHGIGIGLWSYTTLLSSLKTSHGLVGTEEDGQIGI LAIELLPISSRLTGSGPDLPAVLTHGEFLRELETILAFHNNQDGGGFPLDKGFTLVTH SYGSTLVAPILRSSTPFLFNNPVLPGSGAKAAKKGAAATAASGKSLAALTNTLILTDP VSICLHLPSVAYNFTRRAPRTANEWQLWYFASTDLGIARALGRGFFWRQNILWREDLE AFLEGDAKKRVVVCLAGRDLIVDTKSVRGYLGWDGFGDQGQGQGIVDEDDVEWEGNGK GKGQMMRKKMGNGMEIVWYPRLDHAQMFESGERLRPVLDVIGGLK NEUTE1DRAFT_139430 MVSFVQPPVLYGFDPEIQEDHPFDNVWSAGFVAKIYTNEQHNEV RRFMVDSSAMQELKYANNVFVLFRALRRCGEFWGQPEFWTAMARGYSTKPSYVRELVY MLVDARKTALQRMRRVHNSPTTHVVDEFIAWLDQYGPYQPKRRQIDGSITFFHCSWLL NEUTE1DRAFT_102615 MAQEKKLIVVVGATGNQGGSVARRFLQDPRYAVRGLTRNTSSPA SQALAAQGAEMVTAELDDPKTLDAAFAGANIIFSVTQYWEPFFRPDSRVKAHELGITC RRYAYDVEYRQGKNIADAAAKVVDTLEDNGFLVSTLSHARKCSGGKFTDLYHFDSKAD IFPDYVRENYPALAAKMSCVQTGYFMTSHAILPNSYFQKQADGSFHMRLPCSPNTLVP HLDVNGDTGNFVYAVHQMPPGKDYMAMGSHATWPEYLQAWAKAAGLPAEKAVYVQVSV DDMIADTADRDTGVEVAMMFAYSDDPGYGGGMDLVTAEDMRKHSVALNASSRQLTLPV HHCSNAKGDVLKHPSP NEUTE1DRAFT_33479 SSTSTQQNFQVIKCQGSIWMKNTTTHDSALVEDCHHLQRNIAKD GEWRTMSSRHRNLATYGTCVFGVWGFDGLDIFKVGNDDIIDRIDEAVAEFALQDADGN YHVGAEGEFWCDSLMSWQDGVIWGIY NEUTE1DRAFT_102617 MLLKTFVTLIPSFAALVSAAPTLGAFKHDPDNNGTHVFNSDGLP HMLFHDCKGDKYVTKTTAASPLVDDCRYIADVIIPDFDHTPICWSWHDTIPREILSHG TCAVNIASKYDAQPDGVLIDLCNKDIQDFIHYSIDNFRWTTPDGRLVVGAEGYANCPS DFPGRWAAAIYGLYHT NEUTE1DRAFT_85280 MAPFATIYTYPNNVRVQRAQAVAKLNGLEIVEDSDFQLANPSDP KWAAILAKFPYGKVPALSTTDGSLNLTEGQAIVRFLADSGPKSEQLLGRTAVDRALIE QWACFAEQELLTNLYPCMLMVHRPDLVPYTPEGYDASAKKFERAVKHVENTLAKGNGK FLVGEEVTVADVMVAGALILASKLLLDAEMKKSAAPSVEGYLKGLLEIPELKESFGEL VTVQERLRGKTE NEUTE1DRAFT_85282 MPCLQPAEPLLALPFYSIKGSLAAGIGSALALPAARPNGGVEAA AKYTATMGSATIEAGSRPMNVREISEKAKQYDWNPRIGFKFWARAANTIHHEGQIYLQ EGSIAQAYMFLLRYCTLVLEDMAKHPEAKLPENRGLMKQLNARINTVVEQLEQLKPQI EEAYAKWQQLTASVQDSREKRLSTSSQYSRHAASDAALSWNHLSQAKILDAGSNQELA VDLAREALLKRRRRRRQESADEDERMHRPPSGYREERGYDAGRRQQYPTPPPTNHRYS EDDDLRRQMEATRRQLDRSGGYGVSETDNGTSDTDSYRSVNYHYPAIKPTSTYTYDYP KPASRPESPKPQPPLPPKVIPFERPVPVTRPPPPPPRPRKGSGSLNRDSRRNVMLPSQ TIRLPKEANKVTFRPVAYLESGEPLRSVFLPSGLRRRFLELARGNTIRELEMCGILCG TLINNALFITCLLIPEQECTSDTCETINEEAYVTYCIENDLLVLGWIHTHPTQTCFMS SRDLHTHAGYQTMMKESIAIVCAPRYDPSYGIFRLTDPPGLPHIINCNSPGVFHQHAI PSDEIYCSARHAPGHVFESSRVDFEVVDLRPEGSKVPPFKRY NEUTE1DRAFT_117683 MASTVSSVSPMQASIEAAADNLSFEQGRLLVPPETCLSTLLKQI LVQFTNTYALPDSSHALLSQPSSLDRILNSIFDTSCLAFVTEKEFRIEAGLDDHDKVT LFVQAVAGMAMVFERLLRACSSSVAFANSGSGDLTTSLLSRELQLFAESEQRRTMLDR IGATSLLSHCYTKDELVSFVVAMVEDPDRASQQGGSSLLSLNAVGEDLSSDSVAWVSG RTCKNMGLPWGFTIMALN NEUTE1DRAFT_66608 MSNTDFLGRAIEQVRKAIEADNAAQYDKAYQLYYQSLELFMLAL KWEKNPKSKEMIRAKTGEYMDRAEKLKAHLADAEAKRKKPGMVGANGGSTGGTGKGKE AGEDGNGNGEALDEDSKKLRSALAGAILQERPNISWDDVAGLEGAKEALKEAVLLPIK FPHLFQGKRQPWKGILLYGPPGTGKSYLAKAVATEAKSTFFSVSSSDLVSKWMGESER LVKQLFAMARENKPSIIFIDEIDALCGPRGEGESEASRRIKTELLVQMDGVGKDSKGV LILGATNIPWQLDAAIRRRFQRRVHITLPDLAARTTMFRLAVGDTHTALKAEDFRELA RAAEGYSGSDISIVVQDALMQPVRKIQQATHFKKVVHEGKQMLTPCSPGDPDAIEMTW EQVPSDELLEPFVDKKDFIKAIKASRPTVSGEDLKRNEEWTKEFGSEGA NEUTE1DRAFT_147767 MANVNYLLFESAVGFSLFEVVHQADTVGLELPEVKDAMKDLDKF GKMVKLRSFNPWNSAAHGLEAINLISEGIMPDHLKNTLELNLPQTSGKKSKIVLGVVD KRLAGEISGNFPGIQCEAADTSEVVAALLRGIRTHAGKLLKGLQDGDINRAQLGLGHA YSRAKVKFSVHKNDNHIIQGIATLDALDKGINSGAMRVREWYGWHFPELIRIVSDNGT YAKVVLAVGNKKSLSDESLDELANVLNQDEDKAKAIIQAAKVSMGQDISDMDLNMVKD LADNVSKMADYRRILAESLDKKMGEVAPNLQVILGTPVAARLISHAGSLTNLAKYPAS TLQILGAEKALFRALKTKGATPKYGLLYQSTFIGRAGPKVKGRISRYLANKCSIASRI DNFSENPTKRFGEVMREQLEQRLEWYAKGIKPMKNTEAMDKAIKLVMDDEGDMDIDTE MVDSHTATHATDSKKDKKEKKDKKKDKEDKKEKKDKKDKKRKATSEDVEMVDAPDAAE PEKKKKKKKSKSE NEUTE1DRAFT_147768 MALNHHQPKVDPVREGFTSYAVGEIDASSYQVPTTTFKENPYPE THTDNIWQETDYFPTYDHDLISKHSRASLASTSRRSSAAPSIFSTAGLRISTASTAYS RYSVRQHSLDSADPNLSATPCSSGKCDDGFNTDDYPPRYHCTFCDAMFACPGQWRDHE LRDHDRPEEHLCSICHDPFSDRPSLASHYRDLHNLSSSPTTQHIVKLPTRGAWGCGFC GAFVQSRPDYLDHVGRHCEEGMQGAHWQHSSVIRGLLKQPKIAEAWNRLVTNQERDRG TRLHFTWDEQATGRHAATEADDARNSSRLQDILEFFANSSSDPQNIAQYAFDTAQIRT EQNVNSLLKAYYSHGLRPLPESPDDITSPRVDGMSYLNLEQSAPQISNRNSDNRAPAE SSPFPVSFAGDISTAAFLSHATVVESGLIPAWDPYARIHSSKASQDRETDIAPHHTNS PNTTESAPSPVTMANAFSHMKSTPSPIRKGLRRIDSDRRLDALKPGTVDLVRGEDLQR PRTALASDYPRAPIAVSVEHGVEASQQPSLSDAVFKTASMNSVVCKDWLLVSKPGSRS SIGSPSVSSMRSDITRKGLLTHDDSTSEHVSDDSLSDPDLWAEHDIGDDNSRAWSKAY QQAINMALQRLWSQYNHSWDALIRSCVGSAGSSNTDRMDPYRARKATPSRRKPDGSLR PPSSRRTSDDDDDDDDDDGSQLSPYASRPGSATSKRFACPFRKHNPEKYNRVDYDICA NKDWPTIPRLKEHLYRRHYKIHCQRCKQTFSDARQLTIHEMAPEACELLDVKPPGDIT TSQERQLKSRKHTYRRQSDEEKWREIYRLLFPNDIVPSPYPEYAEDFQPMGAESRNVL EFQHYLLTEMPRLFTQAAYEYAGQHIQEQVLLRIDDVNKIIKDTLQQAYDTWTLQGRL LPPNISPSISMREFPAAPATQAGHLSSIPSTTWSETPMPPRPYIHEQSATPLLPNTSA PEYTLFSVASELDAMLSLDDIDIPVSNPVGVGSAPSYTINDYMTTGPDVQYTGVGFFP YGNDTGGNQNWF NEUTE1DRAFT_117688 MSSTINTVAVLGGTGNIGTHIVRGLLVGGFTVTILTRANSSSPR PTFDPYPVRFLEVDYSSPSSLASAFQGQDAVVSTIATGAVQEQKKVIDAAIEVGVKRF VPSEFGVHTRKEGVEKTKLGGLLEGKRAVVDYLISKEGDISWTGLSTGLFFDSALSKG LAGINVKNGTATIVDSGNELWPASLRSHVGRTVSEILRHPDLTKNQYLATASFNVSQN QLVKLVEELTGKKLEVTHVSSKDLFQQGDEKLKKGDYSAFVEFLQVHFLADGAGNKLE EQESANEKLRLQTEDVKAALRGWLESEGLLAA NEUTE1DRAFT_85302 MAHDIPQETPQDVVDDVTTPNEIDLFSMLDSSFHSGVFQDPFVP VDNADGPRFLDTEACLCQLRPEPVKGDTVWWQCVGNQFNITDTGGKWFQARNVDALEL VANNTLGPIYDASYAPDSSQGFEWDDSQQQLTITGDRTPGLNVWDTACTAENNTLFST TLYRAAAEIRANQTPVDAAPCWRPGAVPIQIQDYENWQEHGCKDGFLCSNNTVNSLPQ YCTPITECQLARLGGIDCKLNGVNIGMGPFEPVICQAGWYCPREEKGMVTLKCPSGHY CQAGAPTPTPCAVGSRCPEGSSFERYLLPIVLLLVIDVLLILGMIHLGIRKRHRNSSA GAIRQNHFAAVIGGYKQVAKKRHEEVNHEPALRRQVERQDTFRGLGDQLHEQAPPLSH GKTVRHNPDPEVSPTIRAFVVSMRKATSASEFGLSFGYDQLTFALKNSKRPILQNVTG SINSGSLTAVMGGSGAGKSTFINVLMGKTQYTKGSVTVNGIPGKLKQYKKLIGYVPQD DIVLPELTVYENIMHSAKIRLPRTWKDSDVETHVNAVIDCLELSHVRDSLVGSVGKPV ISGGQRKRVSIGMELAAAPMAIFLDEPTSGLDATSASSIMSTLKALGKLGITVIVIIH QPRVEIFEMLDDMILLGNGQLIYEGPQSQAKTFFEQMGFVFPPGANCSDVITDIITGN GRRYKEEGDISKDALIAQWAKGSRVSLLTNESVDRFWQPSHSRNPSDTTLAGSESSDA DTSRHNRSSLMSISKLKAGARISMLSISNPQLSRILKHRGAPRWRQTLLCLLRSILQQ YRTRSNLWFEIGLSTLAGVLLGLAQKPKNGAFFRGQYNKPYEILSLSADYVAAPQMAM LITLAIGLSSAAPGVKLFSEDMLVYRREAEAGHSRVAYFLAKTISVLPRMALACLHFS APLFLISMPVIPFWTAFLANLLYVYCIYGLASCMSMVVRREDAPLLATMVSLIVGILS GAAPSLREAKRWHLDWLWRAGPGVWLGELYFGQLVRPFDYLYDVKIASQVTGYELDRL WVNMLIMVGIGTAYRLLAFVGLVRGGKLRV NEUTE1DRAFT_147771 MSTEHATELVNALKDIKETLATQTEHLKTNSLLLQALLELNQKE VGKRTDAEQRVDNEATKSPDVKVEVDQDSGQREQPRNKSRALYADVPPDFTLTWELTG KDRETHHDNEWYNVNDHKDKEWYHIDDHKLTTPRPLPCPPEEMHLSGNLYVPYSHSRT RRSIESRGLEIDAETTGLDSHAATIVDALNNLYSVPADARLPLCFEPLSLRRMKITGE LDEYLIKATQFLKDLRQASGTFIIWDYDILGNHFCYYPSDLSWNIEDEQQFRLLMRRH WAGLDPYFDQLDAKRELIFDPGKHDSLLFDDRHFTQSRLYFWAINSLGRFIQDIDFTI ERWETFWGENEDNFRRTEKHLVTVNEQAKVKGCKNVRGVVDKSVDQLWASILSRIQSL KNTRQVFESRRQKIIEYRDGLFNASSVIETREATRLSQNVKLLTFVSIFYLPLGFCMS MWSINENYNANNLVAVTICIGLATYVVVANLETTVYSIRCGLSLLVEAPRQRLVRKMT EESGTRWSFLGRELSRAQPSREDPKPSEWLVVGYWLVTIYRSFKSFRSTEQPLAELDA EQNNATREAPTSRNPINLLKRLRPRPDKDVEKGNPPTKIITTSLAGQDTTSASEPEEA PRLYM NEUTE1DRAFT_102627 MAPQTSTKVISCHKRPGTTPASPMPVNHAGKQQHHHHDVRFDFA AVPSSMSRSSQVQADSSSQFPARTSSCSDSYTPLTSCPASPFGLSTPAKLEPSRTSPP KSSLDCEISSSSSPSAKPASQEAQTNQGPPCNNCHQPSTLCTVGPLNPKGNAGRKYFA CKRCPYGLAWVGWAGVEPFDGLVVVAVKDEGVVAAKNRKQVEKVHSLPPLKEGRNGGS Q NEUTE1DRAFT_117689 MTPKYPTLTPEHIAQFREVFDIFDKDHTGDITAEELGVVMRELG LNPSKAELEDLVNEADINKDGVINFEEFLNLMSQSVKETDSEKELLEAFKVFDKDNSG TISTEELRAVLKSLGEDMTDADVDEMIKLADKNGDGQIDYAEFAQIMK NEUTE1DRAFT_66622 MVGLKNVALFAASIILPASITWAAPIIEVETKPIPEKYIVLLKP HADLEGHLSWAKDVHARSLSRRDTAGVHKAWSVGSKFKAYAGEFDEETLKIIQRDERN VHSIEPDKSWRLYKSNKKDNDDSNSDNTTIITQKQAPWGLGYLSHKGKTSSDYVYNST AGTGTYAYVVDTGCWKDHVDFEGRVHLGYNAYPDSPFIDMDGHGTHVTGTLISKTYGV AKNATVICVKVFHGGGSANTIVMDGFEWAVKDIIAKKRQRNSVINMSLGCDRSEAFNA IVDAAYDQGILTVVAAGNENQPAALVSPASSARAFSVGAIDNKNTRAYFSNYGAIVDI FAPGVNIVSTYIGKKDGDNNRTMTMSGTSMASPHVAGLALYLKSLDPEKYGNSSDAHS GLKALGVPDKVWDAGEMSPNLVAYNGVQG NEUTE1DRAFT_85312 MAGLGGFNFDASKLNKATAADYSSSDSDADDIDDFQVPSRDPHD DDFADFNPRKRRRTGRDAKEAAALGVFGSESEDDGPRWKRKNLRTKGVSFVSSANNKP ANSDEDEDEDDIDDEEDEDDEYDARPSMKAAHAEDEEEDEEEEEEESRGVGLGFKSAA AAATGQGLGWVPPTQQKQEKSPPRQSFVKSTINMSNPLGPGFTPTSAKAPTLLTKDDD EPSTPRVALPSAFARAKDGKTKTNKMSFAARMMAKMGYEEGKGLGKEGQGRNVIIEAN LRPQGAGLGAVKEKTQQEREEEKRQARLRGEELVDSEEEEKKKKAARRKKALAGGLGS GTASAGSTPRPKKPKYMTLDEVKKVAPGLNIPDAFTPILDMTGPGKKMLTTSSGLMTP TGTTTPAESTEAAESRKLVRRAQNDFMAILEEWQNLQERKAYAELQLKQEQQELDELT ASLQANRAITGLCEVLSIPVESGEFDKRADLDYKLRRTISGLVSASDSFSDAMLPQIK DEVALLAVAAVYPALKEYLQIWKPLEDPKPGFVDGLMSIRKLLGLDQKTKHTHRRATA TPYETMMYQLWLPSVASAVRAWDVREPDQLIAVFEAWDGLIPSFIRSQLLQDIVRKLE EAVQKWHPKKKSSTSNLPHVWIFPWLPYLPSTHLDPKSSAGLVADVKRKFRQLIDVWE FSRGVIPGLKQWKEVLRPRRGSDQWGPLVMNHLLPSMARYMRKNFRVDPRDQEPYMDM LEGLFKWLDVIRPSMVGEVIVVEVFPMWHDALYQWLLLDTANYEQIGQWFEWWQDQVF PEEIKSLPSITAEFEKGMKMIERALDLGDGVKTELKAPERGPALPSSKYSSSSRHERE HGHREKHREREARLQPAEAEKKQPEEITFRHALEDWCQENDLQFIPERKKMHAEGPYY RITARGDGKGGVLVRFKGDVLFVEVKGQDVLKIHREPQSGWEALLELVL NEUTE1DRAFT_102631 MAFGDTVLLTGATGHLGWAILRFLLGEGYHVRCAVRKAEDEHTI RARPAVRRLNPGSRLSFIVVPDITTPGAFVAAAEDAAYIIHAASPLPSKSARWITTDD ARETFIKPAAAGTLNILDAAEQSGTVRRVVFTSSIAAIVPISQMEGKEQRTRPVLPED RIPVDQGPYQSEFAAYAASKCRALQVAEGYMKNERPPFDAVYIHPGFVLGANTAATTE KQAMRGTNSMLAALLLGHSQGVYAGLSVHVEDVARVHVEALSPKILGDQSFILGEQVR WTDAIDIAEEEFPELFASRLLTTSGYYQTVSIPIDTSLTTDTFGMGFISFADQVKSVV EHWAKVRQPRGVPSSTASGPQTESLGWESGKIARVKSPMVYRPSPSGGHEPSIPDVHL SLPNGIAGLEEHDYGTAEVLPPATEEETWESIMQRHMIPSEAFSSSGESGGSNWSTAS NDTEGITSGSSGDEEGPTNSDDSDAGDDSKKRPPVHESGAEMTS NEUTE1DRAFT_47138 MSSNMYTEGLISLINIHEKNYPHDSPTDFRFGYAVGLVGLTALN VSGDYTAQEFHDTIRDYAATLRKERALAETDENHEMTAKLLSREFICYDMLDVVCELQ SNRSETKANKGIVSNNDMIVNKEDEVVINKNDIVHVDEDNEFVLISDVESETTDSEAD SSEWELV NEUTE1DRAFT_102633 MSRSMYTKNLISLIEKHEHSYPHNRPTGTRRGYAGGLLGFFALF VDDSYTAEEFKETIRGYVATLRQEITLVESDEVIAKLSSREVICYDILFVLSELELIG TVTGKLNVFNGMDSVVDKNVCVNENVGVIMNKTVDVTEESMDADEFVNVTESDAGVSE ADSTEWEIV NEUTE1DRAFT_139452 MSSNMGTNIIYLVERIDRHPRNMPAMKRMGYAVEVVGIAIQGGC TADDVKGTVLDYIRVLRRKIDFASSAEEVAQLRQREILCGDIIATVCELRQKATVHKD VDVVVNPNTFVKEDNVDIDDFVLIHEIGTGKSEAGSAEWELV NEUTE1DRAFT_47907 MSSSMQNPAKEIISMIERLDGHPHGLHADKRFGYAVGLVGCALQ KGYTKHGLKRIICDYEVSQRQELALVKSDVQIKNISRRELLCRDVLDIIIELENNDRS REQAKANNIAAWNKDVVVANGFAVHNDNQPVTDKNLVVNEDNVDTLDFVHITGSDVEC SEEDASDWELV NEUTE1DRAFT_139454 MSSFKSSVDVITLIERSDDHSIPDMHPMKRFGYAVGVLGFAALK GNYTVNGFKDMICHYVATLKRGLADADTNELVKKLQYREQLCGDILCALLHLEQKRDA TSKDINLNEVVITDNNDSVHNAVEIVVDNASAVDESNSDHEFEFINESDADVSQADSS EWDLVSEMSDYL NEUTE1DRAFT_46743 MTKSLLQSLLTTQFSVSLSCHQPSLLEIPSNPPFSTTVQSYTED HLYTPNEREALARHLIADSNIDSYEKYEEVMFALHGYINPLRKTYWKRKARDAEELFT IQRRERLAIELLGFIKGMMSLGYVLCGCGEAADISGWMPLLMTMRSVKATFYVPAPTG KNRICTFVVDRLTAEACE NEUTE1DRAFT_111746 MARTEYITRSTTAQKLQTPRRSAEPVVVDDEGKQPKLATAPDVD DKSELLFPEPVSTASSKPADEAASTSTTHSTSEKPMMIKKIILHLPPPPVLTALQTLP VDTIISDMTAANGGIPPCSAEILAEAIKWFKHAYRTGTSPRVVRSAAERILEQLDAVI IGNPAYRHNIPRGMAYERLEMQSKFLRAIIYIHCGMLNDLEVFDMIVARD NEUTE1DRAFT_102636 MTKKGRQSKVENDSQDDESWLLLPEPAPDAIMQELDKPTRVHIP TPLPGTRLHGAMELIPIGDIVDQMYSLGCPPTNEEVVSNAKLFIQAAAELDATGKVKD AAEVILVDLAYTIKGRDTGAFPVGQQYEYLEKQVTFLGTILEHIGHPPKTITCIAKPT TTKPIRKRMFR NEUTE1DRAFT_46394 MARVKYVKERIGEEVSDWSQLLQRRQRREERRRLQELERQKELQ RRREAGEIPEVPTGATTAANPNVPSGPATSITPFTRRVTRAAARAASNSAISNTTTAT TTTMDTPTVMAPVSAPASASGNVTAVDLPTVITDAADHEEYGYMDLVDPALFEFGNDD NVAELPANDAVSSVQASMPTTSKNAAPPSFTPATAPAAVSAYAIDVEEHPVMDRSQPR NVGTVHQQAMMPRTAQGNQEVVQPTTDARTKAALQLVPVVDIINRLFAESLPRLRAPT LEMVAAEARVFLARARENETVQAVRKAARTLEFEVLHRINEFSAQQDLRTEVLKFRLQ QVFLDELGK NEUTE1DRAFT_102638 MAISHSPTKTETFSVQHRTLLRPQIDASKRLRQLQSNERQKRNQ SDTTSEAFPQPTTFNSTTKTALSRRAHTKKQNRMSRPNVRRVIIRLPPSHVRDAMQFI PIKDILDNLIAKNLEKVPNEEDIATAATYYFLDVCSTYPASLIRFAANVLIRHFEPIL ERTTPRYKAYETLKAKLQFVKAMRAIRAGMFSPPHNLKRACIR NEUTE1DRAFT_46340 MDNTNDKQPDSPSSIPLLAVVTGSEAIPQPNVPQEGRMTRGMKA AGRTIPPVATPMKRKARAPKPIIIKKKEPNVLDDTSSQGTANLKKTKAPSLDPTDPWA CGPDGVPVIDRIVCHLTRGYARTPREDELSEAAHKFKWRAIKRHSLRDLKTITITKKH QARKKLAENPGDKLQQIKLDLLKVITAHITSEYSDAMYAKWREGREKVKMN NEUTE1DRAFT_111751 MGRSEANSQIKSVHLEERQPAASDQSSGNSDTASAPITTEHRRP PSDLEMATKFIDIEKITFELTSNFTTTPTDDQIMYKARVTVDRVLTLHPTRQDGGEIM KAIKSLHGHFYSMWTVGEREDMKLLLRVKLLACMIAVMRDLLLSRFVSLAYQPRATGC RGVTFECTPTDMHEIWPRFLVTPQVFTNNNSQQLHKGHLLIPALQDSLLFMEFWTLTH DEVSYPRKASGPRISRSTMPASTSNTRVGAVSAPRHTLLPMAFRIIPINRITREMTQS STVIPSRPKIALRARQVIQKALMNGSHDETIEMALTKLLSNFNYLWGWGGYKDKSVGV TVGLLNEMMAVLKGEVSFLVPVPILESFHLVQIPLLIVSVLCGVYRTYWNKRFESSPR YFVFRLRNACTADGLQGVMRSLLSGMPQSLQRSAYRGARHTGCRGNYL NEUTE1DRAFT_102639 MLAETFITAAFFATSALAAPAPNPVQLMSRAPQPGVVIRQCAQP GMLALAYDDGPGQYTSRLVDILDAAGAKATFFMTGTLYGCIYNQAAAIKKAFASGHQL ASHTWSHGHMNSFSAAQVASEMTKVETAFANIIGKKPRYMRPPFLETGNQVLPVLKSM GYKVITDDVDSGDWNNQTPAQSEAKFMQAGASGNGHIPLMHEVYQSTVEQLTPWLINW AKQNNLKLVTVAECLGDKDGMYQAQSFPVHQGSLSC NEUTE1DRAFT_147775 MESPHKERFEALLDWAHKHGASLHPLLEVYEDEVTGFSLRVKPS ATELLGSGFKAVSCPTSITLSYLNALTDGPITPSSTTLAPNTENPAFPERFMNSLPPH VIGRFYLIQQYLKGKSSFWAPYISTLADPSQLDKWALPPFWAEDDIELLKGTNAYVAI QEIQSNVKSEYKQARKILKKEGFPDYRDYTQVLYNWAYCMFTSRSFRPSLVLSESARE YVERLLPEGSKIDDFSILQPLYDIGNHSWDASYTWNLTSEPSACELICNDSYGPGQQV FNNYGFKTNSELLLGYGFIINPKDLSAGVKNQETWRPHNDYIHVRKRQHQGDTQGKGG EPQDFLICREDFWEGYSCRNRMGSDFVPNALHYQPHFSHWDGRLINDMVSMMATPKQW ELFKKLPDHSLGDGSLGEELDPLVDMLKATLAQKIERDFVEFCYGRPNGGEEWEPETE RQDLVRRYRDGYEMVFVNVLKAFKYSEEQIMALKAAAQEEVGDEEEDEEMEDGN NEUTE1DRAFT_66631 MSRRHTDTHMNASSSSDDEYDTEKDSDEDADEYDGHRITSRDIR LTRTRTNRSMRSSTKEGPEHANTTGRLDLTKTVSRRDTVLSRLRSRPTARFEFNHPLA HTPTGKDVIVDFEGPDDPYRPMNWPMKKKIMTTMLYGLITMSASWASSSYSAGTAQVS THFDVGHEVAVLGTSIFLVGFGIGPLLWAPLSEVFGRRVAVFVPMFFATCFSFGSATA KDYQTLMITRFFGAFFSSAPVTNTGGVLGDLFSPAERGIAMAGYAMAVVGGPAIGPVV SAAVAIQPSLGWRWTLYLTGILQAFVLALGLIFIDESYPPRLLVNKARRLRIQTGNWA LHAKFEEWDVTFSELAQKFLLRPVQLLCTPICFLVALYASFCYGILYMQLGAIPIIFG EVRGWTQLVATLPLLCIFIGAMIGCGANVYNQFIYNKAYRAAGDRAVPERRLPPMMVG SVIFSGGQFMLGWTAPKDIHWIVPCIGLVLMGSGFFMIFQAALNYLVDTFTRYAASAV AANTFLRSCFACAFPLVVTPMFHNIGVGPGSSITGGFAALLIPVPFVFFVYGKRIRKR SKWSRPSVYD NEUTE1DRAFT_66636 MDYIPFLSGGVSFNPRTDIPSSALHGKTILVTGGNAGLGKQSIL EFVRQPAASLPSRIWLCSRSLERAQSAIDDISAAVQSSGVNPDFDASSIIKPLELDLT SFDSIKSAARTVLAESDRLDILMLNAGIMAAATGVTAEGYEIQFGTNHVGHALLTKLL LPLLLKTARSAKGNDLPQPADGTPTAPPDVRVVVLSSEGHRLPPLLGINFATLKSDQS SMLTWQRYGQSKLANILFGKQLAAHYPEELTVVALHPGAVNTQLFHPFRNSGWIGWAV TGFLKFFLSTVEDGAKNQVWAATAPREQVQSEGKGKCAYFLPVGKRVGESGWAKDEEL ASKLWQWTEGELEGQEVDA NEUTE1DRAFT_139466 MTRHQKKPCPHKRLYEAAQQRRRQREQEAVEALMLLRAGFGEFG LWGNVPGNVPGTLPLQWQSNWQPFPGSRPVSFGPVAVGGSNPQTTNPSNITTITRSAN TNTRGNIPSGTSSGYGHSHNNGPTTSAARLNTLATVAADSRPLPLPAPMGRVNNRPLQ DRANGSTSARESTRARSRAPSPEGPRRAQAPSPEGQRRSPAPSPEDPGYRGPSR NEUTE1DRAFT_102644 MPNMNVKPDQARRQIQDQPPLPTSIAVQNQPAQLHFPNVPAAAE FGAIAHGPVQIPPDTAARRERLKKALLSLDTNREVAWQKMSGHMRYDFHKELAKAETR AEPLEAWEKYFLSNNSEEDKIRVYRELAFERYREREKLLVKLKDHLEKYDGLRSDMQM LKEHVFNLKYTVQQNELARQQMTRRIAELERSHLVLTSGLDERVHLLNEKVDRKVQEL EGAVEELKKGEEGLSDMEEEHTW NEUTE1DRAFT_139468 MDSTTNTNFTGGFGSFDMSPREDWNSFNDDNPESLEYEPAYPLQ VRQFFKSAVGRSSLTTPPSHKPDDTNLAVMDLPPEILCQVFEHIIAPFKEYYDDNLVP QYFRGRGIRFQLVESSFFHESPSPTDNLTVTGEASIRNLNQMVATRQAVDPLATYREI QSGTAETIPDGWLQSEGVAQELEQLSWDEDEMSEEDTDDYSDSDESSDDELTDEDTAN NGEEAAAFVPRASNRRLALDRDPICDPDGFKLAFLATNRRDDASCIKSSPSGKPSSKG SAQSSTPISSRVSAPSTPLLRPAIAMPESSSLATAEDGIDAAHLDPPIFDDDDEYNDP LEYVDYDFVMVYRPHIWETDEEIVALETPRRACPHSTAYEPRCRFRSPHQYQNLLRLS QLSSGVTKELGKTLYSNCTAEFPYGPHLFPVFAAERPAILPMIRGIILHLDCSADFND TITTELAYMLSFFSPSLRPDSPCRKLAFLTVKLRTSLVDIPRWPQEDVPLTEKQLIMD KLREWGPLFRGVHTDEFLLRLVGIRHEVCSAAQGVPYGMGQEDWEREVTNREIRAMWR YAGLLE NEUTE1DRAFT_123681 MSEPDAESSPKSSEPQDEGAHSSSSNDTRPPSPIVSPSEAPPAD TVSSSTNGVTTPVAAASGVPSSLSPAPVSPAAAPTGPPPDPISAGSPGSDDSNSSDWV TTDSSPSPHSTSSPGPDLGPSSDPTSGHEPGEEQQQQQDGQSQSPSPRPDSSETVDAN SNAKQLPPLPSLIPPAPHHGDQPGPGPSHPHHSHPHPPPPPPPTGAPSDVVPPHGFLF PPTPGGPPPGTGLYILTSAPHPYPNPPMPVYPPPQAYPAPQPYPAPQPHPGPHPHPAH HPPPAPLAPDLQVHATPEAQAEAQYKQIYRSQYSQAHPNHRPPPVYHQAPPPPPPPPP PPLFISSSGQVPGSDAPFGFYRSPGKDPKEPPKPKFYPPSSKPLFQIQTGKATNSKSS KRNGVQWYALVQGRSGGEGQQQQQQQQRNQQQQRQGQPAKIIYQEPPPRPRQLQQLPP SAPLPPHLQAQAEAEAAARYKEIYGPRYSQSAVLNRAQAYVQAQGQAQAQQNQMYRPM PAQQQHAQGHPTQVPAPGQNPAPQIYGPQHGQSTGYGGPGVPSEHRPYRCDVSNCPLS FARSHDLKRHMLVHFPEKPFPCESCGKRFGRQDALKRHILQTTRGCGTNNNPIEIGSS LSPSQISTPESGAARDADASHDTHNTPSANRNGSTNRARSPSVLSDTERNRGRDRQRK RKRDHEGGEDGTDTDNDYEPSARASQRPSPNPTQQGPSQSRGRGEENQTQSQSENPAS QRQRKSPSYHKQRQKELQSRWVIGTSRASHGTAAAGEETAGAGAGTGTETETVARGEA VEAQGKRTAPGASSSSSSSSSSSSSSSSSKPSSVPVTHAGIEPPDPAMVRVFKSFVSQ IQNS NEUTE1DRAFT_123682 MKGFPNALLATSLALLGRVSAAPAEPPTQVLDKRAAPTVTISTG MIVGANGILTEAFNGIPYALPPTGNLRLKPPVRLKSSLGVFDASGIGPACPQFLADTS SNEFLPQVIDKIVNTQLFKTILNVKEDCLTISITRPKGTKAGDKLPVLFWIFGGGFEL GSASMYDGAPLVTNAINMGKPYVYVAVNYRVGGFGFMPGKEILKDGSSNLGHLDQRMG LQWVADNIAAFGGDPDKVTIWGESAGAMSVFNQMSLYDGDNTYNGKPLFRGAIMNSGS IVPAGPVDCPKGQKVYDTVVKNAGCSGAADTLACLRALPYETFLKAANSVPGILSYNS VALSYLPRPDGKVLTQSSDKLMLAKKYAAVPMIIGDQEDEGTLFSLFQSNITTTSKLV SYLNDIFFNDATESQIKSLVSTYSTLISAGSPFGTGLFNEIYPGFKRLAAILGDLIFT LSRRIFLDAATTLNPSVPAWSYLASYNFGTPILGTFHASDILQVFYGILPNYASKSIQ SYYANFVYNLDPNDASGGTSSKSKVGQDWPQWQKERKLVQFFADYAGYLTDDFRSESY NWIKANIDALHI NEUTE1DRAFT_46427 MGLEDFVEEVADAVDGQDAAEQASADKTGDDANEDVVVDSIVDE VAEKEDIPAALLPEINDAVNDEFNKL NEUTE1DRAFT_66644 MIEVAMSASRDISGPSPGPTQQPPASASEVHSPAFSSQSTDAST SSPPRRKRTTPRKRKVPRVYRPDEGSIYDIMHDNSGKSLYVLPICWTDLHATLLGASF TEGAPIVTPVPESIHGQWLDPSLLARQLTDQLHTLIRNEPSMTRVFCKNMAMKNAMGI FFPDRLANPKMNAELDIYFGQRVFRKAVRIPCLWKTPIGSSFATIPTVPNDSFYVPNS PQEPQPGIPQQTPNMPILAYFNKSQLALIRQNLFRIIQTPFNPNDAVAGLQALRSKHL IPADVDQDPYLVAMMVSMAQSNYYQDALYSQKSDSQQSTRSSNGKLFELTEPKFEDTK VAIIAHDEGIENNPRFLVYTATVTADYMERWLYPLKVPQSSKKAKKQISLDITMTPVP FWPILGLKERLARALGKDIVGPDVYSDLYPDHIGFWDLLVEPRKPATNNSTPPRNESN SRKRRADRQGGRDQREPLSEVYNSSFEEEAPESDATTSPTRSEADRTDRADRDRPVLS PNTKRRRTARSISAVEVR NEUTE1DRAFT_117692 MPAYNSVFNADPSPRLIGNFPLFPLRTKIRGPTYPLPFPDPPLA ANESPDPDSESYDILDETLALFRANTFFRNFEIQGPADRLLVYGIWYISDCLGRIKPH YGVREATKEVNNAALDVNFALPGDPGWPLNQMYEPPRDRQDAEVLRQYLSQVRQELAQ RLLARVYDDETEDKPSKWWLSFTKRKFMGKGL NEUTE1DRAFT_147782 MHHSVIKPNSWVALKLPSGVTRVIQVTPNTSISLGKYGTFPANL ILERPYYLTFEVQDKLPEESFSRLRVVPPSELYADVFAEEDAECANPAAGPGSNNDAV IATAAGEEFSLVDAESGNVIARSTQEVIDENARQKLTQAEIEELKRDGTNAGKDVIAK LLLSHAALDQKTSFSLSKYKLLKTKKYIRRFQVLPIDVPTFSNWQLEERDASKILDIR AEMMGLVGCWGNVHYGGADVFLEDPKATTEQGEEALAPLQEDLLAGRWLVVDDTGGLL VAAMAERMGVLYKEDDETEEAAEEEETTEKAEEETEHKQESDTTSHQDTEMVDGQQQE STSETTTLARHPKRPRDNKPRDSDFAVPFSQTNTITVLHGASQPNLSFLNYWNFDVTA PQHPPHPLLNHLLTLSWLQLLKPELDTSYSTPPLTADPETLSTWKPSRRGNFHRKRRR YARVRHIVDSTRAGNFSGLVCASTMDPISILKHALPLLAGGAPVAIYSPSLEPLATLA DCFSVARRTAWNSGHVAEIQGKTAEELEKWEGSEQFPLNPTLLLGTSIQTSRARRWQV LPGRTHPLMTERGGADGYIFTGWRAKPAEGKIAAKGKFKRRKMEESVSTPASA NEUTE1DRAFT_102651 MASKDNQGSGAFIRSESAFRNFIFPDSNAPFPAEKGRYALTSIV RILKGLENIIDLYELHPSMGPKGWYFSGEGSSLPVDPLYGFKYLPDLYKKADPSFTGP FTVPMLWDKKTHTVVNNESSEIIRMLTTAFDHLLPEHLREVNRPGGGLYPKHLQSSID EVNSWVYNLINNGVYKTGFATTQQAYDANLYPLFEGLDRVEQLLSSPAPSDGGSPETK SRKYLLGDNLTETDVRSNAFKE NEUTE1DRAFT_131032 MSDIYTPPPRTAYYLAQAFYMPSDKGDRWAISFSGRSSVLVASA LSVVVTLSFAALWKIICFVSLMFQGNESRRRFVGMIMLWNSGDAIAAFLRLVTYTVRC YPRTITKPKTGESETPSGASQNTSQEGKRPKQRGDFLYGLSLCLVCFAVWGGSVAMST VVSWLVSIGHVAPVNPSVLFYPDIPGEVAVQQLKDFGLRAPGILRSLGSVEAAKVTLR TRVSIEGGDTGLIPNGNDLGHELNYDYNLTGVELGLRGGDGLSLGVKGFCRTEYDWYR PDMSTNASDVYHLWNNENQSRSIRLSEDAITRAPTAAFLLPNEYFTAAVGPPADSNYS FAIIVSSARRTSVTEGSDPWYTTELRDQPADLSHYNAKFWMKRARPILSCWEQMKWLH KGTALDSIADLRYQTDRTIPDVLVAVLEATFFGGPMLVRLGNASGDSALRSRTTSPNG VIDASMSSIDTDMERLVLASFVATRNIFNDATMFGGSEQNYPNVFRGGNGQPEEGSGH FVVSSPDIQTFSLTGIICLVSILVFLTAIWLLLEFLLLVLKGRHHPFWCKYHVLDAVQ LFRCLYEKNDPDRTFTKTDRPTSEDLPKIRPYGGWRCNEGVPQQQIFREANGKDTIFN IEDDFCLLTPCKEKSCMGHILKDLAKEVNRNSVIEPKEPVRSDRTTPDPDTAMPQGDY EKRPAHDTINPSNLSNGHS NEUTE1DRAFT_85340 MILFHILLALSAATFASADTLIDTLQQNGFSDFASIYQDADPSI LSSIDVGSNFIIYATNNTQSAVNSTLSRRRTTSQSQRAAMSSVQNTASSSKKLRFKRQ QANSPAAVYESLLSDPEIVNLGLGVNQSLVEKPVGDAGVPNVFAGAGQVVPIVGNDIP FDGGVIRPVASLLEIPGAVPSTLSLFPNLSNLTALLTQSNLTGPVSETAGITVLAPED SAFAAVNISALTDEQIVGILSQHVIVNYVGYSPLLKDGQVLNTLANGTVTVAVRDSGV YFNGAKVTASDVIVENGVVHTVASLVGSGDGENPPATGTAGRVGLGLKTLGLGALGMA VAALF NEUTE1DRAFT_85342 MTVTTPNSSKPPYQHERHIAELAVQRASLLTRRVLAGILNPSST STSSTSTSGTSTPQLHHDDHPDRRVSVASISKPDFSPVTAADFAVQALLTAAIRAHFP TDGFIGEEDADALRGDPVLARQVFDLVRSCASTESGDEALSPALPQTIPEMLSLIDLG GRGTGSPTARFWAMDPIDGTAAFMRGQQYAVSLCLIEGGREVVGVLGCPNLGITLPSS SSSSSSASPQANICTATYIISEESTPSPDAQDEQQTTNGILLSAVRSQGATIRPIADQ TSQTDLLPAISLTKSSSITKNPQTTPPDMSNLHFIDSLSTPATSSSLVARVATLAGVP NYSFPGTELYSSHMRYVAMILGGPSHVQVRIPKPRTKATYIWDHAGAQLIYVEATAGK GKVTDLWGRPIDYGRGRKLDGNWGVITAHEEVSGRLLEIMPKFPASFRLVLPDVRGSV APADSHHIAHPVP NEUTE1DRAFT_85346 MDQHQQPTPPRYDQYVDPLPPTDAWACFLSARYSQRKTLYQGLT ATEKELVRKELRRVRYLREYFETLRLSPKPNSAPLVVLLDDSRRRWKQQAIQNSKAAL AKCDKELAQPNCYHKPLLQRNRSLLLQVQQWSTQPAEDQQRKEELDPENYIDGLGAPD DSIDDNPRDPNYGYNGWVIVYEKGRGGVTLDHPLCHGQYPHQKISVQKLLYDIANTPL KRSEDKNQLRYFHLQANNMKWVEDAIARYYGEASGLDRQRSTIIRERGMSKPTNRLSN TEKLLKRELWHGQERGGIGTHLPPHARQIRPRCAVVPSAPCAPRTHSTLGLPMSATTN TNDVVLFMPYLHWEIEKRLTRMTNVIRKTRQQKEETYYMERQNKRRGTWGSVVDKVMT ARVLRQDSIPDDTFISDKSMDDAPSWRPSSRLGTYLWHAAKLFQLIDEAADWRLINDH LYGESSLHPRRTLEQYSSWTADDTTKRDRQQVVYRGTWMKNDLESIPRVVMVDQLWMW ILDESKWGRNKPDPSAVHRAIRDYMASVDKGQINSIYDLALIIVDECSKVIFDRTKPD LRPEVVDIFGSAISKIAEKKTESYERFGRDVKRMNTQDPLETSEELLRKSLNIKYEWS VLMEAQSVIDQLQIMQEIFTQQITIMTDFEKVLRGLGSGVPSSQLDGLTSTLERASSL LTDMKSNRDELADLEKRQAKTRTQIRELLDMKQQQSGIIEAKAGIRRADESVLQGRSI VVFTVVTIFFLPLSFFATMFGMNARELNDGHMGIGTQLLLMLFPSLGITILSLALAFS TR NEUTE1DRAFT_147788 MPSTMADAVAEIESILDTGIAAIKGLIPMIWVQSKTSPVQFLVS GVAVLLSYLLLTISPPHDPSEPPLIKPRFPVIGHLFGLLNHQVNYFSELFTRYHLPIA TLPIGNQKLYVIFDAPLQQAALKAKDMDAQSFMVDFVPRIFGVKQGTVDKLLGKDGVH PNIMGDMEQVFKSALSGDNLQKLASTTLATMADTLNDVDSKKGTKIPNMFLWLQSLLS RSTSKALWGEKHNPFKDHQVIDAQWDFESHLGPLVLGVLPSIVARRAYLARNKVQSAL LSYFSARHYETDPSTSYFIRARTRLLKRYDLPDDELAKNEVAITLVATTNVLSTLFWC IAEIWTRPDLLQQIRAEALLAVFGNSQKALSGEDMGTRTITIPATGLDAKCPLLASCF RESIRLASQIVTARRVLKDTMLTDPVSGSSYMLKADTNVMMPAKVVHRNTAVWGADAE GFNPRRFMWEELGPKVERQRKAAFVPFGGGKHMCPGRHFAFTENLALMAALAIGFEIE GLDRSKLKMGDSKRGETAKPLPGLEGGEVVLKKREGWEGVEWEFSC NEUTE1DRAFT_139481 MFSTTLAGWRPVVRIVRGTEQPTPYTTCLSLHYLQAWLRKSLRI WPPGATGGVYKDVGHGENNITGFTLPPGIKVATGAARYAMCRFEEVFGQDADVSRPER RLEANEAARLELETSEKNGAALRELGEAGRTLRERETTLIFRSEWMGGGGARESPGVF MEGEGV NEUTE1DRAFT_147789 MCNAGPPPYYAVFLISAQSTKAASLHTLDFPSVFDLDIDIVNAR CSNSSRASVSKCKRILFHRVDRGNPPYPRLPHGVLSVAFRSLVRTSKQEFASTEAATT ARRRDAVSLTLKCTTRDVV NEUTE1DRAFT_147790 MPPVERPGRPALRLIIPTYNRPQFPSRDPSAGLFEVDGIHSRRI RQPRPEDDGPRAPPSISSFVFANELLPPQPQHIDMDISIRLGRPRDLPHLKKVQIAST LNLRTSVRLRTWLPLRARYTTYTGELLNHCLIKGMLWVAVAELATGENWIVGFAIGIL KDDWNQEERRDDVVYIAIHVHASVRRMGVGSQLLKQTEQHLWERGFDYLVASAFREEP WKEPEETFLKKNDFQRANLLGFQRRCPCPHIMDKIHILNSELSEIQPGMERALWIKSL PPRLGSKRYGDSTPPSDESTPESYEWPSLRRLLLEDDYVDD NEUTE1DRAFT_47699 MVLHQFDYLLAIGTIFAALDAWNIGANDVANSWATSVAARSVTY LQAMILGSIMEFAGSVGVGARVADTIRTKVVDTTLFANDPALLMLGMVCAVVASSLYL TMATRFGLPVSTTHSIMGGVIGMGVAAVGADGIQWVGSSINDGVVSVFLAWVIAPGLA GAFASIIFLVTKYGVLLRSNPVYKAFAVVPIYFGITASLLCMLLLWKGGSYKVTLSNA EIAGTIIGVGAAWALLVTIFLMPWLYRVVILEDWQLRFWHIPLGPLLLRRGEVPPPPA DGSGVVQDFYAGRLTKEQLAARRAAQTGDSEMAAGAVVSSTSNPSAPTDGEKGATITK DDSSYSHDHSEPAPAQPQIKTIVGPRPAGPWHSGAVLFWYVKWALFRGIDQDVLSSQQ EKSVISSDVEELHAHAAHYDNKTEYMYSFLQIMTAAAASFTHGANDIANAIGPYATVF QLWKDGALPEKGKADVPVWILVFGASCLVIGLWTYGYNIMRNLGNRITLQSPSRGFSM ELGSAVTVILATRLKLPVSTTQCITGATVGVGLCSGTWRTINWRLVAWIYMGWFITLP VAGIISGCLMGIIINAPRWGYSG NEUTE1DRAFT_28576 MAYILAFFLALCFLASLFPTPGVRVTDPPALKVGDTRGELNAEL KKLDQVVNILSLRPDTYRGYLLKAEDTCSLLNGADLR NEUTE1DRAFT_131038 MVDREPKQAVTDESASAGIAFKNPFADTTPHHPASNDNDSSRSD TKSPTSPLNDTSGPYSSRRITPSLGVQQLLSNLQPRDPLADQTPAPTSDTVAYNSRPS LPHQLQKQQHLDHLQANTGHYTSPSGDNTQTYDTRSAAPHHHSIKPIATAVMAFEKAR KFSTGTSVHRKRQMSTLVEKEGHFGPALTTLYLGISAVFADDHTAVVALAIHDTVYLV DFSVKHIELDDALKMGEDLIAEYVISEVQKYEHENFSKFVGAGLPTTLKYMSPTLCSR LWLEVDIVPIVMRPDDEHKEATFWDVKRVDEQADSMARKCIMHFGPSLVPLLQVGFRG IVQTDAGFRAHLTTVQNHKDTCGPATWETTLTFAKKLRANKLKMAFFSSTPQGGGVAL MRHALVRFARLLGVDLTWYVPKPRPGVFRITKNIHNILQGVSHPDQRVSAEEKQAIID WINENASRYWFSEGGPLRAPEEGGADIVVIDDPQMPGLIPLIKKYTPNRPVLYRSHIQ IRSDLVAKAGSPQADIWDFLWGNIQGADMFISHPIPSFVPHNVPREKVVYLPATTDWL DGLNKHLNHWDSGYYGNLYNNACHSQRMTELNWPARKYIIQVARFDPSKGIPTVIDSY AEFRRRCDKAGITDVPQLVVCGNGSVDDPDASLIYDQTMAQLETYYPDLIRDVSVMRL EPNDQVINTLLSNAHVALQLSTREGFEVKVSEALHAGRPVIVTNVGGIPLQVKDKVNG FLVAPGDWRAVAGHLMELFTDNELWKRMHHAARTGVSDEVGTVGNALAWFYLAAKWTE VGVETSGKGGLKGNEQWVNDMARTEAGYLYTQEENRLPRHFTQRKPESESESKDLPIH EKKAEVTA NEUTE1DRAFT_66670 MTVTTSEPVAPVQSQSSSQPSSRTNPTTRRHEEYQYLDLVRQIL DEGELREDRTGTGTYSIFAPTPLNFALSRPSSSSSGSSSDPSSPDYTYTPILPLLTTK RVFTKAVLLELLWFISASTSSTTLSAQGVKIWDGNGSRAFLDMLGLSHRKEGDLGPVY GFQWRHFGAEYVDCETDYTGQGVDQLQRIIDTLRNNPYDRRLILSAWNPKDMSQMVLP PCHMFAQFYVSYPGSRTRGGAQAQDKEGELNTPKEKPRGHLHCQLYQRSCDMGLGVPF NIASYALLTHMLAHVCDLVPGSLTHVMGDAHVYLNHVDALKTQLEREPREFPTLEIQR EKGGSIDGWKAEDFVIKGYEPHKTIAMEMSV NEUTE1DRAFT_102660 MPSTFSFRDSLDEPPHITLGRKAWQWYMARRPHRTTTECNLWRW VIKEIGPGRECKDVSRKHRQREGWQVPISYPPTTEGYKLLQEHIAFQFDQWFINEAHC KIPVVSSPEPEPISVRVRKSISNILKGGSWILDNNDGKLARSTASREVTPEPCADSKH FIRTSLYPAWKVVCDFHRRDSAEVFCFDLPFDHSNLAFDIVDAMASCQCPQANFRLVL DSSKYTDHQMIDLLTTDRSLSADKKLIVEVTDGSVEARTTLIKQIGNLAFIIDGTTNS CILQAIRDPAVHFSLTGKKGEAPRPWGILKFIVNWEDRGFYERALEATKNIPSGPARI PPKPTASPSFSVYRDLPVLKSTQGLPVPLRPSPTTKSESRPLPDEAVQSGTSDGGGCD DDRFRLLLALWLERRRPIEDIVEEICPDFELEPDDRLPEEARLELMEALLDEDFDDPS EILADFYFDTHFIYSELDVDQRWDSIGARMKNLQQTKLEGGLKGRMRGSESATFTPQQ LSNPQAFSTWDDPELLRGDELVEPLEQGGVLLENHFDRRFPDMFIDLVYDVRYRWMDP GFGQIFNPTFYFCKHGPSGSKDDMASSMPRFKHSSFDVERRHLHDLKPLGTTKPPSAL TDIGPTQSTPSIFGPDRPGVHALSKTSSSQSQSFSPDWVHLKHRRSRSAPAICQNQTF TPVGTAAKPEKEPSCSSATIVVKTSGQGPEPLDLSPETQKADPSPGNNMFTETHSGQR YPTP NEUTE1DRAFT_117694 MTLTDAQISIVKSTAPVLKQHGEAITTVFYDDLITENPSLKNIF SLTSQATGAQPRALAHAVLAYATYIDNLSALSEAVARIAHKHVSLQVEPAQYAIVGQY LIQAIGKVLGDAATPEIVDAWTAAYGVLANVFIGVEGSMYEENEKKDHWKGWRKFRIV RKVEESSSISSFYLRPVDGATLPKYLPGQYVSVQVLVSQLGYLQSRQYSLSEAPKEGG MEEYRISVKREEGETGAPGLVSNLLHGMQEGAEVEVSHPQGEFFLDPADASKEGVPAV LISVGVGATPMMAILKSLLQENVKRRPVSWIHASKSSSTQPFGEEVRRIVKENPEQVS AHVFLKTVTGTDQAGVHYDFADTRMDLTKLDGERDLHLADKRTEYYICGPETFMVDIR KVLVGLGMDKSKVHLELFATGFVADE NEUTE1DRAFT_46794 MSAPFAFAKTSAIRRRHSFLRGPQAQTTAVIGTLTARTIKLTIT TWTNNNSNN NEUTE1DRAFT_85360 MSYKIPLSEPIAIVGSSCRFTGEATSPAKLWELLKDPKDLTREV PKNRFNVEGFYHPDGEYHGTTNSAKAYFIEQDHRLFDASFFNITPKEAEAIDPQQRML LEVVYEALESAGYTLQDYSGKKVAVFAGVMTADYDTLSQRDDLSVSQYYATGNARSII SNRVSYFFNFHGPSMTIDTACSSSLVALHQAVLSLRSGEAEMACVSGVNLILTPEQFV VESSLHMLSPTGRCHMWDDRADGYARGEGVAAIFIKPLSKALADGDRIEAIIRETGVN SDGRSKGITMPNWEAQSALIQDTYRRSGLNARDPIDRCQFFEAHGTGTAAGDPNEARA IEDAFFGRNVSTSSQDTAEVSKLLVGSVKTVIGHTEGAAGLAGLFKVVHAMINGTVPP NLHFNRLQPAVAKYYSHLHVPTKALAWPSVPAGQPRRATVNSFGFGGTNSSAICEMYV PEIHNPVAKIFAPTLIAPKLINSGSLSADGQIRLPLVLSASSPRSMGAVAKCYRDYLA QQVSLPLEEAAWHAYKNRTAFPYRTVVTGSSLSDIMKGLDTLIAKADAGKAATISVRA RPETDVPKILGIFTGQGAQWATMSRALLQSNNVYAQSIRNLDQVLQACPHPPSWFLEQ EIMADASLSRVGKAAISQPLCTAVQIALIDLLAHLGITFHTVVGHSSGEIAAAYAAGK LSARDSILISYYRGKYAHLASGTQGSLGGMMAAGLTKAEAEELCALPQFGSRVCVAAS NGPSSVTLSGDLDIVQKVHDHLVDEKKFARMLQVDTAYHSPHMVRPATEYIEALKACN IAPLQDGNGTTWVSSVYGHGEPTSEELASSYWRDNMVNAVLFFEAVSTALEGQGPFDC AIEVGPHAALRGPVIQTMKAKSGEAIIYSGLLDRKLDDRIAFADFLGWMWSNFGSSSS LIEKFVQSSVNPELAHLRFHQGPNYPWDHSHTYYRESRISRQYHFRTHKPHELLGIRT RDDNEHELRWRNIMKYAKLPWAQGHKFQGQALLPASAYCIMVLDAARVLLGNRAASLV ELRDLKFMAGITLEPESPGVETLFSLVVSNSPKNAATIEALFTLTSAPADGTIDMKLN FSGQLHIVLGEKSPNALCHRPAQRAETLTAQPEAFYKMMAGTGLDYNGPFKGLTAIER RYQFSSGTVKRFHADDQTGLDISPATLDSCLQTAFLTVSSPGDGSLWTSFLPKVMSRV KFNLATCRSGVRDDDLAVDAYLTKGTPFSKTAAASFTAEINIYNPEGDLEIQIEGLTV GSFTSTRPENDKELYLTTVYELDPEDEIVASPPEALNGVSPMLFESCARVASFYVERA ALKCLKETPRDLLEPAGYQTETRLVSNSWPNETEQSLEKFIRSSPYFMTLDFVRHLGE NLPDVLPGMLPTLVQEAQQLAYFQKQVGRVVRQIVHKYPRMNILGLTDPELGLTEHIM AALDGSFLSYRIGGKPETNLKERTPLAEGIRKKIMIDEVDFESDAESAGGPYDLVVLN TSILDNKKTHSALQLIRDSMRPGGFLVVVHASRTPLKERMLRCAGRTSSDDGIATPPD WPDLIEDCGFENTAKNSDMWFNPGFTLSVRQAMSQRKKQLLWPLHHATATPLVKHLLI VGGKKVWTSCISSGVFQELASFCAKITAVDSLEDIHNDELLSSCTAAIILGDIDQPIL ADMTAERMDTIRSLLRPQMLIMWVTHNARFNNPDHAASLGFTRTVTGEVPGLHIQMLD LDTIDTCPAVRAVSKTFARFIVYFLGPKNEDDEPLWVREHEVHVEGGRLIVPRVLPWK EGNQRVNAPRRVVTDTVNTLEDVVEVLASASGRYETRVEKSKSYPSHAESAMHVDYST VNVFNVGGICSGHICIGRNNETGKAQVALSKSNKSFISTASTIFSTLPEGTVGVCEPL YLSLLSRYIYALTIVDMANGKAVMIIEPDGLLFQCAQEVFSNRGIWFMGASTDERRSR VVPDMAFVHSQSTSRQIKALYPLDGACIVNMLPKTHDVSRMLVDSVPENCEYLTQDKL ISTHFTAHPNAAFVQRLWEEAVALSYNSMKSMLLDAIEPSFDILDPITLPKLLDSTVS GEAFQMLDWKAERSIAHMIKPIVGEKMLRPNRTYVLVGITRDMGQSLCSLFIEQGARH LVLCSRNPPKEQPQWQKELNARGIKIKFEAMDVTNLNTVIAFKTKLAEDSWPPVGGVV NGAMVLDDRVFSEMSVETWNRVMNPKVVGSRNLDTVFDSPDMDFFIMTSSFAAIGGHA GQSNYAAANMYMNGIAASRRCRGLPATALNIGVVYGLGFLHREKDDLYAGLEREGYPP ISERDLHHMFLEAIAAGKPVGHTVYDITTGMNRFRMDAPNQHWQLDPRFSHNTLPEED ETAAGSAGQQIKSLAEDLDAATTKEDVMKVYVPAFIGRLEALLQISEGEITPANSVTE LGVDSLIAVEIRSWIWKTVAQDVAVMKILSATSISQLCEGIASDLLVARGAAAKDSTA PTEQKETPAGSQTLQAASGLVKSAPSTTPSLEASASTLTEATVVSIVSTSTVVSSAST ATSVDGDADEDNALSASWEDVGKKESSIFL NEUTE1DRAFT_102663 MKSIITYFAVIMEAATVLGGPGKGVKPDPDGRYTIAAPGIKAQF IPYGATLTNLFVKDKNGKDVDVVLGYDDVDYYPKDPGHPVYNAIPGRYANRIGKGKYT IDGEEYHTELNDGDNTLHSGTHNWSYRFWNVTEHTPTSITFAISDASNSSLGMLGRVD STVTYSVSKNTWHIKMNAVSPERKTPVLLTQHTYFNLDAYKNPATDKIWDHTLYMPYS ARYLEADQGALPTGKILTATPGSINDFASAAGLALGHARDQPGFAGNCGANGACEGYN GYWLIEDKPSRDAVVVSLASPFSGVKADLRTDQPGVVLYSCHWMDGSAQLKSTQELVV NGTAVPRTVGRSSCVAIEAQDYPDGINHPEWGRVDKQILGPGQKYSWESSWTFGLV NEUTE1DRAFT_66680 MAQNVTEIHDMGVDKKSSPYDEGRIDEKAPHDFAAEEATVDRTV EADFSVTEQDLLEAKALADSMSLEEVRALMEQVLKIHGNDPNFPHTIMTKIEEFLGNA NILTNPEKYEEIIYEMKIEAALITNNSPYAEVRAVVDNHDDPTMPCSTIRAWVIGLIF SGALGAINQLFSIRMPAITVGLNVAQLLAYPVGKICEKALPDVGFTLFGSRHSLNPGP FSRKEHMLITIMANVAGSTPYTNNIIWSQYLPEYFNQSYAGQFSYQILVALSTNLIGY GMAGLTRRFLVYPSYCVWPASLVTIALNNAFHTESNVPVLGPLKKVFSMSRYTFFLWA TLAMFVYFWLPNYLFLALSAFSWLSWISPNNMVLSNITGFSNGLGLNPWPTFDWNVLL FDGTDPLMVPFFSTLNKFLGMFCSFFVVIGIWWSNTYNTGYLPINSNKVYDNMGQRYN ISRAINEKGLFDAERYEAYSPAYLAAGNLVVYLFFFAIYPATLTYILLNHRYEVSMGF KNLWNSLRRNKNTEAGQYKDVHNRLMAVYSEVPEWWYLIILLGAIGCGIGGIAGWETY TTPAVVFYGLILCFIFVIPVGIVKAMTGMEVTLNVLAEFIGGSWVQGNALAMNYFKSF GYVTCAHAVWFANDLKLAHYVKIPPKHTFAAQVVATLVSTFICTGILNFQMNDIPRVC TNEAPNKMTCPGINTFFTASVLWGTIGPKKIFGHNGQYTALMLGWPLGLLLPVITWYI QKQFPQKKWLRQIHPIVLLYGAMYWAPYNLSYVIPSVWIGWLSWIWCRSRFLGFWSKY NFVLSAAFTTGISVAAVIIFFSLDWSGVEVSWWGNDVVSEGCEGKPCLLKTLKEGEIF GPGPGQFH NEUTE1DRAFT_123696 MSRLLKFRRIIFARQFLIPVAVVYLFLFLTLGPWSPRWASSFSP FTEPPKKGPVRQPTNFKPLPRLQERVPCYGPRGKFLSRSPDDELKEEKLDVAYPQPFW GSYATIGLDQTWMTIDGRYGPYGYGEEDKSYNRSKVDWNKINWGKLQNECFERNRHRF PTSAIPFDNTLQMVRLGYRNVTRIPETRQWHEFKNTRRTAIVVRAWRGYDWKAEDMQN LRSLIVEASLRTGGEYQVVLLVDMKDYQPYIFASDENYEKALSELGIPAEFREIAVLW DDRLLESWYPDIAEHRTMWQVYQPVQLFSLHYPEFDHIWQVELDMRFTGDAGKFLDRM SDFARKEPRKQAFERAMFQQMENKIGDYKAFFDAVNRANKGGAYIWGPLRIPDIDPIG PEPPTELANNEDFKWGVGEDADVIVTSACNNAQKADAWVFKGWLGGFKDGTSTPRFFC PPAITRTSRTLLLAVHEAQLVKGLRAPSEATPSSFALWHGLKLSFPQHPVFWKKEHDD ETQNAWWKGGPANSTDGVGPDVWDHPSGMGLTFWWESEWPRQIVDAWMGKELPKDVAR PWLLVEKDGKRYVPNMMMHPMKHYH NEUTE1DRAFT_46105 MGSIVLPHLETGWHVDQAILSEDERVVVIRFGRDHSPDCMRQDE VLYRIADKVKNFAVIYLCDIDKVPDFNQMYELYDECTLMFFFRNKHMMIDLGTGDNNK IKWVLEDKQELIDIIETVYRGAKKGRGLVVSPKDYSTRHRY NEUTE1DRAFT_131044 MRSTIFRAAAALMAILTPTIVTAANPLQVRAHINEHTLSPRGTF AYGQGNTTDIIIRTEVPRLIIYFQTTHDESGKPISMLPLVTEKNIALTHLIVCSLHIQ KDGNITLNDHQPTHPRYGTLWQETQILGYAGVKIMGMIGGAAAGSFTPDTLDSANETV FNRYYGQLHETIKRYGLQGLDIDVEQLMSQGGIERLILRLRVDFGKDFIITLAPVASA LTMGGWNLSGFDYRKLMLAPSGAGKEVAFYNCQFYSGFGDAGNPNQFHQIITDNAQRD MRGALSPTKIVIGQLTNPNNGGGFVTAGTLANSIKTLRDAYGQIGGVAGWEYFNGQPG GVEEPWKWAQTMTAILRPNQVPKLKLTVEMAVKLKDVWKESAAAGMRQVVEVDGVEKN ANRTLAIVVVGLLDPDDADDVFAFVVLQIPHFRRNKHNHQQPGSRGIMAWASSFSRPT KSDAIPPPYYLLSQFQNNPEEPYCKGCGKLIDPKRTFGTTGAPASTAASQKQGAGKSK GFKRGGKDQDKEDEKTGQLQQQEVSRFCTSRCRSESQHKKRDVKEQEKQIEETYVKLL QGQMVDGMEQLADGQADGKKKGKNGAAKPKKGEGRVVTLEEVETIVFGREKDAEKVYG RKKNRKSRALTSVGPNDEDPITSTDEESQSTSTKAGRPSSRDSAIGGIKIDALQLGDK AFDDEIAPLPKGKSHPYTTGGHEVARLAVRSGTRIRPPQEVSEVNGSVGGEKGRAERK AESEEMKEEIRQKKAEGDRKAQQKEIIKSVARRGVVFGFDVSSSPDGKKKTCECVMPG GKVVEPHFAKGNWGIRWRGD NEUTE1DRAFT_123698 MDALASQPGPVCSSTTRLAESQRQQLKVAIIGGGICGLALAVGL SGCRHIDFHIYEKMAIYRDVGAGLSLHKNAIAAMYLIDPELVKAYQKKAVKIGQEDQE MATEVILAAGRHKGLKVGELGRARGRKSVSRADLLDGFLEQVPRENISFGKQVVRVWE THPGTERSSTDSVAPYSEDSAEYDHPIHIEFADGTRAHADVLIGCDGIHSSVRSYILG EDHPATKPKNHNGWQIYRTLIPTEVAIEQWGVDAKLTRTVPILLGPNGHINIIPMKKG QMLSAGVAVRGAARLNTAFGPSTVPVSAPISPSTAPLSPPESESGIVPWVWYAALHNT TIGDFITHHTRPSSHENSPQAHPVYQTQPSNSLPGQGTPVIKPYLYGDYTREAQKIVR MVAADTSASWAVADHDHAPYYARGCVAMAGDAAHAALPFAGNGAAQALEDAAVLAHLF RTYVRTPEMAETALWAYEKVRMERSQRVVEIAREYGRVYSFNPIQYRGKWVKLHEKPE VMMQWFRQQAAYTNEHDVAFQNTWAGRLFVMELTRKKEARKRSEGAEGSSTDTHPKTK TDEVKSEEISNVRTMGEGTSPRSTWVSVEGREAAVMDGEDGERVERDEANDDDDTHDV ESVASLGQDSDYDFFDDFSDDADDELEDEDEDGPGF NEUTE1DRAFT_85372 MGGSSTIVDAINFVDTASSQRIEPGSVNLKPAPWPAHFKTEPTS DQVAKIAANVVDTVNNHFDKVPDLFLDSQAGFWRDHLALTWDLRTLKGKDKIRNFLQA EAQVKKVPLQIQIDESQAFRKPQVGGFAPVNGLKGIQFFITFTSQNGKGRGVVRLVQE HKTEEWKIWTFFLTLDEYRGHPEPKGPNRPKGVEHGGQPGRMNWAQKRQEEINFTKNE PDVLILGCGQGGLTAAARLKMLGVSSLIIDRNPRIGDNWRNRYHQLVLHDPVWYDHLP YLPFPDHWPIFTPKDKLADWFDSYAKALDLNVWLRSTIVSSEYDNARKAWKIVIRRSF IEHMELTVYPKHIIQCTGGSGKPNMPTTIPGIAGGVFKGDRLCHSSQFTTATPLAKRG SKKAIVVGACNSSHDICQDFYERGYDVTMVQRSSTFVVSSSAALKYLIGPLYSEGGPA VEDADLFLWSHPSEVLKTLQQDLTKLTIEHDAELLAGLDRAGFKLDKGVDGAGLFAKY LQRQGGYYIDVGTSKLIVEGKIAVKSGVGIAAVVEKGLKMEDGEVLEADEIVFATGYS NMRSTAREVFGNEQVGDKVGDVWGWNEEGEMRGIWTRSGHEAFWFHGGNLALARYYSR VVALQIKASLDGLL NEUTE1DRAFT_131047 MTKATLWFWLAVVLTGVRAQWIELTFPKFKNEATKERAEYWEGD ILIVAWVIEQDTAIKEVDLYCTRSNDGIRWGRYMEYGASENLGDRGVDGWKTGQAYDT LGNAQNVNKPLITNHWVFNETQVYPLPSLPMYDLAETIECAFAGYGTDGRSSNQTLIG YGYPFKFRNVARQKPITTPFGVDGGPIDADDISNASSSTISTQVTSATGISTTGLPQN NPTATESADGPNLTATSTSSGTVLPTGPATGIESGTAESPGAKDRGGLSTGAIAGIAA SSATLGIVMAALAFWVFWRRRKSQLTAAAAAATASASTANSTSPGGGGGGGEGEGETQ EKGAMTTYFKAELEDSPRPCRNELDGTWKGAEVKEKARMSELGAVEQQRHEIDGGGDW HNRFELA NEUTE1DRAFT_131048 MIITPEDTESIVVIERVCSALSLLGGLFVITSFSVSDAFRQRAI NRMVFFATFGNILTNVATLMTTAFTDDINSFGCQFQGFLVQVFMQGDAFWALAMAINV YLTFYHQFDGRALRKMEIPYFLFCYGVPFISGFTFIFIRQHGERPYGNAILWCWLSKK WEVYRIATFYVPVWLCITVATTIYIRAGRDIYKKHRSMSKLGSSSNGGTLVDMFAPAY NYKTTKVTQTTEIISPPSTSNGYAANRDVIFPHTPIPLADDAKVPASCSVTVTISSDN HNHSSNKRSSQPQTDITTNRPHSGGITGTITTTTSTIDNDDNNNNERTIVHISAGNGS GTAANMQARAQRRLVHEAHNAVWSYTKCAILFFAVLLITWIPSSGNRVYTMINDGEVS KPLFFASAFVLPLQGFWNAIIYVVTSWAACKELGAEVAEVFDVVKYRCMGCCVGGSRG NKKRDDDDDGIILESTRMSARRGDSNRRRSGGGGAAGSSSWAATMARAKAQEMEIDTT SMEDLTGNGARMERVSPV NEUTE1DRAFT_111787 MVDAPHSPIVVILGLMCHPGPVTGRNDPQTGPMRDKHLPNCDYI QSPTPLPNKPLQTIILTAATHHAGEQGMKRDSQVPNAPQYHMRNSITSVKMRRVSYTI KFQKPIQNIPRGTLHFCPSQRAGTYVIASLPSSLAPPRSALKTSGPLPQLGNEENPVK MVLK NEUTE1DRAFT_102672 MADSGRRRERRSLHSRYPGRCRWSKDGKQHILPGTHPELTPEVT GNKTHPARDPTPGGTLCGDERDRRLVDRSNPDQLQSSIDRDNVNTTCPIIFPTSTVSR GRNSNWASQIVGGMAMDVWKPESGLNRLMGVRCR NEUTE1DRAFT_85378 MTRRIATLVRPTHNGERYEITNPTAMPKAAGFLWNQKMMIQITC RGFATAQFMQPEPAKYAYAPNIEAKTFMQPEPNYYAHHPGRFVYIKDEETGQLFSAPY EPVRAPHDRFVFSAGKTDVFWVIESMGVRVEMTMGLPTHHVAELWTIKVKNLSSRPRK LSVTPYFPIGYMSWMNQSAEWNHDLNGIVASCVTPYQKAADYFKNKYLKDKTYFLCEI PPDSWEASQQAFEGEGGLHNPSALQDRNLSGSDARYETPTAAVQYKIALGTGEQQEYR FLFGPAYDEAEIGALRSKYLSKEGFEQTAADYAAYMARGRGCLHVETPDKDLDNFINN WLPRQVYYHGDVNRLTTDPQTRNYLQDNMGMNYIKPEVSRRAFLTAIAQQEATGAMPD GILLVVGAELKYINQVPHTDHCVWLPVTLEAYLNETGDYSLLKEKVPSANGDKLTVFE RFCRAMDWLLKSRDHRGLSYIAQGDWCDPMNMVGYKGKGVSGWLTLATAFSLNIWAKV CDHEGETDLAKRFREGADACNAAANKHLWDGEWFARGITDDNVVFGIKEDKEGRIWLN PQSWSILSGAASPEQIDKMLPQIDSHLNTPYGIQMFGPPYTKMREDVGRVTQKAIGSA ENAAVYNHAGIFFIHSLYELGAQQDRAFTLLRQMLPGPTDADYIQRGQLPIYIPNYYR GAWKEYPRTAGRSSQLFNTGTISWVYRCIIEGLCGLRGDGEGLLIRPQLPSSWNSMKV TREFRGATFNVDIRRGNVKEVTVRNGDKVLPAPHVKDIEPGQTYNLTVIIP NEUTE1DRAFT_85381 MKILFHALALPLAFHLLSLAHAIPSPEAAHGGSSQATNTTDDAM TITPRQSSCLSTGTQSTINALFSSGGANTIVSLCPGVTIPITAPIVFTAPGQELSTQG YPTDSTRATILIQPGSTVTSAIRGNWQNNVKVLNIQVDGNRPNAGYLGGDALLEMGGG TEGQTVSHTVVKNTRSWSCVHFIGSGQEDNPCRQATVTFNEVGPCGHEGTDSATGNGL WADGLSIECMDTMVTDNTITGATDGGIVIFGSPGSQFLRNTIISSSSSGLQFGGINMV DPTWSGNYSGVVVSANTITGGPGSFINLGIGMGSQVWSNPHPETNFGQVTVTNNVFTG NVGFSIVMNGWLGGLTVTGNSVSGVTTPSSSFASASGCGAVQQAAFADSKQLVYYPAG VTGSSGPNVIQAQFTALSTNASNWLCLTNPAPPLPDTESFLPNTLSVDASTSRVVSLR DFHVQVQGDGNVVGIDTTGGVWTVKWASSRFSSACGPDGSQCLLAFGIDGNFVMYDGN GPLWASGTDGSGQLLTFLREAPWVTVTGGNGQTLWTIGNL NEUTE1DRAFT_66699 MKSFFLMSLLVTAFMSLMLATTAPPSLPLNNRRELAEHPPVKGN PPNTGYALDWCKYTAGMLFQWDLPTFIKHREANFSLGRLTWDWSSDGCTHVLDYPAGF PLKLACQRHDFGYRNYQVQFHFTPRARWKIDENFLKDMKFQCIGHNIFNACHFMAHLY HWGVRHFYKGHEQYRESEPSHKMMDTMDASESMDVFDGMDADEARDALNPYLSEEKTK EYYDRALARYNKCVEEAMAQGIDLQKYWAAL NEUTE1DRAFT_147805 MAPFPLNLGVPHIDAAELLSHLKQLAAPSPVAPAPTAAPTAAAV LEDRQYYGSVPPSYISAQHPTVIYTQGPAVTDTVTTTVFPPASGVSSATSSATVIPVV GETHNHDGGLSGGAIAGIVIGVIAFLILLALLFWWLGACGRRRRRDDHYYHEDVISSS ASSSSGGGGGGGGAAYISRQTRRTERVYGSSGAAAGMRGGGMSETDSSVSSVSMCSCG CGAVAGACKREQRRHSRHRSGSKHVHHYRKVSRHESRHRSASRHEGRGRSVGASESEM RETPRVYRYTSERESR NEUTE1DRAFT_123708 MTFTLTNTILSLVSLFISLTSAAPIAGGFGGFGRNAVAGGFGGF GRNAVGGGFGVGFNAAPYGFGFNRAFNNFAAYPYGYGLGFNRINAFPYGMGFGRVNSV DPPTMIKAEGGCSECIKEAPVYVNNVPPPPEPNPAPIIYNEIPAAKPSPPPMVINDIQ SPPPQAPPTIMNNVIPNMEPNVPPTVINNRPPAPEKPMPPMVVNNNVAEDCECDDCGC NPGAAELVQQDVEYVEQPAVAYMPVGSSSVVENVVNDGVAYGGQSIVYP NEUTE1DRAFT_123709 MVFTFPSDFATSDPNFTTLFRLLDDFDTYSREVSGGQEPPRPPR IQHGGGGGRWGRKSRGFSPRFDIRETKDAYELYGEVPGADRDDIHIELTEPNTLLIYG RIEREYDPTPEEEGGAKESKGKEDKGKEKQKAEGEGKAETEAEKKAGGKKGAKQKDDT FVRFFLRERHVGEFGREFAFPGPLEELDIDATLEKGILKVVAPKHQPQKGRKIEVK NEUTE1DRAFT_147808 MPPTGNPFNFARITLLALVEVLIVKKTSYRHVLPFSTTSLVLAI LAINYVFFLAWKLYLYPVYFHPLSKFPAPKVVDLWRVLARFRGKVPPGQLLLELAERT PNDGIIILQGGFGTSMLITKPAPLADILVHHPYDFVKHDAIRNFLRPILGDGLVIVEG EQHKFLRKNTQPAFKFGHIKELYPTMWTKAIEMNHVLKEELREKGNDTSVEINAWAGK VTLDIIGIAAFGRDFRVLERPDHPLVKNYADLLEPGPAKFAYFFLTLTLSRKFVDLFP WEISRRFNRTTSNIRRICAELVRERKAEIEKLGDDQFDILSLLIRSNNFSEAELVDQL LTFLAAGHETTSSAFTWAVYRLAQDGEMQSTLRSELIRALPDFPRFAPGQDIASILEH LPYLNGVMNETLRLYPTVPMTVRTATCDTTVLGHPIKKGTEIMISPWLINRYSDNWPS ASTEFKPERWIDQEGTHIAEDGTEQPTQKPNNTGGVTSNYAQMTFLHGPRSCIGQGFA KAELRCLLAAFVLAFEWELGMDEKDVMPDGVITIKPNNGMHLILRSLHGHQKG NEUTE1DRAFT_139507 MRRAASDDWRDIEERDGGGIFNAERRGDPSDTDDIFAAVKGAAV KRERWEAIAKGEKTFVSVFELERKMLIMPRIVHEPGVSKPTNGRILGKQLFDDECSSS AVLSFPLFLLKILLHYDIADVGWIGIDQSLWPPRTVVDHPHPLFVTPPSPSRLFRISP PLTVAAPFVQIITDFRNPTNVGFYNHVLDTLVSNNRPVPGLIIQGLEGLTHDGRGDSL GTVS NEUTE1DRAFT_102682 MLNPLLYKLDVRSGSSCALPWACQFGRLETLKLVHEAGAPLNQI WASKKPLRELPSNPYRHSPEFYQKVALGLKDDKDNGVEIQDDGWLEISDVDLEGFTHD WEQDLVDQATEEAVEEEQSDEESDTERVVDEGPCEEPYELEEEDPDSEDHFWPEDKDE AGQPLLKMASQDSRYTWRNDFFSLNRRPLKTEDDSLSGRPRRHPLFWWHPIDLAVRFG HKDIIRYLVANGIRVQHGMSRGLCRQRDISYACPNGGCDHHTLPLTTPGRRGHADFKY FVHTLLGLVACNESDEGRDMYHFLREIHGWSRMQMRFAGVMAWLG NEUTE1DRAFT_102683 MPSLTAAPSTEGSTSTPEDSQIDTSTIIYTVSGAIWILVAGSYM TFLMAIMDERLDSTEETGEVVAPRTRFTARILWAMLKGLLWPLVLLWILVESTVTECC IPVCKWCAGGCTYDGTPKTRYCSKKSLVTEKDRIPVTNARIRLKAKRGDAGSRWRIVQ VAPTAADSWKKLQLERLLIDVILTISNYLSILPGRISSAVRHEHARYSIRSKPKMHTT PLTTMAMQYQAALQILQKTANDMSKRRTRTEELVPLEDSVGRTAAKDILSPDSTPPFD TAAADGFALVSSATINASPMNPVYFRVAGTITAGEEPPLMPPCRGPDGVLQCYEIMVG ARFPDTATEHLIHMDACVWATDVHEVSMSEVPKADPQLGNRFIKITKPVTYNANRRRA GEDISKGDRLIRAGMKIRSSHVLPLASVGIKEVAVEPLIRVGVWSTGREIVRSDVDGP TATKRTGTRYTYDADGPYLMAALKEFGAEPHFLGLLPGDDPAVLEKALGETMATDKFD VLVTTGAATPGRSGFVRSVIEKLGGQVHFHGVAMRPGGTAMFASLESTAPNNGLVSFF GLPGDPCAMAACFRFLIVPYLQFVRGEKADEPLMATLELRCSTRQESEPNMDAGAPVE ASMGNDCGPLCMDCFRHGRVTASEDGRRKVVRMTKDQGPGKVYPFTEANCWIHLPHGQ EIVQGAMVPCLSLSACRDEKWQ NEUTE1DRAFT_47203 MAALKLGFMALAPALAAAADIPLWGQCGGIGWQSAGSACTSVAT CTSFNDYYCCSKPVTVSATPTTTNRGSSSTFSTSIKPSSSSTESTGSSTQSSSVPVPT STSAKYLISFGDSYSQTGFDINGSKPSSSNPLGNPPLPGWTASGGLNWVGFLASQLNT SLTLSYNFASGGATTNASLVAPYAPTVLSFVDQVAQFSGSIASRPAYAPWNSENSLVA VWIGVNDVGNSWWMSDSEMDALYGQIMDSYFGRLQVLYDAGARNFALLGAAPIYYTPS TIGQGATTVATQKVAIAKYNSLLASRFDAFLEVNTDVTGKLVDTVAPFEKAIKNPTAY GAPDATCFNEDGVSCLWFNDYHPGVQIQKLVAQAVKSAFTGTFF NEUTE1DRAFT_117702 MVCETKGRQGDDVRQEIEGAGTQSAEIAEEDCDAEYGGWNDLFG LVSCGLPIASDMEGDGLG NEUTE1DRAFT_102685 MYLKYIELSSENLEFYIWFKNYEESYHKGFAARYHKDSHDLVKT ASESGSVSSSVFKKSHYQPSDGEGDGDLEPATTAGETLHRISMLITASAPCTSSVAHP SYRPRGPCAPSSNAIFPTATFFNNFNNSQTAVLASDPTPDPADPANKSTTLGLLAPQP GPIPPSEIQTIITLFLLPSSSKELNIPMSLRRSALDGLAATPQGTLPDPNILRPIADH VYYLLRSCSHRNFVRLGRCKEQVGEFRRVWVLDGGDEFGVMRAEGQLFLSVAVLKEAE VAVGEIE NEUTE1DRAFT_48013 MCAARGQKGLLDSMCSSSHLPLTLGSQICVWTVEDERANVKIIG NQILMVITSIIGLMLAKHGVGTAIVEGQEIIVEPEVMHALLFWTSNLLLFGINAIAFS KVSWFITLIRLVTKRWQKVVLWVLMVFSTAALFVASTFGYYQCHFLPDGSWNTPATSD HRCLDNWVAIKISLVTSIYSTVLEFALAAVPAYVVWNLPLQRSTKMGIICATSVGFV NEUTE1DRAFT_102687 MADHQPTQALSVEGNSSNIDSSDISASLSTDSTQDGQTDDGSDQ DSVTSDLSTQDYTVSLKDDLRKALDDVKVAGSFASFRKLLRSPPAGLYVDGVGDVAMP LGETQARQLIAKARQAPYGRGSETIVDTSVRNTWEIDAAQFSFNNQRWPGYVRQLCSH VAADLGIKSPIRAEIYKMLIYERGAMFKSHTDTEKIPGQFGTLVVALPSAHKGGDVVV KHCGEQKTFKTSEHGQSFICWYSDVHHKVCPVESGYRWVLTYNLALDPNAVRPSAGLV RSETEALCHTLREWLQEPAESRQNGLYYVLDHDYTEASIKLNNLKTRDLAIGQVLNNL SKELDFEVFFALLEKEEFGEAEVDHSRFYNVYQELIEVDYRVKTVRDMFGNPVVDGLA LDPEEEILQNDGELFADDDPEQEYEGVVGNSGPKVTHWYRSTAAMDLAKRSTTTGDAR STIWPKNPLIDGNDLMSILKVAIELGDYDSFDDACLDQNAVIPTSFFTWTKQWLKRSN TDSSFKDISELAALTNLIPKPEDADCSASLRDEISTWVHGKLEECLGDLLMKEKEVSA DVVPRDDGFAIYRTIAKQFLASVDFSKVKPKGGIKPEHGNKRQRTSYDGGNKRPPKKQ LLRTVASNTLVKFFETLVKISTPDDDLATQFITNLNDDVPRLPVDHMHNLWLPFLWCL IPILTANAIPLTTPVYQSLYRSMLTRYIKEYVRTQPAPSNSLVRPPVNCPHVHCPDCN ALNFFLQSPTEEVERFDVGKKARRHLHEMLDERGIDCTHVSERGTTPNTLVVTKTFTQ EEQPMKEWKEKKEYAASRLRIFETYSQAMPELRVLLGEQFDRIMNMEDVYPNPAGARR TAPRPLASASGIVMGRSRVGASGSSRSTTAPRPVAGVKRSRSVSLEDGVETVDLTED NEUTE1DRAFT_102688 MNSTMVSPYPQTPSRTYLRRSTSTIGSFATALSYLTPTEDDISL RRSSSSDIPTVPAPDHSLTSPPSCPPSETDTDTQGVISFILMAFNADDIEYGPKTLDD FLRGDNPVQRAINFYRYVQMKKFSLCLSVIKATLSMALYGYAMIWFVELRDVWRKLDL EDHESREGWFVWLHLINAFFFIVTVYRFIRAISRLHGAPYEKHTFLDFTFVMAPDGTK RPVAIPARLFLTTLTTTFCPCTNSTIFIIHTTVMATTFTQPTRRIIMDIIYTTTMPTA SVQPTMYTIMNTTTLTYGKAFLVVELSRFLYLPTFRNNAPDRTRKEDSSAVRGSYKCT IK NEUTE1DRAFT_131058 MPRSVARLSTHDEREEDASEDHQSLLFMPPTPLEGPSAASSTYW PSTSSSSPSSSDDDDDANDNDPSSLRNNDRQKRTHWTPIILIQLMIFLSAMSVVISFA PRTRLFEDIICRKYYAIHNGTTDSGITSAGTLLSMTTNLDLSQAQAPSESQCKIAPVQ DALAELFGWQIFFDGIPGLLLAMYYGVLADKKGRSPVLFRSLLGQVLAAGWVLYVAWR CSSASALSSAGSDAGSNSDNSDTGMIDGWAHLLSLKATWLSSYFLIIGGGSTVTSAVG MMIVTDATTEENRSRVFFAALSTLITAELLGPAASTLMMQRWGLWVPLGVGFGCLVMS AILTANMPETMHLKRARSGAGTDSEALVCGDDDYDDDTEASVINGVNLRKNGDVNNSA IQVYRHAAHTLAYIFHHPSILFVVLAFLVADYSRESLVMLMQFVSTRYHIPIAQANLL NSFRAFTQLFIVTILLPSVDYLITHRLRVPALRKDLILSRISFCFVTACFGVLVWAPS LRYVFVSLTLYTLGSGFYPFGRSLLASLVEPDMIGILFTTLAMMDTAGSLMAGPAVAW TFSWSLGLEGLWRGLPYLVSCVLCAVATIFLVFGVKAERPVSSDETTLGRKGPKGKGK AKRKRRGKGKGKGVARVAVRALRDVEEEEDQEEGETKPLLAGQ NEUTE1DRAFT_66716 MADIMFDPEYFQTIQPVVALKASLPPPAPITDIDSLRTNSADFE LVLASIPDSPDITETTYQIPSKDGTLITLYRFTPAAAAAATPGPRAVVLYHHGGGFVQ NTVAQFRKEIKRYAHGSGLTFYAAEYRVAPEHPFPTPFDDCYSVLEWLHANAASEQID PARIALFGVSAGAGLAAAVALKARDDKVSPPIKKLVLAYPMLDDRTYLPEDHPLNPYL MWNGAANRVAWGAYAGADGVKEISPYAAPARAKDLSGMPPTYIDCGELDLFRDEDVEF GARLLKAGVRVELHLYPGVPHAFEMVAVNIRVSKNAVENRLRALDNL NEUTE1DRAFT_85404 MWDYPSESSVVSDRQIGRRSPARDLGTLNFGTPSWKLPKTENRI DFTSHLHYKEKSTLVVVQVPVALSSPLFLSVCSSSLHRASFRATARFGAPAMTDADNQ PSRSARSLLPEPTLSFTLPSLHDGLAIDCRVYHPQSLDPSPSSPPWRKHAAVFAHPYA PLGGCYDDPVVDIAAGTLLKLGFLVGTFNFRGAQGSAGRTSWTAKAEHADYQTFIGFL SYYVHFLDPFRTHIFDSVPTTTPIAEAPDYIGAHGGSGPITHSASRDTTRSPLFLMGG YSYGSMITMQLPSLETILRQFDTPACTSHAAEIRLRAQHLAEVQNTTLGSARAAALER QANTPSHSPSGMRFGGEESSRKHHHSRLSFSQDTEEKIRKGVSDLMVKAKGHKRRLSG KDHSFVGGDAAHDTPETKQQPVKDCLPSVPDRVVFRPAYLLVSPLQGLINNLATFSLL PRRPSWKGLTRSLSSPAAAASPTSELGTDSETALSLEEAEAKLVRNPTLAIYGDNDGF VPVRRLRPFPVRVTSGPKADQHIHSRMLSKRLPNQSWL NEUTE1DRAFT_131060 MTDLLVHGPPGIRVSPGGPDGSDCGTQQASPQTLTSALKKRNSK VEKRVTFRPFSKLMRSAGNYVLSWRDGLTESERENKRRIEERMRFLEDVMHNATSADE WETAAKELDHLEGNDAWKLDPSTGDYHPDIIEAKLRDLDHARENGDTREMMYLVRTAL SRDLGGMGNIDLYRHSYVGTKKLIEDYVDSAVKTIGALVDQSTQTLPADMETKDLLEG MLFARQSFGRSALLLSGGATFGMSHIGVIKSLFEANLLPRIISGASAGSIVCSVLCTR KDEEVPDLIRTFPYGDLDVFKGPNDGISDSLRRLLTQGSWADITNLTRVMRSMLGDLT FQEAYNRTRRICNICVSTASIYELPRLLNYITAPNVMIWSAVAASCSVPLVFQAAPLL VKDPTTGAHVPWNPTPQRWIDGSVDNDLPMTRLAEMFNVNHFIVSQVNPHIVPFLSKD DRLYPRNHPGRLRQQKAAAQENNSEWLYYLTTLAKDEAVHRLHFLTEFGIFPGLLTKL RSILSQRYSGDITILPELELQDLPRILKNPTSEFMMRNCLIGERATWPKLSRIRDRLA IELALDQAVHALRARVVFGKSQVDLSRSSGATFGPAIQPTPCFMRPPTSSGEATPGEH QHHRSRHHHRRSSASSVQILASRHRKMYQSHDDDYLTDDQSEEDERLEMSYRRGAHTR PGSITPHARAPVAHTLAKPRLQRSAKSASHMREGRPWSQGHAHPQHAHGHSHSLHQAS HALQQLVQHGSGGDEPPFDFSRPLSPPTSHAGNCSTVGIDEVAGEQNVAHSDADDEIQ SDSA NEUTE1DRAFT_111805 MLLSDLTDLVTSNINPDCRFVYNKHEQQTQSDPEIETEVIMAVT PISGLDGQMKVYSLVDQQDEDGLCTPHAMGQESCFSVPNFQRGIESASGSEVETVEKY RNIAGDEREASPKVAVCASASISANDGDEEQMSHEVTESSGDDERQVLPDAAATNNGP STPFVRLSTPHRRLWYQRLREIARLMTPGPGHRNSENVDSTHGDSHDGLNDNADEFNA DLTDGALFNNGYSDGIPDGSNTDGGVRQPDVILCLPMTSNRVMFAS NEUTE1DRAFT_85409 MGFLNALSPRAIVASVLVLSSLAYDVAARPSPEPKADWVRKGPA RKKMSNHIKRAIEGQSHTRRTEEVPCADVLAKPITAPKPNIWGQLTGEEISSVVDWLF AQEQFNLTVTEEAGPWDNTIQLVEAMWPNKTDALAFIDGDAPAPTKYAHVLLNNRATE NPFYADILVGPLPLDNTTVSWAPLTFPYNKPSGDGKVRNLDADEDIVYEEWIWKISAS IADITLDLWNGTALGLENDTLDIWGIDPLWQDDGRVIRWDGFWALSGDVFDAETLLPL GLYFVSDITGRDPSKWSLEGWLYNGTFYATTEEFREAYWSSCFKKNGPNVPGAWSATD HNGDVPPLDYNHPPTIVAPAGARYGVDHEQKYVEWMDFSFYIGFTRDTGMALYDIRYK GQRILYELGLQEALAHYAGNDPLNSGIAYLDTFYGFGPYAFELVQGYDCPTHATYLNS SFYVSETTHTHINSICMFEFISDFPIQRHTTNRYTSVTKNTYFVVRFVSTVGNYDYSF SYSFFLDGTISVEVRASGYIQSAYFAGNEEYGFRIHDNLSGSMHDHVLNFKADFDILG TNNSVELVTMAPTTVTYPWSKGKARNTMHLERSFVESEDEGRFNWAPNQATQVIVVNE DVRNKHGEFRGYRVLPSTGTVHLTVLNSTNLANAGRWAEYDIQITKYKDTEPKAAHPY NSQDVHDPPIDFSKFFDGDSLRKEDLVLWLNLGMHHLPHTGDLPNTVMTTAHAGVHFM PSNYFEGDESRKTVNQVRINYSDGKTSEVQTFGQKTKAEDYNGTCKLVFEPVKADLWG YTGDIVVRKFPYDPNNPWFQTGSIV NEUTE1DRAFT_102693 MFPHLPNQPPRLIIIGATWGGVSVTDDIRAMVASDDRVTFDMYN LYNVLTPDPAYGAVKTLTVLYQLEGLNNNGEVHLLNIPEHTAVVSIWADKHALAEQEA VDRTSDFVQTIHRPWRTGLPYNGSVEILAALYGPESIETPWVLQELAKFFEGRRGQIR MTNAFWKKDTWPGVRKSWTVYFRFADSKRIQVITGMEDGALEVPWALDKCLISVGSVE LSGMWAVKGKERSVAHRAVIGKEKRGEQSSSQGRDKDH NEUTE1DRAFT_147817 MVLRSFSTALFPLFLLSEVSLGLLAGSPRHKHEIRDIPSALTST QIENETTQYYYTDSYSSLPPFWPPRPTPHSSTTTTTSTESNPYPQTDSYTPSSWNFST PSTTWNWTSYSDTSTTAPSNFTTPTTTWNFTVPYTTWSSYSDTWGDHNTYPTPSSPVT DKTTTIFVIKTLTSFTTTVVSRTVKRSTKEPIITSKSFTFKTTPFANLTTFTSPKWTT VPIASLTGTPFCSNAADPQNGVGNHCVCENGETVGVIPFSKGSNASDYQPCAYTTVG NEUTE1DRAFT_102694 MGSPLALWTHLVQTYSPYQIEFWGTLLTQLLFFWIPAVSYTFLD PLFPSFSARHKIQPPFKQPSAAEIRHCALIVLRNQCFNILSSLALTTLAIRSGQGSRF HISASPPDFWSEMAWQIPLCIMARESIFYYIHRLLHTPRFYKMIHKKHHEFTAPTALS AQYAHPIEHIFANTLPIAIPAMVLGVHILTFWTYLAIMLVETATVHSGYDFKLFGVLE MARDHDAHHELFNVELGAIPGLMDKLHGTDLRMPGSSACPYSTLQYCVCKLHVKDDDD SI NEUTE1DRAFT_46919 MSLQGEQDTMVSILQAATTSTAAKLRTPSPDSQDIDLLALAANA CRVRRYRAEEPTGSTAKMTCQKVCPVYTYEGHVAVAGQFVPKTAGFRDLGVSPSQPLW AKWQKPAAIWVCWPNDLLNSLGYTNL NEUTE1DRAFT_85415 MALRTILVTGATGQQGGAVVRALLNHRNFDASSLKILALTRNAS SPSAQHLADSSKGIVQLIQGDSTNPKPIFDAQPKGSIDSLFVVTTMGKQPEEQQAIPL IDTAVEHGVKQVIFSSVDRGGDVKSWDNPCPEIPHFVAKHNIELHIRNKAERDPSQFS WTILRPVAFMDNFNPGIFCKLFSAMWRAALKPTTKLQLTGVRDIGLFAAEAFYNSTEY DGKAVGIAGDELTLDEAREKFKKVTGKELPETFTFLGTLMLWMVKEMGAMFAFFENQG YGVDIEATKKIVPTEDFETWLKESSKWK NEUTE1DRAFT_85416 MASAKSILDQILHRYTLHRDSPTSTKDKLHGAAFLVVDKSGPIY AGAAGHTSLPINASTPAFSTDSFLWVASLTKLLTTICLMQLVQQGKLHLDEDVREKLP ELTKWGILRGFEEEEKKGEEQGKKAILEEITKPITARQLLTHSVGLSYDLGHPLLERW AKEVGKKGNTNSMTVEGWTTPLLFSPGEGWVYGTGLDWAGVLLERMTTTTTTTTLGRY MRENIFAPLGMECSTLKPATELLENPEKRIKDKLVRMALRDAKTGELSLGELPISTAW DPKAESGGAGLWTTAEEYGKVLAAVLRAASGSEEGERELGLTKETVDQMFSPQLNEKG LEMIKEMGRAFHAGIMPEFPEGFDAVDHGLGGLLNMEDVEGKRRKGSMMWHGYCNSHW WIDRETGIGACLLVEQFPFADPVVIQLYDDLERAVYGELVPEWKKITAAVV NEUTE1DRAFT_147820 MESTENRVGRGTWARYKLAQAQFTSWVKQTADKVVSRKQNGTTP EVQTEVVETTPAPGKESRRQKKARAKATVTPLADPRLETNIESAKSVHWTELEVLALK IAQNAEPEQIPDAAVNILRDVVNLRKKSFKFFSGAAAKRPADRKLQESNQTHAHIINV LERILAKFEALTSARRKVTKNEKPDEKNPMGLSDLINMFTHLEVQTSPDAAEDDNQGD PPSEDDQKSTRSKKSHKSKKKKPRKERHSETKVSPRPSTTDNNDDTSWLDTFDWGLPS ADEDDEDDEFDFYMMVYCFFEDFNTIRNYVQERWCDYWYDRSVHLNTLAVITNAAFEL FHMLEWDLMKALKFHPELTQYTFMMDMLFIQIGIEHIDYDSYDGLSQEEHDERLWRDE ADWLGFLSYCTIYRTLKNVPPGKVPMLPPSARKKVVYGAHNMKTWQDFENTISFQMIT EGSLLKALKKNGQVPPKLPAEPLLLLDWQEALKRHAITSSLIFSFHLWVDIRHIMEEE VINPFNEMQKTGQRVVDALRLHDPNSVSKDKAFKWEYRERIQDTTEFLLEDFTYEDKL LRFRQIGIAEDPEEFFLLKNEPVWAGLLDFRARLVHSEMGHQFAAFTYVLEAAAYLYH AARAADPTLPVWDNMFKLNETYLDDSIFKSGFLGVKDPVAIIHNYQLAIKPPVGWDGP PDLASRSGFAQSVKIRRTLYYRYGFAEEDSYNEIAYMEHLAMHRLQLERYRGTPRTLV GSTRESNGLLDGEGVNGTTTGAYSVAPPKLDEKSEEYLRRKAVLSKLSPIEMLQLVGD SVQTLLEGLLQLDYLQLFDEATLFLEEVMDTFGPEMQQRVNYKGGEDHPPARLDKLPT YLGEDLKAVAEAGNGKESEIISKLVDGCREFLEQMQSATSSDTSAATEEVCSQ NEUTE1DRAFT_66736 MGSNDVPPAHCPSWVVPTSTALLGIGVLFWDATYILMTRRALAT KTYGMPLLALALNVSWELVYAIYVSEMILEKLGFAFWLLLDIGLIYTTVRFGPEAWRH TNPWVGRNIGWLFALMTAVGCVGHYAFAAWWMSEPHRGSGDKTGKFWAGQDAYDATEV AFWSAAVCQLAGSAGSLAMLITRGHSGGTSYLIWLCRALGTLIGLVFANVFLWWFWPE AHGFVFSPFAVFLWGTSLVCDILYPFVLWQVRRSEVLLSDGTLAMSSAGQATLAAPGN HEKKKQ NEUTE1DRAFT_117706 MANTNSASHASRGQPVVAYMDTNVYSPIHSVFHMPAILTGTVVA FYSRRAGTS NEUTE1DRAFT_47920 MIFEVCLSAWCSSVLCNNSSKAPREEKKRKEKRTSTEILPFLSD LSADMVLIYLAESLELQQLVRW NEUTE1DRAFT_102700 MSVDSSEADTAKFPRIHVFDSAQGKAVPSDRLHPDIVLAATGSY AYIVSTKQASHRDGIEWKILRLPDRRVTSSVHTLSRHRLPSIVPRQS NEUTE1DRAFT_102701 MCDYTQREYSCGHFRWIASKWCRDYTITHKRCQPNVTHFEYRQE ELCGECKPKTYPPWESMIKRTSKQQTYL NEUTE1DRAFT_102702 MATLMRIYTPKRIGGKKQASRHKFPQTLTIHIHFGTDTCENFLT VGRPVARAKPFRNRITRLAYIASLNSMQTALGVPSSSCCHLRSQLTSLAFG NEUTE1DRAFT_85424 MSGAPNSHAQAVYASWARLRHLRESKQPIEVSGSSLTIADVVAV SLHGAKAHLSDDTRQVDRSIALLEERIQAGDVIYGVNTGFGGSADTRTDAGSEPLMRL QGALVQHLNVGILIHADKGRDGSNSWAGKPYDNELLRSHALPNPVVRATMLIRCNSLM RGHSGVRPLIMENIMKLLNRDMVPIIPLRGSISASGDLSTLSYIAGALEGNTDIYLKT RKPGNRTEILPADKALSLAGLEPVRFQVKEGLGITNGTAPSCATASIAIQEANQLAVL VQLLTAMGTEALAGTAANYHPFISSVRPHPGEAEAASNILAFLAGSKIAAPCEAHPES EGEPAKVRGLAQDRYALRTAPQWIGPQLEDLDLATKQVQTELNSTTDNPLIDPTSGLI HHGGNFQAMALTSAMEKTLLALQNLGRLLYAQSLELLNNMTNKGLPPNLSADEPSQSY TCKGFDVNMAAYMAELAYLAKPISPHVQVAEMNNQSVNSMALVAARYALEAVEVVNLM EATYIYVLCQALDLRVLQLKFREALSVRLRDLVLSDVARQKSPKSKEEEHNGLCEDDI TKSQRLASKLAECILDQWDKLASLDVEERASVATKQSALDALELLHSEREYDRTTLSI SDLQAYNLKTARVVTDCYNDHRNTLLEGRQDTRRWLSGGSTVVYDFVRKELKIPLNRG VADHPPLLKEELTRLKEAIRNEAGTRAKEMEEGNDLRSRNRILGSMASDIYEAIRSGE LHSRIMAFGRDSKMWGEASSS NEUTE1DRAFT_102704 MALPAFLARLLSHFSSNKASTTEKKVRVASNSSSTTTSSIQATP NPAANMPGVTAPSGTSKYDQIPGPLGIQSAQLGGKVALVTGAGRGIGREMALELGRRG AKVVVNYANSDISANEVVQAIKKSGSDAVAIKANVSDVSQIERLFREAKQRFGKLDIV CSNSGVVSFGHIKDVTPEEYDRVFNINTRGQFFVAREAYKNLEVGGRLILMGSITGQA KAVPKHTVYSASKGAIETFVRCMAIDFGDKKITVNAVAPGGIKTDMYHAVCREYIPGG DKLDNEGVDEYAAGWSPLHRVGLPIDIARVACFLASQDGEWINGKVLGVDGGACM NEUTE1DRAFT_131069 MKTLGLFALSGAALLQGVSAACCRSNKCLKAVALADTGLADCSA NLGTVTVTSTAAATTSTQTVTTTVDQVTEFTSTTLDLFTETTTETALTETLFYTETVT STGPVQTDTVTRTLTQTLTTTSTELAPGVTSTATYYLAEPTVFKVKARRTVVAAPSTL PEYASADCADWTKYVKACKCVGVELAPVTVTVSAAVETEIVTVTVPGEEVTVTVPMTV SSTQTAIVSATATEASTVTETVTDVPDSVTITETVSATSTVTVITTSTPSTVVPLTCQ PTGYNFLVSTPYTSATSGVRSLWLWGVQNQVLWVGYGGTGVPSQLAASWTLDSNGSVQ WHGTNSILYVLTGSSAASVQVKLGDRTSVDAGVAAGSMARIKGCVDANTGQFTVSADG RHNLVQCGSGIFISSGNGSDAGSTCVQATPTLQETWTVCQTTSLDLTSTSGSACLTSL IFGWSPSWRDGRVPARKKRGNPKYSLQKSDSYNRITRSLYCAVQLYKGTSGSLLPYIL Y NEUTE1DRAFT_85432 MVDASTLNSDPDRLLFAYWVPNVSGGLVVSKIPQNTGWDLKSNV RYARTAESVGFEYALTQIRFAASYSAASQHESVSFSQALLHHTEKLKVIAAILPGPWN PAVVAKQVASIDNYTDGRLAVNIVSGWNKSEFHAIGEWWLDHAERYRRSYEFMKCLRG IWTAPEDEGFTFAGDFYRFRNYKLSPKPIASPHPEIFQGGNSDDAKNNGAEVSDWYFM NGMDLEGFRAQIADVKERAKNFGRENVVKFAVNAFVIVRDTEEEAIRTLQEIQGKADV EAVEAFKKEVQNAGASSSNKQGMWATSTFNDLVQYNDGFKTKLIGTKEQVAERIVLLK SLGVNLMLTGFLNYEEEVEAFGKNVIPLVRELEKRGRGKDEAFEIERTGDVYRK NEUTE1DRAFT_147826 MASSQNNQDTVHPSSDPSIYHEYESKWSTLPSDSTGWIQRAKDV AAVLAPDAAAREKANRTPRAEVALLKHSGLLKILGPKEYGGGEQPWSVAYQAIREVAK GDGSIGMLLGYHLLWAFSSSILGTPSQITKWTALITSQNLFVGGAVNPRDSDLVITTD PNDSANIIFNGSKHFTTGAAVSDLIVLEGSLSSSSFPESGGEHIFAYVPTTDPGITFS FNWDAIGLRLTESGSAQISNFVVSWEDALGWDASTKQPLPDVLGVPFATLLLPTIQLV FTNFYLGIALAALDLAAAYTRKSTRPWPYLSGGERETVAPAEKATDEFYILSTYGTHH AHLLAATALVDRANERISGLFAAYAGNHTRDNRGEVTALQRGEISELIAAAKIVVTDV GLKVTSDVFEVTGSRATASKVGLDRFWRDLRTHTLHDPIAYKRREVGRWVLLGEIPTP TWYT NEUTE1DRAFT_32750 PSRARLQLAARLAMNKRNAEAAANGGQEGGSAQADNSTGSGTFS LPDESASDRLRNPFEDEEEDEDEDNDGNGSGSDDGELDNTAHNGLGGSTWNRGSWWRG MVPGGQKNKSRGDSQDAERFGDGRDSESDDGADGGDVVDDIDDEEFGDFAMPEVEGNA QDSEQSPTSGRTVSGIDPAREKILVKPLPVHPSKSGSPFGNLWPFSTPGFGKKDEREV PTSSTGEQGSERQKENASPRSGVPITEEPEELDAVMEDLVIGEDGKKINRAVEAKRRT SIEDPDDDEAEEIFVSRPTG NEUTE1DRAFT_139538 MFWRFGGYAVASTIDNILDRGDFALEELLDESDLIQELKQHNAK LVEYLRDQDVLKRLLEYVVAPKLEPVAAAENEDDAEDENQRGISLPFTRPRASSRATD ASNDDSAVEKKRNRYAFVAAEILSSDNWSIFEALMESKDLLREFWQFLKRPAPLDPLQ ASYFTKVNESLFDRKTEEMIDLLKSLDNAVPDMLKHVDCPMVMDLLLRIISLERTEAG HGIVEWLYTQDVMPSLLSFLGPEHGWATQTSAADFIKAIITVSANASQNEQTCIGPNE LTRQLVSRPCVEQLINYMLGGGNPLTCGVGIVIEVIRKNNSDYDPEATEPNSTPSARD PIYLGTLLRMFADHIPDFMRLIMNAPAQKERFTSTFGDHIEPLGFDRFKTCELMAELL HCSNMGLLNEVGAEEVIAQRDAQRQLLRTQGRLVPVREQEPPSAAEDITMRAAHSSPA DTGRKLGVTNTSVDDDGFEEVTHTTDEDITHGIEELPEGSLPGPTSSFLDKDEDDFVD EPLSSPRLRVRDHHNPMEDTAPIKFDDPNLVVAPLSPTKKASVPIRTASASEGQNDDG NKTEETTANKEGSDSDLKPSEDAQLELRGGSDKPVAPEEMSPHPDDTPAPLFSTSART TDLSEPSQDAISKEEQAETSQMSTGGEQSDPDATIAHDASQADKKHEPVVGDYLKMQF VEYKVVPTILSFFFSYPWNNFLHNVVYDIVQQVFNGPMKDSYNHKLAISLFETADITN QIIGGQLASEKSENERKTRMGYMGHLTLIAEEVVKFTERHETEVLTESILEKVMARDW IEYVEGPLSATRTRDNAVLGGVRPESLMGRSGSGMSGVGLSALGSLGLSGGSNALAEA GLNGGQDVADSSGGNGIGPFAISAGTLMSGFGSSSDEDEDEEQDNEEDVNNEVGYSDY VSSLLPPHDMFPLGSSEPLIPSKCDHGSFVDGEELAEAHHVKDVDFEVDVEHNEPFEE QDYEDDPSSYGLDHEDFEEGLADFDGCSPALARKAETFAQRPPTQTNSPPPVRSSEAL SPVRPQFSTQENPIEPAWGVDEIDAPLYDDFEGQWTRPEPSPTDALELNHFLSQINRM IHFPPPSPSRTEDTDQI NEUTE1DRAFT_123728 MTLPTESDSAVTRLIIVFTLLASLAGITLGFRYYCKHRYAKQLG VDDLLLGVSYLILTAAAICTAVATRHGFGQHIWTIPRVTEAITAARIVFIGGAFVFFG IALAKTSICLTLYNIARRKWQRWALVFVAASVLRGQQ NEUTE1DRAFT_85442 MPLPAGVYRADHVGSFLRPKAILETREKVESQAATAEDLRKVED EHIATVVWEQIENGLQSVTDGEYRRAWFHIDFLQHLAGVEKRGHVTSTNVTSHGMTPP RLVVVGKLGHPNPIQVDDFNYVNKQVEAVKASSGASQTVTAKVCIPSPTMVYFRGGRD AIDADAYPTLEPLFDDIAKAYQAELDDLYAAGCRFVQLDDTNLAYLCDEGMSKEAAQR HGKTPAELTQQCVDIINASISKRPKDMTIGIHLCRGNFRSQWFAQGGYEPVAETLFKG LNVDVYFLEFDDARSGDFSPLRHLPEDKIVVLGVMSSKKAELDDKEAMIERLKEAAGY CPRGLDQLCLSHQCGFSSTKEGNDLTVEEQWAKVRLEVEIAKQVWGDDLSQ NEUTE1DRAFT_66764 MSSAHEKETAPTAAHIGLAHTQDVERIEAPVTWKAYLICAFASF GGIFFGYDSGYINGVLGSQIFINAVEGTNPIVKQLSESHQSLVVSILSCGTFFGALIA GDLADMMGRKWTVILGCLIYLIGCVIQMITGLGDALGAIVAGRLIAGIGVGFESAVVI LYMSEICPRKVRGALVAGYQFCITIGLMLASCVVYATQDRKDTGAYRIPIAIQFIWAL ILAGGLMCLPDSPRYFVKKGNLAAATNSLSRLRGQDPNSEYIQVELAEIVANEEYERQ LIPSTTWFGSWANCFKGSLWKANSNLRKTILGTSLQMMQQWTGVNFIFYYSTPFLKST GAISNTFLISMVFTIINVFSTPISFWTVERFGRRTILFWGALGMLICQFLVAIIGVTV GFNKTHMGANGESMANNVSAVNAQIAFIAIFIFFFASTWGPGAWILIGEIFPLPIRSR GVGLSTASNWLWNTIIAVITPYMVGEKRGDLKSSVFFVWGGLCTCAFVYTYFLVPETK GLSLEQVDKMMEETTPRTSAKWKPSTTFAHAAEDGMLNVPAEKQV NEUTE1DRAFT_28177 ETGDNPDDDNDAPLSNTHPAYHAPTASSYQPSLTAYQLQVKPSS MSSSHKEPPKNPDKDISQ NEUTE1DRAFT_102712 MLLRHQNSFQGILNTIVTLNADKYRGRCATHRNAGSGYSEPRPF AAKLQGATGHEQVSSGPDGQFKALALTHTTMELLGSKGVEESRNSPLQDDKTKSVAMA RQRLPLAGTIQN NEUTE1DRAFT_46631 MIHPEQVADMLRPTTSTTSSHVPGPVPTVIPTPTEYQTLGETGH RTLWVTFALMVLSSGIFALLSWNVPTSKRLFHVITTLITVVASLSYFAMATGHATTFN CSTAWDHHKHVPDTSHQVCRQVFWGRYVDWALTTPLLLLELCLLAGVDGAHTLMAIVA DVIMVLCGLFAALGEGGNTAQKWGWYTIGCFSYLFVIWHVALHGSRTVTAKGRGVSRL FTGLALFALLLWTAYPIIWGIAGGARRTNVDTEILIYTVLDLLAKPVFGFWLLLSHRA MPETNIDLAGYWSHGLATEGRIRIGEED NEUTE1DRAFT_47616 MDSAVEMRTHKMTTRSLATAQEQQPSSRKARSQRLNQRLRKKFH QFSNFPYEIRLMIWEEFLNDYEDNPSVAWTLIWGMDDPDDHSDPTNIRLRPYIFIQGH CQNDRLVEYNSNPLLRVSKEARIAALRNQRYVSIRAWDLDMNIIVRPSMDYFYIDSIT CRAMFKFRLQDFTEEYGNPTTFTLAEDMSFVKHAFVRDLDFFKVDKDKECFNIHKNAP AAICTWCALDKLFNNCLGTTQTIVTILYDYEDVLDDTIDWEDRLDFGSRLFSGSRLWP LWAQYSYLCGEFITDEDCTIGWELVWEELTGPDADQALQDLPFSGYWCHICNEPVPGD TDPDLMYERVRQSLRSAKTRKVRPRRPVRSLPHGRSVLLEDSGLALRRQPPRDTIELR TTCVAECENGVHEYPARTGGPPAGGDGVEGGDDNEGSDAWLNWRLVNEGIHYGETSDE DDNMDDDTDAESNQEEDDQSEGSLDD NEUTE1DRAFT_139544 MLSTLLVVGDFAIVYTIGIMCHLFELFLYLVTGETTLPQRDSSS GFESSSNNGSNSRSASNINMAANSKRGIFSSSALIRTIKISRGG NEUTE1DRAFT_47093 MTSSSAAKSPLPPLSGFSMFLIRLTFLLSLGLIAAIAADLAHIL TAASNFGVPVSDEEPILKEHPKGDKTPKRKQVHFAEGTKPPIPDKRPEVVSRVAEPKA AMSEALDQSRRLLNSGRTLHARFQAFRLSEANRRAELEKAGGKPRQSGDLGRVRSVTF RATRPGSLDRR NEUTE1DRAFT_123731 MNTTQHEREPAPSYRQSFDTLAHIPADPNSSEFRASRIDNFWIK VFPPTPKCYICQDKPEAANLLSRPGCDHKICGKCLIKIFERAVNDKKCMPPSFCSHGE VSPDMAPGLFDDVFLAQWNEKYFEYLEQTVST NEUTE1DRAFT_147833 MPPRKMVADATIKTEIADAVRRIYHGPQELTVNAVREAVEKKLK LGEGFLKDGDWKAKSKQLVMDTLAKIESDEAEPDEAAPAKPNTQKSKNNAKAKAPAKK RAKKEATPSQESESELSDPDDSEEEEFDDDESEGEPAKKRKATKVTGKKRKGSSDDND DEEEAKESESERPKKRAKPAAKATKDKPTKKTAAAKKKVEASDAESLLSEIDEAGLED KDESSELSDAMDVETPKEKDTKDEVTKVEKETKSASDDESELSEVIDEPPKRKAKPKK GAAKDSSETKPTNAPPADKDDGESSMSEVFDEPPKRGGKGRGKSKEAASSTSKGRKAK SVSADLSPDEALIKQLQSQLLKCGIRKIWPIELKKYGDDTKAKIRHLKDMLTDIGMTG RFSESKAKEIKMRRELEAELDAVKDGEKSWGLGDGGRPRRRAAAKVKSLKLESDSEDQ EEENESGDGTDKKEKKEKKKKTKAEKEGSGDEDGDDDDEVEEDDEENDDDEDEDDSED AEPKARVRGPAKHRADFAFLGSESESD NEUTE1DRAFT_85450 MSAINRIRGAFAPPRKGETFELRAGLVSQYAYERKEAIQKTIMA MTLGKDVSALFPDVLKNIATSDLDQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDP NPLIRALAIRTMGCIRVDKMIDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPTMC IENGFLETLQEMIGDPNPMVVANSVQALSEIHETAPETRALVLTPATLKKLLMALNEC TEWGRVTILTTLAEYTAHDVKESEHICERVAPQFQHVNPSVVLAAVKVVFTHMKALNP KTVGAYLKKMAPPLVTLIASAPEVQYVALRNIDLLLQANPDILSKELRVFFCKYNDPP YVKLQKLEIMVRIANDKNFDQLLSELKEYALEVDMDFVRRAVKAIGQVAIKIEAASEK CVNALLDLISTKVNYVVQEVIVVIKDILRKYPGYEGVIPTLCKYIDELDEPNARGALI WIVGEYAEKINNADEILEGFVEGFLEEFTQTQLQILTAVVKLFLKKPSNTQGLVQKVL QQATADNDNPDIRDRAYIYWRLLSGDLDVAKSIILSQKPAITTTVTSLPPVLLEQLLS ELSTLASVYHKPPESFVGKGRFGADEIQRAAIQEQRQNAAENPIAASVAAAAKGGQQN NVENLLDIDFDGGAPASAEHGGGAGTPDRFGSPANVGGLPGAPPQPSGGMADIMGLFD APPPMPSASAATSPAAAGGMGDMMSSFAGMDLSGTSAPPPPGQQLGHPASQTPPKSGG GSEDLLGLF NEUTE1DRAFT_66770 MTESRSFALRNTQPLTRSNLWISRLSFLALHALYCATATHAATT TVWATPHDSYSSSIGVLGCKVDTDRIAYWPGSVDCNNLCVSLSYGDRTVKLLRVDQSQ GAHDISYDAWNYLVTGHGANDRPAVGGPIEMQAEELDASECKDLIHTEGHKLPLSAAN SMNFLASCLDHKDGSWVGENHILYNILDSICTWGYDEPCELNWPSENQAQCKHALGTP NPLKDDPVYDIKYPSGNKVIAGSGEVVKTGGTPWGDVGGTGQENAAAGGLIGSSSATL LIAYVNVQPDAAAP NEUTE1DRAFT_46478 MTPLPNRVPLTTILSLLAFLTTTTPTLASQKSQHPLTSSNPSST TVSKPDLNWHNTPGLPFIINTWGGIFTSATDSAFLNLTSPPPPDSNPRLDVINAIVAG CSTCERLQCDGTVGHGGSPDENCETTLDALLMDGDTLDSGAVANLRRVRDAIAVAKRV LLYTRHSLLTGDQATEFARENGFTEEDLGTEESRGVCEDWKREGCKKGSYRRNVVVVE GKGEGEGGGCGPFVPVPEKDLGVDGGLGESNNQFGEKRGGHDTISMIAIGKNGRMAAG SSTNGASHKIPGRVGDGPIVGSGACGKLEKGYDPDRGG NEUTE1DRAFT_123735 MLSSKDPTENEIISPISPGEPSVYHAHDHGYYDGEPLPTHSSFK SKLHKLIPSRDTYWGSLTYNLLAFLLPALYQTLSKLWIAQIDSSSVVLTDIYTYISTI AEVLNEGLPRIAWLIIGNSAAYSLTTRLSLSYTLIVFQTAMGLLMTVIFIGAAESFAA GFVPPEVRNKSLSYVRVSAAVALTSAVQTSVASCTRAMDWPDVPLVVSSVGFAVNIAL DMLFLSWFHVGWTGYKPTVLAQAGIRLACDVAAAGVGLGYFVWVARRKVREEEESQRQ RGDAPVRNAIYLWLVNRIIGLGADYATAWGVFNTIRWGLVMVPVQALETSALTFVGHQ WGVWKKDKEGISKPAASWVEIKRISRPAVNSALVSLAVEIPICIFLSLWGMKEFAFYI SNSERVADITKKMWQNIDWCYIFYALNYQLSSILLATVPRWFLYQSLWSNLLWSLPWA IVVTVMKFKKEQAWTFYSVIFGGANVFSFIVVVLVLGAWVWRLHNGMIRV NEUTE1DRAFT_66775 MTSTKPAAFSRRGSPSGNGIENGEGRGKDEWKGHSKRLCSDWLV SYESNFSVAKDREWFTTYTPFDSYVLDHKGVLMEVYGIGRVTLPVECSDRSDDNPTQG AEIILQDVLHVPKAVCNLVSRHDSALGRQELWTLPSEKDPYKRGVFQHQHRNGSKSNE PGPGLGGGVDVCVYMLPNSGSLCLLDLDMDRPPTGYALAHTSFPVYTDRNGNGSPKML MDTYSGDLIPLTWPDNQRERWKRKKETGDDNCSRTIATNTGPRIQNSELVKRLMDQRA GLISQLGSLDMLIDDLLQGVTPAAAASVNNNADTTRPITTTGSTSMASSPSIVTPAAT ASVDNSETTPTPADTSTTPSIPGAAVDHSGVCCHGEEEDNTQATEELVRTAIEEEAKA EEDAQWETQSEEELGTMVADDKFSEWYEEVKDQIMAEYDDIEDEVMAEYEDETEDEDD GGVTGQEEIAASSDSEAGKAYSPNWVPFSSNGLKVAAKSDSAAGKANSPNWVPLSNIG LKVECNSISGRYTGHVIVTLPGGCKTKTWSYDKVKKKKLSEEDKAWFRAMSKYTPHFF KSIGYNADTDTWEPERGGPEDRAHARTLLKWLRYHARPPLSHGGEIRIDLDAGVLDDH GLKAAKERLRVLRAAGRDPSPEARQELNFIHETTLRLRSELNMSYKDAPDARIWSYNE IFGKY NEUTE1DRAFT_66778 MPGVIQPTGSGRLLLISNRLPITIKRADDGQYTFSMSSGGLVTG LSGLAKSTKFQWYGWPGLEVPEAETAGMKQRLKEEYDAIPVFVDDELADRHYNGFANS ILWPLFHYHPGEITFDESAWAAYREVNRLFAKEVAKDVQDGDMVWVHDYHMMLLPQML REEIGDTKKNVKIGFFLHTPFPSSEIYRILPVREALLLGILDCDLIGFHTYDYARHFL SSCSRILQTPTTPNGVDYNGKFVTVGAFPIGIDPEKFVEGLKKQNVQQRIAALTRKFE GVKLIVGVDRLDYIKGVPQKLHALEVFLTEHPEWIGKIVLVQVAVPSRQDVEEYQNLR AVVNELVGRINGKFGTVEFMPIHFLHQSVSFDELTALYAVSDVCLVSSTRDGMNLVSY EYIATQRERHGVMILSEFTGAAQSLNGSLIVNPWNTEELANAIHDAVTMSPEQREANY RKLERYVFKYTSAWWGQSFVAELTRISAEAEKNADAQKEQHPIREGIANAANKAIEGV TDALKSTNLTGNQES NEUTE1DRAFT_117711 MPSDLKDKNGEPIHVGDHVFARSRGGRHEGEVEKIVTTKEEAEK EGVKHPPKALFTDQHGHHVEHNPEALQHGEYAPGK NEUTE1DRAFT_123738 MPERRGRGRGSDRDRYHHDDDYSDLSDYDRPSRSTTAPPKRHRS ISRRALDRLSSTFGNLGLNKDKDVRPRSTGRHRDGGHGDDSDDDTDYSTNYTHTHNNN NHNKKRSSRSRHHSPDRDHDRGSGTRSSRYPPRANLNRQRSYSYSPERYESTRRGRSS HRSGTGYSGRDPRSRSRWGRSIDAALDAAAIEAIRVRKEPGPWTGRKGSRVLTAAMAA AAVGAATDHRKVNGDGREDTKGKIGSALGGLVINRMLNGPKKDLKRDLRY NEUTE1DRAFT_102725 MCTFCYTPYIGCPKGRRHYYLQWLKCKTAIKNGHSYCPIGESTE VRELQKLSGNVLACPFHTHIAIQQIEFEFRQRDEVPSPIDLSEPSSPTTSNTTYVASD DESEIEFNQAGQKSLHRPSSTAVYLDLRFPKPGPIKRHKSATPTKTQTTNIQQSTDRS LNSQSEPNTASICAKSVPGTSSSSSSSSKKGPVISPGLPASPAAYRQSRSQPQTQPHA KLTLKALTEVDESNKELRSTNKLSEPAYHPPSPPPPEIITTLPTPVDQANPSNPDLLT RRLGPSTALTITITKAPKLNIQKITSSKSEPDLKSTPKGLESSNSTTTSTSHTFDSFL TPSGTLQPKSSTTNSSTTTTNTTTTSSSSLRSRSGSLFTRLKDGTTTTLHHSALSRTL SASTTSTNRRRQASATHPKNIAPWDQVLLPASISKPVNLEVGVNDIATREPTNLAADG ITNCALSSETKAIDGYLQGRLGGQGPRTAPLPAEMEVMMSRLESVGVGVGLGIGLAVT RDTDEGKSVSGHKRSCSANMLQGRMGIGLSGLRKRGECS NEUTE1DRAFT_131084 MFVLRGAAKLLFGSGNNQETLVELPQGQLYLVRPLSPKGYSELL FKDASARIRRTAQDFQYQLVVQRVYEEGEAELLAEEEGEDADIDADILAAERDEKTFL LDEALHLRVEQREGGDHVLAWRDLSGDVGDVYEFVCDTAISSSLVDQFELAAKQCQYE RKYRKPNTLATEEDLQQFDFFDEPPIPQASPIHSPALLTRSIDSIDAMPLHSANTSVK RENAATGSSTPAKSTPVKSTPAKKERNYSPPKATVPPEAREVMAAEKGELHFYDFPSG SFVLQADSVTATVSEIGNWKYWLQVSTPDREWLGIPISSEINPVFNPEYLSFIFNQFT DDGSAYSWLLRFKDQPTLDRFQEGLTSALWEQQNEMKWGKIKETEQNYVLDAFNDLVL EDQPVEEEEEEEEFEDADDDGPQSEHYDTDEEEDDVEYKPKDTDTNKSIAVGYKHDRS FVVRGSKIGVFKHTPSNHLEFSTNISKVETPKGELFSPTKVMLHNEDRNLVLQKDGDP NKLYRMDLEYGKVVDEWKVHDDIPVVTFAPENKFAQMTHEPTFLGISRNALYRIDPRV SGTKLVDSQLKQYASKNDFSALATTEKGYIAVASNKGDIRLFDRLGINAKTHIPALGE PILGLDVSADGRWVLATCRNYLLLVDALQKGGKNEGKLGFEKSFAADSKPQPRRLALT PEHVAQFTHETGKPVNFTPAKFNTGTGTEETSIITATGPYIIEWNLRKVLTGRKTPYL IKRYTDDVKADDFKFGTDKNVIVALPHEVNMVDHTRLKKPTRESIAGDFLDAARRGSS NRVGGPRTPAVQRLKREDIVNSPY NEUTE1DRAFT_131085 MRLPCDLQSAAAALLLFTSPALARRIPDVIEGDNLDDKDTSVRQ VAIIGAGAAGSSAAYHLRKYAAQYNVNVNITIFEKTDHIGGRTLTINPYDDPSLRLEL GASIFIEKNYILNQSVEEFGLRKKDPDVGSDPKMGIWDGEKLVFEIDTSSSWISQLWH IVWKYGIRTPKRTNDLVQETVSKFLRMYEEPYFPFKSLTQRAYDLDLAPATGATGEEF LKANNIYGAYAHDIVQASTRVNYATNIGRLHGLDTMVAMAPAGATAVQGGNWQIFQKM VERSGATIMLNTSVSSISFAKTGSEDTTSARYRLRAIHSSSQEDTSYPVEFDNVILAN PYQFSDINIADGVLETHIDEIPYVRLHVTIFTSPFRYSPGFFGLENSKALPGTVLTTL GKTDNATSGVAGAGSAGFFSISMLRKLVNPKTQKEEYAYKIFSPEKVTPEFLSRLFGV KVPETFIAEPNDQSSAEVSPISWYYPHVFYSYPKAEPRVTFQDPIVGAGFYYTSGMES FISTMETNALMGKNVARLIVDDMRGVESGGVQSHDGSLAPGQQLKMTCDRAGRGAGKG GVRKAGEVPKTRPTELPEMPLVDL NEUTE1DRAFT_66790 MSAAQLLNPKAESRRRGEALKVNITAGEGLQDVLKSNLGPSGTI KMLVDGAGQIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDICGDGTTSVVLLVGEL LKQADRYISEGLHPRIITDGFEIAKTEALKFLDDFKLPREVDRELLLSVARTSLATKL SASLAQSLTADIVDAVLAIYQPPAKPDLHMIEIMTMQHRTASDTQLIRGLALDHGARH PDMPKRVENAYILTLNVSLEYEKSEINSGFFYSSAEQRDKLVESERRFVDAKLKKIVE LKKEVCGNDPTKNFVIINQKGIDPLSLDVLAKNGILALRRAKRRNMERLQLVCGGVAQ NSVDDLSPEVLGWAGLVYEQQLGEEKYTFIEEVKDPKSVTLLIKGPNAHTITQLKDAV RDGLRSVYNMIVDKSVVPGGGAFQVACAAHLKSDAFTKTVKGKAKWGVEAFADALLVI PKTLAANAGLDVQDSLAALQDEQAEGNVVGLDLATGEPMDPTLEGVYDSFRVLRNCVA SSAGIASNLLLCDELLKARQMGRAGGPGPGMDGPDS NEUTE1DRAFT_147844 MAPYDDSSDEGEDLEFTETNVLLGYADADSNGEKVSRLGGRPEW LDEESPASAAFAKCKVCKDYMVLLLQLNAELPDHFPGHERRLYVFSCRRKSCRRKEGS IRAVRGVRVAVDAPTAKEDKKKTTAAVEQEKKEMPKASTLGLGEALFGAKPAASAGGG AKTNPFASGGSGSSVNPFAPRGTAAANPFAPKQQETPKPQEDTTSGQQQQQQQQHEEE QKQAEKDDLPKTFAQTLSLNNTTTTTTQQTQTPAPAPSEPWPTDASALPPPYPERWIW DADYETLDPTPALPPQKIETMDIDTEGASGSGSGGGKEDKDVFESTMDAVFQRFADRV GQNPEQVIRYEFAGQPLLYSKNDAVGKLLHVPAGAANANEKVTTTSSAGKGKIPNCGN CGAGRVFEVQLTPHAIEELECEEDSMDGMDWGTIIVGVCEKDCGPRGIERGVAGYVEE WAGVQWEELSVKR NEUTE1DRAFT_117714 MNGEDPQERPDFIRAIINGLERYNPEAAGTLEAYLTQQCEEKFC DCNANRALLKLYQLNPDRIKDEVITNILVKAMTQFPSPQFDLALHLLSPSQFNPGPNS SSELTEAVSKLRALNAQLEGAEYARFWATLDSDDLYADLTTDIAGFEDMIRVRIAQLV GQSYREIQFPVLESWLGLNNSEATTQFITETCGWKVEGDLVQIPKNADNEARKAEIRE DVNVDMFARVIKRSWEESA NEUTE1DRAFT_85482 MSSPAKKRKLNSGTKQSFSVPSRGLEYFFAKQKQNGASKEPSPL PKESDSQPIQQGSSEEHLNDEELARKLQAEWDAEARASSTPRENDVRSQDVAVSATEP VDTDGVEPTNESNPQTVTAPPKADRPKGKNTLSLSSAAATEDQASASIPLDESPLTFN PSKYIHQLQESWATDRGDASYALLIRCFVLVSSTTSRIKIVDTLVNCVRLLIEGDPSS LLPAVWLATNAISPPYVSMELGLGGSAISKALKQVCGLDNRSLKALNDKLGDPGDVAF EAKKKQSFTLRKPKPLTIKGVFQSLVKISKTQGQGSGDVKQRIVDKLLQDARGGEESR YVVRTLCQHVTVKTTMLIALARAFLLSKPPGANIPTKDPNQLARLKKEELAEVYAKAE EIVKASYARHPDYNDLVPVLLEIGVSEELLVRCGLTLHIPLRPMLGGITRDLSEMLSR LQGRDFACEYKYDGQRAQIHCDERGVVSIFSRHLELMTDKYPDLVALVPKIRGEDVQS FIMEGEVVAVDRATGELKNFQTLTNRARKDVAIGTITIDVCLFAFDLMYLNGQPLLNR SFRERRDMLRSLFVEIPHHFTWVKSIDATSQESETVLEFFKSAVDSKCEGIMVKILDN LPELEALGPDDNTNSIIPKEQKQSRRKPLLATYEPDKRLDSWLKVKKDYIGTSAGVDT LDLIPIAAWHGTGRKAKWWSPILLAVRNEETGSLEAVCKCMSGFTDSFYKANREVYDK DGSFSSDGEPRNVHVAKPGFVEYAGGNPDVWFEPQEVWEVAFADITISPTYTAAIGLV REDKGLSLRFPRFLRKREDKGIEEASTSDFLAGLWRKQEVKTPAPPPVEGGREIDEAG LENEDEDHDEDEDGE NEUTE1DRAFT_66801 MSDATASFIPLGAIIQSLYVAGTNIVLGFPSQKAYESHNACFFG ETIGRVANRVKGAKIDSLNGGQSYTLAANNGPNNLHGGVVGWGKKVWDGPKPVGIREI PAFEGKSDKIDGGESVQFTLVSEDGDEGFPGKVEARVIYTVSKEKGEDGKEKVLLGIE YEAELLDGEVEETVINMTNHSYFNLTGGPSIEGTIVTLATNQYLPVDQGGIPTGGPVP FPNPAVKAGNVPFTLGAAEPDIDDCFIVNEDPSSVPIDTRSLPLKKNLSAFHPESKIH LEVYSTEPAFQFYTGKYIDIPAVDGVPARKPRSGFCLEPSRWVNACNVPEWKGQMLLK KGEKYGCKILYRAWKE NEUTE1DRAFT_46975 MAGPALHLIDLNNCKYEGGLDRLRLSIVLSGLFSEPVYLTERTL HPIGEGTNAEHTTANKILTGLAVGVSSVHYSQRGTIVVLFVPHMLRDKRPSKMTSSTL DGSAAMLFLTVLPYILFCHLGSREFGAYDYYPRDYMFTLKITGGSYVLSSNGPSIIYL QKVFLPNLSLLGIHPRSFVDLSMTKVENRFPTGSQPNLYITIEELELELSINPLVAPL KRRIDLTNRGEIWKVTAYAHCPDYVSSRFKQLLENEMCAAFHYQRGPRDTGTSSHEEN SDPEKSIEVDRIDPRHSLEPTESYIGYELTRALPIEIIHQVDIPKVGDVNTVVSSDEQ ADEFILNLIRDGLRGLRAELLHGHAVDSQQTWGFMSLYQLMAPSYVDWNDGSRRVTAK AFREDYPGLYRKRQVLFGKALD NEUTE1DRAFT_85486 MAKNEVFQYSLVSALMDGVATHGTPISRVLDHGDHGLGTFKSMN GEMIVLDGVCYQMKSDGTIEHIHTPSEVITPFATVTRFNPNVSTRATISNKKDLPALL LKLFPQSKNHFLAIRMDGTFKRIKVRTAGGQCFPREGMLAVAGRQTTNTFEAVKGTII GFRCPAYVMGLNVAGDHLHFIDEERQKGGHILEFETEGDVEVGVSLMSKFHLEVPTED EEFNEASLAHDKDGIEKVEG NEUTE1DRAFT_147849 MVPSLYAVGRILVTALAIIPFAFADLTTTIDPSSNWGTWEGWGT SLAWWAAAFGTRDDLADIFFTTQTTNLDGVSLPGLGFNIARYNAGASSWNSINGTSMV VSPKMIPSRQIEGFWVDWASTSPSSASWNWSADSAQRAMLSKARSRGTNILELFSNSP MWWMCNNHNPSGSDDGSSDNLQSWNYQSHAVYMATVAKYFADHWSTTFTSVDPFNEPS ANWWNGKTGTQEGCHFDVSTQATVLNYLRIELDSRGLSSTVISASDESYYDQAAKTLQ NLSATALGKIARVNVHGYQYGSGRRDSVRSLATQYSKKLWQSEYGEGDATGRDLASNL LLDLRWLWPTAWVYWQALDGGGWGLVDADNDKKTIGQPTQKYFVLAQFARHVKPGMRI LDGGSDYATAAYDAATKKLVIVAVNWGSAQYLNFDLSKFKTAGVDGAVVKRWATQIGA STGKRYVAASDTFMRGTKFWSYFEKEMVQTFEVEDVVL NEUTE1DRAFT_85493 MVSGRPNNDKRAHKRARKEEPHEAAEDSDGAAASSPEALQDQLV PAMQEFDINAILKNNALAAKVDDQAITLQNQATTLNGQAIIIKKQANVLHRFERKLET FAEDPRAAVETANVVQDQIGRYVGPVEKHGNRLNFLEAKQEGSGNFMLACLQRMGEFT SQLKAVRAELAATKQELATTQQELAATNIELVEINVALAATKKELAVVNQHVNAIQTV ALRWAVLMIALKKANIAEEISEAAWLDVPSPSHNYTMSGCFLHWISGNGDNLPMMAVR LAMAAAFGKHLSQFSTAVTELRYTLSSSELDGGPPPPCGDSVWSIFQHGIDIDPERAA LISFWQPANHLEALVGKSPCPAKSMVDPKHQDSMLIWSYDQMQRGTARLGAILDRHNV PADSMILTFIPHGVEWSLVMWAAALKCHTLVCRTVQLLHSSLPDDQQVKEYYFRRLRP AVVIVEDEESAAIVDKLRQDAAAAGDQSSDSGSSFFLGISLCPLSPARRASGSNWISF ASDIVSPQPPFTDEESSVSAQQVRDRPDRISNIFFTSGTSASQPKGVPRTTRNVCAAI ASHTAFSSSPRSPEIPRPGTVGLIFSVNMMALSMTSPLIQWYNGGTVVIPSRVFSVSA NLEAIERCGVTNMELMRSQLVLLAKHKDFAPRKIRSIRVIFVSGEIITVGYLERVREM LGVGENVLLVAGFGMTEGVGALGYPPSVLEEGMPKPLGDVMPVGTATPGTRVKVVDVE KKVSDTTRTPQNEQATAEKEKEWEVVPWGKQGELHISNEAYVDGYLDGLAPQMFYRDR KGTKWFRTGDLAVIDESGMVFVVGRMKDIVKSSVGFFNPTAIEAFLARHLSVEVCVIG IPSPMHGEMPYVILDRLPENGTATDVSEMFSQMMPGGSGFSLGEVITLKELGLDTWPI TVTGKMQRHQLREIAVAYLKTKDISGYALDG NEUTE1DRAFT_85496 MSFGGQTPTIIVLKEGTDTSQGKPQIISNINACLAVQATIKSTL GPYGGDLLMVDANGKQTITNDGATVMKLLDIVHPAARILVDIARSQDAEVGDGTTSVV VLAGEILKEVKEHVEQGVSSQIIIKGLRRASTMAVNKIKEIAINTTESNRRETLSKLA ATAMTSKLIKRNTDFFTEMVVDAVLSLDQEDLNEKLIGIKKIPGGSLTDSLFVNGVAF KKTFSYAGFEQQPKSFKNPKICCLNVELELKAEKDNAEVRVEQVSEYQAIVDAEWQII YKKLEAVYATGAKVVLSKLPIGDLATQYFADRDIFCAGRVTSEDMERVVQATGATVQS TCSDILPEHLGTCGTFEERQIGGERFNFFEDCPSAKTCTLVLRGGAEQFIAEVERSLH DAIMIVKRAIRNKTIVGGGGAVEMEVSAYLHRFADRDVAHKQQAIIKSFAKALEIIPR QLCDNAGFDATDILNKLRVEHRKGNTWAGVDFTNEGVCDMMERFVWEPALIKINALQA ATEAACLILGVDETIRNEESQAPQAPGQKLPPGAAQRALRGRGRGMPRR NEUTE1DRAFT_123750 MPAILDDPSAGTIYRVSGAAPYPDPAHPSFPPTIVPRHVTLRDR QTVATVVPFASRHQVPNSLLHYLHDQFNKEIEGGDTYPMLDAMPFDKFADYWFQNFAG VMLLGQIERGEDIVDNKNWSTECLGTFYIKPNYPGRSSHICNAGFIVTDASRNRGVGR LMGETYLDWAPKLGYTYSVFNLVYETNVASCKIWDGLGFKRIGRVKGAGNLKSYPDRL VDAIIFGRDLGDAAGNEELRRDSLQAGRR NEUTE1DRAFT_147855 MSTHPGSTARGPSRAGGSIAQPQNRSATPYGQNHAGSAIPRPVL ETNHAATGSEVGSASVSVSRQKQSKRDEAIRRKMESDLSKKKHLTSRARHTRKAPPGT VLALKPSPALQIKAATTVSEAAQLMAAKREDCVLVTDDDERIAGIFTAKDLAFRVVGG GLKANTVTIAEIMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGILDITK CFYDAMEKLERAYASSRRLYDALEGVQSELGTSQPQQIIQYVEALRSKMSGPTLESVL NGIPPTTVSVRTSVKEAAQLMKENHTTAVLVQDQGAITGIFTSKDVVLRVIAPGLDPA TCSVVRVMTPHPDFAPMDMTIQAALRKMHDGHYLNLPVMNDAGEIVGMVDVLKLTYAT LEQINTMGSGTDNEGPAWNKFWLSLDHETESMVSGDGSLHHTHHGPRSLMSPDIARSE RIVDSVAPGDSASHAGVESPSHSQLGGIQEVNPADIPFPFKFKAPSGRVHRLQVTASH GMAEFVAAVAAKLGAEVDAIGGTPEVENGRLSGGFALSYLDDEGDSVSITTDQDLLEA ILLARHGLREKVDLFVHDPSEPAISHAPTPAPVIETIPATPPASSVVRERRKKVEEES EEVSEEEEPVHRRPTRTRTMSQPQEQPRVIAGVPNELLLPGAIVTLAVVIVSVFTVSR LTSSR NEUTE1DRAFT_66822 MSRRPDSFRMSSRSSFSSSASSSSLSSPSSSQSATAFKRAAFDA IHHIRASFASATQKRSIDPNCSPQPGVNLCEKPAEATSDVTWAIIGSVLAFVAATTII VAYLLHLRRKKVHKKEDQNDPFQMDDYGFDEAAAAEAAKSKANLFRRSHQSSTTSVST PSPSKPMERRLSFDESPYAVGAAAGIRSSTAIGGDVIYSEVSTPPGAALPPGVGLRES QFLGVPGIEGMDLGGFPMDRERERERSRSRARSTYSHSPHNGSRRQMQRRSRPSSVQS APFTPGSLTPTRGPRPAAGGDGASAASSSGLRSPLSDDASSASVRSSEMLRPPVDGDI DTLAGADMLGPVSWEKDQQQGKMVVVRETTVEKKGSSETIAEEDEKADKPKSSHGIRV VAPETERVAEEEGEKPITAELETVEVGMEGKKIEQAPRI NEUTE1DRAFT_117718 MHLPSALWLTGVLSVPVLAFNVSSWGQKAIDSGVVLKELNALAS RNAYQRFNGKCNRKNVRVRKEWRSLSKAERRDYIKAFKCLLESPSILPAGEAPGAVSA FDDFIYLHLNVTPFIHFSGLFLPWHRYYIHGFEQGLQKCGYKGALAYWNHGLDIDNLL ASPIFDGSDISLGGNGDPVPHGDSGFIFPGFTQVNILPAGPNGRGGGCVTTGPIAGMQ THLGPVGLPKYGEPGNLTVAANVLADNRRCLKRDLSEELGRKFATLRNTTDLILQTKN IFEFQTTLEGDPRYHPGNLGIHTAGHFMIGGDPGADMYISPGDPVFWLHHGQIDRLWW IWQNLDFENRQGLWGTHTLLNQPPSANVTTNETIAIGPTMPDVQIKKLMDTVSDNLFC YVYE NEUTE1DRAFT_139574 MPRFKPTSAARTRFSAPLDAVNLQTLKSGFSGQVERERMSRLRS KIEEVRAAWLDNGAYAQLVEAVRRWCKPERLPEEVREPLERLLSGYRDYADVSVSRPS DIGTTDSSEQYDALEMYCSVPGYDYLFKLVSDTLRTEHAAEEHLLVATTLIEFLTIDL YNLRLSQLGDPRYGNFEGLTHRGMPVYQETVRFYEDIMRNPDLTMRGFAIPLALTSTS ADPRVMEDFATKKSPGGEQLVHMHLQVHTRGIDEGLLRAYRTQYPDSVVTSICAMPVA NVSPEGEREILLRGAFFQLLSVTTKPNRGDSVPIVQVIVVTMNSNRDHSTESSINVDE KRVQREIFRRLVDASKYRACAELAAEFAPADVQGYRMLADKMLSEILEMKKLDFDELD GGSGGTRGLQKEDVALWLGGKLASSYPRQYALRRKQWHQALVNKDWTGALKTLSKEYS WRQCDWYNTGSLDAEGDGLSALHIIAGSRPPEDKYSEEYRAWEELVEEAQSNSRVWKT LKSFGGKGKTARDTAYNQELAEAFKPEILHHVEERRLLYLEEQLHRLMKDTAGCLVDP SRFRMPQLSCLTEMEDPRLWIQIPHLYGGFEVELLPSEQALRVTTIETISGQTLPRSI IQVLESTSMSFGVIEVGDLLTEDIAKLTVQLTVIPVSKEAV NEUTE1DRAFT_85506 MAEVLTGIAVATESLTLCIKAVVILKRFYVTVKEAREDLNKILN LVGRTRNRIELMKITLIELRKTTDPGITAGFIAACDGLNRTLKELVQEASTIANGRSR FGIARRLKWSMSHSKVEELVRKLAEQEKEVTNSYMMLNSLTSLRTQQELQRLTRSSDE RSEITIAFCQESEERLSDVSTLRSSSEEPSSRPRTWLGHTITDYHSPEYLQLRDELSE AVYWGDWDVVFNKLEEGFRTFGELWGNAPRVKDRAQYHHLSMWTPLHQAVYHHAPVHV VERLLEYGAFRAETLRTRKSEFGHPNLTPLELAHEMEVFHLYDILRPVIKRPLPPHTL QRIEYNFHCLIRSEIGQCVDTAKLYLPALEVLTELDGEAVWFPIKFGHPGAGYLFQLL GRELQVKSVNIQDKSSETLYRVMEDDIYETDQIFNFAGDLKQKRDIDEEDTAQSLPFK LEL NEUTE1DRAFT_139576 MIAVVPEIANAPSAIEALVALSYAPILPSLVLIFWYLLSAAGPR LWSGSRIHELYDWTSALQGHPMLLYTFKALVLLMVGVPTLASLLAATSAPDLSQDRDQ LLHDKLSLAGLFLFLSDTVPQNPYVHNAPHRYGPNTLRIILPTTHHEDTVYVLPDLAS EQEYRGFDAVWSPKIPDEHRAVDGEIMALFQRMRSNRWVRSEPLERLRETLAQFYKRV TVDGLSTEHVERLARWVYAPRPAKTGGHLKMEVNGDTTNRNIECLRAPGTHLIGRDLM FSLFHAECIVFMAQGQLSPETRSKLGTLRLMTRSGAVGR NEUTE1DRAFT_123755 MQTAKAGGKAPVLSYAAALKTGLAVPEISNENNRPKSPVECSST ASSKSNADISHATQGAAGSLSSEPSKSGNSTDSLDRPSQSSQDCPPRLKPRSSALFLR GMVANCGCLYIVKTGISGFPFYRDFLESGFPMRSRCSLCNVLVTEIRFNKDVEAEVTE IIQWDEEEQDGYSEDLVEIYNDEDADDYDSSEGSQSQGEYGEDVEGGSHGGIWGDYCE DAVDEAGDTDDEGSEEEKVGVCLR NEUTE1DRAFT_123756 MAAVRVETELIPCLTVTTLRLLSFDQRIRIAKQSHEKTPINRQT PPLRAFSPSLTSPFVHLSPESQVEQSIPSAPSRLLRRIELYSGHQHPTATIATTATVA FTDSVTTTITSVDKGPTPSSVTSTTPATTETSTTTTEQQPTLTSPTMGGGPDTIGYRT VAYFVNWAIYGRKHRPQDLPAEKLTHILYAFANVRPDSGEVYLTDQWADTDIHWEGDS WNDTGTNLYGCLKQLNLLKRRNRNLKVLLSIGGWTYSSNFKEPASKEEGRRRFAESAV ELVKNLGFDGLDIDWEYPQNAQEASDFVSLLSACRSALDAYASTVPGNPHFEMSVACP AGPQNYEKMDLPGMDRYLDFWNLMAYDYAGSWDQVAGHQANLFHCNSNPAATPFSTQR AVEYYLSTGVAADKIVLGMPLYGRAFQGTEGLGQPYSGVGEGTWENGVHDYKKLPLEG AEERVDYESGATYCYHPGTKTLVSYDTPGMARMKAEYIRERGLGGAMWWESSADKEGP DSLIGTVVDGLGGLPALRWDGNCIDYPNTKYDNLRAGFPGN NEUTE1DRAFT_117720 MQIFVKTLTGKTITLEVESSDTIDNVKQKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDSDGKIERLRRECPNETCGAGVFMAAMQDRQYCGRCHLTYVFEKSS NEUTE1DRAFT_117721 MVKKRKNNGRNKKGRGHVKPIRCSNCSRCTPKDKAIKRFTIRNM VESAAIRDISDASVFAEYTVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRVR YNKDGKKVTPTQGAKTA NEUTE1DRAFT_131106 MEAPSSFSTPKRKRPLPFEPTIPNLNQTRALTGLTGEFTFELGL STDTEDGSKSPQTTVAHRLQQLFLNGGALGGTSTGGVFGGGIATARTSTLQPSRSQPQ QQYFGYPFPRISRSQDMQEVEEDRERPGGPRKRAKLHDRDIDMTGIAETPMRPPASTN NGGTFEAAGTDSTSSMPPPSTPVNQQAGAVITPSGPFPKRRLAPKGVRFNMDPAENQQ AELGDNTMGTSGTIITQTTKNNDIQIPSSSPLSSITKVVAIPSSTPTQRPLAPKPANI SMAPPSSSSAAAATKKPRKSKSPPLQPQPATSTSTSSPRSPTVIDPIRASLTWQDSEI TVYDPDDSDDDGTGINGIGFKPTPEMEHARRMKRKKQLAEYRKREMGEARARRKERRA GADGLGGSGNEGGGGSNGGPKSKDAMATAKGKLKAEKRRVRFLETVTKTVVEMPPPTP VTMMEGVMQSETAAAAEGQGPSGGDASGGGDTSVSGMAGAGADLARVEA NEUTE1DRAFT_117724 MLRWIMGLPIPKAANWGVGCGIVGAMAQYEYCQWQRRKEKEKMQ RVVAVYTKKQAENRAKEAKEAKEKTEKGEVQGTSIAPGGEKKKSWYKFW NEUTE1DRAFT_123761 MPNTSLRRQPQKGYRRGGKNAYHGGSKTRTFAASASARGEATST DEKWERTALANQIDENMGFARYDAGKKREGWLVNVQPTSIDDPRIPGGGGRAALDCYF IEEDGSTFKATVEYEPYFLVACRKGHEGEVEEWCKRVPGGGCIKSIRRVEKEDLKMPN HLLGYRRTFLELRFHNVQDLMSAKRDVMPIAEKNKKGMDTMDTYAEVASANGGFDLFD DDSRNDERRHNAGFAEASDFIVDIREYDVPYHVRVMIDLDIRVARWYHVEAKNGVTTV KVNEERLAMADPVVMAFDIETTKLPLKFPDAAIDQIMMVSYMIDGQGFLITNREIISE DIADFDYTPKPEYQGPFMIFNEPDEKALLERFFLHIKEARPTVIATYNGDFFDWPFVE ARASINGIDMYHEIGWKKDSEDQYKCNYSVHMDCFHWVNRDSYLPQGSRGLKAVTVAK LGYDPDELDPELMTPYASERPQTLAEYSVSDAVATYYLYMKYVHPFIFSLCTILPLGP DDTLRKGTGTLCEMLLMVQAYQKEIVLPNKHVQPKESFWEGHLLDSETYVGGHVESIE AGVFRADIPVNFAVDPTAVDELIRDLDAALTFSITVEEKKELSDIANYDEVKEQITAR LMNLKETPNRLERPLIYHLDVASMYPNIMTTNRLQPDSMISESTCAACDFNRPGKTCD RRLPWAWRGEYLPAKRDEYNMIRHALENERFPGKYPNSPMRSFQDLSADEQATLIRKR LQLYSQKVYHKIHDSTTIVREAIICQRENPFYINTVRDFRDRRYDYKGKAKVWKGKTD SLKSSGASASEIDNAKKMIILFDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCL TGATIIQLARQLIERLGRPLELDTDGIWCMLPATFPENFVFKLKNGKKMTISYPCVML NHLVHDKFTNHQYQTLVDPKTFKYETHSDNSIFFEVDGPYKAMILPTSKEEDKNLKKR YAVFNDDGSLAELKGFEVKRRGELKLIKIFQQQIFKFFLEGTTLAECYNAVAKVANRW LDVLHSKGATLADEELMELISENRSMTKTLEEYGSQKSTSITTAKRLADFLGEQMVKD KGLNCKFIICARPKNAPVTERAVPVAIFSAEEETKRMYLKKWLKEEPADTDPRALLDW DYYLERLGSVIQKLITIPAALQKVRNPVPRVPHPDWLQRRINIKDDKMKQKKLTDLFG PTTKGPLQDITHLANGTQLGDMEDFGNLLKPKTVSDAISATQKTVTAQKRKDPEPAAA ENLDPFAALPKKMPSPSEDYAAFLEYQKQKWKLQKQARARRRHLFGERRGNAQSNIQQ TFRSQAEMTFRNTWQLLQLRASDSPGIVVAHVLIDAKIHILKINVPRQVFLNLKSREL PDIEVEGCHVEQVNHTLPNGHSSVHLFKLTVPEDIYFAEAEKFSLLFNHPSVEGVYEK QLPLNMRALLQLGNLCTIDESQPGVLGKGLDQGFDLSGLKRPLKPREYLGDARMAYIY LSHISAGERQIFGLFSTTSDQAHVIIQQRTKDGGQDLPNINKLYADMLARRNQEAAGA EWQECFPYQEKLNIKITQVTTRRKAFLEVGDIVKKLRKEESGPTMVVIQSSQRNLLVH EIPILGEFPVMPLRYDQGDSSLPPLGWQSVVARRLVNHYLSLGSWITHMTALARYGDV PLCNLERDDPRFLIDIAYARRLMANNVVLWWSPSPRPDHAGYEKDDVVGPLDQVQMPS VNNPGTYASVCIDLEVRNLAINTILTSSLINELEGADNISFNPAADATGSGDGEEFLY SENAFANAGVQVLREMVKSWWAEACKGSTMADVMVQHLVRWVESPASCLYDRALHYYV QMMSRKALLQLMADFRRVGSQVIFASSNRLLLQTTKSEVGNAYAYTQYILKSIKGKPL FHFIDLEIKEYWDYLVWYDEFNYGGKATQEVLERDEQDLQMIMHWQMATFLPVRLQPI FRDWVVEFIQLMHSIKRPSFGSDPTATPRLTQLPVNMRNGNLGEDGAVGQIILGKAFE KPLKKEIANLINTQRRELLHPELAGDYTFPQLPGSHLSHLSMSNSKSSSTKNAAGKKV AASANPVLELVKALMQVLSLDKNITLEARLLRKELLAMFDVREFSKEGAFQNPSESLK LAQFSCENCTMARDIDLCRDEDFMSFAAVSDVSAAGGAAGGELEGPAPGQEKGRWKCP YCEMDYDRNEIEERLVAEVEAMVVEWTTQDLKCGKCGALRVNEFMEHCTCSGEWRELV KREEVMRWLKVYRNVARYYGLRMLGDVVEGVVGGL NEUTE1DRAFT_123762 MSEQHQQPTSRHNDPDKRGSGSPTSDILPPMAPLIASHHPPGPH RSYSEPVPMINQHHHTRQPPRTGESSTDEDEEVLVRVLDPSKLEENIPSFEDWKPGKQ ELAVMITMAIISLMVALDATILVSVLPTLAEDFGGTSTDAFWAGTSYLLTCAVCQPFI AALSDIFGRKEMLFVSVLLFTLGTALCAPIAKGFAVFFAGRSVQGIGGGGIITMGQVI FADIVPLRQRPKYFAIVLGAWALGSVLGPLIGGLFVEHAIWRWCFYLNFPFCAMGLLL VPAFVKLTTKKTSLGSKLSRVDWLGGFLFIGGLTSFLVGMSWAGIQFEWRSVQTIVPM TVGGVSVLASIIWEKYGAREPFLRASLFCSGSAIAAYGCALFQGLILFCALYYVPFYF TAVRFTSPTQSGLNIFPVTCLLLPGSIVISLMTSRLGHFRWSIWSGWVLATIGCGLLH YLKQDTKTAVWAVILAIFGIGHGMLLTSVNVGIQAISRVEDAGSAAAMYAFMRTLGMS LGVAIGGTVFQNVMVKRLEQLGLPVKIAHNSEQFVQQMLLMDPNDPVRVGALEAYVVG FHGVFWIITGAGVAALICSLFIQHHSMDKILESKFVLRGAKVPPVMFTDARPGQTVTA PAPVMAVVSNPHNNHNHHGSSTAAADSHATAVPGRNSERLPRLFGGGGGGGGGAGGYA AHFTIPSRPASTKSTGSDTDSTNGKEAVVEEQHDNNDGTYDCAPSSSTSTDHNRAREQ QQQAQSQDGGESTDSEAARWEQAVAVTYCNGPDGQRYPVFLLSDDYASQAPAPAHDAA INDAEVTNNAASTIGTTLNRHSTISTASLSSSSSSSSSSSANSNGSSRSFSFSSSSSS SSCNVSDKDIGTNNLAADMNKSFRSDSSSASASASGVTELPVPRAGILMVRQVEVVSV PREEVEAAREEERHAEIESTPSYNYYSSSSGGGNEEEEAWPLKTTTTTTRSEQGGRRQ ESGGKGVGVMPTDLDLEQQLQQEQQQQQQQTHDQLRKNSSPTPGTLYEDALSDFSGEI TLGGPGGVGRAIWEA NEUTE1DRAFT_47664 MDNMMNELVAMGPLDGQSSTRPTYTSILTSTKYNDERHRHWFVA CRIASTHFGVSQLPDPFRPHDGESVTLAQATAANRIHECYIKGFEDVMMRFYNLGLSR VSTAAHLYALDFSLWMRVCLAGYALGCGDFGDKFHYTKPSAHVGPFSAEFDIFYHAKQ TERFRERQMVSGIVTFGAVPIPNAPGGPRQAVTAASTNISQPSRAENERPSPQISATE VNPKSAALQIHDSEKHILDVAITKANVDQPSKEETEPAHSEVPATEAHPTSTTQTNRA SAKRSLDATDPPALAPQSKRQQPGLPLRTLASVLDRQEVHLPSQAKQESQGTTVPTVA QSNSQAERGTGSDDTICGNRACRRPGHELADCFGPPSNMGDLDGCPFCNTQQHVLDNC PDLPRAGKNKLFDTLIIRRANLPPIRTAISFLHLAAAAKKLDVLAETIPLQKRTARWT YSELKLWQHPNPSRLLLFRDPEFSSDPQVLMGKLYESWDALVSGTTRIDRNSESIPSA IMVFKNQWMAELVPYNKIYQTAKIRVEKRGPYPFGPQDELLRVQKGHREMIQEHLFVL LKERYELKPSNKERGGSEHEGIQDQEE NEUTE1DRAFT_123763 MSTPQATGEPMGALVQSIANLVNTTDALAEIISRVTNNVSETSQ ADEAFTVALVYLENVRGQFLNLVASAQEAASLNQATKLQIQQATNQLEQSRKKFKDQL ELDSKEIAEHIKKQLMLTTKEMAEDSKKMAENANRAIMDSSGAMADQIYNLEYTVDGL DQCNDEVLTQSKEVKQTLDQTKEALVQAKEVTVLTMEELKLAAEDMKRERLLFKDQHD RLEQRIKEMHQFLEGKDAESKGLKALYTKLSSHAIRSVQNATNFRDAQYKFLVDGFAR FEQKIAFITHHIGGSNSPSSGKVSNAVTTNDVSKVDKASKSPRTNMMEYKITELQKTI RDLEYERDVLAGSKKLLELRLAKFEKEALAPSPTAPVQPVVPDTPCPTLKSTKSKRSL TEAGELLAQLTLSGSETTTTTAVASARPSVESLIQPDLWERLISPALLSDEIKRRLRL IKPQVTGGLRLETLFLRVVTIAVHDQAWENFGSFLKEGTISQWYCIDGLVKNGWRGSV ILVDHKCDGRKGEEGKGGECLRVMRTQLDYGKVNFRQRNF NEUTE1DRAFT_85527 MFGLESSGSAAEPSRPGSLFRTRTQRSNRSHQSIFKEEFNSDLS LPLPHHHGHHRQVPYHHHNDSNPEQETMATPTPREVHRLASHHNFIFDTSSKPRRLGW WAFVGRHLSLPAVFLAGIVFVVAAIVFATLTSKRPLKCPDWAEDCRTIDPWTAEHLPT VQGIVTLVYIIGLGALAYVALTLCEAAVWPLLIKQTMPMRGLEAYLATTRGSILAAPR ALMEVKTVAMGIMLVCAVASILTPLAGIPLVGQAYTLISKEVELKSNYTPGGGLAESF LQTEAPTFANMGVLTTYNAWALDPASEPLPEYRDWYVDREVLSTKGHFSARAVKLQTT VLCRPRSVEQLVQYWQPSNAFLTNWTRASTSSLRGEKRTPSEVYVRPQPQLTLWADNY TFEASHRTKATLVFAALNGTIEGGKQSSIALGTMHNVSAIGCDVTVSAIDDIITVGNP LIHSNNTALPTLSSLDTLQTNTSRPSTALNELLLWFAVAPILSSPSVSGSQPMFFNST STNRAVAYTGQTPQRNNWTIDGIESFIHLSIGALLQSTTASENQSTENTTLLISTYPL QFLSRSRSLLLLLLPLLLVTLIVCLSFYLSHTHSRESIPVLRLAGPCELLKSSQTPWL REQAGADAAKTYLPSDLGAVTVKFGVVGKEGAEMVGLGDGNGGNVGAFVREDPRKVQP LDKGKESVDGQKRGSSGETMEMGVIA NEUTE1DRAFT_123766 MDSEKRDRLHQEICRVVESIQDEDLFILARIALCWLCVSVRPIQ SQELWLALLIQQTHSTRGSDQLDVFINKGVSFDEETATASLTGLLRGLVTFHPDTRNP GRNNVTLSDPELATVMFELRSSDLPAPVQRLGFNVQQANMIVTGQCAVIISRTVLRLG HVYDQNAPTSSLVLYAWTHWTRHWTLARWNLSDPDEGAVAEMMMIGVITDTLVFLLVL NDFLTGPISIPATEDRVRCTGLVKQAQDALERPIYLLSVLATGSSSTWCIRLHQARQG FERRPNEYVPMKLGAPPSTLTKVQTVWIDHVPKKLRQFYDDANGLTATLIYIFADLAR DLRSLAVIIAQKPIYEELLKEYDSWLPLDILLNVANFMEGIASYPFMSELPNGNTHSP LIIKEPSDPDYDTALLVLSRLTKEGSRATKPKTQNSSVDYQLATNAAQSHTLSISHYR LRAAAFVEKAKSLCQRSNTPATTYTINDIRFLSQHTSSFATFPQLQGRGGAGSMLTRF IPSRLSRLLRGPTTTTTTSSSSSSSSQSQPSSTNANTGTDPDTTTTDDNSGLPTFLDN FAAGAFTGGAYDRWPLLKAALLSSDYVSAFIYLFIAILLNHIRTILCPWLGNWMWYTP MEDLRLALGSPAFFLEYALGFSWWWYAFSFVQKLVWDVAGGMAIATLTVDGVTDPSDT SQAIGGTMSTLHLVSDDDSDSDSEENNKKMKRNEQKKWRTRKLEAVKIGYLVWALATL EHMFSRSLNTVTFLIAWYKLAFRGGDTEHLAMVSIAIKNWTRMPLHVSQVYWYTMNAL WPIVLSAVVLAVGGQPGMLIVLGTIVGGVVAVIRYRSTVFIALEVSGVFVVMGFLVVS AVLLAVELVEDPLGLKVSTAVARKRGVRARAVLSERAKGRTKILAREVALSLWEDSQQ EQQRDGNEQVPPGEAEAGRSSVAVDTEKGRHHKTE NEUTE1DRAFT_117728 MRSNTLLVALAATASASAVPQPRAEAPGALITPAPIFKGSAVQG AIRAHGQQAEVVDKRAYEVSVEISGSGSQFTSCVYSISSVLRSIQNAAPYPSDTDLAS WILTAVPEESTTTLGLNDAGKACETSDMTTPTVPASLTSAFSSYESEAEAWLTEAAKG ASSVAGNCPTKVRDWVELSFATNTAECKAIVARLQGKSGAGLNSAPVLAAVAAFAGLV GVLAL NEUTE1DRAFT_147873 MAGFPPRNQQHIQPDEGYSEDPLNTQANSSCLKSRDASPCAHPS SRQASDIPPWLIQHISGLSMENKTELAMALLNDLPTSVISQIVETQLYPRLYIDFVRY LPAEICLKILGYLDPISLINVARACRVWYELAMDRKLWQHLYYMEGFKALMDEIHAAE EKMNQTPIPTPSHLEQYRPEEDGHVHKRRAIAKASPPMLPADEDTKVLPADEPCDIDM AGSSIFGGGSGCGVSRSRMSEHGEVNGRASRVDKGKGRATSPPPQQSATTRYRDSMSH RTSILPGTLQKTTLWWWDANDRRYKIDWKYLYTMRRRLEANWEHGKYTNFQLPHPNYP EEGHRECIYSIQYNPQFLVSGSRDLTIKVWDMKSRRCLRTLKGHRRSVLCLQFDSSPD EDIIVSGSSDSDVIIWRFSTGEIIEVLRHAHQESVLNVKFDKRILVTCSKDKTIKVFN RRPLRHGDLGYPFDQVGTTVNVGYDIPPSIEDAPVIPPWTMIGTLVGHSAAVNAVQIH EREIVSASGDRYIKVWDWPTQDVQRTIIGHHKGIACVQYDGRRIVSGSSDNEVKIFDC QTGLEVTTLKGHTALVRTVQAGFGDHPYSVEEDLIKAKEVDQAYYKAVEAGEIDENDN PRTRRKTNAGSSRPQDVCATGAKLPPGGGGGRFGRIVSGSYDTTIIIWRRDLEGVWRP QHHLRHEQAAEAASRRDDGPPDVPGRIPLHPSMRSGLAAASMPAPTNPHAAAGSSRTA SAPPGPLAGRAPETVDPAILLEYEQMVHGAVQSGPTAFRNLLQARPEIISLRHMVDRA LNRQSDPNLRAQLRTAWTGAHIQNQWNHGRARSNQENAMAANAAVAAGSNGVIGTGTA TATAAAAALVAGAAAGQPVISQASLPQVPVPVAPADAVIAAPAQQPQLPPMAGGRHHP HIPVAPAEENAPRVFKLQYDARRIICCSQTSYIVGWDFCNGDKELEEASRFFDTVQ NEUTE1DRAFT_66860 MDAKSPHIKNRDTPQWGLYQRNMFWRYNDRELPPFNTHPDVLER LASRKLSQNGWLYASSNAGLSHTHLANRQAFFRHKLVPRQLVDTNERSTRTSLFDGKW EVSAPFGIAPVGINKIYHDQGELAVAKAAGELGLVYSLSTAGSFPIEDVGKANEEGRA NRFKANENLDVDVDVEGEGGHENSKKVPEAPRFFQLYMPHDDELTLSLLKRAHESGFQ ACMLTTDTSQLGWRHDDVATSNYAFYRGIGGELGFTDPVFQKRMAEAGVDPQKDAVKA ASMWIDTIWHGRAWSWDKAVWAREKWQEIAGKDKPFLIKGIQSVNDAKKAADLGFEGV VVSNHAGRQVDGAVGSLDALESIVKAVGERRGFTVMFDSGVRGAADVMKALALGAKFV FIGRLWIWGLAIMGEEGVRHVLRSLLADFDILMNVMGVRSVDEIDGSLLESGPRVYGL LNEKSKL NEUTE1DRAFT_111874 MATTHRDHLPGTYPDSNPATPNEQGLAPQNQSYIQSHDQRNKLH KSTDPRSHNFSDARLGNIESQPIGNEANISQRHFNRDYSGEAVGGGFYNHDSGPTIFN SQHPRVSQAPQRTLPMENTDGEAALGDQQEYTFSTPVPTVAKEQGFREVDARQASKQG VHEVGSHPEVDSHPEARRANVATSSQGAADEQPSNIRDKSSNDDATSRTSHTSGTAPY WGDLPKASQGGIYNTVTGHGSARDDHDEHHHLPHRSAEDSDNRLHVTGLGTDVPSGGV YNTVAGHGSSDEESKRHLEDSNHINDNLKLNIPENDHVSAATINSTEAVFDAPLAAVP EDKAWASNSFSQVPTGAPDAGTVTGTDRKDIAPGFLPELAAADDAKLLAESAVKRKER VGSTANDNVFDAHAHGSYRKDSTTSAGSNAQNSDVKAQRAFPLTSKHATTADVAMAKD DAGSSPNQHHSRHKDAFVAGAAGLGAGAAASHLAKKHQDDELREIKDRNAQKNLGEDT LAKEWDTVPENLDHPARNYPRHAAAVSHRRKSQELNEAGVIPISGTTLEKVKHKEEEK PLGEDTLNVWGTTPENLQKPATRHTAHGVNVQQPAAENRLAKQPSPAGSNSSEGSSKG EKKHRGLLGIFHRHKDDKEENTTATEPPKSPKDKSHSKLKKDAALAGTGAAAGYGLAH HHRKDDKDATNQPTELDQNMKRRASEAAAPGAVSHRRNPSDAQSAIPHVPAVGAASTG APSHGLSQAQRENAPASKIPVAADHNIHHHSNTAHAGMAGATLAGVAGGIGAAKYASA HDDKETIHPEQDVSHAASGVGAPAAAGTGAVTSGGLYHTENPRQPPADSSTNPSGVKP NENLARNTAQEPGYNALMSGTPSGVQPMSTHGHDGKHAKTTNEPGNYNHLHTGTPSGV AMDTANVGRARSEDQPRTTQKPGNYKHLASGTPSGVMAGATSQPSARRASEGVKRNAP AAGGSDSEEGLYNVLSSGTPSGVKISPRHSRHSSRSSEPVIHADHQDKDGMYGTLASG TATGVNVAAMHENRDKAMKDVKDEVHEQSAMNTLTSKSGANQHSLPPAESRPIAALSP AEHHKNQDTAAATPMHHTTARRTQDQYKPVLSAEPPQQFMSPEVMPDAYTTSVPGRNS GAGSGPAVAPAATEPMRAKHMSPMVMPDAYTASAPGHSGAEKINSIPESTGHTYGSAA AQPAVAKHMSPEEMPAAHTASAPGHSGAEKLAEPKTTAPRSDKNLALAAASGAWAARS THKGVSEGSDKEQRTHKPVFHKCQHCGKDNDVSDVLDTVLKGESGRQ NEUTE1DRAFT_123772 MEHDHSASDIEKEAVTVARPQGDVTRVEAPVTLKAYMMCVFAAF GGIFFGYDSGYISGVMGMKYFIETINGPGATFLPSKEKSLITSILSAGTFFGALMGGD LADWVGRRPTIIFGCLVFIVGVVLQTASQSLGLIVAGRLVAGFGVGFVSAIIILYMSE IAPRKVRGAMVSGYQFCICLGLLVASCVDYGTQNRPDSGSYRIPIGLQMAWALILATG IFFLPESPRFFVKKGKLDKAAGVLSRLRDQPLDSDYVRDELAEIVANHEFEMTVVPYG NYFQQWANCFRGSIWQGGSYLRRTILGTSMQMMQQWTGINFIFYFGTTFFQQLGTIDD PFLMSLVTTLVNVCSTPISFYTMEKLGRRTLLIWGALGMLICEFIVAIVGTCKPDDTM AIKAMLAFICIYIFFFATTWGPASWVVIGEVFPLPIRAKGVALSTASNWLWNCIIAVI TPYMVDEDKGNLGPKVFYIWGGLCTCCFIYAYLLVPETKGLTLEQVDQMLSESTPRTS AKWKPHTTYAAEMGMTEKTVAGHAENRSDSE NEUTE1DRAFT_147876 MGDEEDFSSLPLTDRWVHKVWKVRKAAYEEAAQAFEKTPDEYDP AFRPFVQDPSLWKGAVADSNVAAQQEGMAALCAFLKFGGREGAVRSRQHTITSIVEKG LPSTRPAIKTNSLEALLLYIELDVPGPVIEEILPVLSNKVPKVVAAALAALTAIFHNY GCKTADPKPVLKFLPKVFGHADKNVRAEATNLTVEFYRWLRDAMKPMFWGELKPTQQN DLEQLFEKAKSEGAPKQERLLRSQQAAQAAAPAGGGDEDEEMADAPEDEDVGEIDAFD LAEPQDIISKVPKDFFENIASSKWKERKEACEALYAVVNVPRIKEGDFNEITRCLAKC MKDANIAVVTQAAQCIELLAKGLRRGFAKYRSTVMQPILERLKEKKQSVADALGLALD AVFLSTDLTENMEDITEFLKHKNPQVKEGTMKFLVRCLRTTKDVPSKQEIATMVECAK KLLAESSEALRSGGAEVLGTIMKIIGERAMNPHLEGLDDIRKNKIKEFYEAAEVKAKD KPKPPPPAARAPPPGPAKKGPVKKAPAGAKKPAGFGAAAPPAQTPTPAPAAAAPPRMG GLGNKIGVPKPGGAAPSGGLGGGIKAPQKRSAFAAPPSPRRAEPEPEPEPMDLDDPPS PEEQRPAPPRMGLGRGGLQPGGLAGRSLAKPVPMDYTPTPPMPPPPSGLSHIERAELE ELRDANDRLLKQLEDARHDRSKLLSEIQELKNQNASLIEDHTRDVLSIKAKETQLVRA RSDFEAAEQTNDRLRRELDRLKRALSHTEDQLRHANRARPGSPSSPHIGLGVMSPTHD GGIYNDNGYQQQSANRNRMSFASSMSEEKENGGDGMMSGGFHQKVSPDPRYHQGGSGG SSGRGTPAGFRQPVEEARSSESLASMGFGSYGAERSSSRTGMRTGASRLQAPSSGTAG GMESWKRAAEVTSQLKARIEQMKAKQGLNRP NEUTE1DRAFT_85549 MSQILTSRQADELALIAYLTAANLPNTAAALREELNLSEEVFDP ATAKKYEGLLEKKWTSVVRLQKKIMDLESRNHILQSELDNATPTSRQNKDPAAWLPRA PPRHTLQSHRDPITCVAFHPVFSSLASGSEDQTIKIWDWELGELERTIKGHTKAVLDV DYGGPRGNTLLASCSSDLTIKLWDPLDSYKNIRTLPGHDHSVSAVRFIPGSGNLLVSA SRDKTLRIWDVSTGYCVKTLRGHAEWVRDVCPSIDGKYILSTSDDYTSRLWDVTITNP EPKVTLIGHEHVVLCCAIAPPAAYQNLAAMAGIKKPPATSSAEFMATGSRDKSIRLWD ARGTCIKTLVGHDNWVRGLVFHPGGKYLLSVSDDKTLRCWDLTQEGKCVKTIGDAHGH FVQCIKWAPSVIKDASVNGEHGEPNGTPKKGGAAANPEAQIRCVIATGSVDLNVRIFA N NEUTE1DRAFT_117730 MAPSVDPTKVIFYQKKNFEGSGDTYAVGQDVSVPGSLNDKYFSV AVGASAKVIAWQHYNESGHYREWTTSQADISDIGGLSRFRVVDDDTRAISFLFKDATG GADKQYSLKVDARDVGTVLLYSNDGDEYGLVGIMPEGGPPVTTAVYVRDEHSGVYIAV GSVYFEWNKDNNEVDVVENEHWPKQLKSKRTGKSSFEVTLVDNKPSE NEUTE1DRAFT_147879 MAFHPKDRKDLHHIPTELPRHTNHHSAIKRNRRHSPFWQKLFAG SSSVAAVTLLLLLLLLLPDQTHGRQTPTHSSLSEPPPLTFSPRGLTNTFQISIFEDLH FGENAWEKWGPLQDASSIRVMNSVLDSEPSTNLVVLNGDLITGENTFKENSTAYIDQI VEPMVRRGLTWASTYGNHDSAFNLSREALFEEEKKWLSSRTARMVTTDEEAGVTNYYL PVYPAHCENNNPKVVQRRARQPWYRWALNLVNINNFYPNQNQNQNQQTASGGCDTPAL ILWFFDSRGGNKYQQRHPKTGQLLSEPNWVHQSVVDWFKSTSASIAAKAGGGGADGKG RIIPSIGFVHIPTNASLALQQGQQGQQQGGVDAHRQPGINDDQPLSQQGQGWCANGES GEGCDYGEQDVPFMEAIASIPGMMALFSGHDHGNTWCYKWDGKVPGVQQKAIVAGEDD EGEGEGNGHGYGRGRGIDLCFGQHTGYGGYGSWIRGSRQIVVDQEGLKEFAIRTHIRL ENGEIVGAVTLNATYGQDRYPATPNDKTHLADPALQQFR NEUTE1DRAFT_102768 MGVNIKNPSHALSIPQNPRPVVLCPQWLFQGPGDAQDRTASRSA PPWSPAERRGVGTKGHRPRRGGEQGSYPRRGSPCLLKRRKRSENSSSTTKHPWTPERT PKFKWEK NEUTE1DRAFT_123777 MEGPSAQKVLVPRIDTASTWVQIEERTAENRLLSVVAQVLDIPR EQIQLHESFKDLGGDDRSAVALRAECLEVGIDIKVSDILRCPTLAELQTCIIPKYEHP VVRKPPDNIETNVVPVEPREIHRPARLAVTRDANAMIATKRSRNSSQASSGSQAIHMT EIEKALGNQKEISRIATVRPKAGILEGKLVALFTLSTTQSSRASSSDVSLISQSNSIF AGTQVTLLRQVAKSLLPPTSLPDVWIVLESMPLTESGHVDTRRLRTWIQNMNQQLHYQ VSNLQSQVPLEEPKTTMERSLQRLVSKVLALSQVQIGVNLSFYQLGGDETTALELVAR CKNEAIYIEPVEVLGSNTLSELAAIAESRGFQENQWEEETHDFFDLSPMQHLYFESSM GGDPNRRSAGDGTYRFNLSLFLRFKKDVCFEDVAAALEAIVAHHPMLRSRYSRGSDGW MQLILPDVAGSYNLRRHSISSDRELDTIIGQTQLAIDIEKGPVFAADYFTTHDAQQLI YLAAHHLAVDLPSWRIIIHDLDELLDSGSFLSQRSMPFHKWVELQKYDVQGKDPKEFV PFKLQPGDYTFWGLREIPNSYGDTQDISFALSSELTAILQSSCNQVFKTDTVDIYLAA LMLSFAQTFPDRSVPVVWNQEHGRDMWRSDIDISETVGWFTALCPIAQKVEGTDDFID VLRHLKDTRRSIPAHGSKYFASRFYNSAGPDTLRPDWPFEVMFTYAGSLQQLERTNGV MEQLPLTSRSFDSPTSDIGSKVGRVALFEISAMLDKGTAKVSFTYSKFCKDQTRIAQW AHNYEHLLLEAIGRLRYHPHELTLADVPHLDVTYEGLTIFNKQRVAGLKLASVQDVET IYPVTAVHQAILISQAQRPDTCYLHAIYEFASPHGDSIDIARLCNAWQHVCNQHPALR TIFTESVSETGLWDMVILRRASPDMLFIDTAPAEDPVYELDNLPDLRPTDNKPLHRLT VCRAPTRTFIKLDISTALCDFLSGIRCGSSVGFWRERLSDVAPSMFPRLTVSPDEQRF VNSFLDLEITSYELSGFTRSHTTTVDAIVRLAWGLVLRCFTGSNAVCFGYQTDGRDTS ISELQTAVGSFANIVACNYDLTPHTSLKMALEVIEDQLTASLPHQHFTMAELEHAMGM KGGEHLFNSCLTFTEEPAGLNSKFTKRTNFELKPLSLKQTSDVDVLFNTRFAGGKLVV DIGQRVMSEEQALNVANTFGKAIRTILSAPQNSIGTVDLFSDRDYAQLLAWGADSPSA NSTRVESLVHDLVNKQASAQPNSQAVCAWDGTFTYQQLDEEATTLAHHLVDVGVGPHS VVPVVMEKSRLTIVAILAVLKAGGAFVPIDALELGLIQPIFERLNFSRVAVASERAAL VLGNLFDDVVTLNDGLMHSLPRDRRSLTSMATPSDPACILFSRVSSGVARGVSFSHTA LSTALLGQGPAAKIGPLSRVMQLSSFNVDICVSEILTTLAHGGFDPTKVPTLKAVCFR TRSLDEDTYSAWHGKANIILAYGPHDVCPLGISFLEAVGENQLRSVGRPFCGSFMVVN PDDRKNLVPVGAVGELVVEGPTLGCHYPNRESTLGPMSPLGPSAGNPSRYFKTGHRVR YTDGGLMEFISHKDEDVGSKERPVDTAQIERYLRRCLGQGVDVLVDKLPFRSTDDAPV LAAFIEFGDSILDGEDDLNSLGATAKEQLFIARQLVEIGLRSNAAPPGIPSIFIPVRH LPITPSLKVNRRRLQKMISGLSKEKLNALCSASTVGDAKLTQFKPLPLTDNEERMRVI WAKVLGVEEARIRAGDGFFGVGGDHVLAAQLVTACRHEGISISIADVLRNVTLTELCR TMSSIEPLQSDSSVSPPVLNPLPTASDPNVVQQILLEKVIAPKVGVDAHGIKDAAEAT STQMRHMETGMLRGRANINYFTFMFTGPVDAKKLEDACMTLVTIHPILRTAFVPHNRK VYQAAIKSANVEFKRHTCPTWRLNAVMEKSIRKDQASPVAFCSPMTKFIFIDGGKQSI LILRLSKAQYDDLSMALFVKDLKKLYGGTQNPPRRPTYCEFVRSVELANSQGAEEYWK KLLEGSTVTQVVEHPLPYRVSANIKTLRHVMPLGSLSSLGISYETILKGAWAMVLASL SASPDVVFGELVDGRHVTLSGNQSVMGVMGPTVNQIPVRVQFPDTPLTPLALLQDVQT QRISRIPFENLGTLNIVEKCTPWPYWTRFSTLIQHQYEDTAIVPSEPKSFHLGTASCK FTVIESRAQDVPDLFIRSIARGNNRVELSVTFCVDRVPETFADEALRMICATINLLTS VNITQPLIPSGYQYKNMEKKIPLPLRDLLDACTAHTSAGRSDAVKALTKDQEGGIQAV ISKAWTAVVNPRALGVPEAQVQNAAFFDLWGSLIPAAQLATQLNRELPKANIPGVDAT VAVTMEEIVENPTMVKQFELIAVKMKNKSNGTGSSSSLPLPKAKDKEKGKDTAVNNKP TLTDNTRLAPIQAAVRRKSSINLAVASVKGTFRRFASTVGRSGAEPSAKTSSAAPNES TTMAIGIASAMVAELVSPFSPNVVSANINARIDVPGRQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSSQMVHPGQPAFDMVLDSPV GPIEKDATEELMNPEPDSSWRLLLLPGAAVELPLSEIPSRRGTEHTIGSSTSATTSMT EDGSGSAQSSRYSGEEGRRYGDQVTPVLPVQARVSSTNGNGKGNVPAVIDTAAANGHW RRGGTTSEADDLVSPLTAVSPGKYGGRFLAAGNITGEGAGSGSKGTSAGVSGLTVRVS PVNSPSRTADGGGQGHGHRLSASGSTLMRKMGMSSVAE NEUTE1DRAFT_85559 MKHFGIAALVAGLLAPSLILGAPAPGNEGVNLLTPVNKRQDFQA EGYGGGGGGGCNSPTNRQCWSPGFNINTDYELGTPNTGKTRRYKLTLTETDNWTGPDG VKKDKVMMVNGKIIGPTLQADWGDYLEITVINKLKSNGTSIHWHGMHQRNSNIQDGVN GVTECPIPPNGGSKVYRWRATQYGTSWYHSHFSAQYGNGVVGPIVINGPASANYDVDL GPFPLTDYYYDTADRLVLLTQRAGPPPSNNVLFNGFAKHPTTGAGQYATVSLTKGKKH RLRLINTSVENHFQLSLVNHSMTIISADLVPVQPYKVDSLLLGVGQRYDVIIDANQAV GNYWFNVTFGGSKLCGDSDNKYPAAIFRYQGAPKALPTNKGVAPPDHQCLDLNDLKPV LQRSLNTNSIALNTGNTIPITLDGFVWRVNGTAININWNKPVLEYVLTGNTNYSQSDN IVQVDGVNQWKYWLIENDPDGAFSLPHPIHLHGHDFLILGRSPDVTAISQTRYVFDPA VDMARLNGNNPTRRDTAMLPAKGWLLIAFRTDNPGSWLMHCHIAWHVSGGLSNQFLER AQDLRNSISPADKKAFNDNCEAWRAYFPDNAPFPKDDSGLRSGVKAREVKMKW NEUTE1DRAFT_123780 MGFQAPRLGALGLTFLVMRALQFAALIAVIGLSANFIDEFSTKS LGSPSELLGTLVVAVIAVIYVVISYVLYYDAMLPYLLSGILDGLLLIAFIVVASLLGK PLSHLQCALMPQDPDANNFWTSVPFTIKSQETTHDDGLYFTFVHMDQPTCFETKAIWG LSIALCVLFAFSSIVCVGLWRRVSRETVVVGSRKDIEESDVSLYGHKTTTTAPPQFPP PPLAPMRITGGARRSDNQQQHDSNLQDIPVPNIRARAGLPQNRRSLSGSRSSIDSDAS STLSRGASPERQLRISGGLAPPPPVRHCCNGGRVGLGIMPTIPGSPIDAIQERTIIDG ISPIMSRAPQALPLPVADPAFRSTSTNNLTLAVPSATHGTYSHHNHGQVGAGRATLHP PLTIITEFPIVSPSGYWPTNNISPQEYKRAAPPRSPLSPLSLRGLGSFMARRGCKRKE VPPPIIVHPSSPSQFAHVVNSAGTDSVALGTPVDGLGPSQSPPPPHLQMPNPPKKRKT VWGMMVEGWWDLGLLERMGSGKRKNMK NEUTE1DRAFT_66885 MRFSTTFPLAAFVALAKAHGVILAAQGESGSPPSVGFGVNPEIA RNCTTINPCQLDSVIMRDAEIKANLVNNCGRTQLTGNIDIAENTEAALAEGAVTKVKA GSKITVTIHQVNADGAGPYVCDLDETSNSGKISQNLTVTNNVPGANGISQNKIQEFNF TVTMPDNFQCTGASTGNICTVRCRNNAVAGPFGGCFAVQQTDVKPTLNTPDNIQTFKN IKDIEDERLISVATLPIAAEANRKAGSSEAQQNAAAVSAILAAGFVSKSAPVLTPTVV LGGGAAAAPTATSAAGNGGNRGGNGKNNGGANAGNAAKQGKNRGTQNQNQNQAQNNQK QRQSQN NEUTE1DRAFT_85566 MGCLCSILGFIFLLDGGGERVTWHMWQPSPAHLEEGREVWTAPR ANIGDGITKSGGSAGVQRARDKGHKQGRAEIMKVRRTRKAQGMANSTLADDTGPAGIP ADGFYQKFKIPMLILFSTLAIAILGSTIWQCCFREKKNNELEHQSPLPTFIGPSSVRR EHIDLHYPRSPHYHPYGGSPGFSTRSKVRGREGRERIQTTSGWLRHAGPDRPLYRNWP ETETRVRIRVPPTTGTPLDGAYSMAYMQEEMRQEQPQQHREGRQQEEYEMEAHRLETR QPTPPPPYKARRSTDDTVDRPPGCLCAHVSKPSGSEGLRHL NEUTE1DRAFT_147885 MAGELNAAPVLSTPEDHLFEYAVVPTDDQTKARSDDELRDIYEI TRTAKEVREGGWRKIGLQFSDHMLCDAPRVVQLLEAELASLPQPTVPTSTADLQSTAT ACPEPSTTNNGQCGRNVQQNGTGCGCGKQAPAPVESQPKARRVYVLADTSYSACCVDE IAAEHVNADVVVHYGRSCLSPTSRLPVIYVFTKHILDRDAALAEFEKEYPDKEAKVVL MADVTYQIHVLSLLSELQGRGYVNLLATEIVHNPMGRIPNRKVVDVQGKEVEISHGSE TSTGLDLRDYSIFHISQPPTALLLALCSRVKNLYIFQTSSDSSSLYSAQRLLGRRYAR LLSLTTAGIIGILVNTLSVSNYLSSIDSIRKQIAATGKKSYTMVVGKLNPAKLANFAE IDGWVVVGCWESSLIEDDAGFYKPVITPFELELALASDDERVWTGEWWGGIEAVKPPI DEKPEEKKSEDNENDETQDTADTEQASGDGELDSEEESAPPEFDWRTGKLVSHSRPMR MAASKPKSAKKEDSSEKPDAPPRSSALTLRSKAQELASVNGVVSPGAEYLRTQRTWVG LGTDFDEEASMTIEEGRGGVARGYTVGGEGEKHLMS NEUTE1DRAFT_85571 MTLGRAPNAEDSCLKNHQQPPAGESLSTLPTFIDSSDQEKGGSF VSKREANSDERDSGPESDTIQDLSDSPDDEGVKCENGLARQPSAATTVIDFPEGGLQG WLVVFGSFCAMISVFGLINSAAVFESYFSTHQLVNHSASEIGWIFSLYLFIVFFVGIQ VGPIFDRHGARLLVAVGSLLVVLSLMLLSLSKTYYQIMLTYSVLGGLGGALLNCPAYG SITHFFHVRRGLATGVATISGGIGGIVFPIMLRYTLPSIGFPWSCRILGFIMLGLAVP ANLFIKTRLKPKISQDKPKISSLLPDFSGFRDLRYAFSAIGIFFMEWGLFMPLTYIVS YAAAHGQDATESYLLLSYLNAGSVLGRVLPGLFADRIGRFNVVIITISLCVILVLAMW LPAAMSKSVLIGYAVLFGFASGSNLGLVPVCLGQLCDARQFGRYYSTAMMVASFGTLS SVPIGGALLEMGSAETGWRAVIIFSGLSYFVALACYTSARVLAVGFNPRVKF NEUTE1DRAFT_117734 MNEKEKTKARSQMKEQGERGVGYGDMESYHHMCRFYSGEFYNLE ALRPYKWYWRLEPSVRYSCALTYDPFVEMARHNKVYGWTIALWEVGDTCPSLFKTTDD YRIEKGIPRTPTWNALLQVMWFPAPVRWFLGLFRVREHDNSGNKWNMCHYWSNFEIAN LDFFRGREYQDYFRYLDSKGGFYSERWGDAPVHTLAVHMLLPPEKIHHFSDIGYEHDT LWQCPGNAPMDQQLLGNKALRDMGRMTLPSEGGTGCRCKCQENKRRRNINSQCTSELT RPVAFHRPSWWERHNGVYHYAVNNPNNPRK NEUTE1DRAFT_47328 MYPLTILFSLLLHLACYGNAQSLVSDRFCPWCYWTVPDSMAGLP EFRFDSFCHAFVHQPISDRPGLPSPQKPIHVAIMNNNFTELLSYSRMGYGMSVDLPTR PTRCAPGVATFHMSGREDQQGGDGSLRPPTFSYSVRSDENYTPPQLFADNCINLLEIR GKQKIQTINAPARGALVDLQTASIMLASLLPVFFIFISTGYYPAQASPAPNILPGPGG ASSKSITPGTGNSQANNDSQILNIRADANWIDWSSIGERFCPWCEAGVDSNDGASPED KVCRVVVHQPMAAGSPPNTQFAHIAILNARYTELLSYKRMGWNQQENLWTSVGAGSEG AIATVFTGQEGVRLPPKILWQRDGKLSVTILPLYPGTEGSAWKVVQNVAPNHNYLIFH TWFFCGK NEUTE1DRAFT_102779 MEENSADPSWAATKLTTESPLLPSQPFHNGTKNGFQSSSLPHLG DFITKLIEDAYKLQSANAELERTKQLLREAEDKLASSEESKSHLSTEIDRTKQQLRRA ENALKREQKMTERLEADNVSLKASLRNHQDLLNGTESLRIRAENKLRAVDSRTTILEA VIRKKNEALEQKDEEMQFKIHSYRDRLRKIEGQFRKTWSIIRLLAASNFCGVKDGLIS GYVQATDYAAARNDNHRLQIPTAKLVRSRVQFKSGTPQLNPSLFFPSDGIPPASSRMQ LKPPSDTNARVAGTSSEQQTVPKSAEANVPKMENTMLAAENEKLKAELEDVKQELRMA KIKVEMEEKAREQEAEKLQKANESLEAAQRKIASMKEANTDMVLAFHTTGHQLHKATE EAISAQHARDALQKELEGIKFVRAALESELGAKERELCKSRAEMSLQGECLETTKQRL LAVENMLHNSRKTSTTVASELEFTKQILQDANNEIGARASAQAALQTELEDTKHKLHL AMKEKASSETERKLLNSSLAMTKLHLSRVDAALAACMSENNVLKGFVSGQSTTTETAV SQIVDKIAALDHSIKGRLSAVENSVISTLGELHNGVQSNVELVEGLKLQLSEAKLPAD EETSMPASLKLRLQEVVEESKRLTQAGSVAEDPDAADPKTSHEVNEIFGDYLTHVVEK MVKEEKAVQEAIRKARERIIKHQDWLYRFRKVIGQDESIFDELTHVGLRFKCWERSES LIKILFEVEEQYDDVLDHLDTILEECDEMVKGREAVLEL NEUTE1DRAFT_102780 MSAQSPKRSRQPMQPNQAPASQTHADQGPAKRKAMEQPQDDRRP ARPGTLPFMWNLPTTPSLPIFNADSTPVMSDDEYEHELELENDEMIDELQEVEEQLHE AKKKIAALEKRLRTYQVIVLPMDYKTVTETAEESRNEKSTAEREAEALRAMYEEDNHK NDDEDKIEVFRTVFKDIEWAVEEHHRLVDQALEEIESGRTGPAGRSAGYKPGQLHLYI LDKSQFTLGVDVSGLKDLFEEIVEEFQNLRVELDQTKEKLREAENRIAELAIDNQTIQ EDLKNVRELLGVARDDKISAKIQLRVYEDSVRCLEATLRGMDSALRKMGTALNGSIPR SKIANYRSILDKIHQCHMENTLAVSSILTYDRERRNNPRINEQVNKLNEATCDITSHF NALYDALESNLAESSISNI NEUTE1DRAFT_85575 MDDSGSDSDSYLSDTSTVDFDQHRASPTSNSETTSYAPMLIEAT EDAQKLQKMSLELASARMEIMKRKAVGQTQEAVIQKLRATLEEEKKRIATGQDEALQQ HKETIRKLREEYTTAVAENDGNEHANSVMTALRLEVAGLRTSLDLTEQHRDQAVSNNI DLQQPNNDLRWALAAHGREIEKLCGAENKMARIEKVFKESFQNTGTSLISINGVYDLE GSLQLLLERDKSRVKELDDVKKQLLEAKNAKPSEEGNHERPRKWWSMSFDWMKTERYL WRGKKTG NEUTE1DRAFT_147889 MSAAINAAEAAREPLTSTSFLTDGCVNYIGINITVTHEIKSSMA ARLLRCEAPSPHATNPLPTIPQGRSRYIIPPPSRRRSSRFLLKDNEFSSSSVPAATGT AADEPVVWLPAQQVWVKNHQGNVVPPSNKLRIGPQSFVSLLGRDGTYAAVCHVSQVER VIAYIADVNSAKSERLCVATGGVDFPEDERRWMYVVSCVGAATEGWEGFDSAGLEPGG NEUTE1DRAFT_85576 MERTVSDSEYHRNMTRRILWKMDTRVLPVLSLLFLCSFLDRANV GNARIIGLEKDLNLSNTQYAQGLAVFYATYIASELPSNLLLKKVTPKIWLPLLTIVWG VITMCLGFVKNFGSFIGVRALLGIAEGGLFPGIVLYLSGLYTRQEMALRMGIFYTAAS LSGAFGGLLARGLSAIGPRGGLEGWRWIFIIEGLMTILAGLVAYLLLPISVTTAPYLT EEEKEYAARRLQGDTGADTADRTNHVLEREERFKWSEVHRGVFNLQVWLTATAYFSLG AGLYSFGLFLPTILNDLKITSNPNQTQLWSVIPYAVATPVTVLIAFASDRLKLRGVMM LFTVPIAIIGYAVIANVVSAHVRFAMTSLMAIGMYSSIPCILVWNSNNSAGHYKRATT SALQLVIGNAGGFVATFVYPPKDGPLYHRGHTILLGLLVYAWFAVLANVLWCNKINKD KAAGKYEKYEGCGDDRDPAFKMIL NEUTE1DRAFT_102783 MSFQAGNTDIERLFEEAWSKSWYARYRCARRNFAGAWMGPCVPV EIVDLWKRRDWLDLLHGTGGVPAANSYRVIIEALKTMPEFEKEITGHLCGSVPMEDMP LRDRPDGPYWGATRACNVLHKDVLDFESQTVKDRTLSGLYVGPYATAPGSGADTLKDE PPVTIVPVSEEQ NEUTE1DRAFT_85577 MSDYDDDYDYDDYDDYEDYDDYDGEYDDAGYDYGDYDHDEHDHH GAHDHDDHDAGGDAVAEELTAGFAVIEEVEDHHDQGSAFDGSVHEEHTGYAELAHSDD GYENDDDQVPYYGVVSGGPSYVQDHDDYPTSRSQSQYTPSAPRGSAGYPPFAANGMMV DPQSHGGSYSQHPSSRSSGYQYNGNHHGSGRWKHGEQYQQHYSAEYYDSVTKPAYRLK TQPSHQTHYSPSATSHRDGHGGRHRSDFEYLRAWSGRHNFMASYGLKPEPSGYEESRT IMNALRD NEUTE1DRAFT_111896 MTEGPAGTPSPALPRLLQWCMLPLCEYRSHILLRPIAAWPDEDS IVKCQPDLDTLTTAETAHAGVRNKLGIETEVGAVRLDLLANKGTELTGSEGLLYIDIG NHLLVRSEKLVTGQLSVVSSYYRDPTLILRANILTEGERALVLVGVLELSTAVNANDT TGSALDLETLVMASSSACNASKKHVLTYLILLPEEAKAPDGMTYRWMIPLMSSSLAGD EGTRANVIGHRHPEEEFTSSIVAGRDHLVGRVVLKMQNNDAAKIRVLCLVTADGIAYK GMSPCV NEUTE1DRAFT_102785 MSDDDWDDDYTSDDVEYDDDPYEYDDDGDQEEEVVEEEDEDANQ TEDVEEIDDDNGSEAEGENDDEDQERGTGSMSNYEYAKPWGGTGEFMDSYGIERTPEG YQEANEMVDTMREAAEREGDSGRRK NEUTE1DRAFT_102786 MRSGGAFDDYSDDGDGDGGGGGYGEEDVGTTGYVDEVEVETPGY ADVEEANDNNYRDAEGDSEGGDDDHPNYNGSSNSSQEDENRNDNDGNNERGASISNYE YMKPWGGTHGFMRSYGIKRSPEGYEEARDLVDTMKEMAEKHENLK NEUTE1DRAFT_46797 MASHSSISPEDPVFSYSQFACIGSGFSAIALGATLQRWYGITDI RFFEKQSQLGGTWHINQYPGCACDIPSALYSLSFECNPNWTRVLPTYAELWEYISRVA RKYDLVDKMTFGAAVERCVWIEERGRWRMWIRQKQTGQVIVHECQFLYGAVGLFDQPR ELEIPGAERFQGPMFHSARWRHDVDLTGKRVVVFGNGCTGSQIVPAIVDKTKSLTQVV RSKHWVYPPIDEKVPFWLMFTLANVPGTMQLQRFLTFIKMEVEFIGFGDGKFAKAFRK KRQRKVEAYMRAMAPEKYHDLLIPDFEVGCKRRIFDSGYLKSLHNEHLRLTDEAVEEI LPHGLKMKSGEIIEADVIVMANGFKTNEFFLDCEIVGRGGETIHQHWKSFGGAEAYNC ESLSGFPNFFLLGGPNVATGHTSFLIAVENAINYSLRIIEPLLKGKGSVAEVKRESEE KYVQTLHHTLQDTVFSTGCSSWYNRTVDGKKPWNASAYPWSQAHHWYSWPNGKEQDRQ TKSHSVPIVDSHGLYSGDGILVKEKS NEUTE1DRAFT_111899 MARALRKNPLRLWERLYLVAHLLTIDTAHLLLNAITCVSLAILR GVPIRHYFRVAYNRFALGCLSPREIQHMSPSTATIYREWIASACAASQARIDSGHATP NDQYILDHLHRHEEILSDGESSILWVGDRRRASKFVLFFHGGGFIAPMQDGHLEWCLR SYIQAGRLHDQHVAVAVLQYTLAPEARYPVQLSQAADALAYLLKVGVRPRDLVIGGDS AGANLAAQIMSHLLHPHADARKIALPLEERIAGVFAVSPWVSARTDDQSMADNALIDM LSPVIMEKAVQELFGNHNKYNAEKKRGQGWAMPADVDTGAWYKGLGKFVERVYVTLGT QEVFRDQSIVFAEGIRRTNPDIDVVLEEAYDEAHDFILLEGKRKEDGNATRRMRKWIE GVFW NEUTE1DRAFT_47371 MRVPLVSSDETGKEGEEGSHLLLLAARFGKGAGVAGTCRMLQDE CQSLVARSGSNVLLAEISSCRGRSCTMNSRMVAASV NEUTE1DRAFT_131134 METRPLVAHPWRPRPSRHSVYIRRVWTIAYPFFVAFYVVTIVVL SLSGVRATRGRVSFLPDPSPEPQDRNPISLQEAYQALHCHTQQQTATLLSLSPAGLLG ADQVYAGNVMLGLGKLLVGIAAMLTWIGFWVIDKERYPLWAMDLLSLTGALTVLWLSW GGLDAVMFMSMSSSATPLEHLYTPSSGQSSRTHASHRFCGSFERRFKIVTGLKKPQWR PTDAFLNDRNPYHSFVMAFSNHNERHWTVGVWFILMTARQSNLQVRNIGYLIWLVIFR GRVNNTPKSVQVPLYSWVLRLFFELPAKALRLSTTGRGAWEWSWSSERDVAREMLWEE SSVFFSPSLLAVSIMM NEUTE1DRAFT_147895 MFSLKFAAISCPGNAARASHGSLTLVRAAATPSSRPCGPLAVDP VFFDLRPIAPTARGAFQTRRLFASVHHGAADAELPTDHPALHWPASKNPTPYEILGQS KNDPYNKKRFHELVKLYHPDRHPHTGLPDTSHPTRLERYRLVVAANDILSNPQKRRMY DLYDLGWSNHPDLPDLRNDDVSSNHSAWRRRPGNASMNATWEDWEQWRNEQDSGGTGQ QQKPIFVSNGAFVAIVSFFIIMGSWQHATQGVKSGVALVDDQEHKHAAIKMEMQQRYG ETAGLGREARVDNFLRQRNGWDNYPTHPHHISGYYRNLPSQEPPRRLPPPAPKHDAN NEUTE1DRAFT_46597 MSDQLADQLKATSLSSGPEDWKKGLNLPARDTRQQTEDVTNTRG LDWEDFIHDRDLLMGIFEAGFEKPSPIQEEAIPVALTGRDILARAKNGTGKTAAFVIP ALNKINPKVSKIQCLILVPTRELAMQTSQVCKTLGKHLGINVMVTTGGTGLRDDIVRL QDPVHIVVGTPGRILDLAGKQVADLSECPMFIMDEADKLLSQEFTPVIEQLLQFHPKD RQVMLFSATFPLSVKDFSDKNMTSPYEINLMDELTLRGITQYYAFVEEKQKVHCLNTL FSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHAKMAQQARNRVFHDFRNGVCR NLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRYGHLGLAINLINWDDRF NLYNIERDLGTEIQPIPQTIDKSLYVYENPESIPRPISTFKPIAQQPQQQLQQSQRPQ QPTNYQNNRGQPGSSGPRGGRGRGFQGQGGRQNQNYGGQRGPRTQGQGQPQGPLSAQ NEUTE1DRAFT_85588 MQCRGADEWVSSGKAKMAEVRGDIETYRRALGLVLDVVNYHQSP SNSDTSDSKTSKCQITTEVDRLRSHTALTGLDNRNTWLDPYMNAVINCLETTGADSPV IKDDSPLKDLSLNLEPQQPVKPPTTPTHQEGRQSSPKYVISSVYESAGPWYPTGAIAL PFYSGGENPASTTSSPRRGPQQVSPRLRNTPLDPSRHGPTPESPTSIQFSQRTQSPPS SQQSWDQGSPSARSEPFEASYVPHQDLRADRWSESQPWDLPINGRAIYSYNVDWHIVS KYKYIIPGYYTRLSLVTNAPGPKPTMASKHHSSQSSTSMVPRQVNRSRITTHFGLDLE IYNFTKGGSGKKVQVIDEAHRWAASHKLDNSYAPLVIYRPGADRIDRYFLSRNPGAKK PFWEDASSCIDLTKADLPFLPKYPELAFSNDSPFLIAAAGPRPGDPPRDINSTILLVA WHLTPVQGAKLQARSPVNSIHSHIQESGDPKRHKAYRFCAPAYTQLQTALPSVLVSHG SVTVSIWIPASHVNIPLPGDKFRQTRVPTPERFVLVWDMPTNTTRLIPIPNVQACVSP DCRLVAYCDPEMQKFVILDVATAEEVWKWPDAAKVSGFASFGQFEAIKKITVFEFSPD GKWLIVGDASGALGVYEVRDLGTRFELLDQSSLNGVSELGGKGGLPKGNVAELM NEUTE1DRAFT_111904 MSPKKAEPEAVAWPLFGRLPVEIQIMIFHEALRKPQIHFADASR HEVDPVTWKLDLKSRSKKKGDTSGYHLVENIQDVARDSPVAAEAILKSALQPLLLPIP VRPNNDWRKIDAATDLVVFEFSVDKSGKLWLWHPRNQLVDLNPLASHIDSRQVRKDLR GIRKVAFVYGGNKQPSAGSSESVFQCLQHHNGRHSGQKFCPEELLGFIYQLSDIEAVY IILHHRVNKKPVTNYAASYFSVPAATRNTFGLKTFYSTTRSYITVPLPSCEIGPVFHA TWKTKDYIWPHGPSPPLVNAVREAAMARPPDSREGRYVEHVRKLSHLAHKLDHHEEVY LLVAEMILQIRADQNTPLSPDWPLPTPPQVNTAQFRTLKQHHKQRYNLENFTKERRDN LEFGMLLMVDETAVFKNEKSKSKPTS NEUTE1DRAFT_85589 MTDHNQDIDELNLPIALRRTRRTGTGTVRRTPGVSGVGRRSAIK RNPSVACTREVSPPTPPTTPRRDWKNRITKTVTVRGNKTHLQLSSGAVNGLVEDELSS TGLTPMVRRTSLQPPSPAPGSSKCTYKNDNTATPSPRRSALTKLVSTQQPSTSNQADR GTETASSHILPLRQSHHKRHTIQTHRSASLSSTSGEEKMNRAYTEWRKREREAQEEIE RLRAELKEKNETIERFHQDDETVELELDGGMVDAERNPARSRELEMEREKAERQTAVL QQQQQQPHSPETPNNLTFHTALSMRQGEDLRGNEGEDRQQQYMALNHHHRHHDPGSGS ETEALSLTTSQFSEAIRARLDCSTPPPSGSRARHHRLLPTTTTAATATAAGPRLFPTP PTTGPMTMAMATATARAIIPINNLTPGTELPITPCSNSRHGARSTSSSSRRTSEATTI HNLHHNHNGMNEEEATPTRALNRGGGPEEQQLHSEKIATLTTQNTTLQASLSRAQSSL RVALQLVKKLEIESDRLRGETLLLQREKRELLEDVREMRSDREVVRERVRSALERRDE MWKGRMKMLGEGIVEGVRGAFEEVIEDVLMDSGVEGMDEMPGREPEGLPTARMSREKR KRGREEEEEDADEEEEEEEDQVHEDMQEWEGGDGASCDLGAGNSGAGGSSGRGSYDDG LEDIERRNMRVRERMMRKRRRRTSGFGTEEEEDADPDA NEUTE1DRAFT_147899 MGKKKRGHPDVEELLARPWCYYCERDFEDLKLLISHQKAKHFKC DRCGKRLNTAGGLSVHMNQVHKESLDKVENALPNRQGLDIEIFGMEGVPDDIVQQHNQ RIITNFYTAQAERHAATGNPPPGQAGGQGPAKKIKIETPEELKKRLADHRAKVAAIKA NGGVLPVPVVAPKAQPASVSPVTGQSPAQGASPLPPPQPGFPYPPPVGVPGTFPPPGQ PGVVGAYPPVYPPPGATPTPPGAPGGPGFNLPARPTGGALPQRPPYYNNYNGGAVPPP GAYPGGASTVDELVSGAARQGGGAQGDEIDQLIRAAEQGSKPPARAGEDSAGGEKKGK SKDKNMRMVYGDSEVSPEEKMALLPRYAVSAA NEUTE1DRAFT_147900 MSGYPDPNASSITVAVRVRPFTIREAAQLVRRDEGTVFLGDGSL AAAPTPKLNQRGIRPVIKVVDDRCLVFDPPEDNPIQKFSRSVVPAMGKKVKDQVFAFD RIFDENASQVEVYEGTTKGLLDSVLDGYNATVFAYGATGCGKTHTITGTPQSPGIIFL TMQELFEKINERSGEKHTEVTLSYLEIYNETIRDLLVPPGSATNKQGLMLREDSNQGV SVAGLTSHKPKDVQEVMDMIVQGNEYRTVSPTAANAVSSRSHAVLQINVAQKDRNAAV NEPHTMATLSIIDLAGSERASATKNRGERLLEGANINKSLLALGSCINALCDPRKSNH VPYRNSKLTRLLKFSLGGNCKTVMIVCVSPSSEHFDETQNTLRYANRAKNIQTKVTRN VFNVNRHVKDFLVKIDEQMALINELKAQQRDAEKVFFAKFLKQSEKRDAVVREGLARL HAAYEHAEKDRMEVIGFMKQQRAIERRIGLLSSWIAAFDSVCDARGCASEEEMPAPLT AIRKTAVGILNELETTRNHIHQRLGRCNWERALDTAFQHSISQLPAMGGNSEGCNAER DILAREAELLRTGFMRDAYHEVVEQDKAGNAAILQTLLMAQFEIMASLEELLRMEERQ AMEHAKAMVNRLLETGLQAVGQVVKPDGSLSTAGYQGTGLSVPKLRRKSGAINGAKTI PQPVFSASAALTTAIPTSTETAMDISTEPEADVEGQLESWDGESVPHLSPVKARKVAY ASSKKAGTSFTFTPVKKKHSVRWRDDETEEGTLADFEKTPQKWDSSPGEPENGISPVR PPMPSYLGGMKSPPPPQRDNTDEDVEMDDGAGPALSSIPDLSGLSIGKPNRFQAGFLS KSRTSLAGSTPGATITGNGVLQSQPPPVFNLNLANSNNSISQQNGGNEDRPAQPLRSI PVSRAASAYSSPISYSAKPPNAAPSTTNTISSGNHDGSPKIPQPPTTSSLQQVDENQP PITARKSSSRLSLGSAIPRRLSTSPRSASGGSTDSDNSLVIDPLKLRSALQEKKRRDR LSLMSGTAASIAKAKRRASSAAPLSNGPGGGNGSASTPGPAASRPSIAKVSHRASVGH GRSSIGGHRASFGGSLHGRASMGAHHRASIGAGGHPGLGGAGRRSSMGVGGSGPLASA TNGISRHRKGSGLEKSGAVATTRKGGIPLPTIAGSPPVRSGTTGLAARRASLGPNSAW LVESGMMGPKSGIGLTNAQPRRMGINGNGSGFGKGSPNGGEEDNNSASGTTAANRLSG FGSFDGKNRRITIGAGPIGKKSSSSLWQ NEUTE1DRAFT_123797 MLLTGAKGTLWLAFTSMVAALGQEPIISFDKAPGALQIAGGKIS KGQIRVAKNEYWGVIRAAGDLALDFGRVTGMNYTLSNGERGASPAVYTYNPVDNMNNT HYSTTGIANFTGPAYSDPSPSDTVIIAGTIGHSEVIDSLIASKKLDVSAIKGKWESFT SQVIENPIQGCSSALVIAGSDPRGTIFGIYDVSEQIGVSPWYFWADVPTRQNKNIYAL NKKKVQGPPSVKYRGFFLNDEQPALTNWVEEHWERTPYGAGYGPAFYGLIFEVLLRLR ANYLWPTVWGTMFEVDDPGNQPFADAFEIVLGTSHTEPMMRAQNEFGHFYQGPWAYNL NNETIDDYFRYGVQRAKPYARNSLWTMGMRGTGDTAIEGLGVDHIVDMLTTLVHNQRQ ILAEGLGVSDVTTVPQAWCLYKEVMTYLFAGLEVPDDITLLWADDNWGHVRRLPLLNE TTRAGGAGVYYHFDYVGDPRNYKWINTVQLSKTTEQMHMTYARGADRIWIVNVGDMKA NEIPINHWFDLGYDMERWDVDSTEEWAKTWAAREFGSTYADEISDIMIKYAMYASRRK FELVEPQTYSVINYNEADAVLQQWAELRAHAQAVYDKLDEAYKPAFFEMILHPAIGGE VVHRIYAGGAKNLLYSGQKRNSANEVINQVLAASDEDWQLQERWDALLGGKWRHFMDQ THLGYDGYWQQPMRNTLPAMVYVQTHFVSLAGHVGIGVEGSNATVPGDDKYHANSGNN LSLPPMDPYGPATRYFDVFSRGTKDCTWQAAPWQPWVKLSQYNGTVGPKGSDTRVQVS IDWSKAPKVPLSSTVNINVTNSCGGGPMVQVPVQIHSVPSDFTKGFVESDGHVAITGA HYSVIIPPKEKSKLNDKVTYHTLSKYGRSGSGIGLVPLKTEKLTIETAPALEYDLYLF TNHTKANVTVLISPALNYLGEWTPLEYGISLYPAGASTPSEPKMVRPVGPTVGANMPE GWGYAVADSVWGTVGNYTTSTFSVPQEGAYKLRFWALMPGIVVQKIIVDMGGVRKSFF GPPESFMVGRDQVGRYNQTSFLAEVDTLGGLYEKQ NEUTE1DRAFT_139633 MVTQKPETKESPAYQPSLEYLRVGELSDDHDTDPARRDEAGASS LINDAGTGTFNG NEUTE1DRAFT_85600 MASLLNQLRGLTTVDCDTLDAQGEQHNTAISAENKAIVLAEITK LDANGKLIYQTLIDEIIPIAHWMFGNQNDATVEELASELLAVGLALRFIPHLNGFIHI QTNPKWCYSTEKTVKNAERIVAHLKHLAPDFDTSRICIKIPATWEGLQACRELERRHS IATLATIVFSMEQVALAVDAGCRYVAPYVNELRVHFDATYTDANKDRAALFCGAAQRY CDEVASSASAAEAAHGSLNLQMQTQVLAASLTSVEEVMRLAGAVKHITVSPPLLMELE ATPAAGWPGASTVGAAAAITGSRVNGDAVRLRELVRDQSQWRLAFTRAEAGESERKLG QAIGIFCDMQDQIERLVRRWDLTGKNYARG NEUTE1DRAFT_147903 MRPPTSTLRRNVLLDRVVCQQPRLVIVPQSRLPGTLRRTLTTSR APAATPSQRLKAGYFVSNAFLPRVKANGIHDSASSQRSSTVASTTSTTADTAHGSSST TQPTTATDLPPVDNTLLPHRRRQAQRKAAAAAAAAAAANGETPTPLINPDAPTADLAP DASSQLAAAAASAPADSLKRRLSSLLSLSKPRLTVLVVLSAMVPYALYPVPSFLTSSA LDTSLAPSLSPLTLLFLTTGTTLCSASANALNMLYEPDTDSKMTRTRTRPLVRRLLTT KAAVLFAVGCGLAGTLALYFGVNPTVSFLGAANIALYAGAYTPLKRISAVNTWVGAIV GGIPPLMGWAAAAGESATGDGTWRELLFASDGSSLGGWLFAGLLFAWQFPHFMPLSWG IRHEYKAAGLRMLAWTNPARNGRVALRYSLAFIPLCVGLSATGVTEWSFAVTSLPVNA WLVWEAIKFWRLEGHKGSARGLFWASVWHLPVIMVLALAQKKGMWGRVWRSVFGEPEE EEGEWVYEDEDEEEAAGVGEVVKGVVKK NEUTE1DRAFT_85604 MSYYQQPRQVSYYGDLSTTAAAPQMAMPSYDAYAVSAVSSMQIP TATMGGPVGGSLPAQHRASSGAWNQDDDRALLALRAMGKNWNQIQREAFPNKTGNACR KRHERLMERRGQTDFDSLKLERLSKEYMSMRKEIWKPLADRCGEKWHVVEAQCMSNGL KNIQSHARSYSRKERLANGQSSSGYDDDSGISGIGLTPIDDDDVDDYSSPEPSGSIHG GAHSTSGGSTGSGGTPSHQAFAQSNYQNYGYQPHHGYSNSVSSTGTTGGYAHHGGSSQ GTSPYMGHGNRLPSVGDMGINNIINRGSGRDAN NEUTE1DRAFT_102800 MPAERHGTLLSQRCSVTVAINGRRSGEQRGNEGTVGADLRLPEG VGGLYCGPAAREIVTASGPDRFHKTSLGHNTASAGRSTTNYKSPQSSRRMSNLGITGT YAPAGRDGMKRHVAIQNHQAEDKPFQPLR NEUTE1DRAFT_85607 MGGGGGSGAAGIYDAALQRREAVMGKSGPAALVKNFRVFSIACF ACIGGVLYGYNQGMFSGVLAMPSFQKHMGEYDPIDPNASQTKKGWLTAILELGAWLGT LLSGFMAEVLSRKYGVLVACLVFMLGVVIQATSISGGHETILAGRFITGMGVGSLAMI IPIYNSEVAPPEVRGALVALQQLAICFGIMVSFWIDYGTNYIGGTKLETQSDAAWLVP VCLQLAPALILFFGMMFMPFSPRWLIHHGREAEARKILSTLRGLSQDHELVELEFLEI KAQSLFEKRSIAELFPELREQTAWNTFKLQFVAIEKLFRTKAMFRRVVVATVTMFFQQ WSGINAILYYAPQIFKQLGLSGNTTSLLATGVVGIVMFIATVPAVLWIDRVGRKPVLT IGALGMATCHIIIAVIVAKNVDQWETHKAAGWAAVAMVWLFVIHFGYSWGPCAWIIVA EIWPLSTRPYGVSLGASSNWMNNFIVGQVTPDMLKAIPYGTYIIFGLLTYMGAAFIWF FVPETKRLTLEEMDIIFGSEGTAQADNERMEEINAEIGLTRFLQGGSGAIQGAANGSD TGYDAEKGKSEHYSQHV NEUTE1DRAFT_117740 MGALTENLEFPFRRASGLDPPPEYAWLRKTDPVTQVRLFDGSLA WLVTKYHDVCQVATDERLSKERTRPGFPELAAGGKEAAKNRATFVDMDPPKHMQYRGM VQPIFEMEHVKELEPYIQKTVDDLLERMKNMGCEGGPVDLVQNFALPVPSYIIYTILG VPVEDLEFLTQQNSIRTNGSSTAREASAANQELLNYLRRLAEQRLQEPEDDLLSKLMT EQVKTGKLDTADAVQMAFLLLVAGNATMVNMIALGIVTLFLNPSQLEELKRDPSVVPA FVEELSRFHTASAMAIRRVAKEDLEIRGKTIKKGEGVIASNLSANRDEEVFKDADKFD MHREWPVKELGFGWGEHRCIAEFLAKAELKAVFETIFKKLPDLKIAVPFDEIEYTPLD RDVGIVKLPVTW NEUTE1DRAFT_85613 MASSTPTLRPETVLVTGSSGHLGRALMLSLPSYGYTPIGLDIKP NSTTTFIGSFGDASLLQNLFSPSWLRAQPEISSSNHPNLEPISHIIHCATLHKPHIES HTKAQFVQTNIADTLTLLEAAAATTTTTTTSGDPDANTNSERTTIKSFTYISTTSTFG RSLSPLPFFVPGVPKPAVHITEQTPCLPKNIYGLTKSSAEDLCYLISSSSSSSLPIII LKTSRFFPEADDDADRRNMLGDENLKLCELAYRRVDIADIVSACVASIRAQQTTSTRG TSNKRIGRNFGKYIISSPSPFLDLPQEDWVRLNTSSPDGAGAEKVFAEVAERLCPGIG AVMEQKGWKWLGRVDRVYDSRLAMEETENGGLGWKPEWTFQRALERIVRGEEWRSGLT REVGKLGYHDRVWGVYTTE NEUTE1DRAFT_85616 MSFVLGGGSNPAFGRDPGPPPPRTPRPGAFPPGSVPNPAAVPRV IPGMPAPASAYGFAQQPAAPAASPYYYAQAPAPAAPAAPAWGSAMAATPSLFPQPYQY ISGLGGSGRTPQPYPVINPNMPAANMMNSTGGVGCEPGYNYFFPPEHTKIHVFKCGAT PPWHQPPNARLPFHACHVPVSTTVQELMYGFGATNPSKKKNKLFEVVQGGNGRWYRGL AFRGDDKELLQKTCKDLGWDKSRSGLPGQKPVVYLYVTKD NEUTE1DRAFT_147908 MIDPSIRLRRAIHINDHLLVKRILRSHPYLLHNPDHSICGNANS NLHLAASLGHLSICKILVELGHEEGTPALNDEHQTALMLAASAGHTEIVHFLCENDPQ SILRQDIRGRDAVMEASLNGHDTVVQILLTWAPGGAPAALAQADLDGNTALHFASGNG NLLVLRTLLAAGADPGRKNVWSWTPGEYSATVQAEVYLKGLVNEVQKRKTAKREAEET QSAPPPLSKKDRMKGAFVKLVGRDVDVGD NEUTE1DRAFT_131147 MGSITYFTDSPPSLPPTEHVLTALDNVQTRGYHCRALLLPWPHS LCAAILEPALIRTLLPDFSFLASCQLGPASAASSTISDHDAIDTPDPDPYTNASRPPH RSSNPNTNRNFALQHLSNLTQGDIVYMYFEGGRDPSHLLRPGPHPLERLPEERSNTDG DEDAYTAAAAAHRLQLYLRLPIQTPEEAVRQLITHHAEQRLEMSFEELIQGPYPFRVP DICFRIPPLDGRMDFPRYGPPRHSRLVDAMTVQRSRLLGGGEFSSSSDATGMVLGIAI HQAVADAADVEVIMSRLRRELLREVGVGFEHEGDMLR NEUTE1DRAFT_111915 MDSHNDDSAYNKNNNEDHRLMTYLRRPYDPASTDMLTIRTSWVR TVHRAAQAYLHQMASTPSASNITEQDILYAFIWHLLTRAQIHGSGSGSSSNHDSVVPG NNPVEVVKLVTIVDVRSAPSPPTHTQSQNQLHPDYPGKYPPAIATVPLRQFLRPVITD ETGIPHLRQYAMLAFAIRSAIEVVQAQGQAQAGQEDARRVKMAAKRDSNEGCGRPLCI FSLEQARREAWKGIGYGESHERRMATVEIGSRRMSSSSREDEMEMGLGVHTVYKPSTS ASADYHAIVCPTSEAAQWGTGDGKWRVQLHLHPLARQWLRRWMDEKPEEAEIEPRIR NEUTE1DRAFT_117741 MRSLLHKLGRPAAPADIVEEVQHNPNDKEAAVGTTSATNASDPG AETDSSQEISKEAQAGIQRVEAMTKVWTRNDMYMAYITIWILYFVDALQSGMSTSFYP YVTSSFALHSLTATTSIMSSLIGGLFKLPLAKILDIWGRPQGFCAMLGCLTIGLIMMA GCNGVKTYAAAQVFYWVGYNGLTYSISIFLADTSALKNRALLFAFVSSPYIITCWISG IVAQRFLDGPGWRWGYGAFAIIVPAVCSPILWLFYKNHVKAKKAGLLPKHESKGNFWQ TLKFYVIEFDILGLLLITTGLALFLLSFNLYSYQKDQWKSPLIICFLIFGGLLMIAFA LYEKFLAPKTFIPYQLLMDRTVISACILAGALFVSFYIWDSYFSSFLQVVNNLDVVKT SYVVNVYSVGSCFWSLLVGWAVRKTNRFKWLAVYFGVPVTILGVGLMIHFRQPNENVG YIVMCQIFIATAGGTLVICEQMAVMAATSHEFIAVVLAIEAMFSNVGGAIGSTVASAI WTGVFPKHLAKYLPASEQQNLTAIYGSIVTQLSYPYGSETRNAIARAYGDSQKYMLIA ATAIQAISMVSVLFWRDIKLDSVKQNKGLVF NEUTE1DRAFT_102820 MPTISTEANLDLLHSIYNVPSFSLILRHFRDTCPRSTYVCHACR SVILHKMVLGASHPSLCNKLGLTPLFKILQEEGLTASSNYGLDKSDRRVPKPEAIDYM TALGSPEVWHEVLTSGWGGFLVNYLVVQKGPGYSTEELEDMGKKELGSLMSKLIFLCS GTYTVLDLFHNRRFDQFAEWTAELLANLECPTGACKALQWQELAGRNRHGVSGSVKSL DGEDAIVFAKIVLFSVRSLLDPQIRNVIDKHPGLVPHLEKDFDPRVVMPSAARRYAWR PQRLVEMTVRDASGLFDEAREKGYFDILIEEGRERWRAAKNLAKKEEEEEAYEPYY NEUTE1DRAFT_111927 MGRNKGYYFISNFEKEVEEFTDKLLKIFINGSLVNNKTRKYLYL EKYGAVEDEKEAAIKKSAFKVPSYEVTKPIDIETIFSKLKNIGKKFFSIFNIDLRGIH AVHPVLKRLPTADQPVHPIRSTFEYSQCLTTRVFIREND NEUTE1DRAFT_131156 MRQTHWHLTFMTDQLPRMLDILGKYNIKITYFVESWSLAVHPTV VKNIIARGHEVAWHGYQHEPRVSLPLEEMRDKLVKSFEIAEVVGINTALGVARVATPF LHNPQLAFSLVHNFPVLLQSNLIKSEAHHKIYPNPPPTPPINKPTMASRAFFDPLTLL RLAPAISSTASLTLAWDQHWMLRIFTLPELELDSNLYLPKWFHAFFRAGLPSVLAFLS VTMTTATLNLRSESLLLKRRGSYYWYAAGAVLAAGHLLFVPAVAPRIQAIVEDETKEK SVGELRRWLRVNGIRGLTTDLGAWVCCVVAVVRTFSV NEUTE1DRAFT_147919 MPPKKTNARNRVTKGGRLGHGPRWTPFKAWNASRTARETIPGAI CKQVDSFYADPRRWNAAGRPQRPRLSTLPKTEAENESECIADRARAALAEPIDAPEVK YCYTCFECGRNPDDPECSVQCYDELHSGGGSKNGMKTFFKKWLEIRHTGTAEKGYGVF VKTNGRYEIPKGAYLGHYVGEIIPGPTKGYNANNKYLYEPQVGLEWDTDRPIIDAGKM GNWTRFMNSSCDPNVSESIMQIGKVRVIAFFANKTLKSGDELCIYYGDDYFRGHGLLC TCDNFEGSHDPTAVEAGLKARERHQQALALQP NEUTE1DRAFT_66960 MGKNRKSKRQLVRDEKRAKKREREIEHEEERDIKKQRREEAQQE TLAPAAADYVPPPLDGIYDENAFSSAPEGRRGPNTNFEREFFGMLAEEEQEYFRHADE LLELNDFPSTEERDIFLQNVYKEMRGKELKLASSQSCSRLMERLILLSNTRQKKSLFD AFGGHFISLVTHRFASHCCEKLFLQSAPVVTQELSGEYEQEPLPEGEEETEAMKSSME DLFLLTLDELEEHLGFLLSDRYGSHAMRALLVILSGRPLDQAGTKSLLQSRKKEYITV EGAAANASELSSQIRAVPSSFTMAVKKIIDDSTATMDATALRVLAKHPTGNPTLQLLL ELELTMFGKNKEKKEKKQKKDDDEAETNKEETQSEISLLGMLVPDAPAAFADNTTQAA EFVNSMIYDPIGSRLLETLITHCPGKIFKGLQQHIFGPRIQSLLRNDIACYPAIRVLN RLSREDLADAVEKSLPEMASFVDKGRFNVIKTLFERCNVRNGTDEINSLLKALTSAYG NDWKNLVPKLCMLDEEVSEEEQKPQSTEEVGEEEAEEKKEKQQPKFQTQEAKNKAFML NHGSQLVAALLAIPGQPSKAIQSSLAALKPAQVMKMATTSHNTSSILIKALQTPAITP TFHKVLVSSLLPHVYELATSQHGSAILNAIITLPSKPAEPNAPAVPFHMKENIISQLA QYERDLRETWLGRNVWRTWKGDMWSHRRHDWVRWAKETDPETARVAAAPKKREDKEKE EAEKKPKGYLGKNFDPKKAKGFAGNKKFEKKEVSA NEUTE1DRAFT_85645 MPTLLVLSGFTIVAIFASLAFMASKWRKAETPSPNNTKFFGSQI GEQSVINYSYTDRPLKLMAFDIYYFFKFIWALPYVLIPLSPSDSGDLDELSITRGNLF CVGLHAILVVLQLGFIVTLPTLILFPVWTAALAIGLFILVNHGLCTFLNGKEVEYHSD PKYAPALPEHAHEQWIFINGVAVGAGILFDVIECLVQRNLGYATADVRICYRIIKEKL YNPQYSKVIFILHSQGAIEGSMIIDWLLQELPQNLLAKLEVYTFGNAANHFNNPHRNI QSQRSAINNPLAASTDSTNTAAGSGNTEQAQATLSNNQCQTEISPQQRTTTTGTTPAT AAAPDTASTTTTKGEESCSSSSSWVAPSIPSLTSETSAITPSAVSGRAIGHIEHYAHT TDFVALWGILHFATSIPGQHTMPRFIGRVFARTTTRGGHQLCQHYLDGMFPLEKDPKT GAFLGCAETGNEFMESEITVGEAGSEMTAAKEAMEISWLANGITNGIIVGGGEGNGDE AVGGIAVYGGHSPVEQRRRVRTRRESKPAKVKVKDLSRLWQYRNGKSPNDTPPMRTAT V NEUTE1DRAFT_66966 MLCTHLIKYTLASGMLGLDVTVYVKYPDHHYSTVGLALDCILLG ITLLMLFYAFYTYRRLLKLEAYDLAYNKSGGYSHGNEQNLGYTVNIFSGKKTRQPSPT PEALKSRIDQAIGAEFGWGSRPSGSVTERSGIVVASGSVQSGVPTRPAELHRARSWVT ETGVVGPNTSETHLDANGRNTDDDYHDYYELAHARSLSIPTLVATHHGDDGDSEGLLT GSRDNSKAMREVDRWHGYS NEUTE1DRAFT_85648 MDAGHSAPRSPATNSSVAANIGMVDQDWKPYLFVAQRPATQRKE AEKWIPAEAVSRPLHGKKQGTGRSMEATFP NEUTE1DRAFT_95660 MKLISAVMVALVSVAMAYPTTPNNNAQVVSRQNLQHDVDASVPA MSDASGNVVPFSSTSVFQPAAADGQ NEUTE1DRAFT_85652 MATVEHCLCCFEALVAYHERRKPMSLSEIEKSWVEYINTVDENN GDGDDDDQEANVSSKAPQIPALRRLAAATLPSSTTSSSTSLATISSSTSASTSSSNTT LQSGISTPATSIASSASPTPPTPVYESPLFVTWNVVRDSDDDDVSLRGCIGTFESQPL SSGLPSYALTSALQDTRFHPISRAELPSLQVAVTLLTDFEPAADAMDWELGKHGLRIS FVYRGRRYGATYLPDVAPEQGWTKEETVVSLMRKAGWEGNKSRWREVELRVVRYQGKK EKLGYEEYKAWRDWVDEKEAE NEUTE1DRAFT_123823 MNGFHSTDKPLNRASEVDHLIDAVKSLIIPYIRDADNAASFRAT GQLPPDNRNVLVRSLRPDQLVKELALSLPSGEGQGSQGLLETIQSILSYSVNTWDQGF MDKLYASTNAVGVVTELLLSVLNTNLHVYQVSPALSVIEKYTAKQFASLFGFTGPRAG GVTCQGGSASNLTSIVIARNTLFPLSKIHGNGHEQCGAPGPLILLTSAHGHYSIEKAA MTCGFGSSAVWTVPVDEQGRMQPAALREMVLKAKCEGKHPFYVNATAGTTVLGSYDPF DEIADVCDEFGMWLHIDGSWGGPAVFSRKHKHKMQGSHRARSLTVNPHKMLNAPVTCS FLLTNDVKVFHRANTLPAGYLFHGPAAAEEEEEVADGEENAPQSQTTPSEEGVTTTNG CGNGNSSDEVWDLADLTLQCGRRGDALKLALSWIYYGAAGFERQIDGAFDMAAYLANL IAERNDFVLVSSNPPPCLQVCFYYAPGGKLADEPEENTRRTRIMVEKLIARGFMVDYA PGDKGSLFRVVVNCQTLRGTVEGLVKGLEAIGKEIVPQ NEUTE1DRAFT_111936 MGERSPNGEEKRRLAQMTSNRAMRDCPQLRQVLRWSTVRRSEKP QLATVGSSTKVPTCEKASTPRRAVTGRPHCPPPFAFRCLYAGRRDWTPEQPEVMPPCG KAKSVHTQEFAASKPPQ NEUTE1DRAFT_147926 MPSQNPRSHGHSASTGSAKTGGASISSPTQGGTRQSQQPPVPIS ITICGDGGCGKSSITLRLVRSQWTEDYDPTIEDSYSITRRIDGVTYHLSLTDTAGQEE YRGMWASSNLGADAFLMVYDITSRDSLDALQYFNDLIDMEAETRADNAARARRAGLSP AQISGSMSNRYATGSNMGGGAGSGAKAVPPVKIVAGNKCDLSENRVVPAATGLEWARA RGCGFMETSARLEVNIEETFALIVRRVVEQRRLAELGITGVDQEAAEELLGSSRGRTK PLSPLPTKEGKERGMDEKDGNGGWRKKRGRATKLLKSLRCW NEUTE1DRAFT_95662 MSPSVLEPQSVPTLVNVGLKAVGRNDAPVERDARGLSKSLLELM PTLGTDAFTFSPIRESTVSRAMTRRYFADLDAHAETDIVIVGAGSCGLSCAYVLSTLR PDLRITIVEAGVAPGGGAWLGGQLFSAMVMRKPADVFLDEVGVPYEDEGDYVVVKHAA LFTSTVLSKVLQRPNVKLFNATTVEDLITRKHHAESSSSSDDGEAEDEAKVRIAGVVT NWTLVSMHHDDQSCMDPNTINAPVIISTTGHDGPFGAFSVKRLVSMKQMERLNGMRGL DMQSAEDAIVNNTREIVPGLIVGGMELSEIDGANRMGPTFGAMALSGVKAAHEAIRVF DLRKAQNDKC NEUTE1DRAFT_111939 MRLAHIIHLALASLASIALAQDVLFPYMHGLKYSEYNETVKLGL SAHVCKDEAEWYNMTTEDFAKYKAIIVPDCLCNTSLNTIKFLDDTKKEWSPAVTGNMI LIGTDPSFHAKWFGLPGAYAMMKDAISHVTLGNKNGTGMYLSLSCYYQSNSSQMTVQS LSEIGNFQVRGNLSHPCLNDAHLVATSDVMNSLNDGIASDWNCSVHEVFSDYPRDGSG GFNALAVAVNASGPGQQEFADGTVGIPYIIARGATPVGCGNNVTDTKFHEQCDEGKAN GTPESLCSASCKCIYGMISPGVCRPKDNTASTTTSHAPSSTISSNSRPVITVTASLPS SSVTANLTFIDTSTTPSPTGPETDPLTVTVFPSSPSSLSSVPPTVTVTPLPAPSSVTP PSNSSSMSYGNGTSPLEPPRPPPTVTVFPSEDPSGSPPRTVTVEPSSPSNSGGAPSPS PSGSGPVTVTVLPPAQSANGTSPQSLGSSSSQPVSGPVTVTASPPSLSGSPPPSSIPS QAGNSSSAPSSQSSGDSALSGSSGPVTVTASGSGRPNYSNAPSSQETVTADLGTGSAS SSPVGSASGSGTTSRGLPSSPSSSGPQSGAPPVTVTAQPPDQPIVSSQTPGGGSLSDI PGSSGSGSLSDSPGVSSNQLSASRGQVTVTAQPSAQSSVQPSSGVSGGTGGGNGGSNP GSSSSQPDGSSPSSSSSGPHTAPQSTVTVIPSASGEPDTEGPDTSGNPGAVPPSSSQV TATNSGQYLSSTSADTQTASGDPISASPSSGAGAGAGAGSGSASHFSSSRPPFSNQTA TFTYSGITVSSVPGSQTTVNSGSSSGAGSLSTATATPVPASGSGTATMGASSISAGGG SNNGNGSGSGNSGSATGSAQAPGATGTGNPSNGGSGAGGSLTLSPSGPISSEQPVSNA ASGAPSMSLSSLSSGSSVSGSVSSGDSLTATSVSGSASATTLPGLPSGWTLTQESANT HPGSAVSTGQAGSGTGSGSSSVSGTTLGVSPSSAAVNGTDTRGSSSSVSTGAGSGGIE TGSTISSGSDVTSPFPTATPVVCDRWIGVEIIYMIEEIEVCPEGATVVPVTTTTTSAT ATMSRSICQTSATNLPCYPCIMGTPASSDHSFTVTRTSCTTATEPTVTVTFQPCSTCT TSIYTGTVPGHTPGGPCHACSSYGEPDATSTVTVMDPGVGRPSSEVWLSALNPTTIAT RPAGPAASVGSESECTTSTLVGVGGGYPGPAASVSETSPAIVPIHTDPPTPGNGGNEH APAGYTYGPHPPLDDPTPSGSPPGVPGAPGSGEGGVSTGDSHRPAMTPTTPASTPDPD PVVVTNTAVPFRPTTAAGAGYGPVLAGAPGLIMKARHSTAGVVLGLFGYIVMALLS NEUTE1DRAFT_66982 MSAVFKIPIRITSRISTKPLALPYKPSILLSGYFKRGYCQNINI NPTITMTSTLESPQFTKRVVAAMRALYPEQLADKAWDNVGLLQENIAVAGQDVPQTVL LTNDLTVAVAEEAIRKRASVIVSYHPFIFRGLKSVTLADPQQRILLQLAQANIAVYSP HTAVDAAPGGMNDWLADMLDGHGVETKRSICQPISSSITASLPPAFFNSGYGRLVELG HPVYLGNIIKAYAEGLGGLNHIMIAAPKDKKVTTIRSVGICAGSGADVLKNCDADMIV TGEMTHHYALALTMKGKVVMTVFHSNSERKFLKKRLQPQLQAQLEKEGVKHPEVLVSE EDADPFEIWDVRKMPAWAFGKDSE NEUTE1DRAFT_117755 MADNQEPAITEDFLSAALKTRLNATHVEVTDMSGGCGASFTSLI VSPDFVGKNSLKRHRLVNAALKDEIARIHAWSAKCQTPDEYAKEKAATGGNDGPPMDG TNAQGKVEGVTE NEUTE1DRAFT_46660 IADNDGDDLLCHSFCTTKPIEQESFTEQDLRTHLASLNSTNWAV EEILQVRHGDEYINAPQQSLEPWEERGDDTYKNATYEVYEIPGSGDQKCMPEPKHKEN GDDPQQVLSINSVWDTLKEVNMNGKAVGRITILQEVPPLVLGAVHMTMRKHFDMDELF QHLISSEGNNGKTKAYMDRTTESNPLRHRTFFFVFKYYTVVNENKCTPAPWQRYDRRP ADRKWPDHVDIAECSSILALSLGGDPIKKYRARVKKRDIKDHVIFDTSAPWQLLSIQC FPDDEHTMRSEGSKRPLCNGPLAFLDSLALEYHDAVTRYSQINDEVTKLITPPSQFMF NSELRDGLLFEDENFSYSSDSPLSPLIIQSAECSSSPASVVFTTIYIPTLPCPLFSLS NLYLAPYL NEUTE1DRAFT_131168 MEAASARYATRDRWAEMGRPAPSQLQRFITAACSPDNYEPNLAL NLEIADLINSKKGSAPREAAVAIVNYINNRNPNVSLLALNLLDICVKNCGYPFHLQIS TKEFLNELVRRFPERPPIRPTRVQLKILEAIEEWRCTICETSRYKEDLGFIRDMHRLL SYKGYTFPEVRREDAAVLNPSDNLKSVEEMEEEEREAQSAKLQELIRRGTPEDLQEAN RLMKVMAGYDTRSKVDYRAKAAEDVGKIQQKARLLEERLEAFKPGDKIVDGDVFSELA AALSSAQPKIQKMCEEESDDHEAVAKLLEINDSIHRTVERYRLLKKGDIEGAKALASG APVSSTSNGSGSGTGKSAAQELSLIDFDAEPSGGDSTSQSAPQAGNSLENDLLGLSLG ETSSYGQGGGIALGFGANTNIPGPALLSSTTQNSTARGPSPQPGPSSFNNFNSFASPV ASQSSTPKPPAYTASAFPAPAASSTASNDPFAALASLSSSSTPAPAPAPAATGNDDDE WSFSSALPPEATPAQPKEHRAVVSNTALKVDMVAVRSLSNGPSPAISMQFAFSNNTAQ PITEVHFQLAVTKGYELQLQPQTGRTLAPKQSRGITQSVNVWYTADKTRKVESVKLRW RVSYKVGAEAKAEMGEIPEFSVA NEUTE1DRAFT_85671 MVRHKKDGFSSRGGKGGHGRNPPRNNPRSSKGPRDNAGSGGEDT TANPHDPSTSSRPYRPPFKAACWDLGHCDPKRCSGKKLMKLGLMRELHLGQRHSGVII TPNGKRVVSPADKELMEQYGAAVVECSWARTKEVEWKKVGGKCERLLPYLVAANTVNY GKPFRLNCVEALAAAFAICGHLEWAEEILAPFSYGRAFLDINSKLFKIYSACKDEEEI KKAEEAWRERLEKEYTESREGESDDIWTTGNTNRRPAMDDSDEEDDDEDDEEDSDEEE DSNDEDGSVDGIYLGTKPPPKQKQPAEEESEEEDKDPFAISDDSDDEAEMEAIRRKIL ASKSFANPEPPKKTVETIARPQGQYKPDSDAEPDSDNGEEDDEFDNIIAATPITDRIG LQKLEKERARATLTGTFSSASISAPRGGR NEUTE1DRAFT_85676 MMRGGLLQPATPSAGGEGGGGGGGGGGGWGGSWKPAAPATPATQ TTPETGTAQSQPKSANAGLLPHEIAARQRLLRMAEAAVAAQPAPQPARNPAPEPPKRA SSDRPVRRLPEGQQNEFNRAPPRKEQQGPVVRRMLLHPKQPGQDPTTETPGPKTEREA QRNQAPVRKVLEPFGIRRFPARAPQTQQPAPKESPSSQTASSEPTPSRPTQSPASPSQ PTVSSLSSLSSGASLKPGPASQLSEQTDGASGKSSWDALEAASSASSQPIKPASGEWG TSSWGASSWGASSASSQPASQSQTERLLPHEKVARDRLQQRHAQSHPQAPAKNLKSLA DGLFAHDRQTGQLSAKDLRKRQETGSLKNDLLNAEEEERRADNTDAWDDIFSTQPPPP PPPPPSARRTSAREVYQSISSKFAPPPAPPSDSKSSRETEGQWAGLIRRTAGANVGNG RLTGNNDFVKTAPPSETEKRSEPRDSHNFWKQAEDRYATSPAPQAQPEAASESTREAT EAFKKQMSDGWDWADDYGGKPKEKEGQKLEQQDFEKPRGRDNARQTRGDRVALEEIPI PSRYIKEEKKPKKKGGRRRDYNEDDDFDDMAYEYKRRERLAKKAEKERLQYEETGPIP ILLPEFISVANLGMALGVKTDLFISQLGELGFEDIAKDSIMTGETAALVAQEYGFEPT VDAGEDEDLRPRPPPEDPSSVPLRPPVVTIMGHVDHGKTTLLDFLRKSSIAAGEHGGI TQHIGAFSVQMSSGKQITFLDTPGHAAFLSMRQRGANVTDIVILVVAADDSVKPQTIE AIKHARSAKVPMIVAINKIDKDQANVERVKSDLAANGVEIEDYGGDVQVVCVSGKTGQ GMDDLEDNILLLSEMLDIRAEFDGMAEGWVLESSIKPIGRVATVLVKRGTLRPGDFIV AGRVFAKVRSLRNEAGIEVEEAPPGTAVEILGWKEPPEAGDMVLQAPNEDKAKSAVHY RIEQKEREEAMEQITEMERLKREREAERIAAEEAEKGEDGAEAAEEKPDGPKMINFTV KGDVHGSVEAVCASILEIGSNEVRPRVLLSSPGQITESDVEHAAVSGSTIINFNNPIP GHIKRMAVENKVRIMDHNIIYNLTEEVRQVLSESLAPTISYKVLGEAEVAQVFAINIK GRKYKNIAGVKVRNGMVMRNGRVRLQRKGEVVFDGTISSVKHGKKEVTEMRKGTECGL EFGEFDDIKEGDLIQVVEEIRERRYL NEUTE1DRAFT_123831 MAPKKTSKGARGGGSSSSGGALEPPKPFRIAPDSLKAFTSSLSK KHVYIAHVDQKPASFKRKIFSVPIMLNAVVFALFLWRMWYIIPYYVALLSSSFLGYEN STTIRMADLTYRQFFAVAGRRTLTFLFDFVLAVFVWPWPIEFVFGRKHGSPVLWRTAI GFRDKEIYVRRSRSWDTQVYGVDIFSEKPQGNEARTILWDMVRNATSPMLLQEKTGYL TMNGDWDLDWKAMVKATTLVDKKEIPLDAFRTLVLCHHDKFGWIAIDMNESGENAQEA ERRRQVFAFRDALTAIGKENLFYRWIEVIQFETQQGGFTQERQIEVAQKIRDLFKENG VDFDAFWKEAVGSDPSVGI NEUTE1DRAFT_85682 MANPLQLAYRTQKAIGVFDADPVYEALPGFTKPEGNLRCCVYSG CGRYFAYATNEGVVIVDASVGHVLTSLPIPAVYELSFSPHGTYLSTWERPAKDENGDA TKNLKIWRTIEELADGAEKQPIGKFVQKSANGWNLQYTEDERFCARIVTNEVQFYNSN DLGTVWNKLRVEGVSDFALAPGKNQNVAVFVPERKGHPASVKVFNVPQFTSPISQKTF FKADKVQLKWNQLGTALIVLAQTEVDKSNKSYYGETNMYLLSANGSFDARVTLDKEGP IHDVSWSPNSKEFGVVYGYMPAKTTIFNHRAVATHSFPLGPRNTIIFSPTGRFALVAG FGNLAGQIDVYDLEKDYRKITTIESGNPSVCTWSPDSRYILTATTSPRLRVDNGIKLW HVGGGIMYNEDMVELYNVIWRPVPANNLPNVDPLHPVPTPHPSALAYLGTVKTPSKPV GAYRPPGARGSSTPLHFKREDEGGAAHSVSNGVPQVGPNGFGRPRRQIPGAEFAEPAR PSVPGAVVDDENLSKTALKNKKKRNKKAKEGQAQGEGATTPNEGGLAPPRESVDGRSP DRNHQRHHSRSQSRSNNLAVRTQNNHRSRSNVRQPNAPAQQATPVVDAAAAAAQSANA KKIRSLQKKIRAIEDLEMRHAGGEKLEDTQLKKIATKGSVIKELEALEKEGH NEUTE1DRAFT_48267 MDKLLTWPATGYLFSVFLIATIGISFSANLELRIRGVSIEHLDA PSSLWWVVSRHHRYLNISAWKSLFLLTYSHPTLPRQTKVAMESSRASERRRCCAMTPL GFSRSPSSSPLDDSDLEDENNDTLGTDNVWVDVDAVFPPAPATSPTRGDDELADVGMA RLPAPHPSVEHDENSLKTVDSADSTPIPTNVRSKGSKSNADLGGYYFRQVMHLLERKH QDIMFYEDVENHSIMHLDWASEVRDIQETQASRNHKSEEGTGLASKLEWGKTLFFGDW LYADNGQREYYMEIQIKHVSGDDDQVIRNLGMNPNEVWIGMGCAKDTPAPGGDKRSLS SNSSKMSAVQPKKLLLSSLAGTHSGFPSSPSEERGSEELEDIATRALTKMSRHRPLPT TPTNTSSSAHNNHGDATPKTVHVGSEDIDLCSPNATVNSPSDSRWTPLNLSSRIAATS KSEQDVAAGPSTDQAPPSPRPAFAQLFGSRSPQLESDRPIGTGIPRSKPLVPHSTSFD SIDDEGDITMSDDNVGETGDNGGGETYEGDTTQSEIVIPGTPSRSPSPPSSPIKYSPD SDQHQMAESSTSKENQNSRDTHHSLRDSLTPGHNTLNRLCTPELNGSFAARKKRSSIY TVRVVAFSTELSQNENGFSGPPSSQRHATLLGHGGWVCPPFEERVAKWMADYGHLPLS CSAIPEHEKKQHNNPPQTRCRALPVMFDGSVDDPPRYYGEPAPVWWELGDPCLEDVYW MVWQLLSGKQFIGFKRGTGFGFPRTHTSGDDALLERCPFKSPSGEAGVGSLVKGEEIR LRKMRADKEKKEWEGMVNLKGGEE NEUTE1DRAFT_111950 MVAHAIPRGRTTYTWYVSCDVLFVACFRFALPLHAVRSRYSRWR RRESENTATRRNRVHAHSCLRTLLRHLVQKSPRGHDFLAVWDNWGKATGEAEGRKWLV TEFRYRPADDDSFEARVPVPERRQLREMDDCDMWLVPYTVEEATKSQGYMTAPQSPII KLRRICPWPSLSRPGKHASNSSVPISARCRRIVKPRRVWGRQL NEUTE1DRAFT_147937 MFRQRTSSQKPADDLLAHFRQQFPEVAAATSSGAAAPARTATTS QAGIAVTAAERAQSLGHDAFRDQDPTPRATNEQWRFTPSVLDANQFTFSQFAGGHGYY TPTPGGTNTIYHPTAGDLHTPTLGLGMGLGTPLSMPTADGSLHPGPAHMELGGFHHNF PPFPHFNAFIQATPNQPSFAPSTFLHQDSGFETMDQDGSPIDSDPADERMGNIDGSFQ QSPMMGFQARQFGMPLSMQLPPSAERFRFHTTLNAPTAMIKNLDEIPVTYLNKGQAYS LSVVDTAPTLPIAPGTRFRTFVRVSFEDEQQRLKPGVCWSLWKEGRGTNEAHQRGGKL QAVEFVEATQPAEGDDKRTRIELESASFDGFSVIWTPGINGSVDCNIAVRFNFLSTDF SHSKGVKGIPVRLCAKTQPYLPNSPQSPNTSDGAEICYCKVKLFRDHGAERKLSNDVA HVRKSIDKVKQQMQQAESGIKDFGKRKRSGVAQMKTQSSQRPGKIQKHKRTWSMSSAS SAGGNPRVHLEEDLTMKLQNMQDMFTSTRPVSVLYLRGDELDDPDLHPVALPGESLDL TKLESKESNAWRSERSSVAGSSLVSPSPSSLSLASQMSVSGSKPNWPELSRFNSSDAS KGLSGPPSRVKRITGDNGNLTGWIDSLDVDASYRPPPERAIKPVACFYVARADPENSH GPEIYRAIYLMQRSLHEFVTRIAQKWNLDPSSIVRVTHRLHRGLEVAMDDDVIQELQE GQDMKLEIREVNGNNNSGVKREWEMAVDGPEAELASPATTNNSSQTAYELRLLY NEUTE1DRAFT_139678 MRSVTGCNNSHCPASKATYPRTCDKKVESEGRVSRRQTGTQNDA VNRQSLISMILQKQQVDVGRDMTNHHRQREFMKIPNGMTQCILLLNPHSLSSHPRSVL NVSLCNDKFATREESMRRTCTNDIVHAAR NEUTE1DRAFT_67008 MFSAFTGRSPAPAAAPADGSAPAAEEHPSDGNKLSTFLSILRKF IGVADLASVRFSLPSQLLEPTPNLEYWNYLDAPNAFVAIGTADEPVDRMLEVLRFWFT KDLKYAKGKPCKPYNSCLGEFFRCNWETDDDAPRINTKAVEDTISRPSSVKSGSSRAA STVSVPATNPKPSKPVRISYLTEQTSHHPPVSAFYIDCPEKGLHAKGFDQITAKFTGT SIKVMPGEHNLGIFITLEKRNHETYQLTHPPAHLGGILRGALSVTVGDMAYITCPETK LKAILRYYDDGWLGRTTNKVEGVIFKYDPENDDKMQIKDVPQEDILVRLGGPWKEKVV FTVGPKPLESHPPDQQTTIIDIAPLSVAPKVLPPTEQQLPNESLTLWGKVTEAILAKQ FSRATTLKQELEEAQREKAREREQKGESWQPVFFVTATDKAGKPALTEKGREVLKRAQ AGDWSMDGIFEKDAKVADAAK NEUTE1DRAFT_85692 MASTSGLTRRRGAGGGSSDVGGGGSGGGGGASDTRDVGPDTSYE TGENGHRIAFDPRDLSESAERSKQPKLTLMEEVLLLGIKDREGYLSFWNDNISYALRG CIVLELAFRGRISMQKDSSRRRFPLADRIIEVIDDTLTGEVLLDEALKMMKTSEKMSV SSWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATHPVVEG AAKEDIRRRVRNVLTQRTVVLTPTQYLPEDLEFRYVRTIAMVCAAHAANVLENALSTL GHEARENAFSMADELLLQYSQWPFAARPLPGGNGGVGANLPQVINEEVNKAKDKELQL EVVAACLSVFTRLDSLL NEUTE1DRAFT_67014 MADTDLQERLRSHAQAFDGLLSLIPAKMYYGEDNSDQWKKKKQT KEQAKAAKRGKLDPDSELNRNAKEVMEERARNKRKLQEMEESSTEDSDVDVEIPGVEK EKPLEGLKKRVVKDDAETEESAPKKQKLSEEEEEEEEEEEEEVVPAEKPLSKKEQEKE AKRLAKLAKQEKKKAKLEAKLGKGGKTEKKDDTVEEAKPSTEANEDDENDDMAPIDVS GLVTKEDEGESQESAQSSVFDSRDKAGSAEPASANTSISSAVPPLDKPKFKLPADTTV LRERLAAKIEQLRAARKIEKDEEGKPIRTRQELIEMRREKEAKRKAHKREQRQKQKEE EDRKRSEAIASSRNSPLSMLSPKDDVDTNFAFGRLAFSDGVQLSRDAAFVKSDGTKKK GPTDAKSKLAKLEAQKKRLEALPEDKRKEVLEKETWLAARKRAEGEKVHDDEHLLKKA VKRKEKAKSKSANEWRERKEGVAKAQYDRSKKREENIKKKQDEKKARKMGIKVKSGKG GKGGGKGASKGKSRPGFEGGFGGKKK NEUTE1DRAFT_147941 MGINGLLPLLKSIHRPAELRKYAGETLGIDGYGWLHRGAIACAI DLAQGKPTRKYVDYAMHRVKMFKHFGVTPYVVFDGDYLPSKAKTELDRENRREVSRKT GLELLKAGKPSQAHIELQKAIDITPEMARHLIDELKKANVPYVVAPYEADAQLIYLER RGIISGIVSEDSDLLVFGAKRLLTKMDQYGQCIEINRSQFCAVREISLTGWSDAEFRQ MAIFSGCDYLDSLPSMGLRTAYRMIRKLKTPERIVKKLQFDGKIRVPDDYLARFKQAE LTFIYQRVFCPEKQAVVCLTEPDESINVDDMPYIGAPIDAKLARAIAVGDVNPITKEP IIVTATSPSKRRISQVFTSAFGEGKKMGKPIDQYFKDRRIPLGEMDPNCFNVEPDNGE QEATAEPRPIVFPLPRPYVEDVGTSAAPARRYTAQNSRRKSEPISKLLASFDDTSSIT SRRQTTGAAFEVFTDTDPAISTRPPKKARLCEDAVSEDAVTANPEKSKFFPQTKAKKA AARKSESFIMSDDSIEEAFRSVPDAVWSSSKARRRSGKVQFVEESPSSEPVSQEKEQE DLEEPSLPVMPRSTTIDASSDAEDEVEVPGSSSQEAITKKSVKSQTPLGPQLRQFSYQ PGKPGARLVNGLPTPSSTQERSAAVRRSSLNPISPMLTPLQRMGARALKQVTPRATPP SSARSRKSSKPSEALGSVQVDPAAIPLPLADFAEVRALNMSLQGSEDQIIPESDGETE LGTTATRPRLNLAQYLYS NEUTE1DRAFT_47832 MPKTLEKARKAIAKKRGGAIEALHAKSRDSRRLHKAQVRDDRLE KMTEARRKRDQPLITRIAFFQEATRENENKPLELSAIQKKIAEFCHQHDEEYHEIKKA RRQGRPPSAKEDLLRMKMEALHKEERDGFYLPDLTNEKTTEMLDRWDGNWAFLTNLTW VKIQSSGRSAPASFPPQTN NEUTE1DRAFT_117761 MASLTRCPHSTHLLLESSPFSPLSFGSGPRAVWCLVSGAWTRWN CNLYAQR NEUTE1DRAFT_102845 MPRIDTTHDMANASDTHPNNLIYMKRPSYLPKSALIFPILLNLL SRSCCCPCCCCSDTGNAAGPGTYSLPCPCNIINVVVVVCPDTEIRLCCCPLS NEUTE1DRAFT_147942 MMSLRAVARTAAPRALRAVSASPITLGRATVRATSNVLRTQLSQ KTFSTTVFRAAATEIDSELSEKLSTEIGYEQDIASNEPVPATVKDFLENGPFELVDTP GKEDVVLKRTFGNEQITISFSIADLQNYEPGMFDEDTALGDEEGAQNEEDMGEDGGAP VRLNIVIEKPSKGALNIDAVAQDGSIVVDNMFYYHDAKLAHGDSAETQHAAQAVYPGP PFGTLDEDLQVLMERYLEDRGINQALALFVPDYLDMKEQKEYLRWLNNLKGFVDAQ NEUTE1DRAFT_85703 MGLNHPHQAQYSGVQQKDDDDDYHPHLPVSSSSRTQANDDHQPS EDEEAQLLSTEDYIEQFELDDNTDTSSPPIVTTKHSKSNSKSRLSPIYRLFTFLSGSS HPHRQTLTPFLLPSIQSLPRLTLERLLSHPWQRAALLSIGILLWLTILSVSLVQSKGT LLYSDGEGGGEVVRHLDCVSTFWGPGNECGVDGERCKPFGNVSFAFRCPADCRQVQVL NPRWVGGQEVVYKPWVIGGGDNGDGSVVGDVTAAGTETTEMGTETRVYRGDSFICQAA MHAGVISDSKGGCGVVKLVGEYYSFFGGEGGEGISSLGFDSYFPMGFTIHPALTGPGK CPQMEMKTARSLAPTILLTFLVSLLTTSATTLWFTSFIAVFAHVGLISDPPNVSGPSN TILPQLISILFERLLPATFTSAVLFWTCSRSTNLRAIPPSANLEKTVLWLGSLWIGAL SNFTLESWIPLSRLSAHDLSHQPGAVAALVAIVAVLCVTIAYQAYSFWLEGRVPPYLA LYGVLLAGIAVGAVISVTGLGALELRIHHYVLALLLLPLTSIQTRPALAYQGLLLGLF INGIARWGFDSVIQTAEALRGDDGLLGSSFVPLVDGQPLVYLSEALVETPELWSIAFK WKGINETIETLVPQIHEKGREEAERLWGLSVMVNDVERHRSFFAEEALEDQVFRWERD PRVVMTPEYFRFAFLGEDGRSLDYSGAGTWFGNGTWSSGPGFY NEUTE1DRAFT_131181 MSTIATPRDTGTPLARRVNSSQAFNNSNTTPTSSTRPSLDVPRS ASSSPNPSAAPVAAAAGKRANRAALREYYNLKKSQAASGTGTSTPILEVTSTPPSPDP SNNGFQQSLSSFDSIPASPLLDSPDFSAQPYLAELLQSSTLAELLKTYARILSEIRAL DAEKKALVYDNYSKLISATETIRKMRTSMFDSNALTGDKLDPMASTLDAVLGKVYELA SGIRGELRQRLSESHGGDEDAAVRRRETEQEQDRDLDGIEDEEERRKRKERRDRTREL AREVVKVPERLRALMKEGKKEAAAREWEMPRRLLERWKEKGVGGEDVGRLLEEGDTIV KGEKGSTPSTSTQGVEV NEUTE1DRAFT_139688 MRIWQTSPFCAEISIRLGPWVANIGGKPTALNGRRGVCDCGGVA VRVARKGGCTRTKSMSKSTDLQGAQSDVNGAKGAPGFLNLALQEFGAGDYILSRQGDG ARLEFAKGLLDIATGGGDLVTAKNDL NEUTE1DRAFT_102848 MALSTEVNITVNPPYHLRDGATPSHFTSSNLPWITGTWHTTYST NPEEQSGKRNARICLSLIRGTNSVSSDSEGSDSDMEPDKDRILAVRSYQNLHSSAIQD VGQTDLFLDELDQGFTQVNGPIMRQRWEIIAWGKEGQLEEWMNDDGSGWIVDNSGEAR PDWRNSYVVVYIGNDLGKLRNVGEGTIEIWDRFGPSGPLREETVEKIREALQALGGKD ERFAKLASRLQRMRMDEGRKSEDDARIRAY NEUTE1DRAFT_85706 MQLYIGAVFCVVTLAHVVPPQHVDFPSTDSNHNALAVDVAHLLD PRSADFQRNVSIVGLSASTSTNRPFVDSNSSYNFNLTAGPFAPAAYCHASISTPEANN LLTTDWIQCDLPPLDSTDTKSEDKATRTSYSQYQGASKIRRANDASRSEVARASTAFQ WTQNPEGSATLDIDSNITVARDGDGNSRGVVIRQQAVYDIPAEDIVGHAEYRGPQEVS ARVQKMEISSLGVDEGGYQELKVACLFFSRRPHSHELPTLMLVHPRNDILPHVVPQKG SRRRVAEAEPPRVLDAVPVPITLALGDARLFGPAIGKEVRVSAFLVSEADQIWVRDVN QTQVPVYQRQRSAGGGFEEDKTLVGLGVSVACVAGRGGGRSPGF NEUTE1DRAFT_123840 MEVMPSRPQVQIIDSPIPSPNDDQVLIRVVVSGCNPKDWKLPGM MPDTPPLNQGDDIAGIVEKVGSRVWEFKPGDRVAAFHEMLTPHGSWAEYAVAWQHTTF HIPKETSFEEAAALPLAAMTAALGLFSRLGLPEPWVKAVSDEERQNTPLVIYGASSAV GYYALQLAKRSNIHPLICIAGRAGGERIAPLLDQNKGDVLIDYREGEQAVVEGIKKAL NGRPLLHALDAVSEKGTPEHLAQVLSQPVKKGDKELRAKATFVLFGKMGVPDFVDQST TLVGSVHQDEKDFGYVWYRYMARGLQEGWFKAQRTEVLPGGLASVQEGLERLKDGKAS AVKYVYRIQETEGVERSEE NEUTE1DRAFT_147947 MYSKLALAALLLATAEARFGQEQSVANIISSLSNFGNPGVAPTL AGSTPGVLLAGANACDKLTLADRIVSELGNDKAVIDAAKKLVAAEKNFNPFAVSIPSI CSDPALPATQELRGIVPLVDPAVTGADVENANSATSLTTPFANDGLSVADIVKANGFS NFTAQSSSGSTTAPAAGNNNNNNNNNGNNGNNGNTGAVTSTVTSAAPAATSEAADAGN GNDNNGDNNDNNGNNNDDNSNNGNDNANTGNDNNSNGVQKSTLAGADFGLCVPTMSFV GGRGNRKATEFTFLPKDPLVAKGQQEALNPNIITNRICDQLTNVCQANQAAKTACLDA KAQIQALGTKDASTAQKWNELLGFAGTDVSQ NEUTE1DRAFT_117766 MFGMDCCRGEMTGRPSDEDHFQGAGRGIMLMYPDDTVVPSSSSS SPSNPFPGVVCPQSDLQSVS NEUTE1DRAFT_123843 MAMYFIRLPPELQDLVWDQAAALSPCLHFLQKLPETSWVDWDMH LVPDRHQSADLNLRNLSLACHDARSAVIRHTRAIEHKTLVPMPKHGRPPVSMTPLTLD LTSDLVCFGGADTGPKETMAAVDWADFEHIVFTGARRFAVRYFRPGWNVWGISTDDDP SGDEGVGLSPEELQAMWRHECVHWTHVGVAGGPGPDGPDGDGEGRRDLEIHYPEADPP FCARCVANVVRRFERLEEFWLIVDPDCLDMLDAAMGRDGGKEDRNKEAMAEEGKGTET MDKTEMSATGPKKKRTFHSYSRTYFSLDDHDVRSEPRGPMRALQAIRDNLVRLLSPLF HLRSR NEUTE1DRAFT_85717 MTRSQQPGQAAPITNEHEHNTNRSSTPEEAERLVLQRQKSIEQA IDEGHDADIPSDIGYVLDEQGEKRRKEAIRRNSFARARGHASHTSHHHHDDHDIEKEA AAGKASSYPDDPQEDSSGAVTSSSEEDEANIIWWSENDPENPYNWPRWKKILNVGLIS AQTFIAPLASSIFAPGVPSVMKEFHNSSPELASFVVSVYILGFAAGPLVIAPLSEIYG RLVVYHGCNVCFIALLIACAEAPSLNALIAFRFLSGIFGSCPVTNGGGTISDMITQEK RATAMALMTMGVLLGPIIAPIIEGVISDSDLGWRWVFWILTIVAGVVALCMLVFGKES YAPVLLQRRVDRLRKETGNDMLRSKLDVGLSPKDYFKRSIVRPLKMLVFSPICIIFAI YIAIVYGYMYLMFTTISRVFTNPETYNFGTRIVGLVFLGMGVGSLLGLVFFSVASNRI MKAKAGPDGNGTCTPEDRLTVLPIGAILLPAGFFIYGWTAQYHVHWIVPILSHVLIGF GNIVIFMAISMALIDTFTIYAASALAANTVARSVFGAVLPIFGLRMYDTLGLGWGNSL LAFIAVALIPVPFLLIRYGELLRTRYEIKNL NEUTE1DRAFT_95676 MVLTLGLWSKLGRLSHYAFDAVLLSAFLAGMKRSTGLTFKTDKV AGENKEVGKWVDKYLGVGEWVMDQSVAIAGSSGWFDRTR NEUTE1DRAFT_117768 MAATALDHLSLGGKIQWLAQLNTEFQPAREFRRTSIICTIGPKT NSVEAINKLRDAGLNVVRMNFSHGSYEYHQSVIDNARQAEKVHPGRPIAIALDTKGPE IRTGNTKNDEDIPISAGTILNITTDEKYKDECTIEHMYVDYVNITKVIAPGRIIYVDD GVLAFEVLEIVDDKTIKVKARNNGYISSRKGVNLPNTDVDLPALSEKDKADLRFGVKN KVDMVFASFIRRGQDIKDIREVLGEDGKQIQIIAKIENRQGLNNFAEILAETDGVMVA RGDLGIEIPAAEVFAAQKKIIAMCNIAGKPVICATQMLESMIKNPRPTRAEISDVGNA VTDGADCVMLSGETAKGAYPTEAVREMSEAVLKAENTIPYVSHFEELCSLAKRPVSIV ESCAMASVRASLDLNAAAILVLSTSGESARLISKYRPVCPIIMITRNDSASRYAHLYR GVYPFLFPESKPDFSKVNWQEDVDRRIKWGLSHGIGLKVLNEGETVVVVQGWKGGMGN TNTFRIVKADVNHLGLGQP NEUTE1DRAFT_102855 MATKTTKNNSNHQLFPIQSFKTFYNVPAPAPVPPHPFAHNDLLK SLLPHHGYDYSMFHLVHPDGINHENYLAIEPEHADWIINALFKSSGGMKPYGYGYNQH VGNRAEPPPSSHLNLVVESGLTTLFNALHDVASELDLAEMQLVGNDTDKAGAGYLSPF WEKVVTNPKYSGAWFHVDVPQGHGHGQGKPINVGTTKWKVPKSWTGYLRTNPPMTRAR GREATVPYLCSMSRPNPEEVHYFPSGASPSPGYKPLTNLNTTPGTSSSSTSEALHKAC LALHSLTALILDAREYVIERPGRFPSRNLPSSDPLVLAIDQFRNYLESVPGLQFEESI QQAFYSYHATVFRLELPVATGLFGGNGTTMFQFKPAHVSRDTGKVVDEMVMVASVSSA GSSDVDGDEDEEDGDLSSDTESDYACSSYAGFGSAKKLR NEUTE1DRAFT_67044 MPFNNISLPRPSRPRDEYNMWSNQASRSVRKSSDYNLEDLSPRP DDPFLNHDIPQNRNQPAFRRRTSPPPSSSTFSDKASTTGSISKDKKSRVLFAGPPPPI FSTQILQREGKDRYSTPTSPLEFGVSSIAQNINSVLFEKGGSTARNRDEVRFEQDTFW RNLQHRERLIQKEFQNILDAQATGLMANLNPDAAPPPSSSSNISVAGSTSTTRRSHVV FELPTRTTETGEIIPVRQPRQKPLNLRGARAALARTMALAADLKIEEEANLDSALFLR KKALSELRKLSTQRDAIVGELRTLEGDDEEPLARELRELDEEYTNVRAEIAELEERLV GLRKRKRWLDGRRLDVRNRREAGLSGYKGALKEADDKLEDMLIKPRVLPLDIEAVIGP GQNEGNLEVEQQALVGLEFMRLRPERRTADMAKDWWESEIALLERRKEEVNKERSALE EGAEVWREVVRLVTAYEEDLRKEIAKASRKAIADDQAASPLVFYTSQLDKIMKVMGAL DEKFNLAEEHGWRLLLCAIGAELEAFKQAKEMIQDMLMEQGYEIEDEKEYQGSNGSTP RLGRSTATVLQDSTGAWSTSQRPTTATDHSVDEGGHGSDDNAHTYGRNHLDLVDLEDN PHEEQRTDRDESDDNEVPPDLLVSNVDNDDRDEPRGGGGVGLQRVPRQGLESSKTTDS ENDVPPEFLAHHGVDDVE NEUTE1DRAFT_67047 MEGVRHDQQQQPPGWAGGDEWEEHRGRIQELYQSQNLSLKEVMR IMEEHCGFRATQRMYKTRIKAWGLDKNFKESEVVELFRLRREREKLGKPTTYRIRGRE IDWDRVNSYVKRKGLDIARLLEADRPHSPAVAREITCRTPSPISGHHRHYRHEPSAST PSLSLSAGSDHYTHNVPSFTRPMTASSAPIMDGMDPRTTNSTLYPPYTQPDSPTQALR SPATFGHSATLPPVGQSVAIHSFQRFLTRIYKTLLYEDNDKTWGTTQYWLGYSHALEW LMTIRYKTAFYRDILVQLSSDSGGGANVSIAARDIVRRFRTINRSFAMLEPMVQSVIG AKFYYIINFVYAFGLCADVAQLPVAYPLVSIARVLLDETHNACSAPHLLPLLPGGLAD GGRPGEMMGIAYRRSSCSGVANGGGRAAAAAAEQEGFVSAAG NEUTE1DRAFT_46358 MGSVDAYKKLQVLLVGSGGIGTMVAYALEKGGKAEVAAVLRSNF ETVFENGFTIHSVDHGEVLDWRPTSILDSIPSVSEESWRAPYDYVIVTTKNVPDIPPQ ITDIIAPAITPGHTAIVLIQNGLNIERPFFSAFPANPILSGITFSGAIESPPGTINHH NHERTFIGVFDNPIAASYSQKSRAIAAARRLVDAYTACGPEVDCTYEPDVAYSRWRKL LYNASYNGVAAILGMDTSRMRFTEHIIDDLIRPLMQEIRATAKAAAGVELEEKLVETM ITADVYDKFFKPSMLQDMEKGKFIEFENLVGEPLREAERVGVETPTLKVVYGLLKGLQ FKAGERNLEDVVVPRSGEGLRYGGCGKS NEUTE1DRAFT_85728 MPYSVSNGHRVAAHTSVTKPQIENSRFPRQGASHRKVVNIGDVL DDRYRVLHMITHNSNSVSYLCRDLEDDKWRRVTVARSHQFSTAMDEYEKGAEARRRLG EKQAERQGFCLKVFSVAGPNGVYISLVYPLNSHEVDLRFCAPYDEAYFMHQLMQWESL E NEUTE1DRAFT_85729 MFLSTKMNAFTSSSLTQRSRLLLRNAHASPALGTPRISRQSYAT GQKPAGKSGNFKATSEQPKRVDPNSPEYKAYQRTWTTVMIGTPIILVCSYELWQRLIE GKKPLSLEPKDTTALTELTPHSENPSTRA NEUTE1DRAFT_67051 MSSLSNRIALLEKMLRERGVAPPPAVHPPKTRQEAKEMQEHEKH DVRFCEDFETPGPNQANSSMVADYPSPPKFSAEESSPPHGREICFWGHYNPAVQVVDR EIFELGRKSQNPRHYSVFLHITMLAAGYRFAERNREDVKRLMLGSWESTFHRESKSML DAELERPGGIPSIQALLILADLEFAAGRDATGWLYSGMANRLAIDIGLHVNVPVSDSE ALRAEEPLRRRVMTACVLFDRYWALLLGRSPSIRNRDIGIELGLKPRKGPAMPTASPT APFDMVTSQSPEISLHQHLLELMSIAAKILEMQNQHEYTELLFANNKAGEEAYQRLFA LDRKLQAWYRRLPDFLAWNPTNVQAAPAGFFMLHQQFHTCMILLHRPWAIYGDEDGSN STRSYGQSGGSLPGISHHHRVAIARRMCTQHAIRVARIFWHHRLRFDGRRLPIFAIQQ AGTSAIALMAALANRTAELDQQSNLRYLQVLSAAIYDMCYIYQPAARMYRLLKSMLVD IRNEVVTGVSYPHAQSKTSPEVTASAPSPFLQYTHQRSYSTPNVGLNFGAPDWATRGS IPSSGGDTHGYLQFSGRQQPENDLFEEHGHPPPKRQRCNDPSRRASDLGGYLTPSLFN TGGFGGTSSYPTPPLTSPRDSGDVQAKDDNMPIPDTYTDNNALFDFVFLEATALDTVM EEPSEEQQQGTEESNQEDAETDSVSRAASTPTVSSRSHKDGSDTAEETADILLASGTD ANRTAIEDSSDSGGPKNDPGKASSEATQDSKVSISAPAPFTASAQGEDSDSNIIEEWL AEPSPPIAVTSNASKTINPAALTSMTNTTMAPMPTLTTTSHHHLARFQQITHAHHRAQ QSTNVAPYKRDARVTKQDHPDLFPKFDKSAIIDALVSAAGINFDLSLCREVDVEDDGN YDHEHEDVDVVNEHDFVRENQGGAAGGGKDGCAKGGGEGPSGGSAAYGVSLSDLLGSM GGGGHRGNKRRIKEVEGSYGAGLGCGYATATSAAKLARNVELDYLRF NEUTE1DRAFT_123851 MSLSNAARASQLSGSTAFNSSTSLSSLTSSATAVTPVNGQVVAT TNIINQRADASRSLYQICLSLKQRLAQVPGFEGYLEQLQREQENGHDGPVEALWKLLR TGLPLLTIYNALQPEKPLHVDQRPNETEARRSKRAIMEFVKACLSDLDPPVTECFIVA DLTGEDTTGFVKVTSVINYVLDLAEKRGLLLQTLPYPEDDITQPGSKMTHRDYIVREM VDTERKYVQDLENLHDLKRTLEHRSVIPGEFIHDIFLNINAILDHQRKFLIRVETTNS MPQARQEWGSLFVTAEENFGIYQPFIANQRKAAQVATQVFDKIQEAGHPVACDFNTLD GFLLKPMQRLVKYPLLLKDLLKKSEDEHTKEDLAAGIAAAERVLMKANSAVDKNILEE ALQDLIHRVDDWKSHKVDNFGSLLLHGVYTVITGKSEQEKDQYEIYLFENILLCCKEL TTTKAKDKKDKTRSSVPKVRNKFAKLQLKGRIFMTNVTDVVAISKPGKRHSWNSRRNT TVADCAGSYTVQIWWKGDPGVENFMIKFQTEEMMKKWAAGLEEQRKQNTQAAPVAPDQ APPNFAWLASQGNGLENPYKDEEEDEDIYNAAAPTVAMPGTMPRNGSSTSLRQRSATS DSLAGMTRAPPPRFPLPQAPAPLNVGLQPSPAVRAGESYFSPVAESPASSRTSTASGI FAGAGSGYPFPKTGIPQGWQEDSNRYTAPAMPRAPSRDGPSPGTGRNPRGPSMPVMPR GDNSQFQQARSRSYSTPDIAGQAAARINQTGVPAVPGIPAHLTHARHDSNIPRSNTGS PANDLPLRTQTGSPGRERKNYGGQLNQFPPQPIRQGTPGSVTGYPPPSGPPPPGMAPL APTEGTRNITPSLGTAPIMSGQNPLSPTDDDMLPTQLKVKVHCDTGNYITLVVLYDIT YEKLIDRIDNKLSRFTSSSIGGGNLRLRYRDEDGDFVMIESDDDIQIAISDWREGLRN PDSAGEIDLYCEGELLE NEUTE1DRAFT_139706 MPNKPIRLPPLKQLRVRQANKAEENPCIAVMSSVLACWASAGYN SAGCATVENALRACMDAPKPAPKPNNTINYHLSRFQERLTQGKSKK NEUTE1DRAFT_131195 MVDFTGGALNAAILHETPIFTFSKERPFLAADFLAPPHIASPKA WERVPVIALRRCGPRQIWKRVGGLLVPPPVDPAFVELDSRGRGQRKRARSERYVPTFA NYNVDERYYAVHNGTPDLAEARAYLSAAASYLAREAPDPLLVAKILARRATYPDDRLN YVPRKRHNSRWPLQRPTKPTRMLAEMQPYMELNVPAEPETVEPAHQIQGKQLMRRSTR RASSRLSLIPSEESPSKMDFSPIKPPQSRILSPVKRSPTKLSSPRKVAESPLRKFRVS ATPTKVILKPSRSSLSAQTPLKSTPLKSTPIRPASVSESDSEVTPAHLLHLSPLLFDQ PIPETPSVPEHENRRRISLLSARRSEHRPSFITRLLKEFERDAPERRHSFSLAVQAVA DATMTSRRKSVDPSCIGSEDQAARENMEDAQEQTAEQEPAPHAPSRTLEVDVGTNLDI FGHRRKDVRPWVQTPTPTRSAQIPVEEPTVASPSEADDGVSMPVEPVGSAPATPSMAA VDIAGDVTNNENDANLPLAQASRDPSPEPISPDQQPERKESPAPLVVEEPTNTETEPN DGFEPLHPEGLSTILEEEDSILEIFTPVKHHNLPTRSSPMKPSSPTPLGQVNLGTSCV GASSPVVMHQEGTPVLHELPSEAPSSPTPLRRATPEKFGFGAQPSATSHRRYSAAHEL LIEAPSAPAAEKSAFGASSPAASQQEIVSTKEKPEETPSEIEAACETITGSDGDDASH AIVADHDDKVSDEVPQNMTISPAHIPRATLGALEMNASPVIFSHNESPTQEQTVEEKT SSPMSRQPSPEITDISVPCSAISADGNVNHLSANAVAPLGSIQQTIPLPSAADQDTIT VEAESPCPATTVTSDTETPASPVPDVENSIPETTAKDASSIRSEPSAEFVNTEEHNRQ PATHESPIKEENDDVTRPIEPNSPCRTVQDEDGRLPSPKAVLGHEEQSSPNGETVSED GLTLPENHVAATEDVIAVSHSSPRSGGVRDNGEIDSSASFVDSFVHADKDANELTVPP AEDKEDETSDTSSVMEHDESADRSMSAEPPTIDEVSTPSADAPKDSISSQIRDTTPEE ESDPSEAEATESEDVSNTPEDSSEGSTSSPDGSDDANSGDEIEVVEQVEAEDSMTSDE DDVSLIAEQPLRVENDTLSLRSLHEESETDMIRKFISKVAADKSAKAAAAAELENRSP PKGNPGSPTEDSETPPKRTPLSEKSPNSPSPAKKRKLDELGNEPSEEECPKTAGESPV TRTVKRRKALVESSPPPAEGDDSEGAPRRSSRQRKTLINLKSAPSANSIAKSTIPVRM PGMEMMDTVRARSDQKDLATVTRYNTRKNKGSALFPQIVLKKMEKMKKQGKEYVYSSS SADNDKATETEKKKKNKGVRWAETLARFQDDEASPPVNSKTRALSSSLLADVLKADAA LDDDIDELADEPVVSSKKVTTPRVLKSKSQVKSRTDSASEATSKPSIPKPRKVATPTA TTPSTRRTAGAPPIPAPAPTPTTKVQPAPAPKPTTSLSKVAAPPKRATRSGKSLVPTP IKVTATTKPKTSVPAAPPPPPPALHRGPGRPRLSPATSTTSTTSTPHKVIKTRAEPAA TSKTVVTAAARRASRVAAGLGMSVNGTPAPKRRGRSAS NEUTE1DRAFT_102863 MSSPALVPTTIEEGAVLPQTQRPSLLHGPTDPPLVDLTLGELLN LQCLHHGTQEALVIPWTGARWTYNELNHQSQLMARALLGLGIRVGDRVGIMAGNCEQY ASLFFAATRIGAILVILNNTYTPTEAQYALEFSDCKVLFTTKKIGRLDQGPLLAELKM KSKAPKVVIIRGDSEGYQTYDQLLSQGFKVSVERLHHMERKVLPHLVCNLQFTSGTTG LPKAAMLTHHNIVNNARFIGDRMKLGPDDVLCCPPPLFHCFGLVLGLMSVMTHGGKIV YPAEVFDAPATLKAIVDERCTAVHGVPAMFDSILALPEAKDLKAADLRLRTGIIAGAP VPRYLMELLVSKLGMTEFTSSYGLTEASPTCFNAHTDDPIDVRLTTVGTLMPHAHAKI VDRDGQIVPIGTKGELCIGGYQLQAGYWNNSEKTNEVMMRDESGMLWLHTGDEAVFNE QGYCSITGRFKDIIIRGGENIYPLEIEERLVAHPAISMAVVVGLKDQHYGEVVGAFLG LENGHRPMSTEEMREWCRRKLGKHKAPTHVFYLGLDGVPSTVPLTGSGKVRKFEMAQL GNKLLEERRKTSKL NEUTE1DRAFT_85741 MASPTTRRLAEYVATSEPILKLPHPYQTSYLVVKGEPGVANSYQ LKQQDSKAALPRPLHNDSLFFTEPQDLKSSDKPHISINTPWGRARRSPSVAVSWKEPT APTVGQLWLIAYVIFTLRPSEEAFRLSTYGFGADKLARQLKAVGLAIDHPAPATSEQQ KLHGSDAGKELLILRGAFWQGAGSPFGARPAWAPETLADSEDGSLESVYPLQATEYVM TNEPNSALCWHPRRRAKPAPGSVIYSRYIPHLKENFSMVALDYTNPAHLELFHTWQND PRVSQGWNEEGTLEQHREYLRKAHEDPHQITVLAAFDDVFFAYFEIYWAKEDRLGAYY TPGDYDRGRHSLVGDVRYRGPHRVSAWWSSLMHYLYLDDPRTMNVVGEPKYTNTSVLM YDMMHGFGLDKFVDLPHKRSAFVTCSRERFFQLCPLDENQKFLGGTHLGLVPKL NEUTE1DRAFT_123854 MGSIAVDDATMSRPPFKVLVVGGSYGGLSAALNLQDICSGRAAR CGPDAKKAALDGTPAAHDGPQFAVDITVVDERDGFYHLIGTPLAFADESYAEKCWVKY EDVPALQQSPNNIRILRGSVQSIDQERKIAKVLGSENGPEPIELKYDYLIAAAGLRRV FPVVPQSLLRKQFLFEAGDHIQAATANRHGVAVVGGGAVGIEMAAELKLVCPDLKVTL IHSRDKLLSAEPLPEEVKDRSLELVHEAGVEVLMSHRVDRTEEFVDNGHKAYKVYFTN GHTLVADSVIMAISRSVPSTTFLPREVLNEEGYVKIQPSLHFPSESPNSDDHLAIGDL VKWSGIKRCGGAMHMGYLAANNIHMRMKQAVSGTEPTYLELDEIPPMIGLAVGKKAVA YWPTNGVSSGEDVNKLFFGDDLGFDICWNHLRLGGYDVVKA NEUTE1DRAFT_46393 MSCQCRACLDQLASPQWLWFHEQQHHANHKIIACPQCDTILPTK AKYFPADNNKSREQLLRCPSCNRQDQLKLFLRRQTAFACGFCSASFYTFSPSSPSSSS HDSGAATTPSSSSGVSDSSKSHSRTERHYLRHLAAEFVRNDELIEQGQPPRKWTRDSI IYGLLQRPEIAKHWRALTVGSKAGGGGACKIPAGVDAFFSWDMDTDTVQEYELGSTAA KDFPCGQWNLQSWLQYFDPEKDGDEKAAKVAALAFAQATKFYRPRAGRVEASIRTNEV GLVGNNEEKQEGGEEKETSVVCEISFHVCEVFNRDMEVFEHKLLNGRRIRDLE NEUTE1DRAFT_147963 MEGKMDEAPAPKRAKIGSENPSKPIDNSTGNTMSGFKRDRHGNE KRFNNGPRPAPKPKVAVVRNAYTPMFEQLRDELDAHHDRRDRLGKVSRDITGLSKKIV RTISPDLPPQIQAEVDSRLAEISKLLATMAPDVQGINRYRYSRSLMCLEELAEALTFA HYLKTQTLLKPDELSAFVEELTRKGAVSEDRDKDKDEPMPDAGNTPAPASGEQETTDN DNKLAEKEEQRQSKLEPLTMSTTEDDYFYGVFDLSGEMMRFATTSTALTGEMAGAEDE RTIVEDMHELGSFFEMLPMKAGDRKQWEKKLDVMRQSVRKVERLGYDRMIRGSERPQG WVPDLTADQPGDDEE NEUTE1DRAFT_47586 MTPPLITLEEHFFSSAVPECLRPKYSEQLKHVDGVYEKAVDLGA LRLRDMDAGGVALQVISHVPGLSDHPVACHAANDQLAGIINAKDQRKARFAGFAVAPM GHPVQAAAELRRAITELGFVGALVDNHHNGKFFDGPEYEPFWAAAEELDVPIYLHPTW ASEDMAPHYQGNFTQGAAMSMASSGLGWHTETALHVLRLFASGLFDRHPKLKIIIGHM GETIPFMLERIQVLSRRWGEFQRDFKTVYDENIWITTSGVWSLNPLRCILANTKLDHI LYSVDYPFQKNEIGLEWIHDLEKSGLVSKEELDAIAHGNAEKLLRVQVPKL NEUTE1DRAFT_131199 MATTSPAAPKPKPKSSSLLSTLFSRPLPLYVSAFLLRLVLLLYG LWQDANSPLKYTDIDYLVFTDAARFVSRGESPYARETYRYTPILAWLLLPTTWTAGAQ WGPLAAKVINVAWFSFGKVLFAAADLVAGWLIEQVLVMGKDFPSSPAQGKENDTERKE GGRKDPSETTTGMDPSRARAFAAIWLLNPMVATISTRGSSEGLLGVLVMALLWAVLSR RITLAGLLLGFSVHFKIYPFIYAPAIVWWMDQERLSGFRAGGGQKTSSSFRKKLTRFL TLPRLLLAFTSLATFLSLNFLMYRLYGHPFLQETYLHHVTRIDHRHNFSPYNTQLYLS SASVSPSHSAAEPKFKIESLAFLPQLVLSTILIPLTLAKKDLPTSLLAQTFAFVTFNK VCTSQYFLWYLVLLPLYLPRSSFWTSKRRGLVALGLWVLGQGLWLQQAYELEFLGRST FLPGLWMASLGFFVVNCWILGVIVGDGGR NEUTE1DRAFT_147965 MTPPSDPMHSEPLTLDTRLPRAERDQSLQEEEEGRATVELANIM TTASNPVSPGSSSPPLDQVGLQDFIPPTRPSSTPFPHADPRNATMRRATCPAEDLMDL DEPGHCQPSSGALPGPSQSVGVNLNHLPAEIHECILDHLFGFRISPASKSSIVRWGTA LRHSRRRELSELSLVSSVWRILIQERLYRHIKLKATIESLRGSFSWFCQNPHLRCYVK HIEVWFPVFQPKYGPLAVSNTNVLPTVTADGLTNATYLLPGNNCSLEEAFYFVAETFP EVSVLTLEGGERKKAPKVRHWIREERGQLRAMPKVETIRTLICKGQWNLIRSLQDWEA IMSALPNLQEWHGSYSKPKSKSYLTMAEVLGQPMSLSTLNLCIEGDYRRELSFPHYFN KVRTKVHFCSTLAQAAALPSMEHFSYTGRVCKQFFIDLAHRLKDIRNPRLKSIDITVK NCCRNVAHWNESGSGITDMFFIKAFEALVLAGIRSLEKLTSVKYLRIRYVDLDSPVPP LNPYFQLRNGWASGVWSEVIVSELNRVRPSVRFEELSESFGEVGYSKDGRMVINPDFP RARALSLKLSNYRLLSGGISISHSV NEUTE1DRAFT_117774 MSRVMEWYVWWLMWVGEGGGETRPRTEQRHGGAGNGEQEDEERD LMERRDYESRRLEGWTLEKHNEQRGGCLGRVRRRRWNNSPCGRSKLLSQSHIQYASIE H NEUTE1DRAFT_147966 MVRFSVAAAFLLSALGVTAAPSGGRHNHQNTQNTGATAGNAAGV PVSNSDISNIIPGRYIVVYNNTFGEEAISAHQIKVTSLVAKRNLGKRDAKTGRIMSPS VKAFKMGTWRAMALDADDDMINDINSAQEVEYIEADQYVKLNALTSQNSTTTGLARLS HAGPSKQAAPYIFDSSAGEGITAFVVDTGIRVTHSEYEGRATFAANFVNNVDTDENGH GSHVAGTIAGATFGVAKKAKLVAVKVLDGSGSGSNSGVLQGMQFVADTATSQKLGGKA VLNMSLGGGKSRAINSAINQIAAAGVVPVVAAGNENQDTANTSPGSAPAAITVGAIDQ RTDARASFSNFGAGVDIFAPGVNVLSVGITSDTATDTLSGTSMASPHVAGLAAYLMAL EGLTDVTAVGNRIKELAQKTGAKVTNNVRGTTSLIANNGNL NEUTE1DRAFT_67078 MGAFTKAAYFLLHPNQLRSIVQWKVWHEPVHRRDPSKETETEKA CFRYLELTSRSFSAVIQELNPELLMPICLFYLVLRGLDTIEDDMTIDLAKKEPLLREF ADLMEIDGWTFTENGPNEKDRELLVHFDDVIAELKKVKKPYYDIIREITVKMGNGMAD YALNAEHNTNGVNTIEEYELYCHYVAGLVGEGLTRLFVESNLANPALLERMELTESMG QFLQKTNIIRDIHEDYVDKRRFWPKTIWSKYVNTWDDMFKPQNREKALQCSSEMVLNA LKHTEDCLFYMAGMRDQSVFNFVAIPQAMAIATLELVFRNPAIFERNVKITKGDACQL MMESTQNLRVVCEVFRRYARRIHKKNDPRDPNYLAISVQCGKIEQFIESIFPTQDPKK IALAQAQNSNQAAANTTDNGDTTFLVLSMIGVLFVMGGLMIGAAWLMGARFDMAYEDI TARVGTLVNGAAAVSSATVSSIPTTVVHQEL NEUTE1DRAFT_85761 MATTEITNGNRPIRFLIWGGDGWVAGHLKALLERQGKEVYSTTI RMEDREAVVAELDRVNPTYVLNAAGCTGRPNVDWCEDNKEATMRSNVVGTLNLTDCCF TRGIHITVFATGCIYQYDDAHPWDGPGFLETDPANFAGSFYSMTKAHVEEVMKHYNNC LILRLRMPVSDDLHPRNFVTKISQYERVVDIPNSNTILTDLLPASILLAEHGEVGIYN FTNPGAISHNEVLTLFQDIVRPSFTWKNFSLEEQAKVIKAGRSNCKLDTTKLTSKLME YGYEIPEVHEAYRRCFERMKAAGIRKLPSGDVLEDLVSA NEUTE1DRAFT_123862 MVVDTAYYDVLNVKPTATELEIKKAYRKLAIVHHPDKNPDDPTA HERFQQIGEAYQVLSDPDLRAAYDKYGKESARPTEGFVDPAEFFTSIFGGEAFVDWIG EISLMKDLTATMEISMAEMEAEEAAAAAEGGEFPGTDEAVKESLKTAAGATPADASTS APPKVVVEDEASAAPAAAPKAPSPSPSPAPPSGSSTPRSGSRMQIPIRPALMDRPADE VLPGTEETNTDINKKGKDKKKGGLSKEQREQLAAYEKERARIREERVNTLAQKLLDRI SIWTETDRGKDVTTAFQEKMRLEVEELKMESFGLDILHAIGQTYVSKATALLRSQKFF GVGGFLSRMKDKGTMVKDTWNTISSAIDAQQSMEEMARMEQLGGEDWTEEKKMEYERR VTGKILTAAWRGSKFEIQSVLRDVCDAVLNDKKIPLAKRLERAEALVIIGEICSKAKR SPEEEGDYMAFEQLVAEANLKKEKESKKKDKEKKKRHSSDKGSTHSAQAAATAADAPN VPKTSS NEUTE1DRAFT_85768 MALLGISLIVASVVLLVLRRPEWLQQFWTSLYRQASQNQLPRPP QRPVPRIEEPKPEDVDQVHEKEKERIAVPKDVVSPPLPPPVVILENGINDSPGSPREG QTTPKASAAIPSDSVPAFTLSVPELAQQRQPQVEEKPTPAVTSSTSSTSMMMPPPPLP AAARGSLPANLLRQPGTSTLPLPPSSGGRPGGGPLPNRGPPSSSSGGGGSSLFPPPTH STKPAKPSRAVVLTPGHSPLDWARLSGHPTADLRGLPKDTPYLRVTPSLLKKMTGRKG KDAWMVLGGRVYNITPYIPFHPGGEPELLKGAGRDGTKLFGEIHPWVNYEGMLAACLV GIYVSEEDGEAAAAAAKNGGVNGAGGGGSMEEMD NEUTE1DRAFT_131206 MPPRPQSIAHFPPRGPNGMGTSRSTSPLPPRPVSLAVPVPGKPT INNNNNNNNNRRSPSPSPASRPRPVPSPSPQTLESDQDSIRRGARKTRKQPPAAIVTT TITPSGARTAHISTSSRPSSKASSSSSSTTTTTATTGKSSSAATKRATATATPTPSSA ASPAGAATPTSTSSRNFPVLKARASQHGAAAHSNLNPGMDKPSNTQSPTVARMPYDRA STTTTRQPQTASVARASTKAPLTPKVTTARVSSASTVSSASTTRRRPESVISSTSSRD REHVASPVPPYLAGNVTPRAASRPSRVNSTATTPLATPSLDRNDQWDTRSSLSITSQT TDDPRRPIVTFSPASDVGVPRQDPDSKFFYASEAAPRSPAQGRPPILQQAKSSSFFYA NGGTIPPKESPGPASPLPSLGAPFQQQQDKLLSKFIYANGAADPEPPVRTRSRPSSVV STASRAPSNRPPSVLSQTHYPNKPTSPVKLTPQTSGRSGSISAMNSPRSPVSASAASS NRESVKRKSLADQPGTAPINGQSTKRKSLNELPSRPASGPVPSRPSSLVMAEPPAVAR VVTPYSSRPPSEAPSPSVLSVPAPFSSLSENMASGGFASLLQAAEDFVAEEDEQDEAE EGEESGGDGEPRRGSVSSPSKSSPQDKEISDLVANARRERKVQDLQITNASLEAINRT LERQLRKQTAELRAYKRLSRSGRLNVGPALVASPADAGLLAGADLDALSEEGSELGAE DGERDTLTEELEDEDDDDLSGSEDSADMTPATKAIRDAERREKDEERLRLDLSKHQQL LSDSQKINQSLKRCLGWTEELIKEGKRALAYQVKASDVEIGGRVLAPEEVEAREARER EEAAGEGDTDTIDDTISDTDLEDDESIFTPEPGVLMPRLAAKFINEQNRQQQSWGKQT QDRDSGIELQPTDGG NEUTE1DRAFT_117781 MTKKNRSNRPAVRVALSTYYLSLICIALGIGVGRLLLTRICDQY YTGYVLALLSFILLLAGPVLGPNVLS NEUTE1DRAFT_123867 MAATGQAVPLCIVWRPVVLSFSFTKKEIEAGSWQTLRDNLEQLD IKYIGTYEQLTTHVVSKKRNTSKTLQALVNGKPIVSDGFITAIVEAATVPDDAGTGTP SALESTFDEAWPNAADYLPPRGDEPTERPPADYAPDERRQDVFAGYTFVFYNQKQHEN LAPVVQWGKGKAFFKEVVEGVTEVDDFVRYVKEVAGEKGTGSFEDGSDGKRVVVVRHA PGKGDDVEWWTNFYTSFARHLDHRPPDQKEFLEAILACDASLLRRRLEEDTQPTSTAN PTQIAQPQSGDRMQVDPRDRENDEPTPARRRARAGGRSRFKGFDFDSDNDEDDSMADV PAAPATKATQLAAEMPASQDSQSLFVSQQPEQFDDDFSGQESRPAAATTRATRSQKPT RKRALSPLLEHDVSELLDAIAPTAAAAKKRRIEAGQPAIPQPTPEPEGPPAVEDDKDD ANSISDSQGKEKKGAKGKGKKAKKGDGDNIIELARQKREEAEALAAAERQALLDSTAD DEIDYAAIRRLHIIEEFEVRMPNRDLDNAEEGEEGFADASGGRRGGRTREQDIADGRW DPRWNGRKNFKKFRKQKPGVDNSGAGDAVDEQQQQRRLVITLEEVKPKEYGIGDDCWL EDAGSNSRRNTQGQGGQSQSQARAGTLAATGTSQNPADNRSRGLPTRRMLMAVDSSDE EGSDDNGGGEGSTVIPETGSPPPPYSATAASEPPRSRAAKSAEKASAARRTRQTTATT TVGTTTQAEAASSGSGAGSKHGAPASGGERSSGQPAAKRARTTGTGSRRRFGVEDSAE GSDESDDGLKFRFGRRR NEUTE1DRAFT_117783 MGKVHGSLARAGKVKSQTPKVEPQEKPKTPKGRAHKREIYTRRF VNVVTGPGGKRKMNANPSA NEUTE1DRAFT_117784 MADRGTSGPRGGGFASRGDRGGDRGRGRGGRGRGRRGGKSEEKE WQPVTKLGRLVKAGKIKSMEEIYLHSLPIKEYQIVDFFLPKLKDEVMKIKPVQKQTRA GQRTRFKAIVIIGDSEGHVGLGIKTSKEVATAIRAAIIIAKLSVIPVRRGYWGANLGL PHSLPVKESGKCGSVTVRLIPAPRGTSLVASPAVKRLLQLAGIEDAYTSSSGSTKTLE NTLKATFAAVSNTYGFLTPNLWKETKLTRSPLEEFADTLREGKRYAN NEUTE1DRAFT_46016 MLNLGISGVVSELRIGMLAVGRGTLGMMALKIEVMGIKGVISDM RSGMSDVGTAAVGRMALKMETTPGVGFTLDGTGNESGSDNPGLRNGGWPEEVGPGTGI TGGKVPSADVVVSCCTPG NEUTE1DRAFT_46540 MCFCGDKVVDSWRIAESDCGIPCEGEPEFICGGNLALSIWSITG HVPKAPGPEMNFTLPTLSPGQTEMADFTGAVRQSLVPMTSAVWEWPADEDDLNEDDIS TEEEVPTVAIVADVALKNMHMKPTAIDVEDMASSVRTIVFAAMDKIQRMATSEVAKVK SMIDEAHMVVG NEUTE1DRAFT_117785 MPLTLWSTFPSLIQRHLYQEGNCVENQKYGYVARKRKVFIEGGK REIGKMTIRIRRTN NEUTE1DRAFT_102886 MNWLIGQWRVDVSNAGTRGRHLGDWRPSGTPSLGVPAPSAGFRL DLDWGQAVPLTGASRVTAPTTTEAGCRLPGGTPSPRRDSPNDEETDAGQQGHR NEUTE1DRAFT_117786 MYDEKPQVDNISAEQAHAQAQAQLQAQAQAAVAAAHQEAMLNGW HNPMARIHTGTEPRLPAFGGEFQPGLYRPVKERKFANPAPLGLCAFALTTFILSCVNL KARNLTVPNVVVGSALAYGGLIQLLAGMWEMAVGNTFGATALSSYGGFWISYAILLTP AWNILGADGPYATPEAKAIDPEMAASAVGVFLTGWFIFTTILLLCTLRSTIMFFMLFF TLDIAFLMLACGEYANDNGNALAAGRLTKAGGVFGMLAAFFAWYNAFAGIADSSNSFF LLPVFHFPWSEKGRESRMAAKSDRDQA NEUTE1DRAFT_117787 MTRRQIRLIFYLAVLSPIAAVVYHHLLPSIPDAFMTDLVNRLFP SETSAMTSQASRPCVIIVGGGLAGLSAAYSALCAGAPSVCLLERASKLGGNSIKASSG INGAPTRFQQAAWPNLGPDETFWDDTVRSAGARLSSQTTSQPAARAQRESLISVLTQR SADAINFLADLGVDLTVVAQLGGHSHPRTHRGAGKTPPGAAIITTLVAKLKEAGGDRF ELMTDCEVTRLLTDPTNAAVSSNGNGGSSADHQSVTVVGVEYRSTKDSGNGGSGHDST SLHQLTGPVIFTTGGFGGDTHGLLARYRPDLDGMPSTNDPRPGTHHLLAAVGAKLVDM DSVQIHPTGFVDPANPLVPVKFLAAELLRGEGGILLHNGKRFVNELETREHVSNTIMA LPGDGVEGASLRQWDIQLLLDPGAAEAAAGHMGFYLWKGLMKKQKVSELDATTKEALE EYASIVRREKEDPFRRKAFGHWKLGVNSDGIVDENAEVCVGRVTPIVHFTMGGAVFNE HAQVLSSELGEEQKPIQGLWAAGEITGGIHGDNRLGGSSLLECVVYGRIAGAEAADVA KRAYV NEUTE1DRAFT_67105 MRFSVATLAAGVPLSAAFAVDGGQKSLGFNHKPKGTTTKGEKNS PNIVFILTDDQDLHLQSLDYLPLLKKHLADEGTTYKRHHCTTAICCPARVSLWTGKQA HNTNVTDVSPPYGGYPKFISQGFNEAYLPVWLQKAGYDTYYTGKLFNAHTVDNYYSPY VAGWNGSDFLLDPYTYSYLNATFQRNRDPPASYEGQYSVDVLAKKAYGFLDKAAKNVH NRPFFLGIAPIAPHSNVEPRFSSSSSSSSDPATLQRRPTKEHDDIEKSVSFTPPIPAA RHAHLFPDVIVPRTPHFNPSSRASGVSWIARLPHQSAENVACNDHFYRQRLRTLQSVD ELVAGVVARLERHGLLENTYVFYTADNGYHIGQHRLQPGKECGFEEDINVPLIVRGPG VKRGEVAEVVTSHVDLAPTVLKLAGALGDKERTEEEEEYGLDGEAIPLTAEDLAQAKA KGKRHEHVTVEYWGFAVSEGRVFEKDATRFWTNNTYKAVRILGERYNMYYSVWCSGEH ELYDLQTDRYQLNNLLDPSSFATGKTPATLLGVPVQKVVARLDSLLFVLKSCKGKTCI RPWEALHPAGNVQNLHDALSSRFDSFYEKEQRRVEFDRCERGYIVEAEGPQFETDGLV YRDGHRWDQWV NEUTE1DRAFT_102890 MVQRHGNEPSLVTEREPLLSDTAQPSSDYLYHACRSTPEEGIYN RFIKPVPEEDEDRSLGSDETESLKQSAHVNVVKIISVMLLGIFVAQTDTSILMATHAI IASEFNALKDSSWLIISFSLAGAATQTLYGKLSDIYGRKRMVIIAYTMFMVGCAIVGV GQTMSQVVLGRIVSGAGASGMSALVSVLITDLLPLREVAQWRASFRGQVPLITLAIIL CSAYLPSYTSTLDETDDATQLKKSKLSRIDFKGSFIFAALVLALLLPIDLGGVKIPWS HPLIFVFLGASVILLVLFIAVERRQAEPILPLEMFHSKDAILSFLILGLQTAAQVGLM FTVPLYFQVTSTPRPSATVAGAHLVPAVMGNAIGGIISGSAIKRSGRYKSLVIWAVTC SSVGYLLLMLRWHGNTNSWFESLYIFPGGFGMGVTSSALFISLQVVIDPAHMAPAVSF MYLMQTVWMTIGLPAANTIMQIVLRKNLEIRLLKLGYDKVEVAKVISKAVSDVDFIDG AISKVRDAVVQSYIDGLWWSHGFSFACSVTALVLAVFLKQRRLDVHKSNP NEUTE1DRAFT_85790 MNQQSQQYQPARRPSAYASHGDELHMSAHQAHLSSPREYASSGA PHIKLEQMPSSPPNMQSYQSAGAVPNVLQPGGLSSRPPVMQSNTAPTLPTMQQSADYK SHGYERSSPATLASNSYDGGNSPYAAYNPTPPGGSSSSQYMSPASTNKYAAASSSRNV SNTPLGLSDIRPRADSTLSDGMLPGSQAYELANPQSRTSNYMAPWATYSFDWCKWRPS ANSAGKLAIGSYLEDGHNYIQILEANLTQTPPDVYVPGTSKYSMDFTRTAEATHSYPV TRLLWEPPSSQKQSTDLLATSGDHLRLWSLPADPVVPTSGSSITSRSGRDAPITKLTP LALLSNSKTPDHTAPLTSLDWNTVQPSLIITSSIDTTCTIWDIPSLTAKTQLIAHDKE VYDVRFCANSVDVFVSCGQDGSVRMFDLRSLEHSTIIYEPTGKEERDANGGRISPTLA QQTMSHPPPLLRLATSPHDQHLLATFAMDSNVIRILDVRQPGQALLELRGHGGSLNCI EWSPTRRGTLASGGDDCQVLIWDLLNQPSGLTPAGQPDNVRSPVASWQCDYEVGNLGW VPPMGNGEYGDWLGVSAGRGVWGVRF NEUTE1DRAFT_117788 MSNLFRSLASSMRALSLAAPRATAVNTTKTVVSTYQTRCLSQGL LSRHICTPMCSHNRPVAVSQSAKNGLQSKQQSRGMKVHSAIKKRCEHCKVVRRKANKR QNGYLYIICSANPRHKQRQGYR NEUTE1DRAFT_102894 MAVSLKLGAGHPSRLGTRGRVWDPAGCPHDGAVQGQNSGSLEKL SRDGRLENSSSSRAEWERLTCSKLSVSPRRGRGRLAYPRMQSRRAGKHRRSGGAGCFM EIGCYKGEHSGSTLSTSTSTRHQTSSNPTTSAPIERANRQTDKEFIANYLQPSVTSST LHPSSPAQLPPKPWTTEWSHPKTGTKYTISLVQSGKLSEEELKACFDLIKETSYDDYQ NSKDKWQPRKKMEEMRSPDLRYVLVKDGSSGSIRAFTSLMPTYEEGQPVVYCYEIHLK PELQG NEUTE1DRAFT_85793 MGALPKMAIHSEGVVVQVTAGPLSACATSVRCYQSHMFRMEGHR RHFQQPKGQSQTKRLALRHRRAGEEDPTSAIQTVLLHICYPATGFSREFDPPVDVLGC RRDIRKRRELIVVVVVGIEVKVTDV NEUTE1DRAFT_67116 MGFTDLLTDAGLAVLNNWLLTRSYVTGYTASQADVAVFKALKEA PSAEKYPNAARWYKHIATYEDEFATLAGDSSAPYTTYGPDVAEVTINPAKAPETAGEE EEEDVDLFGSDDEEEDAEAARIREERLAAYREKKAAKPKIAAKSIVTMDVKPWDDETD MVALEAAVRGIEKDGLVWGGSKLVPVGFGIKKLQINMVIEDEKISLDELQEEIAGFED YVQSSDIAAMQKL NEUTE1DRAFT_85799 MESLNRYIPYAHMIATVFGLIELGLTCYLVSPWWRAPGIYAFML FSSVWTLLVLIYIALFPPYFPKTFQRTIALALEWITMIFWFAGSIALAVYFGSPSCGA DTFCGCVEAATAFGFLLWVTFLFIVIVDTMATLKGRARGQRAKPVVGV NEUTE1DRAFT_147983 MFARRPIDHGAAGDTLSSETQMATMTSSPMATPLSSATAAQTST RKEPPPEKLSDIRRRIWVIVSFWLIVLLLGLPVWWKTTTIHRANLPLKEMLDWADGKA CRPVFPLRISIQANSLPEQEAQNLLRLTQHALDDLNDFSGHHLRLQLSSPAAPQKRND EPDTALTIRLLPGDAVTATLDPYEPVLDITYPPNAAPSATSASSSLATYVAGQLRSTF AEEQAIISYLLSSNSIPTDYRPQSLTPEAAESLAKRTTRSLKYAPTYHLTFSLFTSGP LPSSWDIEAAIQDYLKPVLDLLSPIHNFTIDTQVQLYASPGVQNQVLSKDDLSSFINA AEWPLSPSIGGAPTVNFIVFVGNQTIALSSENARDSAEGGLTTSQSWLIPQWGTVYLL SLPHDTAHVSVDALKQPLLTFTSHLLSLTGTPSSGSLPLRLSTLSRIRSADLLLRASS TLGSLARLALALKSISIPSSVADGVSKTIVHLRQACETLGEAEGLRHARIAEAEAERA FFEKSMVGQLYFPDEHKVAVYLPLLGPVAVPLVMGMLNELKAWRKRKREAAEKGKEKK KD NEUTE1DRAFT_67123 MAARLTAKAADWYESLGNLLGAARYQQPPSAFDLSRFSDSESEE QTSRSPARTPSEFLSSAFRGIKKITPGREEFARLTRQPSQLGLRRPAESYIPTAERPS ISSPIHIKSPNPLQAQHARSLSLQNSLAKPLPEPPPPTLSPTSPSTPTQFTQPPLETI VNAEEDWMDNNRMSPMDSASSMEMGGGGTYMRSQETARQDVSMSSSQSSFASVHRSEG GDGRRENSTQRSNGPMSAGPSPNGGPGRSQVAGGGGPQPMPSPSQHLSGLMCNVHRTT GREPPPLVGATTTVLGDKLFVFGGRVLSRSKPAPLTADLYELDLIRRHWSKIEATGDV PPPRYFHSMCALGDTKLVCYGALQHNPSSGNPNEGRIGINIDGTGGAEMVVIGGQDAA NHYIEQISVFNLRSLKWVSTEQLGKSCGAYRSVVAPLPPSLAAKIGKPHLVSGRPDPG ATSQEAKDAGSSMLIYSNYNFLDVKLELQVRSSDGTLVETTMKEQYSPPGLRFPNGGI IDHYFVVSGTYLTSSKQEYALWALDLRNLSWSRIDAGGAVFSQGSWNRGVLWNRRNTF VVLGHRKRSLVDDYNHRRINFSNVCMVELEAFGFYDNPRKTAPMSGFVSASSPYTGPG LSLARKAGFTAGGRQHSRAAEELGEKALTMRELADMDILCIGGERIPVNSRIIARRWG PYFVQLLREGTACQDGSDVMTLRSNSISASSGMGRSSNMTPTPGNRNTMESQMSVYSG GSSAGKPPSTAATSVAGSIMSPTGVDAAALNSAPTPRTLAPNNRPRCLYLPHTFLTIQ ALLHFLYTSSLPQPTSPLCTPQILCSLLQIARPYRVDGLLEAVVERLHNLLDSRNAAA VFNATAMAAGGGRGIDGTLNPNFFPPADLDGLASPVGSMPPSANGSEYGGGGSSLAAR TAALHINTTGLQQGRRPPDEELSATNSVSGSEWSEIGEHETERGSEIWSGELSSVIGL QKRGLRGLMEGRRMRERTGTNPGMGLGGPGPSSGHGGPGGPSLYGSTPTATSSMQQMQ QRVGTGMGGP NEUTE1DRAFT_85806 MTTINKRDQLPSLLLLPFPPHPSNRTLLNAAYRPPLTAVLSKLK HANPHGASKLIIAVTVPILQGPPYQLRSKTLLWSQAQALIAGLYAIISVICARLEIST EIDGGQGSVDATVVLVDHDRGRKPLNAEDRALRGDSKSSNSLIETNNTVVVDLATFAD AYHPWNYIFHVRTEQGIRLNEVYLQMAEGKMIGAHALRQEQLVGVEGGLTLHHGLVGD DTTAVAGAAGGEGNGEGRRVSGVPVVCLGGTFDYLHPGHKLLLTAGALLLGVPSKDEL SLPATSPRRREPCKYIIGITGDELLKNKKFAEYVQSWETRARNVIYFLSRLLQLSDKG WREAMGPAADDNIHSAVAAGVQIDEKDGDFRAAFRDGTIIVQCVRIQDAFGPTVTEEN IDVLVVSGETRSGGKAVNDKRAEQGWKTLEVFEVDVLNADEIPEDVGEDVKATEDFTS KISSTVIRQQRAAQATGKSEPKI NEUTE1DRAFT_147986 MPPKRKTLNAAAEANAHADGHADAHADGHVANTSASSNNARFAD LTNIDTPGLGPTTTTSLVEPARSKRQRVSRACDQCRAAREKCDGIQPACFPCVSQGRS CTYQASPKKRGVQTGYIRTLELALAWMFENVARSEDALHNLLVREAGQGSALLVGKDS PAAERLHARWATSRVNKSITRLLSGQAAHDPSEDGQSPSEDINVQDAGAKTSDFPHAP HLTFSAPSTAETRTLPGPVRPPISANTLENNLQPDSTGIGKLPPNHWRLLDIYFSYTH SWLPILEKKDMYQALYQYSEQGSLLPSANVESGVHAELWSALALASFQAAATAASSAT GPASAAHGHDNAINPSPADISDTARKLIPLESGPFQVQHCRALLLLCLVSLGRDDWES AWLLVGFAVRVLLVVRTQLPPDDDRPRPRMRALLVACFIVDTIVSMRHNVPAHLKPDD IADLPLPEDGQDQWEPWTPCEGLGGEHTMLQMLRNPAYPLSTFNHLYGVTKLVALELL PRIRTSSQNAPSEFRSRLQQVIGHNSPFSVFVLSQDTASAFVPTAYLTRTVYLWAAAF SEPLNEHYSHLLIETLDQYQKRFGTYAIPPLIPSLLDSLLALKKQSHSSERHRMHLEE LFPAYSSIWSRGGRHSNTGLQPIRQLELPPTATATANIMPHVMEQPPSTSINPVNDRF NGIPNPTPYNSDAALDAITQTNDYGSVNTHGILSTFPPPATHLNEASVALAHGGAPPR PPPPYVDSTTNHPPHHSNLVPMANFGYSTVDYDAMVDDLASIEYTDAVDVDPQFMTNL GFVPGCNFSDISTYEQ NEUTE1DRAFT_85813 MNTIPARHVGDVAARDPLPLPHISSSVASGMKRSFATMAMLYND TGNSNDVGAHARRPPRTLSNSRSTSAHRSVSPGSWSAPNSPPRRALPHHPITASFDPD ASIVIAGIRGAGKSTLAIMASTAMKRKIVDLESEFHHLTGLSSSSYKKTHGPVDYGRR QIAILQNILNLHRTRAILVCSWLERDVQAMLQDFSTSNPVIYVLRDAKAIEAHLKGYD KSKVGTLLDATSTVLRRCTRFEFFNVSEENLDTHSASTSPPAVPDQRHTAPYLTLKRA ERHFLKFLSLILPKGTIPFVESAFPLASVPVEQRRFTYALALPISALLDQGVDIQELD VGVDAIEIIVDDLATSESGPTSPLGLAPHRASEISRVVGEIRRDTVIPIILHVVFPER ALYEEALLALYMTYLNHALRLAPDYLTVDLGLDSGLLGQLTTVQGTTKVIGNKQLAEV NSPRWGDPSWLQAYEKAQNTGCDLVRLTRPASNSRDNTDIRQFHVAVEAVGGPRLPFI AYNTGRLGRTSMCFNEILTPVAPGPTKEDAIGLRNPAHRYLQPPLTALEATQALYSAF VHDPMKLYVFGANVGYSLSPAMHNAALKACGIPHHYKPLSTANIGTLREVISDPQFAG ASVGLPFKVEIISLTHSLSRHAKAIGAVNTLIPVRHLTADGGIPDEVSMFNNISQAGA VRALYGENTDWIGIRACLRRGLSPANAVRSTSTGLVIGAGGMARAAVYAMLQLGVKKI LIFNRTFANAEKLVLHFENLLVRDALPLLSTGPRSHDNTCFHIIRSRDEPLPENFKNP TMIVSCIPTHTVDNTPDPEFTVPLHWLDNPTGGIVLELDYKCLTSPLLEQTRREAHRG WVAMDGLDLLPEQGFAQFELFTGRRAPRRLMRREVLRAYPDDQAKSHTAQLQPRLNGI ATQIS NEUTE1DRAFT_85816 MTLLALKEDRPTPKAVYNWRVYTCAAIASFASCMIGYDSAFIGT TLALPSFTKEFDFASYTPGALALLQSNIVSVYQAGAFFGCLFAYATSYFLGRRKSLIA FSVVFIIGAAIMLAADGQGRGIDPIIAGRVLAGIGVGGASNMVPIYISELAPPAVRGR LVGIYELGWQIGGLVGFWINYGVNTTMAPTRSQWLIPFAVQLIPAGLLFLGSFWIPES PRWLFANGKREEAMKVLCWIRNLEPTDRYIVQEVSFIDADLERYTREVGNGFWKPFLS LKQRKVQWRFFLGGMLFFWQNGSGINAINYYSPTVFRSIGITGTDTGFLTTGIFGVVK MVLTIIWLLWLVDLVGRRRILFIGAAGGSLCMWFIGAYIKIADPGSNKAEDAKLTSGG IAAIFFFYLWTAFYTPSWNGTPWVINSEMFDQNTRSLGQASAAANNWFWNFIISRFTP QMFIKMEYGVYFFFASLMLLSIVFIYFSLPETKSIPLEAMDRLFEIKPVQNANKNVMA ELNFDRNPEREESSSLDDKDRVTQTENAV NEUTE1DRAFT_46730 MSVVQPRQQELLHLTSTPDITPYTRHGYLFGQKLAASMSPLLHS IVYSHLSLNWAQLRLDSPSIPLFLQLTQHPDFYGASVTMPHKVAIIPHLDHLTPECRD VGACNTLFLKTDPATGRRLYCGANTDVIGVRESFVQNVSDPARVYESRPALVIGGGGA ARSAVYALHKWLGATDIYLVNRDKSEVDAVIAECTERGYGDRLVHVASVEQAEGLEGP GAIVACIPDFPPKTEKEMLVRRIVETFLMKEEKGAMLEMCYNPSPFTELGALAEHEGW QVILGTEALIWQGIEQDKYWTGRTNEELPIQQIKEVIAAKLAEASKSHTS NEUTE1DRAFT_139743 MPLKLAISSMSLGRCFAGHSLDSKLDAAQRYGYLGIELFYEDLV DVAEHLSNERPSPEGPFVEAQIAAARHILQMCQARGLEVVCLQPFMHYDGLNDRAEHE RRLEKLALWIELAHELHTDIIQIPANFLPADQVSDNLDLIVSDLCKVADIGAQALPPI RFAYESLCWSTRVDLWERCWDIVQRVDRPNFGICLDTFNILGRIYADPTSPSGRTPNA KEAVRKSIANLVSRVDVSKVFYVQVVDAERLSKPLLPGHPYYNPEQPARMSWSRNCRL FYGETEYGAYLPVKEVARAFFHGIGFEGWVSLELFNRRMSEEGPEVPEELAMRGAISW AKLVQDLRIPVEGPLVTTPRVSASL NEUTE1DRAFT_131224 MASRRHILLINGPNLNLLGTREPQIYGSTTLHDIEQASHTLAFS LGLRLTTFQSNHEGAIIDRIHQAAGFFPSPPSPSPSSAATTTEAGVGPGDKVSAIIIN PGAYTHTSIGIRDALLGTGIPFVEVHVSNVHAREAFRHHSYLSDKAVAVICGLGPFGY SAALEFLGRHMKF NEUTE1DRAFT_85824 MTTRTTTAAELDEIYTFAVQLGKDAGNLLMEAARLRFSNNNANH DKESTSQEFTEKDSAVDIVTQTDEDVEAFIKSAINTRYPSHDFIGEETYAKSSQSTRP YLVTHTTPTWVVDPLDGTVNYTHLFPMFCVSIAFLVDGTPVIGVICAPMLGQLFTACK GRGAWLNETQRLPLVRQPMPKSAPGGCVFSCEWGKDRKDRPEGNLYRKVESFVNMAAE VGGRGGKGGMVHGVRSLGSATLDLAYTAMGSFDIWWEGGCWEWDVAAGIAILQEAGGL ITSANPPEDWATAEIPDVKLGSRLYLVVRPAGPSEGETAREGQERTIREVWRRVRALD YTRPGA NEUTE1DRAFT_147992 MHNKANRNNNNNNNNNNNNNSKTEKQVMLENLCTLPLSADLFTQ VVHPSKPLLTVGLSNGRVETFRIPTNEDSDDEDENSSITGGKGVIKSVWSTHRHKGSC RTLTYSTDGESLYSAGTDSIVKHFSPETGVVISKIGLPPVNSTSSQSDTPAILHTLSP QTLLLGTDSGSLYIFDLRENGSLNPKPVRKHVPHSDYISSLTPLPPSSESTSGFPKQW VSTGGATLAVTDLRHGIMATSEDQEDELLCSTVIPTGLGPKHMRNNAVLAVGTGGGVL TLWDRGAWDDQQERIYVAPGETKKDGESLDAIVRVPDELGWGKKAVVGVGDGTIKIVD LKRREVQTTFQHDEVEGVAALNFDYENRLISGGGRTVKVWAEAGSAQEDEEEEEVVEA DQGVKRPAGSDDSDDDDGSDSDSDRPKRERKKKRRKGNKKGKHGGPSVSFPGLD NEUTE1DRAFT_123891 MIFLKLPLLLGAVMLAMMGGSGVMAATSRPLRCIMYLTGQHPVA PQIDQLDHVTHVALAFMSPSIFNDPLNQEWPLFTTVHHVRPRFPNHTKVLIAIGGWGD TIGFSVAALNDETRTLFAANVAKMVEATGADGVDVDWEYPGGNGEDYKQIPNSDKRWE IAAYPLLLQELRSALGPDKVISAAVPGLHRDMLAFTRETVPRIMRHVDFLNVMTYDMM NRRDTVTKHHTGVELSLQAVDAYVAAGAAPQKLNLGFAFYLKYFKTEQDACAQTSPVG CPTVEMEDPGSGADLGRSGAFSWHDDVPKHLRASYDRALAQGVYDDGQGGYYYWDPTE ALWWTFDTPDAIKQKFPKVMEKRRLGGVFAWGLGEDAPNYEHLAALNEGLAKLKGQVT DERDEL NEUTE1DRAFT_47277 MAQPHREVPPTAATCPPGAFSIGQMRVESLTPTVVRKHLMPIIL LHGDYHTASVWFTKPDRRPGWAYFFAERGHRVYAPHLPFHGRSGALVHYERVKAITPQ TVENLYTAINKTNNPEWPAAKTHTQWPGSGVRGDPIFDEYLKQLVPLYMDPHERQAAA QEAVASLLRFLKRPAILVGQGSGANVAWLVADVAPDLIHAIVAVEPLGPPFGSALGHK NGQLGPTSTFTRAAGIRQYGLADIPMQFDPPVAAPESFEELFGGTYPAFEPIPPRKLM NLIEILQLVVTTEASFHRFSDWATVHFLRQAGATVKHHRLEEHGIRGNGHLCFLEKNS NDVANHVLNWLHNETDVPKLQALEARPSPSQAISPSGDHRQSLILPSEKTCPTKQRPV ETELKPTNTIANSSQR NEUTE1DRAFT_123892 MASSSQQSESNNTTQNANATIGHNSNDTTDNNDSSDNNNSNNHN IGKNSQNDAHNEHAPVAINVRSTATAPNPVQHIHYESLPALNLNHPPFYGTYRDSTDG VPQYHPFSDDDDDDDDDDDGQELEEENREESENQFSRVHRVDHAYSDHSGRERQQRGE DESDSGGGRRRSRWERRRGVVMKMRKAMIWIVVLPLLLGALWVCINLFRKDETV NEUTE1DRAFT_102914 MQECLQSPSLKISSRVRTQPTGKGILLGLLYFRKHISFEITPFM YPQGFLIQDIRLKIFDRSVAFQPSRACQSLSTEPHVSQEASTLSQRLPEGLAFGKETA GSKIPLLGRLIFSIGLLFSEADSGCLTGSDRLHCAQRKLILEQYEYGKAGIGSLYWDT TDGVTTYMNKPLS NEUTE1DRAFT_123893 MTKLSPSSLIIDHHQAAEDEFPSGSQHVTACNFPPISTSALLTY LICHPLHPAIEQQCAWLAVLGTHGDLGTNFKWQPPFPDMGVVLKKYTRKRINDAVSLI NAPRRTATYNVHAAWEALTEAVSPVDLLANKALLAARVEVNAEVERCTHVPPKFSSDG RVAVLRMNSKAQVHPVIATRWAGHLKSARLEVVMAANEGYLEGNVNFSCRVAKCAKER SGGRGEVNIIELLNGIVKTAGDSSLRHRLGDSFARGHKEASGGIVPTKEFEELMALME VGAGSRKKKDLATPEKRKENTITNYFLKKAGP NEUTE1DRAFT_123894 MARVGVISSLRASMRSTAAKTGAVRCFSSYLVTPKELHEALKKA PPSPISSEPRVIPLCASWFLPNDPQKRTGLDVFIEKRIPKARFFDLDKVIDKRSPYPH MLPSSKDFAAAMSELGIRREDTVVIYDSQELGIFSAPRVGWTMKVFGHPKVHILNNFK LWIEEGLPTESGNVWTIECGTYPIPEMNEDKVASFEEVRQVAIDSSKEGSKSVQILDA RSPGRWSGTEPEPREGLSSGHMPGSINIPFGAVLDPSTKAFLPTDKLKEVFARAGVDP SKPIISSCGTGVTACVLETALNEAQFGSPEKRKVYDGSWTEWAQRVKPSELLIEKAE NEUTE1DRAFT_28197 MKVKIKKWNAVATWRWDLPEDDVCGICQVHFDGTCPTCKYPGDK CSLLSGKCGHNFHMHCILEWIKQDSAKGQCPMCRQKFEWNGRP NEUTE1DRAFT_85843 MTEPESFNRPGSAAAAAARYFTSSSPRPSVASRTSRSSLRREHD VQTDQLQPQSRRLSSAQSHSPSPQPPPSVEEHTLPGIDQQQQQQQQQKGEEGGEEGGG SQPSFTPFFTLLSSSTHSSQRQTIHHPTVHYVFADDDPDILTAALAYHHQERSEQDAP PSPDNDERLYNKEPRDRAVILDVAPSSNGNGLQVAWASSLSSDWAVVSAQVMRMEDQA SSGSANAAGGVFAASSPSLHTGPSMLKIEGIAIDPSSSSSSLLSSSRLGSGGKLSSTP EGDLQSSLTGKGKQATMASPAPEEYAALLQDFERRIGVLKRVAEAGSERQRRISSMDN QGFERNHGGGGASGKRDTLLAEVREGDDVRAQD NEUTE1DRAFT_67164 MENHQYGYGGRDPSFDQRDGAAGAYSPLGERDQSPAPYAGGNYG GNNMEMSSITTGGLHGGDPTAILNECRDIDNGIEQIEANLRELRRLQDRCLAEADSSA SSSSRQLDTLNTETMALYRTITDRVRKIKSSPEGRQPRNQAQVGRVDRRLRQAIQDYQ GVESSFRKKMQEQMARQYRIVRPDATEDEVKAAVEDTTGNSQVFQQALMQNNRVGEAR AVLSAVQDRHKALQRIEQQMVELAQLFEQLNTLIVEQDVKIQAIEQTSEEVVDNLDKG NEEIEVAVQTARATRKKKWMCLGICVAIIVVIVIIVVVYVVVTHPPGGGNSSAQNTKR GLLQRSFTDDLQMNNARAIQIAAPMAGPISRIHRQSNYVVPDSADSESLVKRLSPEGF DRMISPYKQAPAGATNAKRFVITDEILEFMEQNNAVASRGPHRAGKRFVITDEILESM RGGQVADHKKFVPGHHNDAKDGK NEUTE1DRAFT_131235 MEAAARDWSKSDGHGDFQRTRPASHAGSWYLGNAARLSSQLDEF MSRVPNKLDGRDLPIPGARVIIAPHAGYSYSGPCAAWAYKILDLANVKRVFLLGPSHT FYLKGCALSTFGKYSTPFGDLVVDGKAVDELMEDQKFSPIPVEYDIREHCLEMHLPYL WKRLEQTLGSDSSQFPPIVPVLVGDLSADGEKAVGSLLAPYLADPKNAFIISSDFCHW GKNYHYRPQLHKGLVRDQDAQWASLKIQPGFKTKADGSEPRDAEVPIHEVIKALDDLV MDSIKTGDHSDYYSILKGTNNTVCGRHPIGVVLAALEKMGGAQSGGSKGKFQFVQYQR SNLVEKQSDFSVSYVSAYSIL NEUTE1DRAFT_123899 MFLKRKRSESELSFSSSLPSPSAGTSNEHGFDLSAIASVINSQK SFPTILSPTTSHLHSRTMKRYRNNRPSEAEVHQRTLSLLYAAQQQQQQHHAASPVLNP HHQQQPSTVNDVGPSVTLQKQPQANRGQQRSLHSFWNLPAAPVPTCSSHTFPAMFSDP SGNSLLDTPTSCEDCGAGPSDNNGNRYAKTGGEGGKHDVDMLDVCTQLFDQ NEUTE1DRAFT_85852 MTTTAQPETSATDTDDPSGSTKIVPSPQDHPKENGEDAAASLKY SLLGPSLLKAGQDTVDQLKVSEIIYNASRGSKYFNREEARDRSLTAKIDQILSKKREL EKLDLGHELRVAGSLIAQLELSRDLSQYIVHVDCDAFYAAVEQLDRPELKDLPFAVGG GVLTTCNYVARKYGCRSGMAGFVAKKLCPELILLPISFDKYTAKAGEVREIIAEYDPN FESASIDEAYLNITDYCTRHAMAPADAVAKMRSDIHERTSITVSAGIAANTRLAKICS NMNKPNGQYVLPSERGAILEFMRDLPCRKVNGIGRVFERELAALGIHTLGDIYSNRQY LRNLFGEKASEFLVHCFLGLGRTCVKPAETYERKSVGTESTFRVMSDPTELRNKLRRT SEDLEQDLRRAECKGRTLCLKVKLHTFEVLTRQTVLPRAVHLATDLYSHALPMLTKLE QEIPGMKLRLMGLRCTNLATTKKPNSMAFFGLKSRGNRSEGHETTSAKKTTNLDGGKW ETQPVGISAVLSGEQRAQGTRETKLTGRNSPSQFASHSDGDEITPHSRQYDGEPLFAE PEWWNCPICNRLQVAEERRFNDHIDLCLSRQAIRDTIQKEAVMPSQEPKTSKMEHKKP KERKRGRHATTLDPKQKRLCFG NEUTE1DRAFT_67174 MESTHSRLRTMLPPINFISIHYAYFIIVCLFASLIFWGSSNPAH SISYADSLFLVVSAMTETGLNTVNLSEMTTWQQILLFLLIIFGSAIWVSIWTVLARKH AFEKRFEDVVRAERLRRANRSSSVNLSRLRFMRFQGRRSLNVIPPPAMTETLDLVSIS TPSPGKSNNPILDVHAHHTHYTGTAKLSDNNTPQRSSTLAHIAFAETPHSSPENHPPT GISTAAQHGYLVSQNNTARRIAPQRQEHMETEGDAKGDFSVRSLFARAAGRNAQFHGL SREERRVLGGCEYRALRVLAVIVPLYFFLWQFIGCMALGAWINRHRPEPPLRNGVNPW WLGIFNGASAFNNSGMSLLDLNMIPFQDSYYVLVTMGLLILAGNTAYPIFLRLILWGI LQILDVATMETELCELKETLQFILDYPRRVYTNLFPQRQTWWLVFMVILVNSVDWVAF ELLNMGNPAVESLSKGSRVVDGLFQAVAVRSGGFYVIAIPSLYIGVQVLYTIMMYISC FPVVITLRHTNVYEERSLGIYSGDPISPDIESGLHGRSGVTSLTRHISHSGTSAIGQV FRHTLTWHGVGVRTPLTNSAADSRISFISQQIQGQLAHDMWWLAAAVLVIVTIETSNF LADPVTFSVFNVIFEVVSAYGCVGISVGLPSANYSFAGGWHTASKIVLCAVMLRGRHR NLPVALDRAVRLPGDDLHEEEEEDHRLRRSVTIRGVESGEG NEUTE1DRAFT_47758 KEFLVLKKMLRDLFNKGFIRASNSLIIIPILFIRKLSSNLQFYY NYRALNIITRANYYPLPLIKETFRIIIKVK NEUTE1DRAFT_47667 YKLGVNLKIELKKGLDSKDFPLPFSLLYNIFREKFLIFKKTLRH LIDKGFIRVNNSAIRVSVLFIWKLDKGFYF NEUTE1DRAFT_117797 MKSIFLSLSLLASATVGVLAAEELGIDVTVPVECDRKTRKGDKI NVHYRGTLQSNGQQFDASYDRGTPFSFKLGGGQVIKGWDEGLVDMCIGEKRTLTVPPS YGYGQRSIGPIPAGSTLIFKTELIGIDGVPKPESIVYKQAAEKAEEAASAVEEKVAEA TDKAGGKIADATEKVEEKAEEASANVVEKVASVVSGAAEAVKTVVADTDDVQEHNEL NEUTE1DRAFT_47735 MTQSGRLEIEVEERGRSLTSQVVINVQTAAWVALTDRLRGHWSS CESSEGIVSRYEVERRILRFLGETRQCRLVDSR NEUTE1DRAFT_117798 MGGNQETYISNSGSRPPYYNPRTTSPSSSSNSRSSANSVFYRSG TADNHNVTTQKKSDVIVINHHQPNPAKDEPRSSDYYRR NEUTE1DRAFT_117800 MADSSDNVNPAPAIDETPISPSRPDPQRKNSLEQHLKRRPVRQE LVKKNILPASNAAPGLIAQQKELEKHMRADSLNERIAHRPSPEALIKEGVLHEDPRSP NEUTE1DRAFT_85858 MGQVLSEEEKPAPLSHEELVKELANIFADKCFTSLELYSLKQVF KSLAHHEQRVGYLKEGTIATYLNVPDILGVSPVLFRMVTYIAAFPFLEDAPAFLGLQQ MVIVITLMTDRYRRVLSKDAADKTKLLFKSLAVYDRKLSRPAADMLSGLTNAREQAGN DRQALDNDELVLAAFDALGHVIPDKQQNMLATHEAMIPGDNFRKFIMLLLLVAPLDPQ GRLDSDPNRVTGAGLQCLRTAAESILAAFLDVEQKPGVEYLRFSKVIASCLPFLFRGL TPLFEYFLFSEELDFHKGKKGTSRSPTMFPKPEQPILQDTGSIMNASILSQMSFFLPG NLLFRRLRLLYAGDEDGFSMGSFEAKVFKWKAPTILLVCGTRLRDDSDHHSNGSAPAF WASLPPRRFPRGSSGEAERLTFGVYVPEPWKLTHRECFGGEDTILFQLEPIHDLFRAS SLNKDYISFARPSASLSHAGVSFGCPPPRPSQAYRRSSIIALGPVSLMLDSSFEFGCF THDYASKGGAFHTSMIREYDFQERFEIGSVEVWGCGGDEEAKDQAERWAWEAREAEAR RRINLGTGDIEADRALLEMAGLVGSNRNGGSMA NEUTE1DRAFT_117801 MPNSTDNQGSAPPGLSSRPLPSSFDDNADFYNENGFQKVSRRLR EEPLIPIGCIATVAAFTGAYRAMRRGDHEQVQRMFRARVAAQAFTVIAMVAGSWYYAA DRQKQKELWKLKEQQDAEEKRQKWIRELEVRDAEDKALQERLEKRKKKKAERDSAAGA PDGVAAQAQAAYADAKEKASSPAGDVAPEDPNKSNITGVRERLPTWLGGSKGADGSSR DKN NEUTE1DRAFT_139764 MASTQSAQPLLVNGASLHVVNGLNSERPASPSSVGNLALTEYSA MPSPPSEDATANKMKRIVPDEFLLPNGHPDYLRLIITSYPRVREVCSEVPLTRAVNLS NRLECNVLLKREDEQPVFSFKLRGAYNKMAHLDPKESWKGVVCCSAGNHAQGVAYSAR KLKIPATVIMPKGTPSIKHLNVSRMGSHVVLHGADFDEAKEECARRAKLDGLINIPPF DDPYVIAGQGTIGMEILSQTNLQKLQAIFCAVGGGGLIAGVGVYVKRIAPHVKIIGVE TYDADAMTQSLAKGERVLLQDVGLFADGAAVKTVGEETFRICQEVVDEMVQVTTDEAC AAIRDMFEDTRSIIEPAGALAVAGLKKWVAANPSADPTRSVVAITSGANMDFDRLGFV SARAKYGEGKEALLSVNIPERPGAFAELVNAIMPHAITEFSYRYATESKANVMVGISL ADSGSRRAEQLQTIIGRIKQSGDMDVTDLSADELAKSHLRYMVGGRSNVPNERLYTFR FPERPGALERFLRLLRPKYNISLFQYRNYGGDIGQAIQRKKIPLNNVLPGYYGPLKNL NFKFNLNLNFSLEALLY NEUTE1DRAFT_139765 MAGTEPTAKYTKSVGIEGARNAKARNVARAAPEGCTQWHAPGKH PWAPAP NEUTE1DRAFT_139766 MSLIPPTFVAPSGTSRHRSFHGGMAGMAAWLDRRRHKFDSSTAA GTSKSARESSTTTGTSKSAKESSSSKTAAFTRETCTQSSRATIAKTAAYTRETYTQSS KAAIAKTAAYTTGAEANPLT NEUTE1DRAFT_46886 PTFDLLFSIINRIQHYNRYTNNYYLCIKRFVQGTLYLKDPIFKV CRFHFPRNYYPEVYFIYSLNLKY NEUTE1DRAFT_46662 VTTSTTEAEFTNLVPTAKVLDWVNSILDDLNINLGLYKINRILY TNSNNARD NEUTE1DRAFT_47326 NLVKGFIRLSTSDIASLVLLVRKLGGGVRICVNYRGINNISLKN TYLLLLIKEILDAICRVK NEUTE1DRAFT_47964 YINERFNFNYHTTSPIESANRNLKSFIISGNNYIPQLSDSYTLS NSQPYLLY NEUTE1DRAFT_47389 MTKFAAMLRALKRRNLAGQNGNFNVRCHQLHGPTFPGIWVRVGF GVGAPRFTLKNILLNRFILIC NEUTE1DRAFT_47574 HQMHFGARDGRGAIPIAKEKVAFLGCYSNRVLLGAKFSPLGLPR QSARITY NEUTE1DRAFT_47234 IVIVIDRLTKIRYYIPTVGLTVEELAEYFIKKVYSIYGLLDSII SNRSV NEUTE1DRAFT_47370 TILKAISTSNWTIPPFVLLPSVNIIYKYINNSLNDGTIIATSLR SYINN NEUTE1DRAFT_48113 MTAFLFWLFLHHMQFDVRDNRRGISVVKEKVAFSLCYSNSVLLG AKFSYLGLPSTCQNG NEUTE1DRAFT_46785 EELRIIRKYLDDYLVNEFIRLSSSPIAAPVLLVEQLGGGIYIYV DYWGLNNIIVKN NEUTE1DRAFT_47595 DLPKLLTLRTSEGVIEIKNYNSKAEIFTNRFFLRVNILIEYLPD RIKLINSINLIINSELVIKGDV NEUTE1DRAFT_46357 YINNILYNILNIYTITYFNNILIYFKNKAEYITYVRIVFERFRD AGLYLNPNKYKFAVKKVKYFSFIIYASIGI NEUTE1DRAFT_67189 MLYKGLRLAARAAPKLALCNATPALRQLPLQFQHVRTYADQVIK VPQMAESISEGTLKQWNKKVGDYVEQDEEIATIETDKIDVAVNAPEAGTIKEFLVNEE DTVTVGQDIVRLELGGAPKEGGAEKPAASESKEAAPKDSAPAPEKAPEPKKETKPAAA PAPTPAKKETPAPKQESTPAKEAPAALGNREERRVKMNRMRLRIAERLKQSQNTAASL TTFNEVDMSALMDFRKQYKDEILKKTGVKLGFMSAFSRACVLAMRDIPAVNASIEGPN GGDTIVYRDYVDISVAVATEKGLVTPVVRNVEAMDLVGIEKSIADMGKKARDGKLTIE DMAGGTFTISNGGVFGSLMGTPIINLPQSAVLGLHAIKERPVAVNGKVEIRPMMYLAL TYDHRLLDGREAVQFLVKVKEYIEDPRKMLL NEUTE1DRAFT_95713 MTGGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQ RVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGH VTIAQGGVLPNIHQNLLPKKTGKTGKNASQEL NEUTE1DRAFT_117805 MAQGSLQISNLSLRFFSPSEMRRLPSRIVVCDVRKRREGRVPLD TSTSQPVRSLHESQRRWLHYQMKFRRRRAKPLPGVQDQPIDRYSQ NEUTE1DRAFT_117806 MPPKPADKKPASKAPATASKAPEKKDAGKKTAASGDKKKRTKAR KETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVATEASKLAAYNKKSTISS REIQTSVRLILPGELAKHAVSEGTKAVTKYSSSTK NEUTE1DRAFT_123912 MAPKKGKRPHAGPKTARFTMRDEARNTTSHQLLLGTSLRTKPVQ FVSAGYIEPLKQPELLPPASPTLVGVDTAEEIQLQLNEIQEATVEAVGENSKPLGIDV QQSEPSRNDSTHDETDPVAKTDENVEATEQLFFFDVARDETVVGTDQPPVPIPNRQPL ADHSDSSEEIILFRGRTTHVQPTVQPVCEPRNHATNMTRQPMVGSTGTAAASTSTKVI IAARPTSAQGGRPTSAFKRKSPKRDSKRDSKREQKYMEDDDEDEILADYIANLADDSD GDDILTSHLRTFANRRDLGGNGNSVNFGDESDDMDAMSETGGSNASDDSGENEQLDID EEDIDDETLARLFAKQEELGMGSNELVLFSEEAAFNVQKAKNKKRAGRTEGFPSATAV ADAFDGLELTDGWVNPGFIPPGRNRRNKQPPNFNVSDSEIELVLKTAWQKDRERKKQR KLEREELRAQGLLGKRANPDDLRVKYLTGMTLDDIKTELVAFLLTTEESLQFPPMDKQ ARKVLHELANKLKIKSQSTGKGDQRRPILYRTKATLRYKGHRSEEVVTHVDQAAVRIN RKYFHRLDAKGKPSYKTPSGGGGGRSGHQAVTVREGEIVGASAPELGQENKGRAMLEK MGWSKGMGLGSLDNKGILEPVKQVVKRSKAGLG NEUTE1DRAFT_85886 MTSSRPSGTGSTGWQETVSNTSPKLVNAMEAARAGAAEVGRVGR RFLYRIWDWEPVNNRTINEPVWCLGCSYTLDIKQYGSPLSSSSLSQLTADTPPLDKSQ LAATHQHQDFNGVRTIATATCLSDTSMSAAPAGSQLGSFDTAPDSVTSGYDSALAYEE PGQDGGWPPTFLDDFESRIWMTYRTDFAFIPRSSDPQASSALSFAMRIKTTFSDLTGF SSDTGWGCMIRSGQSLLANAILIARLGREWRRGTDLDAEKDIIALFADDPRAPYSLHN FVKYGATACGKYPGEWFGPSATARCIQALADEKQSGLRVYSTGDLPDVYEDSFMAVAN PDGRGFQPTLILVCTRLGIDKINQVYEEALISTLQLPQSIGIAGGRPSSSHYFVGVQG QRLFYLDPHHPRPALPYREDPRGYTAEELDTCHTRRLRQLHIGDMDPSMLIGFLIKDE DDWDTWKSSVKHVQGKSIISVSPYDPARGQGGGRAEAIDEVETLESDDDGEPALGA NEUTE1DRAFT_102938 MGAEQSAPRSGGQASAAVLERKTCYYEVLGVDRQAADTEIRKAY KKKALELHPDRNFNDEENATRKFAEVQTAYEILSDPQERAWYDSHREAILTGQTDVSG AEPSGHDGTSYTSATAIFTLMGRFNSSVHMNDSPNGFFGILNSFFDQLAAEETAAADW EGIVPVEYPAFGRAGDDYDSVAKPFYKIWSGFSTKKTFSWKDKYRLSDAPDRRVRRLM EKENRKFREEGIREFNDAVLSLVSFVRKRDPRYIPNTQSESERQQILRNSAAAQAARS RAANQEKLAEYVVPDWAQARDDEEQPLSEFSLTSEEESEVEVLECVVCNKTFKSEKQL EAHEKSKKHVKAVQQLQRQMKKENADFHLGVESPAISTPSPQPQIPRKTNLDGSDDTA KSPLGVLGGNSQSHEDIYQSAETREDQGRSAADDSSTEDDEYAPRSTVENRFTAGTAF REPRPDSLATTEGVDSLTDNILGLEVGGTESGGAGKKLGKAKLKREKKAARQAAEAGE QGTHLCAFCKETFSSRTKLFAHIKEQNHAAPAPQSTKGDRKARR NEUTE1DRAFT_123916 MKFGHSFKEALQGESYPQHWVDKAIPYGQLKKLLGKVREELTRN GYDPDTLHRLLADHNAEYRLRVENSHILRPKLVVRPSASTICLRSDTVPKLLTGGELS TPASAPPKSSSVLLGNPTSSPPSLAQAQDAGWVDIPLDSDAKFFNILQTDVVELDSLQ TQERQSMNDGIQLLGDEIARLARPRKGVIHIAKSDLYRWREIFELYLAAQVFFSTAET ATGPRDSEKARKQLVWFQDEVNKRQLLQKFKLKASAEAYTHFLELNATLLKNFKFQEL NRTAVTKIIKKFDKQTSLGVKAEFPKAMSSAPFIVGSIAKDICSQMACEVLSRVPQIV DYTCTICYSICWLPVRLDCDHMFCIRCMIKMQTREKELCPLCRAKTVLSATEAHIDWK LMSYMEKWFPKETKEKQRYNELERRRELLGEAYVDTNDGPCIVM NEUTE1DRAFT_131251 MPPFELGDSIPSETAHAVSVSLPTWSANVGYEEGQDWVVKRMAT GYPRFFIHRTIQAFAADIVATHVSTKAKRTSDITAMLFPTPTIASRCVDFIRSRAPVD VCSNIEVVNLVLDMSDPEARALEPLCPSISAVIMPQDGFPFAKQYWQHSGDGVSSRRA EFCHGLFKDGLLRPDIELRNAAVSAAKPCRGPKRYQRQASLDAGGNQQTIMHGHVHRA TGETAMIQETSRFLEERFGRNLDLSFVHPAKSAIKRRIAGALRSADHDLGGSPSLSEK QMSSNTRGIANLREEDIYLFPCGMNAIFHAHRALYSIRTPPGSTPLKSVNFGFPYVDT LKILEKFNPSGALFYGHGSKSDLDDLETRLESGERYLALFCEFPGNPLLTCPDLVRIR ELADKYEFAVVVDETIGTFANVNVLQFADIVVSSLTKIFSGDCNVMGGGAIFNPNSRY YSALKSFVQQQLEDTYWPEDVIFMERNSRDFVARIDRVNANAEAICRVLKDHPLVKTL YYPKYNDSRANYEAVKLPQGGYGGLISVVLKGKKQAVAFYDAIETAKGPSLGTNFTLT SPYVLLAHYQELDWAEQYGVDRNLIRISVGLEGTDELINVFTRALEVAEEQSQYP NEUTE1DRAFT_67217 MLPFLEVSHLSFSAPFYAAIIKNIGLRYLSEEDGPLPSITYGHP SRDKPVFQIREVDPHRALRLSHISLSAPSAVAADEAFSCARRASPDASEKPLRHPADS RSAATTPKAHRSVTRAGDLKINVTDPDGNTMEIVYQPFENYPSHHGGTATRYQQSADE EPSRVLLWKYDTRGLEQRPGAASNPSYPGSNLPSCHRRSAEVEDDDRHASRWGHVTRT ASYAPTLPTRHNSGGISPSTVVSTILGAAAAGAVGGALYSISKAERSRSSRDDHEPPS FSRRCSHQEGHVEKYSGKLLEPRTRYVEVDRAVDKVRLPDEHLHTSGSASRAGPRPEY ITRYSSYAGTSRSRDVDDGFGDGLEDVRGRHMTPRSRGSPRDRSEAEYGRPPVGISSD HHHLQSSRGAKHPPMVQRSYTYDTSTPERDGYASTKSHRSSSIIRGSPVEEAYTVRRS KSGTRMKTTTVKVSGSPLGRSGAYGSSRDGGLPRNASGSLSELVTPPEILLPPDTPGV QSTKWDNEVEDQEDADSIVPSDSISCVGTRRSGRAYYN NEUTE1DRAFT_85901 MAGTQSLPPPALPQLVAEQHMPIPPTDKGTKRLIVVLSNASLET YKASHGGSGRMGMQREEKYSLLNSDEHIGVMRKMNRDISDARPDITHQCLLTLLDSPV NKAGRLQIYIQTAKGVLIEVSPAVRIPRTFKRFAGLMVQLLHRLSIKGTNSQEKLLKV IQNPITDHLPPNCRKITLSFDAPVVRVREYIDTLGENESICVFVGAMAKGADNFADEY VDEKISISNYSLSASVACSKFCHAAEDAWDII NEUTE1DRAFT_48290 TRRPEQPMVDPLHHQERTPRRAILSQALHITRGLAVSIEVQDDF QVCQIGQSHCKSSAR NEUTE1DRAFT_131254 MMTARSPVGAPSPNMNFDRGHSYFPDQQQIIHEQQQQQQQQQQQ QHPPPQQPQYYSGGPDAETASNTLANTHLMQSDHEHMATAPHSARFNEEWDAGRRGSS IVDGTRPHSTMYRTNSYVGSVNGLNGDGTASMSRSNTLKKKASLRRSGSLKRSGSRRS MKAGSVRSLALQQNSDQEGMNSAFYCPVPTAGSPTDVLANRFQAWRKILKDLIVFFKE IQTHYEHRSKSLIRLGNVLNNTSTPPGFLSDGGLDDALQVLRNYNKQAIAESNKAREI EEDVILALTGLRSDLHQKIKEIKNLSGDFKNSVDKEMEATRRAVNSLQEVLGKTELDP ALTTGKEDPYLLRLAVDRQLERQIDEENYLHQAYLNLENSGRELESIVVGEIQKSYNA YAGILKRESDVAYSAIEELRIGPVSMPKDTEWNSFIRKDDQFVDPDVPMRSAEFIHYP GRDHHACQEIRAGLLERKSKYLKSYSAGCRYVLSPTHLHEFKSADKGQGPVMSLYLPE QKLGSHSTEGSSSNKFILKGRQTGTMHRGHTWVFRAESHDTMMAWYEDIKMLTERTPE ERTNFLRGHGRSYSRSSQRSSISSDGVNDDEEPPFSANVAYVNQPPRQDALPRRPSGG RFPSDLQVNAQRRLQVPVSPLSVSSGNDENGIVDATAATTTLPDSAIEQHDRTRKPTP PNCEGPTLTVHQGDYTYANPNRNQVHGVHNEIDGQPLSMHYTVGNQGDGVILREYGEQ TDDCRISPDMTLLARQDHDADEQNVRHGQRGVAFGIRDIPASMGALQAVSPLGDKSGM HNDIASASAQHPSHTMPRTVMVGQMDSNSGGDVFQDRTRPTIGGMRNDSVPTISHLHI PGEYPKSSS NEUTE1DRAFT_85907 MLIRLSALAVAAASIVNGLSPADIPSDLPLSNLLASAQSHLSKG ETSDALVYYDAAIARDPSNYLTFFKRATTYLSLGRTSQATDDFNKVLALKPGFEGAHI QLGKLKARGADWDAAREHYEKAKKVNELVALEDAAVAAGLAEKAAASGDWEECVTQAG AAIMVANRAVALRQLRSKCLFERGDLEMGMSDLQHILQMKPGDTTPHLKISAISFYAL GDLPGGLAAIRKCLHSDPDSKVCAALLKEEKMTDKLVQKAIKALDKKQPMTAVKNLVP NREGEGLIQEVKSQVEKLRADGTIPKSAGNVLVGQLVELACQAYFESNSKKASTYCVE SLAINPTALYALLYQSQVQIAAEEYDAAIATLQKALEEHPAKSDVINPLIQKAQIALK RSKTKDYYKVLGVSHDADERQIKSAYRKLSKIHHPDKAAKQGLTKEAAEKKMAQINEA YEVLSDPELRARFDRGDDPNSHEGQQGGQPFGGQGGNPYMFQQGGPGGGFRFQYGSGG FPGGFPFGG NEUTE1DRAFT_117812 MTQPNAIDEEDYDYESLPPNFSLIQNMAAGAFAGIAEHCAMYPI DAVKTRMQIVNSNPSAVYHGVIQSTYRIASTEGIFSLWRGMSSVIAGAGPAHAVYFAT YEAVKHLMGGNKVGEHHFLAAATSGACATIASDALMNPFDVIKQRMQIQNSAKMYRSM LDCAKYVYRNEGLGAFYVSYPTTLSMTVPFTALQFLAYESISTSMNPTKKYDPATHCL AGAVAGGFAAALTTPMDVIKTMLQTRGAAQDAEVRAVNGFVAGCKLLYRREGVKGFFK GLKPRVLTTMPSTAICWSAYEASKAYFIHQNSQS NEUTE1DRAFT_67234 MADGVIARLMSGGRGARSFYEELRGRDNVSDVDDRAGLLDEENL NQHFNDYDLENAEGLRLEDSRATVDGRIPRGRARVSGRPPRPAATTHWGTSYDDDGDN DVPASLLVERYDRGAAPLGSPGKPRSQHAGSRAHPAPGLSKGRTHQQRPHIDQEIQPP LHSNAAPSSLLTGALTGNAKKMAEWRWANIAHLDSFMQDVYSYYRGSGMWCIVVERVL HLIKVAFVAFLLTFLSQCVDFKKIPSNQKLSQVLVPQCTRNMSGLWNIGLWLFAFYFM WKSIQYILDLRRLTHVRDFYIHLLNIPDEDMQTITWQEVVARIMVLRDQNVRTTRTMT PQNQRWVLGSQSKERLDASDIANRLMRRENYMIAMINKDILDLTIPLPILRNRQLLSQ TLEWTLMFSILDFVFDPKGQVHQEFLRSDRRGILSAKLRSRFIFAGVMILILSPFVAG YLIIVYFLEYYNEIQKNPSILSARSYTPLAEWKFREFNELPHLFKRRLDMSHPFASHY IDQFPKAKTSMVAKTVSFIAGSIATVLALISVFDPEMFLGFEITHDRTVLFYTAVFGA IWSVARGSVSEDNAVFDPEYALGNVVEYTHYQPEHWKDRWHSADVKAEFEELYKLKLV IFVEEILSILTTPFVLFFSLPKSADQIIDFFREFTIHVDGLGYVCYFAEFDFKKGSKN QAPAATAGEGDVRDDYYSTKHGKMEASMYGFINNYARNPKHLPPAMRQHFHLPPVFPG ITSPTLASDLAASRMGRSQRGRSKGPLPSRTPRPGAVMAEPSPMASILLDPRHQPIFP NNMSFVNTGHQFRGGNQGDGHMTGGGSMEDVKGAARHGQQTHDDELEDSRAGLDESAW QVSPTKDLSRENSGRGLDSVVGEEGGNGAGVVHMLYQFNQAHLNRRLGGVR NEUTE1DRAFT_131258 MLPRQAVNARGHVNVISQRIASTLQSADTFLPGHWARIPAPDKL GIMLTGSTSAPPVRSLVLSPRDSSELLSPTGPFTPTSTKGAGTSALSSKIASVLSTSY ADTEFREVLFLLDERGLQNVAENRRKLRLDLQKEVIDSNGEIIHEFGKVAEQLKRIGY TIGKLNESYNDMRTQIHAAHASTASTLGEASQLMTQKRQIESKQKLLSTFKTHFILTQ DEVDALTLTSEPVDDLFFTVLAKAKKISRDCEVLLGFEDQTLGLGIMEQVTRNLNHGF QKLYRWIQREFKSLNLENPQIGSAIRRALRVLAERPTLFQNCLDFFAEAREHVLSEAF YTALTGSSAAGLEDPSVKPIELVAHDPLRYVGDMLAWSHSAAVGEREALEVLFISEGD EIAKGIQVGREAEVWRLVAEDGEEMNIFDPVVALNQLVDRDMSGAVRILRQRVEQVIQ TNEETILAFKLANLINFYKSTFSRLVGPASVLVEAMGTLETEALRQFRSLARDHVATL QTEFQHTPPDLRPPDFLTDALEQLSAIMKTYETSLSSSGDDPEAEFASIMAEAFDPFM AGCINMANHATPPSKSIFLINCFVAARTTLSGFTFVRKYATEIQTKIEEEKARLVIAQ YELFRADSGLDSLIEALDGLDIRKKDDVEKVATLEEVQPEVLRQSSQKLDDFLPSALI DATEKLKNLQDSKLASSVTEEAAERFCVDFEHVEEMLLSADELEKQRRVEEDGDEEHS QSFRALFPRTSSEIRVLLS NEUTE1DRAFT_67238 MLRNRSAASVLQKTYDESYLTCSTAVYYEGQGNEDEAMRCWKQA LEQIYDQQANKILPAFTPRSETEKALVDSLRQLEVQCKERIDLLEALRLSRLESLEHD ATPSASRLSPLPNPSDPPKGWIGDGTIPAVTYTDLSRPTPAKRPFVGTRSISEHTMPT PGPSAEVRTPGGEFPFACTRPVLPSPPSASRPSLEEGTSSGTRSPEKHTMRTTLRTGR LGDKRTSHRQTPPTSVSERPGASKAASLAWSYLGKRERSSPLGSASGNSGGTGTTDQT SSVPPASSPYLVERRRQSPALAGRQQQWDTHTRRLVMGRPRSQSKPPHARPTPESSTP CRSDDHTHTRQSSFTISAAAASSALNSLTLRDNNERSPLEGGEGLRLRTAPLQTPRRR PLPATGNTDEEDTAYSAKGSATTTRKSNRKMSDPTLAHRKPISRTSYLTSTARPASGR SATTQDVDTPRMSAKARGKKLEQVPISSSSEDSEHETPRRPTTRQHLRQRLKQRDVSL VADTPAHNSESQESEGNDGAAQQRSEAAKWNKQKAKILRRLPPGVDEHAAKQILNEIV VQGDEVHWNDIAGLEVAKNALRETVVYPFLRPDLFRGLREPARGMLLFGPPGTGKTML ARAVATESKSTFFSISASSLTRTKENGTDDNVNRVLVLAATNLPWAIDEAARRRFVRR QYIPLPEADTRAIQFKTLLSQQKHTLTNEDINELVDMTDGFSGSDITALAKDAAMGPL RSLGEALLQTTMDQIRPIELKDFVTSLATIRPSVSKANLKFYEDWARDFGERGG NEUTE1DRAFT_85924 MASTIAAATKVSRKMFRELHGVVVTSGLIDKTVKVRVGGQKFNK FLQKHFDDPKQYLVHDPNNSLRAGDVVAIMPGFITSKSKRHVVKHIIAPAGTPIEERP PIPSLDELWDAKDAAKAAKKERKVLREKIQAAEEAIELAERMARHAVREIAMREKIIS LQRVD NEUTE1DRAFT_123928 MADLEVTEPVENEQQFWHALNSILSAPCPTPESLDDVLRSWLEL VATGRDRYLDDEDDIATCSQQLRLSSIFRQNADYVRTQIIYSLLQEDEYGPLHVISNF LLLDGRAEEETFRRMVNEGCFLRLLELIKSCGAHDGRLHRLLLELMYEMSRIEKLPHQ DLAQVEDEFVIYLFQLIEAFSDDVDDPYHYAVIRVLATYEYFYTNDLRVLLDVIIRNL LDLPVEMNTLRHTYLRVLAPLLSHTQLSQPPHYKRDQILSLLEILRGSGSAHFLPPEP TTLRLVERVANIPWLVDDEGVLSPVPMKSLTQAQNGSTVSVIANVHEKPGIETPSRKS NLARELRHEQEDAEDTVRSIIAVSPASDTPETTTSNLSVSSTTEGHKAAGRRKPSPPP ARRLRAQKSLPIVPKHRHGVPVSPQPPHTPTRMIENSTFAQGDKHGVAAASSSSPTAA SAAEAVSGDGKVSGPVAKKQPPKLPPPRRGKLRAVNSLSTLHPVTESLPQTGSTPVA NEUTE1DRAFT_123929 METTTEKAIVGTEVPRVEELLEELQYIKYEHKLEAEYLPAIRAL ISKDLSEPYSIYVYRYFLYQWGHLCFMALHPVDSSLIGVIICKLEPHASHSPPTLRGY IAMLAVSSQHRGHGIATELVRRAIDAMAQRDADEIVLETEETNIPAMRLYERLGFVRS KKLHRYYLNGNSAYRLVLLLRSVDADATYDYALDDRDIALR NEUTE1DRAFT_85933 MATTVRRRLVGKDIECEIASETASETATSREPSPDNQQREVTST FKVIHKRPKTRKRKTTAIFLLGSLFGIIAAGFFAKSNDLIDIPLPELKELSVDSLFEV LPAGFVKEMRDLMNGERDLIESDDAFSVGMKMRAEGLSADHPIVMIPGVISTGLESWS TSELSLPYFRKRLWGSWTMMRALVMDKEAWKRHIMLDKTTGLDPPGIKLRAAQGFDAT DFFITGYWIWNKILENLASLGYDPTNSYTAAYDWRLAYANLEVRDHYFSRLKSYIEQA VFIHKKKVVLTSHSMGSQVLFYFFHWVASEKGGQGGQDWVERHVDSWINISGCMLGAV KDVTAILSGEMRDTAQMNAFAVYGLEKFLSKEERAEIFRAMPGISSMLPMGGNAVWGE LDWAPDDQPGQGHSYGSLLNFRAGQNVSTTPDRNFTIEDAMDYILDTTDDWYRDQVKG SYSHGVAKTRAEVEANENDPRKWINPLETRLPLAPSLKVYCFYGVGKPTERAYFYRAP DPGTTTHLKMTIDTTLTQGHIDHGVILGEGDGTVNLMSLGYMCNKGWKMKRYNPAGLK ITVVEMPHEPERFNPRGGPNTADHVDILGRQNLNEYILRVAAGQGDTIEDFIASNILE YVEKVKIYEE NEUTE1DRAFT_85936 MSPSFLNFKEIRRRSRNNFKTERSTDGSSEASNGTAHTTGSLTP PSFSSHQSDPALNIHVNNHQSTSPIPPATAPSRPAPHPYTGNPNRHSVSGMTGLGSPT PNGRGPNLPVSQYSPRITSIQDNSWVYQKVLLVYGTVGEPSQQSLDGTVTVSRLDDSF PPLSWPVCDSQFKALVYMNPGANRLRFDFASPKLANSGSTNPIHASYLTIHMLPATNA PPLQLAILLARDSPATYDAVPARIEREGNGLETAVRKFRMAAYLWQAFTAEQMWRNKF GRRVFRLEEEWTTGSANQRDREQGTLRSEARIHIIRTNHTLAELRDMNKAQQNKDANQ KDALFGIAVDAVKDHFKPLPGQKLYVSVLLLDSHWDTTLKTVTAHAALGGGVGNLQLA IFGSHCLQSYPTSFEEVVPAFSDCTPTDTNYVANDCNEAGSSWEAANIGIGAHLHETG HLFGLPHRESGVMLRDYVKLNRTFMVREAYSTRTRSKGGPVSQEDECTWHRLDCLRFR SHPCFRLPNDSVPSPDDSVQAWPVGGGEVMITAASGIMYVEIFGEDDDVCHKWIEYLG EGASGNGSGIQRQITLNEQELRKELAEDKRKRRLKVSVRSHGGGSLDIEDFRQLCSKQ SSFKLPMGLVPGVPILAQTAYRGKTLGASKLEGSEPYEVVFTSAVKQGIVLSRIIVYH GMALDGLEFVYDDSSTQLFGKKGGKAGGDVFEMDIRRGEYVTGFYVRAGFWIDGIQIF TSSGRKSPVYGKPNGGSGHTLIPPRGFNIVGVTGSCGAWVDGFSVVISKLPLSL NEUTE1DRAFT_123932 MSGKQVSKGGAANKSKKPAKGGAEEKREDALQAVILADSFQDRF QPFALEKPRCLLPLVNVPVIEYTLEYLASNGVQEVFIYCGAHSEDVETYIHDSDRWSP ESNLCPFSSVDFIRVSDASSIGDCLRDLDKRSLISGDFILIHGDVVANIPLDGILARH RARREANRDACMTIVLRSTGDSPHRTAKARGITPVFVVDPTNGRCLQYEEMHPLQKDK YVKLDESVFEYAEFELRTDLIDCGIDICTPDVLALWSESFDYELPRKNFLHGVLKDWE LNGKIISAEILEEGYGARASNLQMYDCISKDILHRWALPYVPDSNLLHGQTYKYKQGL WLEDGAHIAKNSTVTKSVLGKTAYVDTGSTISSSIIGRRCQIGKNVRIENSYIWDDAV IEDGATVLHSIVANDAVIGKHSYIPQGSLISYGVRISAGTQLSSKPLPRISLFTSERE PVKTDIKLVGNDGKGAQYKEPQDDEDSDSEEEGDADPAVLQSSLVYSLAGLNISSESI STLATHDSDDDLSDDEYEQGFQAKANRERLSSFASDDSAAAVMKLDQFHGDAVHGLVD ALRADDNEDFDSAKLEFMGLRLANNASDSMMRKAIAVAFATRAAELMSPENGGLEPTK AAGRALTSKKGAVKFITEVGVGGNKVDLHAEFALALQKALAAIKGLDSARAGTLLAAM LQQLYNLDVLEEEGILAWWADARAAENDTLSKIKERSRVLVEWLENADEEDSNEDSDE EDEDSD NEUTE1DRAFT_131266 MYLKGKGKREEAGERSTATIRYNRSYYSPEWFGGFQTSLDLARR QDRRVLATYSRTNCGADRTRRPAGFPVSMRDKNLVPERMSPVESVTSLGHGRGRKRGG GERNSNLGVGKGALNRAGAAFYDGLGCQTVAEGGTKLAKDTSRQFEAEWPGVGEH NEUTE1DRAFT_67259 MPPPEGDSASVQAGNNSSDFVRKLYKMLEDPTYNSVVRWSADGD SFVVLENEKFTKTILPKHFKHSNFASFVRQLNKYDFHKVRHNEESGEAPYGRDAWEFR HPEFRADRKDNLDNIRRKAPAPRKPAQAEDTFGASQQIVVLSESLTATQHQIQALQEQ YFELAQTNKVLVNEVISLQKMVRAQSQVSNELITHLSNIEDRRRNSRHSAHSSHSSHS GPTFHAGSLGLLPDGADEPAAELRRAREILNGVSPDSQADRELERLSVAYHQNGSPSE SAASSVMFTQSGPGPMHMLHDPLNDPRHMVYPVGQTTGIDPFHSDHINNIPYTRPLSN PNAMADSAQITPPPKDQGTSMWSHKRPRILLVEDDKTCARIGAKFLHVLECSVDIAKD GLEAVEKINNPENNEGFDLIFMDIIMPNLDGVSATAMIRMVTARVPIIAMTSNIRQED IQTYFQYGMNDVLAKPFTKDSMIRVLRKHLAYMLKDPLQGGLNADDTGQTVGAPGPPG QNQQAYSNNQQAQANMMAAAAAMANSSMGSSAQVKFEQTPIPSPATTSSWHSPGQMNQ TSPNMDGGGYMNAGGNGPGGMVLTPGGTQRPPPPPYQMMNNQVNNQLAGGSAGFQGGG HIQASEGMGMGGSEENRPEKRQRLYGPGANHQRPFVQ NEUTE1DRAFT_46373 VDVSLALSNVLTLAVALAKGRGLNFMSTGAASRFHSYLNPAPVL PPRESQVSTAAILPSLAYCRDCVLAYLYQANKVC NEUTE1DRAFT_117817 MCSKQIAHLPRVQPDIQVQWHSVPQVTGVQVAFSICTSRRDGKA VMKAPQAYTSVVKPSLTQPHMTVRK NEUTE1DRAFT_85944 MSSPSKKQHEIEVVADRVLKRVEISRMVRRLQNRLALAQFKTKH GLEDLTLDSIEPRFEKELRRSRLLDGDILSDSSSSASDLPYPTRALMSSPLKAPVFSD AIGSSNGSSGHRKRSYLASFEYDPNMSSPSKRFRQSPTAHRSFTYGSHSSWKDHHDLT QSSPMKPQRQRHFTTSTGPNVALFDTSRQMTNVLASPGYGANPSDDEDDPPVHSFARS HVRVSPPRTPPMRTRNVLGGRKKENKPPLPTIRRPLGTNMSMGGQQTREKTNEEGADL LLYLAASPSPARPTKRRFDSNNHVTHNHHFLMEPPSTPPPKHLGLLSSNRLALPSSMM TTPGGGNPFPNTPGLGFDFSDFVHMTPSPAQKPWKTPLSVSRRHLTFDEQS NEUTE1DRAFT_85950 MSSHLPPSAPVIFSPSVARAAASAAKDWSYVDSWLHAKYAPLHL KVPSFERNPETLKALLALATANEAADDPRQQIADLESDTQVKLNTSNATSLGRTVAQA QDDITPSFPSPSASLTYQEAKQSIFSLLSASLTPAGQESLTSLSHLSQTLSLPITQGD PIHLASSFLSLSTELNNLEQARQRLDLLSSHITCATASIEKLLVRLRRHPGCSPAAGL AKENLEVQRRIRMLAGQTLPQLKEKVANLADAEGRGMVTVEQVRREQEAYLELLRRKH ELDVKVRAFEGLPPDIEQARRALELLREGLRSLTNRRDEAFEGLVEGGLSPQRETGTK GMTGNSRQ NEUTE1DRAFT_102961 MLACNVMVPPDEDADMETTNWKDYESEPDVITEEKMGSGQSERQ EQERGSTNV NEUTE1DRAFT_123939 MDLNIQRFLNDKLYDKRKIGALDLERTIRELIAAKDYAKIEAIL DQLCNDYAYAVHQPHARNGGLIGLAAAAIALGPELPKYLEVIVPPVLACFTDQDARVR YYACEAMYNIAKVAKGEILVYFNYIFDALCKLGADSELSVKNGAELLDRLVKDIVSES AATYVSVLEQPQEFDGDDKNANDEQLDLPTAFSLKRFIPLLRERIFALNPFSRTFLVG WITLLDSIPDLELVTYLPEFLGGLLRFLSDSNRDVHVATQACLDKFLAEIKRIAQVKK GITESKRSRDAARQSRKDSIGSGGGRSLEDGDEVDSITANEEDDDVSSEDDWVPGQDV QINYKAILEILTATLDSPLESLRWIVEFLDICPEEVLPFTPKILAHLLPAMASGVESI RQAAARVNNSLMDYVVSLSDEADLVSAPPAPSEQLNGNASNRASLSSSRDLDLRSPTP AQGRQNERAPTPAVASQATPQAIPQADLDYVAAVNSLTLLFLNDHEATRVAALTWLIM LHRKAPRKVLAFNDGTFPALLKTLSDPAEAVVTKDLQLLSQISRNSEDDYFSNFMVNL LQLFSTDRKLLETRGNLIIRQLCTSLSAERIYRTLADCIEKEEDVEFASIMVQNLNNN LITAPELAELRKRLRNLETKDGQTFFVALFRSWCYNAVATFSLCLLAQAYEQAYNLLQ IFAELEMTVNMLIQIDKLVQLLESPVFTYLRLQLLEPEKYPHLYKCLYGLLMLLPQSS AFAALKNRLNSVSSIGYLHIAPRPTATTPSASTFDRPNRLKGRDDSIIRWNELLEKFR SVQERARRLQRGDEDDASSALSDLKIGGGETAMDAKGTGREGTGGRAPPVPAKDLPAA APPAKTRTLGRPFGRLGGAVAGRGKRAQQ NEUTE1DRAFT_117820 MGIRVMHTCTFLEQAVLHVRLRARPFRDITTSGLTVNQTINDTL GAFRGRPCDWFRPTGRKHVVSN NEUTE1DRAFT_131273 MASKPPSPSRAAAEDTATAQQSTVKTAERTYPFISRREIEALIS EGRHIIIVDQYVLKVDAWVKYHPGGDKAIKHMIGRDATDEVNGLHSPEARAQMNRYRV GRIPGRWKNFLPPIQGGKFRPRGEEGEEWEEESPEIRARAANYDEDTSFGSSSFASQA ASTESESSRTPSPAPSPVFDDGESAPELNQLHARPNARSKSAVSRSDSFTSISSIEDE KASAKGADDGPRDGMAHLDALTRQEIRLDLDKYPPLDEGTQDTVIRKYRELNERIKAE GLYDCNYVAYAIEGFRYTLLFCGCLLFLKWGWYVPSAFCLGSFWHQLVFTAHDAGHMG ITHHFHVDTVIGIIIADFIGGLSLGWWKRNHNVHHIITNSPEHDPDIEHLPFFAISHR FFTNLRSTYYDRVMEYDIFAKFFVSLQHYLYYIVMMFARLNLYRLSWEYLLKGQAPKH GPAWWHRHLELVGQVFFWYWFGYGIMYKAIDGNWNRFVFFMISHAVTSPLHVQITLSH FAMSTSDLGPHESFPQRMLRTTMDVDCPEWLDFFHGGLQFQAIHHLYPRIPRHNLRKT QKLVQDFCNDVGIPYALYGFVEGNKHVIGRLADVARQAAILRKCQQTIVDGSIGHSHA H NEUTE1DRAFT_85959 MLSQRLIGRAAVRSAFKPSGLPVVVSPRLRRTYATESEEKDLVI IGGGVAGYVAAIKAGQEGLKVACIEKRGTLGGTCLNVGCIPSKSLLNNSHLYHQILHD SKHRGIEVGDVKLNLAQLMKAKEQSVSGLTKGVEFLLKKNGVEYIKGAGAFADEHTIN VKLNDGGETSVKGKNILIATGSEVTPFPGLEIDEKRVISSTGAIALEKVPEKMLVIGG GIIGLEMASVWSRLGAQVTVIEFLDQIGGPGMDLEVAKSIQKILKKQGINFKTGTKVV SGDKTGETVKLEVDSAKGGKPETLEGDVVLVAIGRRPYTEGLGLESIGLEKDERGRVI IDSEYRTKIPHIRCVGDVTFGPMLAHKAEEEAVAVVEYIKKGYGHVNYGCIPSVMYTF PEVAWVGQSEQELKKAGVPYRVGTFPFSANSRAKTNLDTEGMVKMIADPETDRILGVH IIGPNAGEMIAEGTLALEYGASSEDIARTCHAHPTLAEAFKEAAMATHSKAIHF NEUTE1DRAFT_85961 MAPSMRIKAPKLPDNLLKELGVEGEQQSHGGRKNQFQSRKDRRK AERTQKRIHRTSSTRSYHTERATAPKPQKKVDVASTKEAKEPKPILKNGKKRPLEDED EDVALEENDNEGFDDEGDIDLDEDEDDELDLDEEDDKDGEDVDDEEESDIPTQIISKS QPKISKTVMERLAQDDKEIADLEKKLGIKGRKSLPKSFQEDGLLDLLEGLPGVDDETA EKRKRKSEADEWLAAKRRKAEEAKKTKEFELDEEENEVSELDEEDDGLDDLLEGLNSD EDNDFGGFDEDEDDDDDDDDNDDDESDNDEETLQKPPERVRENPYVAPTTGRTVVKYV PPSKRQEAGSEAELVARIRRQTQGLINRMTESNLLSIIMDFERLYRDNPRQHLTSNIV DLLLIQVCEPTTLPDTLLVLTAGFATGIFKVIGMDFGAQLIQEVIERFDRHYEEAKEA AKERPDVPKQTSNLITFLSELYNFQLVGPNLLFDFIRILLGDLSELNAELLLRIVRIA GPALRQDDPMSVKDIVTLIRPAVAKIGEKNLSVRTKFMIETINDLKNNKMKTGLGASA VLTEHTTKMKKLLGTLKTMKTAEPLRMTLADIRDADKKGKWWLVGASWAGKDKDSDKK PLTQDGVIQDSVDSDDESFVLEDVEDMPDLGELARENQMNTDVRRSIFVSIMSATDYE DAYYRILKLRLNKERQREIPYVIIQCAGAEQVYNPYYTLVAKKLCGDRKIRWSFQDAL WKLFRRLGESVFGDDAEDGEDVDAMDMRRLVNIAKLFGAMVAGGSLGLAILKCLNLPY LQTKTRALVEILLITVLLEAGKGGDKDRDETITSVFVAVEGIPELARGLQWFIKKVVR KSDLAGGAANTKLVKEGCKTAMAALEHALLAAEGLVE NEUTE1DRAFT_85965 MGHLTHDEFFSKLTNLFEYRKNQDHGTINLVQKRRTLSYSTPSQ SMDTDPLTDLNPATPLPVLIRATNAKGKDKRTEKVKLSTIVEPADLETFYGRYADVCK KGMGALKPRDRTKRKKQSRKKKTGPAAAGGPGVGAGGTSMMAIP NEUTE1DRAFT_95731 MTGQGAPAGGQGIGYTGPPHRTFEDRAAHREQVMNNIRETSQQD RRVYVGNLSYDVKWHHLKDFMRQAGEVLYADVLLLPNGMSKGCGIVEYATREQAQNAV ATLSNQNLMGRLVYVREDREAEPRFGPPGGGANRGGFGGGMGPNGYGAVPGHAGPGGF GGGPMAGGGGRQIYVSNLPYNVGWQDLKDLFRQAARNGGVIRADVHIGPDGRPKGSGI VVFETPDDARNAIQQFNGYDWQGRMLEVREDRFAGANMGPGGFGGRGGFGGGRGAFGG FGRGGFGGGRGGFGGGFGGRGGFGGAPGGPPSFDANQPAAQPNPFTDFATSGTERSEI IYVRNLPWSTSNEDLVELFSTIGKVEQAEIQYEPSGRSRGSGVVRFDNADTADTAIQK FQGYQYGGRPLGLSFVKYLNPGAGGDSMDTDPHGGLTQDQIM NEUTE1DRAFT_117822 MTGGDAGPEDGLEGNPKTSQVDSTGWVPSSTMYGYYRVILLEKN GYIENRGRFQDVDAVRTCTERKCLAHHVGVVRLGG NEUTE1DRAFT_117823 MHIKASREDWEQLKEAGKFGSMSNLEFPSLPNTSTSVYLCGDFD SAIGTNLDSAKRLTRNSCFSRESSVMPAPHTHHWAIHFELRPVNA NEUTE1DRAFT_139810 MAHTPEEKAGQAPPKKQGQQSTQSKVTADVSTQASEAQKQAIKA QKDKVAAEQVIFDIDDVPITPESVVEQDDAFRRRSINVVTIKDKWDAQSRTLDMSNLD DEDAPDNIDEAIPPIAKYYSKKGDGPSFAL NEUTE1DRAFT_148041 MKKKRGKRAKVHAGSGVLTQSLCAGLEPMRRPGNGSVTYLATRL HPMPRPEGP NEUTE1DRAFT_117824 MMRPSLISLRRPARQILFSSVSTIRAAAPVPTVATHRSLSTSTS TSTSTSTSTSTSTSTSTSTSTSTSTGPGSGCICANGSRRPQVQQTQKLQQQQRQHRNA YHSYDHPSSSAAFTPVEESILSAAYQHVPSHGFTPSALAAGARDAGYLDISPTILPEG AFALIRYHLVKQREELAARVSELFGGEGHVGARPGLSEWEIRGRVEAVTWERLSANAQ VIGRWQEALAIMAQPSYVPLSLKELALLSDEILFLAGDSSVDPSWYTKRASLSTIYAA AELFMTNDHSPEFMDTRAFLNRRLREVSEVGGVFRNLREWVGFTATAGVNVLRSKGVK I NEUTE1DRAFT_131279 MPARTLFEAEANAESSRKRQRPAPTSDDSEGEIAARPNANQAKR ARVDTARHVTPVDDDDVDVDDERGHAGSPDSPPKTQYELMRDNNFEHLQHQDADDQRA TQRLRFKPNQLGDNAVADNGILESITCINFMCHTRLHCELGPLLNFIVGENGSGKSAI LTAITLCLGGKASSTNRGGSLKSFVKEGTEKAVLIVKIKNQGQDAYRHEVYGDSIIVE RHFSKSGSSSFKVKTATGQIVSTKKQEVEEIVEYYALQLLKPEWSLILEKTFGNALNA FIVQSMAEQKLLQGLMNKLNIRQCPVFIGNRHPLNTDGKEPDPSFDTILRVLKIDNMM VRDQLIINHMIEQVILIPQRTKAEDIMFSGARPRNVKACLSFHDKKRDEGLRLVVNNS GGMSTSPVPPLRNQRPRMKADVGSRLAYQKETLRQLELEYSVLDREHRQLQQEVQKFT SDLTKLQRDKKTFDSELRHARVQVEQVQYQLDEYEGGDSRLTGLKAELAVAKEKEEAC GLQYGNLRLRKDVKNQASSEAQAQLTEIRKESEKYEREVKKLQEKKARLEDVRKINLT EVNEAHASFEIFKGDKEEAEKEREKGAEEVATFTKQIIEALGSEERAHVNPTDKYEEL EKQYQSIQNQLEKERRKRGMTDEEVYANLTRAKETYDDAKRSLEGIKTVNSRLRRTLT IRLEKWRKFQRYISSQSRANFIYLLSERGFRGKLLLDHERKALDLVVEPDKTEKRAAG RNTKTLSGGEKSFSSICLLLSIWEAMGSPLRCLDEFDVFMDNVNRAISTNMLITAARR SVNRQYIFITPNAIEGRNTLDKDVKIIRLTDPRQRTLADH NEUTE1DRAFT_131280 MESTNEPRPGDDAFNGFQMSLPENCVEYMLFLINDQPEAQRTTL SSLETIRKVALQRLDELTKDYIWQREVLKLETRIENGLVYLHGRTDYGDNVEDEWLIV YFLRTLSFSFPNLWIRIFDSDGEFLLIEAAKVLPKWLSPEMDANRVWINRGQLRIIPL LPSSSSSSPSSSKAHQISLHEALSVIRTTPNILIHSPLIEAEAFYRLEKYPDQITSSL HHALVTIPRKLAYILHKRPKAVAPAIEAFYLRDPISLKPLLSATPDSLLFPPQDLVTI SVRLTKVLYAQLKSQHFDPPPVWREHFVQTAQVQELSASETEGEARQQALQKLQTLAR LEIGMKLTTGFEILARGAEKSDSRVAREVALLLEDLKEDGEEAALPTDEEIKKWKDVD REDDDSWMDIDFADFERELAGGGGVQGESEQQQQHQRQQNKAGEGRDTAGHAVPTFGD ATTQADLRKIVSRFEAFLNDETAGLDGAEIDEMDQDDDDDLEDSDVDMDSEDEDKEVS FDEEQFSKMMREMMGIPTTTTTTAATTSSSPSSTTGPESASQQPGTKGKGKGKEKVSF TVNDDKDEDKSMDTGHNEQEEFEALMAQMEKELNSYGALQLDPQKAKTRSARALKGGV HEASSVQEIVKTAGGGGSDEDDDMNDEEGEEEGDEVGVDYNLAKNLLESFKSQAGMAG PTGNLLGLMGMTLPRDEEDSDGEGKS NEUTE1DRAFT_139815 MSGYPGAGYNGGGYVPPPQPQYGGYYPPQPAYNAYQQPPPQQQQ YMVYHQPSPGPQQHQHWNPQQQTPAPQGYGNPPNSHYGRPEANMPTVNSNSYAHGNHQ APPPPPQAPQQFGYGAPADYAFRYSQCNGRHKALLIGINYFGQRGQLRGCINDVRNMS SYLVEHFRYKREDMVILTDDQQNPMSQPTKQNILRAMHWLVKDARPNDSLFFHYSGHG GQTKDLDGDEEDGYDEVIYPVDFRQVGHITDDEMHRIMVRPLQAGVRLTAIFDSCHSG TALDLPYIYSTQGILKEPNLAKEAGQGLLGAISSYSQGDLYGVANNIMGIFKKATGGN DAHARTLATKTSPADVVMFSGSKDDQTSADATIASQATGAMSWAFINALKKNPQQSYV QLLNSIRDELQMRYTQKPQLSCSHPLGEASSSSHSSSSFYNPSSSRYLVTTFKI NEUTE1DRAFT_85981 MFGNFAHLIPLATAPQGPPKDVPPPTPVNFPRFYPQVQDDQQTA PGAADETPKSVVTLLQGIVRPAQLAVAHFEALGVHVVPNSTAADLIPDPSYIPNFAAW DELSSDAAHEVNESTRVPLISGNRSPGCNTYLERKRELLFPNETGYRTVRRIQPPKGQ SHARLGNCYEFFRQLDTLSNYWDDTSVTEQSAPEGEEEDKDALASKPRRTGAGNQMPP DFRQQMVQTFLKLVAYDFGCNTAPARAEPRLHITSRNCGSARSSYFSSGCTFMYKTPT TREEARAGIVEGPVAAVSARHSTGFLPPPEDPNESSTDPDAVFDLGRELVAALVTAQH RAREGRTEKRIGEGAWWCTKPRWGGGPGGPIGREIEQQTSGDDTLVGDKDAPPPAAAP AEKPTKVTISSRLASRASPFGPLPSTRLPEREKESSTGPAKGVKRLKKSGNMAMYDNY RMVRPPALTWDKKAKYAAIGRAAGAEYDDIFVVSALYHHISIVRVRVPDQLMAVLEGR AEHEFENGKRSWGRLEVRRSKWFDLFKPEDRVEAMKLVWCMMNWLMRAVPKDEDGKDE TDQDQEEKKDTKSENAGNPAAATPAGSQNAIEQVKDEAKQEAKDEVKEENADVKMENA NEUTE1DRAFT_112074 MMPKERSTVNGGRTKGTGQMHGAMTLLVACIACNTVVGKIALFM YPPGLLKRTSKFHRGVGPPTKSYPYYAPTFLDLARLAPPKLSNYFIHLTKAIVDSI NEUTE1DRAFT_85982 MSSPNLPSSVRSFIFTTTGGKRCTAILKAVQFSNVRTATGRDSR LPATTTRSTTTTTTEATTRDENTVPVNTSASPPPPLEDSIFPSSTSTTSILTTIPTPT VTSTSSSLLPTSSPEAEQNSVSNETETSAGTSIVTETASEIFPDDAVVTPFASTGANL QPSSTSKAVQATENPTLFPTISSVPSAHNSEAAKVAGGVLSGLVVLSLIGLFLWLWRR RRLQKRRSTLLTPLGPGPGTDPNEKTPYIISRRSIGPTPGFVKAKAALGYKVERIRER ISQAFSEPSILLSPLKRGPRFEELSTHSRNPSLAFTDKAKGTGSVKGSMSNWWSRMAS HMRISKWLRNMRNDEVVRDRHFDAENTSERKARLKYQPDFLTLIAMDDGDLGREAQGQ GQQHLSVAPQDSGSGSRDKVPTARPLHLDEGDNPFRDNNMLSHISAIPAPLAAGLGRS DNPASDPPYPVRNLHNDATTISKPPTATNYGIEDRRRRQSRSHPISISASTTANGNPS RQPSTRTYRESIDSFTTHRNKFRSDPFDLERPELLGGVPQVMASQETPSAVTGPRHPP SAHTRQESFGGSMRYSYSSGVVSLDEWSDPGPDVGYKSAGSGDTRGYGRVRGASVSVG RDGGQNILGRAI NEUTE1DRAFT_123952 MSDIRTQSLQKPVTVAEYLFRRLHQIGIRSVHGLPGDFNLVALD YVPKAGLKWVGSVNELNAAYAADGYARAKGISALVTTFGVGELSAINGVAGAYSEHVP IVHIVGCPSTISQRNGMLLHHTLGNGDFHVFANMSENISCDVAKLVKPSEIAYQIDHA IRECWIRSRPVYIWLPTDMVEKKIEGARLDTEIDLSEPENDPDREDYVVDVVLRYLHG AKNPVVLVDACAIRHRVTEEVKRFIEKTKLPVFVTPMGKGAFDETSEHYGGVYAGTGS LPEVAKRVEGSDLVLSIGAIKSDFNTAGFSYHTSQLNTIDLHSDHCTVRYSEYPGVAM RGVLRKVTERIDMSKLSITPSPPVENAVAENRDDSQAITQAWFWPRVGEYFQEGDVVV TETGTANFGIWESRFPKDVMGVTQVLWGSIGWSVGAAQGAALAVKDLEQDRRTILFVG DGSFQLTCQEVSTMLKHNLRVTMLHYRTLHPWYGRGLQRYHPLELYRYPRGLWRQGRP VSEVRHQDKG NEUTE1DRAFT_123953 MPTNVTDNDPAQSGATATAPVDGSATEPPKKFPKGVVLGKDGKP CRSCTSFGAWASQAKATLKTSHDMSSTAPSTIASAATSSTRRTDCPADVETLGRSSWT LLHSIAATYPPAPTPTEQNDLKSFMSLFAKLYPCWVCAEDFQQYIKKEEPKTGSRDEF GNWLCEAHNEVNRKLGKPTFDCSKWQERWRTGWKDGSCD NEUTE1DRAFT_131286 MSQKTPVFLLKTKSTPGDSYQEQFSSETDGFNFEPFFVPVLEHR FKDEGLATVRNLLKTKAIGTGFDCAYGGLVFTSQRAVEAFTHLVQEVTGDDRWPHLQD IPVYSVGPATTRALKAVPQQPPLQVFGEHTGNGETLAPYIIEHYGEWYKDRSIKPPLL FLVGEQRRDIIPKKLMDPALPSDKFIRIKEVVVYGTGVMKSFQQDFTKLLQETQDRPA RWVVVFSPSGCDGMLSALGWLNESSGRVGDDFHQEDRKTYIATIGPTTRLHLVKNFGY EPDVCAEQPSPEGVWQGISRFWNEAKK NEUTE1DRAFT_85993 MSYHDSRRRHPHPIQTFRPESEYSSSAPGSGAQTPTGSGASSSQ LPGLVSSIWGGLLRRFSAETPSLTHSQSYPADSSHSHFDDHNYNNSNGNNNGVDGVYV PPRFHETIQRTASPMQPPPLEPVQLKGFAPDTPASARILTQAIAEEIRIMVPTRLSIV DEWNLVYSLDQDGASLGTLYDKCAKYSGRRVGFVLVVKDAEGGIFGAYLSDFPHPAPK YFGTGECFLWRASVMASLPPPPSADTTNLRGRTSTISSVGTGTDRATNHNSGDDLASY DLFADLTGTVDQTTIRFKAFPYSGVNEYYILCESHFLSVGAGDGRFGLWLDDGLEKGV SSTSQTFGNEQLSDQGEKFSVLGVEVWVIGSGGL NEUTE1DRAFT_117829 MSSAQRGGARKISFNVSEQYDIQDVVGEGAYGVVCSAVHKPSGQ KVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRSYETFNEVYLIQEL METDMHRVIRTQELSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDLKV CDFGLARSAASQEDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEMLS GKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKVPFRTLFPN TSELALDLLEKLLAFNPVKRITVEEALKHPYLEPYHDPDDEPTAPPIPEEFFDFDKHK DNLSKEQLKQLIYQEIMR NEUTE1DRAFT_102980 MIKLSGCQCPGAFIETQGPAPSTLRVEPAGKQEYQMDNQVHVDA GTKCNKESPAGSSAHVVIVGGAHSESSNASRSPSGTPSPAGFSSLTVG NEUTE1DRAFT_46802 MAAPPPNDGYGQYPPQQYGEQPQYPVDPAQQAYGSPASPPPAAA SQHGAEHGKKKKRAYAAQAFEVATGGNAVVGGQPAAAQYGIPQPAAPGFGGYPAQDAQ QVAYGAPTTPYGAPQPAVPGVGGYQAPDPYYSQGVPPAAVGPAPGGVAGITAGIQSMG FGGQPQQPQQLPAQTRGHVNQLYPTDLLNQPFNVAELELPPPPIILPPNSSVTPSPDA NCAPKYVRSTLNAVPTTHSLLKKSKLPFALVIQPYAALHDLDDPVPVVQDQVISRCRR CRSYINPFVTFLDHGHRWRCNMCNLTNDVPQAFDWDAAAQKSVDRWQRHELNHAVVEF VAPQEYMVRPPQPLVYLFLFDVSYAAVSTGLLATSARTILDSLDRIPNADRRTRLGFI AVDSSLHYFSVPRDTEENGETSMLVVSDLDEPFLPVPGELLVPLTECRQNIESFLNKL PEMFANNQSNGNCMGSALRAGHKLIAPLGGKIVVLSSSLPNVGYGKLEMREDKKLLGT SKESGLLQTANSFYKSFAVECSKNQVSIDMFLFSSQYQDVASLSNLPRYTGGQTWFYP GWNAARAEDAVKFASEFSDYLSSEIGLEAVLRVRATTGLRMSTFYGNFFNRSSDLCAF PAFPRDQCYVVEVAIDENLTKNFVCLQTAVLHTTCNGERRIRVMTLALPTTTNLADVY ASADQCAIATYFSHKVVEKALGNSLDAARDLPQQKLTELLQTFRKELGGGSMGGGLQF PSNLRGLPALCLGLTKHVGLRKSALIPSDIRSAALCQLSTLPLPLLMQYIYPRLYSLH DMPDNAGIPDPETSQIVLPPPMNLSSERFVPFGLYLIDDGQTQFLWVGRDAVPQLILD VFGVQERTQVAVGKGTLPELDNDFNERVRNVIAKSRDHKSKGVGSITLPHLYIVREDG EPSLKLWAQTLLVEDRADQGMSFQQWMGMLREKVSS NEUTE1DRAFT_86003 MAPSSAWSQILPLILTLVVVAGISFVVYQVYLSALQFRDYTAQR MERKNLAFSKDGGLRLSVKDVSDETYLDQTQSWFVKAWELGVEEENANKKRKKGTFRK AA NEUTE1DRAFT_102983 MRNGYAVSSIEKKHQNKTVSQPVTGLETYDVDDWWEKWHPIARA ETKPPCIFRKDAQGRSLYNPYTGSLVSWQIRETVEGFLARTKNVEKGFIRVANPYAPP RWKPAWETYSKAGEERLRMFTDFIKFYGYGNEADGKRDLGNIITERAEVMRNLTRLAD ACKLKAGKWLLYIPPDRVEEVWRKIATATVKNELGSEACMRRGGMKSRPQLEGELVKV YSRDFRDKAEAARLLEKLEKLGALDPNKEYYYKSVHLD NEUTE1DRAFT_67323 MGDSSEKWPEPVSSLQSMQLDLPPSCIEFCPSAPSYFLIGTYNL EGYEGPAAAQTAEAKEVEAQDENIDKDEAAVTGEKEGPQNRNGSILTFQLVADQLVHV QTLMQPSAILDLHFSPAPGKQDMCAAVSSTATLALFRLSLGETEPLKHLKTMDMASMC KTAEDWLGDKDEVIFTHFSWHPTKPDMVAVTTTAGYVHLIYLGRDLDGSDWVMHPEPI LTHTLEAWYVSISPSLSPPEEEENDSFLLLSGGDDSALRYQVCKRTRSAHAMEDDESE SPYTIEALRPPVNVRDHGAGVTAILPLGLKDGESELVVTGSYDDHIRLFSIPAYGFGR AVELAESNLGGGVWRLKIVKKEMGLLDGQGWRLTMLVSCMHAGTRVVELSNSGAGEYE FLVTGRFEEHKSMNYGSDCQLSAEGTLAVVSTSFYDKLLCLWKLELGA NEUTE1DRAFT_123959 MDTNGAAGAGADPVIARIHEALNIVHSPYSPNQARQEAQAFLEE VKTRGDAPSHGFSLASGGSQEPVVRHYGLSLLEYAVKHKWSEYDTNQREFLRGWILQL AQGVAKSDPPFLRTKMAQLWVEIAKRCWVAEWMDMDVLLNRLWQVPGSSVHKEFVLLI LEILSEEIFNGEDAIVAHREGALSKGCVEIFTPSAVLSEAFPTRQHEPQAALEALHSL YSRSAFSSEEFVDLVVPMYSPESVDLCRRLFEWSTVDAEDIDDDKYQFAKKFSEASPA IGKTDMVLPLLDVCSSRLLRYESLPEDTEDPTFVLLMEDTDTIPERHAFLGNYRRYSC SIIESIIQLRLTDAFQYILSQAENALQTLYEGQPPLNPATYLKNSMPVLRVDAHATVI EAALKGYVKWRARQGELPGGDQEISSIETHLEQWCSRLLEMNIEDPLIRKRILQLLVA FSTTALDTKANFMLRVLEHILMTWPALQPEHKTFNDAIRDLQTESMVELQRLASKMPD HLLDVYDQLEVKVKEMIASGTLDEKRQIAYRSFLFIIIHRATRIDQETRIRRLSEFVE PVKNQWKEAPLRQSLSSFEGFCQLIGLDKAQNYIAQRRVHQVGDWGAVELDAEGLALQ AELEQRQTFLPLRSTKSFLTYSVEKLEKNSPAYQASCALWQDGFPTILPELLKFLSYA HACHDPKNWSLLPEEMRPVVSRLLTDRFWQAGISEGSKDDFYARVLDKKNTLEGLAST IRGTVRFVREACYAVIYCMSRLDVQFYGFTELPGPLANALFADSFSLSSHQLINLLNL VRYLVDHCPVELRDHFLPPILAACFQQMDAKISSEWEKLGQKQTVQVADNEELTEEMK AESILRQLTYTAAIMVAEFLDPARTGPPSNLPASPNGTTPESRHPSLRRFCLMQTSIA GPLLLFLCHAIHMRDTRCCGVVLRVFRSIVPEFQIQTGQPREQKEPSHPLLPDENYPI PDATKAEIHQFLGTDVMRAAISSMNDPYFVDTQKDLATLIATILSHYCVGDDFSGGNS APRQILCSLPGIKEQEVDRTLTFLRRPGTHSRQQRAVVLDLLRNVKSVSISEMGKLKD PREDLVKKAPKRSKMAQKFMTPQQGVDTTVTGTEQGTGKRQATPDLEGVAGSNGTQKL NEUTE1DRAFT_102986 MDPTVWRRKLPRCESDATHSPADAAVLCFELDNTSLPYLGVMTV ANSKPRLRLDLGVCL NEUTE1DRAFT_123961 MVVKCVAHEESRARKQCPGYKDDFDLVFRNVTKATERRVQRANK KGKQKMSAGSDGSSSGSGAPRSYSSPGASSSSSSVDRKRHFSLTSTARKAVRSPFELA VIPAIQIPTETQASCHFVSNFVLIPRQGTTRGFMDYLIPLMKTETMSSAPHLQFAFNA CALASLGNRVKSDGIDFVASAHGEYAKALRATQAALVDRVKCTSDSVLATVLLLAVHR RANTAGKDNKFADGSSHKSLRAEVTRMMTHGIAASAAGTSPSSPSSPRSSSAQSSPSS TYEQRTAQILSLMRRSQDLDRAIVDWMACLPPHWHYRTLCWQNYVANTDYAHAEVFPG RVDVYNDVWIASVWNMARVTRLILASITVRCAAWACSPVDYRTTPEYAHSATVCAEVI GDVLASVPYHLGWHYRTKKRRQQGQGKGQDRSNRNWNDHDHDDDEEEGEEEDGEDDEQ KEEQLSGFGCGEDDDGIKGLSGYFLTWPLACVMGQDYLTEDQRTWVRGRLRYIGQELG IRYANILAQLQVRIPSMLIRRDGLMAQPYPNVQDFQSLISLARNSPPIQPGYSLNPIQ ERERMQMEFMELKKKELLAKATGVAGKGGRYDETTNKSERIGNNDANSEERREEEEKV MVVARKWLTV NEUTE1DRAFT_139830 MGGDKGKRKNAPGGDGGQKQKKKKTGNAGKWTTPNQANKLALER NSIPQIGDRGIWVTCARHQENKAAREVINLFEEYIETMYGIKPASKEAKVGDDDDADL DIEAAIAKELGAIKENKTADVQKQEEDRALLKAMKLNIDCLLFVRTKDPIEPVEFVKR IVVDTKECTDIRKIKCRYLNRLTPMSVMGKATEQGLAETARQVLEEHFDLSGKKSEKT EGDGAEAETNKEGDNKKREYKPYTYAIRPTIRNHSNLKRDVVINTIAGLINDERHRVD LTNPDKVILVDIFQTVCGLSVVDGDWDELKKYNMTELYNQAAGITTVGQKKKKQQQQD QKQDEKTSESVKDEETKDTKEQE NEUTE1DRAFT_86014 MLDLCVLKLSNWPAIGIVDRDGVKLRHNPFTHALYGQDPYVPHL PVSSVTGQDQDGLEKSYRYQAVPHRGCGPDEEGPRMDCWTD NEUTE1DRAFT_86017 MPGIELSDKVAGLGEGSLVAADGARNWSTAFQSLLEGVLRDGED MAVTMAYSQIRRHFRRFEHLLNEVKTPRLVVIDVADDTNTLVTVESTTSTNGYSNYTT APQPASSASNNYIGTERRLTLTGLQDWSNCVFGDPLLATIFSDGASESFMEGFETGPA STHANHLGGSSRNTSASTHSTSTGVMSITAITEQTTTTRAPAPTISSLPPPTDASSNS NNDIISSLIEDLPNAGIRLLLYQVYHAALCIVKEFYRPRSSPSPSTATCSSPPSPSSF SSSPSTNRELEARRKLTEALNRLESAAVPVVTPISILSVPVPFSLKKQNPLASNSCSS PVAGARSLLFGVQESTIGSSSSSRSRDDVLANKKLHHRPTGDMSPAKRAKSASDGERG NEUTE1DRAFT_148061 MLKNKRKTENACFDVGSPYHHYCQRLINHASLSSWTISSRPSAT DNNDNDDDDDDSYDDHDDNHNDNNNNNNVHIVAPRGHYQAVKPSQTRHAMAGKDSIGG FPMAGPRRPEAAPANRARTATPNALPVAPQRNAPAPTGPGPVIPLHVIDAPTQRLYAV AVYAALFAWKLYDWTQVVEENTESFWLFLKWIALDLIYLFGLPELRIPWLELSQSFVV FVFVAHALVDWMLMFNIGLPWQTWLFGFVKVFYDRELAITEHQVKVSSILYNHSLLMG RQIINILPEGSVVLNPENTPYCIGGDTKVASIPLFFNATTPIDVEIIRTDLETNEHEV IKLTRGQLKEIKNEVKRNADDEIFTVKYNFPVKKPGAYRLGKALDEYKLEVHRRTALT FVVPCPRASVEVVSSPNRCVGDLSDLSLLVDGTPPLKVIYSRTINGKDHSFNIQSLQP EGFSSPLLGASRSLARLIEDDDVSWARAQRVPVNLNESMNAGGVWQYSVDEVHDAFGN VASYTGHADDLDPKTRPKHLVQNLFVKERPRARLEGCDVRNPLKVARGGSKDLPIRYE LPGPVPDDTTYTISWLFSPIDTLTKSGDHGDVVSVGTYDAKNARDRPRIAAPGLYTLK SVVAGQCEGEVQEPSSCLLLNPLEPKLTLRSEEIPDTCAGNSIGLRVDMDLIGTPPFN VRYEVISNGQRSVERIKVNGLRFQQEFIPRIAGHHKYVFTHIGDSIYEPQKLSGPEYI LEQDVKPTASASIIHSNGNMAACLNDDVSVDVQLQGDAPFTLEWEIIHDGKRKQYKVK DIQTNKYQIKTGSLAKGGEYTLALTSVQDKRACRNFVQDEVKISVRRQNPRAAFGLID AKRRTMAVEGSTVKLPLRLTGEGPWKVFYSNVNDTSRNAPRVLEKTIKHDNDFLDVRT KGIFQITDVWDRQCHGIVDPKASSFEVDWFPRPELAVVQSAHVQPDGTNSFVVSDVCE GDVSGFQVALKGTPPFHVEYQIRHQPASGPASISRKDFEAALGKASVPADTSRAGQYT YTFNSLSDNLYTNDRTFKPVTVKQKVNQKPTAAFAKPSQTFKYCKTDQGESESIPITL NGVPPFNLEFEIRHQSSPIPEVYRTPAINSNSYEIQIPRHQLRLGTQTIRIRSVRDGS GCHSISDYTSAHRDTPDGKAHSVQIQLFEAPTIYPLETRTDYCVGERLGYSLAGAAPF EVWYTFNGEESRAKSPTTTFRRIAEHPGEFTITSVSDRASECRAPVNISKTIHPLPAA RISKGKQVQTDIHEGGEVEILFEFYGTPPFEFTYTRSTNPKKKGERATVLETKHDISE EYSKVIKASQEGAYEVVAIKDRFCAFSTQMLGVEYVEGKDGRLLKYQ NEUTE1DRAFT_102991 MDQNSEPAATRRSGRARKPNTRYAELSSDDELNSPAGVKASRKR VSLDSKASAKLEESADPERLSMLKESPASEEPTTAVRPRRSAKRKAAPEVFDVPMDVL QASLGPWQENELTEWPSWTDVESDPVFFNRILRLLGIREAKTTNNACATVALFNIIMN AQDLPLDINLSKFKEESGPLSPPLRGHLLSSSSWIRVAHNHFARRLDLLNAALALENK VEESKKKRARTTARRKKEQEMEDAYHFVAYVPNHRDRSVWELDGLKFNPRYVGKFEKG AHWTSVAQPVIQEKMMEYEADQLAFSLLALCGDRRAALRRQLAVNIHCTELLNDAFRS ESAWFEEGNDSSSFKDHISNFHDQSKLDEFQLSTDEAKLMIKNSAEARRLQGNIQSGG MEMAEALLLRTELRQKQEQIRAEYYTEVASGPEGDEDGDAQNEDPGRRKDHTPAIHEW VTKLAERGVLRELHEQVESRNGS NEUTE1DRAFT_86026 MEQVTEKVTETLKKVAIGGDKPQKEKKQKKEKSAPAAGGDAGPL EMNPPPAFIQERLDLFDKLYKEQQEELAKRPRDDILITMPDGTTKTGKAYETTPAEIA KGISNSLFKRTVVARIDGETLWDLERPLEKSCKLELLDFNDDQGKFVFWHSSAHILGE ACERRFGCSLCIGPPVDNGFYYEMALPGGAAVQSSDWAPLENIVTKIVKEKQPFQRLE MSKEDLLKMFAYNPYKQHIIKDKIPDGTRTTVYRNGPLIDLCRGPHVPDTSRIEAFAI MKNSSSYFLGDANNDSLQRIYGVSFPDKKQMAAHKKFLEEAAKRDHRLIGKQQELFYF EECSPGSAMWLPHGMRINNAIMEYIKEEYWKRGYDEVMTPNMFNVALWEQSGHLAHYK DDMFILDVDKEQFGLKPMNCPAHAMMFRHRDRSHKELPLRLADFGVLHRNEASGALSG LTRVRRFQQDDAHIFCREDQIKAEVADLFDFMKSFYGMLGLTFKLKLSTRPEKYMGEI ETWDRAEARLKEALDEFVTATGGTWELNEGDGAFYGPKIDIAVLDCLNRPWQCATIQL DFQQPINFSLEYMTADAQVKQDEDKAASKTAKPEAPKEEEEAPKEGEEKKKKALLVKK PLTAGCARPVMIHRAMAGSIERFTAILAEHFAGKWPFWMSPRQVMVIPVGMGFLDYAK EVALTLKKEKIHVDVDSSGNTLQKKIRSAQLAQYNFIFVVGDDEKTNRKVNIRYRDDT STQARDVPYSLEEAVEKLRALKADRGMYNPFPAHNPKKDEATKEEVKA NEUTE1DRAFT_86028 MTSSPSLDRFPDELIRHILLYVSPEDNVLNIQPVSRRFYHIANE PLLWRYLCRNSFTFWKPEHYFFAKLSDPRPTTIEWKKLWLRRKRQNHLIKRLLDEILT TRYHQLRNLQRICQFGYDAKDFLLEQCHVDESHDDVLARRYYANSALDSIHRGMAVEV WSKCYETGTDPYSGLDRALGAFDLFVLHDQPQDLEYILSTLDTLAQRFMLEHPEHKIM STRQKALALNRWLRAQNLMGMENETVNYHNLRNCLLGHALSDDEHPSLPIISSAIFAS VAERLGMSSACCAFPSHVHASVKAPPGMTLDGDVEEDPKAEPETMYLNPYKWDGEVTL DELRRALVELGWTQGSEVFLRASPVPIIVLRTAANIKAATSRIQNLADRSGEPIEVEA KRLRAGHPDINVEAAKYASMWAELMVKPVSSVHWDHNLETFLHSFAMSWGEDAWIVRK YLLPMYEQFVASQPHVRNRAGWENVREILNMLDNLDRREAVVTRRYTQEMQERVRYRI GQVFRHKRYGWIGIINGWAAGSAGLPVPHYLDGYEDEVQSPTTSPRSSGDRLHSEGQL RPQLARVFYTCMSSKRPRIDRLRVAQNSIEIITDPNLIPESLKFLAGKYFKRFDRKTC TFVSNIKESYPDD NEUTE1DRAFT_131300 MKSVTTRPLLAALTGAAVLGLLVPTVLAHGGDDGGHSGHGGMEM TDADKPRPEEEYPLTYFAHPDHKTAIYAHIALMVLAWVFMLPVGVMLSIARSRYRLLA QFAFLVTNAGGMLIGIVYNASTPDLYPNNAHHKLGWVVTWLVGAQFAIGLLASVAGVF KKQQSDGNSRERQGFMPVSTQAMEEHNSHIKPADHDAYRFSNDSGQGTELSSDVPRSP SLSSSSGDVSRQPLALQDVNLHTKEYDDGDDSYDVNSHSGSEPLGPILPASGKAVSTI KKIADKISGKFWKVLILAYNVVDRAILPLGFVALCTGIVTYGRFFEGSGIFSGLAHWI KGGIFFWLGIFTLGRWAGCFGEVGWAWNVRPKQAGQKWRPSAEFVESALIFTYGATNV FLEHLGNWGGAWSAQDLEHFSITILFIGGGLCGMLIESVRIRDLLNTTVTEEPRQQHH FHDAEVEDSERREPETYSFSMNPIPALVILLLGIMMSSHTQDSMISSMVHKQWGNLLT GASFARGFTYVITYLKPPRSVYPSRPPTELLTAFGLISGGIIFMASAGDTVSGMIHYQ LDAMFTYTVTMGLVGLLMAWVILCIALKGWAVRKEAGRYRD NEUTE1DRAFT_139838 MSSSAVQPPITPLPEVTRLSPTVIRILGGNPGKFTLQGTNTYLV GTGPQRLLVDSGAGERSWISALQRTLTEEKAHISAAIITHWHHDHTGGIRHLLDWNSK IKVYKNQPTYLPGYHGSPVSLEQIGTPLLPIEDGQEFSVEGATLKAVHTPGHTVDHMV LHLAEEDALFTGDNVLGHGTAVYEDLGVYLNSLEQMRGLFKGKGYPGHGPVVEDGPGK IGEYIRHRQQREDQVIATLKRDSEDGWTPMELVKVIYQGVPETLHIPAAGGVTQILYK LRKEGKVTTADGGDRWRLTDRSAL NEUTE1DRAFT_131302 MSANAASSKDHKVKEPQPGQPMYGCEHVGRLFNQDQATINMSIQ HYKTILRAIFDINPILSHTAKGVDGQVVTSITPTYLCLQCPSTLTDDDLTKHGNKKSH RFYVESRSGALYCQMCDDIVWDPTFEDLRLKKLGTGSFFGSRKRKHEELFAPDPVKDA PQYISTNTTLATCKANGLRGIYNAGATCYQNVVLQSFLHNPILRNFYLSDGHQSGSCD TPHCLSCAMDDMFQEFYASETTNGYTAANILSGFWISENKAFENLVANKEQDAHEFFQ FMAEELHERNGDGKRPEIGSEHTCNCIIHQTYYGKLQTSTTCQNCGGVTNQAQSFLDL SVPLENLTQKRGKKNLSNGDGVASTRTQNSVTLQECLDEEYIKSDKCEYRCQNCNSVQ QARRQTSIKKLPNVLSIQLKRFEFKQGRNDRAQKIETPVQFPLQLNMLPYTTRARTQD LKDNYELQRSCTYDLLCVVVHVGDMDTGHYISYCRVGDQWFAFNDHKVELAQKSDVLN AKAYLLFYIVRSLS NEUTE1DRAFT_67359 MNVDTISDFLAEQRDQAPEELQPLVLEFETLWERKLWHQLTNLL LEFFNHPGSAPQRLQFYKVFILKFADKINQLKLVDLALKAATQCRDNQERLSFLEAVV KKVDHEDSQDAYVFATIAVARVKLDLSDLDGARKDLDTAERILDNFDSVESVVHAAFY DTNAKYFQAKSDWGSYYKNALLYLACIDVKSLSLEEQRQRAYNLAIAALVSSSIYNFG ELLLHPILDALTIDDRHKWLHQLLFAFNRGDLATYDLLASHISSDPLLAQHTRQLREK IYLAALTEAVFRRPPHDRAMSFSTIANETKVHPDEIEHLIMKALSLDLLRGTIDQVDE VAHINWVQPKVLDMQQIKNMRQRLQEWDSSVDKLGNWIEGVGQDVWA NEUTE1DRAFT_95746 MAPLMAAMRSTPRIIVSNAYGFQRRAISDVTITRTGKPIIRNQG GRSSLGGHTATVFGATGQLGRYIVNRLARQGCTVVIPFRDEYNKRHLKVTGDLGKVVM IEFDLRNTQSIEESVRHSDVVYNLIGRDYPTKNFSFEDVHIEGAERIAEAVAKYDVDR FIHVSSYNADPNSECEFFATKARGEQVVRSIFPETTIVRPAPMFGFEDRLLHKLASVK NILTSNGMQEKYNPVHVIDVGQALEQMLWDDNTASETFELYGPKTYTTAEISEMVDRE IYKRRRHVNVPKKILKPIAGVLNKALWWPIMSADEIEREFHDQVIDPEAKTFKDLGIE PADIANFTYHYLQSYRSNAYYDLPPATEKERREDREYIHML NEUTE1DRAFT_86047 MACIIDKFSDDESDLELSTSTLDALKSFYAERDARAEQFAKLQA EAEERHALNVKLSMDAFTEDWNESQFWYSDETATFLAKQLLADATPTTSIAVVSAPSV FVQLKNLLNSDAYKDKPKPKLTLLEHDNRFAVFADEFVFYDFAQPLKLPSHLKGAFDR VIVDPPFLSEDCQTKAALTVRWMLKSSQGKEEEKEEKGKMPKIIACTGERMETLVTEK LYKSYGLRTTTFEPKHARGLSNEFYCYANFEVKPEEGAGAETPEGKGWTLRELGWKEK EGAATAAAA NEUTE1DRAFT_123975 MAAQTLPASGAAVTFNTQRTRAYLLKLPLFTRATVLIIVLTWVL TWVGKSWNWDVKTWGALIPDEIGIATLYRINTFPFIHLNIFHTILNIVAFTPLLERFE HEHGTLTSVALFFGPFATIPGLIYVFIERFILHANTPVMGASMWVFLLLGMEAIRTYK TNPYFTISTYNIPTWITPLLLVVVTAALLPSSSFLGHLAGLLVGYGFGLGYLKFLAPP EWALRFIEGKLNLLGRLPHYVSVDQKTFGRFGVLPSSASSAEAGIPFSGVSGGQRLGP NEUTE1DRAFT_117838 MSDSKQMSQQEVSAKFTSYYLQRATKEFAEDLDKVRTADDFKND AIHLLVNALQQGTALFSPEEQRRIVESGAERK NEUTE1DRAFT_47718 MAASIPSTSGNHIKASSSCTFSGSTGFDLLSKGLSSCQEIVISD LQVPAGKTLTLDKLNPGTTVTFAGNTTFPYLSSGWAGPLLSISSSSKLTIRGLSSSVL YGSGEKYWDGLGQKGPIKKPKFFQVHNLEDSVIEGVTILNAPVQVMSINGCKNLTVTG FTLDNKAGDGDWNAGKGGRNTDAFDIGSSSNVVIDGAKVYNQDDCVAINSGTDITFRN GLCSGGHGLSIGSVGGRSDNTVKNVLFENSVIANSENGARIKTNYGTTGLVSNITYRN VKLQNITKYGIMVDQSYGSSSKNASPTNGVPITGFTLSNVTGNVLSSGTNIFINCGDG SCKQFEWNQVDIKGGKKSSKCLRVPSGAWC NEUTE1DRAFT_148074 MPRLLASALSLRRDPRLLKLPPYLSLLCIVVGVVWLFLLPLDDY SRRTYISENALLPGQVHTYFGGSDQNVFRAYKHETDELAGKGNIEVNDKLESIAKGLG LKVGRQNFTYSSAGNTHSGQNIYAILQAPRGDATEAIVLVAAWKNVKGELNRSGVPLV LTLARYFKRWSLWSKDIIFLFTPDSIAGPQAWVDAYHDAHDSSRVASLPLKSGALQGA IALDYTRETRFQSVHIVYDGVNGQLPNLDLINSVNHVAGGQMGMGVAIQEMWKHSNSY HDRLQTMLRGMLKQGLGLASGPHSSFIPYHVDAVTLQPFGEGWQDEMAIGRVIEGTFR SLNNLLEHLHQSFFFYLLMQRERFVSIGTYLPSAMLVAANFTIMAIALWVKSGQPDVQ EIITEKTKTAEEAERIVSPNPHVASERDLFLPLVLVAVCQFLGVVPLYLFNHLSESAL IPAFVLFSVLSSILPFLLSNLLHTSTTSTTAQQYHLIRSFSLLLLGMFLSSLATLNFS LAFFVGVFSAPLTFVRPCPNSSTLRWLSMVVLYVLSPTTVVLVASMLPGLDEKVGCGL GEVLRQAAFAWDVTGTYTPVVVWCVWWPAWIVGVVSVLGRPGAGDKKVKKA NEUTE1DRAFT_148075 MSQPLPPVAPKAMMASSAFSVLNGSAQSQALPSGIPKGPKASHQ SHGKAGGAKANGGGAAAAQSVNAVHTHTAMGRWSILPKEPPKPDTIKAIHVYDFDNTL FSTPLPNPKLWIGPTIGTLSNPDAFVNGGWWHDSRILAATGEGLAIEEPRAWDGWWNE KIVELVELTMKQKDALCVLLTGRAEAGFSNLVRRMVESKGLVFDIIALKPAIGPDNQR FSSTMNFKQAFLEALMETYKHAEEIRIYEDRAKHTQAFRNFLADYNRKQTATPTRGPI TGEVVQVADISTFLDPVVEIAEVQQLINEHNSALGRPRRGHRNDRLMIKKKVFYTGYM IGSADTKRLLSLAQLPTNLSDTELKIHGNYILICAGPCSQDILDKVGGLRAKMTWEVT GTACLDNKVWAASVKPVPPTADFYTDNPSPHVVLAIRDRARLQDANRIQNWQKLPADK VFRFETTVDEKILLRIEPEDSHGSSYESRGKKRHHTSHEDDFRARPSAPGAFSGQSGT YGQGGRNAHHQGGFRGGGNQGGSGGRFNRGGGGQGQRNFRGGRGGGPGAKGRGGRGGG NGGGGGGHHYKSLDDLGTKDNSGVSYEDEANSSHQQPPGRNNTFQPPTAPAAMQQQQQ QQQGGGGFYNNSNNTSNNNSQYQPPYQQQQQYGGGGGGGWQQQQGYQNQSHQGGRPTG GGSGGMGYGSGGPDINSYY NEUTE1DRAFT_117841 MLASRQLLGVARSRAAAGLGRRCMATATENPLDRKVKQNLLEEG NFINYKKMSENLAVVRSRLNRPLTYAEKILYSHLDNPHEQDIERGVSYLRLRPDRVAC QDATAQMAILQFMSAGMPSVANPTTVHCDHLIEAQVGGEKDLERAININKEVYDFLAS ACAKYNIGFWKPGSGIIHQIILENYAFPGGLLIGTDSHTPNAAGLGMAAIGVGGADAV DVMANLPWELKAPKVIGVKLTGALNGWTTPKDVILKLAGILTVKGGTGSIVEYYGEGA SSMSATGKATCGNMGAEIGATTSVFPYDKAMFDYLVATKRSDIAEFAKTYQKELQADE GAEYDQHIEINLNELEPHINGPFTPDLATPISKFAQAAKENNWPEELKVGLIGSCTNS SYEDMTRAASIARDALDHGLKAKAAFVVTPGSEQIRATIARDGQLQTFEEFGGTVLAN ACGPCIGQWDRRDTPKGTPNSILSSYNRNFTGRNDGNPATHSFVTSPDLVVAMSIAGS LYFNPLTDSLKDKDGKEFKLQAPTGAGLPDRGYDPGQNTYQAPPADRATVQVQVSPTS DRLQVLSPFNAWNGKDATDMPILIKAQGKTTTDHISMAGPWLKYRGHLDNISNNMLIG AINAANGEANKVQNFTTGEWDAVPAVARDYKAKGIPWVVIGDWNYGEGSSREHAALEP RHLGGLAIITRSFARIHETNLKKQGMLPLTFADPADYDKISPEDKVDILCTELAVGKP ITMRVHPKNGKDFDIKLNHTFNDAQIEWFKNGSALNTMAKNAKN NEUTE1DRAFT_123982 MGIFAKRTKCKTRRRLRDVDQVQKDLINARHLELYKEAKAVEDL PGLGRHYCVECAKWFDMESTLVKHTKGKPHKRRLKELKEGPYTHKEADAAVGLWTDNG RPRNTTTEIDMT NEUTE1DRAFT_103009 MSDGRYVDGSIYFYAPNKGAPVFFAVAFAASGICHIYQCFHYKS WRLTSFYVTCAVLFTAGFIVRELGAFDYGDLVKFIVTTCLVYAAPPIAELANYNILGR ILYYVPYHSPIHPGRVITTFAFISTVVEALNGNGASLSANQSLPRWKQELGRNLLKAA LLIQLVVIAFFLLLAGTFHRRCRRSGIRSAKLENVLYTLYASTSLLTIRTIYRVVEYW SVADLHYDDKSLDPMSLSPIIRYEWFFYVFEASLMLVNHVLMNVRHPRRYLPKSTKTY LSARDGKTEVTGPGYKDSRPFLLTLVDPFNLGGLIRGKRDGNVKFWEEDDGHEADKLE SQKVKAKDYVNEDVEAAR NEUTE1DRAFT_123983 MDRPSSPSYRERRGPHIYVHLEVGISWTSKKQHKHHEVTYGSRG SKAVREKEQCPGPKLPRQMSAPDPTYLAAVHDFEQRQSRTRESRKAARPLSQIDPIAS IPGTRLGPHHQMQEYYQVPGYYGPAQPSTPPRQQQRRSRSGSRPVGERGQSAPPPTGD NPWGVGLAGEEAAGPSNSIAELSPRPPSDVWKALPAAPNRFRLGEAGMPWSSPTVFET GAGSQNDDVSDHPSGHHRQHSIPSFHPDNEAFIYEASPVTGISVFSPGPSKLQDCEDS EPGSVRDLEELSAAMVTVDNGFENQWWNQGQRRSMILPAPNEEPPSFDKRISARSLGW AVANSNNTPPREEIPVSPFASPFDVDKVGGVVVDYQGFVWMIFCVPTCLC NEUTE1DRAFT_67388 MDSPLARPDNNGDTNRPLRFDIPFAGSIKDGETVKIECVDWTGG QIGNNDSADDMKNVDLTKIHYLSGPFEVEGAQPGDLLLVEIMDVQPFQDRPWGFTGIF DKANGGGFLDEIYPSAAKAIWDFEGIYATSRHIPGVKFAGLIHPGIIGCAPSAEVLAT WNKREGELVAANKLADRDVAHLPQPINTHAGAASKEIAEKVAKEGARTVPGRPEHGGN CDIKNLSRGSKVYLPVHVPGAKFSVGDLHFSQGDGEISFCGAIEMAGVITINFKVIKN GVEGMGLKSPIYIPGPVEPSFGPGRYIYFEGFSVDEHGKQHYMDVTVAYRQTVLRCIE YLRRFGYSDYQIYLLLSCAPIQGHVAGIVDVPNACTTLGLPMDIFDFDISPAAALKAG ETKRDLGRCAFETGVTEGKVTNGGKNSQISFGGGLTYKE NEUTE1DRAFT_67393 MATADRLERRQSFDVVAEQVKRKFDISHFDLNAIIRGAQLTLVG AQRALQNPGIFTSRHYKQAAIAVAAGILIRLLISIPIIGIRVLLWFLSFGIDLRNATW DDKLVGGLGFVEEHVLQAPLFFMSLMRYVTPTLDDLFMDSIRWVDTTYVNKHKHDEDP SKLRPMYYPNLRQYSVRDGTTNSTSTAENISMFIYRFARKGAISLAVFAASYLPYVGR FVLPAASFWTFNRAVGLGPASVIFGTGILLPRRYLVIFLQSYFSSRSLVRELLEPYFA RIRFTSEQKRKWFRSREGLLFGFGIGFYILLRVPLLGVLIYGIAEASAAYLITKVSDP PPPPSESSGFAESQLEWRNKQKFLNLSLANMDSIHDKPPPYSIHDPNPKTEL NEUTE1DRAFT_131315 MEFSNPFADTAPRRNFTAPIDSQIQRPSTSAGETSHALVDTLYS HPSVKIISFTAGNRPPTLGPTPPNTPTSVEPGSLPWSSHLERTIAVGHFRIYRAPGSI TFLNCGSALQPIFPKSQAWCVDEDSSKFILQIRRPQYWRIEVPVDDPEDVRRAQLLRE VFDQILQFEKTECPFQRSFTVELPERPQTPVIKKPWTPVRRSSESIIPTPVSAITIPA QIARVHRGPPKDDTIRVRKRRATGTTSQSTLPTSDDLFRIQEHNIVSVPDITLSSQPE EEPQQPESLSSRNFTRTPLIPSGQQTSHSVAAPPQLTLITAPHFTEPSSIHQLDGHAP EIPGSQSSVTSRDSFHSVRSCNSHIFPPSPPLSKPTSPSGSSCPPGKRNEPAADSSTL TLDKANQSNMSQTSDTSPVAIDRSATSSSTFHGTAPSSTHDIDSPCSPTTTGLILVTP SPNASPTSRSRSPSVSSRRSLIRGRPTTSSSISPSRRALSPLPRAADLFAPRRRAPST SKLEVVRKLPMTVINKSFEILMAPPTHLMSLMLTVAARITAGQKSGAVLGSGEGGERI PVQWDLSDETEDNSSFPQRKPSAATTLFSSVRRWSVSHVSNDDDHLPPHMRGRERGMS LKMAGSFPESDEEGEHDDGDGGSTSDFTHGSHEPTARRNGALKADDGLRLDGAGIGVP EDDPQFDWNQSMGVD NEUTE1DRAFT_103014 MTCMSQTSTPLTSAYGRAAPSLLLDGDTFRFTYVRVSKHTPALP LPWSRPRPLIPLSPLLERLSLNIHHLLKGHRNRVSIYPMHTQVP NEUTE1DRAFT_123988 MESSSEIPKSPSPGTLASANPGFQSFAENQRHPPPSPRTQRHPS FTQQAIQDLMNHPPPNRHQNPRYVGRDWRDIAIGELLQPDEVKWAELDTSIQEATKTL LQSSPNNVVLVRQDSSSKEAVSTFDHSDLNAYLLAVVGLAKPEEEQVALYTDISVKAQ SQEEIPLRTLQPIFRHEELVKLPADSTLDKAIEVFGGGIHRVLVTNKDSEVVGILSQL RLLEFFWYEAVNFPLIDRLYTIPLRDLQIGTQQIIAINADAPLADALFLMSSEGLTSV AVVDNGHNVVGNISTVDVRLLTNANSLPLLKASCMHFVSVILSERGVEHGRDSFPVFY VNPYSTLAHTVAKLVATQSHRMWVVESASPSPSAPATPLLQASSLPPLSTLSPPVASP GRAGSPAPGSAAVVVPPPGAITTSTPQSPSAQGPFTSVPASALPGAGLSGRLTGVVSL TDILNLFAKTSGLRPLDPSEQRARRRRSSSCSVRPTLDTGRDNGRGSVDFRR NEUTE1DRAFT_148083 MSVLPADVTAELSQLLQALQSADNNIRSQAEEHLQNNWTSSRPG LLLMGLAEQIAGSSDASVRSFAAVIFRRITLKTRKATPTSEAATDMFLSLDNSEAVAV RNKILEAILAETDRNVRNKIGDAVAEVARQYYDNDQNWPELLQTLFNLSQAPDAGKRE TAFRIFHATPSIIEKQHQDAVAMAFAKAFTDESVAVRLAAMEAFAAFFRSLGKKNQAK YFELLPQLLNILPPIKDSQDSDDLSQALIALIDLAESSPKMFKTVFSTLVGFSISVIQ DKELTDLCRQNALELMATFADYAPSMCKKDASYTKDMITQCLSLMTDLGEDDDDAAEW LSCDDLDTDESDSNHVAGEQCLDRLANKLGGAAILSPIFGWLPSMMNSPAWRDRHAAL MALSAISEGCREEMIGELKQVLDLVVPALKDPHPRVRWAGCNALGQMSTDFAPTMQKQ YYDIVLSAIVPVLNAPEARVKSHAAAALVNFCEEADKSVLEPYLDNLLSHLFQLLQNE KRYVQEQALSTIATIADAAEQAFAKYYDSLMPLLMGVLQRENEKEVRLLRAKAMECAT LIALAVGKERLGADAMALVQLLASIQQNITDPDDPQAQYLMHCWGRMCRVLGRDFLPY LPEVMPPLLELASAKADIQLLEDDEQIEQLQTEEGWELVPLRGKTIGIRTSTMDDKNM AIELLVVYAQVLEGDFAPYVADIMEKIALPGLAFFFHDPVRYMSAKLVPQLLISYKKQ YGPGSNELKGLWTSTVVQLLDVLSAEPAIDTLAEMYQCFYESIEVIGTACLDETHMGR FIDGVHSTLEDYKDRAAAREEEQGGEDGEDESEEMQMAIEDDQTLLSDMNKAFHAVFK YHGASFLSAWSRLLPTYSSFLSSNDTTQRQWGLCIMDDVLEYCGAESIHYAQYISEPL LLGCQDASPAIRQAAAYGIGVAAHHGGQAWAPLVGQAVEVLFKATQIPDARNEDNVYA TENACAAIAKILHFNSSQVANPDQVATQWFETLPVLNDEEAAPYAYAFMTQLIEKQHP VVISQPAKAFYYVAQALEVDVLSGQTLARVIAAVKNLLQATGTSPDPVLQQFGPESQQ LIRERFSS NEUTE1DRAFT_86082 MNNNYYGSPLSPEELQHQMHQHQQQQQQQQQQQQQQQQQQQQQQ QQQQQHQHQQQQNTNQHRNVGMMNTPPTTNQGNNTIHAPDVTMSVGSDSLDEIIQQNL DEMHRRRSVPQPYGGQNRRLSMFDYANPNDGFSDYQLDNMSGTYGDMTGGMGMSGHSG PYAGQNIMAMSDHSGGYSHMSPNVMGNMMTYPNLNMYHSPPIENPYSSAGLDTIRTDF SMDMNVDSGSVSAASVHPTPGLNKQDDEMMTMEQGFGGGDDANASHQAQQNMGGLTPA MTPAMTPAMTPGVSNFAQGMATPVSQDAASTPATTFQSPSLSATIQTIRIGPPPPPSV TNAPTPAPSTSTPSGGGASQTKSIYSKSGFDMLRALWYVASRKDPKLKLGAVDMSCAF VVCDVTLNDCPIIYVSDNFQNLTGYSRHEIVGRNCRFLQAPDGNVEAGTKREFVENNA VYTLKKTIAEGQEIQQSLINYRKGGKPFLNLLTMIPIPWDTEEIRYFIGFQIDLVECP DAIIGQEGNGPMQVNYTHSDIGQYIWTPPTQKQLEPADGQTLGVDDVSTLLQQCNSKG VASDWHKQSWDKMLLENADDVVHVLSLKGLFLYLSPACKKVLEYDASDLVGTSLSSIC HPSDIVPVTRELKEAQQHTPVNIVFRIRRKNSGYTWFESHGTLFNEQGKGRKCIILVG RKRPVFALHRKDLELNGGIGDSEIWTKVSTSGMFLFVSSNVRSLLDLLPENLQGTSMQ DLMRKESRAEFGRTIEKARKGKIASCKHEVQNKRGQVLQAYTTFYPGDGGEGQRPTFL LAQTKLLKASSRTLAPATVTVKNMSPGGVPLSPMQGIQTDSDSNSLMGGRSKSGSSDS TGAMVSARSSAAPGQDAALDADNIFDELKTTRCTSWQYELRQMEKVNRMLAEELAQLL SNKKKRKRRKGGGNMVRDCANCHTRNTPEWRRGPSGNRDLCNSCGLRWAKQTGRVSPR TSSRGGNGDSMSKKSNSPSHSSPLHREVGNDSPSTTTATKNSPSLRGSSTTAPGTITT DSGPAVASSASGTGSTTIATSANSAASTVNALGPPATGPSGGSPAQHLPPHLQGTHLN AQAMQRVQQHKQHQQHQQQHQQQHQQQHQQLQQLQFNPPQSQPLLEGGSGFRGSGMEM TSIREEMGEHQQGLSV NEUTE1DRAFT_148085 MATSFFGRNEPEGRRLGSDLSLIGKWGESYTQRISAHAVPVARL SLADQETLFSKTKCEFRICEQPDMTAKLEAIPYSTVLEPTNWDDFQKTADPEVVAMMS HPNYAKLVRMQNEVSKLVDDSEPEAARRYWAVRNKASSAGCDTKIADAYAQQAANDYI ERVGHDLKRKWREQKRADQRGSAPSPKATKQSEKTARCPMSADDIEAAMIQAIKESNH ASASTKSRKKDLKIDPRATATVKDQGFPTPTTSPTHGSDTSARSARSFLSASPRSSHS TPSPTLLPKPAITILKRPQPRSETKAMDIGGNTASPIPLAAVNTPNSAPLPVMGNTAG FTYVNFNGPFSGTQHLSGPGNPAQLANPYPIIYANNVYYGPVGNGHVTANYTFPWASL STPIVAKLEENRTDSPRTAIRQPKGSAEAAVDTIAQRPQNQCQMAKSQPYSSITCYEV KHPLLGTVDIHPAYWTTKEDKARLLFDKLLGLRAKDKEVQKEHASGTNAVRSKTVHIF VDLSNIVIGFYDTMKKSRGFSPQKRLKVPFSFKNFDTILTRGRKVEKRVVAGSVSNHS YRRPDYMTEAAGLKYEMCILSRVTKPSSLDLSKKSKKYGRRSEGSGEGPETRSEQCVD ELLHLKILQSALDVPNPGTMVLATGDAACAEYSDGFKKNTERALARGWKIELYGWKNN IAKDWRDPEFTSRWGSRFRIIELDDFCEELLDLTVESLWAKSQ NEUTE1DRAFT_131320 MRRSERKEGCTGGVVLCLRKLGWMTQGVPFQEKGYGSFSSQVEE VDKPGVKVQAEQARPDRTYGTSSVSIRGLPRCTMREPFKLTGGGFPQRTGRETSLWVG DIISAHARRETPRGPVSLFRTAMLCQDNLECTTDNTHVKVRLRWTPKGTEVLEATDKR PNQSLPMQQSTPIGPSSWFRVFPQEELAITTLHYTTFYTRYPWVWLGSSSGRLNLCLC LCSGLRVENCGSAMPTLRERPSRGDSSLAKRNTTSSAAAPTRTTARTTPRTTRRQSSN TNSNIMAAAPARDAIEVRESIEAKGDVDDDVDMEDADAKDDEPRKPTDKDQEADMADD REDDEDEDHDQDEEDEEESDSAKDLLQLIRDTSEYLCRYTVKVDGEDYEIASGFQRLV NKRSLPDYFEVIKTPMAFSTIRGKLGKKSYTSFNEFVQDVTRICHNAQVYNRPSAPIF SDAGRLLEVFKEKLAELVQKGDITEKDAEIPDYGPLPEFEDSPTPEEEEDEEEEEEEE EESESDDSDAVDSDGRRRRGRRRGGRSRRRKQDDDDDEAQKKHTRPLKVLTPQEARIQ ALLKGLRKFKNENGHVRINHFQRLPDKSESPGYYAAVRNPIALDMIIRKHKRKKYQNL DQVLQDLELMFENAKLFHEQGSEEYEDALELQKEARALTEQEKAKPDDDFRDADGKLP LDSIEHGGELWRVGDWVHIRNPNDLSKPIVAQIYRMWSDKSGQKWVNACWFYRPEQTV HRYDKFFYENEVVKTGQYRDHRIEEVEDRCFVMFITRYPKGRPRGLPYNKMVYVCEAR YNEEKCKFNKVKTWSSCLPEEVRDQDYEMDLWPVPRTMRKIPSPIKHLLQADAKETDD LPKPTWRSPNAPPLIGAVHRRPREPNPHSSRLDLSRSPTPGSSLVSFPFETEASARVN LKLTTDLSNKESPPPEAHPIFIAPVPLAIGPGPGSGSSHRQSFSGGVPGTPTFHPSVA PAPGPGGHVAFGGQHYVQHFAPRQQQQQQQQQPPPPQGHGIAPHIVQIHGHPQSHPPP PIHQYQPQLQPHPHQGLHQQPLGPQHQHQPMPGMPMHVQPHHPGMPPMQPSPHHYPHQ QPPSYSHQQPPPYHGPGPQHVPIPQPPHQPPPPPPQQQQQQHLQQQQLHQPYQQIQYI HHGPPTTQSQLAPAPPPGPQYDPHHPHSRPVHAHPPPPPPPPQQQPFGVGPPHHPGVP IGLPAVHHSHSHPQPSPIAPNFPTPNRHHQPPPMGTPMQQHPPTMPQQLAPGPAAGNA YNAPRAPEVYTLAENIDSEIPEEVKKQFQTDDQGRVLFFTAPPLNRASVRNGGVAEQY AGLGHSVHWESIKARKEERRRKRKERDEALQEEANKRKAAKTAEAKANGEGNEHKKAL SLLEEGILQWCEQMQKGTRHLEELLGGRDEWEEMMRQSKEENKGLTEAEIRKKSLRWW LEDQIKKGLVTEEERKQLEEAFLADAKGLTSVKATGLKA NEUTE1DRAFT_67407 MPQIQLRLRTPSGQTRLEVDDESILEDLVVQIRTATKIDKFSLK YGYPLKDLDISDEAQKVTPLKDLKLRGETIVVAPLESAASLPAAEPEKPKFTPKGIEP DETSIEWPERGGYLVLRVMPDDNSCMFTAVGGALSIANPSAVLRRQVSDYILSHPTEY TAAILGSPPSVYASRMLQSDVWGGAIELSILSDIYNIEISSIDVKSLRVDRFGEGKSL RILILYSGIHYDRIAFAMDLSYPVEVDVTKWSTEDEEVLDKARKLAEQLQRMHYYTDT TDFVIKCEMDGCGWIGQGTKDAAKHERETGHGRFGEMEIK NEUTE1DRAFT_148088 MSADFWAGYVSGAIGIVIGNPLDILKVRLQAGGSSSSSSSSSSL PTPIPNSTPSPAAAAAAAAAPPTSGTPATTSWIRPFLRGTAAPVLGYGALNALLFVSY NRTESAINHFVFGIDPSLPLQTGVNLWSTWIAGAVGGLATWVVSTPTELIKCRVQLAE EQQQGQGSRGTQGSSSSSSRGGIGIVGTEKGSSSWQVTKHVLRTQGIKGLYFGGAVTA LRDSIGYGFYFWSYELTSRWMVAAAAAASSSSSSSSSSSSSSSSSSSSSSGESSSSSS FSSSQAGGILTSEAARVLLCGGLAGIITWASIFPLDVIKTRVQTQGILPLPASGSGVI ETQGEQTALLDRTASRRKQRPLGAWEVAKLTYREGGVKPFFRGLAVCSVRAFIVNAVQ WAMYEWIMTELGQGRRAKASGTGGVEAGTLGSGELAGMGAA NEUTE1DRAFT_148089 MPTFGATFVPGGYDDYFMPEVVAPAPQRVMPEVPQNMQKDIQRM ELEAREVDPQNNNGTIGSAKNRDQRQDEKTFKPFQQRSGARSSAMDAPSFSPFPKVKG ENIPPSDEEKEEILYQARTLVLHSNNVSMQVTWARDSLIWVDIAQEAAARDWKRDGKG RERPSTPTTEHELRVDALNIIEYLASQDHPEANFMKGKWLEFGKFGYRENKREAYAHY KRAAENGYGRAEYRMGMLYENSNDIPNAIKHYEAGVRMKDTASNYRLGMIHLMGQHGF HKDILQGLDMIQLAADTADEDSPQGAYVYGLLLSRELPDITIPEDILPFDLVVARQYI EKAAYLGFPKAQLKMGQAYELSQLGCDFNPTYSLHYYGLAARQGQSEAALGVSRWFLF GFEGAFSKNEALAYKYALDAANAGLATGEFAMGYYHEIGIHVQKNVIEARKWYEKAAE HGNKDAMTRLDSLSQSKTLTKQDHETTTLTRIKSQHGSQRGKRPERFSRAPEVLPTLS EGDPGDNVPPVPVKASQGSAIGHDQIDFPDPARNANRPPAFTVNFDSNPNLNLNLTLR SKSTAPYPEDTRPPPLRARTNTAPYPEDDMRHPSPPPHMQQNYLSAKPLAPHFNPGIR PSSSSGGPHADRPMSAFGIRPNSANGPSAPQGPGPRGRVPPGAVGAWEPQVSPGYRQP SPGPGPGPGSGPRPDYRQNSYRPPHDVGPGPSPQRMSGGAGPGIPPSAAPIGDPMRQR LQKPNPNAGNYPPAQQVGGAMHSPQQSPGLPPVMSGGAQPGYGSRTNSSRPNSDVYGH GQGAPPMPGRFPTGTPTPGNGGPMPRPDRLDSLATGGRPGSNGGQGPSGAGIGRIASA PPVQQHQPPHPHSKPTHSPGPSASPTPSAASTTRLPGHGGAGGKSNIEHPDGKTVGNG PATFEEMGIPQGKNDSDCVVM NEUTE1DRAFT_86096 MAERQLTQILTQLQTSASSLSYTDSSALLSKAKLLLLQLNALTP TAPGSASNPSLFSLARQVYEQGALASIRAKNPDAFLRYVSQLQGFYELESTVGTGAAG GRIAGADANREEKNKVTGLYLLLLLTQGQYAEFHSELEALSTRLGGATRELEADRYLG YPIKLERWLMEGSYDRVWKAMKKGEVPCEEYGVFSEILTFQIRSEIASSSERAYPSLP ISSTKSLLFLESEGEVVEFARSRGWLLKDGHIYFPSAEPTTEQAGAEQEKPFSRLIIE NTLGYARELETIV NEUTE1DRAFT_67419 MQASSGMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDY RMGTLIDRFEEHDGPVRGVDFHKTQPLFVSGGDDYKIKVWSLQTRRCLFTLNGHLDYI RTVFFHHELPWIVSASDDQTIRIWNWQNRSLLCTMTGHNHYVMCAQFHPKEDLIVSAS LDQTVRVWDISGLRKKHSAPTSMSFEDQVARANANQTDMFGNTDAVVKFVLEGHDRGV NWVAFHPTMPLIVSAGDDRLIKLWRMSETKAWEVDTCRGHFQNASGCLFHPHQDLILS AGEDKTIRVWDLNKRTVVNTFKRENDRIWVIAAHPEINLFAAGHDNGVMVFKLERERP ASAVYQNNIFYITKEKHVKMYDFQKNVESPTLLSLKKLGSPWVPPRTLSYNPAERSIL VTSPADGGSYELITLPRDGSGAIEPTESKRGQGNSAVFVARNRFAVLNTATQTVDIKD LTNNTTRSFKPPVGTTDIYFGGTGNLLIITPTAVHLYDIQQRKSTAELAVTGVKYVVW SNDGLYAALLSKHNVTIVTKTLEQVSTLHETIRLKSAAWDDAGVLLYSTLNHVKYTLL NGDNGIVCTLDQTVYLTRVKGRNVYCLDRAAKPKILQIDPTEYRFKLALVKRNYEEML HIIQNSSLVGQSIIAYLQKKGYPEIALQFVQDPTTRFELAIECGNLEVAVEMAKQLDK PKLWTRLSQEALAHGNHQIVEMCYQKLKHFDKLSFLYLTTGDHDKLSRMAKIAEHRGD FTSRFQNALYLGEVQDRIQMFKEIDLYPLAYMTAKSHGLEDECQEILEATGLTEDQLS MPTLGEPLTPPKPVVPTYKANWPTKAASQSFFEKALLGEVEGLSLEDQPSAAPNGLGL EEGGADEPATKTAGALAEGDEDEDAAGWDMGDDIVPEVEEGFVNVESADAGGATSSEA DLWSRNSPLAVDHVAGGSFESAMQLLNRQVGAVNFAPLKPRFLEVYQASKTYLPAAAS LDPLVNYVRRNPEETDLRKVLPIIPRDLEYLASNDLQRGYDAMRANKLEDGVKIFKGI LHSVLVNAVGSDGEVAEAKKLIASACEYSVAMSIELARRQLGSPDQVNSDPSLQKRSL ELSAYFTIPKIEVPHRQLALLNAMNLAVRSKNYSSALSFANRILANGGATKITESAKR TKAQCERNPHDAVEIEFDQFAEFEICAASFTPIYSGTPYEECAFDGAKYHTKYKGTVC TVCEVCEVGKHGSGLKLFA NEUTE1DRAFT_67422 MIRLSQLPWGLAAALVTATWLPSHHVAASPSVDVALKAAFPSPP YLVELLETAASENATAYFPLLDCIAKGDFSQAKSDKELYEKFLQILQEDGHVNPEALA TFKLALSLRSAAPRVEAHYQYYTTAVEPYVVADEDGCDLWFLYNGKQYCKASLEASSG HVNSNSRERTLPFDRQFGSGHQEIVLYADITSPAFGKYHEAAMELARKGEASYRLRYK RSLGQSDDALAVNGYGVELTLKRTDYIVIDDRDTGGDKATGDDSQKTIRSDSELVLDE DEEVADIKPLEKSELSPLAVKAASFVMQSEAPFDTLLKLTQDFPKYSTKLGKHNVSKE FLAEHEYNRQLLVPPGANVLWMNGVQMVDRQVQPFGLVDMLRRERKLINGALDLGLTG QQAVSLLGHDEVAAAKATEEEPRRFDWRDEPEGGQVIIWLNNIEKDKRYAEYSPSVWA LIQHFGQGLPQVRKNLFNLVVPVDFSRAEDVTLVTRQLLAFMKRGIPVRFGLVPLTPT GEAVEQAKVLYHLLNTYGLAAMSTYLEKSLEASSTDKPNEDIFNLAIKDREIRPDHEA LPFKDIFASEELEKQVHRAKRWCERLRADTDIPPAFINGFAIPREEDWLRNMNHKLMV DLQMLQQAVYYNKVNDHTNVPGFFLENAIARRNTFIYPEDANAVKVLNVNKVYSEHQR LFSKVPVVDADDTAPKEDWAVLTVVTDLNSVEGQKLLYFALRFRQEHQGVRLDIVHNP ADLANSPSIMNQRVKAKESSLLEVTRLVDLETILEEGKPEADPDFDADLASFLSSLNL KSGDNMLILNGRIVGPIASANDFVKEDFAEFLRTERMNRILPVYKAIEDLGLTDKVSG PLAAAKLTSVTALSGISDTPQGIFDSAPPIRTTAYNKLNTTYTSFHVGNPETATIFFV AVINPASEMGQKWAPILKVLSELEGVHLQVFVNPQTELSELPVKRFYRYVLESAPSFD ESGKVKALSATFSGVPPETLLVAGMDVPPAWLVASKVSVDDLDNLLLKDIKAKRNTEH VEAIYELENILIEGHSREFPSGSPPRGVQLVLATEKHPHFADTIIMANLGYFQFKANP GMYNIHLMEGRSSDIFTLDSVGAQGWSPVPGDETTEVALLSFQGATLYPRLTRKPGME REDVLQDETTSQDESLVSKGLKFAEGLFGAKKPTEKSISETEHAEINIFSVASGHLYE RMLSIMILSVMEHTDHSVKFWFIEQFLSPSFKSFLPHLAAEYGFKYEMVAYKWPHWLR HQSEKQREIWGYKILFLDVLFPLSLDKVIFVDADQIVRTDMYDLVSLDLEGAPYGFTP MCDSRTEMEGFRFWKTGYWANYLRGQPYHISALYVVDLRRFRELAAGDRLRQQYHTLS ADPNSLSNLDQDLPNHMQFQIPIKSLPQEWLWCETWCSDETLTKARTIDLCNNPMTKE PKLERARRQVPEWTVYDEEVAALAKRVKEQEDKKAGEVLEGKKVEEPVVVEEKHEEEK EHVIDEL NEUTE1DRAFT_86106 MTASMVSTSKAASKDNSTNSLALTQRSKFPTPQSLDKLTKPFKC PGSATRTVAVDRPARKRRKIDYKGAGGEDDEDGADKPYTNADRLALANRDANKFPVFA VKDKSQVFRKAFSVPLINKNTTAYNPNRPPPTLGLRQGAVFVAKPLHDPCDEFAIVLY DPTVDDKPKDTAPKAIEEKKEEPEVKVDAPLVHKSLAEILGIKKKVEGEHPRVPVVID PRLAKVLRPHQIEGVRFMYRCVTGMIDEKANGCIMADEMGLGKTLQCITLLWTLLKQS PDAGKSTIQKAIVACPSSLVRNWANELVKWLGADAITPFAIDGKASKEELTRQLRQWA IASGRSVTRPVIIVSYETLRLNVDELKGTPIGLMLCDEGHRLKNGDSQTFSALNSLNV SRRVILSGTPIQNDLSEYFALISFANPDLLGTRLEFRKRFEIPILRGRDADASEAERK RGDECLVELLAIVNKFIIRRTNDILSKYLPVKYEHVVFCNLAPFQLDLYNYFVASPDI QALLRGKGSQPLKAINILKKLCNHPDLLDLSADLPGCEQYWPEDYVPKEARGRDRDVK PWYSGKMQVLDRMLARIRADTNDKIVLISNYTQTLDLFERLCRNRQYGCLRLDGTMNV NKRQKLVDKFNDPEGDEFVFLLSSKAGGCGLNLIGANRLVLFDPDWNPAADQQALARV WRDGQKKDCFVYRFIATGTIEEKIFQRQSHKQSLSSCVVDSAEDVERHFSLDSLRELF TYRPGTKSDTHDTFKCKRCKPDGRQYMKAQAMLYGDTSTWNHFVNHWEGEDAAGKSGK GGDPNGGSGGMKSIHDHLLRQEVGERDVSAVFQYISH NEUTE1DRAFT_148094 MHSPDTARRSANARRNHNQHPDPRLDLRINTRDTPTPPSHLGHH TSHHRRHSSDDFLQTTPAPHPFLHPSTPPKRKSGRSPSGARSLRDPVRNAADIESFLQ SLRPVDTNMSKESDPSEHPSEPSPESTRPPHRDSHDLSLSPRQFTRDSLVTNMLLGLD KLSLEQMGTSFGGSRNAFDDSNIYSYGNDTGRTMSFNSRAGRGHAYTYSSDYEAEDRL SRRRRSNSSSGYQSGLGRINSMRELGGTPRAIHSRGGKGSKGSSTNSIDPGYAQVLGS QRWARGIGRSSSFDCGDARPSTSQQQPPWHIEFSKSLFDNGHEHDYDAAPTPHVPAGP RRLTTVPSLPVLAPPDTKSNSKLTPKAPGNDRPRSMRSSKSASTVRQSEVKYNAQRDV PSVPPASIPPFDVEAAPAPHVGYEKTKDTMLENPINAIHQAIQQPKEKSGFFRKLFGS SKANSQTPPTFPYLSSTANDGSSSKVNSLKSPTLPPVREPPQTVTHTLQKKTSSFFKR RKQSITELELPPIPHLPNKSPARMTLGNRDTLMARPEPSPMGGSSRRAMDPFLQDSPI IESFADAPLPSTEASDSGAPYRSLEDSPEIRRNKDSYQRGFSPDYEPSPKAVIRAIDP NSSSDSVEARQPRIEMPGRPALDRTMTSPPRSFLRDDSESEYSPESKTVSRTESRKLS LRPGRAKEKKRRSSSPTVSKSKSYPSLGKSKEDLKAPARQNSKMSSKASKKPSLPSLR VDNTEPNLLGLGAGGLKTAESIKPASKSELDEPEQFVVGDPTDDDRQKALKIYEGNED FIARDKAAAWMGEEGPVRQRTLRAYMENYEFENMSVCASLRQLCNRLLLRAETQQVDR ILVAFSNRWCECNPNHGFKSMDIIHTICYSIMLLNTDLHMADIEHKMTKSQFIKNTMT TIRQALEDSNPEAFERPSILPGKGASLGVEGNRRNSSEDNKHSFRHSFKPPARPTSGF GTITDSSTADSCGPLVRAPFDGPLKGWESQVEAVLKDIYSSIRDESLPLFGGAANTTG LSTTAQGSLSVMGVLKRSPSVLSKAPSEGMASTRGRIPEGPKANSSRWNSKSRSRPRG FGTSFNSSRTSFDDGNSLWSPTESSATWSKASLGRTHTTMSMDSFGSSFRGDYQQSIG FANALSQAIIREDNTLDLAISKTEDFNAGELLEDESLELAGPPWVKEGIVTHKHHMET VDKRSKERTWNEVFAVIQKGQLSLFSFSPNKSTSRKRGMRSNAPKGGVVGGGNWQDNA VKVGTFSLRQTLATIMPPPGYSRARPHVWCLSLPNGALHLFQVGTAEICREFVTTANY WSARSSTHPMIGGVSNIEYGWSDAVINSTRVSGIQSNGDLNNTGGGGGGGGGGGTAGR PASNSVSSNYKGHSRPGSAANAASAMAAMAVSSTISGSRASLHSRRSVRSSSFDFGRP GSSASSGALGVTFSGPGALPRPNHASSSSKLPGDKIHIAEWTPPSQSLRPSTLSEREQ FGHLIAYVRSLEEDFQKHNSLRSPMLLAFSPRSVNAAKAMTNWEKKSQHLLNEIVKYR TYVDSLREADIRRSEIYRERENARRAARGEDVVDSDGEGEPLPGEAMDASLEREVKG NEUTE1DRAFT_139866 MSLSEPVFVDSSDGTLVPSYAIDNSVNNSAGNTVTPSSPIIAPR LPVELLMCICDFLPNRRDIVNLSATCQELRGELYYTLVHRDLRSGRLITLKYGLQTRN IRVIKPFLNRYRHLITRLTVTPKKKEVTPNKKAITGEVPAREVVPKRVNDPQNDTDKA GTINQTVLPVRTRVPSPSKTIISFRDEYLPSLRLIRPLLTSALEEALLAHDLTFAEEL LWHGNKDLIPSLTPVLESVLWSAFNPKTSRYTGEALDHRPDHRCADPGPISPIVWTWK TCPVAAVKLLMKFGAKGNRAGVWAEGKKIRKRRREWFSGNGGNGGSIINNDSSLNSPS EEDETPETTDAYDGYFHPRTQTHAAHVHQPAMPPNRLWTGLELVAYLYQETCERAAAA QAADVGVAVAAPATATAGSADSGADVGPAAASAGAAPVAKNRGIKRNFSGSFRNPSSG SQPSPGAGKEHGFFPVTCEHHVERGEGGCLKQLIEVLSDSVAHGEILRVTSLSSNGHA RVPKTPLLEHDLDNMLKLTEITVPFVKMLINEQAGKLFQEIFEEAEKRISERLDGLME RRTRLEKNKKMKTESMTKTQFGGGEEDEDFWLEEQELDLDEGEPEEGNPEEEEDDEE NEUTE1DRAFT_124002 MSNVSVAKDREWFTTYTPFKSTVCISGQNLAVRGIGTVNLNVKR HPARTGRRNQSILPLRTVLHVPDATCNILAKIPNIDGQSCSVYIFPARGESRSYSVMD GEEVEGHHTGQTQQKELMKGCIKDHTGKMVAYFDPDHVLFTLKLSGPPIGPITRESVL KAWPESLPFSIFAQWAADELDKWSLKREMQNLLADRDVDQDSNDDASSATLDTGNDEA DPDFVSGNDNGGSSNNNNVGPGSEMRRKQHPGDGRYTQEERQFLKRNWKTEWKFLTLY GLDMNDDKARDLGRDILRTMMEKDKVEKMSANRRAGRGARPRRKQAPRSKVIKRSGPG RAQRANNLKSEPQQNRFLTARLGNYRVTRAPQRRLGSGRLTVIKEESP NEUTE1DRAFT_67431 MKFSSALAFLAAAGAQAHYTFPKGYSTGAVSGEYEHIRMTENHY SRGPVADVTSESMTCYELNPGTGADKTLSVAAGSNYTFVVGDNIGHPGPLHFYMAKVP EGKTAATFDGKGAVWFKIYQDGPLGLGTGELTWPSAGATEVSVKLPSCLESGEYLLRV EHIALHSAGTVGGAQLYIACAQLNVTGGTGTINTSGKLVSFPGAYNATDPGLLFNLYY PVPTSYTNPGPAVATC NEUTE1DRAFT_86116 MVHFHLNPSLWAVLLTFTSLQPCSADKRVDLIVRPDGGNVSSPI MYGLMHEDINNSGDGGIYAELIRNRAFQSGDESRYPVTLDGWSAVNGAKLSLKKLSQP LSAALPYSMNVAVPSSSNKTVGFANAGYWGMDVRVQKYTGSFYVKGAYQGKSFTASLQ SALTGEVFGTTKVPVKKAGNDWVKYDFDLVPEKAAPNSNNTFAITFDAAGVRGGSLDF NLISLFPPTYNNRPNGLRVDIMEALAALKPKFLRFPGGNMLEGNTLPTWWKWNETIGD LKNRPGRAGVWGYPLSAGLGLVEYMQWCEDLNLEPILAVWSGLALDGGELPESRIDEA VQSALDELEFLTGDASTHWGGIRASILGHTRPWKIRYVEIGNEDWLGGGSTGFENYKK YRFPAFFKAFQEKYPEIQLIASPSVFDGMTIPKPAGGDYHPYLTPDNMVLSFNKFDSL TRDNLTLIGEAASTHPNGGIGWEGNLMPFPWWGGSVGEAIFLLGLERNGDKVIGATYA PGLRNLNRWQWAMTQIQHAADPALTTLSTSYHLLGTTAITKTLPVTATTGGIGPLYYV AGETERGSSVFKAAVYNSTEPVAVSVQFAGLGEKVKQPHKKTATATLTVLTGPTNPYE YNDPWKHNNVVEETKTKLKAKEGGIFSFTLPQLSVAVLETDSE NEUTE1DRAFT_86118 MSLIAKIVTMMWFKVEDALVARILQMPQFHHGVRKIHRTVEEFR HGRDPNEPLREGEATEDPNLQKPQVQSFVKFFVEEMRNQARGTPTDIDNQPLPPKKRP NEUTE1DRAFT_46420 MSPWFSPTKVLFSYDHNTTILSEYIKSKQAMGFSKRTDSYRPRE RQNSSSRDSSRPAGGGSRSRNRRRSNSQQASPPRGPAASRPWNHSGRNFSAPDWGYHR NDASRYGDQRGKEASSKALGEITNRSPLPSPRARPGRSRSPDDDDDDEDSLFVSDGPG PEFFEDYAEPEPEPLPRPRPTMRQMENQDGQSEFPDAFHRRPNPISASRIASGMYFQA RGKPILPPLTAKPNSSQTGENVLKPRYISTTNIPIETPTTQLKAASKKPVNALSKPSA SSKPISPKTAASLTSGTGMPLKPPVPLNADNDSSSSAPQVPSTLAVEKEPSLFSPFSF PSKRKTSGGDKSVYQEPQQSVHRPTLPSTFDSLLSNKSRPENKRDPKSDPASTRSIQK RKVGDLFSAADLKIIWKQCFEQRQPPDQSRLGHFALPIPSDSLHLVSPAQLERAKRYF VPDIVRFEVTPLQLGKKNSRSTQVTSRLPHLDPTQIVGHETSTPAYHKLQLRPSTSQT DGLAWPVLRAMQVGWELTARWYKEVIVDGGWVSLGRLDREDTVMSDAMDIDGENENDK VITSRNSKNPIGTGLGDFVANELKKNVVKTKHSRDEPEGSLNVDREIGRWLDHVWGEQ D NEUTE1DRAFT_46047 MFWSTLLALFAGAKALPQFGGGGGNRVTMLRFGCAQVVIDRVDP LVNPGQIPSPHVHQIVGGNGFNVSMPHTDISELASCTTCQFSEDFSNYWTANLYFKAR NGTYKRVPQGGAALQFNDQFSTQINGGVLVYYVSADPGKITAFKPSLYPAGFRMLVGD PNMRSRPDTKLKRQNCYRCYTGPNFGGDVGAPCQDDRVDSETLPSKPCPGGIRSNIHF PTCWDGKNLDSPNHRDHVAYPTFGPATFLSLGGSCPSTHPVRIPQLMYEVVWDTTKFN NKAEWPADGSQPFVLSTGDATGLGQHADYVFGWKGDSLQKAMDTSGCMGARCASLKTQ KIEDARKCAVKQLAKEEYDGWLTKLPGMDMPM NEUTE1DRAFT_67439 MASASGDQVRVVSSSASTNNEEWTELEYTQRMGRMDETPVIRMP PRIKLHEEHYPSFTGHKVLMMRQFLKDNNANLGQLLTTLGTDEHQSIDMFIARAYNAT ISDQQRKIMSKHQNIPFTFCYIKGECGPTCKFRVRIDGDAGLGVVTGCVSHDVVKTWL NEDPNSGYPTCVPSRPPHTHYDEKFLVLDVQYKILHCLLTHENPYKQAIKSKREMWKD LEDCFMEVFGRFDKDDLHRLKTEDDGIWCEMFDLVCHLANEEHILPRLSEARQAIAEA S NEUTE1DRAFT_86125 MEFSSVYETMSFSSIYKPQDVLAANNKGIEETDLFHTHELESVD GCTIIKVPRRLNLEKNKKFTDNQVLKMYRFLEDNHASLSELWKTLGTNGEQESMNLFV AKAYNATIEHQQREIMNLRRHQQKPFTFADAECWCTRKFDETWDPDMFCDLVGPVPAH ALGCTVPEGNADTDEAGYRALLAQARQDLNAGCDLNTPWLPGQTEVGVPKVAAVRVHD ILRSVASTVDPSNNENGLPSYEAKFLAMDTMYKIFHCLLTETETPVKRYIKTNRKLWR DLENCFLQVFGSCSRDDLYRLATEDGGVWRDKFFELKDLIQEQEPLSKLLHAGVVLYT ADEFCGKSEDDVAFVIDGNGAADGELAYW NEUTE1DRAFT_48041 LAGRLPPTFVKTSHPVLAIAVNPPTALPQAATANDLRWQPSLDF DTDGCYNVPAIGADGTIAQGLPHNFVSPSSDCRDPSDLDNNNVYSRQRCNANGWCIYL YDYYFEKDVAIPYLLDVGHTHDWEHIAVWVDANNKAQYVAASRHGGYQIKAAADVRWD GEHPKIVYHKDGANTHCFRFADAADDAIENAKGVWFRGPLVSYNGFPAGIRDLLYAHD FGKANIALKDSAFPGNIMSAKPAAITFDAYVDALGSPGYP NEUTE1DRAFT_86131 MTPRSSHGLGNDSNLNNNIYTRDALGGIGNYPGGINTGILPVIP SDGQLPDKAPSSNAATGASAAGVRALASQVLTFYFRAPAKAFFRTRVDYLAYARALQE QQAVLLSQLHVPSQVLTTAARAGAPTAVSQIWEWVRVRARATTPGVIASAVKHYGWRV VPDQVLPPLIANVTVGAVLYTSYLHILGHLHPESRLASKRVYPPPSPLETFTAGFLAG TLQSVIAAPLDAVQARYDIGQYAGTSTTPASGNSSAIRPKSMWVFSFEKIKEIGVRGI FAGWTLSFLKDSLGSAIFFSTFEYLKAQGYYNFVSWYYGSLNKESIILLSEKQPSSES GREDSDDPRMPAIIRPHYAIEPAFLLLAGMGASTLQQFILHPLTIIQAEHWERLEKID AQARKLKEIDRERLLPPAEERARFRWRMMKTYYNAYQETWASCAADAKGAGMNMRNWL YRGFWWNTIRQVPSTSAGLIIFELVRRKYGFGGEQVRINRDGYDILLK NEUTE1DRAFT_86134 MIPRPTFLLRYILPAIAIILCLFYLSSTDNVLPSVDVAAPPRQG TITGEKPVGVPQGNGNTEELIIDHTSPPPADKPPSNEPPVHNTPANNSPADATPTTTT TTPPATSNTAPASSDEGSLEWKPADFATGDHPIDARVRAAEKEFSDRLAKQTHTLADA AAAYRERRGRHPPPGFDKWYEFAKEKDAIIVEDFWDQIYHDLEPFWALKPARIRHDAY DFEMRISVRDGQASSGSDWFWTKIWLDLIKTIQHLVPDLDIALNAMDEPRMVVPWEKI DEFMTAAHKTRTMKDAAIVVEKYPKLPNLEINPAEDEVTPKKVWEDKTGIYWTIARRG CPPDSLARTSSLMTDFDRRPTINNNFAIPHTEKGYVSNYTLSTLVCHQPDLQGLNGIF VEPLSISATDTLFPMFGGSKLAVNNEILLPAPMYWNEEERFMGEEGADVPWAEKKDAV VWRGVATGGKNRENNWRAFQRHRFVAMNNATKLERAVSWTELPENFDLPPSEYGLKAQ QDGKLGDWVKGWSNVSFVDLFCTPAPEKGLGCNYTDPHYTVTPGMKMAAQFYYKYLPD IDGNSFSGRYLGFLRSTSLPIKATVWREWHDSRLTAWKHFVPMDNRYSDWFGIMEYFV GYGDKVKGHDQVAEAIAMAGKEWADKALRKEDMQVYVLRLLLEYARLSADDREIMGWV GDLMGSSGAKTAGAASSKQQ NEUTE1DRAFT_131338 MASTVTSDSLVYDRSRGIGGDGGVGVERVHKYHWPAIQLNVWML VMLIAACTIIGVFATFIDVQNILLLPVPWYFPYFITVSSLTILFIAFLLALIFQRRLL PSIVMIGGFILFVLWLVGLIVISVQLWGPNGSVNSNCNLFVFGADPQPTGQNLVTLAW LEQRSICQSWYAVFSFALVGTVFLVWIMVIAYQVFADDRR NEUTE1DRAFT_47333 MSSPIESKFLSQPRDLGIVAVGFSGGQCKPGVDAAPSALIESGL LTQLREELGYKLHGDDEVHLYTDLVPKEDPPHRNMKNPRAVSNVTKRIAEQVHSHAKE GRLVLTLGGDHSIAIGTIAGSAKAIKERLGREIAVIWVDAHADINTPETSGSGNIHGM PVSFLTGLASEDKEEFFGWLKPDHLLSVKKLVYIGLRDVDPGEKRILRENGIKAFSMH DIDKHGIGRVMEMALGHIGNDTPIHLSFDVDALDPMWAPSTGTPVRGGLTLREGDFIC ECVHETGSLVAVDLVEVNPTLAAPNDVGAHETVRAGCSLVRCALGESLL NEUTE1DRAFT_131340 MEEEVPERGGRRSRPSTATNPDSNADLQPSTRTNGGSNNNKNNN NNNNTNNRPYPSPATSTTSPGTSLRPDDIRPLHAANSASSLLAVPETSYLEGPSIELR QSDSQAEIAVHQHLNEIESSFSAPISPFPTSDRGIDVTYDLFDSASREAAPAGPTATA APATPPPPPPAHADARLQTERDTQESRPESENDSDDDTQHLPQSRSKMRVATVEDADK TTIQRSHNEEEDGGSGEESQSREDELNKAHEQQAADTSMTSNTTSSLEAFSPSPPTAA AAATTTSRVVSQPSLGRQVDDYDGNGLSHEQEHQDDSDESDYWHETPTKPRSTPGNNS KLQHAPSTQSARKFLARENLTPEKTPEPAPKQPESRKQGQEQRPKDHQQPLKAERSEL PQRPKYLKRGQAGQRGSVSSYASDPDPDSDHTIGLETDYALHSGGASSSTERPRDTNG LTRTTSLGSFASGYEDPDPSGPISGLTPLDEAYNAISPEPAVMRQLRDQEDDGVRTPK PRKTPPPLAAPSDTVLARHVRDVQVPESLAKEYRAKTGLTTPSKSLNLADLKSPDKLG ASVVPTRASGRSLTLKEQSSTIERLSKENFDLKLKVMFLSDRLDKLSEEGIKEMISEN VELKTGLAVLQRDNKMLRKRVKELEKQVKDEDERPGTARSDQSDEENAAFNQEVQEEL MYLRDQLEEHMVEIERLRNENLHKEAEKRRMAEMVRTLSEKTGERFGGDLDRQEEADV YKDLLEQETARREQSDEDNRKLREEIFRLKQELAMQPNGNGPYQQQQQGSNMSYLSRR SREQGSASSRPTTSFSADQDVASSATTLIEELRRESEQLRHENAELRREVGAQTSMLT SRNREKERLYQEIEDLKMAQRRGGPAPSTIDSLLERSASRAGAHERSHSRASGRTGMT GLDDADREELENKISQMRDKNNELRLQNQDLERELAACMEDFEMLCEAKKQAEELSHS LQDDLEAAMQDLVALQAERDEALQEHANLEQEFEALRKEAQEEIDALEGESDLRSAEI ERLQLDLNDRNENFEALQEEMRKMSDALVRLEDEQEAKHKRIQTLEQELNDANRELEE LEFKLLEANDKANRLSVQQESSQGEIAFLREEQENDKIRIGDLEAALANSEQGVRDEK DRVRELENRLAQERRQREIVANREKEEVQQFINELNKEATAAKDEARRLRKSLTSREV EATEWKERLLELENNLREALGDLNGTRSSLLKSIAKLQMDLEKAVRDLDTTKASLAEK DRIIKQRDALLESHALESRKVGEMLDKERQAHRNTKNQFETFQKTHQHVTRTLSQSEA RIAELEAGKAQDKKRIAQLEATYKEQLTERNTLLLNLWTRLSSLCGSDWAHNNSLING RALPSLESVATMFPGFSKNLLAAVKTLETMVSSFQTKVKSVERDLWREYQALESHLEM RAKKMDRLEHIVRNSIAAGTTGNGVLTAAEAQGRLARLEEAYRQLKVENHTLRTAAEV RARAAYAAAHPSRGGGDRHQQSPSPLPSAGPRDREGMPSDRMSIYSQVDARKGGSSRP SSIAIPQRSASSSRRSMINDMDGHNDDVLLPGSSQPPLSRVQSTQSQQSHQHQYQHPQ VQSQASYTGPGGGGGGIQTSSERGVAPFSGPGSTSSTNNPATPGMFSQSGGAGGGGGS TTSTDNKWMLRLRDLEYKLKAEREGRILDRNEALKRISTSESENLALRENLEREKRRK HVAGYAGSEPVVFVHQFADREANIDTSVLRQTGARQRHLNVSSSPSLLLLLLVLISSA NPFRSGQPRPPAVLSRPRPMLSRVRNNAVRRNLGLGQAPCISSIITTVTCPSTASLSA NSRVQQWHAQRTYRSTQALKAKKPSAGQMMREAMGILQSVGAADQTPEAKPKRTRTRK AKTAEEHVVNQDETAEPKPTRRKKAADSAEDGEEAKVENKEPKKKRAGRPRIHIPEPD SAEALKLAAKQAKREAAAAAKKRPSLKPAKITRSDEQSMLRPCVDPMQERLYDTELWS WLDYGRTSKKGLAPAPIRKYDRTRVHVTSEKMVDDVLDFMKPTLTRHQGCDIIDLNPG AGVWSTKLNDLLKPRTHLLLEPDAGFYEPMLEPLLARDGSKLIAKDGLVWAELLSVLT PEYLPHQKEHQYSADDVPERNDTLLVTANLGSYPKRRYSSFASATAMILYQFNHAIRS GALFQKYGLVRMLLWLDDEDKAAILPRMAQRRRRVAVDAELNCESITHVAGPDYSDID PSKRLWFSRDINIDRSSALAALQRMREAGIQTPTGRETKLLREVSQNPDSVVAAGETP ITYDFRHQAEYEELLKDFEKGKIALASEEYKAMIRMRIQIDSANKRFSNVHSNMVNFH RLVDEWRLAKAANDDKEIASIMERYKEWEKSFIALGSTACGDWFVQRDNLHLWKQKSM HWDRRAIEPLVIQSDEFFPHVPLSLLDIQPKAAHKVLRSMGPNSDRSGDMAEVLMRSI MAYTNSSMSKVLDRLAPGASEFVYPMCKSLVDPDQGGVPFPGAAEITVRGMSAWQFED VLEQWMKWSFRPPLQDLISQLSDEYVEAEGEEGKFGGVDTKAAGDYIKIMLLGLITNW SSLELIDRNPEAMTCMSRRNGQGEELPTIKRSHMSF NEUTE1DRAFT_112139 MRFPRFLQHSTTALLCGGLMGTTLAKGDQPNIAVQTLIPQVDPT NTNVVTGIGVSYPTASTNHKSNGSIINSKEGYETEVHSLYVTTTAITFVDLVLPESED DEDDRGSNTEMPTEMPTWTVFFFAAVLDVVIRGAFV NEUTE1DRAFT_47008 MRLTTTLSFLLSLLAVGTVTVTAEKCACKGGTDHSKTACDRIGA RYGVLGCGFTGCCVNPGTQHNRFVQACKDLGYGFKRCDDCASC NEUTE1DRAFT_103047 MADKQIVPIDHSGCLSLYDVSIPTFLRGQHTLKHLLVTATKHAQ VNDISLNEIPHWTLHPDMKPLTFQVQCASNTPKKFVDIITGQTGVAFADDETTIEQLI ERCQHTIDFLNKVDKKTVDEAHLRFNTINLQLLAQVYNMTPQHYVTKFAVPNFFFHLQ TAYAIFRMKGVSIGKVDYLERFLQRDG NEUTE1DRAFT_86146 MSTTVDLSLATRPSDADAVPGLIEDLTSLNGNWTEDDEETRHKM VIKARSLLQSLMTPREQMLQHTWADPGLDAALTTGVDVGLWKLMVKDGVDAVHKVDHL ARSLGMDPELLGRLLRHVCAMGHLVEVAQDEYKLTNFTKSMSLDVIGDSYVCLLGGIG RSPIDFYKFLRETNWQNPVDAAHTAFHASYNSDVPNCMVYLGSIGMGPQMNHHMGGYR QGRLPWHHPKIYPVEKELFPGTDASSDSPLVVDVAGGLGHDIDEFKRNYPNHPGKLIL QDRPTVIEDVKDIDPSIQRMPHDFLTEQPIKGARAYFMHSILHDWPDDVCQKILARLA EAMKPGYSKLLIFECVIPRTGAYWEATAGDMLMMTQLSACERTEDQWHQLIEGSGLGL KIVKFWSSGLSAVENVIECELAVNRKATTSTCIMAVYEIGRQSQDEVNETNSTNITVC RSRMLRRARRHGSGIESVGDE NEUTE1DRAFT_48251 MDDKGPMMLTVCWVLTALALLFVSARLHVRAVVHDKLGFDDYVI LFSCFCAVLSNIFVTISVSWGNSRHFADLDLEQKENTIKFMMAAYVPGIETLGFPKLA VIALLVRLLMPSRLHTMILWSMGTICCLSLTAMVMTLLLQCTPTQALWTLTMPHNCLA PDVLEGLAYWASTCSAFLDFYLAIYPATVLWKLQMHTKKKLALCCALGMGLISGAPAS PSSNPWSKKPEAAASGVPGATRPTPTMTTTSTMPKAQEILV NEUTE1DRAFT_139887 MLMMDNERRETKDGEKTSRLRGRKTECNFLAAAAAAAAQFYIYV SSAQISVSGDSGSYSPRNLVSFLGAYQAADPGIMIGIYHPVPKSYTPPALAVESY NEUTE1DRAFT_103050 MGRDTKIVEELLTKPFSQDSDLGHVPLRDMEAYVHRSVEIRHKE LGLGKPKRPCNHFVLYLTANHARAKAWRDAHRTQLPEGSHPMHMISTILSRSWSLEPP SVKSKYQALAVIDKQMNAKAFPNYKYKPRRKQDKSRTLPSTTDAKRAETKAAVMPQPL PSLYPASHDSFALSSTQQKDLSPHIFQRLAALSSHAKELETFLTLCQKFEVMRAAYWP TDKGLDYELACLEYNGFIASTYEQLRHHVRNIQLLEPYVPKVFNLQWSYDEIIGMYKV SLPTYTWPSLELTMPANFSIPMPIPMEIDQQVPLGGFENGFSADIGVVPSINTNHPLA GLEDSFANSADISDSDIERALQEFEVAGANTTTSEPAVNEPAVNEPAVNEPAQVEQVP LPDFTGTEGLTNADLFLDQQLLEAVDQDMNLSFQDMINMDMITDESEMPL NEUTE1DRAFT_124018 MAAATLGEVPTKAFDTILTLDFGSQYTHLITRRMRELNILSEML PCTTKIADLDYKPKGVILSGGPYSVYEDGAPHVDPAVFELGVPILGICYGMQEIAWRA SPENVIAGVHREYGHSNLKALKGDDAHVDRLFAGLEDSMRVWMSHGDKLGALPEGFHT VAVSDNSEYAAIAHKTKPIYGLQFHPEVTHSQNGTQLLKNFAVDICGCAQNWTMARFL DQEIARIRDLVGPEGQVLGAVSGGVDSTVAAKLMKEAIGDRFWAVLVNNGVMRLDECE QVERDLKQHLGINLTVIDASKDFLEGLKGLHDPEQKRKFIGGKFIDVFEAEAQKIEEA AAKSGKGTKIGFFLQGTLYPDVIESLSFKGPSATIKTHHNVGGLPERMTNGQGLQLIE PLRSLYKDEVRELGRTLGIHEELVMRHPFPGPGIAVRILGEVTEEKVRIARQADHIFI SEIRKAGLYDQISQAYAAVDPSRAVGVMGDKRVYGYIIILRAVTTTDFMTAEAFNFPW DFLQRVMNRIVNEVNGVCRVTYDITSKPPGTIELE NEUTE1DRAFT_46575 MVEKVVFVMHPNQWHSEDRDGKHLEDGHCYWTSVALLIYGSASF WLLVKAEHLWYLESILNNPHHPRHDFYKRLMETKFSTKAEGIQYQGTEIQGDFNLWEA LHIPGLWVNHDPCYLTADLYKIFLVLYKYDSNMNVQFINKVYDMKTFGAYNSRHVFLC YAHGNHYRPMLPNNYLSYEFRLPRPTLAATKMYKLQTAEHNRPVDGLSHHWRAPLNSI PSSLLLPRGPHQDSVTESHIYRVVGYDPPDLPPARPKRKYIVIDDDDDDPKPPSPKHA KVEKTATQTHNAEAMKPRSPTPTPAPKATTPVKHIPRNYRLPSPRVLRPPRPLPIPNC PVVIPPVGKAFEALCGELDEAEITQGQTTSTALISRGPFGLTALSSRPPPDLTKLYTY FPHHDRQLLDGFYAWLIQPENIVKPVHQVLDALKLGNQRFEQAKNPALAIRSLLGPTA DVAAVVTLLTRYDFRLLLEFYSYEMKVENKAGKSAAQLLDELEKGHCKWTKGITAPMH SGVHPGIIYTLEMMLSRYDPVLLADLAQWAKLSMSACAEAGQCQGLGNEKKWSNAQLV QLLEEKHQQREEEKRVERETKEAKRRFKDRLEQQDRLLAHRREWEARYFREQFWSTFD SRTMEVEMTGRVRGENEMNLDEPDMSTLDMMGIDSFAPGPPPDGTVPMDMGPDIDMDA DGDMDMLVDQETEKRRERERYMEEARLKVKDVTNKENYADLFIKSRKPQHSRTEEKQA EKKVDKTKPEEKPREAREKGADDKGNNKMDKQLQEAQRKRREQYEKQKRDAEKEKQKR DGEKQERGRSRAGSASGSSGRRRSVSRAGSSSTAASGTGRQRRTSLSRAPSVGPGNSS HMREETRRSHLENDTIIDDNPMALVLARPPPPTLKLRPATPPNSSTPAGDDPFGPAPS TNTTKLDPKRTTFSSSTSNAASSSTAPRLDLRPIKPARGSSSNLASNASHNKPTAPGK QPAKPMQRFDPNTATRHSNFNPNVGSKPNNPGNTLNPTFNPQPRGGPSVPAGPSPGSS SGPSPASSRSSNSLFGFGSGSSSSTRPRSGSGSGSGAGRPRAGSGSGSGSPTRTTGRR IGGRARSSSSSSSTSNKPSMSEIMDQNLEKVSSANANINPMALALQGGGSAFAKSGFG SLVSTTTDSSSESISPVRKPVSSGSSSSSRSLSRVGKKVEGRGGGGKVARKPWLSDVA EVDEDLYGEETGMGSGNNGEIKVEVQGQGDGEDVIDGSLRIEELGDDDADFMVDESFW NEUTE1DRAFT_103053 MSQHPSHDPAGSSSGQQQRNRDGKKAPPFFGNYNHQDPNFLVLE RPFTTSHDDPTSSSFGGWPSQSGVQTQPTADTSLTFPNQDRSGMVVSGTGMGSLIDGT TSSNSIWLTGGVSQAAHQSPAQSDVKFGDIHPSGAGAGMGTGQIAGDGQNPHFHHEQE GQLNCPSNNGTAGEGLPTEPSDVGGQHDDKASRAEEKVDATEQDTQGHQTGATNAGLD STIPQSRPRLWYCVKCGKDFTRKNRLANHLKWKCGICDAAYGCKVHLRRHFQVEHPSQ RRVLVNVTKVLFACRWCIAKPCMGSRSLSIHTTKYHYVADAVRSDAPCVCPEEGCTEI QDPLPRDTVWMHMISRHDYVSFDCDPLWVPEAPDRMGYMCPFRHCSRRVYSLEELRRH VKSHERRQAITQGAQVAPVSQPENSDRLRNGEEDERLVSDSDGANNGPEDEPEQHPSR ELQHQEIESVPLSESSNAGTNQAGTQAQESTLEGGQAQPQKQASVNSASTEFRCPACG VVLSSNVLRSEHIAWLCSASPRTERRNSPVGKHLDWWHEGKGPVV NEUTE1DRAFT_67472 MTATTPDRVFQLTGSCNNYPWGKKGKDSLAAQLCAQTDKSFQIK DDEFYSEMWFGDYPDFPAKKFDTGEPLKDILEKNKETLLGKKVIQNLDGQLPYLPKIL SIAKALPLQIHPNKELATKLHKKDPENFTDPNHKPEIAVALSKFEVFAGWKPLSHIVP LFQLSFLRQFVPAGTTDTWTDATLREITRSLLKAEDGTVQYIAQSLLKTPKEDLGPKN DYIPDLIPRLQDQYGPKDPGTLVALLCMNFMVLQPGDSIYIPADGIHAYLSGDIVECM ARSNNVLNAGFCPPADRNSYDLFAETLTFEGHTAADMLLPSNKSEKSKEGRTVVYKPP MSEFDMLKADLGAGESDEIAPSDGPGVLIVTSGQGTMLAGGKTFDLKEGSIFFVAPDT TVVWKTYKGMQIHMAVV NEUTE1DRAFT_46952 MLSENLTEKRTDPLTGEKEENPITGDTGPGTGLQDLLPLPAEDA QDILQSKKAFDKSAGGLEDGHTLSHALATEDHKLKGAAQLKTEKEVSNLGWNEPKREI AAPLVGGIDNEELWMLVRRFNKASKTHLSFFTPVPGGLDLNTAEEEEFSPDKMRANIE RLYTTVVVGILAAVKHIARLRSWREKERTAWFAGVYFVAWLFDFIMPLITGVIIALIV HPPTRELLFPPAPIALVDSKTGGVQKPWAGTVGSKDSATGAPENHKGEAVEQEADNLV HGLAELAVSSAAGKHPAGDVQDKTKKPMELAMWAKLRPVMQVVGNISDNWERLANALS PTPPFPKDTHRLRLAILVIPLFGASLFVTSYMFVKSLTFFLGFGMFGDPVIRPGMEYL NRVIPDWQKLLELRNTLLKGVPTNAQLTLTLLRIGEANKAPLPPVPRVIEQPVQDPAN GPAPLDSEAQMRAEAAAQPLEASPSELDEAAHTDPKDDLRDAGFDVDSHPKNKQTKKS HKVLGWIKNVTAGVVHTGGATDHVRAKLGVHHAKDRLGVVPPKDEIPVSGPVRFDCRH EGKKGHCYISTAATIPSVAFVAKKDESSTTVIAGKEREDLKPMWTVAVADIMELKKVG GYGWKAKIVVDWSMEREVKDGLKIVTMEGKRFRVTACPLRDELFNRLVAMGGQRWEAW NEUTE1DRAFT_86155 MAGPTLTNTISGADSHATPMNAFTSSHISSSRYQTRPQTQTPAQ TTTAFIFHVSTLVYRPRSAGSPSNVGANRANSNSDATWCPISSVSASNSSSVSGYTSC DSHSDSTWNQNINININPTNSQSQSQSRHHHYHPDPLRQHQVKLLRPLLPRPSAGETI AGLQAVGIPFVIVWEPTSTELSGSAAGQGYGLKCGYGDDDRLDDEFTSEEEVANTITR VLGLEVPIGSERVVGRWTLLRSVAAVQGQQSQNGGKDGKDGKEVAGTLVIGDDEEKNR EMARMLGFQAERVVNSEEVERMWKRKKEVAGEDKGGVEGGGKKVVKKKEERKEEQKNH KPSPQQDRTQRQHLKPSKPTLTLRSRLSCPFDDMKIVEGLCSSPEDKVDEETTDDNRD GSKKQGGLVEEKGEANEGRPTESPTPTTPSTPDTVQGLYIPIKHGERTVAYVPVSPTS TTCSEKGLESPVTRITSIIFFSPPSLRTWDRDVRVISKLCQFQGGGEDAPKLYIVQKP GEFGRSSPSLASAYSSSTSSSTSSNIPSPTFPTAPSEASLETLASTASTVFSRASSTS TTESIPSKRQCKLTMHRTASDWIRDVTARWRATNPNLPDKAKLPYYLIDPLTCALQVL EIGRQRAQSTIWARANLPVHLRQVLQLETLYIVDSGEHPSRFFNDLGSASGNKDKHSA SSNPNSDMSFQRHLASLGVDVIPAKRLGPLRDEDEDQDQKTKKIHENKDSVDASARQP TPQTHQRRTSWFRQLITRGQPGSKPRNPGGGDKSQTQRPSTSTSTSTNKTRNKHMNHS NNSTTNLTINSTNIPTFPPSSGIPRSMTTPNYPNYPNTTYTPNTNLSTATTAKFYSPS ALDMVSRSPTQKARDIHAITAFCHSNNIETAVQYAINREYWECVNKGLSPVWPTIGGG GNRIGRI NEUTE1DRAFT_86156 MTDRDILPDNFKPIHYDLEIRDLDFTSWSYKGTVRIDGKLVKPT KDIVLNTLEIKLLNAKLTAGPQSWESTQFAEDTKAQRSTISFAEELPVADNVSLTLDF TGELNHDMAGFYRSQYKPAAPAAASVPRDDEFHYMLSTQFESCDARRAFPCFDEPNLK ATFDFAIEIPDDQVALSNMPVKETKPAGPNKKLVSFERSPVMSTYLLAWAVGDFEYVE AFTDREYNGKKLPVRVYTTRGLKEQGRWALEHAPKIIDYFSEQFEIDYPLPKSDILAV HEFTHGAMENWGLVTYRMTAILFDEKLSEARFRNRIAYVVAHELAHQWFGNLVTMDWW DELWLNEGFATWAGWLATDHLHPDWEVWPQFINEGMDQAFLLDSVRASHPIQVEVRDA LDVNQIFDKISYLKGCSMIRMLASHLGIKTFLKGIALYLQRHAYGNAKTEALWNALSE ASGVDVNTIMRPWIEEIGFPVVSVTEGKDQISVKQARFLSTGDVKPEDDKTTWWVPLS LRGKIGSQDIEPLSLQTKETTIDGVSQDFYQLNANATGFYRVNYPESRLKTLGTQLAH LTTEDKIFITGSAADLAFAGNSTTAALLSFVQGLKNETHYRVLSQALDSVNTLKSIFG DDEEVKKGLEKFTLELIDKALKEVGWEPKQGENYNIPLLRKRLLLTAVANSHEEVIDE AFRRWNEWRANPTGAPIPADLRLPVYRAALKKDPANAVAAIKEEWFTTPAIDGKEVCL QALGQVTDEALIEDVLLPFLFDSAPPAHPRDSVPGADMHILSGNMAGNRIARPLLWAY LRDNWDKFNAKLGGNPILVDRMVNVSLPKFADLETLKEIEDFFSKVSTKGFDRTLEQV KDKIRGRAAYKMRDAEVVKSWLKANGYC NEUTE1DRAFT_124022 MSQQQSHPTGTLANLPQPPSNPDTPSEMPGTPTSTTTSLSTLST TAIKDGHRGHVHHGSGPHTSNRFSGDYTRYSPSNPEAERNDRISRLPGMMSALRGVQS AGSTGTPQQGMNNPSYGQSSFYQQQHQQQMEQGNPNVPVTPAYFDAAGQPRAATKMST VGSASATDSAYEGTTTASLSATSHTGTNTETAPDLDDGDDQSMTMNLDSRDNDTDMPT TSPPASGYATGPDAMMDDDEENLATRSVGTFEDRMSDYDDGRESLVGFGEGAGSTVSG PIYHRRPLPGQNIAGGPSGWGLERSSSGLSDTFAGSSGFPPTSSGSVGRGGAGGGGRD VIRDIIRREGGMLQDREYSIGGGNDSTPANQSAVFNERRDARMVDGVALDRDHNPAAA GGARVRSQFAPMDEDFVDTTATGPLPLPQNFSPTSAGRHYREERPRSNQQQQHPYPFQ QYQHHLQQQQRQQQQYQQLQQQQQQQQQQHSQTQSEFQLRPMEVSSPMTRPTGEADPR ETAERMLEDNLTAHDWKNV NEUTE1DRAFT_112151 MGIVHVELFEFKPLATQEEVQQVCDKMMSLKDRCIHPKTHMPYL KTAIGGADVCPEGLRGRISHVFVSEFDTPEDRKFYLEEDPAFREAVESIEGIVERRQV VEFSPGEF NEUTE1DRAFT_86161 MPPKRGRPSNAQREAERGAAASKGKAAAAAAAAAAAAQEPAHSP NGSITVSVGGPSAATEPETAKPASMITPRTSASASKKGKGQAQPETAANANAEEPDAS STPNPVDATTNGATPIATTKESKSNSNGTPKKNDETALVVTSNGNSDMIISKNSKHND TTALTRGGAYTAASRVIGTGYENINNIEEIQLRQLHEDIDAYQHDLAFCTATLALPDL TPQETRTFQLRQLDLGHQIRHCQHKIENLQVQMRRQGIPFTNYSASSGGRAYTASLLA GSGQVAGVKRSGPSDDDDDGGKTTGSAKKAKLTPDEPQLLDADGDEAMTNGASKEGER ERGSSPNGNSSGSPQPLPYGTPGTWEYNVPLHPTYQHPQNGGSGASPTPFDEETQQNT ALQRLGYWKCRLCSAPKYLLAGTGRSPAAPCKWPLKDISKMITHFTEMHTEHSPAERC SELGYALARNRGPFEYWLRRTRAQNVGDGEIIDEIIETLINGVMPLTLRRLSRAAAGM PA NEUTE1DRAFT_86162 MGSQQSVPEGEEGDVRSRSASPLVQEDNHWGKYENNESYDDAIP DVPYGQQFNEQPQSPVAPNSGERKKKKKKKSKHRRSPSPAAEPEVNGDSHDQDATFAD PEPTAAEDHEIPEAGKKKKKKKKRSKPEPQVEVEEEEEEQQQQQQPEPDHELPLDSPE LHESPNLKFERPEVAEPEPQSAAQASAKFKKKSRKSKKVTVDISDDPISDGEDNVGRT AKIHRGEAPTSDAHVKRRRLSPEPVADEEDEYDHGEVDASFVKREPGADEHEPSMVAG HEHTSPLVARERRRARSASQHPVEVASSAPLAPQFATQQSLSFHDESFNSTRIPASIH PVDLDDHINGLPSTQDFADSLPPSSQAQFNGFPHSSQRPRTDGLPAMKSSMWEDNMPH DAMDGPEDSHGHEGLRHDADEVERDNADMDTASSAGDPEPDNHSDHEMDIDQEVANNE EPSPEIDAVAEVEDDQDPAPEVSVAQTPARKARKSHAKKAQAQPDVEPERVEQAEEAT PAPTSTAKTPRSKGSKRKVKVPYFEREEVEARDTAETSAEPSVKEVAATQKDQKREKK RKVRAEDEQSTSNVEPAPKKAKVSTKKKPAKKADTEEDNSEVEEAGDNSNKANLQGRV TPAEHARIKAVIDSFRDAQGLTKYEVNEMIRQDPRKDPKNRELWALIVDACPNRPRRK VVSHCRQNFHNFPARGSWTKEQDEELESMLKLHGQKWTLIGGLINRFPDDVRDRYRNY LACGGKNRKDYWSEQEEERFLKVVAEAIDKIKSGMSKSKKKSDDESPESLINWQQIST AMNHTRSRLQCLQKWKTLRKNEALPDSIMVKLPDGESSRLDKARKDLRKITPQDKALL ARAIRDSGADREAKIKWNQIQEDVFEKQYAKTALKVTWGRLRQAVPQWESKSTQECAK YLCDMYERDADWKGDDEVGGDDAEDDADARSTVSRPASVVVSSTQQTKIYRTPRVGLW DPPKGTKTNLSNVFAESSDNEMRGRASQRARERAKRLKESEKASSKSKKSQLSEAIVH DEDSDEEEVEESINGDITAVNGNNNQDIELDDAPEIDADEDDEESEPAPSAPVVRSRA ASVDLGEPSFISSPQLPTSAQKPQDSSDDEDDDVIATASKAAPFKASKKAAVRTYGKA SRSTKSKRKEKELDLDVPLVLGAAVPSLPPPFTSLSTASHHHPQLSPKRPAAHRPSFN DESDHSDAPAHSQSQHNKKRRILTRQPSVRTTETIIKTTASVAKASKRRKASDDSAAA DSSELAVASAVPDHLLLDLVEMDGNLADDSLVSLDRPGDVVGGVDREKKVASKAKQVR KKLAASTAASVASTPAPLVKTRAPFVSVTQVDKMDKDTRSYSVISSDMDDMEDIPAVL PAVDGTQG NEUTE1DRAFT_86163 MSSSRSSSSSSFPSSSSASSSPLASRSRSTPDDGTSGRPRSRIP VPVRLRARARAGTTRTRPGQLVASSSPSGGFLASVPEELLGDGNIVEGAGSGRGASSG ASLPDEWHETTNAALDSFFEADDEEDQEQHLEEVVSGLSPSSSLQTSMQGPATALAPT QQQEQLPQQRRGPSGHQQPARGLGHRRQAAVSSCARVGDSSSFGVRVNTEEGVRGTMR THARVADELKSAKVADDKATDDGPRVKVAPAATVEVPYASRAKTAPTGGLVARESAAD DKVVSGELRRAVSSVATPPGSQLDSRPGPVRIRTTTAGRQIFGADAAVGTRSRSSTTT VAGERPLEATASRPRPPPVPRLDLARLTAMSLSEKRRIKAERAQEGCSHGLNSSRTTP DLVPLSLPAVTGLSGPVVEDIPPVPPLPVNLSPSVVPAGRRAGSPLYNGRFADRPGPP LPNRPLPPILGQSVVPLGTQVQAGEVGGDVEYEGDVEESDYEDSDSRESHGGSRPRLY APSLSTIRDSSPVPPASSVAVASSSSSAGTADRSFIASNDASFLPRGDYEYGAAQARE ESPAFRGGDDTTLVADTTFVQGNQRRWGMVPHMLGEEVRLRLASSPTPSSADSERTAR PATGEGQGELAEEAGFAIDNSAIVTDFDEGVPSREESPTIPGSLVEDLVDRLTTTLPP LLADLEPLPLRRAPALRRGSPAITNPRPQPQPARPALYVFPRPPMGLGLRPDRGSSTQ RPGVTSLSGDGGLASSVTVGVLPRPHVAMAVSSGAGDVVRSGAISPGLASPGVSSSGA GLSRGLPRSVTQAIAPPPPMPVGSPPRGFARSVTQALPRSGGALGGNSRFGTRAVSAP RPMGAPIGDEDELDAELRQIVSRTRDGYQDQRAVLRSGLRMRTQTLGTFSPSTISGST PDITSPPTSSGRDSGSRHLSGSSTFVGSTTSPAMMSSPTPMTPDVSSPSFASGSGGRG RGRGSSSRGSGSSARSSQPPAQAQPQPRPQPRLAVEMRERGPALVTVRDSADSGTLSN EPAEEPKSHWSSDTDDEPSTMGRMRNAFSRLKTKSRGNLRREASSSFSTSTSLSPVTT RDAPPRLPDIPPVPPLPLIPAPPIPTEDDNDAANQAISQRQKLNKKKSLANLFSKKRE E NEUTE1DRAFT_48135 MVALANGVVDKKKFGRVRAEEFKTQEGARREGNWTGHGPLGGPG LRREADPAILTFSVGDWLNTELEISEQRSES NEUTE1DRAFT_139902 MPRYSGTSQEKTLCEIDISSTSLRGPLITTTLKLCSQSGDQPSG AECYKCGEVGHIARNCSMDGQEFEVAESVLTRPLTDRAGHTTTIYSELSDLGQHAFIG NSSMSSHRPSVSRSLLDTDPNPKGVNRISVPDLGIILTYYTFPFRASFRLLKAPQETA ETERPAIV NEUTE1DRAFT_139903 MVKTNDEKSNVTTSKAAAKEVTKPANNILTPAARMLQHILSSRT LLIMRKTMILEALNQAENEAEAKVEAALARKDDLKSDKAEVDKDDDKGKDNDDDNINP RNPPTSDPAAKAPSAVQVKANQHEAQAAIRSLKRHGASVQAAESPANVQQNLSAGNIP PKAPKAPMAPKATTSGTTRSGRVVKPSQKARDVKGVMNKKRTSTSEAATRTRTRTRTR SGKGGKGGATRSNSAPPPAATVNTTVTRSGRVVKPSLKAREMGG NEUTE1DRAFT_124025 MSSMGNKQWYAFVFELDHIQERLGLVDAGSKTCRYMQSARHLGV INGLSDIRLGPALPSCSRTTPHHGSDDHHHCPPNENPSILYKMLEAAATSFASILVLG AGFSIAAYAYHKTYKHIVLQKMSNAFEPGDPVLDLAAIGKNIPLSKTAAATHWIARPE QDIVDDIVAGKEIGHYHLFIGDKGTGKSSMLLEAMRKIDGDGVAMFEAHADLEIFRIR LGKALDYEFHEDYIGSYFSERGPRDTTALLDIERALNKLEKVALKRRAKIGRPLVVII NQMHLIRDDEDGKDLIELLQQKAEQWAASNLVTMVFNSDDYWVYERLKQLATRMEVLS ITDLPKNLATAALRNYRHRYFGEDLSDQTLEQIYDRVGGRLNFLNRVAKSNDMLATCD EIKQVEKTWFLNQCWILGEEMDDDVMDQQKWAAAAVVLATALVDKEAMMEAAGSSTYD PVVGHILPSYALHEAQQIMTRSDFVRALDRLNLFSITKNAQVRASSVPMHLAFREIVA QPGFREHLQATIDRIAAIESLGRTRELVAKDLVLGGHYEIRRSEKGKGVDVKLVMPEP EEEGEEKDD NEUTE1DRAFT_103065 MDRLTQKELDCLLALRDLKLGGAVQDVDTAPVTNNSGHTGQGPE VVEPVPIQNKTIASSLTMSSARGGDSKCTIQSFFGARVSPVSAAEGVPRDHKDPIAQV AGESDEDDKNEEERLNEMVGAEAPNPITNEELLRTPATYARSCCDGRGVLRGVLRKEG ED NEUTE1DRAFT_103066 MGIIKVPLLCHVLANVPSGYRGDSTQAVPGWRLGNRDQFQTRKE PSRGKSRRESMETRNKGKGRDVMFDQIVCVKNMRAIMHTKMVNGSAACLWSSEVRRRT TGHVMTLGTTIWKKCRRDGE NEUTE1DRAFT_124026 MSSAMSFAQTGQLSPISNGMDASYHGTDLSGNSCCSSSSASASA SPELTQSAASLSSMENWEMALDSHHGSSGHGHGHHYELSWDPDSDDNMLPLPKMEPLD DDDFCMDHIKEAPANALPNSDGSMLDQPKAKRPRGRPRKHPIAPPVLANKITKGRSKT GCLTCRKRKKKCDEAKPRCMNCEKNAVVCEGYPEKQIWKSGRERAEEERLKSHSLPSI TMQPLFHGLETVEDRIFWKHYNEHLSTVLTVEGEHKNAFKDMMVPIAVKHQGLMHSIL SLASKHIDYDTPYGLNILKNNRSTSAHKLHERSLYHHDQARQKFYEDVEVSDAMNPQD KLRVSARYGQMLCFLLEALAEGNPRGEHRLHLSAYKSLISSSPPEDSAFFSFIAEFFQ YHIFADELIHSVSTLHHRAIPNDANYTPAIYPPRLIGVADGLLEYLPQITMIRNTIRH NIVTQVDPVVDYVSLYRAAEIDAAIKDWTPHWPQGDHRDRVGLLYKHMMWIYLVRTVY PPSPSAPLPPTKSSDLRLSPLSNANHSRSAVPGVTTPPMSASPSCTSSPKLEGSDYGM GSVPLLSTTSSLRAESPPPSRKPLQHDPRVTVAVEESLGIMKSFKPSDPCQTLLLLPC FIIGTACFTPTHRDRVRAAVKTVKGYTGLRNADRALEVLEHVWQLMESGDWAAVWDWP RIAQGLGLDFIPA NEUTE1DRAFT_48295 MSANQPVFTISITPNNIASSYHVTMTETATGSVIITMTPVIQPS NSPPTTNQPYISPPTTPPPSGAGAGTEDSDNHTSEAE NEUTE1DRAFT_124027 MQLRTGAASVVRTGLGLTQSRCAVQSLTVVPLERRIRQSKALYH NTKKNDSAWAAAVSVAGNIVNNAVTKAIKGTDGLPTIDPLRLVAGEMKFLTGNIRKLL GSGHPSLDRAAKYYTQAEGKHVRPLIVLLMSRATALCPKAPQRQQSTLQASAAIDTSI SPLNILSDFNPSDATPVSIPADTDILPSQRRLAEITELIHTASLLHDDVIDHSESRRG APSANLEFGNKMAVLAGDFLLGRASVALARLRHAEVVELLATVIANLVEGEFMQLKNT ARDEKNPKWSEETLTYYLQKTYLKTASLISKSCRASALLGGADAATVDAAYLYGKNLG LAFQLVDDMLDYTRSEKELGKPAGADLELGLATAPLLFAWKTMPELGPLVGRKFEKEG DAARARELVLQSNGIEQTRALAQDYAEKAIEAISGFPDSEAKDGLIEMAVKTLKRNK NEUTE1DRAFT_67492 MVLLTVTSSILEALQRVPDGPSKLQRDGEPSLSDVDIGSPISHG QIMDLRNLLKAGNHNEYSLEILLKGSKVYVPPPPPKPEPSDEYKALMARLRREEEERA YERMINPVPPMETFSQRFPSSTGMARAFAEANRPTRKEDMGDDDVTYDEVHRQLMLIF NFMVTMLGVAATLWILARWWSTPARIFLTMGGSIIAGVAEVALYSGYVWHLGQAKKKD KTFKEVKEVVQTWVVGPEDKEAADKLIAIKDKEPAAEDTNLRRRKK NEUTE1DRAFT_46494 MSAEAVETGPTTHQRKKPIRTYGRRSAAAAAKEDAKPSTPRQLS PEGTPAPQLPPPNLAATVPPSVECCPKPNAPKSGSILSFFKAKPKTPAPSTSKATTPA PLASDELTSTPPSPPPSGSGQRKRRRLTTRPTFDDDAAGDNQAGKKRKESGDETPEAR DGTPINTSSASTTETDSTAITISTQLVTQKKRTAKAPAKEMVQTTLSLAIGEPRPQFI VCKECSMLYNHLNDKDRKDHKRVHMAYTRSKNKEVE NEUTE1DRAFT_67493 MVYQHGDHHHIPDEYRVHKPGAWLPADHRIVQHWLGHHVKHVDK VGEQELIPVLKEFEDLIENNTRIRMYFCSMWDEVPRKPPYNTDVTGQSQIRDYKHMLQ VLNHVFGRAPEWTAAAAGVGLVGVPLAAMFDYASATPSGHAAFLDPDVNRMLKKILNE WGKYLTSPESASVLGDHRAGWFGEVSYKDLMEVANAAASTSYKFEEMYQCDPSAPRHG YKSWDDFFTRRFVPQARPVASPEDHLVVANACESKPYALSNDVRLRDKFWVKGQPYSV LDMLAHDPLSEHFAGGTVYQAFLSPLSYHRWHAPVSGTIKRAFVQDGTYFSVPLFPAE DASDELSGDRTGRAGEYEILQKGITVSQGYLTALATRAIIFIEAEAKEIGLMAFIGVG MDEVSSCEITVKEGQRVEKGDELGTFHFGGSTHCLIFNKDVGKKLKGFPKVGREENVP VRARLCAVGE NEUTE1DRAFT_139912 MLNYNQNDNINKVETDRNNNNIKKLENERDINTMRAETTMMRAE TMASLKQQMRKNINDPHEVAAIVKFIREKNRLYNDQQVYALRFWYEQLHILYREAPRA SLLSAEEIVDILIDKMTKPEFEIFNERLLLIRNHYPEKVRWHKKMEEGLGGGYGLKVQ VWGAAYPGGEKFLSVEWLELTEEEKGFQWWW NEUTE1DRAFT_103073 MSDNSNTHSLPLYALGSYGSSRASHQTPLQRGFISVRLHRPYRD TRIFRIPRPESFEELQVMACEKCGVLARPRELVFYVKHRTQLGSGQYGSLNWVRLEAW NWGRFRDSLSNSATTPTLKIIWQPSQATLRDLRDTAGYSDDGEDESSDFDSYT NEUTE1DRAFT_86177 MFHHTHGYKSHSLQLELVHRPTGPIIPSHTFRVSAVPAPVPSDL NEGDLLLETLYISLDPAMRGWLDDVRSYVPPVQIGEVMRAFCICRVLASRSPKAAAGD IVTAMNGIREIGILSDRHIEKPAFLPPGGKTTDLLGVLGMTGLTAYFGMMKIGNVKRG DTVVVSAAAGATGSVAVQIARIQGAKRVVGTAGSDEKCRWLVEEGGADVALNYKDPEF RRKFREATPDFVDVYFDNVGGEQLNMALARANRGARFVMCGGISQYNAEHKQGPKNIN NVITMRIRMEGFIVFDYKDEYPTALGQLAQWLAEGKLKRKETILKGGVRVADEGMVQL YKGGNIGKLLIEVKNPDEERQSRL NEUTE1DRAFT_112166 MFRANEKGTRTSMVRTTPVPYQQNQERGQSHQLNYHIIGECFQV FLSNITATFFGWEESNDHGSIYPLEGTLIPSKVDQDSEHTSATGHLAYPKSLQHLQRY LYTHLPGTFYVNTLTSVHFFSIVTADSRRSRDQRRSRRELRVCPAVSRRHLDRFIWLY EDTVWVHDADIEREISVDPSVDDKEQPTGGQDELWAVDRHDTTTQEIAIMDAVASPST SAGPSIKTETTVTPSISTSTGAGATTQPKSPIPAKPDMSIHLAPDQD NEUTE1DRAFT_103075 MLLLAALLSFFFPLLFLFVLVFPSNGTRTHYQGLGHPKPSKSQR QRQKPTLLFTISSVAKNPLHVLVVAADVDDLANGPLLGIVTKSGTRSRACSD NEUTE1DRAFT_67498 MTVLTFLPDAAADPLVVLVSNRAVLTQPDDSLLLTPATIAISST TGKIVFVAPTVLSKEAFPASSTYVDYGNKLILPGLVDAHVHLNEPGRTEWEGFATGTR AAASGGVTTVIDMPLNAIPPTTTLEGFKVKLAAAQGQCWVDVGFYGGVIPGNADQLLP LVEAGVRGFKGFLIESGVDEFPAVSSSDIALAMTTLKDTPTTLMFHAEMLPPITDSVG DGVTRSEPPLAPKGELTSYSTFLESRPPAFETYAIEEILSMANLAPQLHLHIVHLSAT EAIPILRNARARGVNITAETCFHYLGLASDNIEDGDTRHKCCPPIREQSNQDKLWAEL TAGDDSCIRTVVSDHSPCTPELKLLPDHLSVQPEFDEQNSALLRRPSLRFTDSGIDVT LPEDLEKQNTNKTDCCGNHEHAAELSYVQQDAAAGPQGQGDFFAAWGGISSVGLGLPI LHTTATKRGAPLSIVDVVRLCCQATAKQVGLSHRKGGLKVGMDGDVCVFDEEEEWVLR AGDMKWKNKCSPWEGHQFKGRVRETWLRGTKVYQLGGGNGGFVSGVPVGEAITERRVV NEUTE1DRAFT_67503 MTSSPNGGVDGDVDGISGKLHGMNLNGANRADGGARLGGHQVTK SGKPHPNGRFPIAIPDRTVRPTPDAQATHDQKGASLGSANTVSIHPPPANTGDVRTTE NGTPTPSAHQTSNGYFPSSPPAQRRDSAPSSPSSPHRYSSPPLYNPATPISASTSALA PPPGPGIKHRHTLEVPRPSGPRGSKDGSDATYATGRFSPTGVAGVRRASLNLARRNTR SLHSEMPRDEVVPDDDALRWAEVYREKRASKRRKRDAEDDDRVVVGTKVDETHANWVT AYNMLTGIRVSVSRTNAKLDRPLTDADFEAKQKSTFDIAGNELVPSAKYDFKFKDYAP WVFRHLRARFGLDPADYLMSLTGKYILSELGSPGKSGSFFYFSRDYKYIIKTIHHAEH KFLRKILKDYFKHVTENPNTLLSQFYGLHRVKMPYGKKIHFVVMNNLFPPHRDIHQTF DLKGSTIGRDYREEDLDKNPRATLKDLNWLRRHRHLELGLQKKKMFLEQLQKDVRLLQ KLQIMDYSLLVGIHDLQRGNEENLRDKTLRVFNPGGEHSREDPSSVLLRTPSKLENAR KARELRQMVKSERPVPMGETSSRMPDELEHNQSRVGFVFNQDDGGFRATHEDNTPADE IYYLGVIDCLTHYGIIKKLENFWKGLSGDRTQISALPPHEYGERFINFIEGITMSCEE AIREAQERDAQMQAEAALADQEQQRAGARNGRSSTTVPPLPSGVQSPEAEATMQRAER EAYKTGVSEEEVPTKTLRTTLSPGSIERRDNIASQTSILPVVEEAAEGRSSTEQQVHN SRVSNLAGESEYRPLTPAKDGEERQAGFGNPLLRGHARDYRDPGPPPPTPPKTGYGYG SPQSLKDGADSGYSIGLMNGNSNGKSVDLKSSSGSLRSNKGGHQIIGRESLDKALPAL PFGDAQTRPQGVS NEUTE1DRAFT_131358 MPRRRRPPRSGALTELPPLKILGQIAALQAIYYTAALVLMFFTA AVAGNPFTLDLVFGWEAVRGDNTQGWLNGFIWCLVGGLVLPLSLTAIVQRSKLILDFA LTTHFIHLVIVTLYTTPDHTLPRHTAWWLTMAISSLASFLLGSWGCRYRELQPIAFGG HGSGGGNTTNSTTNNNFTNGEGGAGQGDEEQGFITGGGRGGGGRAKRGSRGDLGGGDY EMVTMSGASGSGSGIGSGKGHGRSQSTVSQAGLGGPLEKAD NEUTE1DRAFT_67507 MVLGLFEQSEADKRAAEVRAGTVAPTRSERQKCWMARDGYFACL DRNNIMDALKDEKAAAKACKAESVEFEKDCAAQWVTYFKKWRVQDIQKKARLKELEAQ GAVKMDVNTDFKARE NEUTE1DRAFT_46915 MDDISSPVVSASCSPGGLTYAEVIRIRRNLDRNTDTIYDDLRKN ELDDWELPSPLLPHPYLRQLPPLPSTLSRRGTVSENDSPADVPYVVGGLASVAAVQLL RSCLRNTPEFHKSQTPTSLNNPDINLYLLRKHEAAQSDMSARLATCVWTSSTTQLQTD TMGIAIWGTKSTGTDGAFSSRPESTEKQEERPSIRRIVTPDISSILHRGSTPNSSKSQ GCTPSDASRSNGTGRTATFAFNSEWQTQDGLVKWNEGAPGLDSSKSSRSPDGDLGRPA SPCQTQNGGSRKQSLDTCSCPDLGRDEDEEAERPDLPRRRTDGPNVHCPHHHFKDVFQ RSQSVCPCEPQLDIHRVRDPRSRRRLNREDVGVFTDLGTGAAGPLSNAPAQEYSPKLL AIAGVMIATGELDRLSLGSGGHSRRHSLSQASQTSVSSSKDTDEEEEELPPLPPIKTA VGTPTAQGPFGSSSFRAKRPASRRLSEIWNASWDNTNNQEDDEGDESPSEKQSSSPGL YDLESPRFTGVREDDVSPGGGANAETPRLSWPPNLNRVNQCLREQGGYFGRGRNEEDI STQDDGESPEWTETEERRGSNLTLRNDEDPSDGYPSDTSPSTCECTHCEHAGYYVKVT DDAVGHGEHEVHLDEDEVGGVMQRSGSTQTIIHTPTRHSSQEAGD NEUTE1DRAFT_86191 MSRILARRCPQGLLRQAFSAPRTNVTTTPVRAFASATSNAPSFN WEDPLNHKSLLTEDEIAIGETAERYCQEQLFPRVLQAYRDEHYDPKILEEMGDLGLLG ATIEGYGCAGVSSVASGLITRAVERVDSGYRSGMSVQSSLVMGPINDFGTAEQKERFL PGMAKGKIIGAFGLTEPNHGSDPGSMETVARPHPTKEGVYLLSGAKTWITNSPIADVL VVWAKLQETGKIRGFLVERSACPPGTLETPQIKNKTGLRASITGMIQLDNCPVPKENM FPEIEGLKGPFTCLNSARFGIAFGMMGALEDCLARARTYALERKQFKGNPLAKYQLVQ KKLAEAATDVAYGTLAAIQVGRLKDEGKATPEMISMIKRQNCDRTLYHARTLQEIFGG NAVSDEYHIGRVVANLYVTQTYEGQSDIHSLILGRAITGVQAFV NEUTE1DRAFT_124036 MCGQIKTSYKCPDCSDVVSTSSERLTCAKAKYWGSCGDIRSDNK EVSKQSDKSCSSCKRKKEAEEKKKRKEREEAARK NEUTE1DRAFT_117858 MALKRINKELADLGRDPPSSCSAGPQGEDLFHWQATIMGPADSP YTGGVFFLNIQFPTDYPFKPPKVSFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRARYEATAREWTRKYAI NEUTE1DRAFT_148131 MSYGGYDLYRSNTDSSIEKPSERSRWTPLTRMLLSGEMTQERQK ELSPREKFDRWMVNEGYRRIFVFVFMVLHAIVFAFGFVNYAVKDNLQIARDTFGPTYM IARSAALVLHVDVALVLFPVCRTLISLARQTPLNGIIQFDKNITFHITTAWSIFFWSW VHTIAHWNNFAQIAAKNNLGIYGWLLANFVSGPGWTGYIMLIALTGMVITSVEKTRRA NYERFWYTHHMFIIFFFFWSIHGAFCMIQPDFAPFCISVGTTAIGVFWQYWMYGGFAY LAERIAREVRGKHKTYISKVVQHPSNVCEIQIKKENTKTRAGQYIFFCCPAVSLWQYH PFTLTSAPEEDYISIHVRVVGDFTRQLAETLGCEFDNKKKGDTSKVVGVSQENDEVDP ALRRVLPRVYVDGPFGSASEDVFKYEVSVLVGAGIGVTPFASILKSIWYRMNYPQQKT RLSKVYFFWVCRDFGSFEWFRSLLLAIEAQDVDHRIEIHTYLTAKIKIDDATNIMIND ANADKDTITGLRSPTNFGRPNWDMIFRGIRKLHAPGEAGVFFCGPKGLGSSLHIFCNK YSEPGFHFVWGKENF NEUTE1DRAFT_67522 MGIKQLFSVIKDEAPDAIKEGEIKNQFGRKVAIKKKTSMSIYSF LIAVRSDGQQLMNEAGETTSHLMGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKR FQRKQEATEGLEEAKETGTAEDVEKFSRRTVRVTREHNAECQRLLKLMGIPYIVAPTE AEAQCAVLARAGKVYAAASEDMDTLCFNAPILLRHLTFSEQRKEPIQEIHLEKVLEGL GMERKQFIDLCILLGCDYLDPIPKVGPSTALKLIREHGTLEKVVEWMKADPKGRYQIP EDWPFEDARALFFEPDVRPADDPLCDFKWDKPDIEGLIQFLVHEKGFSEDRVRSAGTK LEKNMKTSQQARIEGFFKILPKTEEEKKAHKRKLEEQAEQKRKKVKEEKKEKAKLKAK PRGA NEUTE1DRAFT_67523 MSKIFTQADVSSHSKPDSLWIVIDGDVYDVTKFADDHPGGKKIL QRVGGKDASKQFWKYHNEGILKKYQGKLQIGSLDSKPKAAAPAPAAAPAPAPKAAPKP KASTASSSSHEQSEALEPFGQLIPFADPSWYQSYHSPYYNETHAALRAEIREWVETAI DPYVTEWDEKKEVPAEIYKEMGKRGYLAGLLGTKYQSNYVENPIKSVPAEKWDLFHEM LVTDELSRTGSGGFVWNVIGGFGIGCPPLVKFGKKPLVDRILPGILNGDKRICLAITE PDAGSDVANLTCEAKLTEDGKHYIVNGEKKWITNGIWSDYFTTAVRTGGPGMNGVSLL LIERDFPGVSTRRMDCQGVWSSGTTYITFEDVKVPVENLIGKENQGFRVIMTNFNHER IGIIIQCLRFSRVCYEESVKYANKRRTFGKKLIEHPVIRLKLAHMARQIEASYNWLEN LIYQCEKMGDTEAMLRLGGAIASLKAQATVTFEFCAREASQIFGGLSYSRGGQGGKVE RLYRDVRAYAIPGGSEEIMLDLSIRQSLRVSKMTGMKL NEUTE1DRAFT_86207 MPGQTPEVVASADLDPLSPKPIALHSTSPTLVPRLQDHAAAIDA VVEELTSQLTNPNLTSQPSYNSYISPAMPEPVQESSDVSDTIVVAGEYSEDDDDSLDA YDEDGQEQGQEQGNKPETGSDDYAHTFDSPTDQGEGEADEAQPDVSKASETKMNDSST PEPSKTQPSTAPAPPSDPSSSPPAKVTSQTQPHSEAEQLPAQTNESLQSLDAPSDFAP AAPHQHLQSSNPDPVPDAAPSGTSSMAEVPSAPDSGEIQMPDAPPAPDVQPSTRDSAA ASNSPTPANEDDDTAVDIQKLVDNITARAAASPTTASASSVAAASAKPPATQTSQNGP STLNVSHSASLPPKPQGGLPAIPPQAHHFHPRPHNSVHAQGSSPSVGPGTPRTAYMPP GGSGIGNEAISSLPPIPPNTFNNAPTQPYASAHNSRHLPGPGNSLISNIYAPASDQDY EKFLADERQYTLEARWEQRFPEGSRIFIGNLSCERVSKREVFDVFSKFGRLAQISLKN AYGFVQYHTIEEGLAAIRGCRDVELGGRAINLEISKKQDRTRKEHPERDRERERERSP DRRLPRDSRIRDRYEARDPGWRRDDYRPGPGRSPSPHRGSRDGRYARGSRDRDFGPGY DSRRSRSPPSVRYYDNGYRRRDDSPHRRVPSSEELDMPFRYGADVPDVQLVLLGDVHK EFVSWVQSVFHSYGLRTNVIYANPRFPREPLIQRQMVEGVHAVSFLDPNSPGKQQLDI RVFTRTATSINFDDYKVTPHQAAALVIDKKRIQPPQPPPTYPVNNYGHGYRPEVPPPV SYPYQQQPYAIPPAPAPQIQQLPAPVQDISSVVGQLNNESLSALLATLTQSQGAAPQP PHPAMPFGAAPPAPAAAQAAQIDINALLGNLRSAANPGAPRYGDVPAYGVGSAPPVPT GYDAQQAQKLLDQLRRIAP NEUTE1DRAFT_86208 MNSIRIRLLASLSPMVRMRPAWALNPSAAMVTTSRRLVRHQAFD AGFDPEELAEARRWHKSFHPSTLPQGDTSFSRSSGPGGQHAQTERSRSANQAENHQKL YDELINLYAKTVPAESSPDKARKYEALYVFSSLSELSFTYCRPILTLERLLSVIISVI TPTPYLTDSRIPALIRNGMQEKKRSFFVVVGDHSKEAIVHLYYILSNANMKHNKSVLW AYKSKLLGFTSHRKKRENKIKKEIKRGVREANSEDPFELFISLNDIRYVYYKETEKIL GNTYGMCILQDFEAMTPNILARTIETVEGGGLVILLLKGMNSLKQLYTLSMDVHSRYR TEAHDDVVARFNERFILSLGSCESCLVVDDELNVLPISGGKAVKALPRPTDDEEVNPA KKELEKIKESLEDTQPIGSLVQLARTTDQAKALLTFVDAIAEKTLRNTVTLTAARGRG KSAAMGVAIAAAVAYGYSNIFITSPSPENLKTLFEFVFKGFDALDYKDHADYSIIQST NPEFNKAIVRVNIHRNHRQTIQYIRPQDAHVLGQAELLVIDEAAAIPLPLVKKLMGPY LVFMASTISGYEGTGRSLSLKLIKQLREQSRTGAGVKDAVEVDRTSGKAAKDTETVFT GGRSLKEITLSEPIRYAQGDPVEKWLNTLLCLDATLPRSKLNTQGCPDPSQCELLHVN RDTLFSFHPVSEKFLQQMVALYVASHYKNSPNDLQLMSDAPAHELFVLTAPIVEGRLP EPLCVIQVSLEGKISKQSILNSLSRGSQPAGDLIPWLVSQQFQDDEFASLSGARVVRI ATNPDYVSMGYGSRALQLLVDYYEGKFANLSEEAGTVVPKSAPRVTDAELANASLFDD IKVRDMNELPPLFAKLAERRPEPLEYIGVSYGLTQPLHKFWKRASFAPVYLRQTPNDL TGEHTCVMLRPLGDGTDPSWLGAFANDFHRRFLSLLSYKFREFPAILALTIEESASTG AKLDPSNEPTPLEKAELDKLLTPFDHKRLESYANGLLDYHVVLDLIPSIAQLFFEGRI RSNLQLSGLQQAILLAIGLQRKEIDAIAGELTLPSSQLLAIFIKIMRKISTHLASLVE GAIAKEMPQQIGVSAENASAAHDDEIIENNFVPLDQNLDDELAEGGDQAMKAIRAKQR ELIDSLPLDQYEIEGGDAEWKEAEKRVAKATKEGKSNPLVSVKTKKRKAEPVYEEEKS EKRDRGDKKGKKVRHDKRK NEUTE1DRAFT_117862 MCCCYQIVSMSAVSRHRMAISAAPHSPYMVGISCVLAPSRFIQE SSSSASPVRSRGRSTTPLFFNNKLPFRAETSYPQHHRVRYPEFLT NEUTE1DRAFT_131368 MSAANGRQPEVQPCRYKVGKTLGAGSYSVVKECVHIDTGRYYAA KVINKRLMAGREHMVRNEIAVLKKVSMGHQNILTLVDYFETMNNLYLVTDLALGGELF DRICRKGSYYESDAADLIRATLSAVAYLHDHGIVHRDLKPENLLFRTPEDNADLLIAD FGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKTGHGKPVDIWALGVITYFLLCGYTPF DRDSDFEEMQAILNADYSFTPLEYWRGVSDNAKDFIRRCLTIDPAKRMTAHEALQHPF VAGWARGTDGAEADKGANLLPTVKKNFNARRTLHAAIDTVRAINKLREGQFMNGGRSR EPAKKAAAGAGAVPPAAGGGGEGPGVDDGLAVALGSTLGKEASMVSTASSNVTKDSGY ATQPEGEGGSRDGDDVLMKDASVPAPTSSSPAPVHGNAQTAQHAGVPSILRPGSIENK VVETGKGLWNGTSVKR NEUTE1DRAFT_67536 MQDFEPILAPAKPKQKKLPFGWPPALKLSTLNPVPQRRRLRRKS TASQDNVAALKTSFDVWESIKTLQTRKWKIYDIQHVVCLGFILFSLFILPSAPIIKTA VLLALGGLLLMPITQQFFLPSLPIWTYLLYFFASRFIAPEYRPHIWVKVLPALENVLY GANLSNILSAHSHPVLDLLAWFPYGIGHFALPAICSAILFLFAAPGSTPVFARAFGYM CMLAVTIQLIFPCTPPWYEKAHGLEPAHYGMEGSPAGLARIDKLFGVDMYTTSFTTAP LPFGAFPSLHGANAVLEALFMQYYFPKFKYFFIFYVGWIWWATMYLNHHYAVDLVGGG LMAAIAYYISRVRWLPRPQLEKRTRWEYEYVEFGDRPKTYDEEYGSASAYGLGLLERR TASDSDEWTLGSSSSLDSLSRGDTVCGSSSSTPDILSPTTPNDDFKHVVLGLTPQGDI WNGGRLARESELSDVVVLS NEUTE1DRAFT_124048 MASTTTVEPSSRPSQTVSVDVLRPHLKTAWINRIMDKDRIRNAL AIAKKEEPERYGDLTPEKEENYANSPLQTLNMYIKNILEDDWSAPQKRIASRNRTFSV QFGPACADIFLYLGFTEEVDVSTGDKFWVPPRLPISAFRTPLGSERAFLENVRSEVQS FLAENPPRPDLPVVGATVNAIERLDRVLISDWLRSHETRSARNSDEAQHFRILGASER SDEEVLKYAFLQQVDVDPANRDTYKTALANLAGYRSEEFQMYVFSLDESPAAPSGPQS QGPLSDVDKAYRHFNIEPKTNADARYFINVYKTYREQSPGQLSSHRIALLKIGKDRDD SEILDEVFNGVMDHNEACSILESEPGWSLEIAAAGAREAVKNGIDRRLVIKACETLAD AMRKENVADENGWAEFDMVLAELRAPDFLLPNAEQGPQFEDTTEFMSLPVGLDNLRNT CYLNSILQYFYSVTAVRNFVLNADQTPLEPTQEAMRALLDGLDPSELDPGRAYVGSEF CRELGSLFRDIQGAGTRSVRPRQRLANAALLRPDRIRSEPLDGPVDNASKPATVEAPP LPPRSGAGDLPKVTVDAVPEHSETDSNLSSQTLVNQTEDDPTFIVVDCNAGKGESTGD DITMTDEPAPSTPVADSANTGNDRPRDLKLTVAELDEELGKPNVGSDQMDVDEVMGNA IDHLRAAFKVESLRNPSTSGPDPIKAAFFSTLVDNRKKTSESTWNSSTRSERWVIAYP GKNERISLYDALSRSFDLESVGAELLTYTTIKDPAPNFHICISRSDGRNKNANPVIIP ELLYLDRFMHTEQLDSPLFKARQRSWNIGNRLQELMSRDNKMKETESVMPIPFVAQNE RSSDSLLESYIHISDQDVEELRGHNVTSFLTPELDATVEKYTSLPRPESFQPDIKPEA PNLDQEELGDLSPSEIKAFVTSIEAEAAWEKGQLLAEREKLFDGMHQYVYRLHAVVCH AGTTAAAGHYWVWIYDFEENVWRKYNDTTVSVHPAEEVFEQLNTRGEPYYVAYVRAEN VKDLVSIPRRQFAGLPVSSDEPTTVWAETVDRMDLDARNA NEUTE1DRAFT_86222 MAPQGDDTVFQPKDAIKSGVSGALFSGGAGLLMASLRTSMKKNN VGSMHVFTHGGGTIISFTLAGGIYRFAQQASANLREKEDGWNHAIGAFLGGSVMGLRS LRFPVILGFGAMAGSVVGAFAFSGGLTGWGRDPNVDEFERKEAMRLNRRRPVEETLAE VGEGRGIYPPGYQERRRQRLLEKYGVEVKPVSADPNVASA NEUTE1DRAFT_67543 MISRIPLSARSACRHAYSAPSRLRSTTGLLSFAQSQQQQPSISQ LSTGNVRLFSSTTHNAFRQTLPRQDEPNLNDVLEQQARSGVNPPYTTRPPPLNLPERQ PNASTISHLFATGKAYLTFYKTGLRQVFTNFKLLRAVGKGGEGPIARSENPLADADCI DGYLRFRTRGSLLLYKRVKHDLNKLPIFGLLLLVCGEFTPFVVLAVPTIVPYTCRIPK QVDKILKRIEERRAAALDELVGEVYEADDYQLISNDEVKEDLEALEGFPDEAVEAIGE PKKVEDMTEEEKEEYEFEIRQCEEIIKEQREKRIKAGQEVDPRPIREQAQEWVELARA DREEFGDHEEIDVFPMTASEALDQLDPLSDDCEQFNMLDVLARSYDIRSWWGPAGRER GVHKHMRFLAVDTDLLEKGGGVEALEDDEVVLACIDRGIDVRGRKIGDLRYALEKWVI LTSVLAKDRADCLRRMAVLGTCPEILWPGIPWPEENKEVPVKEGSGGADEKQ NEUTE1DRAFT_67548 MALAKAVLTSKAPKPIPQLSQAVVYNGMVYCSGSLGIDPATGKM VEGTVKDRTRQVFKNLSAVLEAAGSSLKNVVKVNVFLTTMDNFAAMNEAYDEFITAEP KPCRTCVAVAQLPFGSDVEIECTAYLNAPSAKL NEUTE1DRAFT_86232 MNWPYQFLDLSQAEKQARRQSLDSYALYAQLSALIPIGLVWLYQ TLNKSSSSRKRDYTAVPSSDSFNTRKQSNWWSNLRWWLGEDVVAFGEVLGQREQWIGG IVWAVWLLVLCVAGTGRDYMHLTKRFGIIAVSQYPMQYMLTLKSFNPVSWTLKLSHEE TNRWHRVLARCTAFLLSLHTIFYYNYFIQNHILLQKLQSPVVIYGMLSFFGLNLMITT AIRPLRNRSYRVFFVTHLLIALVLPVVLWFHAASSRKYMLEALGVFLVDIGFRKRDTI TVETTISAIPGTSLIKIQVPVPRQRQNHFLRVAPGAHVYVNVPPATRSAFRRKDVKAA NDASEILFEFMFNPFTIAHVDQDSGALTLVARQRAGPMTAALARFASSSSSSSPSSPA EEKKALLNIEGPYGAAKHFPALSLGSEFDRVLFIAGGVGATFTIPLYRAIVAENPETK VEMVWAVRTAGEATWAVIENDASDILNDPNVHIYLTGNNGSGSSAQKGGEGAGLSSGI DSGENSPLVNLGGEAGAVAVEMDALRRTVDGRVVSGKEHHRSRPDLKKVVDEAFGHGP EERVAILVCGPAKMGRQVRRQVGRYVRQGREVWFHNEGFGF NEUTE1DRAFT_67553 MRIRMPFAGIFAALLLLSAYAGLSSLHMPQGTLLNDKTLHFFVF FLLTVTFYWILDTTRRRILHLTLSVVTLGMGVGSEFVQAAIPNNGREFDPYDILANLV GSLLGLGLCGWYHKRMLERRRVRKYTAVPTGEDIPEGDVDLELGEVRRSGEHEEGVVV AAAAASVPAAAASPAAGTATATAKNMSLEEELDNWDENAVDAWDDGDDVGDVGGSGAG GKSGDATKDAGAAKKRVD NEUTE1DRAFT_67557 MSITNGQHAWPPPSTAAHMNGIRAVIPHPSQDDGPPRTQTPFDN STALMPEAPSSDPDDDQRRSHFVDKYHKADERIALLFADDGSYNIPVIAALKRAPTPP IPLLPPTTDHAPIQEPPRKKAKRVIDEDDYGDDDDDDDDEDDDDQESDHPVATDTKPD TQLTSKHSANDAAARALLSPSKSGSSPVHSIPSPGRQADRQTAKEDGSQPQAKSSEDA RRELEAARNATEEAAKRGFHTLFYTLENDRTAMLEQQQLEESEKQLQAEMDKSGQTNH SQGAPSQNNHGSLSSANLGASSLTLKHLIARIDMKRDRVQASDAELRSLMNEVRKNRS KWASEENVGQEELYEALDKVLTELKAHTEYSTPFLTKVNKRDAHDYYNIIKQPMDLGT MAKRLKTLHYKSKAEFVTDLNLIWDNCLRYNQDLANPLRRMANGMRKEAEKLIPLIPD IVIRPRAEVEAEERRKQNGGEDEAGDDSDDEPIMSSRGRKAGTKGTNKSRKAPTDQKE GTPSVDQKPILQLNGILAKSHREGSEVDGSNGFGTPIGGSLTPSNINGGHSGVSNADA MELDGPSLNGMALNHAFREAEEQAYEDEEYKIWKQVTKKDRALLAKERFQLFKDNKLN PDEPALLRTKAGMRRFLKSRKEAEAMGVITSQGDAPGSGDGNKVAETLAQGMEDETIR NVPAYYEPQTIIPDIPSKLQWVEDGEGQVINQHEEFLRLVPPGQFTAPESRLTKKFDA NIRQMQETRKLCSKISVIKQMQIQTQVYTNQFPKYNPEPMVEADIEPTFLAGEGPVMA PEVCRAALQRSVAKIFYHAGFEELQPSALDTITDIAGDYFQKIIRSYNIYREAEWKEA PPAWKEKGVKYVPRFTNEEVILHTLSESGIELDALQAYAKDDIDRLGNKLTQIHDRMK AHLADLLRPALTDNSGDGSGSFEDGSEQFVGGDFAEELGDDFFGFKALGLDRELGLEM LSVPLHLLQSRVRNQYQMQASTTGQATTDLFEQLPPADPVTKESIQEEIGLVKNFFLA KLHANNDQPLVEDEDLPVKQRKPRPRLAASGKITAPAKRPLREQIAAAKKRKKEALAG ANASPEKAGAAAGGTPSKKAKTSHNLVNGTGAAGGGGSGGNVGGLVAPSMERMGSVNS QTNASITDKDDAGAMGMMSPESFEQK NEUTE1DRAFT_117867 SNANYKISLFLSLLTVSDLSRDQGYQSINHSVNQPNQSISFEYR EVRARPLFLKKTT NEUTE1DRAFT_47831 MTNQQPEQHASAKSASATQGTTTLSSKPNCVQPPALIHLQLTMH DLPLSAKGLLSWKLFLAPPYGLLERWTRHTTYKLIGPDNFLYTWPWNDATPTLTLGSD QTYETTEAAERDKYAALMILHSLGFVKVSMPDSRNIAMRWGYPDRQWLNKTMPQLVEK LGINWDDVGIPDVRWLEYIQWEVVGSLASEASR NEUTE1DRAFT_117868 MSTYSLSETHKAMLEHSLVESDPQVAEIMKKEVQRQRESIILIA SENVTSRAVFDALGSPMSNKYSEGLPGARYYGGNQHIDEIEVLCQNRALEAFHLDPKQ WGVNVQCLSGSPANLQVYQAIMPVHGRLMGLDLPHGGHLSHGYQTPQRKISAVSTYFE TMPYRVNIDTGIIDYDTLEKNAQLFRPKVLVAGTSAYCRLIDYERMRKIADSVGAYLV VDMAHISGLIASEVIPSPFLYADVVTTTTHKSLRGPRGAMIFFRRGVRSVDAKTGKET LYDLEDKINFSVFPGHQGGPHNHTITALAVALKQAASPEFKEYQQKVVANAKALEKKL KELGYKLVSDGTDSHMVLVDLRPIGVDGARVEFLLEQINITCNKNAVPGDKSALTPGG LRIGTPAMTSRGFGEADFEKVAVFVDEAVKLCKEIQASLPKEANKQKDFKAKIATSDI PRINELKQEIAAWSNTFPLPVEGWRYDAGL NEUTE1DRAFT_117869 MKGALLTAAMLLGSAQAGVHTMKLKKVPLAEQLESVPIDVQVQH LGQKYTGLRTESHTQAMFKATDAQVSGNHPVPITNFMNAQYFSEITIGTPPQTFKVVL DTGSSNLWVPSSQCGSIACYLHNKYESSESSTYKKNGTSFKIEYGSGSLSGFVSQDRM TIGDITINDQLFAEATSEPGLAFAFGRFDGILGLGYDRIAVNGITPPFYKMVEQKLVD EPVFSFYLADQDGESEVVFGGVNKDRYTGKITTIPLRRKAYWEVDFDAIGYGKDFAEL EGHGVILDTGTSLIALPSQLAEMLNAQIGAKKSWNGQFTIDCGKKSSLEDVTFTLAGY NFTLGPEDYILEASGSCLSTFMGMDMPAPVGPLAILGDAFLRKYYSIYDLGADTVGIA TAKR NEUTE1DRAFT_131378 MASPAVAAPQANGVTTLSRPQSPASVTTAKRKRDVDDDSGPELN GSDTDGSKPTVNGLHTVRDSKVLISDFFTTLQSFDIGSHPILKRPLLESHSDDDPSPP KKPKSEDGSAQPSIADKISQNAYNTVDELVSDVVGAAKAQLAELESASSESDDGSTDE AIAQTAAFRTKALELYRREMAYPHVPRHVAPKPDLKEAMNGGMGGLVLTTVGAAPTVR PLFTSLQRATAPGGVAKSLPEGSLPHGVTATRILPEFTATTDKNTRSLTLGELFPSPR NLPPLQPPKAPKNITKGNVLTFYHPELTEKSRYRSGTYFTQNLATGHWLDYSNATPTT HARSKQRERAQSLAGHRPSSTELEISEMEAMFRGAFSSFAPCKDDSAAIIPSGQLGRI WWQRIGRRNFEKLVDVDGPEDGNVDTTMTGTDEDVEIDEELVKSAIENWDDNLVDPSL EELLGKKSTEEKEMDDMLEEVSDLIETLASYQRNRNLTLPTSQDRYSADPVNADMLRN GNLSHQPSEEEMMTYQALKAQLSSIVQTLPPYAVARLNADKLGELNVSTKIEIRTDEY KGVMEEDEQARLARQAAATAATTNQRQQQRGSVSGPGQFAHQYPGQFTPSARPIANAQ HFPQTPGRPNPPMYQQRPPSAVPIPQVLPQSQQRAPPPPASYRPPTNYGYAPQLAKAP APYGQHNVPGGYAPSPPQPRMAPHPGPGYNMAPAGPPGQRYPPGYPGPQGYPQHQPMH PQHVQHPGPPQHPSPHMQQHPQQPGFAPPYTNGAPMPRAITPQVPPQTPHHYGPTPTP TPPPPPPGPPQQHQQMQRGPYGTPGQSMPPQNMQPNNMQRQYGAGSPPMMPAPQNMNR TPSLTGYATVMPEVQQRQVMEQARARADAEQRASGHMGKVAQGEVVGLAGIGLGGNVD VHKIAAAKAMQMGGPGGGSGQGQVTNMPPSPKLPMHGGPSPVNGVTPVPVPVPSPIPA AQQQQQPQQQQPPPQQSQVQTQQQQQQQQMMSQPQPQQQQPQLPQSGGPSPAPPAQQG FRPPSA NEUTE1DRAFT_86250 MRSMVPIQRPAAVRGISGVVGLAGLTATGTSTRPQPPFHLTTSH SQQRRRTLFTSNSLLAQNGNEPSRPSPSPSSTTAQKPSPAEDATTSQQQKSPAAQKRK LPLKNSLHRVAIVAQKGVPAKSTPASTAPEHTTEPDGRSTISAVCVAESFDMDAVVSL LTSHNFSLDPDNTGFDIHEVVHARGLNHGDIFVFPSGTIVTWALPPDVVDTLATRLLI PAAESPFVENKEEEDLEFTTDPTEEQSKVSGDVVVLGTRREVEAGDRLDTTLAKIAFS SGLARSTKLAVLESSLTRYLESTRHIPDQLSQGLKAPLSRELILQKTGELLNLRSQLN HYNDLTDALPDIFWDSEEKLETYYSKIGKALDVGVRIKTLNDKMTYAQEVVKVAQEVL DISEKMSSEKHSTRLEWIIIILIAIEVVFELRRLWMERFETHEDDVLRELRRIGDSLE ALKANGGGKTVGSSSSK NEUTE1DRAFT_86253 MEFDIRVEDYLDDKLQSTTDFDHLDTLLNSVEHQRSQLQSQLDN ATKELEEARRSSAERQAALAAQIDEFQKLQQSIDVRLKIVAASDAPDEAIRRLEQPMK QLHKVDLAHRYLLLLQHVQELRNAARAHLPQSPKAALEPYTELKQLSLRLRELQGAAD GAAVHLVAHVESVAEALWDEMKKTMWSELEVLLKKRGWPNVDPESEVDDEWIQCFEKL VDLQVPEVLYSPTLVTLLPMDVMAQIFVKEFRFHFLSDKPTSNPQGINAHCFPWFLSL LDKWEIFFRENFAPVLAAKFGQTEVSNKMVYMDPGCAFIASMLPVMREKVNVTMEEAV KDPSFLSSLLGQLMTFDDNIRSGYSYDGGDAENGWEGLTGEVLNTHFRTWLEAEKKFA LERFNGIMTSSDARKIDYDFAVAGKTKPTFAAVRVADLLRSVTTQYERLRRFSHKLRF LIDIQLAILDDYHDHLKGTLEAYQTMTSTVGRAFVGVTKEQLAALEGTGALETLCKVY GSADHIINALHDWSNEEFFVTLWEQLQTRAKANEDQSNLAGGMSYDHVKDRISSVVGT EDDTGVLFDETISAYTMRRKRAQEFLTQVLIQNQKKAFAAYLKRPQWSTISDEPTSGE AYYQLAPTAELGEPLAVLKRDLDFLSHALGTAVFRRIWREALEELNNMLWSDVLMANK FAASGAAQFRRDVDAISSVVERYIPDGSIALESIKEALHLLNLPVEAPEENSNTMTLK NAVDRVFTDNTEAKKVLEELEIESLSPPHARQILQRRVENAE NEUTE1DRAFT_86258 MYDEHWYSFTSEVQQSRPSGAKTRHRRANSLLQQPEETTDNNIP EEPLPALFEDQEDVNAPPEATVLRRAKSYSDFYDIATAHLAGGHGGPRTKKNKKKTNG RRNNHNLWDALMVPASVASTLPVEEDPYDDALDQELLQASQQEYLLYHDQLALTERHL GTLIDDANSALKTLETLCQSFRAVEEQTTSFKAQCDDLLEEQKRLQTLADEVGTDLHY YAYLDSVSRRLNALGAGRLVDDDAFGDILANLDSCIEFMIQHPQYRDADTYQARYQAL LTKALHLLEVGFTNRLDQVSGELARQITNTQSESARHALAYGRFEEILMNSYSLIPNI QRVILGAYDQNGQPRTGPNADIFANTANNLFHAYFAVRDRDLKPLTQHDLATFHLECK ESSIDTAARNYVKQCFERSFSEATLFRKIFSIEAPYSPNPKSAFAALNSHQRSLVTGT NISPIATNLQSKLQACDLQTICNLVGWVTNEYLLVDYDEDETPFVAHCRELTSRLLAE HLWTFTDGFFDAEITKSITKAVVPPEALKLGATTAADGGSSTAFPVVKRAIELLVLFD QSMPKERCQRSSRVIFRLVRETIAALQRAEVRIKAAKNGTDPDLFMIKNLLILKNELL TLEIGDVRNGTGTGQATGLGSSIQHLGQIWENLPTAGNLFGLVSSSVSTFSSYIPGSS LLSRAAGSITGSSSGLTTTTTAGAAESQDAGEQLDELLRQSIYAFTRRWAAIFNEVKV KASVGGKNSTQLEKELDEILEGAFAAQPEVVEKLKEAIQLDAQAQLTGGAKSGTGKDK GSVYTGGSRASKGSSRRM NEUTE1DRAFT_67580 MASPMMLARTALRPQARRMINSTQWTRSLGRRFRPEPEPQQDKS NLALRILTGVLAGGLVAGAAMAAYPMLTGGNKKEPMKVELIFQEPRKRSISAEESREQ LSPQHVQVKHSWEHPGVYAWGSNVGKVVAPDSNELVIKTPRRIAYFDDQVLRDLKLDS NFGAAIDEKGNLVQWGVGFDKDHYKPTVTLKGKDLVKLDVSRDRIIGLASNGEVYSIP VAAADQRAGQKETTASPSSLWSSQAPVNYRPLTPKNLRWGEKIVDVRSGLEHCLMLTS NGRVFSAAASSEDFPSKGQLGVPGLTWTNRPAGPYDQPHEVTGLSGSKIKEIAAGDYH SLALDTEGRVYAFGDNSFGQLGFENDTEHPYVDSPRPVPINKLYHGTNLRPKVTYIAA GGPNSFFTVDARAFQGQLGGDGSSGQGQIVPAKDLGRVNVETWACGEGIHGTLGNGKW THVSVCPTKVKALSNLFEFDEATNQVVPIRLSRMSVGGNHACAVMDNFTCVDSPLNGN VKSDTDTNFGADVLWWGGNEHYQLGTGKRNNVNTPSYIVPLDTPTRRGPAHAQENRLV QREGESNRFQLTPRKTVKLDGRSVSVEQRAECGRDVSAVYSAA NEUTE1DRAFT_46955 VPPFAPVSSVIRITGCAQDCGRLHLDATRGGPIEQRPTSSNVIA RKGVRYSDFPQQPGGKGSTGGRGGGASTESILSLQISILQCHRSCSGIRTPRAPYWLS GQTLEPVGMWARAWLEWPHP NEUTE1DRAFT_67585 MADSGDKSQGMRPPPFDSRGHPLPRRASPDKSITLENHRLGRDA SSRVTSSSALGVIESQPQLRSSPTRRNSSGESEPTNWFDQSNRNPAAAFHDESHIMEV DPPFYQKETDSSNEESRYPPGRNPVHPPGGVQLPGFRPVAAHSSAADDYRSVIDDLTV ENKRLKEELKRYKQFGSDVMRKEKLFEIKVHGLPRRKKRELEATLRDFAASLGDSSES TSQRRKTGRHGTAVHSSGVSLSKHDSSSSSRSRPVDSAYNSMSTGRSSHAPHSSGPSL GRPSLTRAKSVGTQKVENYLRDTPDGLLPHHIVMTDKEKKKLVVRRLEQLFTGKISGR NMQRNQSMPSMDAPLAPEGTNMAPPRPPPEGLREACIQLQNGDNPQKNRSSKDNGSAS NSGGDQTELGGTGTGSGDGSGSGGRTGNNTSPPGAIAPDQRPTRPRDLDPDRVQIPSE NMDYIRHLGLVSPEFLQGSRTSYQDVAPDAEGWVYLNLLCNLAQLHMVNVTPNFIRQA VSEKSTKFQLSADGRKIRWRGGTDGTKFSSDSSEDKSQKSPLTEDTEDGSDKNGRRKK RKTQQASSEIGRFGPSRSPSDTFHYKPMFVHRNSSSVETSLEESMSQGSEDAVDESNM GNSKWDFSGSGTTQQRRKRRYDGAIVYYTGAPFCTDLSGDPGDMSPTAQMTAGREVEG SGSGDEVEHVLQRTLSGSSLPIRPLSDDRARVAEALDFDPGNLPDLVADDGSSPNDED FVFPWCEDPAKVRIQPIAKEVMEPSGLGGVLPDDHFVMLVTTRRVIRPILQRQLSRST TSEDTAEFIAERLAAIRTSSPLPPRSHRLTVAPLQVEYVSGQFRRLNPAPLPPPAIFY PPFSTDSSWDDGDDLASDDEEVEEVEEDSYSEGQISRRANPHFSDNNTYMRKDDLAFD TETDVRMDSDDNRMSDSGHNMRSMMPRAGAVDGDDSPLAAVTGKEVDMLHTGSSVATA GGAESGYSSSMEDVSSS NEUTE1DRAFT_117872 MATKGKEVETAGRDATPANPRGIPQAPFVDKVEDYVTSRADVEP TLRRFQELIAKYQFMEQNLQRRVVGLKDKMPDIQKTLDTVRFLQMRKDESDPIETTFE LNETLYAQAKIPPTDEVYIWLGANVMLSYPIEEAEQLLDSKLKAATQSLQNCEEDLDF LREQITTMEVAVARVYNWDVVQKRKEKEEEEKTKGKKSETASDG NEUTE1DRAFT_117873 MELEPKYDDYDYPTTAPDAQEGHPGHLTPQQQAQVTQLRLMLES EGYTKRLDTLTLLRFLRARKFDVNLAKQMFVECEKWRAETKLDETVPEWEYPEKEEVS KYYPQYYHKTDKDGRPVYIEQLGKIDLNAMYKITTAERMLTNLAVEYERLADPRLPAC SRKAGVLLETCCTIMDLKGVGLTKAPQVFGYVKQASTLSQNYYPERLGKLYLINAPWG FSTVWNVIKAWLDPVTVSKIHVLGSGYSKELLGQVPAENLPKEFGGTCQCAGGCHMSD MGPWREEQWARPAKWEKKADAPAAAAPAAAVPAATEAAPAPAAEPAVAATEAAAPAPA AA NEUTE1DRAFT_124067 MEMESAVHRRIELQSPEDFTYLIDNVRRAAADSINAAFPPVDAG DRNGEDEEEEDELRVRIEQLVDDYITKTFTYVAPNISINGLPVTDPSEFLLSLSSSPL TSPSSTTTITTRTTTNGTNNNNNNNNNNNNKKSSSAKPSSSKKIQKTKSKNKKKPTKP QEEYEPFDPRKRSRLETLAREEEDLLRSIALLKRRVPASAASALVDSLARQTEADQKA LDRAKQKVVEEGVVAGRKALEGLLPFVDAGAAAAEGGNGNGNGNGNGNGDGNGNGNGN GNGNGNGDVTTGKGGNEKGGFAVAAAAAATMERQEEIEDGFAKAVEALRRLKSQMPAT VARMERARVAGGYVVAGVGGSGVVAAPGEGR NEUTE1DRAFT_46163 MGIERRQLSQSDNAGGSSSPGSSYSTSPEPSHPSSSPASSMTKK TLLHARRPSLLSSAISKQECTTINIAEDKDGPPELISYLSSSQGFAWNPEIFLPSYVD YDYIPLENRRDPIHEIILTDEEIKKILPQ NEUTE1DRAFT_86281 MGDVLVETPASVTQPQKKSAPSAIPNIETFEGVSTEGGDDYITL KKLQRQLEYIKLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTGI VQSSTGSNYVVRILSTLDRELLKPSSSVALHRHSNAVVDILPPEADSSIAMLGADEKP DVTYADVGGLDMQKQEIREAVELPLTHFDLYKQIGIDPPRGVLLYGPPGTGKTMLVKA VANSTTANFIRVVGSEFVQKYLGEGPRMVRDVFRMARENAPAIIFIDEIDAIATKRFD AQTGADREVQRILLELLNQMDGFDQTANVKVIMATNRADTLDPALLRPGRLDRKIEFP NLRDRRERRLIFTTIAGKMSLAPEVDLDSLIVRNDPLSGAVIAAIMQEAGLRAVRKNR YNIIQADLEDAYSSQVKGTSDENKFDFYK NEUTE1DRAFT_124070 MLTHTPRLQLCLAHYCDLHGPTPLMVTEGLPVPCSTCYEEGQQH DHSNVTDQPAPSATAANASVHAVAAAAEALRRMDLGGGGLRSSSAPVSEEQAQEYRAS LRRVSQAVGTSSAVETPPQSPQIPTGPPLSQQEKRRSDSGFRRTYDDYVTRRAGPCDN CALTLPKRQESSKDANPEPKPEQGPTLRTRAPFARVFSGGMDEPSPPISQTSSSIPSE DESITKSRPSHRRAETTASLASRSSSSSAQGVTAHTHYLDYTSTHEPVAANSFSIVRA SCLRTLSLETLPRPPATGYPSSGANPGITPSASPSPPAYVTTHSVGAAASGGPIFFGD PSAGYTTAYIFRIPDVHARGHKRVYAFLALSTHRERLAMKTFGFVAAAFRDLATWIQT LAEQEAERSLQESSANSPVMPGGPGYGGSLHSEPAAPANHIPTAGGPGGGSSFLMGGG GLSRRMGSGFSASGVALKQRGLAELVGLPDFFIELHARFVKLLLDLRVVLGS NEUTE1DRAFT_103118 MPSPQPCSAACLPCGVDVLKTGTAFGSRRPGRSTHSHSFSKELW VEWHDAMTILVLSEKMEGLEGNTVDCGCCPFAKRARVGTELPSGQTRLDNSTVKDERR REDGLGRKRVFGTSKTSGAKLEHARRPNKGLGNER NEUTE1DRAFT_67606 MDHFPRLRPHRRKRDITAPSLITTPTTTKSESTSEKTESPSAEL TTPTATPSLRSVHKLRPFRVFSRSSKRARESSPASHKQTPTVAAVMASNGTADHPASP AESKTSSGQQLKKDGRPKMPSFLELSESEIENKFQEIIWLERKRILEATQNPSRDFRW ARVMGPHLRQLDRYGNIQPWHNNRIKLQVPEGKIDYINASPIVLPPTVLTTADGETSN TQEPDRYIAMQGPKQCTTDHVWRMAVEQLESPGVIVMLTETHEGDFEKCYQYFPRTTE DTPLEINEGDEFGDGFRATVRCVGIEDTPAGDAIELRKMVIHVHKSAGRKSVDSKSNG SQSKRQTPEPENATTEEPKITSPLTQMAKETEENLTISEPEETVGRNSEEEEVEERIV WHFLYKKWPDFGVPDLADLDSFFTLMSLSREKNAGPHNPRIVHCSAGVGRSGTFIALE HLMRELDAGVLENWDERTAGVGESLSSPIRTSQEEVMSPIGSTKGRDDNDLIFQVVNQ LREQRKTMVQAESQYLFIYQVMRKLWLDKYGGDGDDSSSGERAAKRLEVDPGDPFFVN GYSEK NEUTE1DRAFT_86290 MDGSLDFKALRESLQAALVATTRTANSIASEDLQFQRTVNPTVG GQLDNSSGRLLSLANGLLKSTANLTGQKVGALEDADDVDIQWRNIVDVIDSLLEKADT CLDEYTGLIKRKDAPTPADQGRAQKRVKSSNDRLDWSLKRANILKPQNNFERKVDNFN TGPWKPLLSSKPHAKVSLEDSLTTFVDDDNNTQYKHPYETEIKTTPYPEHVYKKREPK EYLPIDSTSAIWVDTYEGVLEMLEELKQAKEIALDLEHHDFRSYTGLLSLMQISTREK DWVIDTLQPWRHKLEVLNEVFADPNIVKVLHGAFMDVIWLQRDLGLYVVGLFDTYHAC AVLGYPGRSLGYLLSKFAEFEADKKYQLADWRIRPLPEEMFYYARSDTHYLLYIFDMI INELVERSTPGKPKPDLLEQVLERSKDVALQRYENLSYNVETGQGPRGWYNVLLKSPT LYNGEQFAVYKAVHQWRDNLARREDESPFFFMTQQVLADIARILPTDKKALWSILDSN AKGLKSHLDDLFDVIQKAKEEGVNGPKMMDIFKSESFVLAPAKIAAVIADDSDIPDVK ELKADRSQFWGGVTLSSVWDGTAAKTAKLDDVLEITLPYPADFMDGSAAEMEVDQPEE TVPELQPAPEEMTVNQEFTLRNGGKRKREEESEEEEEASDAEMEDSAPQTETDVQTGG EAEGDDSDEEEEEEEEEEEASDKSSKKSKKAARKAAKEKKKQAKEALKAQRRAEKAAK KEAKKKAQEEAAAAAKQVDGEEEKEDQPFDYSQAASVLRARKTQEDVKKANKRFNPYE KKSQGGPKGARAHYTAKAAGRTATFKK NEUTE1DRAFT_124073 MSQSLAKECNEAKERYDTCFLKWYSEKYLRGNGTEQNNECSTLF KEYSSCLQVALKERGIDKLLDEAREELKDSDSTYMGKKKSSTGPDFCRDRMLEHIRDR QDQDDGLMRVFWPTDLPRSDCNGVVVGWRNSALDVLVVAVLEEVEPRRVESALKLGNL LRNAPHPVSRIYEQCGKSSISVLGVSNTPDTVEVDSSWIRVTIAPKQRVPSVTCARAS SIQIILFERPLPGRMQYMSLNPIALALGDKHDGFRQDFADSGEEDDEKRERLSKETKR KLVEKLKQHSVIKRIPSPKERALATIVNQINWAWELEKLLQQNVSRIGTRPKRALSVS ERVVESATTARDMVLVWLWELITIYVLPVIKKVFVLGLMAHRVVAEMLLRILEWRLRP RYAALKDISATAQQVEIRLQQFCYWPMQYVTLRMRKNDWASVTTSHPDYIRFYNSLWL VANDVIIGMAFGSYIIENAGWVADEISRLLTTYTVEALQSSISWLMGWPAGLKLNTEL AAFLGDLFLWVIEYWASCIETIRPMLPRIVLIIGFSSFAGASMPLALFSDLLSILTIH IYSFYLASARIFHWQLNILQSLFHLFRGKKHNVLRNRIDSCDYGLDQLLVGTILFTVL FFLLPTVVVFYLNFAIARMAIILFKACFDTALSCLNHFPLFALMLRVKDPKRLPGGIR FELRDTQDFGATSNDNIPLRQHPSTSVISLQSIPLDFKSMFYQYFQMGNRIRKHYLSP RVALCLLTGKFVPPLNRRNLYSLQYSMLPAKRAGVMEMWDAVNSLPASKRKSMPIQVP ILANGRRFPSNYGGGRSRSYG NEUTE1DRAFT_67615 MSAQGAAHKETPKETFYRQFQGTVANLQEQINHLANLSAVAGER HDTIEHILTGISRLSNEVADAADYVPAYDQRTYSQALKQLTDQLNEAQAQFRPKSRFQ FKRRNLPSGGDAMADKKNDARYMLPAGGQDKSSSPSAVTAVATANGSKLSSEDHKDSL SNLPSFPAKNYNEEIAQDPTDSRVRKPSFSTARDITLSDHEKLHIILPLSASRATSAG ALTNMKGCIVDMSVPTRVCAGGAPFASLALKNISGSLIVAGHVDGPVHITGLRDSKVL VVARQVRIHECENVDFYLYCASRPIIEDCKGLRFAPAPKFHSADKDENTNMWDQVDDF KWLKAEHSPNWSVLPEEQRITEDIWKDKVPGYPGAGLDDILSTVGIGAEA NEUTE1DRAFT_117877 MATHIPPTLSPDAIDTLTELTLILTKFRAAQASARSDAAAATAA GAVGPSLSSSSTNPSHGGPNSSSNAPISTPAPGAVTGTTPLPIPTTSNPNATTAGSFS AKDLPQATDNLKHKLQRARQAVLTLPDIGRTITQQEKEI NEUTE1DRAFT_117878 MAPEHKACLIVIDGWGIPSEESPKNGDAIAAAETPVMDELSKSA TGFSELEASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDVVRIDQTIKKGELSQNEVI KATFERAKNGNGRLHLCGLVSHGGVHSKQTHLYALLKAAKEAGVPKVFIHFFGDGRDT DPKSGAGYMQELLDTIKEIGIGELATVVGRYYAMDRDKRWERVEVALKGMILGEGEES TDPVKTIKERYEKGENDEFLKPIIVGGDERRIKEDDTVFFFNYRSDRVRQITQLLGGV DRSPLPDFPFPNIKLVTMTQYKLDYPFEVAFKPQQMDNVLAEWLGKQGVKQVHIAETE KYAHVTFFFNGGVEKVFPLETRDESQDLVPSNKSVATYDKAPEMSADGVANQVVKRLG EQEFPFVMNNFAPPDMVGHTGVYEAAIVGCAATDKAIGKILEGCKKEGYILFITSDHG NAEEMKFPDGKPKTSHTTNKVPFIMANAPEGWSLKKEGGVLGDVAPTILAAMGLPQPA EMTGQNLLVKA NEUTE1DRAFT_103125 MTPLVHESKHVAVAVDTRVDEETKTQKARTVSGPLVRSVPSLEW RQPPDRGQPTWMGNLAARARIRSPPVDGAKASGGVKVAHLPWLELGWARLRPPCPPSA KAREPRGRPSPVPRLSVLFCIHSGRPSPRNISVTKFLVRAGI NEUTE1DRAFT_47046 MASTRVLASRLASQMAASAKVARPAVRVAQVSKRTIQTGSPLQT LKRTQMTSIVNATTRQAFQKRAYSSEIAQAMVEVSKNLGMGSAAIGLTGAGIGIGLVF AALLNGVARNPALRGQLFSYAILGFAFVEAIGLFDLMVALMAKFVSNLNAASAAACSW MPNCSPRLDGSDVT NEUTE1DRAFT_124077 MASLKRKRHRGGTDSGTSSSEAAAAAGGVGAATAAPLASGSPVT RARRATRQTSTAPLPVLPDPEPPRRRRRRDSTGTGLATDRQSPTSPPLPPPGPPPPPP PAHRDKENIPMQSNSHHGHAPIIKTRLTRHTGFDFDPPSSVPTPPSSSSNSNSNSSSH PPRPHAASSHSSSNSSHSGPRAITPARSVTIKTSTSTAHQSPLAHPHQAPTASPLRAP APTPTTKILPGPLAGGQARHDSTTHGHGRSAAGPVVLPHHSIVGQSKTISMAPSVPPP PQHQQQQQQQQQQQQTHRSPIARPGPSGGKSDRPERAPGERPDRNIDKVVLGDICFRA WYPSYYGKEVLGEGPPSVGTTIANGTNPSNQAAHGHQPHHQPPMLDRLYVCPCCFKYS KELVAWRRHVCLCEARGHIPGTKVYVHPKGRRTVLVPTGPAPKPGRGKRGSIGQKMVE EVIQDEGEWSIWKVDGAEDMLFCQNLSLFAKLFLDNKSVFFDVSGFHYFLLVFTPPDP PTDPDSDVTEVVKPRGQVVGFFSKEKMSWDNNNLACILIFPPWQRKGLGALLMGVSYE ISRREGIIGGPEKPISELGKKGYKRFWAGEIARWLLSLEPTGTTPGEETVVDIEDCSK ATWIAPDDCLAVLREMDVAEDAGRGPPKPKIHEPSEEEVGTTPSEAPAPAPAAAPAVV VEDVPRVRITLEAVHNWVTRNRISLERTCDPAGFIDDFVMEEPSSEEEG NEUTE1DRAFT_131398 MLLKLQQLPAWSSVCSSSSIPDGSFRRQSTAAALVGAGAEAENV IPTGSAAPARLAPSLDASTTAAISADPFPVLERAAAQGESSRHERVPHAWAPAKPTPS VAASTTSADPSVVLWHATRGGLSRRERRLQDEPPVPGVQLPFEKKFTPFSPTKTLAMF IRRKARKYPLEKWCVLRALASNKSPRLPGCLAQFMFKQRVAYWRKSRVSFYHLRGLPS YLETEQEWARRIKRLAMKGYSTSDLEEWSWILEGKTGDEIVQRFVSRDTFKPYFLMNM VLGRDKRIFQAESLAAIFEYISRHYLEPRQKPEQQRVGPEFRSDVFKIMMYRLMWHCA AIWPAALISVAHLVATYLETCHVDVGGDDPVRWQRIRCRIFNSALCALDRRTPIAPYK HIRYNWEAQKVLLAASVRMRPHLLITQNGYRSIRRVLLGMKKTPAEEKAMIRSAKTWP PYRLSWDGVDEQRDREEDLSRSAKAGIMMVENGYPSQPIDEALTKLGGSLPGRQRTIM TRTDGPPKWSSPNMFVYATWVTAIAATRNVREAWTEFQRPPRPNLQPTADVYAAMLEK LFAEQVPPDSPLLPGDSRHYVFPVHDGNLSAYEISRLTPPSPDDLYRQMRERNIRPND RLLELLLRNTNSIEAGLQYILDGGYSAIARQALVGWSEDVEQLNTLPVRVFDAWIKLL CKTHSNYPDPDPQSFSHNHIPLAIKITKLYQQGNARAAHQDKRPWYTILRALATDKYI VREGEGTTHPHLHTLKVFMKAYRQTVFAKGLDTTIFDLLSLMVRKSTVALAFETRKAM ATATPTEKYGDLRMVPVIRLAAQKLHATFKEMVRPISVPSNGSASACFELPTLQYTLT APHIFRYMQALGCLGNAQLMLSLVNWVVDSWLDPRILENAREPGETEYKVLMQTFAYF MEMWPHLPVNDSLQTMLVRTRQKLEALRQTQGCTWHIPAKRTDGDVKGTDAVVAARWL NTQLHTDTWLNKPSRIVRRVRQGASGGNTEP NEUTE1DRAFT_67631 MDLPDRSHGGMFIDVPAETMGFQEDYLDMFASVLSQGLAKEGDY VHHQPLPAAKEECLEPIAATTITPSPDDPQLQLQLELEQQFQTESGLNGLDPAPAPES EDEADLPDGFSDESPDDDFVVQRSKHITVDLPVSTLINPRSTFQRIDENDNLVPPPQS TAERVAVEDLLKAAKAAGKNKEDYIEFELHDFNFYVNYAYHPQEMRPIQLVATKVLHD KYYFDGVLKYGNTKHYVTGMQVLELPVGNYGASLHSVKGQIWVRSKHNAKKEIYYLLK KPAFEYTRYYQPFLWIADLGKHVVDYCTRMVEKKREVTLGCFKSEFIQWASKAHGKSK AFQNWRAQHPRDDFRTSVAANIGYIWKEINGVAGAKRAAGDRLFRELMIVKPGQYFRQ EVPPGPLVTEGDRTVAATIVTPYIKECFGHMILGKVLRLAGEDAEKEKAVKLAKRLKI ENKNDTKADTKDDIMNDTATESLPTSLRALPVQVLEATPIESDIVSIVSSDLPPSENN PPPLTNGSVKPKAKANPKPKPSTQPLHAAHVKYLSQELVNKIKVGDVISTPRDDSSNT DTKWKPTDTDDHRWFGLVQRVHTAKTKSGRGLSSKSFDVIWFYRPEDSPCCAMKYKWR NELFLSNHCTCQEGHYARVKGNEVLAVHPVDWFSTPESNKGEFFVRQLYESEQRRWIT LQKDHLTCYHNQPPKPPTTPYKPGDTVLATLSPSDKFSDPYEVVEYFTQGEKETAFVR LKKLLRRRKVDRQDAPANELVYTEDLVDVRAERIVGKCVVRCFRPDERIPSPYDRGGT GNIFFITHRQDHAGCVPLDTFPPTLRQGFNPLGNLGKPKLRGMDLYCGGGNFGRGLEE GGVVEMRWANDIWDKAIHTYMANTPDPNKTHPFLGSVDDLLRLALEGKFSDNVPRPGE VDFIAAGSPCPGFSLLTQDKKVLNQVKNQSLVASFASFVDFYRPKYGVLENVSGIVQT FVNRKQDVLSQLFCALVGMGYQAQLILGDAWAHGAPQSRERVFLYFAAPGLPLPDPPL PSHSHYRVKNRNIGFLCNGESYVQRSFIPTAFKFVSAGEGTADLPKVGDGKPDACVPF PDHRLASGITPYIRAQYACIPTHPYGMNFIKAWNNGNGVMSKSDRDLFPSEGKTRTSD ASVGWKRLNPKTLFPTVTATSNPSDARMGPGLHWDEDRPYTVQEMRRAQGYLDEEVLV GRTTDQWKLVGNSVSRHMALAIGLKFREAWLGTLYDESAAVATATATTTTAAAVGVTV PVMEEPRVGTTDSTRLSRSPVHTAVDLDDSKSERSRSTTPATVLSTSSAAGDGSANAV GLEDNDNDNMEMMEVTRKRSSPAVDEEGMRPSKVQKVEVTVASPASRRSSRQTSRNPT ASPLPEASKATTHEAPAPEEPESDAEYYSETYDKEGFDGDYHSGHEDQYSEEDEEEEY AEPETMTVNGMTIVKL NEUTE1DRAFT_148171 MRPATTILGLVGLLTTAAEAGPLRSREARRQAAWQKRHDEDGTT TTTLAEGGGGSTTIVVSYTIPGFIGVTTDFPSDFGKSSTSTTGAAVVSSSGVAGAPGG VFVTVSGSASSSSAAATSSSAAASNTQQPNEPAVTSSNILNDLPTAVTGALPSATTTV SPEIYAKNLADARRYNEQFSSLTKDTTCTPGQAACVQGGRAYCNAQGKFNVAQCGSGK KCFVMPMTTVQGVVIDCYEPDEAAKVLGSVAAPIGGSSSGAGGGGGGEVEVTQTVVVT QSAGTTTMTVPPPYGSESSSATQSVGPVTVTVTVVEPPPPGGVPLSSSAITTSAAASS VIAPVPPTTSSATSSSSSVIAVQPPVSSSASVTSSSLASLPLTTTTDSSKTLITVTAT VTDGGNTYPITFTIDPSSAHPAVSSVATTSSSSFPSVSSSEPTLSYSSVISMPLVSSS SILSSTASVFSTISSSSSLSAPSSAPAPVTTTSDITSSSITTTTTTIAPIITSSAESS AASSSSTTDDDPLVIIPVTGTVTDLLSYDAFEAPTQSIQVPSVPSAPSAPSAPSAPVA PSAPSAPSAPSAPTGIVAGNGLIKAAAKVTAAPTAAAAISLDPQQQQQQASEAQYWAT VDRLFKPPQYQYSPGHKNELNVYGNGNGNVKLAGAAVSASTSVSVSVSAEQSSAAVSA SQSAVTVSVVSVTVTETATATQTQEAKVVVQTVTETETATETATITTKETVTETKKEV STVRVTDTLIHNETATVTETQTVTATKTVDGPAVGTAAATAAA NEUTE1DRAFT_148172 MGMLTQKPCPSQLASSYQELLDEFSSKDLRSVGNYTLGRLIGKG SFGKVYLATHKLTNGSKVVLKSANKDDTNLAREIHHHRQFVHPHIARLYEVVVTENLV WMVLEYCPGDELYNYLLQHGKLPVDKVQRIFAQLVGAVCYVHRQSCVHRDLKLENILL DKHENVKLCDFGFTREYEGSAKYLQTFCGTICYSAPEMLKGEKYAGEKVDVWSLGVIL FALLCGELPFDDDDEQVTRRKILGEEPVYPDTLPEDALSLLKTLLSKRPLIRPSLPDI LAHPFLSEYAPQQQEILKLEHPPPFSTPLEKETLHRMRSAGVDTEAVMESVIAQKCDA LAGWWTLLIEKEERKAARRERKRREKEENRNSRRFSQASSRLNALATVEESQYAPFGE QPQRPRGRSESRRSGHYPALLIPDMPSLSDYPRSATETRSPIEGDMPPPPLDKDSIRG RSTSSSRHRKPIPPPKEGILRSARSRGSTLHLVTTSEVLDLNGSLQLPDDQNQVKKRP SKTKIFWKNWTHWLFESTRRHKNSQKRASQSTPNLADKAAVQSAKDGKSKDGEIQRPQ TSKYPAASSPITSGTAGLPKGVVANGYANKASPALSAGSAPFKNSNGCVGLSSSLPSS LPPVPRTYTSGSHKRQSLSPSPVTPRSTMRRASGTAGLRGRKSTSSSVSSIRSMHPTH HHTHSKASSTSSNNSVSTSVSKSALQASRSPHHSVKVLPATPTTVGFPSNIRYVRDRT GPPLGIGSIGGPSLFANSPNDYGSSDDGRLNAAFLGNGGGGRGGLSALNTNTQYYHMP PAPGSPNPFASVNSGGYFAPRAPGNGSPVVGPGGHGGVMFAKRKKNIFKGPVLNFGGS AAGASSRQREERSSSGASGSASASHSRSASASGMGRRSGEITIQEEEEPGEEEEEEQN AHGGGGYSSGGGGYHNSHWGGSRTGAGWGGLGMAGMEEEEEVEEVDSFSPIITGPGEI VEERIIEDGEEEEEDDDAQESADEGTITDQRSRRSRPRSQDLDEEEEEGLNAAGDARR HSNSNSNPNPIQTPTRPSTHGVEEEEEEEDHVDVGEEFKGLGYDVGVAITTAPHRLSA DGPPLSSSTVRAVPEQHQQQQQKRGHRRGWSNGTSSSGGGGSNNNGEGTSGKVSR NEUTE1DRAFT_124083 MALPSAASEHYLEQPTYDDPPEDSSREQSQNEPQENDDNPPGGF DPTPLPDAPPGYTIKITFHKAINLPVADIQTVSADPYIHATLTADVPKRHPEDPPLTR RTRTIHHSLEPVWEEDWIVANVPTTGFVLKCRLYDEDWPDHDDRLGNVTIKVDHLDED WPGFGLDGKFFEVRKRSGSKRAYFHKGIEALMNGLKWTTPQIHISVNVLGLSDPPHAQ MCTLGPTYWVKHFSPMIGRLTESSFQANEIQLAGPVPPKLYHRYVEFRPIIGKMFSQK GFRGHILNKVLHKQHRRIYNFDSSTQYGIFAERSREASVQFLKMAHYAEGGRIFTYVL TLDGLLRFTETGKEFGIDLLSKHTMHSDVATYIACSGEFFIRRISKRHRHHHPHTDSS SHHYNDQESGNGESSTCENGFDHETHDHPSASSETSRDPEDYKLIIDNDSGTYRPDKC VLPDLQAFLQGQFPGLHIRALDCGDEEHQKAKKEQLEIKKKEGAKVRMVLNRSPSSSS FSSDDESRLGDLDAMDDDTPRYKSKKERVFEMVEDPSTWRDWVPATLRLKTRPGNAKN THQ NEUTE1DRAFT_67638 MILVRTAAAPFSTSVSVSVTAVISRVTWSLLLATFFYSTCVASA SINVVRRDGNGDNGIIPAGHTQSPTLPFTSNSNSLAGRPQKRSTTEHVILCDCLSAQG IRSSQMAYYSAEVHGLPTGGVASVETKFNTTAAWYNDTTSATWADTGVTFKAVIGYHV AENDYLGKGNNGYGDFTCWQRAKTGFSYDLNGDGNVCAMLIDCNKDTAPSTNPAYVPL GSTTTTGTPATSSSSSSPSSSSDPAASAQKDKVISNGAVIGIAVGIVGAFIFLAGGAS FLVARRRRQIRKRQQEQEQRQRKEARTESTITDPNTVYELDGGWHRHEMGDDTGHYEI DGQVRCEMDGVDGEIKGKMDDLFAEADVKEVIISNEKVDSKKAIIDEEIDDKKKKRYV GGVSEKEKEVRRDKEEREREREQQEQEQKQQQEEQKRNRDAEQLPPVSLLSPLLPAWA AGAGTSWTEEVGQTPFCLPALMPEPEKFMAEDFRAYKEKNNDNDKDETNFKFRFEEET QKYMKVEVPSPTGATGNGEDAHASEDDSSGGQEGKKEEGLP NEUTE1DRAFT_86320 MEATDEALDIQAQVLQTTLQEITATRRASAANEKDGEGVNDDDE VAEADDCCVICLDSISEPCTAMPCAHSHFDFVCLVSWLQEHPNCPLCKAAIYKVRYVD STSNESFYRVPNAPRPRNKNENNGNGDQSGNPALSNLLRQRRNDQRRRRDRDANTHST PTPGEAIERRRHIYRHQLYSLHVGSNRISGYKPTPTPDQFATTPHLITRARLWIRREL QVFAFLSDPEPTAGPSGSTSNASERELTRRRNNAEFLLEYIIAILKTVDIQGSAGQAE DMLADFLGRDHARLFLHELRSWLRSPAHSLVAWDKEVKYPHDSSTGEASRKRKAQNSL DVDDEGEDNIRRHASGSERSQTPRWPERNTHHRQTGHERIWRRRDFGRSPRRDGNSES NRQGPG NEUTE1DRAFT_139968 MDEQRKAEYESQSLQLRAELKQFEAEWAQKNDGKKPSREAIKQN PDIAQKYKQYNKLRDILSGKIPPPTRSDNQDPSQRKRKQPDTSLPSASTPSKRNRSAA TPKSQHYSAVHEAITPDVARKLF NEUTE1DRAFT_148176 MDEQRKAEYESQSLQLRAELKQFEAEWAQKNDGKKPSREAIKQN PDIAQKYKQYNKLRDILSGKIPPPTRSDNQDPSQRKRKQPDTSLPSASTPSKRNRSAA TPKSQHYSAVHEAITPDVARKLFSPAVPSSIGPTPQKDGRVLGLFDLISHTPSKSTDE IKPRASGFTATPSKRRHALDLENDKDDDDHDEKDGKEFITPSIPRHRNLDRTPSSGRN RNLLDSFLGVRATKTSTPLNKNTGNSPSKNNLTKTPSGERSVSKLQFATPAFLRRTSA PLPPVDENGEWLDIEPLKLPRKPFSIAKGKGLSSVVASLRKMEEEKLDEELDMLREME AMEQGGMGPPPPKKTVASTSEDTEKKKQVTDPAGAEVVPEEENNFEEDEAALIEVEAS FLESRSSKRKKERRPVLLSGFDDENFYDSQDEEDLSKEGLDRNGQPLRVFKKKGQKRT TRKVNMRPTRTKRPSAPIAEEEDDGVEEHNDVIPETQFDATKNLDGDDHHTLDSLSSG GSGSEFDDGSEGEDEEAGASTTKAAKAAAKKKAPPAKEKTKKDATTETKKKKGTKEGG DEEPAKKPRMVKATANANFKRLKLKNNGAKGGPAHNSRFRRRR NEUTE1DRAFT_16830 MKVLSLLVAASAASAHTIFVQLEAGGTTYPVSYGIRTPSYDGPI TDVTSNDLACNGGPNPTTPSDKIITVNAGSTVKAIWRHTLTSGADDVMDASHKGPTLA YLKKVDDALTDTGIGGGWFKIQEDGYNNGQWGTSTVITNGGFQYIDIPACIPSGQYLL RAEMIALHAASSTAGAQLYMECAQINIVGGTGTAAPSTTYSIPGIYKATDPGLLVNIY SMSPSNTYTIPGPAKFTC NEUTE1DRAFT_131406 MSHLHFREAMALPSRIAQYLKRRQSRKSGKMSKKKHQDAAYQMV SSTNPNPRKRSSEEITDATRDVSVDRFLDADAAPPQKRPRWPVVEGRDEFRPSRPRKR SSDKFDEASSDASSDRFVDADAPPPQKRPRWPATEDSGECEFNPFKEKKSRSGALAEE IADATALEDRDPFDHEELASHVQRYRSLAEELADATAHEDGEAFDDEADEAPSTPRFK SLAEELADALASESGDEFVEYEETKSPKRRSLADELADATADEDGNPFEDEERVGMTI AKAGGLSYVKATIDLGHLSFDHSTPTSFRPLRPLAEDLTEITANEDIEPFDDLDELSS GLPELTDDDDSNSIFDGGSVGDHQNAPTEEESLSRNHKALVSQLRGRSLADELFDATT EEEGDPFEEADADLHLRFHSLEDQIADAMSRNIGQGLDDVDSAPRMCLNSPAKKMLDS IASEGEDISDIEYDASFITALETLSENDFHIEDDDAPIQGRRRSLADEIADATLGEEG DPFDVAEDSPADDTTSEPSAEVRKRPFWLWRKYPSTSMLLGGCPMQ NEUTE1DRAFT_117884 MCQKDATSNTILTCRRHHGSISSATFHIGRQEDEMRQQQEAGKK TDHRYPSLSFSPWGIYLLTL NEUTE1DRAFT_124089 MATNTYPPGSMDTGGLDIESKASAYHSEKAPSASTSSSPNPDVA AAAVITSGTSSTDFNLSPAEEKRIKRHIDRRLVMTIGAMYCVSLMDRTNLGAANIAGM GKELKLIDNRYSIVSLVFFVTYVLFQPPSTVICRKIGPRIHMSVLTLLWGSVMIGMGF VKSWEQLAALRVVLGVLEAGFFPSCVYLLSTWYTRYEVGKRNSVFYLTGCVASAFAGI LAYGLMQMGGLANLTGWRWIFIIEGVLTCLLGIAGYWLLVDFPDSPRKTWSFLSARDR EWVCARVQADRGDVVPQKFLISKYLSSGRDWKVWAYAMIFFNTTTISYALSFFLPMIL NTGMGFNIAQSQCLVAPPYAFAGFIMFAGAYVGDRFRIRGPIVAFNALIAVIGVPIMG FAASAKVRYFGVFLVTAGANSNVPAAMSYQANNIRGQWKRAFCSATFVSFGGIGGIAG SLVFREQDKLTGYKPGLYACIATSLLTLVLVACLTVSFWRENKKAERGEKELEAEDDD AQPGFRYTY NEUTE1DRAFT_148179 MSAFAARQKLLAAAASAAATTPNVDDDDNNNNNNGDIIDRNTSA KSFFTRDNFRKALQAQKQEPSHHVDETPAARPRKRKQGPKDEVPAEGRDEGRQLKSQF PNLSEPIPTGRRRVIYYSSQRLSQTNIQSKANGRMLLKLLDGERLVILGSYGIKVRDG ELTVAGAFLSESDLVHWVHAPQCHALPVIRITSDATIELHPHPGARSLRQLASLNPAF GKLWNESDDVAAGQAKKVVPTFQIIFTSDDAPRRGGVQELVSPAEWNKQLADVLDSKR KATPVVFLTGPKSSGKSTFGRLMANRLITGSGLSRQPWAPVVVLDLDPGQPEFGPPSV ISLNKLSSPNLSPPFGHPALDPRTAQLRAHTVASVTPSLDPDHFVACALDLFHTYKTN PSLNKLPLIINTPGWIQGTGLDILSELIRQTVPTEVIYMSQDGPEETVDGLKAACQEK KIPFVALPSQQPQGQPSSSVDATTPSTSGGGGSSRTALHLRTMQTMSYFHLLFSSLTV PVPHGQSQNQSQPHPGPTWSATPLTHLPPWRVRYRGPRPGFLGILCYDHQPAPDLLAE AINGMILALVKIEDRAGAFRDFPDLLVVSSPQEQQQQQSVQQFKKQSSSQKQQQPELL ICKTPRESLPHLPNPQGKTLSPAHSRVLGLVLVRGIDVKKGELQLLTPLPVEMIAGNE QDLVLVAGRFDTPSWAYAEDLHRRQWEKNNAAAARNKQQGLVLGGGAKMEIDDDDDGT GDGDQSDETESVGADEVEERPEWERVQALHGGTSEAQPWVEMLHGSQGRAAGSKVWRV RRDLGRR NEUTE1DRAFT_148180 MAGPRAPIGTLSQHAANAAALARNTSTNVFSQQKSQLKSKKGKQ EESDSDSDSSSSSDDSGSDSDSETKPEPTADWASNLKKTNMAQTKATKAAASPAPKKA KVEPVKAKPVATKKEESESSSESESESESESEVEDKKKPVKKAEEKKPAAPAVKKAAK KDESSSESSSEEESGSGSDESSSDEEEETKPAPKATPKTAPAKTKQQTAKQPTPSSSE DSEEGTSSESESDEEPAPKANTSAKLAKPASKTPEAKPVVNGTSKSNETVSKSDDESS SEEEEEESGSEEESSSDEEMADAPQPKAAPKKTSGPQLPQVIAPNFHMRKADANADAK DVARTFQKAQSEGKQIWYFTAPASIPIEVIQEHALPLSKIQSGEPIITHNGAEYNATL EEGTTLHSIQVLLPTESGDKYELMKQPIAQALHIKRVTRLNPAAAATAAVSAPATGNA PRPQPAGLKARFHPIGASAAVPMGKIGADAVGEAADSDVDMAEAAPAKSSKKRKNETV SEDAPTKKTKKTKEPKETKTSSKKAKSETPIAPPAVPAAAAAKKTSVTPKKITPILPP SIPQSKSS NEUTE1DRAFT_117885 MASITSTSTIPASTPLDLIALSIHSCLGGKCCCCYAPGHRAICI PALSSSLLSTLSAQIIAGAVREEDRLAAPRIANGENWGEMDTSPGAVTLSSAHCAVFV VIDGRARLD NEUTE1DRAFT_67654 MLPLRIRRYRPFLIGAFIILVLLYHVSQNSDWELSQSALYSPKK APADSAAKDRLNVEYQPPQPPKPTSIAHEKQTTTSAQPTPKKETGIRIPQLKTSNEVP GGFGLPTPAPTVGKAHDHSAAEESHVAVKLPDRPVPGTNDGGKPIADIENVEPTSTKE HWQKPSEWFPVPKESIIKLPTGKPKPIPTVQFNFGEESPEAKEKRVARLNKVRAEAQR AWSGYKKYAWGHDELTPVTRISKDPFCGWAATLVDALDTLWIMGLKEEFDEAVDYVKE LDFTYSAHRSEIPVFETTIRYLGGFLGAYDVSGGEKTKAAYKILLDKAVELAEVLMSV FDTPNRMPILYYSWRPSFNENPKRASTRSGMAELGTLSMEFTRLAQLTGENKYYDAVA RITNALEDLQNREDGTALPGIFPENIDASGCNRTAQAATNYDNLSDAGKQQVDDATDL NEEPQGYTAGMTAQDIQNGKDPLDLNGVTKRELNRRTPPPRSSVPGHQPPPWKGAKNQ GPPRDAQGMPANWDCVPQGLVAGGWGSESYSMGGSQDSAYEYFPKQYLLLGGLEDKYR TMHEKVSAAVKKYLLFRPMAPGNPDILFSAKVTSYDHTDQKLNYEWEATHLTCFLGGM YGLGGKIFGSPEDVEIGKKLAAGCAWAYEVMPTGIMPEYSMVLPCAKADDCQWNQTAW YNAIDTEAEWRDEQLKKWEVNHAEWVQEVKMLKKEYAEAEEAAAKARSEQEAKPKNTH QSGLNPGVHQGMQQDVDYHLTKRRLSEDDKIEVAAKVNKLEDELDLNNIAGHAQQDQQ QKPMSELLLPPEPEKPLSHEEYVEDRIKRENIPPGFVSLNDKRYILRPEAIESVFYYY RITGSPIWQDKGWRMFESVIAATRTDIAHSAIDNVAIASTSTSTKDKDKDDDRKQTKP SFTDSMESFWLAETLKYFYLLFAEPDVVSLDEYVFNTEAHPFRRPT NEUTE1DRAFT_86337 MYQNGQRDPPRPFAVPPPPPPMSPPQVGSGVAGINSVMNIPPPP PRYPGAPGTVGGSLLPPPPGPPPGNPGFPPSAIAPPSALGAAPWHGAWGRPYNGQTAF NLPPPPPGGNGGLQAYNPLLHAQAAAAAAAAAASASNTPGPPATSITIPPPPPPSEQM SATYIPSAGDTYGEGVGIPGLGLPDEIGGPGNWTGAEQQRLGGISMEDAHRLHAAPTA DRGLSTTSNTPNSSSAIPPELAAQWPLDRVLMWLQANSFSQDWVNTFKVLNLHGAQFL ELGSGHGGRGNFGMMHQQVYPQLAHECQMSKTGWDQTKEREEGKRMRRMIRGIVTGRS VDPSKVAASGGAQGGAGGGGGGAGGHARRESVSASVPPSAGPDSADSPNVEFSKSHLF VQEEQVQSGPKAPGPGFAGRRFSQSRATTMPLLTSTMSSGEPNHRSMMRNLDIDSARR HSPSTSNVSESGDLNAGTFRTVGRERQDSPIGGSPNPSSALFPSNAGNTILSSSPHRS GSRFGHRSRNSSDSVSSNAAQYGSGIPADAAAMFKNGSLADMIKNSFNSNNLDRRNGQ DGGRPLGVVETGDRSAGTDPPNSAKGPKSFLSFLSRNKRKEDGTSPDELDSPTSPATG FKAHSLGSRAGHVSETSLDQRPGSSISTHDHSVGFQSGHSRKKSVVSTRVYLLATLDH WNYRMLDVTDIDSAHDLRQLVCINLGLPDSEGAAIYVTELGKFDHEEPLDDMKLLTNK RLRADAIGTLKLFVKPGGLTSSPYLGTGTQSGQPSSYVPRGAPPMDEETFNRLNGQRQ RSSSSPPTSRQNTISSKDRDEKMPVPEPVEHKAEPPRKHAIKETSPIGETTPFGIVGR KVDFDQPRLSPFEDKRPDHLFPVRKAPAPPGDPSATLKKVNSLSKKTGQGFRPSTAHA TQHHHHNAIDHGYHQRRVSTDIRENTTSERQTRRPAAIRGTAEVPSPSGGIGSLLINI GGHLGGIGHPVAGGARALSPNRVASAPVGHGGEMSEQQRARAPSPSAVSPNARRRPSE SHISQQQYQQNHRPPSSQQQHPHLQHQAQPQQQPQQQQQQQQQQYQSRQQQPQQPQQQ QQAQQPQQQQQQQQAPAQHEESKRKSHGPDVDFSDNDVRFTPPPESESLNASSNHQNY ADDQDDSDDSDDGLFAVPISKNKTAETSKTKNDSGGSDEGLGKRPSLKVATDRSKKNL SVAFTTPQASPRMATFTDESEHASVPASSGHGHRQSAPVPSGSSKEWEQDETECKIGR RKSFIEKDVWANRPPTDALINNLEDFFPNLDVDQPVLEEGGDTEVEVDAPSPIAEVDE SQFQTRPQPMAETMAQSNTAVAGSSNNAPMLPPLNRVSSAFNESDTLGSDESTLKALE SRPPSMVSGSVRRSRGLGRMKSIREVARGAHEAHKRYTQTSMQTNVATPAVPNATTNL MRRKSTKMFNANIVQIEPRRGSILSTIPQETLPTQDNINTLPKRQTTFRWFKGQLIGK GTFGRVYLGMNATTGEFLAVKEVEVNPKAAQGDKKKMQELVAALDQEIDTMQHLDHVN IVQYLGCERKETSISIFLEYISGGSIGSCLRKHGKFEEPVVASLTRQTLSGLAYLHRE GILHRDLKADNILLDLDGTCKISDFGISKKTDNIYGNDKTNSMQGSVFWMAPEVIRSQ GEGYSAKVDIWSLGCVVLEMFAGRRPWSKDEAVGAIYKIANGEAPPIPDDIREEITPI AIAFMLDCFTVDPTDRPTADVLLSQHPFCELDPNYSFMDTELYAKIRGTY NEUTE1DRAFT_124095 MAGFRLTIENGSFRDVHGRQITLRGINVAGDAKYPNKPEQPSHV GENFFDGDNVKFTGRPFPKEEAHLHFSRLKRFGYNTIRYVFTWEAIEAAGPGIYDEEW IQHTIDVLRVAKRYGFYIFMDPHQDVWSRFSGGSGAPMWTLYAAGLNPQSFAATEAAI VHNVYPEPHNFPKMIWSTNYYRLAAATMFTLFFAGRDFAPKCIIDGVNIQDYLQDHFL RACAHLAQRIHEAGDIENDVVFGWESLNEPNKGMIAYEDISVIPKEQNLKKGTCPTIW QTILTGSGRAVEVDTWDMGGMGPYKVGRALIDPSGEQAWLPADYDESRYGYKRDPGWK LGQCIWAQHGVWDPATDSLLKKDYFGKHPTTGEHVDYPYFSNRYFMDFFRKYRDTIRA IHPNAIILLQGPTMELPPKIIGTPDGDDPLLVYAPHWYDGITLMTKKWNRVWNVDVIG ILRGKYWSPAFGIKIGETAIRNCFKNQHATMRQEGLDYIGNHPCVMTEFGIPYDMDDK NAYKTGDYSSQSAAMDANHYGVEGAGLEGYTLWLYMTKNDHELGDQWNGEDLSIFSVD DKLLPESPLPKSHSRDGSSSSIATPTGTKDDDLDDDSSVTPANIKRTLTNPSISSVST QRQPELTNSPGYRAAEAYVRPAPIATAGTVKKYGFDLRSCQFNVTIQAPEGAKPDTPT VVFLPDYHFPKDACQVEVSTGKWEIRSDEEETTPLQKLRWWHGEGEQTLRVTGVVKQV NGGSGEGTEVGYYDQVFNQAKGFLDACVIM NEUTE1DRAFT_86342 MPHSESPPESENSPIPMANVDQEQEDAVAESTTAQVSEAQQPAD EDVDMTDSNAVLSAPTNGTTHTSESKAESQTETPNNQDTPVPETATSAPSESKPATGV KLEELFDEMDSDDDEFPTTKAAKREPASSPDLLSSQSDMEIDLHEASDPEVMRTFYQR LFPWRYLFQWLNHSPTPTNDFGHREFAFTLQNDAYLRYQSFTTSDLLRKDVLRLMPSR FEIGPVYTANPRDRKTLRNSSAFRPLSKELCFDIDLTDYDDIRTCCDKANICQKCWQF ITMAIKVVDTALREDFGFKHIMWVYSGRRGAHAWVCDKSARALDDQKRRAIAGYLEVI RGGAQSGKKVNLRRPLHPHLVRSLDILKQHFQSDVLEAQDPWRTEDQQEKLLALLPSD QKQLVSALRNKWASSPDRPSTLKWADIDSVAKTSTAKNFDTKSLLDAKQDIVLEYTYP RLDIEVSKKLNHLLKSPFVVHPGTGRVCVPIDVKRGLESFDPLGVPTVQSLIREIDEW KKPETKEGGQEQEVEKHVVDWEKTSLKGYIEFFRSFVIGLMKDEREIKVKREREEEGG GGESMEF NEUTE1DRAFT_131414 MADQTPQVAVAQTEPSADTISTANSKRKAEEQITKEMVEQQQTE QPASSSSEEQQPTEQTTTTTTDNAQEPQAQQEQQGEDDTPKISKNQLKRLRRQAAHEQ FRQERKEKRKEKRHQQQAKKRALKEAVVAEAQAAGQDPEEALKKLCKEPWVAHPVPVA FIIDCDFEEYMRENEIVSLSSQIVRSYSQNRRAKYQAQLVISSWKGKLKDRFERVLKN AHLNWKGGVKCVEGDFMQAAEIAKGMMEEADVKEGMIDLLKPSGNGGLLLPEPEAEET EEGGDAAAAAAAAAAPKPKPEEEAEDVNQSIVYLSAESDYTLDKLEANTCYVIGGLVD RNREKGLCYGRAKAAKVRTAKLPIGEYMAMQSRYVLTTNQVVEIMAKWLECGDWGEAF LTVIPKRKGGTLKAESSCGTPAADNGEDDEEEENGTEEANGEAAAPEVTDQTTEQAQD VQMSG NEUTE1DRAFT_124099 MSSRPELKVDDEHGFIRFYKSLPQLGEEAIRIFDRGDWYTAHGD DATFIARTVYKTTSVIRQLGRSDHTGLPSVTMTVTVFRQFLREALFKLGKRIEIWASP SGRMNWKVVKQASPGNLQDVEDELGGQFEGAPVILAVKISAKASEARTVGVCFADASV RELGVSEFLDNDLYSNFEALLIQLGVKECIVTQDKGEKEKDPELAKLRQIIDNCGVAI AERSAGEFGTKDIEQDLSRLLKDERAASLLPQTDLKLAMGSASALIKYLGILHDPSNF GQYQLYQHDLAQFMKLDAAALKALNLMPGARDGAKNMSLYGLLNHCKTPVGSRLLSQW LKQPLMNAEEIEKRQQLVEAFANDTELRQSMQEEHLRSIPDLYRLSKRFQRGKATLED VVRAYQVVIRLPGFIGTLEGVMDEAYRDPLDEVYTNKLRELSDSLVKLQEMVETTVDL DALDNHEFIIKPEFDDSLRIIRKKLDRLRTDMDNEFAEAAEDLGQEREKKIFLENHKV HGWCMRLTRTEAGCIRNNSRYLECSTQKNGVYFTTKTLQALRREFDQLSQNYNRTQSS LVNEVVGVAASYCPVLERLAAVLAHLDVIVSFAHCSVHAPISYVRPKIHPRGTGRTVL TEARHPCMEVQDDVTFITNDVTLTREDSSFLIITGPNMGGKSTYIRQIGVIALMAQIG CFVPCSSAELTIFDSILARVGASDSQLKGVSTFMAEMLETANILKSATAESLIIIDEL GRGTSTYDGFGLAWAISEHIVKEIGCFALFATHFHELTALADQYPNVKNLHVTAHISG TDTDTDVITDEDEKAKKKREVTLLYKVEPGICDQSFGIHVAELVRFPDKVVRMAKRKA DELEDFTSKHDEENGGGLGVQYSKQDVEEGSALLKDVLVKWKDEVKSGQISKEEMVAR LRELVQKDERLLGNPFFKSVQAL NEUTE1DRAFT_67671 MGLISAKTILTSICLFHITLAFFFVTNPATIADQGVVWLMGEAM GLPHSRHFDTQSPTAAFLGIVLGLWGLTDLVSLSMPEEIALIHHWGSQVPLRLTCSFF LTLYSFFFSASSPLYDSATPSGGKFSHPTTSSSFESQYAAKEVAGDWGWGGDALKNRV FFTFMFVETFSWFWVYLTLKEEQVDILKRAAQRREKERDRF NEUTE1DRAFT_67673 MENDNKAHEEPEQEWPYLDVHRHLRYWKMCIRAPLPHVYLSNES NRLALAYFIVNSVTLLTPPPSKRTPETPEPLISREDKRAMRKWVLHHQHPTGGFCGTS SLVFPLNSYDTYNFDTKTLDEPDHAGLANITSTLFALQLLALLADEEDEAAGENVFEG VNRVGTLRFLKSLQREDGSFGEALSDLPGHGRFIAGGYDMRYCYIATAIRWILRGDVK EGSPGWVEDFDTEALARYILNSQTYDRGFAGNSQDEAHAGYAYCAIAALTLLDRPLTS TSSSSSSSSSVPHKSPLLHSSIRDLPGLIHWLASRPFVYLEPPPPSADQDPDTYIYQE DDLDDPNFLLPPTPSDLLCLLSQTAEKKGHDKTHVAFNGRTNKVADTCYFWWVGGALA NLGRLDSLVDREAARRFLLEKMQHRIGGFGKSPGSPPDLYHSFFGLAILGLLGDEREG GKVRGFDAGLAVPRETVGVIERARRKLIESEKGGKGVVDGGKELDAVEMGLELRGGGE RPKWLGACGY NEUTE1DRAFT_47379 MKATPNLLLLWGTSLLSHTTSAFVIDNNNHYQQQPLIVDDASIS PLQISTSSAANDGPSYRKNLLSLHKSLIEIPSISRTEQEVGKFLLDYLRNNLGYAAKA QFLESDKTSHGSDGDDHSQGRFNVLAWPSSHNLSSPRVLVTSHIDVVPPFIPYHINTP EGSDQVTSDTFISGRGSVDAKASVAAQIVAVEELIRAKEVDPADLMLLFVVGEEISGD GMKTFSAAYNDQDADKSNNNNNKKELPRLHFNAAIYGEPTENKLSCGHKGHAGGLLKA QGIAGHSGYPWLFKSATEVLVKALAKIISADLGSSERYGNTTVNIGTIAGGVAANVIP KEAQAKLAIRVAVGNQTTGANIVREAVDKILKETDAEAFTMEWNGGYGPVECNCDVDG FETMVASYGTDVPNFEGDHVSYLYGPGTILVAHGDDEGLKVGDLETAVEGYKTLIKHA LAA NEUTE1DRAFT_67681 MSALEAIKYSRGKLEVLDQLRLPHEHHYDEVSTSEEAFDCIKAM RVRGAPAIAIVAALAASVELHNGSCTATGTEDVIKYIDSRLDYLYESRPTAVDLGNAV RLLKKIVRGVKTEGLTDAEAKEAIIKAFIEASEEILAKDLKTNKSIGAFGAKWLQEQY KITDDSKITVMTHCNTGSLATSGHGTALGIIRTLRDEGLLRHAYCTETRPYNQGSRLT AFELVHEGIPATLVTDSMAAALFRLRKAEENIAAVIVGADRVVRNGDTANKIGTYQLA VLAKHHGIKFMVAAPTTSIDVDTETGDDIEIEQRKREELTQISGAVVNADGSIDTSKS VRVAIADQRIGVWNPGFDVTPHEYIDAIVTEKGTVVKGEDGKFHFEDLMPERFQ NEUTE1DRAFT_131420 MHKCTKKSSYRHGFVVYGNFNKTTECFQDDDDAFATTQQPLKIT PRVSCHRSRPTTELSSMEQPFSSSKVTVEYFDPHDVYKLLSPGLIPRLPLRDLNWQSH AGPVRSINTLHVELVPADQAGTVDRSSVLSPTPDSKAPGRGRGESTASSRDDGFSTAP IGGGRGTPTDNAGPPGAIRHSGPGITKERRHQIPGLRRTPYLKILFVRCDDNDAYKST TRSEIREWIKANTHSLTPGKTASNAENHDAFEWLIVHVVIPNTVAATQPRSSGSKAAD SSSEKTSALKWRTGSSTLLEKLRNDFNGTGKGAVDRVRQIRIGINDVPYDLLPRVVPA VPTGYQETEQDSENAWQDLIGKIKELILSSFDIRVTQYEEDIKDKDAQRGLPGWNFCT FFILKEGLARGFESVGLVEDALVGYDELSIGLDAIIKEQAISGSAEAHGGALLDYTEE LKILAEQAASQIFSGSMEFEDEETVDLQTSKRVKDTDPFGAIPISSTKKPYRELILAN NISLFDFRCYIFSRQVALLLRLGNAWSTREELLAKLKEQQDMAPRGVAPRAPPPKQAS DESENLSQLAEICRRTLEFVPAVSMLIRKDILAALGLVKGGVGAGDDFQKIDPVLAEV IDNIVASFAFSVAQQILAQTSTKSLQIPAPMLATSGDSEHKSAIPEPKTMMHPARSSS IPLRQSIQRPPPSPVGFPGPGRKDSVGEYGMAQFLKTGLEELAATRAELYTLSRNVLE ECGKRRGWTNGWAAVPIVGESADLQEISLDDEPSSEAKPSTEVGSDLQASVAGVGNEL LRTALDNKDDFYRLYETLTDKAMRHYSVAKYDHSVQATKADLAVLKFHLSEYKEAEYY FYNTIPFFGGCSWSLLELSMLVMYARCLKELGRQEDYVTKALRQLLTKAAAAERDRLQ QKSKIRIGNGSVAQYPENSAISGFLADMLTVSATLEKEVKIPLTNFFGEVELDGPPTY DDDQDSFSLSLKLRSLLVDEFDAESVSLRISSPGFGGNKEIWVQAKGPVTIKPGRNKV PLQSNMLMPGNYEVDQIRIKSSNILLHFERELGQVVEKPFPVLQNPVVTLYQRTSSLD VRLSSSKDIRLDTNNMLEVEVMTGWNDITSAEVKIKSATGGLRLLMGDAVILDESAAK SKKAEGGTFTFGAITRDSSVKISFPFKMEQDVLHVAVKVEVTYSTDKGTFTFFKTSSV SIALALGVNVQDVFKHKALFSRFTVSTASPSPLRLYKSELIPSELFESHYGIPPSDPV AIFPKQPASLLYKITKKPGVTIGPNTKKTLYLKLWYSVLQDEIEDLLDKTLTKDLADT PLRQYSKLIVYKVLAWSQKRLTAYELEKCTLLGELSTSFLSDVNWATQFPGIGPTSSS SLKGEDSHQIAAQLAAFLRSWLLANPVLPLSVPNHNSSLISTILIPVDIPPITIVHTT DIVFQQPPLPINSTSSSTSLDDVQGHPTFIINQQLPATLRLKWTRIWDTVYPASPQRS EDLEFSYEINAPPDTWLVGGRRKGHFVIPAATTSNPTDQDGEKKSSTRESSTPQTEAD IPLLLIPLREGYLPYPSVDIKQVKATAVSATASHSSSSSSTSPTRNNEGQQAGGAVQQ PQQQQQPQGHYETDNRNLGEVVQVISDRARVTLSLDASGPAGGPLVLECERVGLEGEG GRVVA NEUTE1DRAFT_46079 MTDKDTKKSGKQGEDEMTVVVPPPKNKQNSAQPPNDADGDIAME DDTKAGEVEAQVDPVAQTVSDIKSNFALLDRAVALFDPRFSLRALRSISSIRKRLTPD ILAQVVSETFSASSPNTQVAEHLLLAVDRPNVTLGSSSEMEIDSGSKSPAKNGGKKEP KEVIPEIDIFLGILAQVHLYDTKQFQRGFALSRFLSERIQSLNRRTLDSLSAKVYFYF SLFAEQLAPLPPSPQSPIVSIRPILLAALRTAVLRKDIDTQASVIVLLLRNYLLTSHI SQADLLVQHTQFPENAANNQVARFLYYLGRVRAIQLRYTEAHEHLTAATRKAPSSACA LGFSQTATKLLLVVELLMGDIPDRAIFRQLTMEATLHPYFLLVRAVRVGNLEDFETTI AQHADTFRRDGTYSLILRLRQNVIKTGIRMMSLSYSRISLRDICIRLHLGSEESAEYI VAKAIRDGVIEATLDRERGFMKSKEVGDVYATSEPAEAFHDRIRACLALHDESVKAMR FPMNQHRLELKNAQEARERERDLAKEIQEGDLDEDDLGGDFEGI NEUTE1DRAFT_67689 MNQMPGQGQPSQGPAQPNTQPPGAAPRQPALLRPEFMRNIAFLT AEEKTSYENGLATFWKTFEESPEGSAEKLGARRSIEQFSKMLQDKLNQKKQEQQRYLQ QMQQMRQKQQQQQQHQQQNQQVQPQGQPQGQPQGQTQQQQQPPQQQQQQPGQQQPGQV QQHQLHQQQQPQQQQQPPHHQGQAKPPLQQQQPQQQPQMQQQQGQQQLPQQGGQTPQL GNVQQSPIPSQIAPGTPQNTAGVARPGQPATAAGQPNKPAIPQVVLQHVTALQFNIPP TIPAQEHKKWESETRNKYMRAFLTLNSSNTTLVNLLSLMEERRNNQKPFTPEEQQNLL NRKAIAEKSFNDAKNYCNQLRQTIVKPPHNTPLTIAMPGSGNKAVPIAANTTPMQNQA RPQQQGQQPQAAPQQGPTQVQGQGQALGAGMAPSTAAMNAAIQAAKNAGQMAGVGGMP PQQGAQQSPAPTRSPQITANQLQQHQQMQHQQQQPQQPQQQQQQQQPQQQQQPQHQPA QTPIQPQGQPQGQPQAQPHLAPATQTPATQAAIPQQQQQQQPAQNHIKTEPGSQPTPT PAPINTAIPSGMVGVQATGTPTQISQRHQTPQRTPNQPLSHAAAMNLAGQQRAGSIPA TNIPAPVGTPTTAPGSAAGSTQGHPHAHPNAMPQTIQASKFPIAKTLPEKATQIPTPV AGPPGRPTLSGGTAGVGVMNQPVLQKTPAYQLEGEGERVLNKKKLDELVRQVCGGTAE GQDGNLLTPEVEESVLGLADSFTESVLHAASRNAKERGSKVLEIRDIQLVLERTYNIR IPGYSSDELRTVRKVQPNAQWITKMSAVQAAKVMPGKGDL NEUTE1DRAFT_148194 MPSIAVLRPGGLYGPSFSFARATDPDQLSPQSRTTSSATSSDSD DDPGPDSLPPSMQPSPSPSQSAFPPSARPGRIVTNPNLIIEEMSDGSDNDNDNAGVPV IYPDAIEYAESERSRSRSRRHREVDESVMYNLGQLNCSDDSDSTDMEEAEHREILRRQ REERRRKRMTSGSIGKRTFSESIGSDSDRDDPRDCKRFFSADDFGSSGARRIYRRVGD RRRSSVQVSDPLPPPRIDEIEEPDSSNEEILGDQSMRSREHPHYECVMEIDSP NEUTE1DRAFT_117887 MMERYTMYVSMEISFLPVPRAAGGWQGNACGWLVFNGDGSRSLW EDADKHCPRCKLGSAIFESLS NEUTE1DRAFT_148195 MSLLLAHLEQISTSCESIDSLPFPPPKIFTNALLSNHDITSLIR DTEAHERALFSVPPPPPKATTQAPDPPKPANRRQTVFNVAGGEVTTGPPAKSTRSSGP TRRNTAVAAVLGGDLHAQITRRNPAGSGGGELDIEVLLRGAEKLCTVYPLPGALERIP AQRRKNEQLMNTLAYYETRVAEQQEALDRLNLDRYAEEEEEEAGRESAAAADVMTEED LRREEEEIRELDRRRRELQARLRATERDLNGLMEF NEUTE1DRAFT_131425 MTHFQIKVVSDIICPWCYVGKARLERAIKLYKDVIPGGANDTFT VTWHPFYLDPSLPKTGGIDPKAYLGKKLGSPERLAMVHARLKAIGEGEGINFSLNGRI GNTRNAHRLIQLSKTKSNEVENKTAAALFQLHHEEDGDVSSNDMLIAAGKRAGLDGAE VESWLASDRGGEEVDREVAEAQRKGIHGVPNFTINGQSELSGAQDPETFVQEFLRVKA ATSDVSERPSDGPTC NEUTE1DRAFT_131426 MASGFQLGWYRWLPFLGYHHVLMILIMITIILLSLLLAGCSSSS PVIPDIFLLSLYYENYQAIPDTSQVHYDVTTAIANIVDGARLQARVGFFGICVNPDGG SWLCSNNATTLANEISVDQDPLNLVWLAAQFKDMIVFPYLIIIAIIFAFVCLLLLATF PGWHEEEDSEGSEREVKPFPSRPVSQVALVIIFIATIFVLVSVLWQHTASVAASVIAQ DFGNGSVMAGVGSSAMVLGWFSFTLLIIVTIGLLVMILSMRVLSELMA NEUTE1DRAFT_131427 MSYGRYGRPALKNSVDVQLQTAFTDSNWNTVIRLADKRFKTLKD PYYEAIRIAAESQLDGTSERCTALIAIDELVKSKAVPDYDTLELLEWAARDYLYDTEY AETLGPLRARWVKANPKSKFAVKCLQSCLEYWDLVSAQQIATTLDKAFANSGDRQYMF WSITLTYLLSVSPQCSEASKKVYSLLVVKQLERAADITENAEKVESKDRGLLQEEEIS LYYRVMLSHGSKEDFISRMQSPKLGPLAQLKEGRKDLFYQALNAYESWGEWDRIYDLC RQALRLGLDGMTPTFSVCDYKVWKKFALAASKSKDQETALGEVQSILKEFIALKTNTA MYKKNIALALLDTAFRQPVVPGSSGNDSGVTPRVIQIGLYLDSYFDKLSAFDDVKDFV AELSFEEVKGLMEEVLPKMLEESEKSSQVILKSLICKLRYLLTTCTQTLSHNPSVVDG QEQDKPYQCRLCSTLTSLPCESCLRSVVTEAAQIYQQIVDDSELLAAIPRLDKDPRID LALVMGNSILKLSGLHPRTSDVAPAPLRDVNPSLFLQAVLVLDTQLKVTPSDVALRLL LAQLYLLLGCASYAYQIWTPLEVKRTIQDSLSPLFFDRISSLSPGLFTGTRPLMEPLR SYYNNSLHSSCPLRIWDAFSSGSYSSILEMTEFDSKLRRSCTLMMSIVEERRATRAFG GKIECEIGDLALTENIDDTTTLLNKTDYGSFVNLESPHGPPIQEFLRIGPELSNERAH LSFLSEQYLDLIHHKPPKDHRPYKPSEAALKERAYTFETLSQLNNSLTSFIHRPTTPA ALTSPEATYYTIISLLSAAVLTALSTTRADPYPSETMSVITSSIRIAFTGLRARFTSS SSSSSSSTNSTTGVDETFYSLTDMHTLSHVRETALAMRHSAAFVQAWNDRELARDRSG KSTLHKDGLAELKALDAMAAKTITEVKGHIQKLKEQLGGGGWLDKMLDWTFGGAEGTT EGEAGETAKVRKAVEDVVGGREGAEEWVGKVVESWGQGVKGWGLVRME NEUTE1DRAFT_95799 MAQAGSENKEPWNEETRAKFEGKSRSEYLDPCQEAAQRSIRCLH RNQGDRTMCSDYFEAYRECKKQWIERRREQKRKAGALF NEUTE1DRAFT_124115 MLFTTRIAGVAPLLLAAASSVYGATTASTTTTTTTTTTTTTGQS TFEVDLNKTASVKSAAKTIAKHLISYYTGNQPGQTIGILPGPPPDGSYYWWEAGAMWG TFIDYWHYTGDSTYNAVTQQSIVFQADAPQNSFMSPNWTASLGNDDQGFWGMSAMLAA EVNFPLVPGEADWLEMAQAVFNTQAAPDRHDDECGGGLRWQIYPSNAGYDYKNTIANA CFFNLGARLARYTDNSTYSKWAEKTFNWIRDVGYIDKNWNIYDGGHVPHNCTDINKVQ WSANAAIIIHGVAIMYNMTEDAEQWGKPLSGLVNRTLEFFFPKGILVERACELTDRLL CNIDQHSFKGYLLRSLATAALMAPDLVREPIVKAFKTNIEGVIDSCLPDGTCGYRWNV GKYDGDVSNGPAGQEMSALAAFTTYLITEEHEAVKPLVTNNTGGQSRGNPNAGGTPAT VMSMSELTERDKAGAGVLTAFVVCSILGTYFFLATGIGEVRSQN NEUTE1DRAFT_103167 MAPYAPPWLTGAVTKKKKKQVAKSPEGKMSTPDSKPSQSVNVSE KKKKKSKGKKKAKARAESPATSAKDETLVTVEEIDPQEEDMVKIKQEPVSPTFPMATV FQEAVAPDGSVADEHYSTPLQSPVPAKSGLTKENVPLRSDSHIPLPRNPLYEHAKRSA SPSPSSQLQVENPAALMTLVNNINARDEKNITSQARSPHKLQKLTPVPNYTLDQNFPP TSPLNIKTPGPMPRMMQYDYSPNNFSPSKSNKCTPVPLPTTKGFPAAPKLGTPFRAEV GTEVDPLTPADVDEILDRVMGKNDRDDEHGDTEMHHVKEHVVVSPAVGKRGVANGEES DVEMTTIIKDEQHGDSAAETAPRKSKKSKKDKKRRFEESNALDNDESIAVSASKKAKK TKHKKDKKKSVEEEHGNAMDLDVTTPVDDTTMVGETTQAEVDLQLMQLNDAEYRGAPE TVSAPKDMKELIAEREVTEIVNEPASTLKKKKSKKGRKAKKTQDEVEEHHSIEIDEVV APNLHVTHPEQSTKGDQVADTAAATQSSPAPEAQVTKKDGKEEGVSTEPKPQEPEIEP EQEDTAATMTASNVAATMTTNPLLAIAKQVVKFNNNLSFHSETFQGELFTIKQNLAAL EQRIEANELRASIRQDILFNALKRISMDVNKLSTLKVRGEAQVQADHNGHHSNGSPDS SPTGLARSVRATTVGPRGSVHSVTPIPLPKLGKRAVSSHHRETPASGTGAAKVAGTAA IAEARKNQDKLLKGFTEDLNAAKDAKTAEIKGRLCVKYADDLFKMF NEUTE1DRAFT_131430 MRPWESGPGSMEARVASPVSSDHGHGLLPSPRPRVANACDVCKR RKVKCNGATPCGYCVSRKHPSLCLYSPPRQRRARVRVSRLTGVQEGEQGGQEGHQEPS EADGGGGAHSAVSPQPSCSSRGGHAVHPAPAASTSQARASSRSSNSSSSKETPPVVTK ESEIPSRRSLPGEVTGGSVVGNVGQSQPQTQSRQGRQHQENQHDVVQQEQEGQQEEQE QQQPREPGPGAEAHNEETEVPREGRLLVDPQGKLIFIGDCAPLSFFRTVQRLIISRID PDAFAPENSGYSALENNNAAYYPRASGADAAAGVFPAGDGGYGGIGRGGGGGGGGYSG GGGRGSPLVQTSIIESAVTAYFQSTAGLIDIFDDQAQQPRQLIEDITSWAVQLGRSRT GMRAANEEEGTFLGAGGTGGVPPGGDATSAVFYLVLAIGLLFQKTITNKSSSSPRSEP SGVPESSFPESESIAQAYFDHARDLAFANLSGNLGLASVQSFLLITLYMLGACQINGA FIFFGIAARSAFSIGIHRTEVNARFSPEIHRQRDRLWKSLRVVDLFLSTSMGRPPATS DVDCTVLYHAVDGEGREQTDPDFSGDYLLNGSAQIFLIIEGIVLEVYSRRKISPQLTE GISRELREWSARWLQRLKRVIDEERPRPSPRQTPLLTAAGSEAMVVNGACQVLASYYY AVILVSRPFLMVELRRRLAEGYPAEAFTARDGVVTSGKSKLADACIDAASLMVDPIQD LIERGLMARRAPVIVSWLFASSLVLGLGLLGGFGRIIEKYCRASIAALEYFAEADAHA VQYSLIAKSLLNTALAYLEKREMQDRLRRTESSSQLFGLIPGRNSGDNTTNENHNNDN HNNNNHNIINGHEDLRDTSLTSHQHQYDQQSHYQRSIQHTNPSVGGQFGGIPGSFRGD GSTLTQQPPPPPPPKPSSYHIGTHHNSHNHHNYLHHHHHHPHAHAHAHTPSSTAFSPV VSRFNSNNMNRHFDFFGGHESTSTAATTFLGMTESLPRTPEFSIMGGSLDSDADQTFG ALNLFPLLETDGHIDLANYF NEUTE1DRAFT_124117 MTMKWGGIGEWKGQGGGGGGGRGGSNPPPPADQTTLKGAQRPYR PKLEPGQCPKDLEFLRRPEFGLTDNILYSRRCIKPIYKADFDRSTITNVAGPLVTNTT ALDLTSCSHDEPIPCEPLALEVPMPYPKDAQYPHLLFGVASKYQRMREAIPAFAHWLA GTGARLVGTIADAVPPEHQDDSTRNSFNLTLLEEEYRAAGIIATFVPPKVFKRLNLKD GKPDPRPVPVEHHHFLVIKELLSVIDSSQSSQPPHWLAILDDDTFFPSLSPLSQTLSR YDHTRPAWLGALSDDFMAVQAWGFMAFGGAGSFLSLPLARQLAPHLEQCITTASVQTG DGILRDCIYSHTRTRLTLVEGLNQHDIKGDPSGFFESGIWPVLSLHHWKSWYHAPVAK MARVAKEVCGDCFLMRVRFGTGSSSSKDNKKRKEEESLLSLGYSITQYPGLANGLDDI DLSRVEGTWKEAERKEKFAFSYGPVRGRLQVGKEKKSWRLVDVDVGEEDSSSSSKPPT QSTATKKEGGGAKEGRAWISPRKGKKRFRQIYVHKAAGPAVGESMDEVIELVWEV NEUTE1DRAFT_139998 MHELSILSALGISLLASFSFTFALAHPTASASPNPIPTLSRSSL VTPPLSLALTPPLPHNNDHSNDNNEIITGNFSRSCAQITLMNGYFLAATCRPIKPADV SGGPEEEQEQEQGAGEGDGISQSQSPEFNQLDLNLCIGFDQGTTTTTAGGGGGFGSSY DVPGKLIWQALGKFANYCTDCNLTTTADLPVRLSELKCSCVPMTAAAGSDATVITVLD LDEGVENRNGTLVCRGGMGSGIGPGPFSA NEUTE1DRAFT_131432 MMLYERSVHDLPGPSSPPGLTHSKSSKSSSLNSVPSDDDSVLAD ASHFEDIGLQDESHVDVRGLTKNLKPSPMLYSSNPSSELRVPSKSSRSSRSPSKTRQK RDVSSTGKPRPTLPNIQSHLRPVNGRVASLGLTPELHTAPLPMRSLGNRSPSSTRQRS ISPNLQTSFPMKPRRSSWQSSRERKTARQLERECDEDDGDDIPDGLVLDNVPISPRPP SERTISQPSSKAPSPERAPPEKRVRSVGNGTPPVAAAQGSLRSPTWKSDTAVPTRASI ASTIVTPLELARSKSWSAALAELNSDAKELTEKLEEHAEELEAKGVRSSTPSVRRNSD GKPRVKSGLPELPPLRKSNVMIDPLPPSKEKEAVLSRTRPSWLPPKDPAEERRHLKEY QRMMAQSLEAERRREATKKARIENRDTRADNLMHIWEENIIPRWDEAIRERRTRELWW RGIAPRSRGAVWSKAIGNGLALSDASFRAALKRANDAQKRVNDGQGSTDDSYAAAWFD DIKTDVEEYTFPDLRIFQTGGPLHQSLVDVLSAYCMYRSDIGYVPGCNTIAAILLLNL PSATDAFIALANVLNRSLPLSFHTCDRTAMSSAYNLLLQTLQHKSPSLHEHLIKLPDH NPDLYLGDIFTGLFTRHLALDEATRLWDVYVFEGDAVLVRAGIALLLQKGMSLMGTKS IHEVRAIMNNSSDGVLRSAPILRSTGEEDRWMRAVREAGKAQA NEUTE1DRAFT_86398 MASVSSALPEGNKPALRRTQTEATSDSYPGTADASPFDSPLERS ASNTSLSSLASDNVKTDKAEFGKLLDTYGNEFEVPDFTIKDIRDAIPAHCFERSALHS LAHVARDIIYLTVTFYVWNKYVTPEYIPMKAARVVLWGLYTFMQGLFGTGLWVLAHEC GHQAFSPSRLINDTVGWVLHSALLVPYFSWKFSHSKHHKATGNIERDMVFVPRTREQF ASRIGRLVHEISELTEETPIYTLIHLIGQQLIGWPNYLMTNVTGHNFHERQREGRGKG KKNGWFTGVNHFNPTSPIYEEREAPWIIVSDIGIAIAATALIYLGNTFGWSNMFVWYF LPYLWVNHWLVAITYLQHTDPSLPHYTPDQWNFVRGAAATIDREFGFIGRHLLHGIIE THVLHHYVSTIPFYHADEASEAIKKVMGRHYRADVQDGPIGFIKAMWKAARWCQWVEP TEGAEGKGKGVLFYRNQNGLGVKPAKLPKTN NEUTE1DRAFT_117891 MAPSFDHLRDEDLDEDDFDVDEVDISDIREKYEVQLEQGYDAFV VVDGLPEVNEEQKPKLVKFLLKKLNTVGKTREDLIFMPMGEDGKSLRFAFVEYSSPAE AAAACRQLDLVPLDKKHTLRVNKLTDVDRYGREGRIDDEYTPPKIEEFQEKEHLRSFM ADPSGRGRDQFVMFRGESVGVFWNNEKETPENVVDRQHWTETFVQWSPLGTYLTSVHA QGVQLWGGPSWTRQRRFAHPFVNLVAFSPNEKYLVTWSNRPISIPEEGHPALSVEDDG KNYVIWDIETSKPLRSFAQLDTPAAAAEGEAPKKAPKFPWPAFKWSADDKYVARLNPG QSISVYELPRMNLLDKTAIKIEGVVDFDWAPATVQRDGVKSYEQLFCFWTPEIGSNPA RVGLMSIPSKQVVRSLNLFSVSDAKLHWQSEGAYLCVKVDRHSKSKKSQATTLEIFRV KEKGVPVEVVDTIKDTVINFAWEPKGDRFVIITTTEPVGATAVPPKTSVAFFCPEKAK GNAVGNFKHLRTLEKKNSNAIYWSPKGRFVVVATVANTQSSDLDFYDLDFEGEKPESD KDLTANLQLMNTADHYGVTDVEWDPSGRFVATWASAWKHSMENGYHLYDFKGEQLREE AIEKFKQFQWRPRPATLLSKEEQKAIRRNLREYSRVFEQEDAERISSADVAVVEARRR ILEEWFAWREAIRQEVAEEREIYGLPADPVADLIKAKTPELATDQEEQVIEEIMEEVL EETEEIVQ NEUTE1DRAFT_67723 MASFSPTQIFEEGTTEEKGENARLSAFVGAIAVGDLVKSTLGPK GMDKILQSASTGEILVTNDGATILKSIALDNAAAKVLVNISKVQDDEVGDGTTSVAVL AAELLREAEKLVDKKIHPQTIIEGYRIASQTALKALEDSAVDHSSNPEAFRKDLVAIA RTTLSSKILAQDRDHFAELATEAILRLKGSADLSHIQIIKKSGGKLSESYLDDGFILD KKIGVNQPKRLEKAKILIANTSMDTDKVKIFGARVKVNSTSKLAELEKAEKEKMKAKV EKIKAHGINCFINRQLIYNWPEQLFTDAGIMSIEHADFDGIERLALVTGGEIASTFDH PEQVKLGYADLIEEIIIGEDTLIRFSGTAAGQACTVVLRGATDQLLDEAERSLHDALA VLSQTVKESRTTLGGGCAEMVMAKAVEGAATRVEGKKQTAVSAFATALRQLPTILADN AGLDSGDLVARLRKAIYDGLTTYGLDLMTPGGGIADMRDLGVIESYKLKKAVVSSASE AAELLLRVDDIIRAAPRRRERH NEUTE1DRAFT_148207 MSTAAAIPIASFGNNPEVAQAIREKLLPEYDVVHTTLTLSTSLS ELPPLCTGTFSHLPSSNLGSNATAATPADLKVPKAIIFGGGVSPSDAEQVKEAVLAKN PDIKFVRLTREDMVAAGAEGPDPEVIGRLLKERLAGVLA NEUTE1DRAFT_131437 MSAQFTPEEEATYARVIDEILETADLDTISRKAIRQKMEAAINK DLSDQKHAIKGLIEARFDAAQARKAAQEPTPEEATPEATPDSDEDDAATDGEIEIRPK KQQKRESSEDADARLAAELQAQENKLSRGPRTRGGGAAKVTKKSKPKAKTPKKKSATR VKSDDDSDMEPEEVEGTKKRKAGGGFQKPFNLSYPLQEVCGEAQLSRPQVVKKLWEHI KANELQDPSDKRQIICDEKLQAVFKQSSINMFQMNKLLGNQLYPIEE NEUTE1DRAFT_67730 MSSAEQATPQGPRGDSNRGRGRGRGAARGNRSRGGGDGAGRGGA GRGKSRGGGQQGAAPTPAQDSSSGDSNPTQWKTQQQQEAQDDDDAEVCFICANPITHH SVAPCGHSTCHLCALRLRALYKNKDCPHCRTAAPFVIFTDDPNKRFEEYTSKEITSTD DNIGIKYAGEHIVGDTVLLLRYNCPGGECDFAGLGWPDLHRHVRSVHHKKLCDLCTRN KKVFTHEHEMFTDKELRDHMSRGDDKPGAVDQTGFKGHPLCGFCGERFYDNDKLYEHC RSKHERCFICDRRDSRQPHYYRNYDALEEHFKQDHYICQERECLEKKFVVFDSELDLK AHQLSEHGNSLSKDVRRDARVVDISGFDFRSSYQEERGGSSSGGRGRGGRDGRGARGR DPNAEALPVSTAQPLRRDELAFQRQMAIAQSASSARPSAGPSSGTRPAGQTSVVQSRP SRPQQQREQQQQQQQQPIIDAMQNLSVSELSSLTPEQRASLTRHGAVIERASNLLGND ATKINQFRSYISNFNKGSMTADQLIDAFFALFSETSSNALGTLVREVAELFDDKNKGA SLNRAWQNHRTINEDYPSLPGLGGMHGATTASSGWAAAASATPVTLHSNGGLANAQSR HSNHVLKLKNSTRIGGASERGLERTVASLQAGGSSSSSSSRLVPGTNAYAAQVRSTVN NSAAFPALPGAKKPATASGLSGFTAAAAGGSSSSRPAPVAAPSASRSSGSTATTIARK VAGGSGSMQKPGNEDAFPALPAAPKPTTTLFGYGRGAVRRDFGQPKETGFNWSAGSGS GGASGSSSAQQAGGEGDADGAGGGKGKKKQKKQVLVQWG NEUTE1DRAFT_148210 MLRRPSGHVACRPEVLLAFAFLLVNLQWLQLVDAQPQRHARSPR EDDDHAVPNIATLSTLTGGRPQPVIETPRTHGRGKRTVVEIADGPKTESIRLSYHAQS DNTNNNNHADLISNNARANALAPDSSVRAPLTQSNKVGSLGAELSQHQIARSLEDWEV EDFVLLATVDGDLYATDRVRGEVRWHFKADHPMVETRHFRTSRSPVDEDFHEMDHWIW IVEPTRDGEMYIWRPSDSGPQLTKMTMTMKQLVETYSGLHMNGIVYTGEKRTNMVTLN AATGAMIKNLGPRGVNINKVESERCLREYALGNSVTSECSNRETITLGRTEYIVGVYR TDGSPIASLKYSEWAPNVLDGDLGQQHSATPDKRYITGKPDGTFFGFGLDRENGDRPL FSHQLTSPVARVFDVLRRQWNPTGSSDTDLIILPQPLFPVNPAISRLRSTKVFVNHTE EGSWYALSGTQYPLILHAPPAPIHKFWSLEGLNEDKLSEALVGTHDLPDWRIPKEINR LVPLLDAPPSSAGDAVITGNPTVPSSAGALPGLPAPDTATALPVQASDFFLNPFTLLV GIVVVIAVWTRAWIPQSSKKGYSLEGFLDFMRTLGRKVVDLTRFRIHVVRTEPTPTVT DITPRLEAAKEESSKPDPSLADSSAGDSGSDEGLAKKEVTFADLPKSSETPPPGDTND APAEVVKPKRKAHRGRRGGIKHRKGPRNENTQSRDDEPPEPTVDEVVKKAQEIGQQPK LEPDVITIPNGVDNVSGPILKMGSLEVNQEQQLGIGSNGTIVFAGKWDGRDVAVKRML VQFNEIASQETKLLRESDDHPNVIRYFAQQQSAGFLYIALELCQASLADVIQRPSMFR ELAQAGERDMPGVLYQVAKGLSHLHSLRIVHRDLKPQNILVNMGKDGRPRILVSDFGL CKKLEGGQSSFGATTAHAAGTTGWRAPELLLDDDGGPGPGATMTFTDPGSSMHSASGT GSGVVGAGVNVRRVTRAIDIFSLGLVFFYVLTKGHHPFDLGDRYMRESNIRKGKYDLQ LLEVLGDYAHDAKDLIESMLNSNPKKRPTAIGVMAHPFFWSPRKRLNFLCDVSDHFEK EPRDPPSTALALLEEQSSCVITNGDFLKALPREFVESLGKQRKYTGNRMLDLLRALRN KKNHYEDLTPQLRKMVGPLPEGYLGFFTTRFPNLLIKCWEVIADLDLEESDRFKEYYE PAGL NEUTE1DRAFT_47813 MKSALGCVFSALAASVSSSSLHALRQTPPQLDYHLLPRAACDNT NKSRSCWGNYSIDTNYYDETPDTGVTREYWLSVEEGDCAPDGYARQCQTINGTVPGPT IFADWGDSLIIHVTNNLKSNGTGIHWHGLRQSGSNIYDGVPGITQCAIAIGQSMTYRF KVSQYGSTWYHSHFSLQYANGVFGGMIFNGPATANYDEDLGHLFLSDWSHVDVFTRWF AARNGQPPALESGLINGTNKFDCSNSSTDPNCTGRGVKLTTVVEKGKKYRLRLVNAAV DGVFQFSIDKHKLKVIAADLVPIKPYSTSSLKLTEGQRYDIIFHANQAVDNYWVRAGW LNACQPNVNPDSITSVLRYNASSTLDPTSTSDVTVSSDCLDEPLASLVPHLKMDVTNI QKSFDTYLNISTTNYVHWTINTSSLLIDWNNPTLKQVFNGESLFPTDYNVLAINKTTT DNEWIVLVIQDQSNLGLEHPIHLHGHDFWILDQSTGTFDAATSPQSFKTKNPPRRDVA ALPGNGYLAIAFMPDNPGAWLCHCHIAWHASEGLSLEFLEREADIQADVSDSDRTQFN DICQTWSDNVGTQAFPQEDSGI NEUTE1DRAFT_117894 MSTQPSDNAEPGTTPAAVSTDAGNENTPTVTGSEPDAAKHTEEE PKVVNPTEPQPTAPVDNEPKPAAAPAQDTDSPADVKDSVSTTAGELPPLVQLWKAAEG HPHFEIWGVPLSDPERHIPTQIIFQKFLNANDGQVEKAKDQLLKTLDWRQKTQPQQLL RKMFSKAKFDGLGYVTTYTAGDEPAVDEPEQKEVFTWNLYGSVKSLDETFGNLQEFVE WRVALMELGLMEINIGGAIKPITADYDPYKMTQVHDYKGISFLRQTDVAKAASKECIK VLGDNYPELLKEKFFLNIPAIMGFFYGLMKMFVSKKTLNKFHPMSSGTNLAKEFVNTK VDGLGDKLPAEYGGKGADLKTLGKAPIVQ NEUTE1DRAFT_67742 MSSQPSKASEVNILVPPSTLHLATSFYGTTLGLIPRPVPALQQG TLAWFDFSLQESGVQQQQQQQLHFALGRPDIDFTPEARNADRHPCFRVGNAEKLKELQ ERIWEHYKRGGEGAPMECDEPGTVGSGHQGVEYPKRFFARDYAGNRLEFSL NEUTE1DRAFT_131443 MIMKIYFYWCVLLLVSSTSAFVDRALKLGNQDPSSPANLAPPLL TSTITVTPTVIATSLVQNILPTTITVTSGVSNIIPNIPLPSSSSAGTQQQPSPVPPSS PSHGPPSPQSPVQNALPIPYNCASPVPGLSPPDCTYLSTIGVISQGQNALSAASGTAG IYLGTNGPNTFSFINGASVPLILVVWYNPVEDAQSSFMDARTPKITYSLPAQGNAVVV SMDNEVPGGWSTLYNYTTTLTEYGQVNNTFGEFNTGSFATTDVSRLVNMQGHPMTVVV RGSGCRSDMQTCVYTCSEPGAVTCGRQRSYRLENCQGQPGAVVSNDANGNPTGGCQGW SNGGHVDVIFT NEUTE1DRAFT_140011 MKSLMPLIASLLFTSTTAFCPSQPVTGHLEGGLKHRSSDRRRET GKKSLTRVPLEVDSRNSGKTELQWMATITVGTPPQTFKVVVDTGSTALVLPRNNCTNC GKHSLFNPSKSTSFSPQPALFRPIEYGTGADTIPLNQTGRAECEVVTDTVSIQGLSAP KQEFLLCHSYGETLSSQLADGILGIGPADISAWDESGNFTYLPFLPNLVSEGQLSNRI VGLALGSGGQNQQQRGPEASIGGVDDARYHGRIKNVSVDEMLTTLSGVFTLDVQAAYI GSGNNKRVWPNSTNGNKPLVPGVAYIDSGTAYMLAPDQQAAENLYSGISKDIVPLNDR GSWGASCATLDEVATDITFTIGTKTRGEVIEVTMPKSAFNLGEYPGKKGVCQAAFSHW DPPLIEPIEGRRLWLFGSPLIKAYYTVWNAKSSTLGWAEKV NEUTE1DRAFT_47965 SSRITWYNTGLGACGTVSNDGQLVVALNHDQFDPSTPNGNPNRN SLCGRRIKVNANGRSVTVTLVDRCAGCPYGGLDLSPAAFSVLASTSVGVVQGSWDWV NEUTE1DRAFT_86430 MATAAIQVSVPAPVGQPDIGYAPDHDKYLARVKRRRENEKLESS LPPDFPQRLDSDLVWDGNTLAETYDWNYRLTEEDIDEIESALRHFKSLNKPLGYINQE TFPLARLHHTLRSLSHELHHGHGFKVLRGLPVTSHTREENIIIYAGVSSYVAPIRGRQ DNQHNGHPADVVLAHIKDLSSTVSDVSKIGAPAYTTEKQVFHTDAGDIVALFCLGEAA EGGQSYLSSSWKVYNELAATRPDLIRTLAEPWVADEFGKEGRKFSVRPLLHYYQPATA AAAAVSGEGKPKPKPERLIIQYARRTFTGYWGLPRSADIPPITEAQAEALDALHFTAE KYAVTLDFRQGDIQFVNNLSVFHSRAGFRDEGEKQRHLVRLWLRDPENAWETPEALRE RWERVYGGVSPEREVFPLEPQIRSASKGESVGTQGGGGY NEUTE1DRAFT_47799 MSFNWRRPFTRRQGDDRTKSESHYRPNGPFNGDGGTVSDGSLQY TVEKGGNDSPPAYQEATGAPVEVNSPLGYSVGPLSIIMLNIGKMIGTGVYSTPSNILR GTGSVGLSMIFWALGFLTSVANLSVYLEYAAYFPNRSGSEVVYLEQAYPRPRWLFPTA FAFQSVALSFSSSNAIVLAQYLFRINGHSPTAWELKGVAVAGYTVAALFVSFHTRLSY LFANGIGIIKVLTLIFISIIGLVVLGGHVSTTRIPDPRVNFRDAFSGQATPYGVTNAL YKIIFSYAGFENAFNVVNEVKSPVKQIRRNGFVALLIVAVLYILANVAYFAAVPKDEL VQSQQIAASLFFTKVFGSSGAVRGLNFLIALSSFGNLIAVLIGSSRLIRECGRQGVLP FPRFWASTRPFGTPLGPYFVKWALTILMILAPPAGDAFNFITDLQVLPSAFFQLIMGI GLYVVRYRRSRLNLPRPESKAWDLVVVFNILVQLYLIVMPWYPPAGGAYAGDVSFWYG TYVVTGIGILIACAIYYYLWISVIPKLRRYRIRQEVLRLEDGAQSHRLVKVPVAKLAD WDATHDAVGRPLDGSTIRPHHGSAGSDGGSDEKKPTSADEVPLGRDDQDVEK NEUTE1DRAFT_117897 MDPLSFTASLIAVVGLAGEVAKTCKSYIDGIKNHPREIRVIFIE LNSLTSVLEGLKILREDDFEDAQIIRQLRGQNGPIQGCQETIRNLHGLLKLNSTSGTA APGGSRSRRKRLQARLPTLDELAWPFRKDEAVALLKAIATHKQTIGAALTASVLSEVQ SMKAKLNRAEQDRLHAWLVQINPSSNHNTANSLYEKKTGDWIFRSQEWKLWVNKQSKE RSLWIHGIPGAGKTILASHIIEQLIKTKCSGSVALVYYYCYHGHNRDETFSFLRWLIS QLCRKTDTVSSLTYDIYRSGQDPDITKLLSALLTQLDSLDTVYVAVDALDESQQPRDR FLDVLRKLATDQRFQKIQLLTTSREYADIERVMAPISLSVLTSHEEVEKDIRVFVEGS ISRSDSFKHWPDDLKLEVIDALAKGAKGMFRWAVCQLDILRRLNCATHEDVRETIKTL PKDLDETYTRILNLIHSDDLELVRFTIHWLIYTENLINYDERLLDVTEVLSAYALHSS GSSERANQISIRCNLDRLKDCCGCLVSFSQDSYRKALRCNLSHYTIKEFLTSDRFKPS DQLKCLHLATMKTYLPNVILRMNVEGHGCAAEGYISIHSYEGLARQVVSAEEFLDYQL AFRFFLINVAPDTDTYYLASDSLPLVMSTIYDGIEWVGDRPSDEIIVLLRLLVVSAFH LSRSLLETVGSHLLCSSLDLIWYDYHLYGNKQPFKGNIVEFLATIFFTSPATLLFLLE NYYDDFAQSVDLSGILQSFQTGRRESLDWLRKFFPSIWSNFDKEEEVAAKVVQKLQNM GAVSINEDPESDLEPNAESTTEPTVEAGMESHDRSKRWLDVVLRNERKEEIES NEUTE1DRAFT_117898 MVAQQQGKFTVGDYLAERLAQVGVRHHFVVPGDYNLILLDKLQA HPELKEVGCANELNCSLAAEGYARANGISACVVTYSVGALSAFNGTGSAYAENLPLVL ISGSPNTNDPSQYHILHHTLGHPDYTYQYEMAKKITCCAVAIPRAIDAPRLIDRALRA AILARKPCYIEIPTNLAGATCVRPGPISAITDPVTSDKNALEAAAKCAAEYLDGKLKP VILVGPKAGRAGSEKELIEFAEAMGCAVALQPAAKGMFPEDHKQFVGIFWGQVSSDAA DAMVHWADAMICVGAVFNDYSTVGWTAVPNIPLMTVDMDHVTFPGAHFSRVRMCEFLS HLATQVTFNDSTMIEYKRLKPDPPHVHTAEREEPLSRKEISRQVQEMLTDKTSLFVDT GDSWFNGIQLKLPPGAKFEIEMQWGHIGWSIPAAFGYALRHPDRHTIVLVGDGSFQVT AQEVSQMVRFKVPITIMLINNRGYTIEVEIHDGSYNKIKNWDYAMLVEAFNSTDGHAK GLLANTAGELADAIKVAESHKEGPTLIECTIDQDDCSKELITWGHYVAAANARPPRNM SVQE NEUTE1DRAFT_124132 MATRNLLNFSLSLVFHVSMAVASSSSSSAQLTLTIGSPSNPPFG LPPSDFALAIAHPVSNASFPTTGYNTSIPAGPADATGSEVPGWYLSISVAANIPLTNA SDTSSSSISAKDKKSKFTEAAVLSLVPPSTHRQEGLDAESWRVCAIVFTGGLSDETTE TAQTARLDGTCAALLPKIDSSNFDQSNRRYSFFAGASAPAGKDNASALVAMERVPRRM QEWAGESLCGL NEUTE1DRAFT_117901 MLPLRNGSRYGGLVFGISSRSLIPLSALSSVYPATFSHASPFLI LAALQPTL NEUTE1DRAFT_47843 MFTRKSITIALCAAFSLLLLLATSRRLGGWTAIDDPLYQSGGHG HHPPPPPGHNGPGPRPHPSENPDCAGYPIPDNILVIMKTGASESFNRVPTQLMTVLKC VPDFLIFSDMEQKIGGYPVYDSLSNVSAEAMEGNSDFDLYRRQKACPVDVEGCNKQGN PATEGWNLDKYKNVHMAEQTYKMRPGYDWYVFVDADTYVLWPNLVHWLGKLNPNKKHY LGSVTLIQGFRFGHGGSGYIVSKASMKALVDENPGVGQKYDLRAKRECCGDYVFALAL KDNADVSVEQMWPTINGEKPATLPFGPWHWCHPIVTMHHMNSEEINTFWEFERRFRRE QIASGKPRPLLIKDIYHEYLEPKLKREQLDWDNKSDDRFYVDISAKQWDEGTLHRMKP ANEYNELEKVAHRSASDCEAACKSLPPDDCVQYKYEDGKCAMHRSFILGKPVPKKEGA KQSISGWDVEKIKEWTEREKVCDKIQWPNLSS NEUTE1DRAFT_131450 MDHKSVSSRVRDLAKFLSTVKGDLSNITGPPHLLAPSSVVEVGH CWAQRPSVFAAPSLESDPEKRMLLVLRWFLIALRSQLYIGSTGSKSSSIRKPLNAFLG ELFLASWTDAEKKCNTTLVSEQVSHHPPITAMHVSDAEHGIRSTGYARVEMTFNGTVN IRQVGNAVLHIDRFDEDYLIPLLDAKVRGILSGCMYPEVLGTYSIIGSSGYQAEVRFT GEGMIRGKRNSFEARVFHRDDPSKQTLYEIKGCWSEGGWTVRDPRMGETVEVYDIDAP ENQPVPIVVDSLAEQDPWESRRAWEGVFRGMAEGDMRMVLNEKTRIEQAQRRMRVEEK ERGIVWEPLFFKSVQPEEHEVFHRLTEGTGLKLLSERTKGVWKPDLERIKKAQRPFRL GVTPLGYC NEUTE1DRAFT_33481 SIPTPTTLQPGAYWIRAVVPPNYHKYLQTKPANLPGPAILDSHT TAGQFNIVDGQLVNKVSSPPLYLWVEEPPTNSSPPRTLATWFNTTRNPFGTFAWQGDT LTWSVPSIKRQNVAAWLVCSKKDQGALFVNTGAYGYQTPSGCADQTMHYYNDKIANN NEUTE1DRAFT_67769 MSAIVVTDQYLTYFNNPHGIIQGAIGSALAAGSVVGSAIAGPLS DKIGRRDSIFFACFFWLIGTSVQVACKNYGQLIAGRVLNGFTVGITSSQVPVYLAEIA KAEKRGSLVIIQQLAIEFGILIMYFIGYGCASIEGTASFRTAWGIQFIPCFFLMIGLP FLPRSPRWLAKVGRDQEAIAVLANIQADGNVDDPRVVAEWEEIVTVMNAEREAGKGWR KFVKNGMWKRTMAGMTVQAWQQLAGANVIVYYLTYIAQMAGLTGNVAMVTSGIQYAVF IIFTGVMWLFIDKTGRRTLLVYGALGMAFCHFVVGGVMGAHHDNVPEGVGGNANIVIS VHKGAPANTVILFSYLLIVVYALTLAPVCWIYAAEVWSLGTRATGMSMAAMSNWVFNF ALGMFTPPAFVNITWKLFIIFGVLCVTAAVWFWLFYPETCGKTLEEIEILFGDQGPKP WKTKKGESRLTAEIEAVKARKTVEHEIEVHEHEKV NEUTE1DRAFT_124137 MISKNRGRTGIKNSSNKQKIRPAPKLKVPSEAVGARLTNSSAPQ VQTRVPVVDMESPGEASGQILTPRASNLPSNATPTHLPSPVTDNDTDQDIEMTQPTVD ATPADENTASVWVDSVEEGVKQLKKLYSMTREKKERLVEPSKKEVKRYIQYMRAFMLF LKHDVEPKPLFKDTYGLDKTLEFIFRPEMEPLKKVAPDLLLLAREVLEQYNAEEWGKG FIDDGEEEEGIEADDLGPAIATSSKKGRQSHDPSSSDHTEVVRLPPGSHPIWGLEGIM HGLARKTTKKGRAVLFLDPRYYNKKRNAKVFGHNDHTPGAWWPYQKAALFHGAHGAPQ AGITGNPDLGTYSIVVSATSVYRDLNEDKGTELWYSADKSAENADPLRAKTSNATRSL KIALKTHKPVRVLRHAGTTCDPRRSPIYPTVGIRYDGLYEVVDQKLGTNKNNGVFKRF KLVRLPDSMNAGVSWEEVQRYPTREQRRQFDQTKDGY NEUTE1DRAFT_86451 MSSPHPTSTSSPPPHPSPFPTPTKQSPIIIIGTGLSGLVAAFEL SRANLPCLLLDQEPRSSLGGQAFWSLGGLFLVDSSFQRRMGIRDSRALALRDWLSSAQ FDKLEKEDYWPRKWAEAFVDFATDEMEEYVKARGLGFLVNVGWAERGDGRGTGGHGNS VPRFHVSWGTGPEVVRVFKEPVEKKEEEGVVEMRFRHCVDEIVVDEGTGRAVGVKGRV LEEEEEKEGLARGMSSSRVATGEFEIRGAAVIIASGGIGGNLEKVKKNWPIDRLGPKV PATMVMGVPAHVDGRMIDIAEGVGASVINKDRMWHYTEGLKNWDPIWPGHGIRVLPAP SSLWLDATGKRLPPFLFPGCDTLGTLKHICSTGYDYSWFICNRTIAAREFALSGSEQN PDLTNKSIVQTLWQRVFSSNGTVPVQNFIKHGEDFVVKDNLEDLVAGMNELMEKTGGV RLDYAAIEEEVEARDSQFDNPYSKDAQAMLINSTRNYWPDRRSRVAPPHKLLDKKHGP LIAVRMNLLTRKTLGGIETNLSSNVMRTDGTPFPGLYAVGEAAGFGGGGVHGYNSLEG TFLGGCIFSGRAAGRAIVEEVLGTTGLQAKL NEUTE1DRAFT_117903 MQLTNLLTGALAVPSIGASALPASSSSFSSEYNSKRVPTLGGFR LSTSDTCPMDLNSHNWEFYTVNFGAACGECTVPKKQLTGPVTETFDARTISDAYLNPK CQITLFQKTDCSDLGIVSGSGCWAPEGGIKAYKITCPWWEEPAAGAEEGGSWLRPCYD NEUTE1DRAFT_124140 MDVSKTVLKDAKKEEHSLAKPTYASRKEMLDAADEIARILGHDA VTYDAHVLEHHGHSDWSTSNSPGRAVAVVYPRTTDHVSAIAQICNARNVPMVPFGAGS SVEGNFSQPYSGICIDFTYMDRVIAFHPEDMDVVVQPGVNWVDLNNQIAHTGLFVPLD PSPTATVGGMVSTNCSGTNAMRYGTMKDWVLNLTVILPDGRVIKTRRRPRKTSAGYNL TSLFVGTEGTLGIVTEVTLKLAPIPKETSVAVVAFPTIHSAAAAASKLIRSGIQLAAL ELMDDEQMKLLNAHGSDAVRKRVWDEKPTLFLKFSGTTKDAIKSDVSRVGDIIKPLTD SKFHFAKTKQDELDLWSARKEALFTMVNTRPRGTEIWSTDVAVPISRLAEIIEISKRE CGSLGLFASVVGHVGDGNFHVSMMYDPTNSQQKQAVAKCVKNMMTRALEMEGTVSGEH AIGIGKKECLVDELGEETIGLMRQLKASVDPKWVMNPGKVFDWMG NEUTE1DRAFT_148227 MRTTSSPRGAKWLTALFAAAACLLPAANAQGYAIDTTDNIRASA KTLAFDLMKFYNGNQSGQIPGILPGPPSDGKGDYYWWEGGALMGTMIDYWHLTGDTTY NDVITQGILHQVGDNRDFQPLNFTASLGNDDQGFWGMTAMLAAENKFPNPPADQPQWL ALAQAVWATQAAPDRHDDTCNGGLRWQIPPTNNGYDYKNTIANAIFFNMGARLARYTR NETYATWATKQFQWIYDVNYIDHDSWKVYDGGHVEHNCTDINKAQFSYSAAILVQGAA FMYNYTEGDAATQDMWKTRIEKLTEGLFRDFFPKGIAFELACEGRQGACTPDMVSFKG YVHRWMAMVTQIAPFTRDTILPVLKTSAEAAAKQCTGGSTGRVCGFYWSGGVFVDPAV DKTTGAGEAMDVLAAVSSLLIDEADPPVTNTTGGTSKGDPNAGTGSRHATEPAKPITT ADKAGAAMCTILLIAGGIAIWIFMNLGD NEUTE1DRAFT_148228 MAKAEPRRRSPRYFDQQRPPPPPPPTTHTSILLSSILLSAIYNL TLHPLARHPGPFLHRASILPYLYRQITGTLPCSILDFHARYGPVVRISPNAMSFADPQ AWKDIYGHRPHGEEEFAKLNLFYRIKGSPPSLLSETKEAHGTLRKLMAQGFSDRSMRA QEGIIGGYVSALIRGLRGNCSSSGKREDVVKQQDGGVQVVSEEEEKETTVINMKNTNT KQTENNQKEEHDERVPLDMVSWYNWTTFDIIGDLAFGEPFGCLEKAEYDPWVDAVGKS VRFGCVMFALRLLGLEDWVCPLVRKLSGNARRFHRKRTMDKLQRRVKLTKERPDFLEG LLQKREEWGIDMDALAANASLLIVAGSETTATLLAGATYMLLRDPEAMKKLTEEVRST FKSEEEITLSSVGNLEYMLACLNEAMRLYPPVPIGMPRVVPKGGAKVAGTFVPEGTVV AVWHWATSHNEQHFVEPFEFHPERWMQDPRFANDRLDAVQPFSVGPRNCIGRSLAIAE MRLILTKVVYNFDMQLANPDKDWLDQHIYTLWEKHPLPVYLTPVERHGV NEUTE1DRAFT_103200 MATLVASVQGTVWLGQSCSGSGYDCTDDFNSVAVCNGRQWVLSA QCGRACCVWPGGDPAPWCRC NEUTE1DRAFT_117907 MTGATSNPQVCPVVGTTNSTLPPSHPDIDLSQPGQTCPVVGAST DHHHNLHKHPQVSQPEGLKRLDDASACPALNSRIVNEPKSKEMDDEVCPVVGTATTVL SPDHPNIEGAPEDAECPVTKAKVAHHKGKVHEHPDLKSASEGAVCPVAGKLWVVRETN GYTASMLGDQV NEUTE1DRAFT_86469 MRSSLLTGAIAAALSFSGYAAAADAEAWKSRSIYQVMIDRFARD DGGSVDCKLYEFCGGTWKGLTNKLDYIQGMGFDAIQISPVIKNEDKNTAVGEPYHGYW SQDLTQVNPKFGTEEDLKNLIDEIHKRDMFLMVDVVVNHMAQEFDNVVPPKVDYSVFK PFNDKKYFHPYCNVTEWENATNYQDCWLYPYGIALADLKTESPDVVSLFTKWIKNLVS TYSIDGLRIDAAKHVNDEFLPQFVQASGVFALGEVLTGVTEDMCRYQNKSKNLLPGMP NYLDYYPLNAAFNGGSFERLGEIRAQAQDSCNDTLALGTFIENHDMPRFASQNQDMAL AKNAMTYVIMNDGIPTVYQGQEQHFTGGATPENREPLWTSSYNTSAPLYVLAQTLNKV RKNAIKQSPTYVKQTSNVLIADTNHFCQQKGPAENSVVFCVTNKSSKGDSYQMSVGGF RDSDEVVEVLSCATTTADGLGQITAYMGAGEPKVWVKKSALEGTDICTKTTVDGPKEE NGAVSKGAATGVLMAAVLGTASLLL NEUTE1DRAFT_67790 MFMSWGCQPPTARSIEYNLNRNKTATSPLDYWGDRTEDDPNFTY FPSPKNWRVPFYTVFVDRWVNGEPDNDNANGTLFEADIMSNQLRFGGDVDGIIDSLDY IQGQGVKGIYIAGSPFINQPWGADSYSPLDLTLLDKHFGNIKKWQEAIDAIHAHGMWV IMDNTMATMGDLIGFEGYLNTSTPFLVEEHKVLWKSDRRYLDFDIGNTYNKTCDYPEF WYEDGKRIKPDGLKGCYDSDFDQYGDIEAFGVFPDWQRQLAKFASVQDRLREWKPDVI ARLARFSCMTIGMLDVDGFRIDKAVQVTVDAQAHFSSAMRKCAKEKFNKDNFIVVGEI TSGNTLGSIYLGRGREPEPAEELSDDPVKALTLGNATTDVSKYFVREKGNSALDAGAF HYSVYRFMTRFLGMSGNLRAGYDLPTDWIETWHEMIATNDFWNANTGEFDPRHLYGTS NQDVFRWPAIEKGTERMLLAYFITTFLLPGAPLMYYGEEQELYALDGTAENYVYGRQA MAPSPAWMAHGCFSLKNDLYVDWPVTKAKDGCRDPSVGWDHRNPAAPVRNILKNMFAM RNSTQLGVLEHGWLLEKLSNQTDFTLLKGSGDPTERGIWSVVRGLYPGVQDKLKDKPV WFVYHNRENTTTYKFNCDQRESAFLSPFDAGTKVKNLMDDGDVITLSSSNVKNNYTNS GKNMGCLSEVTLNPFEFRVYVDDPEDRWMQPAPMITKFTPGHDVPIDSTKANGRIDIS FEFNTEMDCDSVTKSLTVNLTQDGVNDPTQLSWTTPNCEVFTPQDADKIKYTGAINSA WRWNATLTNVADGIIKLTLDPTAAAKGGNVTKGTRDHFLLRLGKPSNPVVWGMDATYN WDLLQSVSNNLYKIKHNAPGATKWRYSTNFGSTWSEWVDYTGKETSVTGQQWSGTALQ AWEGKHIQVQYFSKPLGSSAFIQHSDKGIDYQRVFPHIKLHGPFNKWGYDTGLPGSMD LVGHNTWSLHYMYEWPANFQLNIWGINPDNQPDVSFIFGDIDGDHVVDRLPPSSLANN VINITDAPPTPALAWKVYYNDATWTYHLEPAGNMNVQIALFWLFAILPVALACLAGWI YVTSFYQVKVNKSGFATKGWMPLKIGNLSKLNIANVGKGVEMNAITPPPPSSALSPLG AAGGRRTVLIATMEYNIDDFKIKIKIGGLGVMAQLMANALHHMDIVWVIPVVGDVDYP LEKMQKAEPMYVDVMGQPYEIEVYYYVVKNITYVLLDAPIFRKQTKADPYIARMDDIE SAILYAAWNYCIAESIRRFPVDIYHINDYHGAAAPLYLLPQTIPVCLSLHNAEFQGMW PMRTPEEAKEVCEVFNLPPEVVKDYVQYGSVFNLLHAGASYLRIHQRGFGAVGVSRKY GDRSLARYPIFWSLKNIGQLPNPDPSDTADWDPSQDANDQAKGVEVDQAAEEKRGEYR RQAQEWAGLEVDPSAELFVFVGRWSLQKGVDLIADLFPSILEKYPKTQLIAVGPVIDL YGRFAALKLEKLMQKYPKRVFSKPEFTSLPPYIFTGAEFALIPSRDEPFGLVAVEFGR KGALGVGARVGGLGQMPGFWYTVESMTPSHLIQQFRQAIESALACKHNKRAVMRAWSA KQRFPVAQWVKQLDDLYSESIRIHIKEQKKKKLEVLSPGLTVPGASSRASYADPGSGR SSPGPLAVPSRPVTPTLRDLASPDLPTPGAPWAGGRSESPGARDSTASSIAGNFYLQP GGARESTMSVDSFAIRAQNGGPMSPGLGPDGGLAFPRPAFTQAHRNSSLLSLPDVVGD RHDLKLQKVDQFFNDTNGEYYAEFEQMLEALTASNSSSDLCIETFLKRSEKEWFARYR DAKLGRHRDASRMGRGGSGRPESRGGDRSESVVSRGRQPMRSATPSGLARSVFEESPP GGRSDYDDEFLLGDGYQAPTGLKKTLSVRIGDWPLYSFFLALGQIISVNSYQIVLLSG SQAQTPEKLYTVGGVYIATSLMWWGMERNFKSVYALSAPWFFFGLAFLLLGVAPFIGD WKVADSVTSAATAFYAAGASHGALSFALNFGDEGGAPTRQWITRALIVSGIAQVYSLG LWYWGATVSSEAQTMTKFIGESGVPLALVICVPVAIFLWAIGAILYVGLPDFYRQSPA TIPGFYISLYRRKIVPWFFVMIILQNYWLSAPYGRSWSFLFSTQFIPNWGIFLMALGF FVVLWSIVLYGFSYFSDEHTWLLPIFAIGLCAPRWAQEMWACSGIGWYLPWAGGPVGS AVLSRCLWLWLGLLDNIQGVGLGMMLLATLTRQHVLVVLIGAQVIGSMFTLIGRATSP NALSPNTTFPDFSQGLYPGIASPYFWVCLIFQLIIPVGFFKFFRKEQVAKP NEUTE1DRAFT_124148 MIVVRHYPVLPRTTSTPLPRLRLTILPSATPRRFCSSHTADDKD RSKKDITMYLQETHDKVFENNKSWAAEQVAKDPDFFKKLAAGQNPEYLWIGCSDSRIP AEQITGLQPGDAFVHRNIANLVCNTDLNVMSVIEYAVKHLQVKHIVVCGHYGCGGVKA AMTPKDLGLMNPWLRNIRDVYRLHEKELDAIADEEARYERLVELNVYEQCRNVVKTAA LQQSYAENGFPIIHGWVFNFRDGMLKDLNVDFKTILKDIQKIYNLTN NEUTE1DRAFT_148233 MSSSSGNSGPDKPFMCGICDFSTESAQEFSQHRETHGVKPFICK YCNERYAEADHLGQHLETHAEERPFECVKCNRNFANMEEFDDHMLDHSEGLFECPICN EEFPDQATREDHDCEGLYPIRQTWQCENCDMEFEDEDSLEEHMEQYMASWRTCFRCGA QFPDRDSLERHDHECLY NEUTE1DRAFT_86475 MARYESLHGNHDDEEEDHVTHNEVGDHDEDDEQRHTESSRSSKS SYTAVEQDDEEGNAASRAVYAALYGGGGGSPPSGGPVHVSGDRQTGTGDDHHHQLNTP PPVLQHPGPFDAPLIPPKSPARSTNKNPFLSNVNVTNNITATTTSTPASNQQATDHQT HGSGSSRNASGEPGTTSRSASRDSTNYVNQYSRSWKPSYGLPHSRDHHHHHHHPGHSP FSPLPETAEVEGDYHHHHHHGHHRDDHHDYHDHDHDSSDDPHDLDQDDYIMYEKETGG GMATTPRSTTGRGGPGTGSFKEKGGRGGGKGGMRKLMLVERTDTKRGRGGGRGRRGTE MSEVSIDATDSISVRGLPTHGSGGGGGGSGSPSALTPGVVGGGMNRSEQHLSYFHFDS TETLVQIIGLVLSIYDHKPPPSTWPGGLNLNVFLSFMTTLATACYMIPVIEGLGQLRW LRYTGGRQTVSRPASDFEAIDQASRGLHHCWRLLGFQGGPLGILASLITFLTLFTPSL TQLLITYLPPQPHRNNPGEPFDSNRSAVTLVRINWSWLAFLLVQVLLSAIFLTTIIVK TRMEGIQIFKTSALPGMTALDGQARSSLGGAMMGQGGIMTSAALGERAKKTILKLERS SGATGGGGNYGLVQGFVVDSSAGSNSGSHGSGSGSGSGSAGMGLRVPKGLGLGLGLER FRHHDHQVLPSYDKEGGGEGCGGHQAFGNGPAENLSFPAAAAAAAGFTPLPMPMSKAK PMPISTTVPASTALSGRRKMRFSLFGGAGREKLKVRGSPVHASNGGGQF NEUTE1DRAFT_124150 MGDRTSTPARTPARTQSNVKRSGLASTGRQSSILGFFSKAASSG TPTNAGANTNANANPTIAASSPASGATATPRAGQKEKSPECLKESTKSNSILPPRRRK RLDETPVPSSDAVLPPSSQENPGTAYSKDDDTRTIMMPTVVNYAESSDDDGDDVFLSF SGRPKSSRPSRSRSRPQVLDEDDEDDAFELDDNVINEEEDDDMADFVVDDDESDQGPS KSKKRKRPAARPSAPRKKSAVSSPPSPRRSSRQEEDDQDEEMMDDVPRTSTALKWKYD PDNIEPTEPRSATPRSAQTPSATKPKPKAHTREPEERYPWLADIQDANRNRPGHPDYD PGSVYVPPSAWRQFSPFETQYWEIKKNLWDTVVFFKKGKFYELYENDATIGHQLFDLK LTDRVNMRMVGVPESSLDMWVNQFVAKGYKVARVDQMESALGKEMRERDTKAKKADKI IRRELTCILTGGTLVDGSMLQDDNAVYCAAIKESVVDDKPAFGIAFVDAATGQFFISE FEDDVDLTKFETFVAQTSPRELILEKSHLSTKALRILKNNTSPTTIWNYLKPGTEFWD ADVARREFDCSGYFVSGEGQEEVWPAKLKEARDKDLLMSALGALTQYLRMLKLERNLL SQGNFAWYNPIHRNGTLILDGQSLINLEIFANTANGGPEGTLFHLLNRCITPFGKRLF RQWVCHPLCNIQKLNERLDAVDMLNDDHSLREQFSSQMSKMPDLERLISRIHAGACRP EDFVKVLEGFEQIDRTMELLESYGGGNGLVDRLIASMPDLKEPLGYWKTAFDRKRARD DKLLIPEPGIEEDFDRSQAELDRIKGELQELLERQKTALRCKTAKFTDVGKEVYQIEV PKTVKVPPKWRQMSATSAVKRYYFKELQDLVRELQEAEETHSQIVKEVASRFFKRFDL DYETWLQAIRIISQLDCLISLAKASAALGQPCCRPLFVNDERTVVEFNELRHPCMLNT VDDFIPNDIKLGGDEANISLLTGANAAGKSTILRMSCIAVIMAQIGCYVPAVSARLTP VDRIMSRLGANDNIFAAQSTFFVELSETKKILSEATPRSLVILDELGRGTSSYDGVAV AQAVLHHVASHIGCVGFFATHYHSLATEFENHPEIRARRMQIAVDEENKRITFLYKLE DGVAEGSFGMHCAAMCGIPDKVIRRSEVAAKEWEHTSRLKESLDRAKTGCYIPLGVLS DVASLLRSEIGKEREVGDRGVEVLLRAIEAL NEUTE1DRAFT_86479 MSRLLLRPRALESREKTATVEELPHVCKRTGLEKALHQVEQAVK RSGASLHGIEATRFVSELKEILGAGSLTPLADGDSKQPSTGHTRTSSAISRRPSEILM ADVSEDSGDSSMSDHDDMSIPQDSTPSQSHVADESLAVDDAENPLQLLARASDLHVSP KPVGELSTAEMAHSHQHPRQKKQTEKLSEVEKFFKFTQFSLDCGPELDPIDLGLLTTE EAESLFDFFHKSLAHTRWGLDPILYTASFTRSLDRYRSVEIVLAFMVNVPWMFPGKHS TDDETCWYVSMATTMALDLFLHKIVVAMDAIRDGSYNGGIARADCIDPKVALSLDGFG DVDSESTLGRRLLRRRERCWIALIQMSVDKFFEQWHAKWTIPIGTGPQHRLPPYVQIL VTHTRLSIYSIVINHPTAPTEVRHFFHAAGLSSALNVMRSAIQGEEQLSSMPNNTAIM ISFAACFALRLSGQLSGGTSSLAPSVRTLIEETAGVLERIGTATDHRNGMSTLYGKYL KYIVKKAAAAAATSYEHMASTVRPRNETAMTADRGPPHVAFSRHGGPSVSSSLSSSTL ATYQRSSQQQGTSTTNMSNTNYGIPTDAALSATSAGAYLEPSSSTLSSLWAATEPILL QFSSMSDDQVLEALNNEFHVDPATAASNSNANSNSSMYHHQHHVGGSGLSSLWDDTGV LPDWLNSANLPEFGV NEUTE1DRAFT_95827 MFAATRVLRQAAAHAERVPSIKFIGRRTIPASVDHTPKPHPASP THSLPANWGSSPSFSAYRQHAQQHGPLRKTIRPEVDGIGGSPGAALGSVNPPQGLYFD RNDLPARFRRQPLTEAEIEAIESGCGFA NEUTE1DRAFT_86485 MAGQAGAGSEDKCPVDHKTRELWLQQAKQAKAAQEAAAAAGGST APSPENAFTTPVVPAPQQPPTQTQIPQLAQQTAIPAALPTSQQQQSPSSSSWSSWLPF MSSSGSTTTGAAAAAGATPQLNLGEHREISSIPRAATTGPSACPSNAEQETGADITTG NWIYPSEKQFYEAMKRKGHDGASAADMKTVVPIHNAVNERAWAEILRWEKPFTGEGCG CAEGPKLQSFMGESKRMTPKARLNTLLGYTAPFDRHDWIVDRCGTRVDYVIDFYAGRN NEKAGAGKLNFYLDVRPKLNTWEGVKMRALRFVGMN NEUTE1DRAFT_103211 MSRNIYDIDPAGNVLCTYNGESQESLRAHQTKIPPLTALLHAFL PAGYPHSVTPDYLPYQTYDSLQAFFSSISSLLANRAVLEGLGVGDASSSPTGALILKI ASDTISRVATILFAHRLGTAIEPECKFFRFLADCLNDAAQLLDLLVPAMMERYGLKLG VIVGAGVLRSWCGVAASASKASLSAHFIVVASQQKGGGNNLAELNAKEASQETVVSLL GMLVGSLVVQVVQDRLVVWVLMVGLLGVHLLMNYWGVRCVRCRTLNRQRATMVVREWL ETGRVLGPEEDGLESHYENEVPLLGYTSEEQKGTVFAALAAVGWDLETNALETRSPVR VRVGIGKKVPIPSEKDLIRQPHVSKKD NEUTE1DRAFT_117918 MASEKVYRASTTAPVNIAVVKYWGKRDTKLNLPTNSSLSVTLSQ ADLRTLTTASCSASYPEGDSLLLNGEPSDVSGARPQACFRELRARRAALEAADPSLPK LSTMPLRIVSENNFPTAAGLASSAAGFAAFVRAIANLYELPASPSELSLIARQGSGSA CRSLFGGYVAWRMGEAADGSDSMADQVAEASHWPEMRALILVASAAKKGVSSTSGMQQ TVATSGLFKERITSVVPKNMEIMEKAIAERDFAAFAEVTMRDSNSFHATCADTYPPIF YMNDVSRAAIRAVEAINAAAGRSVAAYTFDAGPNAVIYYLEQDTEAVVGNLYSVLSEV DGWKASAVEGLKANVSFDESVADLLKNGVSRVIMTSVGEGPVATQEYLVAEDGTPVKS SS NEUTE1DRAFT_47931 MPTRLLPLQLPQKVEREKARMLELLQQNYYYNNDADHSSIYYTH TSSSSSSDIASPLPITPTFSRPSHSRCSGSTSSLENIMSPNASSECPISPLQQTHTNK TNKTQLPDVQESPLERNSVDIYEDEDEYDLYSGADEASLGGLYDCLCDEACDHHNDVA RPSMTSEFDCDLSFLSEVDFSDSLGSKKRRHGSDAGMSSWSSRIGSKLPSFPGWGSTS RRNQFASAPASVSSLVQRPSISRTFSRAASSRSSSISPSMRRPSEQLQQPQQQQPPHF TESPLPTTPALSFYGSADETVSPASPLQDAQVAAGKSLERERALAATPLLPPLFTESP ATQGNAQSLQTSPNPQYYPTPPLSSKASVASFRRATASTISELPSPLPFLEDQDAWSD RLGHANFTIEPRPYVPDTADLATYEAFRADWNQARINFTKHLVRTGEHYGLTSITYGY TQEKWAELEQEWSRAEEDLIQRLEPQLTRATTTLLRRATEDVLPAAVPEMLTDEGKFP DRGDVDIVGPMVRDAVMAGHDDKKNSASLWLKNIVDKVGRRK NEUTE1DRAFT_112290 MAQPVCFSVSGVSSVHLSTLAICHVLMLSDPDLAGTGRGLEGKE RGRARADHPFAYMVEIGIATVSQGQHMLASVLPNAINKGPRTATNNGDIDVVEGNHIA RDQYLSVLK NEUTE1DRAFT_86491 MSRISDAVKADHALITEAYHAISSAEPEDRHSLRNKFIWALDRY LRVEDLVLTPALEQHLASHGHQRRQRLSDDFESINSKLRHMQRFDPAQESFNSSLHAI WVDLQPHVLEEERSDLHRLEDNISEAESTELSNKYNKIKDMLQKPYGKDGAPDSRILS AILEMPRQDLIVKLGLTELRSDVRLSCEETNTEDTQRRNNKEELIIVSTIGDNDPIDP RNWPLAARCKNIAILFILIFAQGYSAGAESMANADIAEEYGVDSALEALSTAMYLFAA VIAPIAGGFIIADPYLGWHWTEWVTLVISGTAFLVSFLFLPETYLPKLLDWKAEHLRR LTNDQRYVSAHAQNLRQKSFAQRLRTRLSLPLKFIHTESVITVLGVYLILLYTLLFTF LSGFDQIFRQAHDLDEGQTGACFGALAAGATSFMLAVPGLYLWARRREARLASSTQDA NATLEPEFRLYPGFVAGPLLPAALFWLGWTDVEMIPMWSVLGACFLFGLVLMALYTSS YGYIVDSYGVHAGSALSAITMLRYIIAGGMALGTRPLFTVPGFGVKWTMTILGCVAVV LAPAPFVFWKMGPKLRKRSAYAISPCEK NEUTE1DRAFT_86496 MSHLGSNKILEKKYLTGKIAVELCPQGTIAERIRAAGSGIPAFF TPTGGRTFIQQGEIPVRHDADGNVVEYGKPRETRIFNGRPFLMETALPGDVAILRAWK VDKAGNCVFRYTAKGFAPLMAKAAKVAIVEAENIVEIGEIDPNDVDLAGIFIDRIVPA TKPSQVEVLKTRSRDDGGDGNQTKAAKSGGSDAQERRNRIARRAAKELKHGFYVNLGV GIPTLAPEFLPPGHQVWIQSENGILGMGPYPLPDKVDPDIVNAGKESVTLVPGAATFD SSESFSMIRGGHVDVSILGALQVSAAGDLANYMIPGKMFKGMGGAMDLVSNPDNTKIV VATEHVAKDGSSKVVQSCSLPLTGARVVSTIITDLCVFEVDRKKGTLTLAEIAPGVDV EEVRSKTDAVFSVADDLKTME NEUTE1DRAFT_86498 MSKLSPSLKGLINAPFARPGPTPAPRNIEAVYTKIADEARERKY GEKPWLALSAAATFTLNSPSSLGPLLTLASSRWPSSSSSSSSPSPSSSSSPSSSALLE PAEFIREIGLKCISFNGIPRTINTLNFFRAHLSSAHPQLSSHLSTTPTRQTTPSNISA VHARGRQLWESIYTPLDAKLEAKLAEAHPDLPVHILGSHYGPLLSDPPSEKNGLDSKV GRSLTSVVAIACLRAQTGVGPQVLSHVFGLRKAVEQGAHLHEFAAAGQSGGEGSKKGE KERRSEEAEGIERLASDEGCEWILRSVDAISEAMAAAAAAAAQDGNMNEGGFASPMRK RESKL NEUTE1DRAFT_86499 MGTEIELDVEGQTEPPICGFKSPTTSKTTTRSPTLTTLNTGTII GEECEYRADDDATTTTTGTGPGDLPSFTPEAAHTLSVPDVCAFLRTDPENGIDNDEAA RRLQHHGPNKVEGAKGLSLWTILLRQVSNSLTLVLVITMVLSFAISDHIEGGVIAAVI LLNIIVGFLQDYRAEQTIQALYALSAPTCKVTRSGVTSTIKAETLVPGDIVRLGVGDV VPADLRLATSINLSTDEALLTGESLPVSKHAEVVMARDRDVPLGDRSNMVYSASTITR GRATGIVVSTGMGTEVGKIAGLLRDSNGGRNKTRVNKEEMGTLRSLVVRARNGMRVLL GLDGTPLQVTLSKFALLLFALAILLAIIVFSVSKFDVTDEVLIYGICVAVAVIPESLI AVLTIATALGTRAMARGNVVIRKLAALEAVGGVTNICSDKTGTLTQGKMVTKKVWLAN GTEVEVLGTTHPFDPTSGSVSIGGEVFSLADHGMKDPEEAIGAKGENLKEFLESIALC NNAVVTKAEEAGTYSAIGEPTEIALQTFAMRFGMGKSSVLAVVDGQSQQQLLAEYPFD SSCKRMTVVYTSTESGGAGIACAYTKGALEALLPLLDASDEQKVEIVAKAEALAAQGL RVLCVAKRSVNGALFQAGDDVSATPEKEKASASIFPGRSSIEMHLTFLGLAGIYDPPR LESAAAVQKCQAAGITVHMVTGDHVKTATAIACEIGILNSDRLQNGAEVMVASAFDSL TDAEIDALESLPLVLARCSPTTKVRMVEAMHRRKAFCVMTGDGVNDSPALKKSDVGIA MGLSGSDVAKEAADMVLTDDNFASIVTAIEEGRRLFDNIQKFLLHLLISNIAQVILLL IGLSFKDNDGISVFPLSPLEILWANLVTSSFLALGLGLEDAQPDVMQRPPHDLQVGIF TRELIVDKFVYGTAMGGLCLAAFTSVAYGMPGADGLGEGCNEDWTSECGVAFRARATT FATLTFLLLVTAWEAKHFTRSLFNMHPEKYSGPLSVLKTVWQNKFLFGAVSAGFVICF PVIYIPVINHVVFKHNAITWEWGVVMACVVVYVAIIEGWKALKRRTLKAKMVTGVGVE GV NEUTE1DRAFT_148243 MSSADNRGHADLDTIQPQYHDVSRSPTIDAMSAHGDANNTEIMG SHAHEKPVISSTDSDLKTTIPAGQYVDAESQHQVGSVDHAEQQPAKRYTWAWFYFNFR PYIHAAIWALFTAWWIYGALIHHRHDHLGWLKPTLVYLAICARIIFCYVPTSIVMRPA RIIWMHTVHRGYSMIPQKLHQPLAALGTLGVFLLGSMIPEETGDNTRANRAISIFGLV VMICLLTVTSRDWRKIPWHTVIGGMLTQFVIAVFVLKTKAGYDIFSFISEMARTLLGF ANDGVVFLTDDTVTAKGWFLTGVVPPIIFFVALVQLCYYLGFIQWFIGKFAVFFFWTL RVSGAEAVVAAATPFIGQGESAMLIRPFVPHLTLAEIHQIMTCGFATIAGSVLVAYIG LGLDAQALVSSCIMSIPASLAVSKMRFPETDETLTSGNVVIPEDEEHKASNALHAFAN GAWLGIKIAGMIVATLLCIIAIVAFINGLLGWWGKYWGLMGQDAKHPMLSLELILGYL MYPVAWLLGVPKQDLRPVGELIGIKVIINEFVAFSSLTNDEPYKSMSPRSKLIATYAV CGFGNIGSLGTQIGVLSQLAPGRAGDVSKVAMSALFSGVLSTLTSASVAGMLYTER NEUTE1DRAFT_103219 MLFKLSCKDEGARRDRGSQGSIVQPARHPRAPLPPDAVQGVYNG SRPKRQHKPTAPIAGPGAMPRFM NEUTE1DRAFT_67825 MSKLLNGLLREQHHQNQHGLGPGFGHGHGHPHSSEFHGPNRDHM ISAKAATLILRGVIATGPDPSSAFRTSSPTSGPSPTSRPNTSSGHNPTSSQLFTLSSQ QQRQDQYRVHTQGQQHGAAEYEYDDDDHYEDDQYDDDEAPTSAASSFSSTHGSVVVGG GSFCSRDWFDARQPSAAAVAAATASFGSSTTRPASSSLSLPHNPSVPSGVPRSMVAAG IGGIGIGSGRESVCGVMQHPHHQHSKSVPVLMSMKDQYSRMAMMQNARDGSRGYLDVD DEEEGGEDERGFVMPNSKRARRG NEUTE1DRAFT_103221 MAPTKRPAAEGDRSISPPPLKRKAQTAISKSAVASFFTPVSQKP KDRTTWTEKSPDADSPATLLVAKYVPEGSPTNDESGNETVKRRKIAAFDLDSTLITSA SGKKHSHDAADWKWWHHSVPDRLRKLYNVEGYQVIIFTNQGGLTLHASPSSSSSKPKT PKAQLDRVPQFKQKCSAVLSQLDIPTTLYAATGKDIYRKPRPGMWLEMKADYNLINDD DIDLENSIFVGDAGGRQSELPPNSNGRKATATPKDFSCSDRNLAHNVGIKYQTPEEFF LGEEPRNFTRDFDLVKYPYPSSSHDQDSSSSSSSSSKKEILFTKTSPQELILFVGPPG AGKSTFYWRHLKPLGFERVNQDVLKSKDKCLKAATEYLKEGDSVVVDNTNPDPDTRKQ WVELAKKQGVPVRCVWFRTPLVVCEHNDAVRALNKPLAFNSFNARFKEPKVKEGFQDV TEVDFKFRGTKEEYEIWGKYWI NEUTE1DRAFT_112298 MYKIGNIYFIAAVAVIGGALFGFDISSMSAIISTQPYLCQFNQL GHNEKGLCLGPTNDVQGGITAAMPGGSWLGALCSGFVSDTFGRKRSIQIGSVIWIIGS IIVCASVNIPMLVVGRIINGFSVGICSAQVPVYISEIALPSKRGRLVGFQQWAITWGI LIMFFICYGCSFMKGTAAFRVPWGLQAIPAALLFLGLVFLPESPRWLCKKDRWDEAKD VLTLVHGKGNPNSPWVHREMQEIREMVEFERANADVSYFELFKPNMINRTSIGVFTQI WSQLTGMNVMMYYITYVFTMAGLADGSENAVLLPSGIQFVINVVMTIPALLWMDRWGR RPTLLVGAFFMCLWLCVNAGLFAVYSRPARPGEFTSPAESMAITGAPAKAVIASTYLF VASFAPTWGPVSWTYPPELYPLRLRGKAVALCTSANWAFNFALAYFVPPAFANITWRT YVIFATFCAAMFIHVFFMFPETANKPLEEVEEIFDDTKPGAIKYIGTPAWKTRNTRNL TLKQEHNDTLSSEEKIGFEEHAHKETTGR NEUTE1DRAFT_103223 MEEDMYKQDAPCFNQVGASLHTPSRVTTMKQTTGILLSATGASA FYAGNINYFSPSLRHPSLGISIPKVAARTYESLPWKPGQLNFTHGVASGDPYDDSVIL WTRAAPMMDNDHSNTTVSGTTGLFSHETAEFVFFSKSKVCVDWKIATDEKFEKVADEG RVWTSSDIDFTVKVEAKRLSPFTTYYYQFNICDSYNNSPVGRTKTLPAKGKKVPSDIK LAVYSCSNYPQGFFNMFGNTVRKDSVDFIVFLGDYIYEFQNGQYGWGDALGRIPQPDR VTYTLYDYRRRIATYRTDLDLVANHMKFPWIQVWDDHEVADNTWRDGSSFLQNNEDSF ISDGGVSTDSRKANAVRAYFEWMPIRQVDMDDGLRIWRNFEFGDLFDLIMLDTRQYDR SITNIYTNTGYIKDISNDTSRSLMGPRQEAWFYRTLKESSKRGTKWRLIGNQIIFSQL IVSKDMNLPYDYDAWDGYVANRNRTFATLYENSITNNIMLAGDSHASWASDLVWLDKP IEPGEYDPITGRGAIGVEFAVSAVSSPSPLGQTVTMEETETDTNLLTGFNKELQWTDL YYRGYTELCIGYEGVTARFYGSPDIRTRNGFEVSLANFTVKDGENRLQRYGEEMVASV DGKVEFGSLQRGKTVTTNLTYDTEEKRWFVFGEQ NEUTE1DRAFT_86506 MASHQKAKGISATLSPPSTKPADPGAGVVPENLYHTTLTVIDHH ATTCGATTCLQVLGTHTTLKDARAFAYHDALRSLNYQPYDFAIYATRENFGMPPPPQK KGQPPEVWPYGDEVLVYAKARAGGQEFLIGVVEKPNTEHLASAAPGQFDELEEPEPEP MPTTTTTTAGARRHHHPPRRRRSSSITRLFQACEIEGCFPHKRDAVDFARRWLDTQKE SGMFVQYDEREQLPRSFFLRALPTDQQRQQQQEEEEEEEEDTQQWPFGEDVLVHAVAS TGENYCVAVRTVKGAKERFGKKVPRRGGREMDSMGMEMDMDMEVPRGLVREEGMEETM KGRKEKWRMSYYEDIPTKI NEUTE1DRAFT_46679 MRDYNDIDTQLLWRRMLAIQRTFGCYNSTRMNIAIEIGEQNASV PSRVCLDLLNDSIDTLPNDIKQRIEDFLACEDVSRSSSSCSRRKWSWRHLLHA NEUTE1DRAFT_86510 MTEPVETYNFYPALQRNVTPTASGSEVVSYTSDLGDSGPILTLI HGYPQSAFIWRHLVPLLLPKVSLFIPELPGYGISTPISAGTGDHTKRAVGHALLDALA QVFSTNSSSSSSSSSSSPSSSSSPRQVILAGHDRGARICHRLAVDATSKTSPDSSLPD ETKSFFSHHNLTITGLIMLDILPTKCQWDFFSCPTNSQTYFHWPLLANVELAVPMITA FGVDKWVRGGHLRLVGSASDSKERIMSDDAVEVHVKLFESGETVRWTCEDYKAGAQKE VDEQVEDLEQGRRLEVDTLVMYSWEKLGRGGGVDVEGVWRGWVGDGVELEVVGVEDGR GHFLPEEAYDVVGERVVRFLERFGL NEUTE1DRAFT_131478 MATDSSSDESDHQDHHLDLDKHNSDASNDNESGSDSGSDSASNS EASSDSDDSSDEASSSEVLTRNSRGIQLLASMLDLEASESHSSEDEDDDDDDDDDLAE HTYEYPNSRQARRNHHQSFPKFTLLPYELRQRIWEMFCPDLTAKSRVYEFRILFQLAN LPNNPLEVWESHILEQQTVPARVMSAVHRESREHVLKKFPDLLTFRNGRNTIRWDCKN DVVILGGKAVPPFFQIPGFSDQIRNLAIDVELGRCLDTYLLSERPEYEQYFKEFCPQL SRVFCVIDDADCPARLLRWCANRENRHYFVRTQEEEPGVGEDAEYMYCWRNPSRTREF AVDNSITGGLGDFVFRSLEYTQNEGNDTENIFQPLIRFSYETGIRRFEKLVKKQNDNT DWSFSDNDLDDESVNEEPDEYESSGIDDSEISEDGGTGSESGDDLVVVTDDSDQEEEE ASSDEEEQGPFHAVRLADFDNGPIEILDDSDDASHSGHNAHQHPHLGPSDSARFSSME PSDSSTMDHESTSSPRAGPSRSKGSGRKRVLESDSEQEEKHDGPPRKAARISNESRRR ARATVVLSDDEDEDEQMGYESTTEKKQSPEGSKAGERPKDHVVISDDNEDEEEEEMVR QRRKQKARHLYKVVSDEEDDEEEDEEEVTMPKKNRSRRQLRPPASETDDDEDEDEENK SSTSDSDDSDSDDSSDEEDPPARPLTLAEKLELHRRNNPIPDSDDDNDNDDDDDGSVS GDDYDGRNYADFQDDEEGNEISGEDDDEDEDDEGGLIIDMADEEDEGDEDDEY NEUTE1DRAFT_86514 MALNRINGREDLFVGGIFGVSRARLIEEHKITHILSVINYTLPA DPAFRNVQHLSIDIDDVEEADILVHFPKMVRFIERGFASAKINGDDEAAQDSEAITAV PPTATITSQQQTKPGAVLVHCAMGKSRSVSAIVAYLLWKHPHRFGRSDPSTPARRAVT QAINWVRQTRPIAEPNDGFMEQLELWWTMGCPLESGDDAVENHPAYQRWLYKREVEDA TRIGRVPDWIRFEDEEAAKLAAAENNSSKEAEAGAGAASLSLRCKKCRRTLATKPFIV PHQGKGNKERDCGHYFVEALSWMRPTLEQGELEGRLTCPNQKCLASVGRYTWQGFRCS CGDWIAPAFSLQKSKVDEATSAPHPGGGRGPGGGGGGGAAAVAARMAALGIRMPPGGL GGQRVAGDGAGTAGGPKENL NEUTE1DRAFT_124168 MAARAVPMQTHPRYTSAARDFDDGPLPSISSRDGGFREHFASRP FGIPLNDNLSPSTASTPMAIHGSEGNGINAPPPLPPPRLAPVDGPVDPNVQHYRDSEF MRNDGPNSLESESFKFERRALPNKHENPHDEGYQSFDSVRSSQLSSPFGLHAMKGFRP STAAIDQTMLNSGFNRAPPLRARRTKSSLMLDDGFLNAEDDEDNDFPMEETTRMRTLK IEDSWRERHRENERETESGSWQRGHKTGSSHYSPSQLYHAGRDMGGERSYYQSGQKRP ASPTPIDRCSDTSGLNRGSPTPRLTVVSQGSVSSLSAASRSGSYIGNLTASSIASNSS LGRLSPNGVSPGGVSPTDVMGNGSPYATPISLTASPRSSISRTGAAQSPHPRISGDYT QRSVEQSNRTLGISRKLAEIPKNASNIVAAKLKGPYMCECCPKKPKKFDTEEDLKLHE AEKQYGCSFCGNRFKNKNEAERHQNSLHVRRHSWSCSALQTYDRAFHDSSTRPGEADT CGYCGEEFARTGRNAAGIFASEQDWEDRIRHLQDVHKFRECNASKKFFRADHFRQHLK HSHAGTSGKWTNMLENACMMDEGPAAPR NEUTE1DRAFT_117926 MTSVGQERGVVGIGSVLPMQLVLNGMRDVAGDWLSRQIPFSWCG RMQEESDRLLVWKTGLD NEUTE1DRAFT_67841 MAAKLDSQLLGLVFQSRPDILKGIQEAADSPARIDLFNNIASFV YERIADNTSEEPATKRRRVEAQTSGPNGAAHPIAGSQAAVLGADAAAAEPVLLEIKDI SVSVPQRKKYDLCFTKNFLYARASGSPVPVQGIVYPWKDIEHAFYLPVPDKSQVQHNY VLLPRNSYLPTTKSQQSADQQTQQQTTAPLEPLVFTIPSTAPKSGTITGPSAGAAAPV SDSYATLFHWALTTSLHAAGNHACELVSSDPKVFHSVARQAYCPQEKAVHVKAFRGSK DGFLFFLPTGILWGFKKPLLFLPLDKIVAISYTSVLQRTFNIVVELEGGEGSEEGGQE IEFSMLDQEDYAGIDQSYVRRHGLADRSMAEQRKAKKQLAENAKKAAANGEEGEGGEG GEADDGLTELERAQKEEEQRLQDEEDEEEEDYDPGSEGESEGSGSSSEEEEEDGEGEG DEDDDEDMGEGLEGEE NEUTE1DRAFT_86523 MSGQAKPTENMLWGGRFTGGLDPLMVQYNESIHFDRALFAQDIT GSIAFARANAKAGIITQDEFNKLEQGLLAVKKEWEDGTFKIVPGVDEDIHTANERRLG EIIGKDVAGKLHTGRSRNEQVATDMRMWLRDELRKIEKHLQDFLNVTAARAEQEIDVI MPGYTHLQRAQPVRWSHWMLSYGFAFASDLERLREVIKRVNRSALGCGALAGNPFGID REMMSKELGFDGLLWNSMGAVADRDFVAETLQWGSMLMQHISRWSEDLIIYSTSEFGF VRLADAYSTGSSLMPQKKNPDSLELLRGKAGRAFGHMAGFMMTQKGIPSTYNKDLQES WEPMLDHVKTVSDSIQIANGVLATLSIQPEKMKESLDPFMLATDLADYLVRKGVPFRE THHISGRCVALSEQTGIPMDKLSYEQLKGIDARFEEDISKVFDYEKSVEMRSAKGGTS KACVQEQIQVLKSMIA NEUTE1DRAFT_124172 MSFPNLYTHRKVAETKAKSCDICYRLSTSVLITADNKDFFYICP SHLKEPSFCTPKIDTEAIEARKKKELEEEIERVKKEYEEKKKKKEEEKGKEADKEKEK DKKDDDKSKDKDEKKDEKDQENSKASRSTSREGTKSPPVEEEPRIFELKPTFYQQRLW KKRQAEIAKRNRERLQDPTFFPSVPKNLP NEUTE1DRAFT_117927 MDDGRSWSMTQRCLWWVVQRFKNPILGNLSSPACDNPDQPKLKA NNHGSIRPGSLDSICSHHCALQSWSHPAAPIYLPSLNYRSPACNHRTDGYR NEUTE1DRAFT_148254 MTSHPEFNERTTGTEVASAFADQIRGRTILITGIGPKGIGASTA LAFASQSPALLILASRTKSKLEAVADQIRTKFPHHTTTNTRVELVSLDLSSQHSIRQA VTEITRLTNNNRKLDILINNAAINTTTRQTTPDGGLELTFGTNHIGTFLFTNLLLRNN LLSPPPARIITVSSAGHRLSPMRFSDYNFSDPTYREVVPAGEDHMRPPLPGAFAKCTE DGYNGMVTYGQSKTANILFTLYLQRHLAGRGIGAFTLHPGTIETELGRDQDPEVKEEF HKIEAYWKSLDEGCATTMVAALDPALDETKGLYLVDCQFSDPHPHAKDEVAAERLWKL SEEIVGENFTLEDF NEUTE1DRAFT_103236 MPIFERQNFRFGRSESNAGYTPVSVGPEIIIADWLLVCVSALFF GLRMYSKVLRKTSLWWDDHVLVAAWLCLILDAIVNTINIRLGFGKHRDTIKADHLKHI NTLTNLSTTVMMLGAVWSKTSFGLTVLRLIRDRPKIRALVLVIIATMNSFIIFNVIAV WIQCGVDEHGNEDDEPNCLSIKFTASSMMFAGNHGYRLIIWGAAEVATTICAASIPQL RVLAREVVIITHQRHEESTHGHQLQEQQHHHIVEAKHIETSHDSGFGSGSGSGATAVD TPAAVPLQPRPSMTTGQETLSRFASTSAAATTDPRSNSSVSSYTGLLRGTSEVGVGVE RTHNRSQKQEREREQNGHVHGPPYTHNVPRGMMGGFAAAGG NEUTE1DRAFT_131485 MNCVRMRLQCRLMPSSNTLGRWCLESPQKNLTLHRPRISIVALR QASTSVSPKTRETEAEAKAKKLDQKRLDEHEEEVRAREQQVRRPWHREGADKPPVEGN TEPIAKGKLLTTPTRLLKLILPLPLRVEKDQKNNGRNNEYGRSISLNSDIQPLALLIH PQQPLSYVERLIQTELPPVVESGQEKIPNVYFRAEDSEQGDQKPMSRSEARSKDNGGE PSEYNTNLSHVASYSGLGHRGPKRSSQDKRWVRWSSSTEMGDFIRDAARGREFAIEIE GYNIEMRVSVPSFGDRTYYMRQRLRKMSSEIDGLAKIKHECDLLAHRSAHRLAKGGFG LLAGWWGVVYYVTFHTEFGWDLVEPVTYLAGLTTIMGGYLWFLYINKDLSYKAAMNVT VSRRQHALYEMKGFDIERWEQLVQDANALRREIRVIAVEYDVDWDETRDVGEDVKDVL DEERSRRDDEHRSIEKEKDEKFTEDEKRKRKKEKGSKEESGDS NEUTE1DRAFT_86532 MGPQVIRLPDGQLISVTPVFAGLFFKSHELSTPQHAIPAGWSIV IHTEDDHKPGDSSGHDAKEGDEHGAESKPAIHTYTKPTLQNDSLFISSVSMPASSEFK PAASPTRLIAMMLWVTLYWYFHQPAPSPILRTEASKATPTAGRPRGEWKIRIKRDGVL RGRNLIAKLERMGLIASADSSVGTALDDSDEPWANMFVSRKMFWQIPGRLFLFSLQPN SNNLKSHPGSPAVSRPGSPAAQHSTQAQQSQITDVSESYGSLPPCSMPSYPIGPFFSA SYLPTYYPPAPLQYTITNHVRHPVRPKPPRMGEVFYTRFVPSVGQYLAFRVASLSPQP VPYFGPVGPNPPQGQNHLAQMTDLALIEAWHQSPRVSAFWGEYSVNFLTNALKSRHSF PVIGLWDGVPFGYFELYWVKEDLLGRYIGGEAANDWDRGVHVLIGEEWARGRVQTWLS GLVHYAFMADYRTMSMCVEPRVDNTRFIQHLEHAGFTKEKEVTFPHKQAWFGRLRREC WEGPAL NEUTE1DRAFT_117929 MRPNVLYLVAILSTQAAAIEATSNDDTRPSIWCTAAFLYPGQDR LGNNALKNVFHGYCGLKGYEVSTDIDLNQCLENRNGQIRWKENGNGISNCECRSKRNT PFVIECQCPDDRGNKKISSVNLNEQLKYENGTFKCFGALGNTEDVSYRLPGKSGEGGG ERLELESNLILKRG NEUTE1DRAFT_140063 MAPNPPYMSSLFEPTSAPPEPGHPQFQQSQPQHPGISQQQQQQQ QQQAAQPQQSQPRQKQPRKPRQPRKQAQQQQQQQQQQQQQQQVQQQQQQQVAAQQQPL VSIDAQRFAQLQAEVGQLHQLVQGIIHRLDAYGVPSLPGGHHAPLLLPQQHAPVSAAA TSTLVATSANAATNNINFNALLTAGQSHDGGGSGGGVGGGESSVSGLVNGDHGHHHDD GSAANVGVTHHQDGGGGGGMDHDVDDDDDDEESRQLQLLSANLVGDQSLPSVSWGVGD NNNNNGASGPGAAGAAGFDMAYPPQGHIFHIQLSTSAGGKNYVGGGPNGASVVVNNWT RQHGYRVITRRSKIKNDRYHLTMSCALSGNKYRPVPGPTQEQIEEARRNGQRKPYQRR IGTDKCNCPFRFVLRETAKHSSTFEVRWTMVGPDQQPAMHNHGPDPKALLGDE NEUTE1DRAFT_140064 MPNEISTSNNDQALPRTETQTAKSSPTYTIPEGEFCVFGKVYAH PQHASALARVYEETTRLAASEPGVIYYSISQEPADADAGGSGKEGGGGGEERSRVFYF FEQYTGREAFEAHNRQPIIRKLLEEDKYIERVEDVVFAKPLKGVGPVGPAGK NEUTE1DRAFT_124177 MTEEEAIAIVQESVRFHADDWNFPSEMRERMKRLLEGPKAYGEF YDRDLRIDATLMRYSSPYPGVRAVQELKDDPSVPIETIRAYFLGVAWAIIGTFMSTFF NSRFPSIGLSGSVIQILLFPCAKFLEWVLPDWGITVFGVRHSLNPGPWTFKEQMFSTI CYNIAIYTTNSYGMILVQRLDVYYGLKFVNFGYQLMLTLFVQLMGMGFAGYLRRFSVY PVKALWPTILPTIAMNRALTRPEPKENIYGWTVSRYRFFYICTLSMAIYYWFPGYLFT ALSTFNWMTWIAPDSVVLSLLTGSITGLGLFNPITTFDWNVATSSYAALSQPFFATCT MYFGGILGGLIILGIYYKNMNYTAFLPINSSSAFANDGTSYQVQKVVVNNRLDQTKYQ NYSPPFYSAGYILTVGANFCFYPVYFFYIMVNQWKTIGQAYVDFYHGLRYGKGNYENA MDIHSRLMAQYPEVPDWWFLIILVGAIVVSVIFLKIYPLNTPIWLVFLVIGINLVFAV PLSFLSATTGTNLGLGALIQVITGFLLPGNTNAFLFAQTLGSWALAGYGDNMVQDLKM GHYVRIPPRAVFRSQIGTIIITVFVAVGTQDFIMTNVKGLCTPNQPSRFTCANDGNPL YASSLMWGLLGSDRMFNSLYPLFKWCFLIGTCIALVFLFGQTYGPRYLPGVKDQLRQR LSWRMFSLLDRTLFPFVASLLWLNPILVIQGVQHWAPSNMSYKTPGFILSYVFMYWMP KHRLAWWEKYNYVLSAALTAGVAVSGLIIFFAVGYNPKRLEWWGNTVSGAGVDGRGTG ILEIPKDRGYFGPEKGTFP NEUTE1DRAFT_117930 MTAMTSMRRWFLVSKLCRCNPTTRGAREDRSKSFPTPMLLVALC SSIRRNKKKLEKGEG NEUTE1DRAFT_124178 MFAFWRFLEIITLIPTMGMLAYFVDGYVKANQLTPTYILILFIV SVLALAWCIATLFTYHRSSTNAQFVSFVDLAFVGAFIAAVFYLRWIGNVNCTSVDRGN PYFVDLGAVGSANFNTFRININKTCAMLKACFAFGIMNCIFFFITSLLAWIHGGHTAR GERVYTRKTTTHHHHHHGGHGRRSHSGSHSRSRRSRSHSRSYV NEUTE1DRAFT_46663 MGATLSQPYIPMLPRSIKSKSKRPRYLFRLPIRWKKKRTLPEIH APLPNPGHHDHREESRESKLYDGSSAEGEESSPSHQSLPITSANDKPLPKLPLEAVFP AAQLPNQQAQLNFSSDRPAKVMQSINASVEDINQEDDNEDVSDSDSDFSPEIERPHTP PPWDTSLSGYGSVLEISRPFRARRVFEHPLVEGHFLGSYKGDDLIGGGGRGPIAAEEK RRVWRVWESTNEKGKGEGEGKGEERGKGKRKYNGVDDEWKEVGIKSERQQKAIEGRER ERGKKRTKTRRMSEWKKWKPLPRYPACTGVAQRATSSTWADTAATGDSDSAWREVFVE QAEDQEYHGGDEEEKEDDEDEDLEKAIKRFLEIKIPVDLSSLRRLRISLAGTAGEHTT TGESGTGETAGGKAEKEHLDGEQGQLSPVDSLMFTDTDIEGFEFLIEEEDEGGGTWDS GTVVGVTSGRVEGKGGACKMDGLIGGFAGGDASRAEVSEEDSDHNLTVRTAFSVTQRL SRWKERV NEUTE1DRAFT_124179 MESSFRGKYASIPAGSAQPGATSSISFHHTVAHQDCIIPQKVIP RRILQTNTRLSQYSPWQRWGSSWFWELAAMTLSCFSLALTLGILYFFDGKPLESWPLY LSLGTIISTLAQISRTSLAFAITPCLGQAKWNWFSQREDDVLVFRTFDEASRGPLGIT VAMFGYDPFVQAIVDTSGYEVPLIGSSRAKIRASNRICVGQEQITGKQITLTNENGSM GPDTVVFQERALTADFGLTLAVYDGFVNKSLAFIDPYQSSFFCDTGNCTFRIFDSLAV CSRCINVSDHIRREQVPGGGSTYTQYMLPYGLEILNRDDAKPPRNAYPVPTESPIHRR AKGNADQLISLISPNQTVVQVIANRSESIFFANFTTTFVVFRIIQSTPEFVNNLSPWN TTFPLAMECGLSFCVNQYNSSVSKGILHESVLDSWDEWSHPSLLPQDGFLESNISTED QRERWMHPFNHTLSSPVRPDSHGTLWDFPRSDLLLATHPSLDPGEHDDSGATTVSNRL PYFNITQISLASTIDWFTHVLAPEPLIYPTTADIGYGNPVAGAIAKSTNLSITFDNVA RSMTAWIREKEGVWVMESDTKKWEFRFCIRWPFVTVPVAVMVASALYLGLTIWQTRMY GVDPWKEDALAAIAHGLDQESKAKICQAERVGLRNEAAMGMKVTLRQGMYGEVELRDV GKEG NEUTE1DRAFT_86536 MNSELHVLIIGAGLGGLTLAQGLRKQGISFQIFERDASAKARGQ GYAVGLHDPEKLFGGSLPDEVFSSVRSSCHLLPLQLPSCLAMFFPDGRAGQALARDLD IQWGKRAQRIEEGEDKVTVWFEDGTHAEGTILVGADGTFSAVRPHILRKPNSEVLEIS TSSTLTMGECRLNRADMESQLQLAYSCYVAYGPDFVLFSGLNRVSDDGSYGDYYWMMV ESREVGDDHWVKTGTAEERLRKSKERVQALDPKFRVTVEKTEVDGLKKVVAWYDALIE DIPPVNRVILIGDAAHPMTPARGEGANHAIKDAVGLSKLLAENKDQPIDTLRHKMDEL QRSLVSEGNQAILLARQVMAKARQRERVEKPMVWGHEVKTVDEAVPLPLELK NEUTE1DRAFT_67858 MSITQPPLPPLTTSPSTTTNINATTATTAGNATAARLSNIVTGH STGHYSDHQQATPESRPPNLTSTSTAAGSATATSAVSTEHHGGHLHPPTHQQHQSTDH QLPPPPPPTQPQPQPQPQQESSTISLPSFNVDAHPTVLAAAATAAAAHHHASANHDDH QALQSAIVVAPDAVGQAPQPSIEPGNVANPQSYPPEAAAMYTQALPMASQTPSPASAT TPKPQSKTTRLRRACDLCSSRKVKCDETHPCRPCRDLQVDCTFNREMRRRGPPNRHAE AARAAKRPRLEPALPNNMGHHAQPHNAAQTLISIAGNPRGGHHAEAHGQTQTMLDAES IAPWSVLVLLVDDFFTYIHPLTPFPHEPTFRQQLANREDQKSREFLALLASMVGCLVA SFPRTARLHFKSQHGLNLFPKAIYLVDRCRQVAMEARGSHFYNREDMTVYDAVTSYLL GLAAAYTLQWKDQIGKRVFWVLFLGVRSMMQLGGPSGDVVFPPPTPAEPYPDFPVEVD DEFILPSQILVQPPSVVSRLTGFVQAIKIYMTMNPLVSIELSYGLSTLPFQDQKVMLQ DCVQAVKQVMEGMPPELTLDLDSNPADGMPTSLSELSRIPASRSALSDSGFQYCPPAY PAHQPASDIQHIVNNDHGRRLLQYEIQKANIYASQVATRSYFVERYLNLRDAHREHTR HQAAQAYAAVTAVENGVNGSNGVSHDSNKSVAAAALHAATEELSDPIDENMTSERELI VQNLLVVLASISQRNMEPNGASFINKVRQVASTLVHDAPGRKGPMATKAQEPLTRFLD ILMRLEKTGPGNTDRGDGTMTPQDEEMELRSWADLREHQMRFYQSGGFMGQL NEUTE1DRAFT_86541 MVSSGAIHSKEEWETWKKTITHLYLSEKRKLRDVREIMIQKHGF RATIHQYKIRLQQWGLEKNNKESDMRYMSAKAAQRAAQGKKSAFRVRGRDISQAELDR YWHRKHHGHQKGSSAGIGEDIDLSSMPEEIECYTPVSSRPSSPTPPGLDPPQPPEAIY RDSAVVPNQSGGTPIDTPVYIATPPAALISPPRTELVSPTSVKPIKSRPESPPEILLL RQQQRRKPPNVKTEEESPESSPDNWRRKRKLTDLHPLTPPGHLLSVQTVVTGTRNFYV RFVHSQVDASDVVKQPPHWNLRRFYELALSVSAQLSLPQWNDQRDSIVTLYNDTLDQI RPIITPDPNPLLLTCIFQICCRFWQDGKAQALHYLLSYIRHEVGQIHGKSHPLYVICG SLLRSPEVLSDLVVTGLRWAVNGLAERLGEEHPQTLAAARGLYSAYYAQGDMVSAKRY MVAAVELEEKFHPQDIHGRLDLLFKVIHCELGMNDITSALENLRIVENTIDSLSAAAD WRVRMCYLRAKGELLRQQGDPKAVDVLDEQLRLARESSPLQHGWWAVVSAEKHLAFAK RSVRTQDRMVPYLVPC NEUTE1DRAFT_103246 MFVARWFVGESEKVDPAPNFCKERERWGRLQAYVTGAIIARETV FLLGTTKMDAS NEUTE1DRAFT_140072 MCQINIIHFSHHHDFFRPAKEAPNTSITYNNCHLSNPTRPTTED DDGSIMSLLQRLPQHHFVLCPTHTHTNNHHCLVYKQTMPCLLQRFHSAATAAAAAAAA AAAAEVHVNANSNANSHANSHANSHANSHANSDTDWEGDAGVNVSSTCPNLEITHEHH PLSLITDINNNKVNESEAVISGAIIQRLHSTSDSVDSVDSETTTTLLSQAKTALLSTA DEIHQQTVELNTHILETCSLLESLYRELAAFPTTTQPTTPLQRAQTRALLFMQLELEV PLHRASELCHKINQADAKIRELFKTSFLQRRKDMSDLLVAIERKCASQGEGTTDCGVY TISKARRDLRQLSTENIREVCEEPVRRGREVVGEVVRVLEGVENLMRV NEUTE1DRAFT_46946 EKVSSRLLYRLGKEVWSRGGYAYAPLDPAPIGISFEFFAFPSYF TNVTATERCLANWKALTGVWPPIRIGGTTQDRAQYDSKTSAYVVYSVADAKDAPSDLT FGSQFMTLAGTYEGSVVVGLNRGKNAIGNTIAAAKVAVSEVKNLLAIELGNEPEYWVK DGQPITSGVNWTPTTDAASQNNWNIQVGSAVGKTNIIQAGNWNEAPPTWGAAQLIATE NATVESYVRHYAHHNYPGGSIQSLMSHSAISKNIHTFDADIAAARAIGKQYVFGETNS VSGGGAAGVSPTFGAGLWTMDYALRATYSNISRTYFHHGTVGNCQYCFWGRYSMGAPY YGAYAAVALMAGASHLTALDSGSTNYAAYATFDSRGLPLRVILYNSDYFAGSGTRTTQ SFTLAGLTSSTVRAKRLTAASATSRVDQGSPPSFGGQQFDNGSCAMSGTETFETTPVS GGQATFSVKATEALLLYLQ NEUTE1DRAFT_131494 MRSFSCWVAAALQLVHHLGPATAAAVHHHGNHTGRIAPKAFIIS MFEPETDGWMTSMVESGTGNLTDIKIDVPGLSMLYPQVACTADKSVCQLTAGESEINA AASIMALVLSHKFDLRQTYFVVGGIAGVNPKYSTLGGVALSRYVIQVALQYELDAREK PENFSTGYFAYGTKGPNQYPSTIYGTEVFEVNEALRDVAYKFAQKAKLADSAAGAEYR AKYKEAGAAYVAATEGPSVVKCDTATSDVYYSGTLLSEAFETVTRVWTNGTGDYCMSA QEDNAVLEVLVRGAIQGLVDFGRVIVMRTGSDFDRPPPNVTSYQHLMTDQNGFEIATT NLGRAGVEIVRGILQGWEHTFRRGIKPTNYIGDIFGSLGGQPNFGPGSQTNGTGYAAA PKQARAQLRARGYRGPSVVRRRI NEUTE1DRAFT_124185 MSLIPYHPREGREIVLRHRNAIVVRDPLTQRLEIRGLQLHECPT CHQPLRTSPESHVDDDSDRRESYVDPDYFRMLRDGHNGQAPSNPRPPSSPIRRLVRPV PAIDDRGNEVGVQNAEFVSSEPVPSETTRIKKEAFAPNYFETFFHEERTLGRGGKGVV MLVRHEIDGCNLGHFACKRVPVGDDHAWLGKVLVEVELLAKLSHPNLVSYRFSWVEDV KINKFGPKVACAFILQQYCNGGDLQQYVIGDLLKEPTIEQIKAQRRRRSKGFIERPSV TQRQLPFKEIFSLFKDITSGLAYLHTANYIHRDLKPSNCLLHREGGRTSCLISDFGEV QPENAVRVSTGSTGTISYCAPEVLIKDASGKYGNFTTKSDIFSLGMILYFMCFGRLPY ANANSLHEELEDIDLLRSEITDWKGFEDERRERPDLPAKLYQLLKRLLAINPAERPSA NEVLSAMKNESSLDGLGGNSTGSVPSIGLAGRRIQDLDSPMPSSTPMPGQSPAASSSD APSLTVSEQADHRHAKVSSSPDEDLPLSADGYPPVSDTRQKGASDMLELGPVSRNGSV VAPHPSPPAITTPLLMPPPSTRLEQMKHCAVLTRYHAGMWLDANGLSLGVLLRLAFLA IKIFSLSWICWPYASNISILTSVFALAILDLLHPVGRPEPRRGSNVMLGVPPPGSRRG SSYEQANNQNSQLHDHNGHMVRASPDITPTYDNEQYRRAGTVVGWKTSVSLLLLHFAI LWVTNHMGLLCAVGRWSHWPPPDLPHDEIV NEUTE1DRAFT_124186 MSLNMKSLSLLAVASLASAGATNTNLQTTFPTPSGTTALPAVKT IAAGGSFDGGMYQWDRWPSTCNQQSEGGDKDAVFILEDGATLSNVVIGPNNGEGVHCM GRCTLNNIWWTDVCEDAATFKQSSGTSYVNGGGARHASDKVLQHNGGGTVAVKNFYAT DIGKLYRSCGNCKTQHQRSSTFTNVYVEGAKVVAGVNANYGDKTTIKNSCIKDSSVCW LYKGTSNNSEEPKKIGSGPSGTACAVAALKTSGC NEUTE1DRAFT_47630 MSTAREDEGNPSQNIPVVLLTKDEARRRGRTEDRDLYSSGQLFP VEINTEIGAKKADRIFHVLNKLGHGTYGTVWLVQDTQPPANAPSRWKAIKMHRQGTAD TDLRVSKEFKRAGISPEKALTEYQVAIPYERFPIRVGGPGGKTTYTCSVLPLLGPRVD NRQVLGLSNEEEGTLCSQDDGNGNGNGQTSSSLFACRQIALALQKLHNMVGIAHGDFR PANILYYLPHLDKLQSQDEIMQVYGGQPEAVELENPEPFKEPDHLVFPAKCDVYALKE ILARKNLDIGFIGHGQVPRATVIDMGSAWHHAWEPRHSLIPVHYKAPECIICSGHTAF PDSRESVDGCLETDVLAEMEYFLGPIPVPYRNAWKERDEQGKKTMFPSNPAHVGFLRY SALKKWRKKHKKAKSPLHLVVMQSELSKEKADMFLDLLRGVFRWFPDQRMSLEEILRH PFLQVTDTSSG NEUTE1DRAFT_47480 MILFKRPPDEKGSVWFSVLIGVFVAFGGLLFGYDTGTISGVIAM KYWNERFSTGYIDADGKPAISPSQSSLIVSILSAGTFFGALASPLLADKIGRRWGIII SSWVFNFGVSMQVISVAIPLFVAGRFFAGLGVGLLSALVPLYQSETAPKWIRGMIVGV YQLAITIGVLFAAIVNNGTHKREDTGSYRIPIALQWLFSLTLIIGMHFLPETPRYCIK RGDMTRATRALARIRCLSPNDPAILAEMEEIRANYQYELSLGNSSYLDCFRTGMLKRM LTGMVLQILQQLTGVNFIFYYGTQFFENSGISSSFLISMILATVNVLSTVPAVFMFDK FGRRPLLLWGGVAMHICHFIVATLGTSTTSQTASGVIIVLNVAAQKASITFVCLFIAF FAATWGPLAWVVTAELYPLKHRSQMLSISTATNKHVPSFSTPDSVYCHDILEWLVNWA IAYSTPYLVNYGPGYANLQSKIFFVWGTACMLCVGFVYFYIYETKGLSLEEIDEMYQT PGLKAKDSSKWRPSVHFRETLLTEITYHKATGGSPAQAEAAPVAETAERAEREAVPTL PNPTTAPMIGPPPPESDDVLKALQDAVLRPAGAFTHPATLNPAWNSHSPLSAQTALSN LPSSSLASNKSAPSLGTTPNEVGTSPSHPARRSFGR NEUTE1DRAFT_112328 MADAVLPCCCVPSGVSGWPFPTALGYFGNGTAESKTRMKVTMCQ LADGTHSETGPRAKPPIKMRKKRRNKVLRGHVKNRLKRKRKETCLDEWTVTAVAEARN KHFKMVGDDVALEEDGWKAPPLPSSPTKSSPRQTHQENIIWV NEUTE1DRAFT_103253 MRFSTLSLLVLQAASTCIGLPSSSTRDPVIAKAKAELEVLALSA LQKTLKAAKGGQCTPEKIKIRKEWGALTKVERLEYIQAVQCLQAKPSRYPASLAPGAR SRFDDFIVTHINQTQTAHYTGNFLGLHRYFISLYEQALREECGYRGTQPYWDWAKTAE TGLSASPIFDGSATSMSGNGVYIPNQGDIVLGGIEGSKLPPVYLPAGTGGGCVTSGPF ANMSVNLGPIALDVPGGGPQQSNSAGPFAYNPRCLRRDLSDAVNQRFANASSIRHVLS QETIANFQMIMQGIPGSGDIGVHGGGHYSLGGDPGRDVSTSPGDPAFYLHHAMIDRVW WMWQMVDVKKRVYGETTAVAGTRTFLNIPASGETTLDDVVDFGYAAGPGRKLRELMSV VEGPFCYVQSCPDR NEUTE1DRAFT_47420 MFRWYRKSTVCLALLSDVDKSDFVSDQELQSHVPSSCRWFTRGW TLQELLAPDKLLFFDVNWIELGSRSKWARHISKATGIDVRFLLGIERDPFESWDLEAV LRDTSVATKMSWAAGRQTTRVEDQAYCLLGLFDIHMPMLYGEGRKALRLLEYPHYPNC AYTDVTTGPRGGLLVGSQLSRDISGHNAGGRPRSLLATSPRDFRFAGSLEPCGIPGHK RPAFAMSQRGLEISLPIFKDESHHHIVYGLLACSPKVKTGGSYLVAVPSIRLSVIDVA SAGHDDDMYFTLLRDSCPDNSKSGAPFHICPGEANLNNSDWWSYHLTRPSPPSRVLSG AAHPWDKIILKRVQYAPDTTIAAAVLNLDLYPLHPNRPSQRRILLVVSTDLSCRVRKL AFGKEVNLRTLMTIASQPDLVGPKLESERREVKEFRSGKETRIVYKLLDGIELCLRTW C NEUTE1DRAFT_131497 MASSSSPPADIFSGITQSLNSTHATLTLPIPPADRDHLENQVLF LFDNHGQLLNVTTTYIDAFNDMLLSTTINYATQIGATFIMLAIMLLMTPRRRFKRLPT IISLLALCINLIRVVLLALFFPSHWTDFYVLYSGDWQFVPPGDMQISVAATVLSIPVT ALLLSALMVQAWSMMQLWTPLWRALVVLVSGLLSLATVAMSFANCIFQAKDILYADPL PSYWVRKLYLALTTGSISWFTFLFMIRLVMHMWTNRSILPSMKGLKAMDVLIITNSIL MLIPVLFAGLEFLDSASGFESGSLTQTSVVIVLPLGTLVAQRIATRGYMPDSLEASSG PNGSLPLSNLSFAGGGGGGGGGHKDTENGGGIIPPTNNTATDFSSSIACSGISCLPKV KRMTASSASSSQRPLLTMTNSTIASNDSSGFPSPSIHNTTTATQYQYSMGMNMPNFPP VPFPGYHSRNTGVTSHIVSDGRHQGMNRHPSVDHFDRELARIDDEDDMDNDDGYPFAS SEKAVMHGDEDDDVERGRRRALPPPLGGVRVERTIETRSEERMPSPNPLGVTKPRSFE NEUTE1DRAFT_148269 MALVAVGQLTSTASMANNLRQCQILVKKAVQAGAKALFLPEATD YISSSAEESLSLVKPVQESEFVLGLQEEARRFKLPIHVGVHEPSSQTSSQRIKNTVLW INEQGEIAHRYQKIHLFDVDIQGGPVLKESQSVEPGMKIEPPFETAFGKVASTICFDL RFPEIGISLRRQGAEIITYPSAFTVPTGQAHWEVLLRARAIETQSYVIAAAQVGRHNE KRVSYGHSMIIDPWGRIVASVGEKADEPEIATATIDLELVKKVRAEVPLRRRTDVYPE V NEUTE1DRAFT_103257 MAQPLCFFIPDHIFTPTTNSNTVANKVEEPKKSNLQANASYRVA NSWRNEGSENEDLRLFAVSNELVIESFDEIQTQKVQEPVEEAYTPTKAVRDGYENTLS ETIRIPRDDLKFPASIPIEQSRCVFDLQFSKHPWSFPGVLMRCEGEPALERQGDPAVN QCYDHMGIVRDFYREVFGNHAILGEGIPLVGIVHYDFYFPNAWWHERDEKDEGSVPGL IFGDGWDTDPWKNNQPTKWYGGCFGNFVGSLEIVAHEMTHGMVHSLLKLETTEESGSL HEHLADVFGMMCEQWHKNQTVDDADWLVGEDLVAEQFKDLQGFALRSVKAPGTAYDIE DLGIKDSQVDHMSSMMKDKRDVKSIYVNMGIMNKAFYLVAKAMRKDSKTWEKPGKIWY TALQKLRALGRSKCEITGWATITVIAAKNLLKDKTIGQDDVQAVIDAWKEVGVLK NEUTE1DRAFT_140083 MGRHFPNVNRVPAGEPNINDAPRKVYIASRFVGGKLGAWTLGLI ADIISSGHYLGSMPSPNYHWCVLVGDYYHQLQATKQDGKHWNWYDNNVKDWTDKWELY EVGETRFNDNAIASAGIEAIQDMDEVYKLVDNNCQKFTLKLLDLICADGRKKVYTSYS RHKLKAGFIPGELLDESKPEEKVEVEVAFVEDGVAHIELLDRAFELMDEKTPTLAEDE TVKEIEKEKDAEKK NEUTE1DRAFT_112333 MAAGGEEPGSPAQTDFTDAQLKPAPLRLTRKSNSSGGQSDNSLF RGNSSATSGRTPNSTKRNNGESLNTIMHRAATPSTYRTAPNQTSPKLASLVSKFEILD VMGTSDARALQLPSPDKGQRPPAVPTPTPDDAELQHATPRQGHHLIEKQSSQKHVNIS LPRSSQAHRGARSKEVASDVKVPITNGPQHWDPSNVAARRKLFESGSTVPRPFDSPIA TPVVTRTVARVPSQGEQRRESEIVNPDQPFTVSARRPVAAKVSAPVLSSQQSATDGVK PERERRKSVAALRMSFEHSSLPDLRPETPSSTQRRFRRTLTQGLSTATEVPTPMTPRR ESKDPITPTRVKTLRSSEDFAQTNPHPGQAANQPIHRVDNNASSSLKQTQLHSTASRL VGSHDGNVSDAVVDSRRPVNRKNSDTPTRTSAHTSTRRPSSQHHLLLSRTLSVKVTTG PQTTVTLQQPWASHSASGPLPLTTSHSHGHLDQSKPKSGSSSETSTSAPLAPANRVKD LQRVFDNNPAGPGSAPFLPFIQKRRAQTLTLGVPMKANPSAPIMVSTTVAVTTRKASR RSYQQQQQQQQQQSSDVIPETASSTASSVVRTRKRSKTLPSSLGGYRRKTSGPAAGTG LTSYFQRRKIKKQQKQDMKRIATGEDSPVKERISLFEQLGGGGGGGGPTHGHSKNGRN GCGSIVSLPLSMHSKRKSSETDSSSQSLNDGSSRDTHLAAFSRKGHGSSSSGSRVVRV LSFGTSRNRDNGNRGSRNGKALRAAGRKISNAVSMSMSMSKRKDSGGAGTSGGEGKGK GKLKSSNGSKAQPLVMSSTNKETGESTFFVKGVLWKVSGSHGDLDLNVAVADGQSSPE GEKRKRGESTTETITTSFPSTRVSASGSGSGSSGSAGAIARHPVLQQWPHLDKVNQTV PHRHDSKHHGSMSLEDGSLAPEWSRDQEDDDPFLQPTQPPAVVRGSSSKITKSATAPT DVGSIMSRKRGLIPTRRSHGDVVEAARAPSTSLRKSFTMAVLPRLSSGNSSNDDYSQD GDRKSNGNKLTKQKGKEARTTSFPPSFIDQVQSRRQWSISWGQRAAAAAFGIGQRLKE RKGSGRSSSLLGKGSVETGSGAGTASAGNSNAHSDVAVPTATTAAATLPIPNRTITTE SLRHDE NEUTE1DRAFT_86559 MVVQQHILNWLYSVLTSEYHDVNRTYNDVAQVLSHYPSLSPRTD VHTFPNGASALLVHLSGTLPVVFRGTTYRFPISVWVPQAYPREAPLVYVTPTEHIMVR PGQHVDPQGQVYHPYLAGWSTYWDKSTILDFLAILRDVFAKEPPVIARPPPQGQVRSP PPQQQQQPQPPQAPGVPTPPPVPPLPQELAAKSPVPVSLPAQQDGARPPPPPPKPGAP AAAPILQHQPSPAPSYAAAPPVPPHPPHPGRPTSQHGGPGASTTQSPRPGPSRYDAPP PLPPHPTTQQQQQQQQQQPQPPHGAPYQSPPPVPAALPHNQAPPQPPFSQMDPRRMST LQQHTPQHYASPPNWQQQQYPPQALPPQHQQHQFPPQPQPKPPPPPDLLDDDTPASPP SSTPGAGANATTITASPDAPPPPPPNPEKDALLRQLASTLHSHRQHARAQNDSSLAGL QAQRAAMNQAATTLQAEYAQLSQLSALLTSNTAILQESLRKADAVIENSKTLKEPDID ELLVAPTVVGNQLYELVAEERALADAIFMLGRAVERGRIAPGTHAKMVRGLAREWYLK KALVKKIGDGMGLSQ NEUTE1DRAFT_86563 MLPPIPVLADYGISPTHGFLPDVLPLTRLPDPYYNKWEAIAANL QALILSKRLRGVVDRLPVLDTIGLEHDAEWRRAYSLLSFMAHGYIWSGDSPSDRLPPS IAVPLLKISEHLEVPPVATYAAVCLWNFKPLFMDEDIDNLENLATLSTFTGAIDESWF YLVSVAMEARGAPIIPLMLTAIAAAREADAATVTRCLHTFAERLDDLTTLLQRMHESC DPKIFYDRIRPFLAGSKNMADAGLPHGVIYEDGSGKEEYRQYSGGSNAQSSLIQFFDI VLGIEHRPTGEKRGRPQEQGEGHAPAPKHNFLLEMRKYMPGPHARFLNHVQSVANIRE FVEEHRDDRPLCIAYDACLAMLRALRDKHIAIVTRYIVLPSKEVRTRSRSRSPEAVRR KINLATASRQQPPGIALDTRGDGKKDSLKGTGGTALISFLKQARDETGEPAVEAWAHR FMSRVIRTEGQGDFFAGKPEEGAGLQVASQTEEAVIPGLAASWTVDDDVGGICYY NEUTE1DRAFT_47136 MFFPNLILNSLALTSAVLASPIELAERQTTPQKLRIMPLGDSIT EITCWRALVWDQLAAANLASSVQYVGSQNSNPQNCRPSTANWDQHHEGHSGWLAVDIA NNYLEGWLKKTPADIVMFMLGTNDVTRGKSTQEVMDAYTKMVGIMRGANPRMKIIVKK KKIDQMIPLSYSNAAVQAINAQIPAWAAKLNTTESPIVVADCYTGFKTSDLRDGVHPN ANGDKIIASRVGPLLLDYVRQSLGK NEUTE1DRAFT_86567 MPAPKRKMEPETKYYAVRAGYKPGVYTSWALCQQQITGFKGAQF KSFTSYEDASAFAAGRPVASATSTSSPSKFYGVAVGRTPGVYTDWSIAQQEVVGWKNP KYKKFETRAEAEEFVRQWSGKPSSQAVKVPQVDETDDLQVIALEGPAKSSRKARKTGA TQSAHQAQEALDDASKPVIIYTDGSARGNGKVGAMAGVGVYFCGGFRENISERLQGPV QTNQRAELTAVLRALEAIPDTQNCELRTDSQYTINCVTSWYKKWMKNEWRNAKGEEVS NQDLIVAIRKKIDMRDRKAAETKFVWVKGHGTDEGNIAADMLAVKGATMMF NEUTE1DRAFT_103264 MPTSTLARVVHRTVLRPAGIRAAATVTVIPLQHMRSSPQFIQQR FAATSGDTSKRGSGSSSGRGSRSSGSGGGTEKTEPEILAADIDAELDYPIAAMDEQYS TTSWSDTPAGKSAAAKMASAATSAGASEQQQSTTSGKTTTASGTKHETLVGKADNTLF YLAMGFLGLTGAYLVLKPNPHPSASTGSSGVVEPRVDAKSSSSQPPSTQMQQGGSPPA SVRRT NEUTE1DRAFT_140090 MSGGSRNPVQVVPANNTTLRRSTSPGSLVEEEEVRVRTRPTALR SPRHGEHLPLLSVDQILNSQTQHRLSPTQTDDQTKGASNNIEGTSTSKSGSDDACNKT QLVASPAIGIDKWDTKDDLHIWQNMRAMLHQKNLVELISPQRPGPLGYLYRVFASVTN NQGNLTDAADFGYRIHFADLQRIHMQYLHSKLVNLAVSAHFNGDDWSPGGKAEEIGKV LKEYIQAVRDHEYMGKYARPSNNPFMATSQRLCDKKFLEAAMKKNGCKMPEDFLPPLP PPPQQQQHEQQLPQYKTPTPLIERLKKHSVPTGPWERDNTNKPARALIITHSKAWKQA YWMRLRAGLIGGVFLIAPMWIMPLLEIDWIVVPWAIATVCIVCFCVMVSSRLETVDGV FAATLAYAAVILVFVGIVNPRLKS NEUTE1DRAFT_47895 MAVRVRKKGLSSNSEGVVEGLDQFGAVLKKYVRAVQDHEYMAKF ALERNDPFIATSGKHNDRIFFEAALFNEGLDLEDFLPADLSRASKEGKNTQPSSAPLD GEPEGERRHREWIERVLKASIPTGPWETGDGTSSRKSASALVNTRTASAKIAFWERVG AAVIGGAFLIGPMWILALERNLFVHLGVATACVTAFGLSCSFYLKTVDGVFAATLAYA AVIMVFVGVVLEEAGGIGA NEUTE1DRAFT_124192 MSALPRGILSSDTNSNGTVRLIFSMDKPKPPASTYTNQDSRSTK RTTRLWTIWNRILGWEPPQQQDRPSTPDTGDLVIQYPARGTRRIHMRYMVRQMVEQIM SGQTGTIDEVRLVEHMDSEQHHAVKLKGLPIRSVHTLGDGFQVTATKPEDAEMLRNII GCGVVLDCVLECVPPVMPKEANPVLSWTRSFRPGPTQSLPSAEVREVNN NEUTE1DRAFT_131503 MPTLILDLFKINLQSPGRRHLGINYLVPSSAGDRERINLASLRR QHISAMQSRLFPSAPAHMISSPSPSTSSLTRGGDHNGLGLETWEDGTVELRGFPIEDI LVVRMVNKMTGETDTSYELHFEYVFDAERVADVIGLAVGPPVEKDEGPGGWRGWVVTV PKRVHHRSQMWERCMERERVGDRDLRDRDLRDRERERERELRERELRERELRERDLRE RERERDRELRDKELRDRERDLKERERDLRERDRERDLRERDLRDRELRDRELRERERE RERDRERERERDRERDMEMKGVRQVERRSMIPVAIQTPRDSNGGFKDVERRSMIPVAI QPPHPEPPRLKVRKSQKF NEUTE1DRAFT_148275 MDPDSHATKRRRVAEDTGSYSVEYQHQGQHHYINAKTAKTDPVP PPFTSGTSSGDFQFTAFQNTSTITGLSPIRFEDFLNEPTGETPRPEEPEQPGPNKKEH KAEEDVSVKERHNSIGKRYRNKLNEQFENLEALLSQQNPEEDYNESHRNTARDSSFSP TQVKKRQRGRSINKASLLEMAKVRIKALTEQREMLIAEVEQLEKELAEEATKQASGSR HHE NEUTE1DRAFT_124195 MASVKQLNDVPLDTWETIASYWDKSIGKDGNIYWKKLQEPSLRR LLGDHLGKESCRALDLATGNGICARWLAKHGAKVTATDGSENMVQIAKNWMKGEERSD SVDFTKLDVTQTEGFDDFIAATGENMFDIVLMNMAIMDVSTLEPLVQALPKLLKPNGV FVATLLHPVFMTSGASRNVDLRYDGETGDLEVVRTKVIKNYLHIPPYKGIAIPGQSKR QLYFHRPMNELFTIFFQAGLVMDAMEEPAFSEDDREEGRVESSRNYTQLPAILSFRMR LPYKNGA NEUTE1DRAFT_86574 MQLISVLTTLAAFKVAAALPSAVKGANDLVTRDGLLGSKLCTDV NFTGNCETITNPANGLKPNQCILVDSYLARKGISSIQPLERSWCTYYLTANCQDLSLD NCGHYDATSPIDDLTTLIMHSISQALKLAYLIGLTSSISAAIISPVSIHLSRDTTSND ISIDDSTNSSDSSSSSDMVLNHSLTSTSFTSLDIAQAQASFCPYDSLNQPQPLLSYDL STAPLASDCAHILDIINDTQRRGYWTFDTSDLEKGITLIQYGSCAFKMIKDGEVGEGG DGKNNSKKVTKQVKWGVEELKFYLGTWLQKQRHGRLGAVGEVDCWVEGEQKKEEGGVR VRWMVVRQQQQQEN NEUTE1DRAFT_46470 MPAVLSRPEDVSPLHSRCWHQGGTVTANGCVLPIITYDPEEQYR TTHTVIVLHDRPGYNDIFELFAREIFNNNAMLTAVSRRNSLRRQFPTFRWVFAAGVQE ARAILGHRKEMRPRWARDTPLPDVMFTCHHVFSLVEAEEVQYSAEEVPNPRSRIFLVG FGKGFHIASALFFGEPLWGLGGLIGFAGFWPNMRELIALGRGPDSADNERCRQFLKDL QSCYFGRQLYQSQSTASAQTSSQDDATRPG NEUTE1DRAFT_140099 MANLDREERPYSELSATCPSILPLQTIATTRLENNWSSKDQNDN NNTNPKNVTKMSYSGRRSSSSRYSFSGGGTYSSSGGGGGSSSGGGGSGSSSGGGSGYS DSIPTAGYAVDTPSYTVTSGGSGGSYYTSSNGQSGYSGSEPPSYYSSSSSGNAAPPPS YYSSTSGGGGSSRYSSASGGGSSSGGGSAGGGYSDYIPTAGYAVDTPSYTVTSGGSGG SYYTSSNGRSGYSGSEPPFYYSSSGGGGSGGSSGYSGGGSSGYSGGGGSSSGRGSSSY SGGGGGSSGYSGGGSSSYYSSR NEUTE1DRAFT_117939 MSLFFACTTTWLTTELHAVRKHGSFAQGFRSELDAAKHLLKVKT VDFGGSPRFREDGTEYVPVPDNGEAHKAYVGTPRREIDHNWALLHWGRFFLLTEREAQ SAWGDEYKQFWSPKHGGYVVALEVMHTLHCLDHIRKAFYPDHYPVDSDIHGILHRDHC LDHLRQTVLCNADLTPIPSRYYKALGQNYIDSDRPHTCRDFGRIREWVSERFNGSLKV EPAPGTVVEDEWRNGGPSGGGMGMGHGHGHGHGHV NEUTE1DRAFT_124197 MFKSIQIAYREWRREPRYTPVDDSESQTSSSSSSSSSSSSSPEE EQKPAEETTKPGGACKHIATRREWRSLTETERNEFVDAIHCLARTPSEWGENNRTVYD DFSILHGGVGSWCHRSASFLPWHRWTLHIFENVLKDKCGFPRGGTIPYWDWSLDHLSL STSSIFSPTTGFGSDGAPSAPPSVGQGRCVLDGPFADLRPIIYNHTYVTHCLSRGFND PKRNATTGEIPGDHYKPEAIGEILRVHGYEEFGKQVEEKLHNGLHQSVGGDFRAMTAA NDPLFYVHHASLDRMWWRWQWENPEVRLREYSGKHMFNSTPGEASVRDVLLYGGFTED VLVERAMRSCCDVRMCGGGGAGWRVSAMRRGRRRRQMARSADQPAAAPGSSKRFKSKS NEUTE1DRAFT_117941 MSLINDERGDIGLGWRSFSLGPGQQESLDTGSAWLERLEDHFGG NNQHKGKNIDSLEQSRNDRGDVT NEUTE1DRAFT_148280 MGRSGPSNSKSSISPTLPSYKSEEEHEEEDVGLLSATSNHLQHI ASRRLLGRSGWPRWYRLLVLTVLALTSLFLGVVAIAGIVVYTRGSGDGESPNTDSGKK VFSLAGELNGLVPEFEVKPVIFGKDPLATSDHKTEASANATEEDWRSYKPVGQGFIAV DDPSQYTLPQPIDFQDQTVYSISVFHQLHCLYTIMQAYNSLSEPNHDHNPPSAASQGH TRRDNGHHNPSHSQGHTNHNHIDHCFRYLRQSIVCCGDTALEGQDLNHPELSATDGTG AVHLCKDYRALKGWAEERRLTEAV NEUTE1DRAFT_117943 MVTPEMDSEEESKITTLITCFPHDHSLRTLVQIPRFRQRLSILS QWSIPPGSRVLDIGCGQGDSSLVLAMELGPTCHVTGIDTAPPDYGTPLNISESQEKIL QSALGDRLSFHNQVDAATFFNSTSTSTAGDKEFDAATACHSLFYFPSSASVVSLFQEL AAANIRKVYVAEYDSKQTSFPGTQTPHILAAKAQALYFAYKSEADSRRNNPLNNKEQQ QQQQQASSSKKEEMPLNVRAAPDVEAIIKAAQAAGFKVAREGKFTPKEEYLEGHFETR CVRGGKFEERVKKEGFAKEREEEILGVVEEVNRAYEEMERGGVDKVRCMDVWWAVFAL E NEUTE1DRAFT_117944 MATGGVRRWCSNLMATLKMPELLHDPGRLDEDSEDDEQAQLNKQ SLKRCEYETGDETPSTKRRFWLRTLLLLLGAVVGTALVTHVLTRHSICHVSPTRQHQS SHSPSTHHGYSHTEDTPPSHKGEVKECGTSPEEARARGCVFEQQMPAWIPRACAFPEV VEEYKDNFGDMMTDWTWYWDSNTTMQVPPEAVPDLQAGNFSVIYTKYQASHDLHCLYC SRKIIYALSKGIKMMDARCHQFYHGAHCIQHIGNSLMIAERGEQKRIRTWVYPLMYHN CVPLTSTQES NEUTE1DRAFT_67901 MHGSTTAFMMFFSVLLTLASVIAAHNEVVTDDACLQIARSYEIW TKSGGHGDFSNIPGQTAYDCLMSMPFDSERAVKFLDEYLKYLQFQSTLDSLKHPPSGY KTPSIDLLKGFDKIRQKAEKSIYKSQYEFDNDIKSLIHRANEGHLEINLCSQQIFHFQ RSPPLVSISSDGLELPKIYTWNDAKLLYSGVPNTQVSHLVAINSIDAAYFLQAHLALT FQYHDPDARYNHLFPSPTNQYTGLFTGGGWQSYQGLWPGSAHYLLKFHNGTTIQINTT VTWPATNGPMNYTDGQTLFQAACLPDYAPSLSSFSHSPIAPPSPHFNDNNNNPLPSTE GIYPTPIIRTHQNSLRGYYLNDDTAILQIPTFQHTAGITFSQTAQDFLTLAANRDNKT KLILDLSGNPGGDVIPGLNIFSILFPDLKIRTATRFRATEIVNLVGKVFSEVYDTTRG RVTNLKEEEEEEEVPIDPPFMASVAVRPDQKTRFAGWEEIFGPDEVEGGGGNMSRLLA HFDFELASTETDPVFGYGPFREMGKGGRKRPFKAEDIVVITNGECASTCALLISLLRR QGKVRTLVFGGRPRHAPMQAVGGVKGGQYWSLKTIYRHVSRAVELAMGTSTSTTILTE EELQRLKELAPADPDPRAAVGTGGFPLRMGWKGEGGVNFRDLYYLDDDGDEENSEVVK VKGKSIGTVPAQFIYEPAECRRFFTVEMMFRPARMWEVAREVMFGSGECVKGSETSSR SGGEGKRPSLFYFRRGVAPVRGGLHEVVLVGEFYILPTTQLPIVDGQSYVSSAGGVVV APNFPFDVGSSSLHAENLEEEEEEEEEDDLVIAPSELGSESDAFIKENNRRSSSPTSP LETDHGREDAEVGHLPSEDDEGIDPRLRNYPIPLVAKTVDLHNDETEPLLTIRFWILS TLWVIIGCSVSSVYYFKPYSVRLSGYVVQLLSWGMGALMARYFPQKQYTLTLPILHKE IAFNLNPGPWNPKEHALVIVAYWGSTYTAYGLGPLSAMELYYDKRMSGPWAVLFLMTT QLMGYGFAGLYRDVLVRPPGMYYPGVLPNVTLFNAMHRHPSVTKKSLGFFGVVATVAF VYQWLPGFVMPLLASLPVVCWMGLGKKAAFVLGSGTYGFGLLDFSLDWNYASFLTPLY TPLWATVNRFVGAAVVIWVVYPLAYFLNVNGAQQFAPMSSGTWDAEGNRYNISRILTP TYELNRTALEEYSPPYWSFSYAMHFFWGFAASTAVLTYAVMFHGRQSWETLRSSLQTI SNPFAKKKKNVQQHRAEFDDPYLKLTAHLPRVPHWWYLALLFFCLVIAIAQLSGGDMQ LPWWGLLLITAISALFTFPSGILFGFTNVQIGMDYLSELLAGFLFPGKPIAVLTCTVY GRQILEQCLNLVSDIKFGFYMKIPERELFVAQVYGTLLGPFVNWACMRLIIDTQGPKL TGEVVSGTTWNALKTKNFFSLSVIWGVLGPQVFFGGASPYRWVYWGFVVGPLAVMLLW LVQHRLARPKWGKVASVVNPVVMLNGATLFPIYPTTNLTTSALVAVVFMGYVYRYHPV WFRKYNYLLGAGLDCGAQLVQMAMILVVDLPNVTMPHWWGNDAVAVDKCYPI NEUTE1DRAFT_117945 MIPKGKGFILLPNETSEALPDLPRLNKTNKQQHAMISVFHQLHC LYMTRAGYFAAKSGNLDEVNTPHLTHCWDYLRQGIMCNADTTLEWITYPDESGSTGWG YQHTCKDFGAVFEWAERHRFREWKVIH NEUTE1DRAFT_124203 MPSGQRSKSGCWTCRLRRKKCLEGGPPCSNCESRGIFCHGYGPK PNWKDRGDREREEANRLQLQTRRRRRSTATQSHASHPSVSESSTSSRGTIDIGSPPIL AAESPSLSPFLSSDFSFALSPSSGHERNTAIEFEFLDALTIAPDSLTASYNDDIWASH GQTDAALVEISPLDPTIALQRLPEVSEHSKQPSEVPTPLSLSCLGSDNGTGSGMHGPP TSENDIELVMHFIGETCPLQHGSYRSSSATQRSWALFLLMRSPTFYHASLGMSAYHMS LTLSGHSDARARAVHDYQSHRMQALDSFCELMDSKRQRSGGVFGESLICSVQLALLEA LGKNMQSCHSYLSSAVQILLDDLRQASSTTDSGNNTSSIAPSQQQQLPLSLPLGTSSP VPPGPSPMEQTALSFFRAILIWNDILSSSSLKKIPSAAASYRDLLTSSPSFCVSFQST TGCEPWILVAIMDATALEVWKRDQENQGNLSIRELVNRASKLENRVEEGIQRLEGSGS NSGLQSLVFSYALLTHLHSIVSGPLASVPEISESIERSLAVWQRIPESISPRSLAWPY CVCASLATREQREVFREFLIPDRLSSVDSTLGSLRELKAVVEECWRLVDGVRRGSGFM SEESNGGGRDRLLSTSSVKVDWREVMQRSNLGLLFT NEUTE1DRAFT_47770 MKYSSSILIAAFCVSVLAAPAAKRSSVEDYVIEVDKRSSVEDYV IPIDKRSSVEDYVISVDNKRSSVEDYAIGVDKRGAVEDYVIPIDKRSAVEDYVIEVDK RHNSVEDYAIGVDKRGSVEDYVIPIDKRSAVEDYVIEVDKRHNSVEDYAIGVDKRGSV EDYVIPIDKRSAVEDYVIEVDKRHNSVEDYAIGVDKRGSVEDYVIPIDKRSAVEDYVI EVDKA NEUTE1DRAFT_140111 MKETELEGQARRERESWDRFRRKRKEEGIQGDGNEGERQKEIFI NGERYVKQEPRDTCSPKESSRPPSACIVTENTAGDDRGRMSQILRRLEDFMDSMQLGS NPTTDNQRSKQKVKNAEEGMEMKSEVAPAPLWKSLLGLNLGNSFSPPTPPPSSYSRSS RGSNRGWNLPKRRYSKKNTAELIGELNACPSPSRQAYLERSSWRRERPSGVEYASPSA IPVPTRPQASFSPSYPPPPTVVTVSESDDSSHYQQEPENEDPTHRVYRVPSYRVHPTA DPYPPQHVSGPTANMRRPRRRGFSDELLTAPSSHGHRRHP NEUTE1DRAFT_103280 MPRNRSHIKRERDNPHPDIDDYRHRPHHDRSRSPRRSPPRDKVD KGKGKGKVKREQEEEEEKEEKKVLVRKRVKEGEYTPKLNYPEWLLWVAGAAISREDRK KHTYSLEKRGGSGASSGEDKGKGKGNDREHIGKKEDLEEEKWGHGHGHQGGQSRDDDN DDDDDGYRNEAERLDDKMEELMKLPHHVLEDMREEEEEARHDEMLKPNYRRRKYGVAK RDWNNDQRETVYERYMPSLREDP NEUTE1DRAFT_86594 MSECPVRKSNVGGGGTRNHDWWPAQLRLNILRQHTPVSNPLDKD FDYAAAFKSLDYEGLKKDLTKLMTDSQDWWPADFGHYGGLFIRMAWHSAGTYRVTDGR GGGGEGQQRFAPLNSWPDNVSLDKARRLLWPIKQKYGNKISWSDLLLLTGNVALESMG FKTFGFAGGRPDTWEADESVYWGAESTWLGNEDRYSEGQEGHEGHGVVQGDESKKQHT DIHNRDLQSPLASSHMGLIYVNPEGPDGIPDPVASAKDIRVTFGRMAMNDEETVALIA GGHSFGKTHGAGPTHHVGKEPEAAPIEHQGLGWANSFGQGKGPDTITSGLEVTWTPTP TKWGMGYLEYLYKFDWEPTKSPAGANQWVAKNAEPTIPDAYDPNKKKLPTMLTTDIAL RMDPAYDKICRDYLANPDKFADAFARAWFKLLHRDMGPRTRWIGPEVPSEILPWEDYI PPVDYQIIDDNDIAALKKEIMATGVAPKKLIFVAWSSASSFRGSDKRGGANGARIRLA PQNEWKVNDPSTLREVLAALESVQQKFNDSSSGKKVSLADLIVLGGVAALEQASGLVV PFTPGRNDATQEQTDVHSFTHLEPHADGFRSYGKGTKRVRTEQFLIDRASLLTLSAPE LTALIGGLRVLEANYDGSSYGVLTKTPGKLTNDFFVNLLDTNTAWKAADNEGEVFIGY DRKTHDKKWTATRADLIFGAHAELRALAEVYAAVDGEEKFKRDFVAAWHKVMNLDRFD LKQEGRGQNAPKL NEUTE1DRAFT_47991 MAGNETPNPPTAPAPGGFVPPAIPSNSLPSPAPSSASAAAVSNL PHPRRTSLRPGSIKEDKVRNYVSDKMLHISRRYVKHFAIPHPDDEITGYKSMAELCKD VDDVINIIWLSGTPSLQIPYLLNIASEFTTWLEGFPPSPAATFALLRKLDHCFASLLF GYDIDTKETLPGFENGLRAGLSRTDMVRCKSVIERTRVLVVEVMAKEPADEDPDGDTG GQGIQTPKPDNDTEAEAESDRLPDMSDFDDGDDDDEDELLHMNVAQVYENTLVKLGEI LGDGGGVTTMPMSVD NEUTE1DRAFT_95859 MPSAKYIERPGGSRKSKGFIRSTYDSLTSSENASVVRSIAFFGA AVAFLSSSWGEMLVVQ NEUTE1DRAFT_24594 VWLACLFTATFAQQPTTCIKPQPTSQAFFVMGCGKPITVDRLDP IVSPGRPSQHLHTVMGGSAFDFDLDYNKTQTSNRTTCAVSKDLSNYWVPTVYFHHANG SFEAVEQVGGINVYYQERMEWTDYCAGRELLAFPPGFRMMAGDTTRRDFNSSKVEHRA IEFICLLTDGQEGLPPFHGFPNRTCDGGLQIRIRFPSCWDGVGLGTFSSSSNSSVPGS NSTAIASAYNSSPVTYPSRLDTGVCPSTHPHRIPALLYEMTWNVAAFNSFRSMGENQQ PFVFSNGDKTGYGYHADFFNGWDVNLLQRALRDPSCGNATGGRIEQCGTFK NEUTE1DRAFT_46039 MATLKDEGLHKPQDITMSPWAVQRYEEPGDHDLPTTFDIDYQNL SLLLQEAAILYPQCAKPKLYPTLQPYTPVTVTTPVVPKRSVAVSTKDPFSEGNRLDQG PTHATDYREYDILAVPLRRKDNRLPLSVNMGSRQKTSTEGLWAGIKPAVLLGIKPRQA NNDGHTFRTLEFEVPLDHHHHGISQKDAFLQLHAELVDSFPNPNPTTPDAPSSPISPQ KPFILYLCGGPGDGNPSGKVPELNKFCINRGYQVLYVHYRGTGLSPLFFDSTAKAKVL NMSDQDKANYLAKFRQDNIVRDLEAIRLCLEKELATKIKWTLICQSFGGWVATTYLSF LPRSLEAVYISAGMPPLGKTPREVYEKTYVHIVRRNEDYFNEYFPEDQEHVRTIIEYL RDKAPLQKGVEGQPASFRGYELYGENGERKGCYLTYQTFMTLGRTFGSAPVIRRDGDE EDEEEEPLSPTSFIEPKIPIPYQKLHRLITSFIYDLDHSIYSRNHDNTISQTTLSLYA NLESFALHRRPLYALLHEAIYCSSTCPQTSQPSNWAAIDVALSHSSGAFSWLSPTSSI IPSKKYYFTGEAIHPTPLLSPLGGPALTPFLGAAHILAQKADWPALYDFCSLRRNQNS AKEEEEGDEKGMTKVKVRAVAYKQDMYVDLGLSQQAAGLIGGCELVVCPFGSWGHGSL KEVDKTERVLGWLFDGV NEUTE1DRAFT_47520 MAPAMARNQQRLAQQKSTAPSQKPKNPIITPIVRKASKIVSKPT AKSASAPKPTRPSPSILRDELPTSSKEAPKAASDSLRTAQASPGLKFRNASSALASTS SKPSDVLSKSDPSTSLRKDTAARKARKNTVRKNVARAAETSNSSLPVAEESTNKLKAT RPDKHPKIKKESSMFEGMDLKAMMAKASKTRRRSNSLESEKRQDAGGGEEEGQRQRHE EKKKQLEQEKSGRKRPMKELHREGEGEVEEEETGRTRKRLKESEMVEEGEEREEDDLQ DDIGHEADDQGMDDGSVQTGHNGHVPIEEEEEDDDEEEQEEMGDEIDDFDMDDGSVHV DREGNVTMHDVNNACQAPDGSIQEEVELEESRENVEEEEEEDGEDADMIPESEREEEV ADAGRADVEEGDYEERDEMKSSGSSIDHDGEDEEADEKEEGEEEEEEEEEEEEEEEEE VVEVENEPEEIENEGTDDEAENKEERENEDEGAEREFLEEGAGGDSPDADAGADAEEH AEQEGTGIDEEGNNDTTTETQASTNEAENAEIYQTIKDLIQNIPSKHFVYCRTLDQPD DIQHVAFKVYDVEENEHKFDTRNLENNPEALERLLGVAREIYKKQIGWEFGNLKRDCT FFMSARKEDETTKAKPKTKKKVTKGNSKAQGSEQEQAPTYTGHITVVDGDDSDNDDDS DEGGDEGGQWNKYIKRLAEEALGVLGTTPQVKFTPELEYMWVWGEKSLFPNYDLYQAE EEKKLAGRLMVILAGLDYEGGEFAFSCKNRCHYFDPKAEPCLIATLSGGGAFVDAYQL TSGYRIALVYQLHVRTIKMAEQNTAKNTVSILNDYEKEFLDGLVTWRNRVQNADDDQQ NGIHRTVYYILNRQVSGTEEFEPSADLTPAQKLQLAWLYKLCKQMPGKRIGEEVYEWL LHVDLAVLLCRTVQRTEKDGRIAVRPGFEVNWTADADLETDDWDRNESEQGMECIRLN IA NEUTE1DRAFT_86598 MSDKSITSLTSQDRREAEAQVVAEHLPAGYTAEFDPEHNSITTQ HGSEATTHSQANKAVSAGSAEGSSSIRTRSTTPTPNEVEGGGAASSLKLQGGDIHRNI FKIDASAKLQQLHRRANTFHTPREFEQNSDVPMTVSDQLAPGGARRAFLHQKHGGRGG NDFLAARMPITRNFVEFLDLYGSFAGEDLADSDEEAVEEEEEEEEARIGETTALLRHR RPSIRVPKARPHTAGTTKTFFTLIKAFVGTGIMFLPKAFANGGLLFSSLAMVIVSAVT MIAFHLLLQCTHHHGGGYGEIGAAIAGERMRTLILASITISQLGFVCAGIVFVAENLT SFLTAVTPGSQAPLSSVALIAIQVVLLVPLAWIRNISKLGPAALLADACILIGVSYIY QFDIRALAANGIHKSVVLFNPERYTLMIGSAIFTFEGIGLILPIQSSMAQPQRFEWLL AVVMVIITLVFTSVGALSYATFGTETQIEIINNFPQDSKLVNTVQFLYSVAVLVGTPV QLFPALRIIESKVFGHRSGKRSQRTKWIKNMFRLGLLVLCAVVAVLGTGNLDKFVALI GSTACVPLVYVYPAYLHYKGVATARWAKVGDVAMMVLGVSVFDLPPRNDPRPCAALDY QPKHAFTSKVSASHYE NEUTE1DRAFT_112364 MAGNGNIPRLWWSPLDTGICALLSRDLQLFVCSSNLVKFLIGPS KSEYHISKSLIASLSDPLHAILSKHHDQNSSRQGGKAKPVDWSTFIDEDIFNLFVQYA YMGNYKLLIMSFPEQDILQKHQRYTDARKRNQNSYWIYESSPFVQPPTPGSVYRQIAQ IWAFAMFHKIGCLQAIAYKDLRTAFQVLMRRPTALEGCFGLEEKVYREVVDVIGYIYH QGRRFWDDEKKTQGAHDGMRSNIASFARTYFCDYVDELGGEGPRIAVDMVTHNAKQIP WT NEUTE1DRAFT_86601 MSTTAAKASGSYAAGPPDILRPRSHDLGGEVASASDGDDLLNAL GYKAELARTRSTWHVAFMSFVLASIPYGLATTLYYPLQNGGPVVVIWGWVIISAIILC VAASLGEITSVYPTAGGVYYQTFMIAPAKYRRVSAYICGWAYVVGNITITLAVNFGTA LFFVACVNVFQNEDGSDIWQAETYQIFLVFLAITLLCNAISALGNKILPLLDTFAIVW TFVGLICILVTILVVAKEGRHSGAYAFGHFEPTSGWPKGWAFCVGLLHAGYATSSTGM IISMCEEVQRPATQVPKAMVITIVINAIGGLVFMIPLMFVLPDIAMLVALPSGQPVPT ILKSAVGSSVGAICLLIPLMVLGILCGTACTTAASRCTWAFARDGAIPGARWWKEVHT GLDLPLNAMMLSMVIQILLGVIYFGSSAAFNAFSGVGVICLTISYAVPIAVSMIEKRK HVIGAKFPLGKFGWFCNIIALAWSFFAVPLFCMPAYIPVSAGTVNYAPVVFVGFTSVS LAWYITWGHKNYAGPPTEQVGEDVATMNTGAASAIPGDVKKPVPVEGSLGKKD NEUTE1DRAFT_67925 MSCPHIGSANLAKPSPTDNVYREDCTQCFDSIDDPAGLDVCLKC FNGGCAGDRNHAKLHATSRNHPLVLNIRRTRKIIERNEPPLKMSKLAIAAETESDRYD TTLTVKCLDCGIDNLNKEDATIAPVIDAVMKANTFSRKEEVKAWEQELTTCEHILTMQ QGEPRKIESQDLGHCSKCDLNENLWLCLECGNLGCGRAQFGGISGNSHGLAHATETKH GVAVKLGSITPEGTADVYCYNCDEERIDDNLGAHLANWGIILAEREKTEKSLTEMQIE QNLRWEFSMTTEDGKELTPVFGPGLTGLKNLGNSCYLASILQCLYDLPSFQQRYYSDK LGPLPSVTDPAEDLETQLRKLGDGLLSGRYSKSDTDVFASEHTPNVPYQKGLQPSMFK HLMGRGHEEFSTMRQQDAFELLQHLIKLITRSKHPVELGDPTQPMRFVMEQRLQCLNC KKVRYSSNEQDSVFIDVPMEKLPPEEEGQEPKYKPVTLKQCLDSLTASEVVELTCAGC GSKDGFTKRQAFKTFPDVLVVNARKMAVVNWVPVKIDVPVIVDDEPFNLDEYLSKGQQ PDEEALPEDESAKSSAPAFVPNAEALAMLEAMGFPRVRGEKALHATGNSDANAAMEWL FAHMDDPDIDVPLDLSASSGGAASGGAGAADPEKLAMLESMGLSGPRAVKALKETGGD VERAIEWLFSHPDDDADAVEEEAPAGNEGPKKEAGSSELPAKFQLQSIVCHKGTSIHA GHYVAFIRKKLSEDETSWVLFNDEKVVKVVDVEEMKKTAYVYFFKRV NEUTE1DRAFT_124210 MFSRSVRAVSGRVLANAVRPTVMPAVRQIAPAVAVVAKRSYHEK VLDHYSRPRNVGTLDKKDKSVGEGLVGAPACGDVMRLHIKVDPETGVISDVKFKTFGC GSAIASSSYVTELVRGMTLEQASKVKNTEIAKELCLPPVKLHCSMLAEDAIKAAIKDY RTKNPHAMPTNLAGTGAKFETAQAA NEUTE1DRAFT_103290 METDPDSNVSALHSQPSSDMADDQLDIESTLRSSQLKIKELRRE LDAVDIVIDKLVTSYTALNKAWSEVDQKAGVTRVRESMEKDGEEGGHEQEGSEEGRDE AERKEEGEDGGDDDKKRKRKDSSETEDPTTTRSRRSSNSSSPPIDVSEATLDAVARIL PLPLPQLEEDSKNNLEDGDMTEAATGGGGGGSSTSHQQQQKGNRKKIHMDKREVKRVR TVSPESGSGSGLGSGSELGSVMKSIEGDEVDGSQERDGQSLDSEGGYEQEELPDNGGE VNLRKDLQVNVVFLEQQFKRYEEDTYKRLEMYKGDMKRRVETLENDMNERVEKLERVV HKSIEKLENWMSWNEWVGETITYGGDDTDDEDEEEEDSEEDSEDDEDTEEHDDDDDDD DNDDNDDNYNGNLPSPPDDHTLTNSANH NEUTE1DRAFT_117950 MADSSSLKPIKVYGHTGPNPPKVIMVLAELGIPYDLDNIQISQA KSPEFVKNVNPNGRLPAIQDPNTDLTLWESGAILEYLTEKYDKELKLSFTPGTNDFYL ARQWLYFQTTGQGPYYGQVAWFKRYHPEPVPSAVERYVKELNRVSSVMEDHLQTQKEK YGTEEPWFVGNKFSYVDIAFAPWQHIVGVMLTKEEYDEDKYPLIHAWLERLRARKPIK EALDNMIPAGGAPPKQNE NEUTE1DRAFT_131523 MSSKAPEKEKDKDKSKVHRLSLKGSAKLVAEFFQYSIHTILFQR GVYPAEDFTTVKKYGLNMLVSADDQVRAYIKKIMSQLDKWMVGGKISKLVIVITDKDT GEHVERWQFDVQIFSKAAQSKTKYQAASSTTDDNQNQSPNENAATSTTASTPAPPLDK TEAEIQAEIAAIFRQITASVTFLPQLSGDCTFNVLVYADADSDVPVEWGDSDAKEIVN GERVQLRGFSTTNHRVDTLVSYRLAD NEUTE1DRAFT_124213 MSSTKKHSRSEGEEKDARLAKKVKTDETPVDGEVKKEKKDKKEK KDKKEKKDKKSKKEKKDQDQSPATESTEDVSMPDAAAEPVADKKEKKEKKDKKEKKDK KEKKAKKSDESTTEESTSASKTTTNGTTTPAASTNGAYTYKQADALSALPESEIETFL KEKEIVIKDPSSSNLRPIMNFSQLPQSNLISKNPFAAYTNPTPIQAASWPFSLSGRDV IGIAETGSGKTMAFSLPCVESLASRPKPKFNSRDRTAHPRAVIVSPTRELAMQTHAAL SGLASLVGLSAVCIFGGSDKNEQRNLLYKNNGVDIITATPGRLKDFLSEGSISLANVS FAVLDEADRMLDRGFSEDIKLILSGCPPKEQRQTLMFTATWPLDIQKLAESYMINPAQ VTIGHRTRAGGDGEGNGNIELQANSRIEQKVEVVDPRGKEFRLYELLKEAQKGSQKDD RILVFCLYKKEAVRVEQFLSRKGIKVASIHGDLRQDQRTRSLEAFKSGTTTVLVATDV AARGLDIPEVKLVINVTFPLTIEDYVHRIGRTGRAGKLGKAITLFTEHDKAHSGSLVN ILRAAKQPVPEELLKFGTTVKKKAHDAYGSFYKDIDPNKKATKITFD NEUTE1DRAFT_47350 MADLKEPPGPTSPSPPPPPPPPPTTTTTTTTRALRGASLLILLQ ILSRALTFIANQILLRFLTASLLGVSTQLEVYYLSVIFFARESLRVAIQRQDTTTLSA SLPSSSSSSTSSESAKDRKDGKSEDGKDAAAAATQAVINLSHLSLLLSLPLSFLFGRV YLSSLSLSTLQSTPYLVPSLYLYGLAAILELLSEPCFAVMQIRFQFGVRAAAESVATF LRCAVTLGIAVWGARTGREMGTLPFAVGQCVYAVGLLAVYLWKGWRLSGREGFSLWPR RLLLSGGEKQQRKDGKGNGGGGGGKKQDLFVLGYFYRPTLDLASSMMAQSVVKHILTQ GDTFLVSILSTPTAQGVYALANNYGGLLARLVFQPIEESSRSYFSRLLADSAPSPSPS SPSSSPSLSSSKLPSPKTPSSKPSSTALHQASSSLTTLLKSYLLLSLPLLVFGPPASS PLLTLIAGRRWTSSSSSSSSTTTTVPVDSAPATLSLYMYYIPLLALNGILEAFVSSVA SEAQVHRQSLFMTAFSLVFAGTGYLTLKVWGMGARGLVVANAVNMACRIAWCWGFVGR WFAERTGGEKGEKEDEKEKENVRFGVLDVLPKPGAVAAAVVARSVVGRVVGAGQQKVV MGAKEAVWELFEIAGVAVPFVVAV NEUTE1DRAFT_67942 MQHQEDQNQEGSQSQSQSQGQSQPTYSQASDDANFSLPNSRRYA HPPRHRMPYPPSYHRLLTPPNEEYATTFQSSMMTESQRSMGSAASSSSRRSIMSSIPT LRRQDATVGQG NEUTE1DRAFT_117952 MNEMPGTDGSGEYQCCISNADATVRDAKKTTGGACVRWVARTVE DEGKWGIESCNSAPVLGKTRMNGI NEUTE1DRAFT_86625 MKLWSARPLAVLASLATLVAPSLARDRELHSNSLAACQENSGFT ASLFDVIFFPDNGTVNINMLATSSIQGYVVFDIRILAYGYQIMHEVLDPCNIGVGLGG LCPMNAGKMGNPFRLELGPEVKDRIPGIAYTFPDLDATVKVYVNMTDGELAGETVACI EANVSNGMTVDLVGVKWATWAVVFLGILSSALLSGLGYANAASHVASNTLSLYGYFQA QAMIGLCGVHLPPAVRAWTQDFVWSMGIIRVQFIQDILTWYQRATGGTASTVLNSLET VSVQVEKVKRALPAEYVDPAINLFKRATAMLPRAAAHVGSRIAKRANVQTSFGSYIVY GIQRVAFRGKIESTNLFMTSLTFFYIFVVFTAILVILFKLGLELFAKLGWVKGDAFSE FRAGWITVLKGILYRVGLVGFPQVTVMCMWEFTQNDSPAEMALAVFFFFGLLATLLWA AWRVIRIARRSISLHRNPAYILFSDPQALNKWGFLYVPFRASGYYFIVPILFYIIIKG MFIAFAQANGTLQAIALLIIEAAALITASVMRPWMDKSTNTFNIAICAMNFLNAILLF LLTDVFDFPPLVIGVVGVVLWIANAIVCLILLLMLIITTSMTLLHNNPDTRYQSMNDD RTSFMKSNPQLHTQSELEALGVTARGESKLYTKSPLSFEDDDSALSSPSNPMNRPETA DSNAGNPHFHSNRHSGRWSNDPSLMRDEPFVRHRPSGSIQTPSPMTDSASSLPGGQAS THSMVSEKKPHNTASPWQRGAGYEPTNN NEUTE1DRAFT_67946 MVATTSSSMESASQVNNLPTTPAAAVISEQPKPNTMLPLPTTTT NNTNNLNQLDIQEEQEMGVGLRGGWMTIGKHFNCCGLNCGFSKTF NEUTE1DRAFT_140131 MLAAHRTSVGLDLGVVANDPARRASTISAGMIISLPHAVVLYVR SPSMHLARQLTTALMLTYCLITENPPDRTSGQAASHQT NEUTE1DRAFT_148302 MAHSRPKPEGRSAPAKRVVHRDEDDFRPSDHHKRMAGEQAPLRP RKSRRLERSQGKKYDKEALFGEDEVRPLATNLLPARSKFQPRASTSERRTKYNTKRQS QVQSQSLNSANSKSRRELQVHLEKSFQQRIPKSSTRDPRHPPPPDSSSDSGRQRWEQK GFSTTHLRLRSPLATCPRLRRLIRVRPVSLGLFLPIDPVPVPAHINTPGPLARTPTLA PTPPPAFSAPEPASVPAPVAPVVPDNNPIDLLVFMAMLMSVSTTQAQAQNRPTLPSPP STTTRA NEUTE1DRAFT_117955 MVAISRAVLFGMIASFSALVSADNCKPRLFYCGTTLLRKGNYYD QIEGALKASGQSTNDAHIHNGLFYCTGGPNGEITFQAFCGNGCVDGGNGKSDHC NEUTE1DRAFT_117956 MHFSAATVTASLFTFLSLASAGAVPAYPGYKVIWSDEFTGDAGA TPSRSKWNYALDTNTNNELQAYTTSNQNVQLSGGNTVQFVPRKDASGKWTSGRLESQA TFTPSPNRTTLVEAAIRFGDASIFQKRGLWPAFWMLGDAIHHGTPWPHCGELDIMETV NGLMTGYGTVHCGGDVALGGPCNEPVGIPHTALLLDYGWHTWSLSIDRSNPGGDWRAE KISWMLDGQVFGTLTGAEINDEGVWATLARSPLFIILNLAVGGDWPGQPDANTADGYG NMMEVEYVAVMEA NEUTE1DRAFT_46279 MNPMSQPIERVFPIRLSILESSPFLRNVSADADSILSVVPVTQQ LSNQHLSPPSSDGFSCEPAPSAVDRQGSSAAASSHNSTCPSSFNKGNGGDDSLLSETF EYAILADGSHGVIQKARRAFATCDEEPIHIPGAIQSYGMLVALKLVDERVAGPSRYLP RICSENSGFVCHYQPSELLSLDSFYQVMPIFQRHLFDVHLRHIRQGYDSTKKEQEPVV FAFSFSDPDGRLIPCWCAAHYLGGDTNLFICEFELQDYSMHPLATPAMSDPENPIDTL GSDHLDFATACSIQSKVQPAFPNPELFSKGFDPSTSTVEVIGMATKIQTQFSEAATVP DLLETIVSIVKEVTRFHRVMVYQFDRDYNGTVVAELMDPKVSNDVYRGLHFPASDIPP QARKLYMINKVRVLFDRSQRTSRLIGRDVSDMDVPLDLTHAYLRAMSPVHLKYLSNMG VRSSMSMSLESDGKLWGLIVCHSYGPTATRVPFSIRELSFFVRLAASTCLQKLLNSER LQAHRIIETLRGRGSPDECITSSSHELLNLFDCDYGFLVIEGEARTIGRLSSYIEAIT LLKYLFFRGSRTILFSHNIGDDFKDLHFPSGFKAIAGVLYIPLSSTTDECVVFYRKNQ IREVHWAGRPSLAGKIGRLEPRNSFKKWTEVVDGTSKAWSIEHTNLAAMAQLLYGSFI QVWREKETAINDTRLKRLLLHDASHQVRNPLNAVINCLEIALEKHLDDGTKQVLTTSY TASKSLIYVIDDLLSLTGSITGSIPLLDEPFHLAHCLEEALCPLRRLGQEKRTELIMI PSNGPTKYVRGDPTSLQRSLSILVANAIQHTTGGQVVIKWHEAVMNPENTVIHISITD TGPGFSERELDDMFQEFEQVPDEDFDEATSKTHAARDNVLRVGVGLVFVARFVKQRNG QLKVRSTKGRGSTFTLEIPFGVSSHCSSIASRRDASPLPVLTMPGPLTFGDGSSVPSD RTFGTSRNSRPSPPIIRPGSSTADTSPRDFQTPSPHHYIVIVADDNIINVQILERRLT KLGHCVLVSRDGQECFNLFASNRSTVDFVLMDINMPVVDGFASIRMIRDHEYSHPTPS RVVQCCGRTPIFAVSGMLRRGQEQQCKEAGFDGWMPKPVDMKRLVRCLAGGLDPDARR MCVYDEKRFELGGWFDAE NEUTE1DRAFT_148305 MNMNNMGNMAQMNAMGGPVGGAPMPMMNNGAMPVRPQQPPQPQP QQQQQQQQQMQFGAGAIDGGRSLLETYIYDYFIRQGMYDVARTMLQNNPQIKTDKSAS PGQNLNGLGDDPMDTDSKDNLDQKRPDDLPPAAIPNSSTSNNPFLLDWFSLFWDMFNS QKSKGPALVNQYVQHNQNQSRMRQEQMLRQMRPDYNAHVQYQQQMMRNMNGMGGMMKP GNQLPRAAMANSQNPQAMHMLQAQKAAQMQRDPSDMDGNRVRAASPGSADNAPSPSKR PRLEGAPFNPNAGVMVPNGRQMQGMPGQQQVGGGPNSAQAFFINQIRPNSLTPYQLQA LINANPNQLKAYAANLQQHHGKQMPTNPMANAGGPQNQGSPMLPQGPDGQNINQFYNQ EMGAGNMRAGPGNGQNGSSNHALQDYQMQLMLLEQQNKKRLMMARQEQDGMPRPEGPN GPGGPQGPNPQGFQGNSPPGQRNGASPNPSEQMKRANQQMNNPQNMGSPLPDGAQNRS SPNPTIFMGGNMDPNGGQPHYGMNMNMGNQMGGGMRPPNAHPGQPFNGQMNPQMMAAQ QQRQAQMQQQAQQQAQQQQQQAQQAQQQQAAQQQQNQGGPNMQWQQPGPNGQMVGQPP QAQQAQGPAQQRAMPPPSAPPTAAAMTNAANSRNATSSPQVTNAAPPTPNQGNKPAPK KKETKNAKNKAATQKKSNQNLNAGATPAADADQPQEPPAPATPITPVNPASFNKNQVG NQVVQNGQPAAPVPPPSVPQVAAPPHPDPTQNNNFAEPMMNFDVEFANPLTSNDVLND FDFDSFLHDNDNGGETFDFNAGVFLDGNEIGTTE NEUTE1DRAFT_103301 MYRRTGTRARQMGERGGSSNSVDARSRQAARSLPGKAGSQKDIA TAAMASVARGCTPVPLSKDPTALVV NEUTE1DRAFT_103302 MACDAKESMALDKVVKTLMPRLAGIRRNMMVIEIHLVCDIAISI GLVGSKSPQGLGRRAKPLTTRSAGWQIQRQGNANNGRQPSRDPNPSVQPHTK NEUTE1DRAFT_103303 MGSCVSTQEFSREKSKKEHAFIKLGLSCDLCRDKCPWAKAFGER WMSVDEEYMRAHPQVFPAPQRNQGIVIGGLELRGSFCQWNNEGRNILKEYSEKAGLVQ IWDRPSDKTGVGELRGLMKELTEANVEVCLGKVSASGG NEUTE1DRAFT_46925 MSSGMSTIDYKEDEEDPLISHGSHYTSPWQQESEYESIPKPEPQ PIILTQETDYTYGVTLSTHCTACYLKGQGYKCSDQPGHEWMRESVVSVSASALQLAIE RKVYGMKEFQNYLEKYPDNNLRVQLQGNFCALFQHKDRHEYPGPEKDVEDVITEALED NENRSLSPCRPNSFKPRVASKPTPNYRTSKKSIKSGRFNELPLYIHTEKYRSTISLFF S NEUTE1DRAFT_117959 MSSASKASRLGEEIWKTRIEKVNAELVVLTYGTIVAQICKDFEN DYAEVNKQLDRMGYNIGLRLIEDYLAKSNTMRRCSNFRETAEMIAKVGFKIFLNVTPT ITNWTGDNKQFSLVFDENPLADFVELPDDGRAQDQLWFSNILCGVLRGALEMVQMQVE THFISDVLRGHDTTEMRVTLVRYIDDELPPEDD NEUTE1DRAFT_148308 MSSEKRPASDDPSGGQLVKRPNLGSSRALTRTGATGSSGDLIQT APRTSSLQAPVMELSGHSGEIFAAKFDPTGNLIASGSMDRTIMLWRTYGDCENYGVLN GHKGAILDLHWSRDSEILFSASADMHLASWDLTSGTRIRRYVGHEEIVNSLDISRRGE DLLISGSDDGTIGIWDPRTKNAADYIETDFPITAVAMSEAGNEIYSGGIDNDIKVWDV RKKAVVYSMIGHQDTVTTLRVSPDSQQLLSYAMDSTARTWDIRPFAPADRHIRTFDGA LFGMEQNLIKGSWDKDGKKIAVGAGDGTVVIWGSDTGKLLYKLPGHKGTVNVAEFSPG TDPLILSASSDRTMLLGELK NEUTE1DRAFT_131532 MDRFNFLPQGLRRNSPAPPPAAAAATTTTTTAPTGTANGTAADH TNTGQPAPLPAVYHQNQNQNRTQRPYNYPESVSSLSSDDEYEDEDDDDLYEDEEVRSV SSQSSRDSDTAPLSPRNRQQRAQYPSSSSTLNRRSHHNNNNMATNIQDDLYCDLEAQL GIHPALRVPPGPGNVPANFPAPPPIPQQEEMAEVERRSSSRFFLPRMSFRFLGGGGRP RPASSHYSGDGLPPATEVNFPVSPKSPAFRIGEQELPSTRLHVPGVERTWSRGSNGPP TRDETGTRDGSSSHGGRGGGGEAAARGASRYGFGLLGGNRGASRDGRTVRVTEPRRTA TRRHRSERDGERSERRREGSGRLRSGAGAGSGHGSRGHRSRRDHGRSTTTGRHRHARD EERRRRRRKKKSSSSRRHEGSGSGSHSRSARTTAAGGGHSGRRKRRAPPPKHFLFCFP WVRSRTKRRLILRSVVSGMILACLLIVYLALSVTHKVQGSGFTIAMILVVVLGAIVFL HSAVRLMMLAFKGGRSKRRDLESGLAHHERDIHAPQGPYAIPPTPIRVVLARDEEAAG LPSATAVSKPPEYGQWRESVRVDPNRIYWMRNEGAVTPALPDEEASSEGARSRAGSRD GPNPGTLRRPPSYSSDDGVSYVVEAQPRSIAPATDVPTGGRAGRRLA NEUTE1DRAFT_124224 MRSREPQHFADREGIESCFSSLICLVDVVVVVVVVVVVVVVVNV LDEQYHKGGRGKTGDLRQGNQTPWRQDLKTNLKAPQHTVTPRDGIEKKSSLN NEUTE1DRAFT_124226 MAYQPVRHQRVISADSLTPLTAAIEPQAGDDNHNNPQSIPYVNI NDMQAANAGIVPQQPDQIHPVQQSLYADQQQFYDYQYNNGNYQSPPIQQSDSKLSAIF SKNGTWTYETISMLVALGAVASIIAVLATYDGQPLPSWPHWITLNAVIAILATVATAS MSVPLSSGLGQLKWIRFKQGRAPLSDMEIYDDASRGALGAVNMLVRARGGFAGSFGAV VMIVALFLSPFAQQIATFPTRMVENPSGAVNYRTETYGLALHGKGELGQAFVPILPIK AAVYKGLFAEDGRPWMGLPFQCSTGNCTFPPIETLGVCHKCVDMSEYMTRYCPPGISN QDNCGWQLPSGAAKLNSSDHVFGMTSLFPGSATGDATYSTIMKLIFMGTETNATGPGV LAPWATQCSLTVCLQTLTSNITNGFLHESHLGEPITNDTVPSLSSLSSSINALEPLTI QSPTNSSLTYSMSMQSILAMQSWFSRLFANGTASRNPNYINQTISKLPSLNSSPNVVV NLTVGISSGETFFDTDIVQAFYWNYYEYPSPSSPPKGLEMLMSDLAVALTSTFRTLTA VPINGTSLSYETFVSVRWGFVALPVTAVILAAVFLGLAAWETKRSGASLWKTSALAML FHGLDEDARERFEDLRSLEAKKRESRYVKVRLSTSSNGTLNGSVQLGIDI NEUTE1DRAFT_124227 MAGKKKSKKPAANPARGFATTSLPSKPREAAEDVVPPKPNNSNN NDTTKNNVQASKDAPATNGTTAPTEAAKAAPEKTLSPEEFERQLEESELQLLVEKYAP KVRRDALRQKTRLETDRRLLRGQADSVNAKKWLPQDLMDHVLDLIQAESRFAASSLTS EGATSRLPPEEDLIIKLWTLQQTLEGADFPQERIQPALQFALDIAPNISSTVKSDSVW GLDEVLDWLARECSKEELPDYSGRAKKSQIDTPSETPLPSGAVTPQLPELGPRGKKAK NNQTSRSSRAPSPKRSKVVFDEYIEPDQLLPFYLETKTKLFEIQRPRLDTAKGKKGKA EANPSDPEEALLLAKIERVEKDILFDKYVAEQQWRDKKIDLEKDYAANKKKQVEEEQE EKKQAQEETPASTEVDDIAAEAERMAAELLAENPEDDDEALAGLFASLPVNEVDPTTG KTNTVMNNADGSRIQIRDFGKWTGVSPMRALEEACRSRDNAVKISYHVLSDVSFASRH AVKILWSKPQEIPAPPDSDDIEVFTAPTQFVFKMVSIATPDAKQSEAYIATIALFLIF SSSAKEEKVAMRLPVAWKDLWSEMAEARKSKADEADRAVVKHLRDLVRKRMDQELEDG VIIQGAFKGRGQSRTQTDSESDHERAKRNAQDPEYYQRIWLQKASTPRFQQMLASRMQ LPMWQFRQQVVDTVEREQVVIICGETGCGKSTQVPSFLLEDQLMKGRNCKIYCTEPRR ISALSLAKRVSEEIGEGRGDLGTPRSLVGYSIRLEANTSRETRLVYATTGIVMRMLEG SNDLNEITHLVLDEVHERSIDSDFLLIVLKKLLARRKDLKVVLMSATVDAERFSNYLG GAPVLNVPGRTFPVKVAYLEDAVELTGYTLDQRNPVASKLTELDDEADAEVDTSSKPE LIQSLRNYSARTRNTLAQMDEYQIDFDLIVQLITTIATHPDYVDFSKAILVFLPGIAE IRTLNDMLLGDKAFADHWLVYPLHSTIATEDQEAAFLVPPPGMRKIVLATNIAETGIT IPDVTCVIDTGKHREMRFDERRQLSRLIDTFISRANAKQRRGRAGRVQEGLCFHMFTK HRHDNIMSDQQTPEMLRLSLQDLAIRVKICKIGGIEETLGEALDPPSAKNIRRAIDAL VDVRALTASSEELTPLGIQLARLPLDVFLGKLILLGAIFKCLDMAITVAAILSSKSPF VAPFGQRQQANTVRMGFRKGDSDLLTVYNAYQSWKRVCQSSTSGGAEFQYCRKNFLSP QTLANIEDLKGQLLVSVADSGFLQLTTEERQVLNRLRFGGKRRYQAFYEVPQRVNINS DNELIAQSVIAWSFYPKLLVRDVPGSKGLRNVGNNQNISLHPSSVNKGHNELRWLSYY NIMQTKGSVYNAHETTAVDPFAVALLCGDVRADMYSGVLVLDGNRARFAVSDWKTMLV VKMLRTRLKEVMARSFKNPGKLPTAQHERWLEVWQRIFTIAMEQREKAAATASSVTVA A NEUTE1DRAFT_67967 MSEDAASPAAASTPSNAPADFDLVAAKAALTSSSTSARISQLRS IEEKLSQKSLDRPTTLAVLKVLFWTHDFYHDRPSRAAVQKCLVALAQNGEPEILTPLV AAIGQEIKKGAIAPGSAFTLVEWCSLLVQNLASTPMWEKFGKDLTLSLAEVLEKCCQP TSRGTVSKSALVITRRGLRKLAAGDDREKVIEEAVKALAAKGTQPTAKYAVLLGVIAG VCSRKQEAAPIIEKLKSQYFTFYTREIVGSRTQVPPHQAAALSDFFSSFVTLEDLDKE VFPSLEKGLLRAPEVVLNDLITPLVGALPQDFDLSAALHGRFVKPLLNNVKSSNAVIR SGAVTVFKGLAARSKDVALLEKVADEVLNPLKTGKLASADHRVLHSEMLVALVVSTGI ANKIANGLPLVTGKEGNEAALSAETVALNASAVYLLNAGEEVPKPLADAYVKGLGEKK LPVRRTWILRAGDILYAFNKESQETLPANFIKFAEAIVTPLGTTFAEVVANPATAAQN GTVTGALVFCAVESLIRRAESSTFEAVYKKANIQKNSLAVNPKPSYLFNPRIYGKFAD EDLKWLTSALCAVAPFLNGVGLPERVAWAQAFIYVISSAATGPEVRRDAIDALSTLYA QSSAKAEGPSALLTETIVEGLWHWIEATEAADKESAAALAKTGTSNLHLVLKAICLKP EEYQKRAGAEPDKTKLESQMCSLLVLAKSQLIPRASWIDLCLKVETDPGGLARKYEQR LLDEIVNRTLYEQKAEAVRLAAYNAAAELVFVAPETMTPRIVDLIQQNLKVSEVQSVG PLEAAIFRTPEGTAFVDVLAKKQNVVPNKNDPNYHTLKWEEELREQIAQKKGVQKKLT AEENAKVNAQLKKEAEIRESVRHVAANLLRGFGIVKALATGPPTDASRWMGPAVKATL SVIDAGATLITGEAGPLAFISCSECVTSRVGPIRPFIGVATLRAHNVSALPENLTEEP FDDLITRALYRLRFAGEQRPFDVISLIYMLPLILLVLEKGGFGSNADDKDATLVLAIE FLSFHTDVYADEATPRAEILSTLITSMQNYNQHYKIIKDCFSDMVRCIAPNISAEEIA VLSRGAIVPQVAVRTAALQAISSDVDMSELSTSEEIWLACHDDVPENADLGRDIWEES EFQVTEELAFKMLPYLESKDGQLRRAAAKGLAEALGQNLSAVNPILEKLRESYTELAK PRLPELDEFGMPKKKDLSDPWEARQGLALAFQGIAPLLQKNQLEPFFAFLIDNGPLGD QNGNVRAQMLEAANTAIEIHGKSILDKLMKTFEKTLEAPDKGTAFADRVNEAVIIMYG ALARHLKHGDAKIPVVIDRLIATLSTPSETVQYAIAECLPPLVRTCGDKSNKYIEQVI ETLMTSKDYAVQRGAAYGLAGLILGRGVNSLKEHRILITLRSALENKKEVNQRESAMI ALELLSTILGRLFEPYVIQIVPQLLAGFGDSNPNVREAALHAAKSCFAKLSSFGVKKI LPTLLDGLDEDQWRSKKGACDLLGAMAYLDPQQLAQSLPEIIPPLTAVLNDSHKEVRL AANKSLKRFGEVIENPEIHSLVDILLKALSDPTKYTDEALDALIKVQFVHYLDAPSLA LVSRILQRGLGDRSNTKRKAAQVIGSLAHLTERKDLVAHLPVLVAGLKIAIVDPVPTT RATASRALGSLVEKLGEDALPDLIPGLMQTLKSDTGAGDRLGSAQALSEVLAGLGTSR LEETLPTILQNVESSKPAVREGFMSLFIFLPVCFGNSFANYLAKIIPPILSGLADDVE TIRDTALRAGRLLVKNFAVRAVDLLLPELERGLADDSYRIRLSSVELVGDLLFNLTGV KVTDGEDEEEDIETVKEAGASLREILGEEKRNKVLSSLYICRCDTSGAVRSAAVSVWK ALVHSPRILKELVPTLTQLIIRRLGSSNMEHKIIASNALGELIRKAGDGVLATLLPTL EEGLQTSSDVDARQGICLALKELIASASPEALEDHEKTLISVVRTALTDRDEDVREAA AEAFDSLQQILGKRAVEEVMPFLLTLLANEEEAENALAALLTLLTETTRSNMILPNLI PSLIKPPISSFNAKALASLSKVAGAAMNRRLPSIINSLMDNIINCTDDTLREELDESF DTVILSIDEYDGLNMVMQTLLQLLKHDDHRRRASTAFHLSKFFASADVDYSRYNQDII RSLLLSFDDRDMDVVKSAWSALSEFTKKLRKEDMENLVISTRQTLLQVGVAGVNLRGF ELPKGINAILPIFLQGLMNGSADQRVASALAISDIVDRTSEASLKPFVTQITGPLIRV VSERSTEVKSAILLTLNNLLEKMPTALKPFLPQLQRTFAKSLADTSSDVLRSRAAKAL GTLIKFTPRVDPLIAELVTGSKTSDAGVKTAMLKALYEVISKAGANMGEGSRTAVLGL IDTEADERDDTMTITYAKLFGALVKNVSDEVAAQLLKNRVLTRDFSNSSVLALNAVLL ESPETLLDSSLVDDLPELLCQGMASKNTFITENFILATGKYLLSPVPKSFEATKPLFT TISTLLPPGQPTDSRRLALVLVRTLARTNPDLVRPHLALLAPPVFASVRDMVIPVKLA AEAAFVQLFAVADEESKVFEKYLESQEGNMAPNVKRSMQDYFKRVALRLGAQVRERRE AEGGTGGLGLSNDEVEDEKELMSVGRVDVAGGGEMFD NEUTE1DRAFT_95875 MVNLRTQKRLASSVLGVGQRKIWLDPNEVSEISNANSRQTIRKL VADGLIIKKPVTMHSRSRARELNLARRIGRHRGFGKRKGTADARMPEQVLWMRRQRVL RRLLVKYRASGKIDKHLYHELYHLAKGNTFKHKRALVEHIHRAKAEKAREKAIKEEMD AKRARTKAARERKLERQAAKRNALAGEEEESK NEUTE1DRAFT_67972 MSSFQSTAAVRACARRAASTTSAATLAASTTCRAAASRIQLQGQ RSAGLVPKSWTRFSSSSIANDNQQKEKQRQKQFINLIPSENFTSQAVLDALGSPMQNK YSEGYPGARYYGGNEFIDASERLCQDRALETFGLDPKEWGVNVQALSGAPANLYVYSA LMDTHDRLMGLDLPHGGHLSHGYQTPTKKISFISKYFETLPYRLDEKTGYIDYNKLEE LAIIYRPKIIVAGASAYSRLIDYSRLREICDKVNAYLMADMAHISGLVAAKVMPGPFT HADIVTTTSHKSLRGPRGAMIFFRRGVRRTNKKGEEELYNLETPINASVFPGHQGGPH NHTIAALAVALKQAQTPEFRAYQSQVLANAKALAARLGQPKDKNGLGYTIVSGGTDNH LVLIDLKPQGIDGSRVERVLELVGVAANKNTVPGDKSALTPGGLRIGTPAMTTRGFTE EDFARVADIIDRAVTIAVRINKAAKEDAVKKGNEKAANRVKTFMDYLGNGETDPEIVQ LRSEVESWVGTYPCPWDQSS NEUTE1DRAFT_148315 MFPINVAAMPALPTRKALIVVDLQNDFVSPDGALPVTKPDGFVD RILGLVKVFRDSGAGDVVWVRSEFEQHRALDTEGDTIIASDIPMRPSPTRRRANSKDH DEQLMGADEEAFLTVVGGLAKPACVRKGTPGANFPPNIESSIMRGRDFITTKSHYSAF PPGQSQLVQQLRMRFVTDMYVCGSLTNVGVFATALEAGKHAYDITIVEDCCGYRSYAR HVNAVQQLEKLTGCDLLDSPALIDKLQPTQPRNSAKHGHRTKPSSGSRDVKKPGKAKE SKQDRDRVSSAPPKASTNHSTGLSPTMAKVSIDLSIISPIEPLPPSRSTTNSGSSTTP QPRQATRHANVPLTQPMVTHGDLSNPLADSLAPLEADFEADMDNIESEVLSIKRRNVE RISQSLSGSPGVLGAKPVDGADPSPSSQTTRVAVKPRFRRDITSTSSSSSSPSHFQGD NKDRDSAEALEQIKDTPSTPATSNQPDPNTPQSSSNSRETHTKTSSTTTMEDHQKSTV SEPLCEGDTTVITNALSPDLAAHAFERLLEEVSWAGMSHLGGEVPRRIAVQGAVDDEG NMPVYRHPADESPPLLPFSPTVLAIKNEIEKHLGHPLNHVLIQHYRNSSDYISEHSDK TLDIVRGSYIANVSLGAERTMVLRTKRPPKDKDKKDTPAAAAAAGGTSESPSAAEKTK RQIQRAPLPHNSLLRMGLQTNMRWLHAIRPDKRSDRDKSSSELAYSGARISLTFRQIG TFLNASQTMIWGQGAVGKTKEEAQPVVNGQTDEAVRMLQAFGHENHVSEFDWEKNYGA GFNVLHMGSPKRFFAGSVQGRACTVENTRVALALADMGIGVARGAIDASGGSGEDEEE QSERRGRKVKVGVKFVDNDPARTEVVGDVSILRYLDAVYGAGRRYDQMTPAQVAKRFA RLEEVDELWTAWSWLLKRSDRTKTEESGDDKVSSTSGAEKVKQAIREKKALLKQWEEY AQEAHTVAATTTTTDSTDFPPTASEAETKTQPKEPAAKDQDKHHLTPFYICGGEAPSP ADYALWPVLHELVTYVDGDTEVLRIGEGYLAKYYMAFKQRSAVAKVVLSGGNTGPASG DGGGEKGGGVAATDTEEKNTAPSAPAAPAAPAAPAAPIVAAEVPLRKTLDTVVDLDAG LKQIADSPGEEVEINKTSGSVSVVDKVEENTSM NEUTE1DRAFT_67979 MHDLETLNTGGYASTTHLKLTCPLPSGLPPAILTLGATLTRLDL SGTGLSSLPSEFGTSLPNLRILFLSSNNFSTFPSVLAQCPSLEMIAFRSNRMTSIPEG SLPTKTLRWLILTDNQISTLPEDLGKCEKLEKCMLAGNQLSSLPESMATGCKNLALLR LSANRFETLPEWLFDLPKLAFLSFAGNPCVEKQTAAATAKAGTRFDLEKIEWENLEVQ ETLGEGASGVISRGLWKQSAEYAEEVAIKVFKGGVTSDGTPRDEMAAVLAAGFHEGLI TVLGKVVGHPDEVVEGAVAAEGEEKKFQGGIVMQLIPEYYAALGLPPSFDTCSRDCFP EDASLSAAEVLGMLTGIAGAAAHLHQRGIAHGDLYAHNILASKADRHALLGDFGAATI YGTDEEVYAGMEKLEVLAFAHLLEDVLGLIRREKEKNELTEEEEEAIVQGLEKLQKQC ADPRVNSRPSFEDIAVELEDLVGFRGMMRIPIPN NEUTE1DRAFT_124233 MQVEGAPAPGLIDSSRSSVRDFPNIFNHQINFPLHHPPHGRVTI FCQHGIATDINTIDKTYHIAPANNKPLAMATDSRKRPVDAEGAQPSQPKRPKTNTSIR TILAVDAIGNSSKATTAPTPDGLAKNGLRRSIALALETVGFDGATSEAIESFTIMTET YLHSLTEEVKVFANAARRSYPIPKDFENTLKRFNLTPTALYSHRKPPIPKKQRQPVWE DLPLDAAIPTDLPVLDPELDGAADKAAKNYIPSSFPSFPSVHTYKYTPESVEAATTAQ HSIMTDTQATVTMTQTQTMVESQAAAATAAVAAQQSQLPTKIPQRPLAPDEIPRGDPK KIREAAAKEAKAGEQALRRLMRASKIAKQKDVSATAQRDPSRRERYALWEAAMRELVE DDTKAKGREVAPASMHSQQGRVEIADHSMIVNAEKGYYRKEVARPGVRKLAGELAGK NEUTE1DRAFT_117965 MAEQLILKGTLEGHNGWVTSLATSLENPNMLLSGSRDKSLIIWN LTRDETSYGYPKRRLHGHSHIVSDCVISSDGAYALSASWDKTLRLWELSTGTTTRRFV GHTNDVLSVSFSADNRQIVSGSRDRSIKLWNTLGDCKFTITEKGHTEWVSCVRFSPNP QNPVIVSSGWDKLVKVWELSSCKLQTDHIGHTGYINAVTISPDGSLCASGGKDGTTML WDLNESKHLYSLNANDEIHALVFSPNRYWLCAATSSSIIIFDLEKKSKVDELKPEFQN IGKKSREPECVSLAWSADGQTLFAGYTDNIIRAWGVMSRA NEUTE1DRAFT_112393 MIQPHYLNITTTTTTTTTVSSYSSYSSLFSTPTTATAATDASGL VSNQQPSQSLPAITNNDPDANPDANPLFSPTEGPTLTAIIDTVLVLVLITFITVCILQ WWRDRQRRERFERQQRREVEDVEERDLEERECHDHSWVPGPVDGVQRPLTDGGFTKEG FEGFEGRRV NEUTE1DRAFT_124235 MSTWLTILAFLPIVIIGYLLCRAAFYVFNFTIETIIVYAYFLFR IDKIFWVPNGAKGPGEYDPRPNAVRPNVARPRNEENPEPAGERIELQNRGEQEGGRAP VRAADRDAEREAEDQPAVPAPDAPGTPKPPEHVLSSTFLAGSSTSVPQSSTSEGGAQA SSSGIRPPPPSFTYSGANSTSGALSRPAQPSSSFVNERGTGAATAGTVGNTGNNYATS GWTGPSHLREGKFSPSRGLIVRQANEKANKSE NEUTE1DRAFT_67987 MSLNVPSRRLLKSAPSSANALVPALQSLSINTRSIHTTPSQQWS LFGWGKQKVEERNKVKEELKQSELARTRKPTQEEIFERVRGRLEGDSIFADTTPSSEL AAEQDKHKDWATASAEKKLAAAHAKDQTPTGISLKKDHLVRVVDPDPRSRVRWERKMV IRKLQRGTDPWSVEPKAERIARTERKLVYKTGYLPTSVKKLVHLSRQIRGKTVSEALV QMQFSKKKMAKEVKTELLRAEAKAIVTRGMGLGKAAAAAQKETGAEPVKIQTKDGKYL EIRDPTRIYVAETFVNKGFIRGVELDYRARGRVFKMNKPTTTMTVVLKEEKTRIREHQ ERVAKKLRQGPWVHLPDRPVTSQRQFYSW NEUTE1DRAFT_124237 MLVIKSLALLAAAVLVTGQSIDSLPTCAQNCYYSSRDGFAQCTG ADQGSMACLCPKTDFFSAIHDCASQACQAEYGADANGYADSAVTAAQAVCATATASAG APPATSVAPANSDAAAPPASTTVPGSSAAASAVPSAAPTSVPTDAAPAPSTGAASTTE GSASATSTGAGAIAPVPTEGSGTTSASSPAPTSDGNGEDDHAAGGLSSGAKAGIGAGI GVGALVLLIAVALVLFRKRSTTKDHIKIADPVAGGGNFGSDPYNHNNFSKVTSHGGMT MMSENELELKTHRYEDMVPRAQPRNMV NEUTE1DRAFT_95878 MAATAVRPITGMLRRGLILDIGIALGAGFVMANAYWYGYHMPRT NARDNYYKKLEEERAARMGA NEUTE1DRAFT_148323 MQRAAVASVVGARMLNEIEEGSLEELLTSLRTNFTTLANSDFND HAATHEDTDTDHNSSQKLVIAPTTTSNKPEPRHFPIPFLNELIQRHFRATSTATLSIS GRHHELLYLLVATLIAPPHRKTVAILDFDGRFDPLRLLATTTPFCSTSTGTSTPSTHR LDPSDLDHVHILRPPPPRRATNAPVPPLHTYLVGMEEYMLYAPHKSREREWWGTIVIG GSTGNTTTASTTSSNNHPAAAQVAVTAGRQGWLRVDRAEVTTTGAGASWTFWNKSAEE ARAEREERDRAVEEGGWVASSAWGTFVFGSGSG NEUTE1DRAFT_131546 MSCSLAPQRPGMPARQISASSFAEEFDTARNRLTKLDFEQEHKP GHEHEDESPIASPSLSSSDSLTSEEPSPLGSEDGDDDATPFTPMLDPDSDNVADNFAF AFDIDGVLVRGGKPIPEATEAMKVLNGENEYGIKVPYIFLTNGGGKFESERCADLSRQ LDMTVSEGQFICGHTPMRELSSRYRDCPVLVVGGEGETCRLVAESYGFHDVITPGDIL KANASTAPFRRLTPAEHAASRDLLSSRRRRRRPEVRKLSDIVISAVFVFADSRDWASD LQIILDIAQSRGGRLETRSETFDEGPPIYFSHSDVVWSAAHEHVRLGMGALRKMVETV FEETTGGKKLVTHAFGKPQVGTFEFAERLLRQWRAEKHGLGLGTLHGRSQAPAVRTVY FVGDTPESDIKGTNAMDEKSGREGTEWYSILVKTGVYQEGTEPRYRPRKLVGNVLDAV HHGIRREMARKKTATEGRLLSLDEDVFKKVGEGRMPEIVERVGPFSEQ NEUTE1DRAFT_148325 MKGLSNLITQILGFVPTRQSSPQPQTQVQAQIQPPSYCPDYSSY AKTRHEPFSTGRHALPYQRPAPSCRTFNSSVIESFISNMSTIITDPDLYRLFENTYPN TLDTAIKWHGSAANNSAEELTFIITGDINAMWLRDSANQLASYLPFLAPSSSRDSLAS LYRGVINLQARYLLTSPFCNSFQPPSESGIPPATNSAASSDTVYPPYDPEKVFECKYE LDSLASFLQISAQYHAATNDTAFFGRFQWLEAVQTVLDTAKAMMTPTYGPEGQVLESP YTFTRMTTRTTETLANDGLGNPVARDIGLIRSAFRPSDDSTIFQFLIPSNMMFAKYAG DVASIVSSLSSLSGTQPGLKTPPANLSTSLSSLSTSLRQAISKHAIISLPTLNSTETT EKVYAYEIDGYGSFSLMDDANLPSLLSAPLFDYFSSSSSSSNSSSDPVYQRTRSHLLG TNTNPYMMHGPVINAVGGPHAGPGMAWPMASIVRIMTSSDDEEIYAVLKELVGSTDGL GLIHESVNSFDSRKWTREWFSWANGLFGQMVWDLVRRNKGRVLRRGYQ NEUTE1DRAFT_47892 MASHQDEGDTKGASPTPAPTLYILHQHTYIESTPAKRQMGEKNH EGRTWPFGHGGHGNQNPRLNPRNGASDGGWEGDNGGNQDHNGGNTGTTATYRPAPTAQ QTTNPTANGPNTTQRQQTNYPTQAPAPGQPTYAQGDNGGQRTQPTQPDRGQPAHTTIS DSTRSMTVVLGETTFATRYTDYDTLAIDTHAPTFSSGPLPTSGAGSYNNNNLNSASNY QQGGGANGTANYQSADGGLGGGTIAGIIVGVVLVLVISLVALFFHRKRALARRGNNSP YGKHHPEEASSSLLDNGLAATVGAGAAAVGARSGGGHRHGQAEDASSSAAAALRNTPA AQQQQSPSMQQTNNNSQYLVPASSSSPSRTAPYTAPRPAPPPPPSSSHSSNFSLSMIT PPPTHISSAFAPGAVSPISTVSPAVMGPPSPLLSDEEKEIGTLGHRRPSIESVSTFSV HSAMMTASQINWPMPPSVASTSSPSVPLTKTPSRPVPVPAPHYVDFEEQGRTVVRINR ESLTGGIGKAR NEUTE1DRAFT_86683 MPHASNMGKSPNDPRYPNGWHMNDRMPHHDSFEQLWETKWKDPC SKGIYPFMFGSLEDFQPIVEHIIAKGLKEPYNWDEYASCFFGTAEKLGQTAAVALQEG DTDKARDYYLRASAVYRIARFPAPRSPLQHQAWKKGKEMFYAGANLMEHPIHEVLIPH THALANSSEQKSFIPVNLLIPPSSSSLSSSSSSSSSSSSPSSSGYPLVIIMTGLDGYR TELAVWQRGFLDKGVATLVVEIPGTGDSPALASDPQSADRQWSSVLDYVAKEHVQEID STKIVVWGFSTGGYYALRAAHTHHDRLLGSISLGGGCHHMFDEAWLEKVNNLEYPFDL ADTLAYKFGYGSDGVERFKKEGQLKFSLLLDGTLMGKCCRCLVVNGEWDRIFPVEDLA LALKWGRPKEARIVREKWHMGEPESFVVILRWIHELLGLDWDYMHHLKLLGGKAK NEUTE1DRAFT_103327 MVSPAGNTSGTEAANSSKTLPPRPTATTTTTPKNSTALISVPQI NTKSGASIYGKPLMLTAYDKYVLGFSMTHLWRCPSKTILIPLFRQNFRAEKHLDVGVG TGFFVGDALAERLAKDEDLMPLERDQDSKLVQPKIEITLMDLNAVALEKAKGRVMGVV NKEARAAGGHGNVLGSGSGKQSGHGHGANVASTSQTVNVTTIQADMLDESLVLLPKGS KLSRISKQFKSVSMFNLLHCLPAAPEAQGGQEIKNRAFKLAAACLADDGVLVGCTILG RKYIANPSRPSSSGTGSGSGVVRKGVGRTSSKKPSILKRGMTWTVMKWYNATGVFGNW ADEREGFEKGLRENFEVVETHVEGCMLLFNAARPKRSEL NEUTE1DRAFT_47180 MNANNNNNHHHHNHHHHHHHHNRNHNRLRDRRRNRGRVANPAGV EDRASPLAAGHHVENRGLVDHENRAPAAPADIRHVEGWGQVDFGNRVLARPVPENDYD AATFNQSITAEGEADIIRPLITLAVRQMGPSCLFWVSAGPMQAADGPTWIADHHVKAH GDQTLARMTVALAVHKWGRGAQISLTARPPPPAPDVPPPPAPQPHYPAPPVAPDAAPH HGEYYYPPPQEEYYHPPPQEEYFPPPHHYPDPYAAPAPAPYQP NEUTE1DRAFT_46085 RVGALTTSLNTGSEASLDQALRWVENCLSNHPLCNKVVNENSQG KYPARFLDVGCRSSRTVKLTETTGMDFQKQRYMTLSHCWGDSVPARLLLDNYASRLKG FALDELPRTFQDAILLTQRLNVQFLWIDSLCIIQDSFDDWEAESAKMRFVYQNTYLNL AAAVSPNSSGGFFFPRHPLSFVPCAIKLAPNIILAQGQRSYNIRDTPILYTRGWVFQE QILPRRTLISGRQELYWDCSMSKTSEFFPDSVPWARSGSGVVNSPPMELFKRERLLDA QRLEAWASLVSDYSGRKLTKQSDKLVAISGLAEHLRNGWDGVTYLAGLWSYRLRGSLL WKCLDVKQSKGRNTDIAPSWSWASLSAKCELPRIWSYVKQEMDSLAEVLEAIVSPVTP THLFGQVLSGGMVRIRGPLLRASCPAINTTLQPEWRCDLGGMRALLPDLELSWDEQES HKSGIIYLAPLQVKFNKWPSWHLEGLFLRPALTSPLRKGKFERLGVFKMFQEIDLANQ DHLGLYDALFERLMFDTSSIDRLSLSLICGLEEERMSLLRSDPYLNGPLNTKLKDRYS PELEPEQYLNLAKFLDYLETAAQYNRESDNPDENLGQDEGNGFYVYEIV NEUTE1DRAFT_47219 KGKGKRETYNTRDSLVVTDPTTSLALTGLSMGERTGSRVFQWVW SYVLGRGPGLAYSPSCMQMGEVMFKGAGVCLFFFFFFFFFSITSSFKG NEUTE1DRAFT_46311 MPGTRRYPPERIRYATYIWPADWQPAETTSTSASTSITSSDHYN LQQQQQQQQICACCIPSSYYYVPPSPEAFQRHRFVLSRCEQLDPSLLHRHGYSQLISD ATSVVLWAIWTHLVYDAELVYMSVDYFCWLKKKTVPTEPFPFTSHPPTKTGPPYSDVV QYFLCRADGLQCLEGIFATSMNEFMNCSNRYHTRWKMQHAIQNIFNGGQVSYGGNCVC GLGLPLVASCACLEVPWPVMTCRTRDMEPVVMVRVEERTKWKKKKKVKESGCLVM NEUTE1DRAFT_124244 MDNQDGFHHGLEKRIIQEVFDKLLSPLCKAFPKAPGCPTPEEPV EAPPQSTTPTTTPTTTPAPAATSPPAVIAPPPAATKTQPASPPVVTQPPVVVAPPATT APAVVKPSSASITPQPTKGAEKGSGSNPGTKSGEGSGQGNGSGSGPGSNGADKGNAGN AGNDGSNSGKGATGPVGSDNDNSTTVPPPSMTSDTTAVQPTATSASFDGNAFFPGNVV LAAPMAVPSQSSESGEDTVGDGTSGDGDNWAQGSQPSATSYNSAIQHNGQAISTTLST AWIAAGTPTSIPSVESTIPGQASGSDDPSRGNPDLYPTPGSTHTPAGGGSTTGNSDSP TGDSPNTSSTGLVSGIAGGVITIVVLFFVLFLLLYKYRRNARVQNFLIKYTPLKVAAY TKRDKKRSSMGKGLLLEDEEPSSPTMTEKGKNVNYGTILPAPVTQPNPTASRAPTKAI PPPGLDTAHGLRSPTPNENRHSMFERVSTSMSDYFPQPPSPTHSHHSRGNSVESLGGV SIASSGIFSASMLPPSTACSNSTASWALPPSTSHSQAMQFQPLQPMPMPMRQSGLSTQ MSSMAPREGQAGPSNWRDRPDSWGF NEUTE1DRAFT_103331 MDCTLASVAEQLSPVTGIPRFGKDRRNGPCQMELPLGTDNSGAT LAMRHPELDDDDATLDPSITSKFLIIATAAAGQTLRGLKRRILVGETVQNRKPGVAAG NEUTE1DRAFT_46922 MPSLITTRILVASCLWSSLTLALALPNRSPQTDILSTRSKPPSL QSSWNIMGALFPCVLIIIAVYFLAERCYVRVNRGFDQVEAPQWTSANLGEDGPDYQVM WLSNFLGVMVTRDREIVGMARRQLPEEQSSSGTSVTSTSSASSNAVPVPPTVYAW NEUTE1DRAFT_124245 MDEKGGGLPPYSAMAPPPPAWKRKKMRRSRGLKFLAVACMGFIA YAQWRQLPSRSEPVNGNVTVHGLSVQRLHDDLTTCSKLRQKPQDPIGEGREKNARYID GHAPTLIKNATVWIGEPTKGTSAADARKGKGYSWIKADVYLEYGLIKKVESDIAASSL PKGTHVFDAKGRPLTAGIIDMHSHAGVDSLPSLDGNEDTNELSADITPFVRSIDGIQP NDHQIQVIKSGGVTTSLILPGSGNNMGGEAYAIKLAVGKPDGRNETSAADMLADPERN WRFMKMACGENAKRVYGRVGEQGPTSRMGESWEFRHAFEQASKLVRDQDDWCDAATAV GVQNMKGYLPQELKWESLGALLRGQIHLNTHCYTIPDLEAFVDHTNEFKFKVRAFHHA HQTYLVPEILKRAYGGDPPASAIFADNMYYKAESYVGSEFAGKYLWDNDLTPIYVSDN PVLNAQHVLFEAAKGHKYGLPYHAALASVTTAPAERLGFGNRLGKIKPGFDADVVVWD SDPLEVGATPVQVWIDGTAQYEHPIVLNKTVPKLIISEESTSTIVEEPVDMNSVVFTG ITNVLGDVEIQGGSKDAQSYSAVIRNGKVTCVGVCTEEVRMASTSKIPVIELKDGHFT GSFTAFGSQIGLNAIDAEDSTDNGDNVNVFTRAEDGLTLDTKKLHAAYKYGVTKAITA PKFNGGQTHHGTSVGFLTGATNPLANGTIFASDVAVHYSLNPDVKEAEGTPSLSAAVG ALRRKLLQAATKLKKNETIEPADLYSESTFLQQVVNGSLPLVLTIHNADIIAAALRVK KDVESLTSSKIHLAIFGGAESHLVAEELAAAQVGVVLAPLQSFATSWDQRRALSGAPL TNGTAIDVLLDAGVTTAIGLEEDWLVRDLGLLAGIAYANGGGKLSKKSALELVSGNVF KILGAKEPKVEEGHFVVFEGSPLRIQSRVKAVSGGLGVVSVF NEUTE1DRAFT_131552 MSIYTDPPALRPFSDDKPILLVSWWLTLFCTVVIILRVVGRYVR MEKLFLEDKIAALALIPMYLRVACVHVLLLYGTNNVELVNKEGLHLSEQAIARRVVGS KLVLATRFFYFTTLWTLKSITLLFFNRLVGTAGRTKYNLTLRFLQITIGCTFVACFIS NLSECFPVTHYWQVTPDPGGQCRQSYAHLLVVAASSVLTDLLLVVFPIPILIQSRIKL KRKILLVSLFCLGLCTVCITLYRVPNILSERGYQGTRTMWASTEILVATFVNNAIALG TFVRDTGPKKKKFKPQHQHELQQHGYGNFSRAETAYNAYGSSSRDRKTVSSMKPGGFG TTTTATMNSFAERTMNDKRGSISYPQYGVSAEAGSDNKAGIVIRTDTTAGSGSIASSG HSNDDEHVHGGDLSLSLEARDSVASSTLPPPHENKPRERDSQESLIPKPGSGGGGGST EYGGGGGHIGQAMSYFGTVMKTTEISVTVTQANEADLRAQHDGGRVPQSPLYENHHHH HHEQIREAPRVMTAGERGVARGTTKLLKSLPGKEHEEGEEV NEUTE1DRAFT_86690 MAGFDQDIELSAYSHSHGLGISGNGRSGRQHSIAEASSFTLGGA SPSPRTKSFADVSPGGSHGRSKSIAAASDGAGGGFHGTMSRFVDSFRRGDGTINKNLD FDDDNNTSSHGYSSHSISRGHGGTRYYDLRPGTGKINTPSSLLARELKGRHLQMIAIG GSIGTGLFVASGKTLSDGGPASVLIAYLIIGVMLFCTIQALGELAVIFPVAGSFSAFS TRFLDPSWGFAMGWNYALQWIGVLPLEVLAGAMTIGYWNKEINKAIFVTIFLVIIFII NLFGAKGYGEAEFIFAIIKVTAVVGFILLGIVINIGGTPTEGYIGGKYWEDPGAFHNG FKGLCSVFVTAAFAFAGTELVGLAAAETANPRKSLPTAIKQVFWRISLFYIVSMTLVG LLVPYNEPRLLNAQNIADASASPFVIAIESAGATVLPSVMNGVILISVISVGNSAVYG SSRTLAALAELGQAPAILAYVDRRGRPIVAILVTMAVGLLAYLGDVPSQKNIFDWLLA ISGLSSILTWASTCLAHIRLRKAWAYNHRSVQDIAFKAQGGVIGSWIGFILNVLVLVT QIWVSIDPLHQGDTPLTTKERVRSFFVSCLAIPVVLICTIVHKWYYKTKWVRIEDMDV DTGRRDFGRLGIIKAQEKEERMGWPRWKRVYRFMC NEUTE1DRAFT_124248 MSSPTNKAQSPEPEARSPKGKERSPTPGPADESSEPSEPVGLLA GSHWAEQEHPVDDDADSALGSDVASSTASLSSSILKYRTIQGRTYHSDAVTDQEYWGP NDEIANEMLDIFHHFMTLFLDGKLYTAPLKDNIQNALDVCTGTGLWAIDFADEHPNCN VYGTDISPIQPNWVPPNLRFDIEDVTKPWTYQENFFDYVHIRWLTAVVKDWPALYREV YKCMKPGGWLEHIDAEVNLVCLDGTMPPDTAMYQWGQIWSEIGRKTGLVVNMVDSGCM DAGIREAGFTNIEVEDLLAPVSPWPADKRQKEIGLFNCAFLTQDIEGFLTYFCPTVLG WTEKETLIYAAILRREYKECKVHANFKWRLVRAQKPLDG NEUTE1DRAFT_117972 MSSALKRKAQSPVPEAKSPTVQSPKGQAKSPTPGPASTTPGPAS PTPGPVSPPPAQSDEPTELLPGAHWGELDVPDDNDSTLGDSDVESSTASISSTILQYR TIKGRTYHNDAVSDNEYWGPNDAKAMEVMDIYHHAMTLILDEKLYTAPLSKDIKNALD VGTGTGLWAIDFADEFPNCTVIGTDISPIQPSWVPPNLQFNIDDATREWTYQPDFFDY IHIRWLCGTIKDWASLYKEAYKCLKPGGWIEHMDGEVNAVCLDGTMPKESATYQWGQL WTEIQRKTGVIFNMINSGCMEDGIKEAGFTNIQIEDFLAPTSPWPTDEKQRQIGLYQS VALMTDVEGFLTYFFGQVMGWADNEMANFAASLRREYKECKIHANIKWRVVRAQKPLD A NEUTE1DRAFT_131556 MGELVNFCDVIQSQRDIHIKPPPSPKGKSVGMRVGTLSPVLFHS LEHETDVVSQLKQNHGNDTPDNCSGSHDVPTSSAPAKSTPSTLWISPKQTNLKSYYHN WDNRLMPSVVTEKDGRFAETLFHNPSTTCCGNNFNSEVQMVKVSLDGEDNWLYTTREF PDSPLRHGNRFLAWIHRHLDVDVSQLLRAKSITVRALNASKTTQPEKPTSVVWTDRHC DSPDSRQAHQQTASLPSYKRIYLQFPEGKADPGLGCLFGNTLPLNHFYQPKTVGFMVT NPREDLSQAPEASCTHRRPSPSRRAHISPKSAAMEEVTNCSLRDGQSGTFDLTVKTYF PSPSQPGGTLSHILDCLPLGSEVEIRGPTGDITYHGNGHSSV NEUTE1DRAFT_140174 MWSGPIGARINNKNPYLPHNTPAYHSSERDAPRTCREGLSATQT ESQSGDVASEALNITAKPLANNLTSTQHVSLHRHVFDK NEUTE1DRAFT_86697 MGPPRKEETSQKLKRLKEFFRSGGKRQQPQPPRRSDEDKNMLSP TRTLSFNSYLEKVEEENKRRLQAAVDIRQAINQNDYYRVIELYQQHAALRPKDKRGRT PLHAAAELGRDNILRFLLDQKGVSLDATDIHGRTALHFATINNHADCVSYLLERGANA QLVDGNGHLPSFYASTDTKAKFDNPPIVHSSDQYAATNNWRNRAAFDTGPTPPNGARE EVCRYFQGSLWVPDLSTRFRILPVWELVYEPGQYEDLCRRFSIRQQSTVDKKKWIHLP IASRELLLDLTKRIYTASGRDLQSYQRVEKLVIDMFKQVDVTGPEGKVHFKFKSLKHS GQPDSDEARSKSGSIYAMALPVVDIDKKDYVSVARAAQEQATKKAKPRQETKLYLGDR AKKHFGYMLKAVGFMGQPLPRCLDQSYHDDLDSDKLEFLNNDQVIVRYIRYLKARCSR AAKEMGYSAGLNSADLGEKTRAHAQYEDIEPAPQNEPDQRQQPTSIRVGSSENDRPPA QDNTTSHIPREQGPQPLEQTSFEAPRPPHSPRRTYTGASTTSQPRQFSLQRSDTDTSM SPEDAMRLFESLHVEASDQKKLAQSGNPSMLSGLGPANATHQSPGQTEHQAGPATPKP ATPGTHGTFVKESADTGDQGNANNGGPGHVFASEPISLKDSDDFLTVPYFWLFKLDAD TIVTLYPERWDMGNEQQLQRHILDSVSDDRHIHNKTHDNSGEDLDIDLVTKAILKACM SFEAKALVPSINPDAIFDEDDECHGGELRRVMLPYTEAFSASIAQLNETAILIDIDDT IGEIGMIKRILSNQIKIFDRFQNEVRGKNPNKVAKVHEGEILARFDMLEDEANRVRSM VTTLLDLRQREATLEDALSMGEQSTMLFVFTAVTVLFTNPRHGGEGRGRVYLKDGAVE NRQYEEPRLVSIKDQENKPRTETPFYTVLLDKTMQVQIPICNLSEA NEUTE1DRAFT_46844 MGQNLSLSEPFADTSGVVLSPQDRHADIIVGVTWACSVYGMGII FCAVKLLERWAGEHGERGVSFAGVVGAFLCGSAWPLVLVYLMVTEKEKETRRVQGYGV GVPVKV NEUTE1DRAFT_103340 MSGGGKLKSARASLKPPAALQMSRLPWNDLTSPVRVTTVLKPLV SVWSTDFAKAEFFLDEQPPPRFDGMTKSLMLFTM NEUTE1DRAFT_68020 MTSSADSFLSFLARLRLFRRKMSTQKQLRLMLAVVVFLLFIFIL TPRATPDNSTLLSPTHPKSSSSSSSSIPPHHKAHRRPPPKKGRTIKFQPSSFNWTAAK QFHPVEITYTLPTGTPKPQNLVQHDFSDYVHDAKTKKRQKAVRDAFVRSWDSYKERAW LRDELAPVTGGGKTTFGGWAATVVDALDTLWIMELWDDFYLAGNAAAQLDWQNTTETA ANMFETTIRYLGGLLGAYDLSGEKALLDKAQELGDMLYMGFDTPNRMPGFWFNFEEAK RGAQVAGTNDPSASPCSLSLEFTRLSQLTGDQKYYDAITRISNFLNRTQTESKLPGMW PRNINFREERVDVESHFTIGALADSLYEYMPKMHALLGGLSPLYEKMHRGAMDAVTQH LLFRPMLPAEEAQVHDVLFVGDAHVHTDRIDRLPEGQHLTCFAGGMFGLGGKLFDVKE HVKIGERLARGCGWAYDAFPTGIMPEIFTMVSCESWDAPCKWDEEKWKAHGNKQLKKG FAIARDTRYILRPEAIESIFLLYRMTGKEDLRELAWQMFESIVKATETELAYSAISDV TVKGPTQKMDSMESFWLAETLKYFYLVFSPPDLVDLDEYVFNTEAHPFLRPTPSKPAT S NEUTE1DRAFT_148336 MSRTSRTLTNTDLAFLLCTTAATAPQTNGNFSRRSFRLSFETDY GLMLSLEPDNSITITLSKPQDHEYSDPFNNTTPIKSQQFRPPVSVPTHSHGRSIDGVL LSSPVSLNFMGTETGMGGATITAARPRGPPPSTHIPPPPPSTVTPTLTRTSSQRHIQT QSQSSAIPKKIQYYIRPSLDAKSSTHMFYTSSSSSSSSSSSSSSSSSSSSSSSSSSSS SSSSSSSSSSSSSSSSSSTTTQPHHPCHSSDTTTTTTTTTTTSNPKPRQLHRVKESHL QSLYHHPPSSSASSSSSSSAPASASSYTNSTNSWFDSYLDWTDRLEDACAASAAQQPA TSSTFSKTQTRESQQGPFTDEGEKSLWLVEGLLLASWLSLQEGVEAVEYVPFPSSEVY RLEQHGNNAGVAMRRLLERIGVGE NEUTE1DRAFT_86701 MLEGLVAGLLNRFLGMYVKNFDPTQLKVGIWSGDVKLRNLELRR EALDQLKLPINVVEGHLGELTLTIPWSNLRGAPVKVYIQDVYLLASPKEEAEYNEEEE ERRKQRIKMEKLDSAELLKERNQEGLSPEEQKRSQSFAQSLVTKIVDNLQVTVKNIHV RYEDAISAPGHPFALGLTLEEFSAVSTDGEWHPTFIHDSTKTTHKLATLDALAVYWDT DAILLGPGREAIPPGHEPKPHDVILAAFGSMIAKTNGDLPENHQFILKPVSGQAKLEL DKSGSATVPKFKANLLFDEIGVVLDDYQYRDALMMVDLFHYFIRHQEYKKYQPKGVRP KEDPRAWLQFAVNAVRSKIHERNRRWSWDFFRERRDDRKRYIELFKKRKQDQQLSPEE NDDLTKLEWKLDYEDLRFWRSLARNQLKRENAEALRNRPPPSAQPQQQGWLAWAFGSK PSQQQQQEKQLEDENTQITEEQRKELYEVIDWDEKTALAAEVDVPRDTVEMQLEASLS TGSFTLKQNPHGDSRDLISLHFDVFKAKGLKRPDSFLADVSLGGLRVNDGTTPNSLFK EIVRVKDAPDTHLAPRLSIAELEQSSDEAFFQFQVEQNPLDGQGDIAVTAKLKPLEIV WNPNVVVGVVDFFRPPERHMDSINALMETAGATVEGLRAQTRAGLEFALEEHKTLNAK LDLQAPLIIIPESITSKQSTCLILDAGHISVNSELVDKDTMKEVQSKQRQSFTDEDLK RLESLMYDRFLVKLSSTQVLIGPSIEETKQQLVDKDDKMLHIVDKITVDFVVETSILP KAPNLTKLRVSGHLPVLHASVSDAKYKSLMRIIDVAIPKLGGADTPSQPTGQPGLRPR LASNASTASHRLLEHRPSAQLLPFSTSHQQAIILDDDDHDEDEDAFEDAKDGSATDQL KLQQRNFEFKFAVDELKGSLYRSDPDGKAPDQLLVDLVAQRFDLQFYIRPFDMSAEVS LGSVTVDDFVDNPPAEFKAIVSSGDVEESGEVQDLVRVKFVKVRKDSPEFMPVYDGVE TNLDVAISTINLVVTRKTLLTLLDFVLVTFTNTANSSPPPPNAITDDGSETNIEVVPP PPAQQTSDSAIRVKVDLKSIRLILNNDGIRLATLSFNHADVGVFILGKTMRIAARLGD LNLVDDVNLGVSEDSSIRQLVAIQGEELADFRYETFDSGRIESYPGYDSSIYLRAGSV KINFLEEPFRKIVEFLVKFGKMQAIYNAARQAAANQANQFQQSPSKVKFDVVVKTPIV VFPRHVRPDRPKRDLITAYLGEIYAQNKFVPLDDSENADIAMKLTAGIRNIRLTSNFH YIDGQEEELELIDKVDLGFQITYAEHKEGVKRPDLEIEGNMSDFNLRLTQYQLNSLLE ISRSVPAAFSGDAEQNRLDAERDVDDRTLSKARTMSGFDDNGGNEQRIDMRPELGPKA ETWTKLDLIFTVNTIGLELINAPEDRPVGDLDAASLSKFSLDSSRLKTRMDSNGSLEA EFVIQSFTIYDTRQRETNKFRRIMTSGNKDVQQLMASVTMSGGKERNIIAMVAVDSPR VIFALDYLFAIQNWVTVGLKVPEPEVPEKSPLDTPDDMSDADSVAVSYTGRRSESIDQ WREPSELQTELPKQESKTRLAYRVNVVDAQIILIANPLSSSSEAIVLGTKQVLLSQQH ALTFQVSECGMFLCRMDRFDDARLRIIDDFSIQMSMDTTKPSTTNIHVDIEPLILRVS LRDILLVMQIVSKASELSGNTPTEPKGTTSDQKAKQLKSSDLKQRTASGKGTSTVANK SKRTGTKTGATDPRSQKAGQQPHDITKAPPRYEQLTAAIEGIRLVLIGDVHELPILDM SIKSFTTSAENWSSNLKAEAAIEMYTNIFNFAKSAWEPLIEPWQVGFGIARDQSTGLM SVDVSSKKTFDVTLSTASIALLSKSMAFLAQDEDVLGKPRGVEAPYRIRNYTGFNIIM HAKREGTEETTPLRLEDGQEVPWSFENWEKVRESLSGESHGTSNVAIQLEGSGFDTVK NIRFTREGEFLYALKPKTDEVLHKLMVDVRLGTDNVKYVTLRSPLLVENETDIPVELG VYDAQEGHLLKIEKIAPGESRPAPVGAVYTKSLLVRPDPGFGYGWSNDTLWWRDLLKR PTKTLMCKGEHGEPFYFQLSARYDKANPATRTYPYMRLKLSAPVTIENLLPYDFKYRI YDKNTKKDWSNFLRKGGVSPVHVVELSHLLLLSIDMQDTVFKPSEFAIINSGTSEDFR KETNLVCKDDSGLALNLKLHYFRVPDSGGAFKVTVYCPYVILNKTGLDVSIRSKGFMQ HAKAAAGQQLVDVGESQRKAQPIMFSFGSDDHRNRALLKVADSDWSRPQSFDAIGSTT EVVLNSPSRNSEIHIGVTVDTGHGKYKMVKTVTLAPRYVIQNRLGEDINIREPSSSTV LELKTGALRPLHFLQKGAVKQLCLCYPGVDNQWTAPFNISDLGTTHVKIARAGQRQRL VRVETLMEESTIFLNLTMETKNWPFSMRNESDTEFTFYQANPNDDEDGIEDHSGWRPV RYRLPPRSIMPYAWDFPAAKNKEICISANGKNRHVKLTEIGNLVPMKFVDANGQTKVI DINVIADGPTQTLILSNFKQSKSLYKQKSNASSTDRSTFEAKELDTGVTFRAQLRLSG IGISLINSQLKELAYITFRDVQLRYSDSQLYQTVSLAVKWIQIDNQLYGGIFPIILYP SVVPKRAQEIEAHPSLHAMVTRVKDDSYGVEYIKYATILLQEMTVELDEDFVYAVLEF SKVPGASWSSSDEEDKLCDDSIDVPQPKQLDSGRDIYFEVLNIQPMQLDLSFVRTERV NVEDKTSSKNPIMFFFNVMTMAIGNINDAPVRFNALMLENMRVSVPVLIQRISNHYSQ EALYQVHHILGSADFLGNPVGLFNNISSGFADIFYEPYQGLIMSDRPEDFGIGLARGA GSFFKKSVFGFSDSFSKVTGSFAKGLAAATMDKQFQDRRRITRARNHPKHALFGVTAG ANSLFTSVASGVGGLARKPLEGAEQEGALGFFKGIGKGVVGLATKPAIGVLDFASNVS EGVRNTTTVFDGTELDRVRLPRYIPPDGIIRPYSQREALGQSWLKQIDNGKYFDEQYI AHLELPTEDKVVMVTFARILLIRSRKLQTEWDVPLKDVQTIAKERTGLSLTLRGGTNG PFIPIGEESGRTFLYRQVAIAVEEFNRRFRGLE NEUTE1DRAFT_131561 MMLRTSRPLMGTAQRLPLLVRSTHTKSFNSPIAPLVARNAQLQK SAGSPLVFRSTFSSKPPLQPNHIDTQHEKELAQQKLKTDPEHVSVDSSVRPFVEQDQP TAAKAKDPTESLKDDLGLVKDTLALKTVPRETYALGFAGTIPYLATSLSTVYLSWNLH QRYPSESDFLNTILFRHETAKDLLDIIEPIQVGYGAVLISFLGAIHWGLEYAEKTPSL ARTRFRYGMGLLAPIIAWPTTFMPIQWALTTQFLAFTGLYYADSRATVKGWAPSWYAT YRFVLTGIVGIALFISILGRIKVGETHARLSTEELKDLVSYKHESDKPYHDWEKEEAE ERKRIKKEKQEEEKRKKEEEAKKKQEEKVNKQKTKGSSDMKEKSENKGDVDSKKEGDK NVNPDSKKAPADKGDKSEDDKNKEK NEUTE1DRAFT_131562 MARGIDDKFQPVAGEGNAPCKVHWLVGPPSQERGHVVGTRQKIK YFGVIEVRPKPDLPGFQNVVCMAVIWKIGPNGESVRRSTEEFSYDNWNPDFNFNGIPA DINPPDQSFCRYILLRHVHFPEAGTYRLEMTVRAICVLTEPGQPPQINNVPIDEVTKI HIEVKDGVTWNEQRTHPEHVKVLALLEWYPVLGCARYMDKETGEIKDLQPMYCGNPRQ TGDAQSIYAKSEAGSSEAGSLATVIHNEGGLQVTGGGSGSQAEEDVD NEUTE1DRAFT_68030 MEISASPYSPKRVDFPGSKLCRICSSIPASFWSDESRNIGVKLQ PLESIRLEAQRGCQLCAILLMGLDRDPNFQSGNHKTLELKRNAFYAMPEDMDVDRSSL LTLREDTLRGQDHGYRMKNPRDFGFSLVPSPWSALQPVKMGEHVDNIQLIQVWLKNCR DNHTQCSSNIECFLPTRILDLQAFSDSEDIRLVTSIADDLFFDGKPPKYVTLSHCWGP PSKQPLTTTKATLSGRMTRIPFEKLPQTFQDAVKICRSLRQRFLWIDSLCIIQDDEGD WAKEAALMASVYSYSYFTLAALDSKDSSGGCKMVADIQSSYSNRFVDIDFYGPEEGET KFPRQRLRVFEVPFFDDGSIEVGHLNSRAWTLQERELSRRVISFASQGLLWECNELRA TSQRPWENIERSTTRSIYRVPLGDTKGLFKSKATWDDIVEDYSARLLTKQSDRLVALS GIAQAAQEFYQGATYVGGIWSSLIPEGLLWKTVPQRSKATKLAHQNDTESSYIAPSWS WASVTSPVDFSLVRASVKVPPDLNFSFGRTIAQRLTVEQMVGIPKYQDPYGALKYGAL VLSGALLFNVDISDRVMGPHADLSVGLIKDGVRVGEVSLDAADMVSSLSK NEUTE1DRAFT_117976 MSSFFKKDKSPTPGPASPARKDKSPTPGPASPTGKDKSPTPIPT SPVHNEPSEPVALMAGSHWGQQELVDEDNDSTLGVDTESSTASIASSILHYRNVLGRT YHSDSVTDGEYWGPNDDKANELLDIMHAAMVMLFDGKLYTSPLNDKEIKNAIDIGTGT GVWAIDFADDHPNCNVIGTDISPIQPSWVPPNASFEINDASREWTYHENHFDFIHIRW LTGVIRDWDYLYQEAYRCCKPGGWIEHVDTDADFLCYDETMPHDSAMAQWGPIWREVQ RKTGLNVMVVSSGAMENGMRKAGFTNITTEDHYAPLAPWSDDPKLKQVGLYQSAAMTH DIEGFLVYFMPNYLGWTPKEIANFAAIIRKEFREAKIHSVVKWHVVRAQKPLDA NEUTE1DRAFT_131564 MASPAKKARTKSPTPAPETSAAARESTPAPTLIPAASRESTPAP ATPAVARESTPVPATPAAARESTPVPATPATAKERTPAPATPAVARGRTPAPATPAAE TNAPLPGSHWAEQGLPEEEEDDADSTLGSDVESSTASIGSSILRYRTMNGRTYHSDSI TDSEYWGPNDEKQNELLDIFHHAMTILLDGRLYDAPLPKKMENAIDLGTGTGLWAIDF ADAFPNCNVIGTDISPIQPSWVPPNIRFEIDDYNKEWTYKPDFFDFIHVRWLSGTVKD WLAFYKDAYRCCKPGGYIEHMDTHGTIHSDDGSIDEKVHALGQWGPIWHEAGKRIGQV LIPAAMMESAMKEAGFVNIVVKDYKVPISPWPKDKKMNDVGLYFYAVMNQDLDGVLQF MFGKVMGWTTEEIGIYIRHLKKEMKDMSIHGYFVFRKVYGQKPVDA NEUTE1DRAFT_86715 MAEPEIVPVTEWPDWHANPKGGDPFTQNLNAPYDKGNLCWMLVC TALCWQITPAIGFLYAGMHRRKAALTMVLQSLFCACACGIQFWVYGYSLYQARTTNPI LGDLSLAVFRNVLAQPSMANSDIPDILYAAFGFTFVSCTAMILAGAMLERGRLLPSML FLLCWTTFVYYFIAYWEWNPSGWLYKLGVYDFAGSGPVHIASGFGALAWSLMLGPRVA DSDVVDRKKLVHHKPHSPLLMCLGTVFIWFGWFAFNGGSAPNLSLRSIYAIVNTNLAG CGGGVGWVLIDYLYKRKFSLVGFCSGIIAGLVGITPAAGYVPVYVASLIGFLTSATCY YAAKYKHLLSVDDGLDIFAIHGVGGYVGDLLTGIFADNFVPALDGYSGSSYAGGWWNR NFRQLGLQFAGATTAAAWSFVVSCILLFIINKIPGLHLRASEDSEIRGLDIKYLEDVD EEGFYMNECILHGRTPPRCSGSLKAPTSVTEPVGVEGGEKRD NEUTE1DRAFT_46735 MSTAANYLRARAVPISIAAGLGGIFWWQTRGGKTQPRGVSEKHS ATDTGNRDISETLQKMGGTGGPSARKEPLAEDPKDTRVISHSPGAYTKRTPAKTGTEP EGEQTTGAGRRDTKDYGGNKV NEUTE1DRAFT_112424 MPIDLLCQHQARISAAAGGEIKVRGKPVTTQYFSSSPSLQDCHE KATHLEERCANLQQNYADLAAQQKRMQWALTVISALPIEFVDKQQQLPVKAAIANYIG DEVSIIGLIIRWGFRNLNLDRDNTIKVFEGQTEVGEVASADLREDKLFAVAGPGAGVF KGWELYCDSSALNTILSQITKFGPLKEQEFETLPTITQVSALFQKENDARRAVEVLNQ SSRSSYADAYRKGTGCAVAWLAVKGARLKVLFVRPMLSVTGEGCLGRCGLGPGWNYHD RMGFREEERFPVNKDESTWDRQSEVASVSWKPVKPPLDRHTRNIGELLYFLVDERSGS SREGSMGAPIMDAGCLQGGEHGFWL NEUTE1DRAFT_86719 MATSTTSGGGAVLVSTAPLTPATTRITRSSRESISGLDKGTGGV VSGLSVNGYHNLGYSQSPGESDFILSSSVASGSGGSGSGNGNGNGSLSAALLQATGPA AVQVHHSSHHLQRPRSIQSKLSKHRSPLTATLAKLKNAASLSNNTIGRPRSSGSPAIH DHHASNSRSPAAGDDMEPPVLSFYGTEPVPLPSRFAQIKRSLVAGHEKELEASWARLI TALRDEVEDIAQRGASITPSIDFGDIANPSKKADFARDLKRYGLGVIRGVVPPADAQK AIDETVKYLETKHDFKDPIPQDPTCFDFFWTPAQVRTRAHPKVLQAQRFAMSLWDNDA DDRMVTRFPISYADRLRIHGANIGGVGPDAAEKKASEDPAAAEAKRAAMELLDDFASS TVIAQVDNGSLERWESDGYGRGGTYDAVFKGEWEKYDPWDPTYRVTATPDLYNGYGAC SIFRMYQGIVALSTIGPGMVRLLPSPKLATAYFLLRPFFSPKSPPPERREGPEWDAFL DASNWPLDTEQSTIIHGAVPGHAQRLTELWHPHLHLRRTLTTLPTLQTGDYIVWHPDL AYHITSNPNIMASRAPTPPPDNDNNTPSAPNKPVSILVYVPAAPLTQTNALYLARQRK TFQRGHPGPDFDSTGSGLGSEASHAGRPGETEIAEVGGPAGLQAMGLAPFDIAPTPTS NSSNDGEVDDEDVEMEGTTASIVAAKSSTAEIIGGGVSSSTPAVSRAEAEVARMANII LFPDRYDFYMGTTGRRSSAIKRKRSLDGLKDEEEKEKGKGKRKEKSPASVSKVTVPVV QVKQEQEKRPDVAHTKRTTSSSSRQGQEKEKERKGSSA NEUTE1DRAFT_68043 MLQELLSLAQGPYALPILAASLVTYVLVSTIIAYRRLSHFPGPF FASFSPLFMLKVLFSGHHGDGYSRLNQQYQSPLVRIGSTDLITDDPAVIRHMNGARSA WGRSNWYRAMTLDPRGGSLFDEPDTKTHDLFKARLSFGYSGRENPGLESDIDEVVGTL IQYIKSRYISDDERGVLKRMDLAKVTQFFTLDVITRIAYGKEFGWLKTDSDQFEWTST VRKAVPPNGLMAELPLLQKIFLSKWFLQWFGPKHSDANGMGRVMGMAREMVAKRFGEK AEDRKDMLGSFVRHGIEQQACEVEVLFQILAGSDTTAVAVRSTLFHLATSKKAYVRLL EEIDRAVREGKISSPIRAEEAKHLEYLQACVYEGLRMQAPFSGLCMKSPPKGGDFING IFIPEGTRVGHNFGGLIRRRDVFGDDVDVFRPERWLNAEPAKRLEMQQTTEMVFGYGR WACLGKPVALMELSKVFFEFFRRFDLQLVEPKSPWHEFNVNMCFQSDLWVKITERFPE EEKV NEUTE1DRAFT_86724 MSLPTLQDVLTTLVSLLKTATPLLRRVTSLLLKATTSLLFVIVV VILAIAVYRLYLHPLASVPGPRLAALSNIWHAYHARNGRMYLLGKSLHKRYGPVVRVG PNELWFDSKEAFKTIYSRFLLPFISTLLTKPTLSLKFSPFKFPFPSLQLQQHHPDTLD LLSERHLPRYRLQRRLIGPLYQLSSLKKFEPQIDAVLDRAIAQLRTLQGQEVDLKEWM HIIAVECLGAVVLSWSPGYIRDKSDGGTSTQGYLGWKRKSVFGLFPGITILSLMEGGP FGMGAKVGKGVARWWSNTWGVTFATPKGFKPFFTAVYQKVSRRVSMAALLWMSEDVKV GKKEEDKGKQDLLEDLIRLHQSKPEQFTETYLRRMAMTNFGAGHETLCSALTACMAMI GTHAAVQARVAEEVGSHLRGGGTVPFDTTARQLPYTLAAIKEAQRLHPVIAMSLSRTV PRGQGGGVMLHGHWVPEGTTVGCNPVALHRNPDIFGEDADVYNPSRWLSSAASKRLRE ILHLNLTYGGGARTCPGRHLAELIVWKVIPRLVAEFRVEVTHMPDEENMERYFMSMLT GVKVRVLERDGDYLGSSADG NEUTE1DRAFT_86727 MAQEYKLKGITSLNLQPGEKQEVEVEGLDAKVLLLNAAGKTQAI GPRCTHYGAPLAKGVLGHNGKLTCPWHGACFNTTTGDIENAPALDALPVFKATERDGA VYITGDAETIKAGHRKPKIKCKVAGAPLSDRVVVVGGGSGALGAVEGLRELGFSGPIT VVTNEGYLPIDRPKLSKTLMTDLNKLQWRDAEWFKTGDIDFVQDEVVGVDFGGKTVKT KSGQQLPYSRLVLATGGTPKLLPLQGFQVLGNIFTLRNVHDAKNIKEAIGEKKGKKIV IIGSSFIGMEIAACTSDGNDVAIIGMEKEPLERVLGERVGAIVRKNIESKGVKFYMSA GVDKAEPSAADPSKVGSVHLKDGTKLDADMVILGVGVSPATEYLKENSVVRLEDDGSL KVDESFSVVGLKDVYAIGDIASYPYHGPGGDGKYVRIEHYNVAQNAGRTVANHIVHPN LKPEFFTPVFWSALGAQLRYCGNSNHGWDDLVLQGEPDQGKWVAYYTKGETVVAMASM GKDPAMAQCAQMMQLNKMPTKSQLKDGLDILSLGPPQ NEUTE1DRAFT_47361 MSTIVEKTSSGIIYKASQQRDFPQLDLLSLLFDSTACGAKEDTV VHADAVDPSKAVSKAQLRHLVKRLAYTLRQRYGIGQDGPEKDVVLCISSGHFLLPCLF YSTIAAGAIFSASSPASTATELAGQIKQIGAKLVLCNEDTKEVAAAAAKLANIPGSRV LVLGSQPNLELTNLEQSTPIPISTETLEWQRITDTKALENSIICILFSSGTTGLPKMC RLSHTNMVSQACLVLDPAREYEKARGRDMSADYRTIAHLPAAHIAGVQGYFVNSFYVG GTLYWMPRFNLVKFLEYSKKYQITTLVSVPPIYLAIAKSPLVTDQFDSVEWAVAGAAP MGKELQLAARKKLGKGKAHLTQTWGLSETTGAMTTMPRGIDDETGSVSMLVMNGRARI VDDDGKDVEPGQAGELWVKGPNVTKGYYMNDAANKEAFVDGWFCTGDIGLFKDGKFYI VDRKKELIKYKGLQVAPAELEALLVSHAKIADAAVIGVDGEETEVPRAYVVAGDATLT AEEIVDWVASKVANHKKLRGGVVFIDAIPKSPSGKILRKELRQLAKRIDKGSKL NEUTE1DRAFT_86729 MGIQHVLIAALAAVTPVIVQGTQIFSNHGTLSGWDGQQTENKGK ISEVTNTVYEGGTALKFEQTYDSSYSGRYHAEVRTLNGYARGETRFYGFMFRLQGDWQ SSPAQSYNLAQFIGNFGSSSCDEWSPTTMVWVNGNRLMTRVKSGTLCSPLPTPFDTGI NVSAGTWHKIVMQVSWRSDSSGFFKLWYDGTKVVEHYDIKTTLDTDVRFQFRVGLYAN AWYDSGYSGSQPFRQVWFDEIAIGDTFADADPDQW NEUTE1DRAFT_86732 MGGAGGDFGGSDPVISRLAKEDPIPWYKKPNLRFLYFMLFPTCM GIELTSGFDSQMINALQILESWIQYFDNPQGALKGIISAAYSLGAILSLPLVPIINDR FGRRWSIALGSIVMIVGAIIQGFSQHVGMYIVARMILGFGIPTCIVSGSSLIGELAYP KERPVLTSLFNVSYFVGQIVAAAIVFGTNSIASNWGWRIPSLLQICPSLLQLTFVFFI PESPRWLITKDRSQEAHDILKKYHGEMERGEEFVAAEFAQMQAVIRLEYETVSKSSWM DLLRTPGMRKRLLISSMLGLFTQWSGNTLISYFLGDLLKMIGFTDSTFIQKINVSIAC WSLFCGVTVSLLVTRIRRRIMYMACTISLLLCYIAWTVSMERAMTGKANGTPNNGANI ATLFFIYMYSPCYNMGYNALTYTYMVEVWPYAERSRGIAVFQLFGRLAGFFTTFVNPI GLKNVGWKYLISYCCWLAFEVCFVYFMFPETMGRTLEELTFMFEGEDLQRQANAAAEK VVNHTEHDTTGLGRCSTNENKLGGSAEVKEHVP NEUTE1DRAFT_112432 MADSVSASPAFAHQGPSEAYMQANKGPGVIAVITTIVAISTVFV FARLFVRTRILGKVEVDDCLILLGLACAWICVGMTIASVYHGFGRHFDVLPLDGKQQM IKWAFFSFTPSILAFTIPKFAVVTLLTRLLNPARWHRVFLWVLVSTCQVAILGCAIIL FAQCTPSRAQWDFSITNVKCWSPWLLVRYSMVAGCFSALTDLYLAVYPTIVLFKLQIN NKKKIALCSALSIGSVSAIVSLYKSTRLPSLAGADFSWDTTDLVIWSLIEGSTIIIAS CIPLLQPLLDVLMGRRTLCSTPRSGSYNNSSGGGHEVYYGGRRHTHLSTTRDSYHKQL WSKSRSPKSTVDDVELQAGGGATMSMSKNIKSPKNAAELQDDDDLLVVTTPATAAESE TYFAVEVGVGGDMGDGLRYSHDAKAESQESILILMGHCGQDMEDQNGHEHDMDGEGNG QLQPQKRTRNHGMVDDRTRSSVATTMSGVVISQGQGLETGPAGGGGRGAISRTPTPMG MILRTQEVTMTVEQTTQGGGGGGGFDAGHGTGGGGDMPAYPAGTASLRW NEUTE1DRAFT_112433 MAYQDIEPGAGGAVGGMGAGQDLILNPSRFRHGRKWHPVQCGTG ATAVRPINIRGRAAPEGLDPKEPGAVASLWEGTLEKATSDLGYWEKDNWGGEREIEAV LAS NEUTE1DRAFT_68062 MAVSVQPPTRDRTAGHRTKKSTSSVSVTPVSEPQPIDPSIINRP NDLSSVPSLLSAIFTQGIAAVTSPSDDATRLALLSSARALVLALESPRETMLRQCWAD TVCMATLSIGVDTGVWAYLGRDDRPKRVADIAAATGFEAAYLARLLKHVAAMGHVIET GKDEYKPTNFSKALAIPTLGAAYPLFTGPGNTGGVLRCTASFPSYLKSTNLATPTSIT NSNTQFAFDTPKNFFELCHDPAYAPMGAQFNTHMGSYAFGRPTWVDEGFYPIGERLLE GFDDEDETAALLVDIGGSIGHDLQKFRDAFPEAKGRLVLQDLEPVVAQVKETELDASI ERMAYDFLTEQPVKGARAYYMHSVLHDWPDHQCVPILENVKAAMKPGYSRLLINENVI PDVGASWESTSLDIMMSCLVSSKERTKDEWVQLLKRDAGLKITGFYPVGNGVESIIEC ELPSKESESSAEDTESSTDESL NEUTE1DRAFT_68063 MAPPRLPDLPTELIYLIAAHLPKACDLSALARTNQRLYCIINNI LYTGAVKPGTDPQPLLWATRHGVPSTVDKAICAGAKPDYLFEFVLSRKAWELVCILER AAAPNENSDFEDWNWSPPSNTNYSNSATPELYRDLHDIMGTMRAPWDPRPNPPPNNLS GPANPLLDLLENEPPQTNHEVLSGSETEPLSEPDDPMPFPPPPGGGGLVGAFLGNLFQ AVHNHNHNPFNDDDEEDDDDDEDDDDLMDEDSEVEEWVHNIRARGITRRCAPIHIAAK EGHNAVIEKLLEHGANIHLEAEWYCSCRPPLSLWETRELEAAGTLRENLEASYWPAVH LAMCFARFDTAKFLIERGALNNIPKGHLGFTILHQAASIGNLDMLKYIVEAGKATWPT VDIEDDMGLTPLYFACAKGHWDTVVPYLIEKGANIDKEFEVDLWEFKAKTTVLGEACY LGHYDRALKLIELGADVKQQLEFNQLTFGRRHRLEEADNGDEEAGDGIKKIPLLHVCC TSPRPKDDDYYSNPSVRSAPFRLARTEEPTALERVALIERLLAAGTPIDQTWDDGNGE TPLFMAAQFHVTSAVRALLKAGANPLVQDKNGRNALMAALYHPLGEEPWTTFAECFPS SSKPDKAPEIVRLLLEAGVPVNHQDSKGRTALHLVFRPRRAYELGAATDAICSIVRLL FDAGIDPCIRAKDKTPVLKNALKWDYGRAADLLVQFHGPALGSHLDPKEFREFYLMIA EATSPRIYATYGAPESASSYDLLLDIDSSHHLTSDKSLLFDLIKKGSENGECFSAAEK LGKRGLHRMDLTLEEKTKLMKKSIDHDLHKLMIEVVNVTVHAVAPSVLRDKAVLEEAL LHILRNPRRLHFSEPMIAHLINAGADLHRPCPYQLEKKASDTTTTTTTTTTYLPPLYT AIRYGHVKVVKYMLSKQPIHGNPHALLNPYLHQAVNLDPAIREKWPLTNQQDEAKTRS DMVRALIEAGADPALLNKHKDTALSILLKGLAADPDRRILKSLGHLIKPLSRGVDINV VNKEGKSVVDYLRELMVLREVEEKDRDGPSTSEAKMKVKRINEAIRMLRCRVELVKEE SDDEKGESKEKGEGKEEGRLMIKWSVPKGGPAATGGNMVCPPMPARERGRYAGYGSYL NEUTE1DRAFT_148352 MEGKRSRVRHAFDDGGRLSTAYGQVVAKRPFLHVKEQEQQQQQE ELQEDEEEEKQQEEVGVEEEEHEDSPNLCQRCKELDLDTIFNGLCPIRGSKRLLCLAI RGIDCTTRKIVDLRPGDNYIALSYVWGNQPLVENKGSRVLPTNAPKIVEDAMIVVKEL GQQYLWVDQYCIDQHDDQDKHAQIKNMDRIYEGSYATIVAFSGKDSSSGLPGVSSIPR MPQHRFTSPKMTLLGFTPALTQQTLEASIWMKRGWTFQEALLSRRLLIFTQEQVHFLC SRGWWVESCAPRPLMLGERTGKSPSATAMTVQSTSASTIHWATQIILA NEUTE1DRAFT_86742 MSSYQNGTNGHSASTSDNGKESKSRNVIGPDPKAERTASMAKPR PTSMKLPFTGASRQGVENAFERHRQTIQSAVQPLPTQQGAGTFSENKKWGKLSSDLMT LRWADIKTLKHMVVAKIKGERLTDDKTMIMEKVIQLVSNLPSNSKLRVELTNGFLGEL WYTLEHPPSIYVGEYYQYRKADGSCNNIMFPQLGAAGTTYARSVRPNVIRQGALPDPE LIFDSVMKRTEYKSHPNNVSSILWYWASIIIHDLFWTDYRDMSKSKTSSYLDLSPLYG SNQDMQDTIRTFKDGKLKVDCYADKRLLGMPPGVSVLLIFFNRFHNYTCDNLIAINED GRFNKPSPKLEGEKAEAAWKKYDNDLFQTARLITSGLYINITLLDYVRNIVNLNRVDT TWTLDPRADTGIDVGTKDGAERGTGNVVSAEFNLCYRWHSCISAKDEAWIEDFYYELF GKPGSDLSFHELIMGFGKFEGGIPADPADRPIRKDKGHFTRDANGKISDDELAECIAD AIEDPAGSFGAKNVPPSMRAVEILGIIQGRKWNLAGLNEFRKHFGLKPYETFEDINSD PGVSEALRRLYDHPDFVELYPGIVAEEHKSPMVPGVGIAPTYTISRVVLSDAVCLVRG DRHYTIDYNPRNLTNWGYNEVQYDLNLNHGCVFYKLFMRALPNHFKENSVYAHYPMVT PAENKKILTDLKRDHLFDWSRPTRQPKPHQVKTHAGAQHVLDNDKEGTSGAYKSSWHA GLESLLGKPLSNNSPEAHDSQRRDIHEQLYSAEWAEQAKAFFAQTTDALLAGESYNVG GHLLVDLVRDVGNIVPTLFAAKVFGISLQTKNNANGLYTPQELYAVLAVIFAAIFYDH DPVKTHQLRDAARTVATQLGTALESAVKGQTSFFGSLLGGGGSGSSNNALTAYGTDLV KRLSKAGLSASDVAFGQVLPIVASSVPSIAEAFASAVDFYLGEKGQAHLGAIQELARQ PASASADAQLLGYVLEGIRLSGNALGAFRQASAVDAIKEEDGSEVRVQPGDRVFVSVK SAAQSPTTFPNPEEVNPSRPLEAYKIFFFGTHSYLGHEVSQIALSEMFRSLFKRSNVR RAPGPQGQLKKITRELNEELSQTFYLREDWGAVTPFPVTMKVTWDE NEUTE1DRAFT_86747 MDKSHLFPGEYEPHHHGHAHGQGPNATDRASPFSDHDHEDYFST HLPSIPVAPPGTDNKDPMTGRNHRPRKIVLCFDGTGNKFQGDDSDSNILKIYRMLDRT ADDQSGIGTYVVSTSLTRTSAVARLRSWYIKSKDSAIGTSFDQHVVGGYRFLMRFYRT NDEIYIFGFSRGAYVARFLAEMLDYIGLLSHGNEEMVRFAWKAFSNWQVRRADDSPEG AKKKKKMYEFLKGFRETFSRPVRRIQFLGLFDTVNSVPTFESAWMERSKFPYTARTSA KVVRHAVSIDERRAKFRQDLIYQSAHRKCSKDRHPAREKLHEFHENLKYRGRGHHPHA AGAAEGTGGMNRGRKSNPLAVPEQAPAPYRTRSHSVRSRRTNRSGVSGRGGPNHDAHS EVSVGPHPETDDDGEDFESSEDEHEQDIDEVWFSGGHADIGGGWSVEEGQKPASHIPL CWMVREAMRAGLHFDPDKIQDMGCVDLMDEMGIEHGPDTTAAQKDHLKSEHLGGGSAA TDGNGVAKSCTCSKSPQQQVDRNTIPNITVRSPSTPRIFQQSSWKFDSFSSFKDKSGN KAGDKLTSSTTGKDKETAPDAMTNMNCPSSPTTVENGSLNSPSTTTSKHSTSSSSSSS SSSKSGGSCPLHNPPWSFKDMIHACHTARIHDSLSFDCGLSFGSVLAWKMMEYLPFRR LDLNEDGSWKPIRWPLPCGEVRDIPDNARVHGSVIKRLQMCETYRPGNLIIGGGGRGV RVAGKEYGIGEWVCVKEEGDPVGEVWMKKSALEKAAREAAGLESGSGSGSDKEKDKKK KQEGKGKKDGGKKKEKKDKKEKKEKEKKEKKEKKGKK NEUTE1DRAFT_103361 MQQWKVSKARLHNCYRLSGRSESPGPTVETITEDMSRARAVSVP CGGFNASGFALDGREVSPEPSESFMSTPPPPPPSPEHHHAQAEDLLPDPHVSVNIEEA SAASELAANLNMALGSNRSFDGDHGDEGELDLVTTASNDGDDLDFIFNIFTTAVQEYN RSTAILKQYEEKVNVLQAVLDSIQAFWRQKKAEKVAEALMDDPAFKEFERDLNAMQAD IDMSKASTREAEGFTNAFMDRWTKFAAEGSIE NEUTE1DRAFT_68071 MQHRTCRYGADCKFSHDIPEFTAEEEDAHEAYLDWRRQLRQMAM HTGHYNAVWTGALAILEDDTYREWQQSVARDLADDEIGGLIKIRQTEKFCMDKVNDNS QVFRVATPFLKVLTHHSLCDSLSVDVYVGKIYRYFGGINGETAILFLSDLARRSLSML EQEASPPAGWEPDTTCTLLLDCLLRLVRRERKALLDDNVPKLFKDLQKLVALLRQKVE FGPAIDRCTSCLDTMRRLMESATLVLGDPDEGLPERRGKSGPVRLTFPVDIHVPGGSH DNDNADITKIQILPTHGEIISKHPEYLPTTDFLQAHFLQDPVRRHIDTAFRLLRHDTF GPLKDALNRFLADDGKPTSNSHQLMDNNIRAHVYDRAQIQRVFVRPRTGLEAILTFAT PHQLRNSSPADRRRWWQESSRLEPGSLACYVAHDDGKKRSLFFIVTQKSTEEQNEDPQ KSTLVPKRSDPTITLKLATESQECLALLIRLHTSKHSGVLVDLPGIIPDTFVPVLTNL QRIMTDGELPFSQWILPTPGSIKERPQQLTIPPPAYARKRGFVFKLNSITREGSGPLR LNPSTSHEDIDFDKFEKSTGLNRSQCRALITALTREYALIQGPPGTGKSYVGVQLVRT LLAHKKEASLGPILIICYTNHALDQFLVHLLNCGIEKIIRIGGQSRCEELEGKNLRVV SSSIAKSTVENRVLGEAFNGVEEHLSTAGHCLKPLHLARKGRPNRELLSRYLPRRHPT IWRQFPDRGEVDGEGWTRVGGDSRWILVEFWIKEMIDQQTGVLFESIDGAKTYRQRID GVHADVNRRAVRQADVVGVTTTGLARNIDMLRRIGSKVIMCEEAAEVMEPHLISAMMP GVEHLIQIGDHRQLRPQIQNYLQFSMETQAGLAYQLDRSQFERRAVGEPGLAPLPVAQ LNAQRRMRPEISRLIRRIYPRLEDHPSVLNLPDVVGMRQNLFWLDHEHPEDSKDDGAR VKSYSNAWEAEMATALVRHIVRQGEYSSSDIALLTPYTGQLQKLRAALSKDFEVFLSD RDMEKMVLDGFGDDTAKDIDPTSHKTVEKKALLKTIRLATVDNFQGEEAKVIIVSLVR SNMNCKVGFLRTENRINVLLSRAQHGMYLIGNSRTYRNIAMWADVHEQMTEMKATGDS IALCCPRHPDTPIECSEPDDFLRYSPEGGCDLPCDRRLEPCGHQCSASCHSQALHDAF PCPQPCPRFRTTCNHACPGLCGQKCKPCVVKVDNVELPCGHIHNKVLCYRTLDRKQIK CSVEVEKVVPGCGHKVFVECFRDVTSEIFCCPTPCDRIHPCSHPCPGTCGKCRVKDKD GKITFSHQVCQKTCARPYSTCNHLCPKKCHQGQECGSCARPCEVRCPHSKCSSQCQKP CAPCIEKCAWSCQHQGQCTMPCAAPCDRLPCDERCTRRLKCGHQCPSLCGEECPQGYC QICCSRKDDRVDLLEFKTYEEIDLNETPIVVLGCGHFFTAETLDGLVGMHEVYTTDKT GRYNGLKDLSVLANAVPSCPDCKRPIRQFATKRYNRVINRAVMDETSKRFLTSGRQQL AKLEKRLQDLEMTLERNREAFKTGPKRDLKKRWEASSNLSQEAKRLSRDMSLEHQPAK KLLDAVLTFQLRQRAAGSLEQAMDSLNIDDSAPASLPTSATPTYDQQITLGAEMVYIK AEEVVLQDAFNLSQDLRDAALDVLKSCKHVVTAASAAKLPRLVIAASLSYGRIVQLHE RSRRKHVNAAHDEINGTDQLKEEEEEDRVDTAKKLLGDALVLCDNIPNTESEREAIEG TLRLFTTEWYEEVTPEELAAIKTAMVSGSGGIATHSGHWYNCANGHPFAIGECGMPME LARCPECNAPIGGQGHVAVSGVTRAENIERA NEUTE1DRAFT_103363 MASSVEPYTTDNMNHEAQHVSDSDSNSTLCSDGGEASALLESQS PKPPPSYVTFPETHQEEEEEKWKPGPGFWCIEVALWANVFLSGFDTTITASTYAAISS EFGAANNAAWLTTSYLITNTAFQPLYGRLSDLFGRRLCFFVSTATFMAGCLGCSVAPH LLTAALATIINSDMIPFRQRGMYQAMQNILYGFGSVLGASLGGTIAETVGWRWCFLSQ VPVSLVALVVGYFVLKNPLDLVDLAGPKGRVRSALQCLDLSGATLLVVGLVVQLLGLS FGGNEYPWSSVPVVAALVTSCVLLAGFIVVEAKTKAMPMIPLRMLQGWQPSVVQLSNL FSGMAAYAYMFLIPLYFQAVRGDSPSKAGLRLVMPSLACPVGGVMAGLLMQHGIRLSY NVRLGTAMTLIGNMLAVTLGTTGDRRKEFIYLVPATLGLGLTHPSILFSFVSLFEHRD QAVATSTVYLIRSMGTIYGVTITSAIVQNVLMVRLPATLGEAATDTLVEKLRRSVFAL RELSPELEMAVRALYGDALRVSFLASTAFALLAFLFSFAHRTGKLQRNG NEUTE1DRAFT_124269 MASSTPVAGREALAGNWTAEGPVDLYCKKTGQSHAVLTAFDYWK CPRCDQSLRQPDFKISNSESDQDSSSGKVESAPSESENFSYSVRYVDAQHHLIYSEPW QGPFDLHEARKCVLSQMKKPILRIETVLETSIRGNMQRHYYEVNRIKADGILTNPLVD VAVSRIAVTVMSPALNQAIRKLVSYYPSEDLHRKTLELGRHHELVWHHLDDFETYIEQ PDEDNDTRLAKTHLRQLLALVASINGQGVADEKARHSRGMCTYDMVWLLYKPGITVYL ESCGSLAAFVVFECVYHEAWTEATQDGLVSHPRGWKVGLWNLDYDGSYVGRRARHVYL PPFEGERRIIDLNIIPTRYKDEEDGGKTREALIKDGKRWFELLRGRQVSYSGRLLDDR KREFQGRVYVDTASYYNIESASHADDASEYGDDTAEKEESREAIFRRPPLLGNVNDMG RGLAKCPCEQCHGFRPHPPHGFPWTDYDLLNPHKMEVSDLKLPDHCPDPEHRYLLCNR RLFGFDLRSRYWLMVDVQFCKDLKRNTTAIKTLVLQEETKNMIKALIQKYSGDAKGPG VPVASWRADHIENKGEGQIFLLHGSPGVGKTFYAGRPLLSLTCADIGTEDVSMEKKLM KWFQLAEKWGAVMLIDEADVFLEKRVTSDLKRNSLVSVFLRCVEYYRGVLFLTTNRVG QFDDAFMSRIHVVIHYKSLTPQDRKKIWRQFFDKLSFERPEFRITRRAQDYVLEEKDI TSMPWNGREIRNAFQTAVALADFRLMQIEDKGEHDIPTLDQKDFEEVCNMMIKFKDYL KDLHGKDEDERAHKEFSRGPVFGLED NEUTE1DRAFT_86754 MACAGKDISTILATPPNAAVPISSPALSRAGVTPEISPLVRRFS KKAVVEQPGSIPIRAMDAVVRRETDAQSKNQQRLVEWGRWLDFTMCSTDDTDAFPTLE VQSRDLIDTWRRLSKSLPGMGDLSPQGTWIPTISTLKQAVVQAENTMKAKKLNGFGLL KDRFHSLIQTLDDHKYMFAIIPQGDRYVSLFTGVVSFIAKASINHIKIGESFSIALSD VSRDLSYVKASAMVSDTPMMRKLVVEIYVEVFKLLCQALGWFTSRSRRTIAALDRNYY ARHVQPFVDRIQKAIQRIRDKAHLVTHEAVQEIRDMGHELLQRTAGRESRLDSEEMSH EKLSRMGETLGFSSVQTLSSVEQQATHGTETECLGNSDIDAMDVEGECGSRRKPTRFR DRSDFDLERYASAFLSRYLEDGRSDVSRNPSDAFSTLLPSEVVTELQKWITAPKSNTV WVVGRPVSPFGSGLSVAALRICEIAKEIHIPCISFICKQRYSFASSFSAIRKNGSTGG LDPKEAGLIALLYSVIAQLIYLLPDEPFPSSAVLEKDNFERLDGAMSSASTALEIIRE LAIHAPPSLIWVLDNVQNTESTATYTHLKAFVEFLREQESKSNSAHEEGKKPFSKVCF TTDGNCVLLSRLSQKDRGIRQIDASRMAQRRPGRVLPGGADVGQLGWSRR NEUTE1DRAFT_86758 MKTTSLSAATYAYLATVASAVGPAVPENAERLPGKPDLMPNWPW HNPFADANNTRITISSESEASAPTRFVPTCSAQRTFRATEYLLDDLQELPPLGLIPWV EALRKTFTNRPYPGSWDGVDPHGYDRNMLMMEYVDVPIKVRRWIEEEEWSTADAAAAA EDAKGKGKGLFAVLAKADVEKGENVVATATSLREKKPETEEEEREWREGDVNRVVIFA PGAVYENAPLWVAEGSECEEALSDMTKYTAKPTDGAVVAYPVDKTRANRAEDKREMEF TIKAQVLKVDDSVPEEDEPQQEKGEKAGQFKTQVEKVEEFKTQVEEVVEKVMTAVKDE L NEUTE1DRAFT_124271 MSHSSRVLGLATCCRRPLIVLQRAHVAKSRTHMPRSLQCDSNTQ HISAYAQLRTLRSFSSTPATTAGKRKDSGSDALVQYTVQKGRVWLPDREKWIRPWKPD DIETFASGWIRGDSLSRVFKRMGRDDVDLDEVAFYKKLIVQLCRQRDPSLPSDTHKFD WGPGYHDVQGIDQAEERRRLLNSKIKRRLRSIYDALLDCYPHPEWVSGLQKKSPNQWI DQIVDILSDPVREILASPYPPTIAKLKELPWLEVNEQNAGVELGVYGLIERPTQSQSY KTEDASLYVGSAASHRGGLLLRLVTHYFARHQTLGAKSATWIQDAALNLTMPKFPFIL LRLPNPMASKTPTKILEDLQLIVLAEALFMVYLGAMSTFQGKHHPLARASPWDVEDIA YRGVSGANPLWEAYGAAKLQEHGHEHDRRLFARPNEWPGWPAKNQKNVKSQKDSDDEA IAAILAAANIKAFPGTLGSRSSSQKSQKKASVPGGKGR NEUTE1DRAFT_112443 MSGLPQQELSKEWTLAAWNLVPLSVGVAVKIGDKGEADHVKKII FKEVYKRRGEISLRRLARRKANREANCKVRKIEIKGKLVRQIRFISELILLKLLLLKL MLPYFEFLKLNSKLDLVPLKRRDRFTFKFQKALFQIFKKKNKIQIGEESLNQLNKNS NEUTE1DRAFT_148360 MSPTHSPDHQLPLPSNEADGMRQALPAGDSSSGQQPMYNSPADC GVGAPSPNHIQFSSMYESPPSFVSGEHQQTCMGYQDRQTGMIQGEHHGQAMPMMQNMQ GAPSFYSPSYLAAVDAGPQYPSGFPQFGQAGCFAPQEAAGMPQISTMILPYNGRARTS TSTRDHTESKWTDAHAEVLRQGKQAGKSVPDIIKDLYQIDGVERTPNQVSKRWARMRE NCVKKHDMDSIVHAVCPEMVRMLFGELSNLNVAGMPNFGVHLAAAERQAKSIMGKHVA KGVKEVRFEYLQQGSVALRVRATLPIGIHA NEUTE1DRAFT_112445 MTTRRAHGVLFNLQDTQQWREVNVKSIDKDLKARISSYGGVVVV ADKKSTRATRANTSEPVSGFLYHRIEPARIYSDAQAWWMEEITVIGAAKTTGWNSSED PYWKTILNEFQEVSLDYQDGLVQVIDPQGFFWGQLCAIPPSAKNAHCYIDDYLAITNR SGPSTPVFRIRGKIFVRRINPKCRLLAEPVFWWLTTFCNLVIAACLSSMAWFHRSIPL VTIGDAIDSFITEPPPPDSKTIPRSSCTYHHTSFTNIFRPALPPRAYKPKATPLWKSA SLTRWSLTMVWFLYMFSQSILFFGKALSNENPATQHLKSLFTFKLDSMDSDHIVYDSD GIDRPPLRWWLVLLANTPQIFLSLTYLFFNNILTKMVAEREWHSFHTLRTSQPRGSQR STFFLSLPYRYALPFVAVSSVMHWLMSQCLYFTEVDFIDVDGTTLDKSNPTTFTLGYS LCAMFWVIVLGTLSWVTILGLAVFGKYPAGMLIMGGCSAVIAAACHVHAPLMRKGVRR EREGGDGDGDGDEEEEEGDTDIAAGPLSWGVIRQVVVRDEVEVEEKWLGFTRGEAESH VVGEVYG NEUTE1DRAFT_103369 MSRRVVIRCFVGTQMAFASPFALEEPQGVCSWILFAGDSLAIVG SALTVLYTCQRISLRTQQLMQKSNPNNFEETNVSDTANRFQPWQIRTANLLVLHAALW AIVRSATHLTFATKQYRRNTQAFDSYESNIYQLEWWRSDTGKLWNVVQTFTWGTLQFF PKAFSPRARTLSLLRDILLVFCFAVLWVLGSCLTTASLGVSLAMLAMVDVIMVSLLLS MFRIQGNATREKVEGVNWRAVQLTNGQ NEUTE1DRAFT_140209 MYNNHTRSDHNFSVAEAGYHPEFGPNPWDVTVDSSGEDLSYSDP DSPWSSFAPDTPPCIGIVTSAMPLTPQGSSFGISGDDPGENEQHNFPTHHNEENPTVN PSHLNMSHYPTYDAGIQDSGSYINTQACSIPPFSGLGPSGPPPIPQTYSGYSFDQSVE AYQASDPPLTGKQDFNQYDSAEVAGAHPPLCYASSA NEUTE1DRAFT_103371 MSITSLVSDRECVRMCDGGVRNGSIDGQSGSGSDNPKVSDAEND KTCLRLPVASTPLEPRRVPSV NEUTE1DRAFT_86761 MSTDDLSSNIVPLDAGLSLKFYEAVLLLYSLKEVKFEAKSVKEP EADLESDTGRSSRDSFRCFVNKLGQICDSELRGKTVTAFAVLQPGGIQYRFASNQRTN EELQDVKKYITNILGILGSTPDDQLNGVFGAALERVISFNRPAIQQQIKNLTKQEGMN HCVKVCQEEDTSEGRKAALDINTLRRFAWLSEREERGGPSLKICFMRDKARADRDPNS KTPWSELRHAFSRLQSYNIAVRTLISMRRRRRELFEDFEVVPVPSSVRSGCPNVRRTL DGIIKRFPEQMKMAQACHTNLPEEQRGAVENKIKACTKGKFKPYVHAELVLLDSILTE QREGEPLRFFAEADYDRYIGCSKPTCRLCKLYIDNHPSGVEFRHGHCNVYHEWRAPDI YNEDGVNVAEAMKTRQDIHYRMLETLRQTIKRWITDNVAERKLHDSNTNATSYIGGGS STYNAHLSAQASPDNMDCMSTMGAVDDSDDVATMFGGLSLGGDSGQSWSPTSVSRSSQ DTTPVQEDKAGSPRGLSMESLIDKKRRRPLIIEVEE NEUTE1DRAFT_140211 MLTIQVLPIILGWLAIHDLPGIAALPGATTTRHITSTSNIDTSS PIANDPYDISVPNGPPVLEPFQTEDPKDRDDQNEGANEGNIADDPDHLSPNNAAAPVE ARSATPDNARCADIHDDTPGAGGFHQRAAAAAGCARPRPRPRPQTTRNPQFRTVTLTN TQTNTFTMTMTNTLTNTRTATLTATATLTATATATAPPPS NEUTE1DRAFT_124275 MSAFLGLVPQYPSPTLEPPPPYGGTSSQLRPLRHRRPATSLPST YTPYPPDAPCPPNRTMVQGFEWYCPPDFQHWRRLTKIIPTLAQLGITQIWIPPACKAS WKTGNGYDIYDLYDLGEFEQKGSRKTKWGGKEELQQLVAVGAQYGVSVLFDAVLNHKA AADFKEPVLARRVDPKDRRVVLDRKPTEIEAWTGYDFPGRQGMYSPLRWSARHFTGID YDDLRKENAIWKFEGKEWAEDVDEENGNYDYLMFADIDHQHPEVKRDLFHWTWWLKSQ LPQLGGLRLDAIKHYSYSFVREFLANIDRHVAPGPPGSPGTWFIVGEYWREDSEFLAR YIEFMHHRLSLFDVQLVSNFSRISLASEHLQASATGGGGAPGITYGSRDTDLRTLFDD TLCIWKPHNAVSFVVNHDTQKGQSLETPIAPFFIPLAYSLILLRANAGVPCVFWSDLY GSFGVTSNDTPASEDAASPRDTPLPDPQHWKPPMCGGQVLPKLMLARRLWAYGTHRAG GAGVACVMANWWEHASKRMDTDVYGFNQRHQEQVYESQRGPAVEEEPEDWMVAARGAA AEYLAMTGSD NEUTE1DRAFT_131584 MTSSPETSPLLGPRPTTADLPPSEYEPHKTRPGSIRSMRSCLTS SSVTATAAAGPCPRQHLPQSSDGASSSSSKKSNTFDGLFRDIILGFSDGLTVPFALTA GLSTLGSTKLVIMGGLAELFSGMISMGLGAYLAGVTERQHWEAEHARKAWEVSNLPQL EQSEILAILEEHYGVSRATAAALVQDLCRKGNEENLVRFLMDLQVRMMEPDLNRAWVS AGVMGLSYFVGGLIPMLPYFFMERAREALWVSVVITALILLGFGFVKNWVTIRTREAG VWGAVQTLIIGALAAGTSYAIVRLLDSDH NEUTE1DRAFT_148364 METSNLTLLLLYLAIPSLLISAVQQILSWRKKTALVGSSGSKAK FPGPRQFPIVGRIHDLPRFSLWLKFKEWADEFGPIYTTSVPDATFVIISDEKIAEELL VKRGHIYSGRPQIRSLIGHKEGVVYSALMDRHDTWKSQRKWAHAAMAAAYKHHFYGHV ESEMRRFLVVLLFDPDRFLDHTREYCGRVMSRLAWDDATQGRLNGESADKTLHCMSVS GPITNTMTPLWSLPASINPWYKYEVKREAELRQWWLGLFRKAKERMRKGELPSDTWAY RYFEQMVQNTAPSMPATARAPGPDVKNAEKSPSTAAKEEAYEKKDALDQPEKDETFAS CMIGFLNLVGVVTISGPLKFFQMAMALHPEWQHKAQAEIDEVCGDRMPTMQDFEKLPI LRACLKETVRWRSGVPLGVPHQAERDDEFRGVKIKKGTIVLACEWTLNRNPQKYPDPE TYNPARYLDPSYPTFQAPLTRYPNFREGSSMHSFGWGRRTCLGQNIVDDEMFVFGAAL LWAFDVTPKTCPVTGKEVPIDTQKTNSHVILEPVDFQLGYKVREGKKELIMEGGKGFF GKV NEUTE1DRAFT_124278 MSGDSTKQSWAFQGRGWLKTLIAERHEVETFDSRGVTFSGSSSP IRSEDFAAPSTLDEAAV NEUTE1DRAFT_103378 MPTTLLLTPSPYDPSLRGPPRFPGPWVSRLHPACYRTRADFDFL PHQPSWVSTPPLAFILLASYFGRDASLGPDGRRRRGATAKPLGCMCVIRIPARGRPVD HFHVSVSASLIGEADGLHPHRVAA NEUTE1DRAFT_131586 MMEPDMGMNGMECGEVERKMEGGRDSLNERRKPVLVHQQSSICH TFVPLPTEALAYAFNIWAGQLTVHAPAGQMTTTHVLSLPFRKSTQLSLSRAIQQYIST KYDQHPDMFRHDLDTIDALRRDAINVREAHPSGIRKLQMYAAQLVWIGGKFPIDVGAD FTWYPALGYHTEHPLVQNNLKYELMNVLYNLAALYSQLAVASNRNSTEGLKTAASWFS HAAGVLTHIKTQVLPELRMPSPPDDMDETTLESLIQLFLAEAQECYWQKAVMDGYKDA SIAKLAARVSDLYNEAGEAAMRSEAISSAWIHHMSAKHHHFAAAAQFRAASDCLERKK YGEEIARLRDALACVNEGLKETRGGYLSKAVVEDLQGLKRRLEEDLKRAEIDNDRVYL HIVPPKTELKRLDRANMAVARVPPQVAKPYEFLGDHNAEFGPALFTKLVPFAVHVAVS IYEERRDRLVNNSIISELESMTSQLHEILSSLNLPGSLQALEKPLGLPGTLVQHADEI RQADALYRLQQGLTDIDKLCSSDLAIFEEGRSLLLAEEEEDSRLRLKYGTERWNRPQS RQDPSPNGGAKLWRQAQDIEGYFGSSTASDQVVREKFNAVRDTLAILAGSDRSIMDFI PNSRRTDIPESLKPALGRLRSAYNDVQRLESRRRKRVESLRARSRADDIKPDILVEAA RLERAYPTTAIATAHFEDFFEKRLDRLYESELEAVERDKQEQEKIVQEVKRANKEFEA QKRQVDRAGGGNREREEALQKLDAAYYKYKEIVSNVEVGRKFYNDLSQIVEQWRGLVR GWVSERRRDARSLEEEINMPPLSSLNMHQSSFSYQQQQQHHQQPPPPPPQIPFPEPIQ PHQPIVEQAHIQSWADNVPQQQPKPVAPGAWAPNMGIKFGSPVAQGQQHQQEQGQPGP VNATWDPSQGIRAGRTGDRLAKVPTVRDWKSLATFGANLPLEKDRVTEVTTQPTANMC YEEEVVREVVTAASSKDKDKDNSNTYTIKVLVKKWNDCGSKSCPQSTSYEPHALASNS SSTSSDTKKK NEUTE1DRAFT_117987 MSKMGATIIPAPHRCFNMRNPLAKALVLFISVSLFGTLLLQQSG DIDVRAAFLKTASTAKDAVSSSQRPLGSNHPQSGWGGDSVHTPVEVTGDHQPDHPNEL ANITANGNMHCDYDMDRLREWKKKYKLQDRFEYTRRYIQVSRQPIARKSLTKLDQDFL SDHVKVVDVNKHYQPEACPAPLVVPVSRSPYPSTANASDFMFGVSTTYKRFIDPKTNP TNEWKYWLTDNNGSSNGGKLLLMLMGASDSEMADVREQLTSVGIDVDVYRSSPSDEMA VRYLTLVPTLYNHPLRSQKKWLVTCDDDTFFPSLHALIAQFETYDHTKPKYIGTFSED SNNVMRHGEQAFGGAGVFLSVPLAALVTENFAQCKSPEKIKEANTGWGPQGDVLLRKC IYEHSPVRLTLLDSLWQLDMLGDPSGFYESGIQPLSLHHYRGGGWHSALPFHYTKVSH LCGEECMMQRFMTADDFVISNGFSVAYYPEGTDDLDFDQLEGTFRSAPDYKGWNLDFK WGPQRAGLAKTGRKLSWDLQEAKIIWEDDEQKAAEGGNKRDQSDEGQNGSAQQEKKKK GERRKVKEVRQIYVRKHDDWRWKLADGATPMSRFDGVVELVWLPDTKEDR NEUTE1DRAFT_103381 MLQLTSTVPDKTGYGPPKPTTTCTTTHALNAALTCSPSPSPSPK ATTTTASSTTTLPAEAKAPLVHTSSTSNQAATTRIQIRQDDMENKFDRTGLFKATSRA QKSLHLVHVVDDDEVASDPPRSTNVKPTLNVFAVKIKKAAPTNKASNLKSAAAAANKQ RPTKAPAIKDPSYRPLKGTNEWSSESTDEDLDVGFVPGDLPVFRQVKGQQKHEQFSPV SGITRGTSSVSPARPTQQPTRPPPPPPIPNRLKRKRIDDPTYRPSKNSEGSTSDDNDA EEDDESDEGIKALKRVVKDSTAKVKKVRCKVAERQQENMNVSEEEKGSQLVLKEIVDD DDEKRNPFMKKKKNRKSVLDPSYKPPKDEEDDSEEYSDEYTSTDGWNRQRRKRVRVPE GGDGPEEKYNDYNGNVSLNDNPTNDVVPTTKQILPCQARPCLPPKFGRRKVNRLSKPP RIYDPSYKPGTTSSDDDSNGIDSSWSSTGEGKDRKKKKRKRLYQPKNAPEKKNQTVSN LQQEVIRLMLEETKPKPAYAMPMTKKRKRPRLIRRNTSDQSFCPSDTPSDQYSDEWYS SEYSSELDVKNKKRKRGTKKKDQWNVNRVASGLGPVTGKVLDLDCGRPIEASEEETRD SVKPEKHESTSRENMATRRIGTIDAIDGTYEPSRDSPSSESEFAAMPDLDRSAFSIEI SNDNEKPEPIFEPEKEVILPPMDIKRETPQLHTGNEPLSNIRTAFYPLCHGRYSPWPP ARGTVYCQPCFREQVIVRGLLIRRGISETLRLLKVLLGGGSDFGNELDEALEKILGGV VVTEQTSMTRVEDHDQSQDYEDEREERVQRGQNTRTKPMPSAQSSLAELPEDVRTLLV LRRLSDVVNSGSMSTSSAARPSGSDVSLKTPASLHFPSDANAVSPDHCYQQQDPTGPS RYSSPFAPCPPLTLRGGTPNCAATSTGINASYFSLKPPSTKTIISLPAKNPLDNAINI LHDALGISTWQARRLWDQYEGSGIWDLAQVDSLVKKSHAEFVNEHQAAKELPWAEGKE SSLERGMGKDENDQGAMLGLEGLARLEEEIMTALAEKGSREEESQGRKRQSDGGGISI ITGATRSIIVGAVTRRKQSHDDDVDDDCRLDWGHAPNPNQKCKLTKPIDLFNPTRQKA QPVPPQRPREQLRVIPCHSCSRFNTVNHSSCATVRRNDYIAMGDRPWVKTLQWTLDIN ESEAAKMTLNGLLDLISRSGKAAHVKSAGWEFVVYRPGDHNDAEIRIVFLDVEPGLDL HL NEUTE1DRAFT_124281 MSGNDEKRKLEAQYFPPPPPGPPPGQAPSSSTSTAQGQQPRHSD EVPIPDYNPSHPQYAPPPNATKEDIYGATPTDEHPPPFPPRPTSSGKAGDEKVKLSWG QKLAGWGTKAAAPINALANKMGSEAFLPGPMDKEVEKAARILRSFCKDGIYSDAAPTT APPQTTFPVANKVEPTAAETASKPPVETQPVDAATAKKKSRVLLTIPSKVIAKAQGLA IFTTVRAGFQVTGASGSGVLIARLPDGSWSPPSGIHVHSIGAGFVVGLDIYDCVVVIN SKEALEAFTRTRLSLGSDLAVTAGPWGAGGALDWGVPQGQKTDKGKGKDGKPSSRPTT ADGKPILSSDNTQFTSPPVDPDFDPDHPEEAGKQVNKNRKPSPFVEAVKKPVYSYVKS RGFYAGVQVDGTVVTERKDANAAFYGRPFTVQQILKGEVSAPPVVNGLREVLKGAEGW RGQQQGAGQQQQQQTVHMPQGHIPQHHVQPVPAQQPYPSQGQQPYQPQLLSEPSPEGY YAPGKPPSGPAGGPAPAPAGTGGYLYNPQLLSEQPHQGQGYSGGAHGHFSPLNQQPTD AYRAPPPPASTYTGGSPAEVSGMTSGVRGLDLNSAGPSTETAGVAPPAPGPQASDAAR AKAAEAAAEAAHAHGSEPPPPSYEEFGSSSSTAPVVPGYPGHPAHTPQTDGVTEELPP AYVEDGVYRPEVGDHKRQQ NEUTE1DRAFT_148368 MRLVTIVAGLVGFSVAAPTNQPHGDALDTRAPWPNGPLVTSGRW IRDASGTNLTYVGVNWPGAADVMIPEGLQYQSIETIVSKIKSLGMNAIRLTFAIQMVD EIYSNGAKDITLQKAFTQALGSTNGPKLLNQVLAKNPQFTASTTRLQVFDAVAAECAK QHIFVHLDNHISKGMWCCSTDDGNSWWGDTYFSAANWTRGLAYMANHGKQWTSLMSIG LRNEPREPTSGAAKSTYNWQTWYTYMKQGAEAVHSSNPDLLIFLSGLSFDTFLTPVVR GTALTPGTGKFSFNDFPSYANKLVLELHNYETSANSCNNLQNNLYNNGFEALTSSAVN QFPVMLTEFGFQMDASTWKGTYASCLASYLPAQKAGWFIWVLAGSYYIRSGTQDYDEG WGLLTHDWSTWRSPSYVNEALIPMVKDTLS NEUTE1DRAFT_22539 KKQILLNAFDMFTPGHLSPGQWKNPSDRSITKFESLDYWINLAK LLEKGGINALFLADTYGGYDTYEGSLDECVRRGAQWPVGDPIIPISAMAAVTKNLAFG ITASTSFEPAFLLAKRFSTLDHLTKGRIGWNIVTSWKKSAFKAIGLDNPIEHDQRYEQ ADEYLRVLYKLWNASWTPSAIQLSPSSDIYSDPSQVRQINHSGKYFQLSTPHIVPPSP QRTPFLFQAGTSSAGSEFATTHAEAVFVSSHSPSVLAPKVQKLRELARQKGRDPASLK VFATFTPIVGRTEEEAQAKYEEARKNASVIGGLVLFAGWTGIDISQFEDLDQEISASD SKEKNKILSILDNFTTPSEEVPKWTPHVVAERAAIGGLGPVAVGTAEQVADEMERWVR EADVDGFNVAHVTTPGTFEEVVDLLVPELKRRGLYPEQESEGAEAWTAREKVYGRGQK QLRADHVGRKYDWDVYVEEE NEUTE1DRAFT_148370 MAVHHQFGTVKRKWYHIKWFADSDTPRERRLIMKLDLLIVPYAF LAYWIKYIDQANLNNAYVAGLKEDLGFHGNELVQLQTLYTVGAVVGQIPFMFLFTYLP MHWTIPFLDIAWGFFTLAQYRANSFGQMAAYRFLIGWFEAAFYPAIHYIFGSWYRGDE ISRRGGVFYTGLTLGTLTAGLIQSGVSSRLEGVNGLAGWRWMYIICALVTIPVGILGY FILPGTPENPNTWLLKDEDVQIAKERLARVGHSVVHPKFKLGTLKSLANNWKFWALLM LDIFFWNGSLNYSAGGYLLWLKSLGRYSQSKVNELGTISPAIGIFYVLLICFISDLVV GPAWAITIAHVWNIIGLVILVMWKVPESALWFAFMTTYSSVAMSAVLYGWINAILGKS SAERSFAIVLLNTVAQSTTAWTQLLTFPTKEAPQFKKGYSFVLANAVCLIITAQFLNV ALKRSK NEUTE1DRAFT_117988 MAITKASVHATLKWALITVLLYHYSSRCPVMYVICSFLQTEYVM MTSACDIPRFNLQIPYFAVSVSWLWATWRHSSGNEQFGNVQVTRSY NEUTE1DRAFT_140222 MASSNLHLTSDTPKTRSASFQPWFSNPRLPRPPVIGGAINGGFT HPEAQIGKNTAASHLCRPSTPHMVLCYEGLTCFWGNLQKWHGMERYTADTVLPETMHG DNAPVWARDSEEGE NEUTE1DRAFT_112460 MARTTTEANVNGEVVTVVDNLGELSVSEEEITSEPSAPGTPETS VSSQPQYSFVPFEPHPGYPKSRISIVNRFIDQPRELRVAVIGGGLSGVLAGVLLPAKV PNIKLTIYEKNHDFGGTWLENVYPGVRCDIPSHVYQSTFEPKLDWSDQFAGGAEIRDY WQSVAKKHNVYQYARFHTKVEGLNWKESESVWEVTIKNLQTGVTSTEKADSVITAIGR FNAWKLPSYPGIAGYKGVLRHASHWDPSFDPSGKAVAVIGNGASGIQLVSNLQPSVAR LDHYVRNPTWIAASWAGDVRQAGPQPYSDEQIALFKSDPDAYLNFRKEMEDKYWRRFN AFFRGSKDSTELRERFTQIMHKRLAKKPELIEHIVPDFSPNCRRLTPGPGYLEAISEP NVEYIRTRIRRFTETGIETEDGKERKVDAIFCATGANVSQVIPFPVVAKGKNLQDAWN PELKGKSDKHHGFPYTYLGVATPGFPNLFFLQGPHGTGPSGTVPHSAETQLTLLAKIL RKVGREGIKSIVPSRKAADDFVEYSDAFFSSTVLTDNCSSWYNGGRPGARIHGIWPGL AGHLTVVRQHPRWEDWEYEYLGETGNRFQWYLGNGWTSREADPQSDMTPYLRSPGEKV ELRDVHESWWNLP NEUTE1DRAFT_86789 MHVVSSQNGLPEEFPSGLPHRDTHTSEVEPPKDQQHPVKWYRSS MFNVTIVGVCSFACPGIWSAMNSLGAGGAASPNLINAANAITFSLMVLSSYLSSALII GYAPFAAGLYTNNRYGNEWFVLLGAALCGISAGVFWAAEASIAIAYPEPWNRGKALGY WLSFKLFAQMLGGAINLGLNANNDQAGQVSYTVFLVFIAIQAAGPFIGFLLNSPDRVE RKDGKKVDLTITQNPWKEIKATTKLFFTKKFLLLLLWIGNAVFSESVFFTYLAMWFSV RSRALGSLMSGIVPVIGGNILGYWLDRGSISLKIRSRVAFWTLVIFQGAWWTWATVLV TRFKETKPTYDWTTPGLGAAFGVFIFLAVSFQLNYLFSYFIVHNIAESDEEIIRYAAL LRGTESAWQALSYGLESLTMFTATGGVYMSFVIWAVAIYPTWHLLRHFGVHGPLAGDE SYSASVERVKEKGAISSATSSGDEAGNENTIKA NEUTE1DRAFT_124286 MSFIKRLSLKKLRKNSSSPTKNSQLPQVQYVELQEQLREDNILI GSGNHSTSSTDMEPLPAPEKQTVLLLHAAKQPYQLTEDYPVPRVEGEHDVLVRTQTIG LNPIDWKAPDFNFAIPELPYISGRELAGEVVQTSRKDSRLKPRDRVLAISTDYRDLRK AAYQQYVISFDYNTVRIPPSLSLEEGSTLGVAFVAAALSLGVCMGVDFSSILSGPDLF SLLRSSVSPGSLAEDICSECLDGICSHERARAGDWVAVWGGSSTSANLTIQLAKLAGL KVVTIVDKAKHGLRLSNHEVLKADLLVDSHDPERAVQIIRQNLKGKLRFGVDTRGRES ATSLLHALSPDNIDSPPLPAGEKPPSPPGTPKEETLLGAHLIGLTGLPKQQAPEGTMF HTVPIKLFHEVPEVGGALCQWLERLLAEGLVKAPEIIDVVQGLGSINRGLDRMRKGEI SGGKLVVRVAE NEUTE1DRAFT_47921 MSRPTDGSGQTRPKKKLIINAFVEMCSGHQSPGLWRHPSDQSHN FTSVQHWVELAKLLESARFHGIFIADVLGGYDVYRDSLTPAIVSGAQWPVNEPLSVVP AMAAATKSLGFGVTVSTSYEAPYHLHRRLATVDHLTNGRLGWNIVTSYLDSAARNIAG REKQVAHDERYEQAEEYLRVMYKLFASSWRDDAVVLDRERGVYTDPEAVRQINHEGKF FSVPGPAIVQPTPQRVPLLLQAGTSKAGKLFAAQHAEAIFVSSHAPQVCAKNIAEVRQ LAREKFGRDGSKIKVLSLVTPILGRTEEEAKEKLADYRKFASTEGALALFGGWTGMDL SKYGDDEELREVESNAVRSTVEAYARFSPPGSKWTKHTVAEHVSIGGNGPILVGTPEQ VADGLEAWINEADVDGFNFAYALFPGSFQDIIDLLLPELKKRGLFWDDYAVPGGTYRE NFYGAQGQKYPLEEHIASKYQWKAGVPSEEHKIPE NEUTE1DRAFT_124287 MASTSPATVNGDVAPANYDLVLKLAPHLDRHMIFPLLEFNAGRL KEDETDKAREILAAKYALLKKTNMTDYVANLYCELEGLKEPPAEYAERRQKVFHQLEK YEQETAKITELLQRDDVVNNLRSDKVANLEFLKKEHDVTIDMVNALYDFGQLQYSCGN YADASELLYRFRVLSTDNDKVSYATWGRLACEILTMNWESAMEELQKVRESIDTRLAN NPLAQLQHRTQLVHWALFPLFNYDKAREPLLDLFFNAGFINTIQANSPWILRYLTVAV ITNRGRAKNAGVHQKQMKDVVRIVKQEAYEYQDPITRFVHALCIDFDFEEAQQQLVLA EEVLRSDFFLLAHADDFVDSARHLIFESYCKIHARISLKDLSARLGLNNDDAEKWIVN LIRDTRLDAKIDYQEGTVVMNHPPSSVYQQVIERTKGGFFRTQVLTAAVAR NEUTE1DRAFT_131595 MFRSTFLGLSRAIAQPATPLTVRAAFQSRFYSAAAAASQPTTTA TTTTPLQQQQQPTTQPTTPIQTQTGAAPTESTKPVAKPYLVGRAWTQRLPVYHLAKRG GNKKLTQIKKVQGDGQALRRDLAQFLGLEVKEVRVKVPTGHLEVDGHRREEIVKFLDG LGF NEUTE1DRAFT_86802 MSSWMNDAAVPNHNGNGYPHLNVNANVNLNDPAAMTNNMAAAGV IDPAAFMAAANPQVAAQFNVNPGTTINPAQFANPQMLAMQNGPMRNASPAFNNAMYQT NPIIPSKRPRPREDSIGQSPRQAPGMIPTSRAGTPQQSQFPGFQQQPAMGQPPTGQPN PYPHLQPNGSANATPSPIMSNQMRPGSVPQRVATASPHPFSPAAQQFPQNSPIPSDHG GTPQPNYMQQNFAQSFNPQFAQAQPPARPSPSPNPMASQMMQQQMAGIPQQLQMHGQL HGQMGGQIPGQMGGQMGAQMPGQMPGQMGGQMGGQMGGQMGGQMGGQMGGQMGGQMPG QMPGQMAGQMAGQMAGQIPGQMPSQMVGQMPGQMPGQMPSQMAGQMQGQMFPQQMQQM PQPRNAMEQQRLMYQMQLQRQANMQMQQQMVGQNMMQPHNAAQAQAQHAHVQQAQAEA RAQAAHRNLMAARGGQMVPTGMRPQQGMPQQQQQQQHPQQQPMGRGDPNSFIKNLTAF MNSKNMPLELNPVVEGRPINLASLFQLVYHKFGGYRNVTQSNGWPQITQMLGYPVHQV PHAPQHIKTVYERNILKFEEAWTAQQRARQAGVMSTPQNMQQAQPMKPMPPGQMPPNQ MMSAGPQPPIQPGQMQSPMKPPVAQQPNVNGFPGAHPGQQQANAGPMGHPRNSLSRSS QPTPTAEEFPMPSPAHSKTGSMSMPGSAHPENQMMPGQGIEAAPGFPKPTRDPELYIP CSREVTTYGGVDLGSVEHFAEQLRKFKPDVPHLTDLGNIDVHALTKSIQSGIHGEVRL ALDVLGTVSATSPESGKQDPPQPYLPLDLRHCDDLVETLIECAEEQVDLLAENSEEAS DEITITSYEDVVRACRIEKFALRSVPLFGSQEYELDQAADRLIAITTILRNLSFLEEN QACLADESVIRFLCMAIRYLGTREMLLRTQVNTLDFMKDLVILLSNITRCVEMPGREQ AFCLLQFLLAFAPSPAPSMSPSPDGNDSDPGILFFTPYDPSLHPYLPHAIDSLAKLLA RDEPNRTYYKTIFASESPSPGQVSPADLLVTRTFALAISPIPDLSAPNTRPANIPSLI EIRKPFLMQGLLAADILASITPDGPVGAGVGADAAENGPVAASGGVNVARVWLSSGNG LVQNLFYLVRQLSMQYESQPVGPVRPTGRGGANQQHNKRDADLVYIVSLAVSMLRKLG EKAAKDSWPLGSSKYRNGGAMADRMDGITEEEGSEWEGGGGGWERQRQQDGLPACAVL PGKDTLLGALQMGAAEWGKEGMLKDLVAFCNLVE NEUTE1DRAFT_68125 MPKRKSTHVESEPEQSTRRRSSRLSKGVEPTEEVMAPVKEEKPQ ALSTGKKGGRKPAAVKEEEENEEKKVESQPPTKRSRTAKSTKPTPSPSQPPVAAKPPT TATTTTTTGTPARQYWLLKAEPLPRLENGHDVHFSIDDLAARTSPEPWDGIRNYSARN NLRSMRVGDLAFFYHSNCANPGIVGVMEIVREAEEDWTAVDSKAAYFDPKAKKAKEEG KENPWSLVHVEFREKFERELGLKELREWGKGGGPLEGMELLRLGRLSVSRVGEGEWEF LMDKAGGRTGR NEUTE1DRAFT_148377 MGSVAEKARFYLERAAPQLREFEEKEIFNKDEIRSLVTKRSDFE HLVLSPGTKPSDFLSYVQWERSMDRLRAKRCKRLKIRGSNPRPSEARVFGIFERAVMK HPGCIPLWIAYLDFAAEIKATKRWRRVITKAIRMHPRSAVLWTMAGRNAAVHGDMERA RAYFLRGCRFCTGEVSLWVEYARCEMEWLARIEAKKSGKGVRRGVNPLEAIKATEGTE IEGDHIALDDSDEDDEVDGDELILPDPDAGEKKKKPVFDAEATRKLEQSPALSGAIPM AIFDIAKKQPFYAAAACEAFFDMFATFGHVSCQSKITQHVLSAMEESFFKSACTASCF IRQPLIGVDINTPEFPKALRESLARLRKAYAEQTEDKKALAGKIVDWASKILEIESLD PAIRTVLEATKKGLEAELGQEKA NEUTE1DRAFT_86810 MASSRYKDKDAGVVLSFNGQWISWTHTVVAYAAFLSALIIGCAL HYHKIVKNEWYGYPDEWFPSVSATIGDRYPERSIFMIFIAITSGPRFALVGLWYLLTA KPGRVLPKAIAISGVIRTLTCGGWTYITSTDDHDWHDILMILYIVFTIPWTTGCIALS PPNAKAIKYRKWIAGSFFGTLVPLVYFFIQHKVHRVAGAYTVYAFFEWALILFDVAFD AVTALDFDTFEVVVKDVKGASKGANASSVPAAVLEKEKEKATAGVYSAGFNLGPALDI AADVYHGFVFWSTLTSLGLVIWYFPLWHMGISGYEAFVMATISPVLLGIRPLRSLVVN HQRLFHVLSLAGLLAYKVHDPVYRLFTVGFGVFMGCLAWAATWSSDSVHPSRLESRVI AWLAGLLMSSIAKFAWYTNNPIWPVMHAENGGWNGTGLVLAILAVLRFTRKAPLNSWG VSEQKNGSSLLSALGIGGLFFAIHSLLSDTSTMILWVWGGFPVRGPVSNVHAYYTIAA MTGGLLIGVFRPGMVTNWTAYGVACVGAAMLTLYEQWFGYYGALILTTYLMAIAVPMI SKAAKKNPAVTFGVGFLFYNLLVLFHVWVVAYAFVPGGPYVREHTDWIMLTTMLTIGA GVFDLVSGQASHKYAASTDRRSSSLGHRKYHVGILGLLNILFLVANFLRFPSYDYKPY HAEDRLFTAGIWTIHFSLDNDMWSSEYRMRDLIKELEVDVIGLLESDLQRIIMGNRDT TQFLAEDLGMYVDYGPGPNKHTWGAALLSKFPILNSTHHLLPSPVGELAPAIHATLDV YGSLVDVFVFHSGQEEDPEDRRLQSEYLAKLMGSIPADRPAVLLSYLVTKPLEGNYNT YVSDISGMHDVDYTDWDRWCEYILYKNLLRTGYARVSRSTITDTELQVAKFIVPKDDA DKGRILAASKEQRDRRVEEQQVPDGWRFPALFRGEGVRGHHYHVFDEPRYYFYF NEUTE1DRAFT_68134 MFSREWWKRRSLRVRDDKVTKAAELSLRESLLPLCLVTILFFLW GFSYGLLDTLNKHFQETLHITKARSAGLQAAYFGAYPLASLGHAAYILRRFSYRAVFI WGLFLYGLGALLAIPCIKAKSFAGFCVCIFIIGNGLGSLETAANPYITVCGPPKYSEI RINFAQAFNGIGTVVAPVLGSYVFFGFDDNLALQNVQWVYLAIAVFVYILAVVFFLIE LPEITDADMQHQAAETHAGDADQPFRKQYRLFHASFSQFCYTGAQIAIAGYFINYVTE TRKNTDSALGAQFLAGAQGTFAVGRFAGAAIMHFVRPRKVFLLFLTACIIFVAPTITQ RENTGMSMLYVTLFFESICFPTIMALGMRGLGRHTKRGSGFLVAGVFGGAVVPPLMGA VADMHDTAMSMVVPLAFFAVAWTYALAVNFWPWYRDTCDAFTTAEIGVRDREDGVVSK SVVDEEEAVGMEGQTVGEKGL NEUTE1DRAFT_68137 MASYKIAAPDEYLAITGMGVKTLKITKAAWVWPFQRCMRFSVQP HDYAMSLQAMTKEKLQFLLPVVFTVGPDVNQRGANIRMFHDEPGKPSNEDDVATAVSD SAVRREDRGDALMKFAMLLADSGRDKGPNNHDFLEGIVKGIIEGEVRVLVSAMTMEEI FSEREVFKRRIFRNIQSELDQFGLKIYNANVKELKDAPGSTYFASLSQKAHEGATNQA RIDVAEAQLRGNVGTQKRKGEEAREVAKIQGEQDRELAKIQAETQVQKTERDIEKATA EAVLKTRKVELDRDVQIAGIQAARKTEAEDEDLKREVQIKRAAAEMERLRATDVVKAS IAREAKQQAADAKAYEIEKEARANFEKDKQATEATAYKTKVGADAQAYAAIKLADAEL QQKLRAAEGMSAMAEAYAKMSHAFGGPQGLLQYMMIEKGTYVELAKANAEAIRGLQPK ISVWNTGAEAGSSGGAGEQQSSMATMRNIYQMLPPLMTTINEQTGITLPEWQFGRMAG QMSEVERRGQASNGQKE NEUTE1DRAFT_68140 MSKSPHVSPDVRSSLPDLLPPPSYTEAITSPSGPSGPSRYDTSS VPTPTRTGESPLTTHLRTLPSRLRSAQHSHSTAQSSRDAFLVAQCVPYIEWFIEDVVN MPKTPKVAELVLVPTEGLPGVESSEEATSTGRELARKRAERDDKGWELVGAKERREEG EVVKVVCVSAAPDQGGQVTDEKGRTVDRKRAGEDSGSGSAPARPGDYTFGEWGRFETE ASSSSSRSQFGPEEAWNWFATPTLARRIASLLRPEPTLARKTIQAAVESPKSPTSPSS KKSGFGSFFRRTSKSEPQTPTPTERLITPVRDGAMLEQDRITMTVRAEEVTFRRENEF GLWESVGGWGVVVSIKVGRL NEUTE1DRAFT_86821 MAAATSLGVHQPIGLRYAIDEGFLPPNPPSSSYSWEIFVDRQAH AECEDELLTTDTCVIWSRGGIFRKSFRFDIENEKVTQALLTYFPTSTDSHPIVSAAPS QPEKPTTEKHDAKPPLARALVVFLKTQAHIYFLSGTSHVVHMPFEVESACAAPVGVII QRKQRANNTLPVTLRLPKVPPNSFVSSQPSSIFSQTGAEFSIEGLGRPKALPLKLSNT LENMWQPPMETPDSPWPRLVCLTDPLLEIGLIVTHPENKKTNKRRQGVSLASNFLSPA EEIVHVEAIEIPGYASASQSETCIAVTVNRENSMYSVWRLTFLENEDPFIGKRKKKPA KSTRRRSSMAPGMPSGASTPVHPSIRDSFGAPLPGKKPRKSVRIDEREKEKDKGRALE GVLNSLDPQRTDDDARRQSRRVSSLLARADLSASQERASFSEQTLHAVHGGRRGDSLG SQRTRMSGAYGGLHLGTSFNHGLNSLAEAPVDSLLEELRAGGDFEGFHNMGLDDHEFE GLTHEMLFRKIHSFPMDNANVRYSLADKPAKTQSKVFILVGPPTATDEQGRVQILLGI QDVVDKRLQLLTLHVPHPVPGSTVEPATSGLSSKAPMVFSELRRAQNVIDSCKISDGN EQTILILSEDKAGGRELSLQSPWSQLTTVDATLLLLDDVNNLSYSGTHRTNTPSVVNK SEGVRLSQIRIDALCHSSPRGVVDLMDKNGAFHRIRLKLHPSSSQVRKALDACRSVLP PSHADRLLAGWWHTTQWLRVLNQQEANSTTNDREWSSLVVLLLASFMALGHTDETSLA RLGCGVRVLEPKSNWEAMELYATPVASANAPWMQNRGWQWLLEDSLLDTIPSSQAVER DRDSRNKAAWSMMLALHLLMEEQTLSVLSPEEVSPGHSDLRALLWQLARWLGWLQYEE LYSLGLQADLDTDDSAPLARLAIPPPVFNPCVMTWIRQHFTTDGGPDFLTLPQVYATA TSDVRGRSPRERAWRDLTPRTFMFRKLFELLKSAKDRFEAVAAMHAAGFTPQVLETLP EAILTPLQDFIFICQPNPPLSWPTELLKLVNRTDVSTILKQIKVSKTIGSELQPPSHT AKWDFRMLCQHLDDLQEHGEDTDASERQLVVRTLFREDRRLNEAQNLLSSGKSRVLRL DPKPEWSESEYLEKQKELVTTVATSTLAIPPGRGLLYYSLRYPLLTQKYHISGFNLAC IIRPTNNTVSVDKSQFTEEKINWAFFHQGVAGGLAISPQAKGIDTSWILYNKPGQDLS NRHAGFLLALGLNGHLKSVAKWVAFKYLTPKHTMTSIGLLLGLAASYIGTMDSLITRL LSVHVTRMLPRGAAELNLSKHTQTTGIMGIGLLYCKSQHRRMSEIMMSEIEHVEDGEE EDPLRDESYRLAAGFALGFINLGKGNDLKGLRDMRLTEKLLTIATATKRVELVHVLDR SAAAAVVAAALIFMKSEDHIVARKIDVPDTVVQFDYVRPDILLLRTMAKHIILWKGIT PSFAWIKEGLPREYQPRYRLTSTICLQSKDLPFFSILAGLCFALGLRFAGSGNIQVRD LLVHYLDEFMRLVSMPRSNFDAELARSNARMCMDIVALSCATVMAGTGDLVILRRLRA LHGRDDKETTYGSHMACHMAIGALFLGYGTATFSNSDLAVASLIVAFYPIFPATVQDN RAHLQAFRHFWVLATDPRCLVAKDGATGQSLNVPVLIFLRRNSPSARAAAANSAIDSP FESSSDGVVIRRQTPCLLPPLDDVLRVTTDAGQQGYWNLTIDFETDPSLVEQLKENQT LSLRRRPALEAPFPATLRALGRGMGPVAEDGQLKDPFEWVFELSAGAEGSDLLGTSGD AANAISHSMGNELGELAKLTHAERAVVMDRLGSGGGVGEGEGATTFVDARLVLENDLT GGGMPSREKLLGLRLLFEWMERRQSLAVQSPVSAALSALGTLPETPAAADVTTAGRTT AKGKSKARAGHAGQGPTTRSMKGKGKKVSVEEEKEHCVPNWDELREGSRHGSAVASGD NWWIRDSVVEDLKGRAWFVGRDGKV NEUTE1DRAFT_68144 MTQVPPETGDSADTTPAPTIVTSPRARPKRSIGSSTIGTTTTST ATATGTGTDGESGISVEEGLEVDGGRADGEEEETEDDDEDDDDDEDDDEEEEDEEDEE PKLKYARLTEHLGPLYRNGDATSAFLTAGDKMIVGTHNGNIHVLQLPAFQSLRVYHAH SASVTSLSISPYPPPLPTFDPKPLTRVFSQTNSPLRPASASTESHHQTNSASAARKRD AQAHGVPNTPSNNIHIATSSMDGNVCVQSLIDVKDVTLRNFARPVQAVALSPDYKNDR TYLSGGLAGQLILTVGAPQGKSTATTTGAAAQAAGWLGNMVGAGSGKDTVLHSGEGTI NTIKWSGSGKYVVWLNEHGIKIMRTKLHLESADQEDAWKRIGHIDRPQTEEWDTMASV WKGRAEWIDEQSIEPDEPGKGQKEVPASPAAAILKQQHQKTEKKVERLLVGWGGTIWF IHVHPGGMGVGKHAGERSAGRAEIVKLLRMDCIISGISLYTQNLLLVLAYCLPDENED EEDDNVAPSHKHAASIAYGASHPSGGIKRRQNNPPPELRLIDLTSQAEIDKDGLSVSR FERLTASDYHLGVLPARNVAASAASKGTLETLAGFGTDMLNVGLTGLNVALNPKSLFS SGASIKSRESDEAPSNYMGGINSALKGGHRTAIHQNLNKPGIKIFIHSPYDCILATKR DLADHLGWLLERQQYRQAWELVDEHPEIVSATDRASELGGSETPDRAQNDDFDDETSS VIDGLRSHYSLAEKEKRRIGELWIHELVEANDWAQAGQICGKVLGTPDRWEKWVWTFA GANKFDDIVNYIPTERTRPPIPGTIYEVVLGHYLQVSKPRFRELLERWSSDLFDVATI TTALENQLKYRDVREDSVEDGEVGRDWRIVMESLAKLHEASGRTKEALRCYIRLQDAD SAMRLIKDAHLAEAVADDIPSFIGLRVPQDKLLKMSKEDLEQATSEAISLLVDEAQHG LVKPDLVVSQLQEKDLKLYTFFYLRGLWRGEGIHEHTHESLARLVLDSRTMVDQFADL AVHLFAIYDQPLLNQFLRTSTAYAFEKAAQECESRNYIPELVYLYSKTGQVKRALYLI IERLGDVSRAIAFAKEQDDPDLWEDLLEYSMDKPKFIRALLEEVGTAINPITLVRRIP EGLQIPGLREGIRHIMKEHEIQYSISEGVSRVLRSEVAAAMNTLRNGQRKGVKFQVGV REGGEHVDVQPTDIPTQPLTEKPSSSGLASPAAPSQQPPPTPSTPTHRRPKSAAKKYQ AGHCAQCLSPFTTYETDTLVGFACGHVFHLPHLLEALNPGKTVDADVLLGGMGAEERS AHLVGAKVTHARLLRDRIVGGCVVCKAAKEEEGEGR NEUTE1DRAFT_117997 MVVLQFLALFAQLFHLFLPQVAEAQYIQDYIKDTTTGKYTYRSI SSVPPWPVIDLHSAVFLQYNCFYMKEICKNADNFAATPRGQNLHPNSQLANNVYGYDL DTGKTNKYQANTRQENRRSASCPGSWKNTHHCPETDQQRPMRHDGQWFTSATEPGSYT LEHRRDANRNVIGYSNIRYSCDEFPPATWVEGGSGETYLNVSQTRCAAIRCAADAKAE QDWQASAHGRLREALEQLASSDPQYVRYKSIILFTLMRDTLPWDGIAARITVMLDNGP EEKFIYQNGPDKRSAGRNGTFKFAGRPPRPVTAAELRARVEAGEGYEHRVHANLSETL HNVLQSDLLGETIPMPRSMERFYRWDQEDAVAEEQARRSRNATLPRTLPENVVPQPRT MPEQNVTPLLKRATVKDLEAARNIVKKALADSSRLNKARVARPLRNKYSLKPGTMVGG GGVVGKQNIAYRGIDQDVPPLLDITDEIAAAAALVAEADSLGGWKNVTKRAATTSAGT YWMQSLARKGTVPWGDEPDYAIFRNVLDYGAVGDGITDDTKAISKAMGTNSTRCGKGC NGSTTKNAIVYFPPGTYLVSSTIALPFGTQVIGDANNRPTIVAAPSFVGLGVLSTDEY TGDGGQGVDGGDPEYYVNTANFYRQIRNIVIDIRKVTSGTTVTCLHYQVAQATSLQNV ELIAAAGSSQIGLFAENGSGGGISDVTFTGGGIGLKGGEQQFTAQRLKFNGCTVGIQV IWDWGWVWKSIAMNNVKTGFQLVGDGGVGNIGSVSIMDSSFTNVGTVVIVNPITATPG KGSTGIALDNIVLSGVSVAVADTTGATLLASSPVIDQWVVGPVYEGSTTARTFSMGGK VGNYRRHSTLLDPQGNYFERPKPQYEDQSTSMFLHTKDLGCKGDGSTDDTKAFQAALY ASLGKILFVDAGSYILTSTIIIPSGTKIVGEAWSQLVASGSYFSDASNPKVMIKVGNA GDVGNVEMQDLLFTTRGATAGLIVIEWNIQADAQGSAGLWDCHVRIGGATGTELTPAE CPPVTSGINSDCSAASLMMHLTPSASGYFENMWLWGADHMLDDPDLVSGNNSMVQTSV YVARGFLIESTKPTWLYGTASEHAVFYQYNFHKAANIYAGMLQTESPYFQPTPPPPAP FAAVVGLLPGDPEYTCAAGDEFSGCDQSWSVVMTGSANIFVAGAGIYTWFSTYAQTCI DTQLCQKALMLLRNNFANVRFQNLVTIGAKYMAVMDGKGIPAMDNLNVDTHPNWSQIS ILDVGTNGTTKFDEAIWIDPTIWEMDEPKFTCSPPCNVKLPPWRGATSTVNYPLVTVS QGTWTSTITQAPLTVTEWVFEPVTLNQAVADKNKRVEVVTIWPVPATTPVWPAIVYTG NDGRATTTSATGAFPKPPASIGPNAPTPPSGSWPKQPVRAYFGFPENPLVDECSFTDF YDPFCFTPPWFGNMTRPGVPDSGDYFNENSAELQTTCPPVTSTTTSTIKSNPTQPVQE PEPLPSTYEQGDARTNSLQCYNSGEDTEGVRMLNAAKSFCDSIEHSDLGPGFFLSKDF DFPYNSGIGFVSITISLEIKAKCSFVYNKNLCVHYLSVPTDSCNCGGVNHKQGGKLTN NCYVWRIDPNRRL NEUTE1DRAFT_47489 MYLVPQGTTWYALALFHFASTVRAKDECQPIYWEHGQFEKRTLA NTTLSGSLAASSTTVPPMPVPTSPLISSGYVTPGQVNCRYTASTEDMDVNYYTCTALA LKYGISVETFFKLNPGVHSDCGNIEADTEYCVAGCKTPACPGRR NEUTE1DRAFT_148386 METSQLGQSEGPPYPSFKELEYEEVRVFLSPATERLFWELDGVF PTAISVMKDERGTKDDLEPFFRPDTGTWHEISQLPVTEPKVSSLEASVYDLDQLEYDW LRWHEDHDAPQFGQEYVTYGDLDDDIRPYAKEPKEDGSWEEDSDTEFLVKCCGEDRPL RKSGLKLVVTASQSAGSESFVTIRDFVSAVHPWLISMRGDILRAKDLSHENAHTPAER YKWMVNVKKHCGGELFIQEKKEWIQDHIPYAHRPVHPMTPSLAAILAARDASRNR NEUTE1DRAFT_148387 MVHLLSNLLVGLALAPSALGATLLVSHFSGPVYTLSLTTSGTTG KLSITSQASGCGTTPAWLEYYNDTKTAYCFDESWTGSGVITQYNVGSDGRLTQSGQTR TSGNTVHGKVYGGSDGKGFIATAQYSPSTITTYKLPLGQGQVQLEKFTMSQRGPNSRQ DVPHPHETQLDPTGKFMLVPDLGADLIRIFKIDASTGRLTACPAGQASPGDGPRHAQW WKSADGVLRLYTLNELGNSVSSWNVVYPTDSNGCLALSRAQTLSTYAPGKKGGPTTKA AEIRVAGNFLYASNRADQTFGSNQDSVAIYTIDSQTGAIAWKEAANSYSYYPRTFDIN KDGTLVAFGGQTSSNVAIVSRDPATGKLGNLVANLQVGNKGRAGEEDGLSAIVWVE NEUTE1DRAFT_49029 MSDIVENIKEALGPKEREQATVPDYDPHTRGPYPDNQPSTVVNP ATENKQSHVSPPSGDVPDAKAATSTQESQKIASGTGMDDRSTV NEUTE1DRAFT_103407 MLGLLLRDQQREIEPRSDATRDNRGKKYRVWATAGGTKKRAKCL RLGRLEIVTRGEEYRTTGHLLRSENQGGGSLAQIL NEUTE1DRAFT_118000 MSVLPTFSQPARRLLIILPVVFVTLLLLTGLFNHESFRSTLPTV VMPKVVITTNPSSCAANQTSGPLDNLSSIIQALYAPLVVPITTPTFTTRDGTVKRLPP ASELIHHKSLGKRICILDVDTRPHTGAGGVFSSSLPTWDALSPASAGFLSHYLYALIH GYTYKFVQAPTYQDRAPHWTKVIFTQELLKKYDIVVMMDYDAVFPSPELPLEWMLNYW KIDDKVMVAMAEDPNAEVNRDQRGNLNVNTGFIIAQASENTQRMFKDWAECPSETRYK GCAEWKGVYFHEQSGFSSHVRYDFLDGLTIAEEPGKSMIRALPCNEANGIPEKKNLGC TGQLVRHHWGGKELAKREFNDNIMNAMLPLLIQSAFKGGDATSAVADYRNKTLVGDQI LDKPL NEUTE1DRAFT_140244 MAAMYSSSTIATSERSRRSRLDSTVVDFSPGLDTESDPLLRSTY PFARDHDCPSHEPYRSIATANSCSSSHVSISQQSQSQPSLLQLRHRINRKTDLLLLPL LSTLYLFNGLDRGNVGNAQTQGFTADIGCEPRDLNLAISAFWVMFVACQPVSAAFGRR WGGRVWIVGIMLSWGALTLSQAFIKGRGSLLATRLLIGAFEAGFYPTALAYLTVFYPP YDLAVRIALFYGQYAVAGAFSGAIAYAIFRIEHPTLKSWQLLFLIEGGATCLVALFAW VWLPEGPESAWFLTSEERALFRRCRVVDGVKASSEWAEEGDDEEAGHGYRVNGRCHND DETESQRMVTGGVEEEASGRFVTWREIVETVRDWKLWLLLLCNICASIPSTAFSVFLP LVVEGMGYSALQANLMSVPPFVSGALGVYLFALSSDRRQERGYHIMAGLAISAVGLAG IVFTTSSSGKYMALCILLFGSYVPPPLTAAWLSNNTRSPNKRALVLGVNGWGNLAGVI GSWVFRIGSDHGGGHGQEERGHEYREPLLITFGFVGIAIMGYWAYTEVLRWENRRMDE AEVGKMVEESSMKWDSENRCRTMDGEGKPSSRGHNL NEUTE1DRAFT_148390 MPRSSKSSGADDPNFRLPVNPRRKKVAPEQRKRVALACNNCNVK RIKCSGEKPCRQCTQAQRQCIYPQGVKKVTVPQTYIDGLLGKIAKYESQLQITSKAAS GNGNGNSKIDLSGLLQPLQAVQEGDQGGMSIDSPDGVGAAGQAYLTEGSVTSTTSHYD YPVHAHNHNFEPAFSIDEDTEMQMDYPVDDGRMLADAEGTARYLGETSGATFLDSLKA FIKTTQPLASKGLSPNPETPDGSRNATFLNSVGRYQTFDSRPLLLPTMDVEPRIPTQL EIQAMITQFKTFLQDDNGKAGCGGIFFWPFQDPAKMALPDQSGFGTGFKLDTTKPRNH TAREHGQLALVYTAFAFTHLLTLTEENSRVDGQLGEAHYAAARMLIGNPLDMTSYTIY NVAVLALMALYLVENNRRDAAYMAISNAMHLSVMHGVHRESSVTEVERRTFWTVYNID RWLSCLMGRPPAVPHQAITLSLPQEAPGLPSPLGLCAHVELSKISAYIVNNSYRHHAN KPADGFDELPFSVAIGKLDQWHENLPKSLKLENLEESPSNYYYYALQPEAEDPALGTD RALLSLHMAYNQLIILAIRPAFLTAVKKKVANTWLTPGLEGPGAEPENPWTRAIRKCS DAARRNLRIGRRLQIISPGQKLLVQDLHHIFNAAIILLMHQILFVNQRVYDMFLIDKA KEVFKNEAMTGSDYGKDCHNVLNDLKPLVDKLHEVIHRKEHEQLSVPTEKQQSGSVHS PVNRETTPGALSGPITGSPTKPYPSHVQGWLQNVRPEDGTTVVQELKTWQDDDGMQVY RPGNSLA NEUTE1DRAFT_124307 MSTATPSSTAPSTSTNQKPLKHRSVVSSFIFKLPPAYFSPPSSS SSSSLPTTSNFDPSIQKPLVALFKRSDKVSTYTHHYAPISGSIDSTDPSPLSAAWREI HEETTLTASDLKVLRQGKPYTFSDESVGREWTIWPFAFLLKDPAGDGGGSEKRIKINW EHEGWAWFDPLDVRDTEDFGGVPKLKESLRRVWFEIDLGLEAGKVLAEGCKRLEEDYV SGARQMAGDALRILRDVIDKMDDGVLYGENGDGKEAKWWKDIRMAAWHLWKNGRESMG AAIMSALLSALASVEAKVFQDHATSSKSSGKQLKSTIVSILDREISARPLTNDQISRS FASYISRNLPSFNTPQKPLSILTLSESSTITHALSHLPILLPNSVLDIRVLESRPLLE GVSLAASLAKALSTELASSNDRQHSIIIYTDASACHATRGVQLVVIGADRIASDGSVS NKTGSLSVLLATQYNAKEAKVVVLCDSEKVALPGPPEQHVVEDQGLEQVTRVWMGEGS SKQIRGAARTVLNFAHATRFEGKKKEKKHEEKEKTQVKVEVRNVSFEWCAGGLIDAYV TEEGEWNDENVKKKSETLQGLEKRLFGPL NEUTE1DRAFT_124308 MASYSYQQQYPPPAQVGSGHPYQPFPGPQQAEKTGFVPGEIQHP YPDQGTPMLPEQNTGAGSGSTLLTVKRSIALAVIGTLALLLATVIGLAAGLGVSQKNL HDAKNDLKLAQASITAGLGAITSSPTIATATSTSTSSASSTATTIAKIECPSINGTFY TATVGGNSSSPGGSSSKKFQRLCGVDFGKGEAADIGSVNTTSFNSCLDKCAEKQGCTG AGWGAITGDEETHHTCWMKTNLTTKGHEAVDEWAFGVLELSGSGKGEDNDEGDDNDLD GN NEUTE1DRAFT_103413 MNVHTKPNPNPDNVSGIYEEIAEVESPRFERVYWTKEPHLRKLY GMTSFLLVASATTGYDSMLLNTSQQIHAWNHFFFPETKLNPDLADPVEDSKLAILINM FNIGSILSFVITPYVADNYGRRPSIVAGCMFMIFGGFLTGFCNGYGMYMGGRFLLGFG NSLAQMASPLLLTEIVHPQHRGPVTAIYNCLWNAGALLVSCIAWGTSNIASNWSWRSI TLLQILPSAVQLAGIWWLPESPRYLINKERHDEALDILAKWHAGGDRLNRTVQFEFRE IKETIRIQKQLDEASSYVDFVRTKGNRWRLAIIISLGVISQYSGNALFSNYMNAVYGG AGITEQSHKLAISTGKIILDLIVTIFAALNVDRFGRRPLFLMSTCGMVVSFACWTATG AAYEHSGETNMTIGYTQLVFIWIFSIFYDIGFSGLLVAYALEILPFHLRAKGMMLMNI TVQAVLAISNLG NEUTE1DRAFT_140249 MRASSPTIHHHIGQSVILHLEHYQVLANGVLSRLLKSNKMDSRS TTPTPFPTLQIQHAQTPTTDLQTALSPPKPPSPYGSLQWTQYLFRLSTLLTSAALLAL TVFIAAKWGQEYPEKTYAVIMAGAAIAIITDLGTIYFLFARMSQTSTPVTIAVLDAVA LVMCAVGIPTVRGIVFKTEYDTAVWALAVVVIVERAGSMATCLWDWVFIRRRRNEGTK SIIFKQEMGSTSESALAARRRRKHEEAAGQGAAMAGADAGASTGEFLQPVSARASLVG GGRPSLVLAGDMSGRASFPSIIVGGGGDDDRV NEUTE1DRAFT_124309 MDSAGRRRSPDTVQRLLRIWLWINDALILASSAIVTGILAHFIR KYHERGTHVIFEEVIAVVTLFLYLFAIFAPALKGYRGYLLPLNLALSYLWLTNLIFSS QDYSGHRCHYYSPAISLLSNVVADSLMWYRCCGSSPGTAGGRVGNGEKGSAPLTSTPG ATTGAPTAPDAANGTRV NEUTE1DRAFT_124310 MAAPAQNSTVSLERLPNELLQPIAEALVPQPPLTTRFALRHVGT WASQEAKDQRAAWHLAHRELLTFAQTSHRMLAVARPLLWRNILIYNERALVSLYLRMI KHPEIKPWIRHITCMANITGTKLIMGIHREWERQTGVRWQGQPGASPASIGLELLMII MWHAPNLRDLLFAFPDHPLPNQELQDPTANDVLLPAFRATILSYMNSPEPVNHRQTFV ARYFPFHQMRNLSSLRIYCHRDEQPSRDATFPQLLSHYTIACLPDLKNLKTLELCCSS ASNLFYEDMTIPPLPQIQQLRLYGSRIHEPRLIALCLACTNLQDLLVHFEESTTDDDR DLLPDGKTLDVALTGLSDSLRSLELVALSEGHYLTRGRERPRKPENHRLKCIPHLRRL ESLTLDYRGVFGTLGILEEDDGERLCQLLPSSLRDFTLVCEWGTAKDWKQSYLANLDM ILHGVACLCATQSPKLSSISLAIHSWPAKSRFHRRFGREVEETRLRCLRADITFRTFD LFPSYQDEDDVEPLDEGDFEDEDGGDILPEMGDGGFEEETELELEEEDEASEYYFSGD EEEDPEREARRPPTFAAFMEQLGEDHGHSFDELFYAYHEDRWDEYLF NEUTE1DRAFT_68174 MVTMSTWPWGLLVTTGYLLNLTFAAHPLTTDANCHCYKTNATST NYFRQHKFFDFRNLQQYANTPPNPISTFEGNAAAPPTSSYFDSNQWKDNWGIQTWNNT ELMRLNNTDVNDATVPMINSFNNIYIERSSDRNANGQTYLVMRTVRHSLDGPSTRYGS NSSSGFQSSAEFESKLTSYQFLSLRMLARTRGSPGAVTAMFTYRPPPQPQQLALVQEA DLEIRTQDPPNFVQYTNQPAWNSTSDIKEATRNASMPSGKKWSDWAFYRMDWTPGQST WFVNGVEASKINFQAPRDPSQVMFNVWSDGGSWSGIMGQGGKAEMQVQWIEMVYNSTE VSGPVTGPWGDKKGCANICSIDETTQLGTPVLISNPNGGNTPANPPNSCTTVKYGQCN GKNWNGCKTCASGSACRYQNDYYSQCL NEUTE1DRAFT_103417 MAPTPDLNVPPSPSTVSVSIINSTGTLHGVPAKSFVSPPQHGHD WLAAPMFAFLIQHPVTGRSLLFDLGMRKDIENMPPKLLHHFGEIGWTLSAEKNVADIL KENKFDVSRVEAVVWSHYHFDHIGDITTIGPQAALIVGPGFKKGLMPGYPTNPDSPIL EADYKDRELIEVDFTSAASDGKNWKRLQIGRFPAVDYFGDGSFYLLDAPGHAIGHMCG LARVTSQQTDGYDSFICLGGDAVHHVGEMRPSKFKPLPEEVSPNPFLPLSAGCDSKCP GAALQKLLPNPELPTKSFYEAARGEAWHHDVEQTIDTAGKLQEPDALDNVFVAIAHDD SLLDVVDFYPATMNDFVKKGWVQKGHWRFLRDFRDRLTSKEERGGVETVEWPHNKTWG RVEKKSA NEUTE1DRAFT_118004 MSDDNSIPAKDTYPPAQFMDLAHIAWAQAGGDCRITPPNRRDDE GNRLRQRDL NEUTE1DRAFT_103418 MPLPSESSDLILVGHNPIKDSDGQLTADSVRRLSTISTDSDYEL IHFGEPHDFSQAEVDDDASEHDNASTVSDNSHISLTPAFYHHHISPYGRRYHGFQSSC GYILPNDPPEQDREDLLHLLTLDLIYGRHFLSPIGDNLNKILDLGCGTGKWTVEIADK FPFAKVVGVDLSPIQPVLSPENVEWWVDDIEDEEENFFETERNDYDLVHARCLLSMVV DPAGLIGKCFE NEUTE1DRAFT_86857 MSLGSLFLSELDAGPPSRAQAPLRTCTRHRAPSFDSCTPSLCST TCLDNPTEPSDLSSTTKITTLNHLRSLVPHSYCIGIDVEGIEGIAQGITSVGISILPP VFSLSTASADGSWPWPFPDHHQGQIDLDTIASHYRLQSYCFAVLGRERARSYEHFHYG EVVHHPITHLQSTIVSVLQSIRLQDPTAHTILVGFDMELEHRAVKSVFPLLDDHVTHW CDVSHTGLADARWEGRRVAHKTHRKISLRDTMLALNFRRNHGIQPRARHHSAGMDAVR TLGTMLALLARAPEAGGLVVKRFTWEEHGNRKLWEWVPRPASKFPWTVKIVPVPTDDK DGTAHLPESLGRPARLFNFVTRNFAEPKAVAVCPPARSGPLKTHGWESTYKRPGVTPT PTPPAAASPFGPPSASPVPGAHPLAAAPANPSMSYLQHQSVPAINLSDPRVANALMAQ YSQQSQPQHQGGRGGFGAGGRGGSQQQQRPRPQPVDKPKAKIAILGCEPWFLVYTKYG RRFAYNPVKNASYWRIPDKILPAVIELDKERIRRKAAGEPPLEEDPKYKSHDKEEEKA EGKNLGSGQQGLEETHDYDSSEYEEVEVTDDEGADHNDAEHPSQHQRTEDENQGPIEF TEDDFAAQLAMMEGDGMDIDQEYDFAAQAENVEPLSDADARLLFRDLLADFRINPYSP FQKLIDEGEKTGVFSDPRYTVLSSMRERREVYDEWSREAIQALKEARAKEEKKDPRIP YLAFLQEHATPKLYWAEFKRKYRKEDVMKDSAHHRSFNDKEREKLYREHINRLKLPQS QLKSDLKKLLESVPLRQLNNRSSVASLPSQILADIRYISLDAKTRDEFIEGYIQGLAP PPEAQSAAEEAEDEVLRKAREERKKREKALEERERRVEEEKRRQEKRLAVERARLREE ERELQRAMVVDKKGLQSQLGGGATAGGEASKDDEAKEER NEUTE1DRAFT_86861 MKLLTSTIFSAIQPFLSLLLPRSFPVLLVLLAFAPTLLPLASAQ LDKYAKLAGLSYFGTAVDNPRLYNEQYMSVLQGSGEFGSLTPINAQKWKKTEEVQGVF TFAEADAIANTAKAGVGGMKTLRCHTMVWYKGLPSWVETTYSRDDMEKILAAHIQNLA QYFKGRCYAWDVVNEAIDENGVFRQSPMYKAMGLDFITFSFKTAAKADPGAKLYYNDY ALESPSLSPGPKISITLAMLRTVKAQGGTVHGVGFQSHLKVGLVPARAEIVNTMQQFL EVVDEVAITELDIRHSPLKVPSTREMWNAQARDYGEVVGACLDVNKGYGGRKCVGVTL WDFTDKYSWVPSEIPTEGEACMWDNNYVKKPAYWTVLNMFKAYAAGASRRRAGMDGVE GGNGTVSELDLEAVAHRGPEGLPGLGREQTTMTVVATTLATARRVRSTARPGA NEUTE1DRAFT_49154 MAEKHLHSSDPELAGVPSRHSSSERKNDAYMEGAAVLGGEDEAA SLKSRHIQFIALGGTIGTGLFLGIGNAFTHAGPLSILLGYTFTGLAVFGMMQCLGEMA TWLPLPGAIPQFCARYVDDALGFAVGWNNWYSAAITLCAEISAASLVIGFWEGAQSVN VAVWISIIWVVIIFLNIFAVSLYGEAEFWFASMKLITIVGLLIMAFIVDLGGNPRHER LGFRYWKNPGAMKEYPPQVHGDLGRFLGLFSTLVNAAFSYGGVEMVAVAAGEAENPRK NIPKAVRRVFWRILFFYVLGSLAIGVLVSSNDKGLLDAQAEGRSDAAASPWVIGIKNA GISVLPSIINAVILTSATSSGNAFLYTGSRYLYALAQNRQAPRIFLTCSKKGVPYYAV AATAIIGGLTYLSVDPNGGAAKAFSWFQNLTTIASLFTWCSICVAYLRFYKALKAQGI SRDTLVFKSRFQPYTAWFALIYFAIIIIFSGFAVFIKGNWGVADFIAAYIGIPIFFLL YGGWKIIKRPKVIKPLEADLTTGKAALDAEDEHWQREYKPPVTIIEKIWDWLA NEUTE1DRAFT_48337 MASNPPSKCCVMGFRHEGEPTGTMTKVADKWDAYIAQPTGSQKT GKALLFLPDIIGIWQNSKLMADQLAAQGYLTMVLDILNGDPLPLNRPDDFDIMGWLTK GSTGDNPHTKDFVDPIVQAGIKALKEQYGATKIGALGYCFGAKSLVRNMTATAPYTGI DVGFVAHPSFVEEEELAAISGPLAIAAAETDSIFPAELRHKSEEILKETGLPYQVTLY SGVSHGFAMRADLSKKPEKFAREQAFAQAVAWFGEYLE NEUTE1DRAFT_103425 MTASTESPFTAPSAPLADEKVVMLNTNEAVATFQLPSPPLQGRI NADISIAPASLAEDDAFVHSLTNLINEIYTDAERGFWSIDPFTRTNPAEVRSFITSGT LATAWLPGYSRPPRIVYLLGCGRVQLLPSPSEADSRTTAHFSPDPDTAIGQFGCLICR PEYRGSGVGRDLLKFAEDWTREKGGKKMQVELVVGDGWEHEEKTKLAGWYERAGYKNV KEMDLSEGIPELGPLLARKARYRVYHKAL NEUTE1DRAFT_68191 MTSARVTNGTTAAEHSNDRLTPFNETLSSTGPTEPFVPFVHLPT PEPETQPATPAQPPSPSRSKTRSRSETTTGAQNGVRRLSASKIQELTNAPESLPIATA PNRQPAEPTFSPALAEVSSRLQSQLGLANGNGHSTSSTRTHPQRPAIAARTVSTPPVS RRQTATAAQQAHPAQQASIRRNSYQPNPRPPPLDLDGTSNLNTGAEPRTAPPLRQPEP DPAPPSPIPSSIPLPPMSVPTLLQLELAGSRPSPLYIHHSYTSDIPYESSAIKFERLK NFLVLPFVLERCITFGALACLDAWLWTFTVLPMRFGIAVWILLQWWGYVIGKETRWVV GFVWYGLGRMWKRGRRGRSESVASTDGQRSRSQSRVREQPVNHNSTAATTTTTTTTGA DTRHPNLRMAPGTKPPGPSRRARGYLGHRRTKSNPSNLSHYNKADLLQGAVVIFSSIA LMSLDASRMYHSIRAQSAIKLYTIYNLLEVGDRLLSALGQDIFECLFSNETLSRDSLG RSKVLLPLGIFCLALVYNILHAVILFYQVIALNVAVNSYSNTLLTLLMSNQFVEIKSA VFKRFEKENTFQMACADIVERFQLWIMLLIIAMRNVVEVGGLSVPGAGSEDAGPSSFP LHTASILPASFTILPNWLWSGEVLSPLAVVIASEMVVDWIKHAYVNKFNNIKPNFYSR ILDILCKDYYTNAFVTPQLTRRLGLPLLPLSCLFIRASFQIYNMFVAVHVPPPLPPST QTSLSVESATPSPAMLAALDHLDKKIRIALGRAVYGYPFGESGGLGDNVYGPASAPTG DTPEAAGLATHIWHSVSKWLPEWKWTSDDVIAFLTMITVFLLIFLVLLIFKLLLGMFL LRYSRDRYARMKVKEAAIAAGQAEKESFETQGKRVGGYGQVEVGEERRRWIFADDPKG LNKVREREKGGGGGKGGGGGGKPEKDLGTVMRYEMIAKRIW NEUTE1DRAFT_48354 MAPATSERPTTAQVYANLHGLKLHFPSLQSILSSFPTELSPHYG RLREIVEKNIDDRIEEPDIRAKAKKINIALLCAYWWPRSSLERLVTMSWFVFWIFVWD DEAEESSTQDLDLDSKTTLSERALRYVAFHLGLDPPSPPPSGNIACVLPSSPPTSRRH HHQSDDSSDPGSLFLSSSSSKEPPPPTKYSVLFKPAAEGFKQGCTLTERTRLYSEIQL YLHSSALEVATYAGGNGTVPTEKEYWVYRRGTNGIGFFSCLGEFMTEITIPQYLFQTE EMKTLWEELAKNVVIVNDVLSLPKEMKVNWPGLVAIDLTYRTSGHNLDKAINLLVEDL RQVSNKMEAAGARLREMVAEDPDPMVRKHVEKYLHSVYTIMTGHYEWTFTVFELPMTA QDSIKM NEUTE1DRAFT_86874 MPGEEIITIVNNSGKIISTGRQLMGIFKDAKATYRERKEAARAE RDFQNGSYSSGRSRGEVHITVPRGRQYDEYDSPGPIKTLDGGSIRDRKLIGNSSGRRK SHDDDTRSFASRYTSTSRSKSHRKRSSSQAPNPSTALTEGNLKTYTEISATAPSKPPK GYSKDLELARRPSLNHANTMPASPTFDVAASGSRSRNPFHRRQTETPSSSKNKNRDRD QDLAYGDLPPDLHLRDDLNRGRHRSSHDSMNHSYPVPPQGIPTFAPDFAPQEPEQKEA LDLISRIESFLLEAHCVQASASCIIENLQQNPEAAAAVALSLAELSAMIGKMSPAFLA FLKGGSPAIYALLCSPQFLIGTGIAAGVMVVMFGGWKIIRKITQGNAKQKEAAVEMNA VSGNGTAMGAMGFDAGARAGAAAGGHEDALVLDEELSTIECWRRGIVVTSEEEAEVEL RSPEADKALKQKYKEEVVEVSPDDSVSQVGLARRTTVKKEHKPSGLRERLREAVGGHS DKERDGKDKERERDSKDKTSSSRRHRDDLDVPDRKSSRDKKDKATSEVGASSQVSYRS SRSHRTSARSEAGGGSLSRSKLDREREKDTKDRERKSASRVGTSSSKEASLDDGDSGK KKGNMIKSLFKKMKDKEDEKIATKEKEERRERDGKDKERERRTSKEGHGERHVRRAAS VAN NEUTE1DRAFT_48564 VLSVHYKVSPVKSSVATFGVSNHSEVGYRPSPSVPVSIVRLVSL GPGQEHPQTPALPGSEDNVNEQEKIIDLPQLHE NEUTE1DRAFT_148405 MSSSDDKVETAALVISLVALIGTFLQVVQQYLASATGYANCRKS LKGGWYDTVHRKLQLKELRFEVQFETPVIFVCPQTNDRGPMDKPELGLKVPISFLDGT KDSMKKARLLTQEEQNAQQRDALQHSRIHTADNEKATWLTLLEEIQSMERANMNWVTE HRQKSGPGAVAGFKEHTLVVALQPKPRSWDNMPAGVKKPYATTTICHIVEIASMLGIY WKEFDRSRDKYRAEGNGFMLTGSTVPDLGLTFTFQICGKARFQENRIIPLDEIKELCF GYVRTIFYTAKDNRRLGAKDDNELQFGSMREIAETMVQLECNTSTANYFKTKDARHQH LFAVPFEIIGMLGQSLYMKNSYHRMLPNPTPYHWDRRFFDLPRLIKEFSTRFDKEKYM TPSPDTPDLKKLISQVLEQLETDRRDVATRQKERDTEIAAEEEKKKKDKEDKENEGHE KKKDVEISSDVPSEGGVIGPLKRSLTRKRTRPSWLIEYKASSPPKAEERPKKDYTPIL PGYNLPLLTCLHDAIQECDKYLKTRERDLLTMVVREHIQEVLRMINEPEPEESTKSTT TGDTGDDSSQKAGKTVTAFFDVLSAANPEDRQGIFMKVYFEHVLPCVSDRAVKAYDKR KRMKNINHRSYTLAQQTQNSDISGLEMAPAGPDSTATTSTPSTSTVGQSPVLPTTPAL ASKKLIEAQAIWCTLIFRMLCWLQLHDFDKQDIQFPKSELRGNRLPVYIS NEUTE1DRAFT_148407 MATVDSHPDIALSIANHPPTKLPGPSLLHHLVQHRSETRGNDAL AIDYLAPDGSRVSLSYHELHRRSDSLAARISSLVGPVTDDDSQPIVPVLVPQCPDLYI AQLAILKAGAAFCPLNLDIPLERAKFILDQVSAALVITTPELAERVPEDGTGKLVLLL TEEAFTSTKTVEHRRPTPTNLAYVMYTSGSTGTPKGVPITHDAATQSLLAHDRHIPEF SRFLQFAAPTFDVSVFEIFFPLFRGKTLVSCNRSAMLNDLPGVINELEIDACELTPSV TGSLLRKRENAPGLKLLLTIGEMLTQPVVREFGGNDTKDSILWGMYGPTEAAIHCTVQ PAFAADSVPGLIGFSFDTVSSFILAIPEEGADASEIKVVPLGEVGELAIGGHQLAPYY LNRPEVTAEAFVSHPVYGLLYRTKDKARMLPDGTMQCMGRIGDGQVKLRGQRIELGET EHAALRAPGCHSAFAAVIKGILVLFCAVDSVDSMATKIEDCCKAWLPGFMVPGDIIVM TEFPRLASGKVDRKGLVAEYEAMQASGPPKDENYRDEEERQISVLVRDTLGVVVHPTQ SLMHAGMDSLSAIKMASALRQTMGVRIGANEILGARTIRALREVIRGLEAEGTDDGEG HERDMETYQPAEIAASNDALKGRLEDIEAVLSCTPLQSAMLSETTANPRAYCNWMRLN FQEGSTEMDIRSWFLQLAQSNEALRTGFVHHGGQFLQVIFHSLDDSLISAVDNISDEF SFFGDDDFLRPFRIFLSPPSATSPITAVLQIHHAIYDGWSTDLLLSDLHSFMNDSTPK SRPQFSAVTTYYHSASYRRSCNLAREFWAQHLAGFQPPSIPELRPDIPPSSTVQSHSV HLPSLSPQKVKFVLRELDCTPPVLFQAALAWLWSVYVGSQDVAIGTVTSGRTIPVPGI EKIIGPCIATVPLRTDLASVRTVRDLLGNVQAVGREVMKHSVFPLKEIKRVVGFQAGQ SPFDVLLVYQETLESPTRDVNRGGERKFVELEQGRDWLETKLLVEVEPHQDGYGLALT YHTDAFTGAQIAVIGDQLSVLVEAMLHSLDTEVSSLTNVFPRKLLSIYNEHPKTLEGV PDLAKAVEVAVERNPNQEALCFAERIAEDGTVVAKSVSYRELNATANKIAWLLKSQGV EKGDIVAIVMEKSVLLYAGILAILKAGCAYLPLLPTTPVERVKTVFGQAGLRHCLADS DSTTKFEEIGEVKFINLETADLGGLSDENLNIPADPSRIAYIIYTSGSTGIPKGVCVT QLNIVSNLDVLSRIYPSISGKSRLLQSCSQAFDVSVFEIFFAWTQSITLCSGTNDILF ADLEQSICSLEITHLSMTPTVASLVSPENVPNVEFLVTAGEPMTPAVASKWHKQLWQG YGPSETTNICSAKKMTIPPRHRIRHLGFTFPNTSAFVVCPNSDKLDLVPVGGFGELCF GGDQVVKGYLGQEDLTSRKFVVDKEWGRVYRSGDLGRMLADGSLMIWGRADEQVKVRG QRAELGEVNAVVQAAAQASAGNKLGGNSVDQANGVEEKGAVGEDGKMEGNGIECATLF FKPKEGVASTSAGQGQIVSFFVPGILGRTTTFQILELDDVLQNEVRSIFQFVEARVPS YMIPSFIIPLTKLPITASGKLDRRLLEESFTSLTQEYLASASPLIGSSNSDDDSGEWS EDESKVAGIVCRIFAVDRSSVKRWTPLTAFGLDSISAIELSKQLSSHLGGRRLAISTI LRSATVARIALTLSESPSSGPPAAATAEEVGAEAETGKKESLEPLVSEQLSRAITGQF EEKGKNVEKVLPCTPLQEGMLAASIGRGRGAYVNKMLLKLGATCNVESLRRTWAKACQ RQGILRAAFVSTEDPERPMVQVVLEAGSWEIPWLEFGVYSTDDLGEVIEKHVSTLSEP VDSFEPPISLAIINSGDVKFLSFICHHALYDGVAIQRLLYEVEQLLAGQELLPAPKYE PFLRESLAFARAPETETFWREQLAGLHPRLLIKSFHFAPSSTAELPAPVLLTKPIPIS LSQVLSKARHSSVSLLSLVQASWATVLSVVLRTEDVCFGNVLSGRTLSIEGIDELVAP CFNTVSVRMRLDDLEGRRNIDLVKAFGQLGTRLLEGPGFASLRKVQGLVQAQERLFDT LLLLQQGGGYGEKENSLWKVVRDEGYMDVPIVVEFVPDAEKDELLVNLYLERGHFSKH FADLVLDLLFSTLDNFLKYPASHIWQLDTLPANLKEKLTEMPFNDTEVSTSSAPHVQA NDARKEEWSDLESRICSVLASLMTNKDRRIGRQTTIYQLGLDSISAVQIASMLRKELA SEGTKVNASDIIAHPSCASLAAFLERQKGNNKAVVIEPKTITDGIAKFAEEVQSQLEP QLVSQDIRLMNVEKVLPCTPLQSGMWMQFVQSAQDGGRDYLNFLEYRIDASKGVGLDD LEKAWEMLFKAQPILRTGVMAVEHDNCAFAMVQYQPDAVKKPVLVIKGQPFEVEKWRS DLADGILSEKQPVPWGVSLVEGDDGLAMHVGLHHVLYDAHSLQVLMVELAKAVFGDDL IPLTSTKTGTAVADILTQIETSRQTAEKFWKGQAENTVINSFPVMTPLKESTRKIQVE TLQGSLLLPELEKAAAESGYSLQVLLQASWARVLSAYLGEPSVTFGVVLSGRNTEATR DAIFPCITTLPVIAVNTASNEELLQGMMKYSAELYKQQHQPLAKIQQWLGRPDTRLFD TLVVYQKFSSHTSTTEKQAPWSLVEDTATIDYPVSIEVEPQPNGEVKYAVTFFDDVLP RQQAQLVLRQFQAMVEYLALHPAADEDGLLERRPELFAIVPPEKATLPVPDDIQFLHQ FVEVQALKTSEKTALFFADGFDSRGEPIGREWSYSKLNANGNRVANLLSKHVKVGDIV AVYFDKCPEAFFAILGVLKAGCSFVALDPGAPEARKEFIVKDSGATALLTTADKSTQM DFVVKVPVMGVDLEALKSMSADPPFLDRELLPSDAAYCLYTSGTTGTPKGCEITHDNT VQCMLAFQHIFTGHWEDTSRWLQFASLHFDVSVLEQYWSWSVGITLVGAPKDLILEDL AGTISRLQITHIDLTPSLARLIHPDDVPSLCRGVFITGGESLKQEILDSWGDKAVIYN FYGPTEATIGVTVYPRVPRNGRASNIGRQFVNVGSYVLKPGTSKPVLRGAVGELCVSG KLVGKGYLGREDLTKERFPTLRVLGQEEKVYRTGDLVRVLHDDCFDFLGRADDQVKLR GQRLEIGEINHSIKVGVEEVQDVATVVIRNEKAGKDFLVAFVTTAIRTRGVEQQKLEV IKDGNDTAELLHKVRQACRAKLPGYMVPTYVVRLPYIPLSANNKAEIKELKRLFSSLT QEELVCLSPSASIAPGSLTPTGQKAAAVLAEMLSISPELITPSTSIFELGLDSISALR FSRVLKRSGFPQASPSLILSHPLLADLSQALDLGKAQSNTAQISAAKQLVQACQHRHM ANVCKELGLKREEVEYVAPCTGLQTGMTARREKYYNTFLFKVTEGVDVERLDSVWDEV MAEYPILRTMFVQTTEGFVQVAVKPGATDSRLNWKRLKVEEEAEVEETVKETRREWLR ENTGGVIKRTMELQLFSILRPDLQKERLLALHIFHGVYDANSLEVILQKVASAYRGEV GQGEKNPSFLEALYHGPLQSFSHSQPFWLSHLNNASPEPAFAVVEGASTKAFSSRTTI PFTLQRLSKNLGVTNHSLLQAAWVAVLAKQFGVRNPTIGVIVSGRAIELEGAEHVVGP LLNTLPFHVSVGNRTYKELVRSCHDWNSKVVEWQHVPLREIQKWCGTAGKGLFEVLFS FQQEQPSKSQEADVEGRNKEEELWTIMDEDSNTKVDYPLALEATLTSNGEVKLLIVAQ EAVVGEDMVEKLMEVLVEIMREIGDDPEGVIVGGDGINLVTWQQEPGHEANKVEDVNK GRENSGFVWTEASKTIREQIATLAEVAADTVTETTSILELGLDSIDTIKLSARLRQLG VSIKPSELMKGQTIEGLLPYLEEQKLTNGTQANGDNLRGKTSSSLRDKWVDKIRQQQE GDIETILPATPLQDGMVAEMVHSDFQLYFNHDIMEIEPHVDLGKLNTAWKTVIANSPI LRTSFFMVDDPTLDFAYLQVVHQQLPAEAMKELKIERKEDLFKITDYATARARKAGGH TGFLQLTFATLGDRRFIVLSIAHALYDGWSLSLLHQDVQAAYEGRYTPRPSYEAYLEE IIRSGDGRSADFWSGYLAGAKPTFYPQLSLEQSSVIYQHETTSQLDFYKIKSFTKQHA ITLQTLGQACHAAVLATVTRSLDVMFGAVLSGRAGSEEAEQLMFPTMNTVVVRSVLHG DVKSWLRYMQGVSNDIGGKEHFPLRKMQRLVKGGGQLFNTLFVLQRRVPGGNTGAGGE ALVRSVGGSSAVEYPVCVEMEVVSGADGGEKLVWRTACDSRFVDGEKGAEELLERLDK VLRYLVESGEKNVLEFEGGKMSVCGLDGFTPSTDDDTVAVDGKDLVEDADVGGEWSEL EEKIRSVLAEVAGLEVSTVQKSNNIYHLGLDSISAIKVVSLLRKQGVSIPLRQLLTAK SVPAMAQAATVAGEQPAARSTEAPAPNDTGNGINTVNETSLPPKHIDEKHITALFSQA DIIPSSAGPVINNIEAVLPATAMQVHMLSVWQNTQGDVFHATFTYRLTGNISKSSLSE AWKSVVAEFPILRTIFLANGQPEKPVVQVILRPDALVDNPAEDIDPKQWSSLSRKGQG GLVQPLNSMGGQQADNGDWILSFTIHHALYDAISLPMMVNRLATLCAGASISGEADAS KSLEVWKEYSRQQTHQSARAKQFWTEYLAGAKSTPVDLNLDTSATSSTTGSESTTAAS ALEKVEEAPSPQSTWLPDFLRPLVDGLSRFLPGAEWQPAPAPKHQSRPSRRVSLVQRN AIADVSRIKKLCAAAGVSIQALFFAAYASFVSETIQAKSRDVVFGVYLANRSSDLSEI PYPTLCLVPLRVRVQESLVDMAKRIQEDLYKISDPEHVGAGLWEIKEWTGVVVDGFVN FLSVPVSSSNFDFNGAVRMELVSEEEVKVMGEAKHQQEFSVPKELENNAVRNAYPDTI DVEVAVEGDAVTIGVFGSKYKLGLDGARFVVGEMTKALTAL NEUTE1DRAFT_118011 MSPHCNMDDSSSVSSSGYTSEPVANGVSNSSAAATTTSQYKNFS KSRHLRHTPSDEIHDLVCVGFGPASISVAIATHDAIEAGQLSESPKVLFLEKQADFAW HAGMLLPGAKMQISFLKDLASLRDPRSHFTFLNYLHQNDRLIDFINLSTFTPARVEFE DYLRWCARHFDDVVRYQTEVVAVEPVQSSGPNKLFTVTSRDIITGEITTFQARNVLLA LGGQASIPKPLPQKHPRVIHSSQYASVIPKLLRDQSAPVRVAVLGAGQSAAEIFNNVQ NLYPNSKTYMIMRSEFMKPSDDSPFVNSIFNPEFIDTIHARPSAYRTHFLADAKATNY GVVRLELIEHLYEVMYHQRRVLGTDETKWPHRIMAARKVVSVSEKGDTLKIKVGRYFY GEEEETDVTDGPIVDEETLDVDVIICATGYKRMAHVDMLKPTWPLLPEADQALVAEAA KGSSKDRWFVEGGEEKSMRVIEANRDYSVKFGEGKVAQGSGIWLQGCCEGTHGLSDTL LSVLSTRSGEIVKSIFGA NEUTE1DRAFT_86887 MLTPSCPNVTRTFYPFGDTPAVCLTENIPPDKKSEGKPVDILLL GSGDIRNVFFTAHMDKTRQLDITCCDVDRLVIARNILLLTLLIDPDFNIDPSSSYSPG LPHPPPTSDDLFAIYYHQHLPENLGWLIRSQAMRLRLIGSTMSTWNASPYGRGRDFQP YPSMSKSKKKKGKGKQNAKDPIGDAHNSRNPTSGIRFTDSGTLKMLTQIWDAWFCRPD SAQQEALSDGLFKRLGTAVLKREQEEVGVPYAATRAAACSFRAAMPVGLEATFHATVA NEDFWRWGATGSEERYDEDEDTDESTDTDSELEDNMKKQKKADKGKGKEKETVPSQEF QKQAQERRKKTVKSSADTIQRRVINPLFTTPSPGIRIDHSCDPLQGFHLAPAYLPIVG QPPPDEGLSQLERLEAVAKQEFADWCNSFRRAWRKGRITLRFFIGDALAFAHTLQHHK AEDLGYPIDLTFGNWYRFRDSFDPLILDSDDYRIVPSESNRSPAPISFHVIDTSSLAD DLGALNVLIAASPLLENRLAATLYTEHTLPLPRPSDSNDARLGPKTPQEVADTLLHGD LLTMSLLLGLSPVEYYTNTYPSPPPPTFSSPYSTSAPAPPSLGTPSPPPPPAFGVSPA PSSTPTTTPATAAQYFNQRLTWKRPVYHQPTDGSGRDWTISDKNNIWPGPSPVLREVM EEFARDFEEFEKTTREISEHLKALKAEECEKEEEEEDIEVEQGKGKGKGKAKKKKKPQ KKKTTAKADIPEHVRNIPPEIREEMLDSRAFQQAAFVLRDLELRREFRNQKERWENMR TEMPLRKIRFQADELVEFLLQVYSRMYESRYLFERKNDGDGDGPSDPGVEGSAHRHGV GFRLLSPRTCNRATFASLLKIVQERVVILGDPLPTTDPSEDESIGQVDFSEFLDEIRK TSSEPDRDSINGEISEILAATIDACRSSPDPSASTNSAAATADGDDGHGESATTSNSS DRDANVNFERSDPYEPSSSPVPNLVPCSHFSDSSKSDSESSSASTIIAPSAATFSPFF SSLPSRQTIAWHHTMEVMIESLKHSLVNYVETQGSFDQELCTILHHFGAYSSPEHAIP DPDALLKPRVYNPAHEAIHSASKHNTSLYQWINIPSSLAVTVRIPRHSLLTLKTIMLS LETGLNILRPEIAGGGRGRGKIPARYVPALQACIRPTHDHEGKPVANLPGHLFASLQT GFGQLETKGRRFTPRFRLNIETDPLGWEGQSDLFVSFMVPTSILLRDAVTGLVTLEVV PTIGPDVAGRNELSFMASGTLKMNLKEPVPPAVKTMMTAKMDENHQRIQSISTRITFL TDRLLDRLENRECKIRSVPVTPCSYHLIISRDPTASFFSHGSNYSHLCPVIFLIDIPL PTNHEKQKIRTGRSKGFIDLETPIEPFAWRTFFTPSFMHPVHLEQSTQKPMNWSLPYL HSSNSSSLSSPSSSSGNPLDTLPRFCQSGPLIGCLPDPSLHSTNRFCLWWLQLQNHHY QFSRREATMHSHFSQIADPLPKNASREEKEKQLKEKDEQFANALNRYLITSGEHARFE FKITLSTLFDMAAGILRPKSDWRHINPNIMLTPDTECRSVFALCDFAPSQKSPDCTCH ENCLDCGKEMGSGTGSSVSWSEGAATGNQPEHSRHGMRRIVIFIAKDGICLDLAHGTV ILDTAVLVITKELRQSLPRYLTDGSIGNPMPIYNQSVMSQSMRTWKEALPAFAERCRG HTWEHEPTCEYKKKQRAPVANHVQSRKDDPVFCSCSMGKLPKSWSVCGDYPIPQWEEI GPYLVRAAISLPFGCPGLGEPYQHPMSWDPLLYPHTGPVTGVTPGAPGAVSTSAAAAL GRAPVSTSTLAPATTGMSQPPSANRIQANPTRSAPASVPTRTIAPTGTRSTLSAKAHE IRPPKPASTSTPVAASTSTGLTQAQAQALVAKAARLALNPKRPSTSGQSSVQAPPPAS TKSASANAKPGPATATTKSTVGYRCNFCNEPKNRATGGDLNQCSKCKEARYCSKECQV ADWKRHKKECDIGAGAGAGANAVNGKQKVKGNEKQKDEKKGK NEUTE1DRAFT_124327 MESFQPSGASTHRPLQDSEIRVVTLHPASWYDPVSCTLDHVVLD GPRDDYIAISYTWGDPTQTKDIELNGHSYPVTTNLHLALRYLRNKDKPRRLWIDSLCI DQRDVAERSAQIPRMRDVYAFAAEVHIWLGDYGSTPNRYTLQYTFDRCIADAAKDKKT FHGPKSDSVINTLLKRPWFQRMWVIQEVAVRNWKEDGEKVTFLVGHSSLTWSILGWAC RDMVHLQSNPKQLVPGRNYETNGFLQIRTAWEAQHRVRESPIEGVSYTMSQQLGYLLS RFVNFKVTDPRDRIYSLLGLLYGPGEVPDDLAPDYSKPVDEVFHAYAAWMLRSGACID ILSLNSRQRVGRRCPSWVPDFRGEEHEIWQNLVSNPVKILHHDRVLEIDTLAIGTVHT AGKRCDILAKSKTKSDTCRQYFLDCEVWIHDHAADLIDDSPRKKLGDYCEKSYQAFPS GHRMFYGKVYDTMVRDPEPGGLGMNKKMRRNLPYTRAFADFIASQFDGFAPFICGNGK LEFCDSKVDVPEPGDVLCLLRGSSKQYILRRAPPDSLGKWIMVGTTYNAAFRRGKRSE EEVNIAYTELWTKNADKIFKALIC NEUTE1DRAFT_124328 MGDTPAMCLTQDIPPSFHGRARARTTKRGDTKGKEKEGEKENDR QDDNSHNDQKDPISILLLGCGDLRKILFTVNHDTRNTLFLTLLIDMNSQPPLSPSLQD LFPIYYHLYLPPRLASLIASQARKLIDVSDSLQTWHASTYGREGIRFCDSYSLSRARE VWQCWAQFDGNEDPAVTDVDFKAKFEADLAATRGVKTDERVDSTGYGLIYTAVRSVAP AGDEDMRHLNDLHQRFWRVGSLSPQNSDAVNKESRQVNPMFVTPDVGRVRMHWGLDPL LGFPLAEAYTRTMDEPENVSAPQKVVALVMEKFAAWCQSFKDAWAERSVVTRWFVGDA IAFGHTLQGCANGRTVNVHWYRSRHSFRRLKFDGEDYQPGSFTPAPLSFMVIDTSNLI DDLGALNVLVATSPLLENNLAATIYTEMLTRYHRTYKDEADNLLCGPISTVALLLGLF CVEYSTNTSPYHPSQDAMTYQMMEYGSSLPTDMPPKLYQPYERLRWKRPIAH NEUTE1DRAFT_48420 MPTFVECAISKPGDNKSCHGFAAVQLGYGWPQREVTRVRGSKSI FKTIMRLPSLEGKYHLMVSFMVPTSVLLQDPKTSMVKVQFRRTPNAADYGDILGPGLT INETPLHNYDQVVITRYPPRLRGIPTVYEFPRTLPPPDLSASATISAGMDEIRSEIIP LTARLQFTCRELNSCLAKGYTANYHLVSPCTYLITLSGSFTVSTYDIKSMSESLFIHR DGPETCTWESSFPFSFPLLTDIFTADLITGPVSPDNNSIELLVRTIRPADIREIGASP SWIYPILLSPPLPHSVSPVPYSSVTPISWSLPYLPCIDDLPVLDFQKLRSSGSSWRRF NLWLKNHTYTLSHWEHEHTETQPESLQEDLLSIGELSWLQWKKMVRGMILGFTAAVGR RQNIFAVCLGGDQRRVVSMVFFVSCLRLDVANRCVVLDGAVLVRTAETAGLVDGLEKA LNDCADATAPAWTEAELDTSLKYFNTTREGIHLWKEILPAYVERCQTWEHDAEGCEYL LRAGVTGVIIPMDDDTEESSVLCSCGNGRVPGHDSVPQKVWERIKKHLVRVAISPPFG CPFVEEPYVPEIKKNVNNPTPRPPPPPKAVCRNPNCKRKDGRELDHTCPVCENTKYLY CSRPCRKADTARHKKEECQGGKGKKK NEUTE1DRAFT_112507 MWPFAPSYPTVHAADIHDQTFDYIIVGGGTAGCVLASRLSESPN VSVLLLEKGRVHDNFLSGIPLLSQNFEFPFLQSVRRESDPIPAANGRRAALWTAEALG GATRLNALLWTRGGAGGYNQWAEDYGLEDWSWERIEPWFRKSEDVVRPRKPGLTLGCI PFVEEATRRVGLPVGEGVNDPGANVQGCFVMDQTIDGKGQRVSAYKAWLPRETVRERK GLKICTGVVASRLLFSKNRTQVTGVRVREGDREYTVKTRREVIVCSGTICTPQLLMLS GIGPRQHLESLKIPVLHNLPAVGQGLSDHTSVPIIMKLPRKHTLHCLENAFAFLWHLL LYLFFGRGLLANGTTPRSIFVRTAALEENTMTVRHNDDSDQDTMEASLPRNVPDVEIM INPVNCLTVSVSGKSLFTWYTTLTQPFLRGHIKLVSDDPLADPKICHPMMTDNRDRAT MRKAVRFTMRLAEEFTNTGYPHEAPLTIAPGMDLVYLDALYKTKTGLLQRFWTTKKPA DEEPVPVPGKDLLPGARQLSASTSTKRESKKQQFPKANWRTVTDKEIDAYASRVYPEC AYDGTGGHDGGTMR NEUTE1DRAFT_68211 MSSSLVTKTALTAATRAAPRAALAVPLSRRGFASVAPVTGTSRS HRIVVVGGGAAGLSISHQLLRTGKFSPEEIAVIDPAQYHHYQPGWTLVGGGLKDPLSL RRDEASLIDPKLKFYNQAVSQLSPETDSVTLANGDKIGYEHLVVVPGIEITYDKIKGL PEALADPSAPVSTIYGAHTVSKVFPTIEKLKRGQAIFTQPAGVIKCAGAPQKAMWLAL DHWKRAGLYNPSNPSSGAIQIAFATALPAMFGVPKYSARLEELRKERGVEGLFAHDLV EVNGNKAIFAKGEEKVTREFDLLHVVPKMGPYAFVKNSAVADAAGFVDVNQATTQSNK FKNVWSAGDASSLPTSKTAAAITAEAPVLVGNLLRSLEGKEPALEYDGYTSCPLVTEY GKVMLAEFKYAGVPKETFGGLPIVGGALDQGTPRRAFYHLKKDFFPWVYFNAMVKGTW GGPKGWKF NEUTE1DRAFT_112509 MTSLQPYLAEVCETTSSLLTSSTCAPCLYHIRHLTTSFPRSASR IATTRSLSSTSSASTSQRRTIYRSHRPHLQQSHIVPTNSIQPFPLRRTYTTTTSPPEP TITPLFEPITSTFQYLVADPLTLTAAIIDPVLDYSPLDNSISTTSADALLSLIASKGY RIAWILETHAHADHLSASSYLQKQLTESQGEAHKPPIGIGKRIGTVQTLFSKRYGVPD EEVKEVFGHLFDDDQVFRIGNLEAKAIHLPGHTPDHLGYQIGNNVFCGDSLFNADIGT ARCDFPGGSAQDLYRSGRKLLQSLPDETKIWTGHDYPPENRKEPVPCLTVREHRERNK HLRDGITEEEFVKAREERDRDLAAPRLLHQSLQVNIRAGKLPGENESGLRLLHLPVKI KTDKNW NEUTE1DRAFT_124331 MSVISLHNAPLEILLIILDNVDPISLINLAQTCQTLRATIRPTR INFLQRLLALELIPEYGGIAPLLRGRDSHISPRMGSKDWESNKYACGGCLKLLPHTRF DNHNILRLDLRKPPPGSREANRLAEWWYLDRWDDGVRARIFQHRIHIRARDESLADVR MRYHEATHPWESMRQAGGINQFLWAGNVEADILTTNDQIASNHELLICGLRRHNRRCI ECIFVRGDWHRNKNSMNNGGRPILPSPVVTGRKLDFYNFFDRCFPNLFHPVPRARMGR RFLYYGAHDNKTYHLTMRILRCGGCAKWREASQFRLPVIAYEEVHFLTDAMSKYCVQD AELGELREPRCNRCFLREHGMAAFRRHLVAFARSLMVDALERARYQVLFGWDKLCDDF RLPFGDFANYWVEYGKSIVSDFPIQGEDLLAEPFLTTHQLDELSRRFTCFTSFVERMY QGLRPVRPAISDIRSNEEIMASTMDSWFRVWYDDYHLYEKTYRRLQDQLKWIEQHELE VVSYALEADPWGPESWDLGWEVEEER NEUTE1DRAFT_103442 MCFQIPFTPAICRTCGSTKPIPDEKIRCPTAQELGIEFEDDYAN KCPNGVRTITVQQKADKELERAVVCLVCGPSEVLPREGGDD NEUTE1DRAFT_48737 MPAGVDNIPLDTVPTVEDTPGLPRLKYNMMDYKWKLIIVSSLLV IESSLLPIALFYGLWYGTDLRHGIVFAIITAFFGLVTGIEFGLRSLKLILPRDEYRPL GTDPVKDRWKFDFTHMTLSFGYTYMTGILIGASIPHEPIVKPLAMPVPLFFIQMGLQL LWTGWANKKHKKAPCRISSVPKGGRVPPLVLTIVEDIVAVDGAGGKEYRRAINARYEV SKRFREMIARQNWFWGVGALVDGAATMIVIWTIPQEIAYGVAWASPLIFSAIWTVITV IWVRRDLRREKAEWRANAEPSLSTEDTTTQEMQQRSVV NEUTE1DRAFT_118015 MVMVTTCVCPITEYLLCTRAGISERLHNIVQGNAAGEAYAMLVN GLFLVYLIFRYGILPWFVTAVASESIVLTML NEUTE1DRAFT_148419 MAYPLCLGPSTPYNFGLGSDFQIALIQPFLYFFLAVLLDIAPRE VTPKPQSLTGTASLDTGSLTQPDELLASLAQSRNETRVKAACISLLFTVLLCFFSHQL DFMWHDPHAFHSRHGPVPSFTKNGLFDSDPIPAPDDYAVTKSREATRLLFVVVISGVL CPIADCLCRHGDGGLVCPDDWESRLLRSDFGRFIADEVYDSFVLSQSGYDGMMHVMKA IMFLVRIVFPCMATAATTIALAFATFGRRHGVVDIWDRRIERWVVAFALERSYARLDE WRILWQRGDQGRSMQAVLNEVDMGERNKETIVWRRGMKEGRGYGPDYWLPREKEPQRP FQFSSAVDEDAYRYVG NEUTE1DRAFT_103444 MTAEAAVEPIQVSLYGSTQSVTASIYEYRDVHGRLYQASKTTEY IFPVDEQHLQGYDLAHEYMLILFSNKFHLAPISNPQTILDIGTGTGNWAIDMATEIPS ASVIGTDISAVQPTFAPPNVSFQIDDAQLDWTFEPNHFDFIHCRFLYGGIDDWAKLYR QAYTHLKPGGWFQNVEIDIETLSENPKVQNDQNHVFKTWCQLFWAVGDKTGRTMRIGR DGTMERLMKEQGFTEVVHKSYKVPIGAWAKDRKLKQVGLLNWHYIDQSLDGFAVYPMG QVLGWSREEVGELVDRMRRAIRDVRSLSYYTVKVVYGRKPEAGQ NEUTE1DRAFT_16968 TTNPRHKPRSFAVLGGPGSGKSTICATWAKLDGGVMHIAIGDIL RSEAKRPDSPYAEVLKANLAKGAIGDPEMTVGLIKDYIRTRIRSATGPIHTYLLDGFP RAVASAQYFERTVGPISKVIVLDIPEATLIDRCLQRNRSDDNHDAIRERIRVYNTKSA EVISAYALRGKV NEUTE1DRAFT_49491 PTLLGLHSPVDTPGSHTNDKRQSSGSGSLFDVTPHSFFSFSIGV LGCKINTNRVAYWPDEVDCDNLCVKLTNVTNGRSVTLLRIDHSGGAHDISYDAWNYLA TGHPAAPGTAIAGGAMGEVHYQYLPADNCKSLITDGSGKLPLMGANSMNFLSSCLSRD TWVGKNYQLWNINDSLCHTGYDEKCTLAAGANQATCPHALGSGAAVSIANPTDHKVMN IEYLTGKEVPA NEUTE1DRAFT_50171 MKENADKRNPYYLSIDYWIEIKKGPNNKEFSLLFGLLYSIIYKE FLILKKILKDLLDKGFIRANSSEAGILVLFIRKLEGSFHFYCNY NEUTE1DRAFT_140285 MLANVMTVLGLTAATLTSAAVIPPVRRSEAIAITPHDKYSSSVG VLGCKIDINRVAYWPSAVDCNNICVRVTANGRSVTLLKIDSSTGAYDISYDAYAYLQN GVGAAGGAALGGAVAATYEFVSPEECRPLIKTPDGKLPFTAANSMNFINSCQGGSWVG NNFQLYNVADARCQWGEDVPCSFPDLAVTNQPDCGAGHVLGIQKALPAEYAVVDIPYP N NEUTE1DRAFT_49842 MALHQFGVSLETYLMANPTLKGVIVSAVVLHQDHILLIQRAATD GFPNLWETPGGGVDLGDETLSHALARELLEETGLALNHVMALLDQLEFEGASGEGRYR KLTFLVSVESTHSLQEHPQVRLNSTEHQDFMWVSKDHLRIGVCGGREIKFAYPGQLET LYAAFGADTKTQTGMETQSAINGT NEUTE1DRAFT_118016 MATDSSTQTETLLSEMDEDDDFVIITHQDVNAARRPRFLNDISD YIDSIAEELWPINKKIHDKPELGYGEVIAHYTLTAYMKTQPGWTVTRSAYGMKTAWVA VYDTGKRGPCVSFNVEMDALPAIGHACGHNLIATASLAGALATVHLLSLYSLPGRVVL FGTPAEEGGGGKIRLLAAGAYKDYGVDISLISHPGTIPDCALTHTTAYIRMKVEYFGR EAHAAAEPWLGVNALDALITAYNGISVLRQQTMPSDIIQGHITDGGAGPNIIHAYAAG IFVVRAATQRRLEALVEKVIGCFDAGAMATGAKVKVTRLMAYKDHVPNRLLARSYARY FNPLVPEEGDGGRDGDGNEAGGPSKIPEDQDVDEMRGRSGASSDQGDIAHAMPSIMPG FEIPPGPGGAGPHNPGFAESAGTRLAFERSLRVAKALAGTAIDVLTIDGLLEEVKKEW RRSMTGSRRETAGRTERLTTL NEUTE1DRAFT_49878 MMRFQCSQLSIERLDPLVNPGMLPSTHMHQIVGGNSFNATMTPV EYDPSTQSTCTTCSFAEDLSNYWTANLYFRAKNGSYKRVPQMVNLGLTGQGGITVYYI PPYDGKTKVTAFKPGFRMLVGDAMLRSNRGMQRQICHRCEHNIQQSPFGGAPCTGDDT VTFPNKMCPGGIRTTITFPTCWDGKNVDSPDHKSHVAYPSSGTFESTGPCPASHPVRL PQLMYEVMWDTRQFNDKAIWPDKGQPFVYSMGDGTGYGQHGDYVFGWKGDALQRALDA RCSGDRCSQLKTQTPEQALACFKKQTVKEETEGWVSELPGGMQVTYQ NEUTE1DRAFT_68228 MATTIMTMATTITTPGPILLPPTPPQTPPLEAKRSSSSFSPISS DAFYSDENLPTLPLPAAKTTHLPLSSALGLPSPKNTTFAFEKPPPPPSKPTLSRLAVS SRLSELGWKSRPRQGPQGDCRQLQKPNRSLQVPTLLPGGVPAVVLHGPKCECREREET TEKAGDDELVARVLALAQRTAVRQRLEQQRRVREEQRRAAEKRERSLERKGKRGGMIC GWRVGIPKEVTRFFSSRTSSVSLSDSSSDSDSDPESMTVTGSTSMGLGFDQDKITDLC LACSNLDIDKIVTHLFTNKVPINGRCTITTSAEVPLDSQPVETTPLFSVLRSPLFSAR PKGQTAILRLLLDLGADPNASVSVLPLSSEFESTHLPAQTQPGRLTILSAACILGLPE AVSLLLERGANVDARKTSLPLGVDGKWGKGLSALDVATMAGHDRVVEILLRAGAPVTG TCDLFLPAQLPINKFTGRPKLRSASTSNLLSKPSQSQLLQVPTSEPQWPSPLPIQRKT TRLSGVTPLHLASLSGNLSIASMLLSSSPSNKADMTNARTSSTNSSSARSGGRTPLHY AAESLNLELSSLLLSDPRTDPDATDDDGATPLALLVGKVESTYSMRQQEEVVELVRRL LRAGANAGVVRCGTELLSLRARLLALDDGREHGNRRRWGDLFEEDARGVLRGSVKRRY T NEUTE1DRAFT_49794 IGQPSLKEDNERLESNLRFRRDASMGWQQRAVSTLLVPAHTWLI NAAAIASARISKCA NEUTE1DRAFT_103450 MRDCSLEIVQVDTYSPSGRPGSSTISYIKTTITDPNSATNATAN CNIEWDGLTKGEMPYDTALECTPVQDGLWEFEVLRADPGDSERPSISNFILRFTRMTN GGNLYVGSVTLSQQRSGPPESTPVRVTPKEIGNRAVLKEEYTPVVVVPE NEUTE1DRAFT_86912 MPYITEGEPKPIGSYPDSGIDVLIVGTGLAGLTAAIECRRKGHT VRILEKCPDINTQGDMYFMGLSATKFFKHWPEMAKEYDEISLHNSWLATYKHDGELVI PPLKVAERLREAGLDPGTPPGLFQMRPLVYRMYIRQVGRLGIPITFNKKVVDYYEDLK REVAGVITEDGERYEAHVVIAADGVGSKAQKLLGGQVRAMKSGRAMWRAAFDIRYLDQ KPELKKFFSMHIPEGGGKPEPIVRTWLGPGTYAMQLTRPDTVIWIMNHDVTGSEKESW THTVESDEVLRNMDNKVPTPWAPELKELIKLTPPKTIINFELFWRNPQPKWVSPGGRV VNIGDAAHSFLPASGNGATQAIEDAVSLASCLQIGGWDNVPQSVRAHVRMRFIRNACA QKLGFFNAELLQDTDWKNAKVDYRNAQPRMPRWVWQHDPEKYAYEVYDQVVLNMKRGI PFNKDFEVPPNYPPGYKYEPWKIEDIMEALRAGKKVELGAGDWS NEUTE1DRAFT_112520 MQLLTLVIVGLFMLIVAVVHFIKAFREVNDPNGIPGPTQIPYLG RVHDLPIQFMWLKFKEWADKYGQQGFYRTMMLGAEFIVVTDEKVAEDLLVKRAKYNSD RPVIQSLFDSKSTHGSMEYLPLMGHNTYWARQRKLSHSYLTEATKAQYYGVMYFEVQR WMARLLENPEDFQHSIEDMSSKVMCQLTWDDPSLSEYCTKSAWGLLTQMSPAGPITNV LTPLWHLPILINPWKRAERKRHDEQQAWWMERLLTCREKLARGELRPCWTRQFLEKTS QKTSISGDYEASCVIGMLALVGIFTVVGPMSYWLVSMVHNPKWQEAVQREVDEVCGNR MPRLEDAPRLPILRACIKETMRWKPNVPTGVAHETEADDHYQGYFIPKGTRILPFDWS FLRNPVKYPDPENFRPERWLEPGWPTYKEPLTQYPTIKGLTSFGWGQRQCLGMSLTQD ELIVGCGALAWLFNLRHKRDPVTGRELPVPLDRSNSLLIIKPDPFQMEFEPRSEERKK EALRLWKESEAKDRARRERWLRNVKEGKANVIKEPKVAQPTLKIPSPSPAAAVPAALV DGGEVRDGFSKTVQVVEEKSGGVNGHGGSLAEKAVIDVKKEADISITIARLDSTAYES KGLRNEKRGKPTRQVDCPGRLQWRRECGADQNVPSPGRLGHDPILLTWTTAAASIRVS QRAPSRLGAIDRLDRDPIPNRGLDALA NEUTE1DRAFT_86915 MSSSSSLIPTEALVGTTVAMSFILLGNAITQSFMGVPALLINFP HPSSPSHPQAAKHLGQQWPVFWRVGNVFFRPISTFGIIGYGYAAWASYSRSFSSSSSS TTVTSGSNNNNAWKFYAISALCHLITVVHSAVNMQPINAKIEGLNRREGDKNKTDASL AEYYARKWARLNLVRLVMPAVAGSVALWTTLRAGAGGRI NEUTE1DRAFT_50142 MASPSTPPSTDPTLEHSIQHHVYSGLPRPQSVADTRLKTLRRLL KGYSSLSAPTLLQPLSPNFTHEVLPQSLGMPVRGKEDFAHHAAMVFSAFQSFDMKPTE LWEDPDRGGVILRCAMEGILKTKEKPEGEKGEGKAEEGGKVGMEAKEEVTPQVDMEDV YNPDGDLSNILGDLAESPEIPETLLSPITEVTEPGSEIPPLSSLSLSNPSTFSCHEEE QEPTSSSPPTSLEDAHPSPPSPSPPILEEPSFQSQQDKSTDKETIHWNNECLLIISFT PCGSQISKIQEFVDSAKAVEMKKKHAPKGFDEASAPPPPTYKPATYLDLDTLKLKDGG GGCPGHGHQLGMDRPVQYPMPMNGLNSLDTSDTFSTTTEYPSSNQNSPLRCLVDWSAE TSGPPSTNDADAEEADCTPKWKKLRFATTKSTSPAKGPEAFNSGSGSGSGFGHVHGHN SGLWSSSGDLVDHEHGRGENKGWSGTVVDTIEVINQFVPSRLLPVELDDRTGQVAVKR EWVSGAATFVAGVALGNFWFGGGRGGGRVG NEUTE1DRAFT_103455 MTKKTILVTGATGQQGGAVIDALMDLDKSGEKFSILAVTRKADS PAALDLVKRYPRVKLVEGNLENVPALFESAKIIAGDQQLPIWGVFSVQVSMGPGVTFD GEVKQGKALIDGAIANGVQHFVYSSVERGGDARSWENSTPIPHFQTKKIIEDYLNATC GKQPGSRMGWTILRPVAFMDNLKPGMPTSLFLTALKNHLGENRKSLQWIAVHDIGVFA AKVFDAPEDWNHTAVGLAGDELTIEQLSRAFSKATGYPMPLTYSFAGSLLTFVARELG LMIGWFASEGYKADIEARRRDHPGMLTMEQWLLTKSHFSTGVDGAQIGA NEUTE1DRAFT_86919 MKFTILTSLFFTALSVNAAVIESDTSVLRRDIFARQNAGRPVPS GTCCVANTSLKQDVCNVNGQTGRCVPASVNNCGERLTCIEDSRLTCNAKVLERGRPLC RLRQGA NEUTE1DRAFT_140299 MAANAFMSWTLLRGSGVAEQDTFGGFARDFANPPPDGALAVAPS YLSLAPSSPLRSVPSPLPTAANPSSPIQPPSPLSAAAAAASPLFFLPPPTSPSYPLPA SSLRKHPRPEDEDDRNDEAEDDDEDEGPQPVNILEES NEUTE1DRAFT_140300 MAQDASRRPEEGQKVAGMGASHGGKQHHRRIRLLKMVCRWPGPL AFTTLIWKGANLSKVFTLLKGQHDAVELFRAGFRAVGADGFSKKLETAVAKLPQRVVT LEKRMANLAYFQLCSSVFHQLGEKRHITKGLNTELLKLGKNLKGCDVPKMDISSLGAT IALLYNENVQRFENPKILAPLKNVFASSKIFVRPD NEUTE1DRAFT_49082 MWPCPALPLKNSNLASREDVSGQFDDMAGQAKLLIERFARYAVG ASRAEIKRRVESANELAQKNKWKVEVTLEDKGIKNELIEEIVERKMKLLVGKQGEMLS TPAARHTIALTGKMAPVYDVEYDFHPDGAAIPYLVEVDSSSAGSSPVLHHPSDLEDVD YWFRLEYADWESAVNKDPKTRDSSAPRPVARNKRSWNGRGEMEKRVKRQRLDLDGSFN TALLDKQAIHRKGTSNLTHKHNYRFWFLRATA NEUTE1DRAFT_140303 MSSTRSSHASATHHADNHDFDPVLKDQDNDSLEEGETTLSLPEV SFLPRQATPEIKKSILPVPAVGISATSASPRLIQMMKTVRCLDDNALDC NEUTE1DRAFT_140304 MDLTHKSPLVDESTENTAIVEDSSAANPSVTSNIPSAGPVVVPS FVAFGQAVPLRPFPASFSLTLPPSRPLALQQPFPFFQLPPEIRNIVYGALLTSEELIV PHNTLPVPTFHYQAQDARRAAGPLFHTFPTLLRVSREFAREAAMIFYTTNTFHLDLVH HRVWLVRITRPNSFNIRHLRIKCYQESDRNRETEAWIAKLVNMGNTITKRCRGLMKLE IQFGRLWIGTEEGGEVMPARQCLRLVVENEAIVTAWRTNSLSRLKRITVSIREEWQHT EYLVGLLRQLAGNVKRGVVVEGIHVATVNRYRLPGASPQENHYTQVDQERKFFEGRYD RETGTVATQVVPWEIPAGWPTVYRRGHE NEUTE1DRAFT_124339 MLLPLKPLIAALALTGTAVVQAAPLFDSVTAFLTHLTTNPPNAA QHLDLKTPSSDPTGLNTISTLSSSAQSVLQKNQAYLLLINATPFTLRRTGGHSYQMPA WDNSFPDLVQPGESVRVYIEAKTHKDDAAEMRYVVDELGGREVEFQYRGRDGPVLQVA WKSLAAVNNPVGSVRKLVWHQGNNVPFVLAAAPSAENTNFDPAKELMASPGLPTAGWM KQGGMSLLTGGTPLVKARPESVQCHASQMTVGKQLEAGARWFDVRPVIAGGKWATGHY TFFNGIKLPGGLDKIPGLGDLAKEIGKLGGGDKEMVLEGWQGGNGQYIADIVREVNEF TEQNPGELVVINLSHGLNTDTFSRDPNAHLSQQEWEKLMTQLLAVKNRATHITQPNID LTYLSLSDLLPPSDNGDASRRGSAVLLVVDDITSDNNQRVDLSKFANQGFFFRGQLAI FDSYADTNNLNDMISDQFAKMQTHRTKADDAMFLLSWTLTQPPETTIIGSIVKYAQDA NMALGEKLWAQGRMTRQTYPNVVSVDAFDGDGEVAGLVAAINWWLTGECPAL NEUTE1DRAFT_86925 MSPGKLKKPLLALNRIIGHSSAKNHMSKPKIGDIDQLDDERAKK NIQKIQEKRAKEYQLEEEKKLMIQKRSEEEKRWHEADGPEIARRYGTQEEEALAPAKS LQVLVNDYQNIGKTVSFRARVHHVRALSSKLAFVVFRDQIETVQGVLSVRDGVVSENF VRWAEHITAESFVHVEGKLQQPPEEIKGCTIHNVEVLIDTMHLVVPIDEHLPVDVHSI DHVEVDSETHQLESLASTRVRVSNRIAFLRTPTAQSIFRINAGICSIFRRYLEDKGFM EIHTPKLQPAATESGAEVFKVNYFGRTAFLAQSPQLAKQMSISADFGRVFEIGPVFRA EDSNTHRHLTEYTGMDLEMAIEQDYHEAMHIIDGLMKEIFKGIYGKYRKEIEIIKTRF PHEDLVWLPETPIIPFKDAIKLLNDSGWTDDHGRPALETEDLSTRAEIQLGQVIKEKY KTDYYIIDKFPSSVRPFYTHLDPEDDRFTNSFDIFLRGQEITTGGQRIHRPHLLEERM KKAGIEPLGMQEYLQGFEYGVLPHAGCGIGLERIVFLLLNLGDIRNASLIPRDPKSLP EHKELVGKLPHPEADTIKYAYDYDHGATGLELPPVEKLIVNYGDATNTSWLDERYHVW RHEQTGAAIGYAEDNGYALVMGNPLCDPRQYQLVIRAFLQDMRHTKDLRPLWLLVGPE VEEILGSKLGWRSLSCVAEERVSVDSAKKVAKKERQAEEAGVSIHEVPIGEPVPEHIR EKCDKRIQDWKDNRKGKKQVHITEVRPWVDMEHRRYLWAEDKNGEIVAMCVLARLSPA NGYQIKFALDFPGSPNGTIEALISAAIQMLAKSGVRNVTFGAGALPEMVTGGHLNGMR AKMLSHTYKTICQQLKLVNKSEFREKFGARNDLVYICYPFMGLGVSGVRTLIKFFEDE M NEUTE1DRAFT_124341 MTGKIPTVEGIPVLPLSHKDDGSWVRPETANDAINPAPSRWYLK AQASALRSGLYIGMTLHYMASPRPPNPNFTLTIPSLLSKHKGTFTLQFYTPKGYEDAA KHGKRYPAVVNFHGGGFTIGNGTDDARFARYVLETCDAVFVSVDYRLAPEYPFPTAVD DAADALFYLIRQSADLHIDPMKLATSGFSAGGNIAITATLRFNEHLKELADPDNKAPP VPEHKIRAIATWYPVTDYSISRAEKRATCVKPESTLPPAMTNLFDGSYLYPGDIKMAH PWLSPARASDDELREAIPQNVLVYTCEWDMLQKEGEAFAKRLAAEPLNKTVHHRMIPG VAHGWDKSPDPLKPAIHTQEVYTDCCRKLLAIFNAVD NEUTE1DRAFT_124342 MAPIRVALIGLSSSSPSWLSLAHLSYLLSARGQQKFQIVALQNS SLSAAEAAIKKYNFDPTVVKAYGSPEDLAKDENVDLVVSGTRVDVHYGNLKRLLEGWK ATGKTAGKGVYSEWPLASNLQQVEELVALAKETGIKTAVGTQGWASPVVKKIGEVLKS GRVGKVLSSEVRLSGVTAEREVMQEKTEYFTRREVGGNMWSIGGGHLIDLLQSALGEL TNVKSHFHIQRPLVPLKDSTTGTITRTVTSNTPDLLYVVGSLPASDTVQQNASLHLRM RRGPPFPGEPAFVWTLTGEKGEIRVSSKESVAIILGAGEVKIEIHDYQTDKVEEVEWK WEEWQEELPAPARNVGSVYEGVWEAWNEGISKEEGREKRYNDWEVAGRRHELLERLLV DNGF NEUTE1DRAFT_95933 MVIWKDANHQDQQTSDLRIDLLVDTAKREAVFALHGFFYTKNGG HKAYLSLLVYPEKVESIEFERARFVPDSIMNNIPDDSTVSLRFTMTQPPNLMVPKDRR VEPKPRYQAVVDTIASLGSVNRFTIYLSDLTPEIQQELALLPSVFSSVRPFGRLQADE KWAPPSSLYQYTFAQVIDLTSAAPVSNGDSTKEFEELGNPVEAGAAVPPPYSPGDSQR SIQSIGPSSKKRRASEPLLAHTTEKHGDSDSSILGYGTPGKGPQPPYTRSDSLASPAH FSTPSDRKRQRTAELLSPVTNADIVSALRQVLTYNTSLSNRISQLETRLDHCSSRLDS LITEFVAATDRTRTPCRYDTEEAEHVFSQIDQRIEDGIHDIRHELEDTIKVEAEERVT EEVRLQHEELRDKVEADWTEDVRQGIAEQIASEVEEKTTREVLKGIAEVLMGAYQASQ DGISSLFPGNSRFGATAALPGEAALRAAVEDIQSKHKDELTGEEMIGVLDLLEENPLS AVKYNACGEETRRVYVMKWKADVSGRGIFKSTWYKR NEUTE1DRAFT_86935 MTDSRAQGQPPLELYPQYCFHLSPTINKWCHLRAADIAILSSHP GFQGQDLYFHLNHPIKWVRICGIVVAIDVYGDSSSRSKGQIQVITIDDSSGHTIECVI PLPPPAAPSVPPLGKQEQQQPPQSLPLIDSPIDIGHILDIKGSLRNFRDQRQVKAEKI VHLRTTEQEVAFWERVVQLRKEILDKPWKLDEKVVRRLRREAMGVDERDAKREKEKDE ARRERKRRRRLEEERRRLEKERRVEGEKRVAFTSDSVGAAKTQALQVVVPTRARKNVT GLERKVVSKPPIPTRPKEPTGLERKTKSVSSDRVVPVTGKYTALGL NEUTE1DRAFT_86937 MSGSQQGEWPPFAGYQVPNMSGNQQGDLPQFDVNQGPMISGAQP GESPKFGDDEMPTGSMYGSQQGELPQFDPNELPGIYENQHGELEQFDVNQAPMLFGDQ QVMLEQFDANQMPTESMYGSQQGESPLSPANQVPMMFGNQQGESSLSPANQVPTMSSN QQGESQQFNANQALILFSNQQGELSLSPTNQVPTMSSNQQGESQQFNANQALIMFSNQ QGELPQFNANQRPMMFGSQQGESSLSPANQAPLGATSAQGGQGAEQSASIESVMAALT HLAAVCEGIETTLPMDVYRELQRDYNALVSAVQAKIYLPREPVPQPILEASIRIESRL MHHTPVDDVASPVLGRRAAPPCQIESMNSVAMAQTVATLNQAFPMPCRSCAGTGTACQ RSFIDEECAFCEGSNRECKTDYTVDTGPPPVSGGSAGAAANTRRVMDNLFQEHCKAIF DIVHSRVRDATTVYLNIAFFQRWLHCNMEIRVAKNPPACLETSRGWAVRECVQGRLSR MKLMARDTPDTFSVRFGAFGLFRDSIARNLFWLDTYWLAEICPEQRLEIRNTVLDPLP QIESHSSSLTNPSFALQLVDLLSFCNITAGYICSAQSYLALAHREFLRANCYMVAQGF VET NEUTE1DRAFT_103465 MAVTTPTVAPSPSYACPSCKGTGSNLKPSPVSSASSSPFPSKGY SQQSPPINKRNYNNSNSKRSDGDLFSTPISPDLSIPQSIPSQPNHPAPRKGIKQSQEG GGTAIPTNKFHANFFLGSQSSSVWTHPYSLQWAKGQGSSGAWGLAVSHTDASQRVFGE QDPNGSGAARWFGSPVGRADVVLSEQGLEKGNATGGVELMTQDVKEQSIRVQLVAGGD VKLEAPVVQGMGMVTALYKQGTPVIKSGIGWKMVTKAMGVVKAGVVKYRLVMEDGATW LLYATGGDVSAIKRGEPGGLELEVQGNNVAVAKGSFTGMVQVAKLPGGVNGTEGLADA EKLYDAACGTYATGVELSGSVDGQTGRYTFNFQKEGLSTNSSLVMFALPHHQASFSSD TASKVVPSVKLDTTTKGVAVAVVGDQWTMEEDVSKPMSMGFVPWTPEAGSIKTISDSA KEYIKTVAQKELLGTSQGQDILNQTDQPSMYFGGKALAKFAAILVAVNDVLGETDMAK KGLDQLKVAFARYSENQQQYPLVYDQSWSGIVSSASYTTGDAGVDFGNTYYNDHHFHY GYFIYTGAVLAHLDPSWASSASNLAYVNSLVRDVANPSSSLDPHFPSFRTFDWYHGHS WAHGLFESSDGKDQESSSEDSMHVYALLMWAQATNNQALYQRSALQLSILSRSLNSYY LYSSSNPSSNIQPKEFVGNKVAGILFENKIDHVTFFGNKQEYIQGIHMLPLMPHTLFV RNREFVKEEWEAFFAGGAADKAEGGWKGVLYGNYATVDPRGAWEVFTGGAAAEVSTGG SQLVESPGSGSGNGTIGTGTGTGTVESMTSTASTASTTTTPGSTTPTSTSVPTTPGAM SSKMVKRTIRRALEKGKGKMGMPLARAALPKAKKDGFGPENIDGGASLTWYLTWCAAL GGL NEUTE1DRAFT_148434 MGNPLTNLISTVTVPFRAQIRRASSIVAPKHQGDHPNGISNGNG YTHINGTNPSTNGHLTGHINGHTNGYTTMDSERRPLLANGTSNGGRWTTESHSDFSWR DFFLDTTNTPGTNHPNPLVKYPTRVWNVTKVTLLSSWINILLIFVPLGIIAGERGWSA PAVFTLNFFAIIPLAAVLSFATEEIAHRLGETLGGLVNATFGNAVELIVSIVALRAGE IEVVQASMLGSILSNLLLVLGMCFLLGGIFNMRDSNGVGIEQTFASGTAQTTCSMMTL ASASLIIPAALYTMLNHSDSQEKQDSILMLSRGTAVILLLLYCAYLVFVLRTHKELFE PEAQAVGDVVTEPKEDPVLSPWSAALVLIVTTVIISYAADYMVDSIDDIAATGAMSKT FIGLILIPIVGNAAEHVTACVVAVKNKMDLAMGVAIGSSIQIALLVTPSLVLLGWAIG QPMSLHFETFETIAFALSVMVVTYTVQDGKSNYLEGAMLMGLYVIIALAFWASPADAL QKLSTIF NEUTE1DRAFT_68261 MTEVLNPRRQQQPSSSSDSPSRERPRPPALKTSHSALRSINRPP NVRRISNRVSIAEPKPEPKPEETQLKAETITSSVPPNPATDNAAQPPPSNLAANPIAH TASTLKIAQTLHSSLSSRLQLHVTTTPWATRNILTLDGGGIKGYSSLILLRTLMQEIA ALEQSLSPPAFCSADPTGNESYYFTASDDEEDRHFYSGVGTEMEMLDCEKRAGKLRDM HMRGKGKVKVGELKVDVPPETMRKGEYLPSHYFDYIAGTSVGGLIAIMLGVMGRTVEG CLEEFRNGEGRSRRDGRGLPVLFLEMEEDAAAAEEEEKVDVGDGGSQSQKREGSSSRA EGRRRRVISFPGLSGTFEIPLPGFHGGADTSREKDKRRTTWPTKKSRAWFDTFAKFSV TSTDLTGSGNNTAASRAIVSDSEDISPTTKRANTNNTVASSCSSTTTSSPTSSISFKK TSFQCQTLAWCSEIDSTTPTERHPYAFCTYEEVLVADMDGSDEEGKVPSIPEVAKAIT TPSDSLQFKPFKLPAIGQFVDGSKEIRDPTLPVLKEISSLLGVDPSTPPSVPDTSNPP IDLLLSLGTDEHNAWFYEKLVHPFSSRSSPSEYSSSMSPSTTSSNSPSARGTSSPISS PSSSTTNTNSPALEELRQSKGHLYTHYHRFQVSPLSLSPFFSLPFSSLPFRRRHRTTN NHHLDEIEQATERWLSANNGEQRRLLKKYAEVLVRKRRARSATTRWETFALGVRYFCW VEGCERNPDTCKKGQGKGKGREGGRRRDGDGKEDGNDIKGEKGEERDEAEEGEGEGKG EGDRGFDTRGDFWDHLNGRHGLLSKKGAREVEDELDKGRRFGFE NEUTE1DRAFT_148436 MDPLSAFELAQVVLDNTVRLFKFVSALVEYPEECEKYRLQLIIE YNRVLAWAKAAGLIEVTPGSSLASTLGTNATELITILARIQWLLAEFRDLNARYGNEL VPSDISPAKDKGKEKDGKESKDVKGRTKDAKGSGTDSRDKDPKAVGGASSSKEKSTSS EPKPATDEALLSQISSLALSYDQSQKEQKLKVEKEEDAKHRRGTNHIRRFFRTTKEVV THPSRVRWVMVDKEAFEALLLDLQSLTERLDELMRGYQQSKMDDIIGKTYREMILARD GIGELRDMVDVLGKMVEGMEVVADGEGGREGRKRKMVNDVQDLIRLKKMARVSEELLS RLHQGQDPTATSRLEASDTKITVAQFGDPWKGHKDFLEVFYMHDGDRDFDGEWDFGSI LRFKRPRGYLTVAEGVDEFPVWIEWKPMGDHTEGSVEEKVADLRTLTLAEMLHLPKPD SLHFPECVGYFNARDVFGVDMYGFIFRARKERVCWGHRIVSLYDLLGTEMFKPSLSQR FDLALKLCTTVLNLHAVNWLHKGIFSDNVIFYFDWGNGLNKAMKQVYDPEKPYLCGFG YSRPEKSKTTARSLNMAWDLYRWPAIQREHPTDHNSRKTYDLYSLGLVLLEIGHWKPL DEILGLKESEPSPSFDQTVVPERDQTVVPERKPPSVSLSDSKKARDWLLGTLFDAPFI KAKKLNPLRELRNLMGDKYTRAVERCLWAHGEKGFGVEDLPDQSRDSGVGIRLQEAFT KYVIEELQGVNV NEUTE1DRAFT_86947 MTGTPLTTALERHASTVTRLSSQISSYYAARLPYRIFHGSTNST RPPTQKGTNTKFVDISSLNNVLSVDRATKTALVEPNVPMDKLVEATLPHGLVPPIVME FPGITAGGGFAGTAGESSSFRHGFFDDTVREVEMVLGNGEVVKVKNPDLEAAAGKTGE VEKGDLFRGAAGANDYVDGILFSKDHGVIVTGKLVNELPPPPSSDVPPIKPQTFSGPW DPWFYLHCQSQTLSPSTTTNSPVATDYVPLAEYLFRYDRGGFWVGAAAFQYFSWVPFT RLTRWFLDDFLHTRMMYRALHGSGESARFVVQDIAMPFETSEEFIDYTSSSLGIWPLW LCPLKRRAPPTFHPHTTRPGTHPSDEKAQDKMMLNIGVWGWGPDQPQEFVAKNIELEN KVNELGGMKWFYAHTYYEQEKFWKMYGGRTWYDELRKKYHAEHLPTVWDKIHVDADKA GKKQRHWLLAKKPIGGFYGIWKSIQSKDYFLHRKAQWKWKGE NEUTE1DRAFT_118021 MATPQHVKSTPPALDDIRRKDRNPKRTNDSQHSGGTRSRETMKV SAEEGKRIIDDERYPQI NEUTE1DRAFT_124350 MMQNPHVYGHHQYPQADSAWLHQQTSHHQHAQAAAAAANVAQQQ HYNRLAGAHNNVNALAAATHAQDNAIEPVVSDDNRRTLQYIADLLNENTREAALLELS KKREQVPELALILWHSFGVMTSLLQEIISVYTLLNPSQLTAAASNRVCNALALLQCVA SHNETRTLFLNAHIPLFLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSTEVINFLL TTEIIPLCLRIMETGSELSKTVAIFIVQKILLDDNGLNYICATYERFYAVGTVLSNMV AQLVEQQTARLLKHVVRCFLRLSDNARAREALRQCLPEPLRDATFSSVLRDDAATKRC LAQLLINLSDNVVEPSTSGLGI NEUTE1DRAFT_148439 MAKRKLNETDEPSVVAEPQTQKKTKKSSTEKKEKKEKKSKSQNV KTQEEKPEATQQQEQQQTEEEQELEQQLKDEQKQQDEKDEKKQSEKDDADLTFSDLGL DPRLVQAVAKQSFEKPTLVQRKAIPLALAGQDVLCKAKTGSGKTAAYVLPVLSGILKR KATDPTPFTSALILVPTRELADQVHKAIDAFSAFCTKDIQSAKLTDNVSDAVLRSLLA NAPDVIVSTPARAWHNIESGALSIAKLQYLVLDEADLVLSYGYDEDMENIARSLPKGG VQTTMMSATLVSDELDTLKGFFCRNPTMLDLKEEFSNEDEKLTQFYVKCGEDDKWLIS YLIFKLQLIKGPCLVFVADIDRAYRLKLFFEQFSIRSCVLNSELPINTRIKIIEEFNR GIYDIIIASDERSEVFLEDEKTEEKKEEQGEKKEGEEKKNGKGKKKKGRRDQEYGVSR GIDFKNVAAVINFDMPTSSSSYIHRIGRTARAGRAGIALSMVVPHDLFGKHKPTSIKQ CEKDEKVLAKVMRQQAKLNRKLEPYNFNKDQMEAFRYRMNDALRAVTKVAIREARTRE LRQELLRSETLKRYFEENPHELSHLRHDGELGTKMRQQAHLKHVPDYLLPQDGKNALT ESQIGFVPFKKQGDDKKTRGKKRAKGGKGGHGKYKKGPGGRKNVLKTFRVRK NEUTE1DRAFT_118022 MTEVSSTRLYLGNLPRHATKADVEAHFATHGTGEITEIKLMNGF GFIEYKDAMDARDVVPAFHGSDFMGERLTVQFARGARHREGGPGFTHERNSQPRPRRT PHRMQISGLPNETSWQDLKDFARQSGLDVVYSETTRNQNGEGFVEFENAADLRTAVEK LDNREFKGQRVTCVANTQPDIPRNDHRARSRSPRGRPYPPPMDDYDRRGPPPRGYSPR RDGYRDGYRDRSPPPRREYYDDRRGGYRSPPRRPIGDYPPPRGRYDDPYRAPRDYPPD PYMSGRGDAYDRRAPPVDFPPRDPYPREPYPPRDYGRRY NEUTE1DRAFT_148441 MASAITDAVLKTVIRAVAATSSNTSSNATTPSVNGQAGVLEGAN PIKYSASAPITLFIIQAGIVIIFCQLLAYPLRWINQPKVIAEVIGGILLGPSVMMRIP AIFPKESMPVFNNVANLGLIIFLFLVALEVDMRMFTSNWKVALSVGLAGMILPFGLGF GIAWGLYHQFHNDGTTVPISFGVYGLFIGTALAITAFPVLCRILTELKLLRSNVGVTV LAAGIGNDVTGWILLALCVALVNNNSGLAALWALLCCIGWILFLVFAVRPPFMWWVRR TGSLQNGPTQGVVALTLLLVLFSAFFTNIIGIHAIFGAFLVGLICPHEGGFAIKMTEK IEDLISVLFLPLYFALSGLSTNLGLLNDGITWGYVIGVITCAFAGKIIGGTLAARANK LLWRESFTIGSLMSCKGLVELIVLNIGLQAKILSQRTFTIFVVMALVTTVATTPLTKA LYPPWYQRKVERWRRGEIDWDENPLVPSESSPNTSDPSKPGGDQSSIQRMLVYLRLDS LPSLLAFITLLSPQAKPSEVESTDKGSNPSPPTRKQKLLEVHGLRLIELTDRTSSVMQ VTEGIAGEEEELYSLRDPVINTFRTFASLSSPSMSNNVAVSGRVAIVPESSYAETLVS YAADTQSEFVLIPWSEYGSLTDLDQPLSVLAGAAGANAGNDRFKGSAHLEFMHKTLAK AERVCNAGIFIDNCFGGFGTRAQGLHSQHHTPQDQLPTYPTTITTRTHHIFLPFIGGA DDRVALNLVLQLLGNNDNVTATVVHLKYTSTASTSNSMGEYNEDATLLATFRDSLPEG IASRVVFEESNLTEGKNDLMETVLGLANVTVGQQQQKKKGGGAGDVVVVGRRHGVVDG VEGAVGGAGGSNSSRVDVGGGTANGGGLDLGKTVGVVAEGLLVRGTGAVNASVLVVQA GGERK NEUTE1DRAFT_131655 MQFPPPVVFLALCAWSLFETGHAARARLNPLFLGSSPSVGLSFT SVSLASADIVIGIVINIMYPKFNNVGPAAFLVGALTLTQVVTATPFSPPRQKNIGTSD DSAAASSSSSSQTASNQERRDAVKQAFQISWDGYYKYAFPHDSLRPVTNSYEDDRNGW GASAIDAFSTAIVMRNWPVINQILAYVPQIDFTRTSSEISLFETTIRYLGGLLSAYDL LTTPPLYANAKAAGPDVHLNSTSSQTATDAILAQAIRLADALSVAFDTPSGIPDNNLI FDPATGPKRTGSQTNGIATIGTLVLEWTRLSDITGNNTYAQLAQRAESFLLNPQPSAI AEPFPGLLGTNVNITSGLFVDSTGGWGGGTDSFYEYLIKMYLYDPDRFGLYRDRWILA ADSSIQYVASHPTTRPDLTFLGGWKGPRGNTTLRFSSGHLDCFDGGNFILGGLTLSNK KYLDFGLELVKSCAETYSATATGIGPELFSWQDSRTPLNATNNRGPPSAEQKAFYEKN GFWITNEQYVLRPEVIESMYYAWRATKDEKYREWAWAAFKAINATTRAGSGYSSVANV NKVGGGSKTDFQESFWFAEVLKYCWLIFQDEDDPWQVKADQTNEFVYNTECHPIRLAK GHETQPSSSSSSSDWGYGSADGRKNNEQQPRGRPGVWKHGDDGSWSDRREKRFAGPRY A NEUTE1DRAFT_68281 MDDDFDDAELLQALAASEAAAARQQPQRPPAPPGRGIVQPTPQR LDKPPPSNSSSSSTGPRIVQPTPQALPGARSSSGSSILVSPRQKGNPVLASIKSTAWE YSDIPADYVLGTTTCALFLSLKYHRLHPEYIYTRIRLLQQNRYNLRILLTLVDIPNHE EPLRELSKTSLVNNVTVILCWSAQEAARYLELYKSYEHASASAIRGQQKTTYAEQMVE FVTVPRSVNKTDAIALVSTFGSLRNAINVATEANGEERLGNVQGWGEKKVRSWRRAVE GSFRVRKAAAQGRKKAATGSGSGS NEUTE1DRAFT_124356 MPAMERTREAFHFLTCTNKKVPKVRSKLRKPVSRQKRKDDSRRV VSDTHGKSKSSKHRNTTADISITITAAPPHLPPVTVSPEFKGREWLEYPWSSFPRVQP GPEDLPGPLPPAGIIPEFSHLAVSDADRSGFLSPASQVSPKRRAKTPILFIGQLESAN IPRPRNALANEGRFSASLLAEEYRALLDSPSSSLLAPTWSDPTLIARERLRRKKASSS LREEQSQPAIPRRGSIAPLNYHTGNVNSQNVPPQVSLFAHVHPPPTAPNSQSLQPEPS LRSDADTLVAIDEEGIDFKPEFAPPPPPRPPSFSHSISDYSLPPSTSSSSTSSSTPTS ASTPTFTFTSPSTTSTPTPMSTSACTSRSTSRSTPASRSTSTSTSTPTFPATSTSTTT SRTSPTPPPIVQKDLSLQICLDLLTRDLSSHLMPGLRRRQNRHATKGTENKLSTETAA LQVWVMIEGYEKLKEELLMRERQRQQQLEQEGEEKDGEDSKKDRKTVKTMLETWLSAL YRIYDELSVEAFEGRGENGEENGEGSDEGSESSFSGDYGDRGYGSE NEUTE1DRAFT_131658 MSDQEITITGWTTRDVRFPTSLDKTGSDAMNAAGDYSAAYCILQ TDSKYTGHGMTFTIGRGNDIVCSAINHVADRIRGRTLSSLVSNWGQTWRHLVNDSQLR WIGPEKGVIHLALGAVVNALWDLWAKVLGKPVWRIVSEMSPDEFVRCIDFRYITDAIT PEEAVEMLKETEKTKTERIKDAEANRAVPAYTTSAGWLGYGEDKMRALLRETMEKGYK HFKLKVGGSVEQDKRRLGIAREVIGYDKGNVLMVDANQVWSVPEAIEYMKELKEFKPW FIEEPTSPDDILGHKAIREALKEYGIGVATGEMCQNRVVFKQLLMSGAIDVCQIDACR MGGVNEVLAVLLIAKKYGVPIVPHSGGVGLPEYTQHLSTIDYVVVSGKKSVLEYVDHL HEHFLYPSVIKDGYYQTPTEPGYSVEMKADSMDRFEYPGGEKSWWRTEEAKPILEGPK F NEUTE1DRAFT_103478 MASSIQPGDHKEFMRLALSLAQKSPPKPTNYRVGAVVVDVPSNT ILATGYTLELEGNTHAEQCCFMKLAHQHGVPEEKLGEVLPSDLALYTTVEPCSKRLSG NLPCVERVLRLAGCIKTVYVGILEPEKFVQENTGRKSLKDAGIEVVHVEGMEKEILDV ATAGHVKE NEUTE1DRAFT_15881 EHDLSDVEEPPTIDPYEVLGLERDATADQIKTAYRKSALKNHPD KVPAEQKDSATAKFQQIALAYAILSSPTRRQLYDTTGSTSETLASDDGFNWAEYYKSC FADSISPDTIEAFAKSYKNSDEERADVLAAYTDFEGDMDGVYETVMLSDVLEDDERFR TWINEAIEKGEVEAYPSYTKETKKKRAARVKAARGEAKEAEELAKELGVYDKLMGSKA GDAKTATKGKAGAKGGKGKKDDGEGALAALILARQQSRGDMFDKLAEKYGAKPKGKGS KRKAEEPPEIDEAEFQRIQAGLGK NEUTE1DRAFT_68286 MLPLTTLSLYVSLLSPAAAGVLASPNLPIKLPISPAQGHRSSPS LTSRSSNGNGFVRASVHAAHGAPPKLRRRQEDEGLKNQNLGTTYTIDIDIGTPPQTVT LILDTGSPDLWVNPQCETSGQEKYCNSFRQFDYTKSKTIQDTGAADILKYGKGNVTIE YVTDDVIIGSAKIKSQILGIGFESIDIPLGILGLSPSVSPDGQSPYPYLLDSMASQGI ISSRAFSLDLRSIDNPSGAIIFGGVDLGKFSGSLAKLPMLDPSQTPSGVDRYWIVLSG VGMTYPDGEEVESEEIGVPVFLDSGGTLSRLPETIFQAIGDSFPGSQYDTESGFYIVD CAVAEQAGSVDFIFGSSTSAKKIRVPYGDFIWEVQTGVCVVGVLPTDDEPVFGDSFLR AAYVVFDQDNRNLHLAQAANCGEQIVEIGSGQDAVLSSTGKCKDGSAGSTKTAGGGGL DVTATRAPTRTAGGSGPAVTNSDFGPGPAGTRVSTGGIGLPTGTGGGGGSGDGNGNDD DDSAASGLDVGVTAAAVLAGLNMLAVWLL NEUTE1DRAFT_124360 MLEHVNHPFICNLRYSFQDIEYMYLVVDLMSGGDLRFHISRKTF TEAAVRFWIAELGCALRYIHGQNIIHRDVKPDNVLLDADGHVHLTDFNVASDIVPGRT LTSKSGTLAYLAPEVYAGKGYDVRADWWSLGVLFYECIYNKRPFEGNSEQSLSQTIQA ANPRFPVTQPPVQLSCMYAIKDALEFNPDKRLGHTWESFIYHEFFSGIDFDALERKQV EPVFVPSSEKTNFDATYDLEELLLEEAPLEARARRQKPREKLKDDATEKEIREEELYR MIETDFSPFDYTLAAYKKITEGQAETPDGQPTPVTDENARPAQALTTDEATQMTSHAH SHSQAVQTHHPNGSHSSFQHSNAPNGQVAHNPNIPERSNSMPKSSERNVPERSNSMPK NTERNVPERSNSIPKNPERSNSIQSAHSHSHGYNAYDSQRAAVGPRPTSSHRPGRSGG VPASRPPPLPPYPQSYTTPVRQGRGNGSSSLMVGSPTGGVQVTLDGGGSWSDLARQDA TLPTDAAAALGGGAGGKDSGGGGIFGLFRGKKGRGSSPKPKERGVLGKEGARVVVG NEUTE1DRAFT_131661 MASRTLFTRATALLRASASAAATNQIQTGSIISISITRSTPPLM SGSTRAYHSTPPLQTAKDFKSDRTTLRPASSEGTVSASDDDVAHLDKTAFDPNKTSPK EETESAGKESNGNPLETSGANRQFSKPQGDNPTEGDMSKEEKTTAGGGKEKKKSGGPA AGSKKGGTTNYHGSKE NEUTE1DRAFT_68293 MATQYEVEHNIKPSSTAPRRRKVDMSTFTSHLHNIAPESSTPTS TSASTSSQHHNNPHATPNPVDLAALYRLLQDQMGVLALSAPTDENRNFLNSLIDSLEE DIHRPPTQIEGVSQEFLDGLDRVDRKKLKEDEQCPICAERYLDDQYCLVVELPCHHSH RFDLECVGPWLRSKGTCPMCRKEMGKRREVVTKKEEEEEEEEDDMDGLYA NEUTE1DRAFT_148450 MPPLNTATIGMAVTPTVVSTLFSHYLDRKKVRQKPTERLSYDEG LHLIRSFLAFASTRPVEELQAFTGQWVPHPTWVRVDEVEIPEDKLSYAANLLHEQLGP EGIRRVGGREWWQWRRPKSPLKAEWIEMKADYEERKENADPGNRVMFYIHGGAYFFGS VNEHRFMIQRHARKLRARAFAPEYRLAPQFPFPCGLQDCLAAYLYLLTQQEPKTIILA GDSAGGGMVLSLLVILRDQGIPLPAGAVLISPWVDLTHSFPSVAGDCPLDYVPPSGFH HRPSRAWPPPDEDEMEELKKIAIEQKKGDGVKEGSSDSNSGIPTIGDVLDKPTRLSLM IDGQQIDIKEQIQMYTTNEMLNHPLVSPVLQPTLGGLPPLLIMTGGAEILRDEQIYLA HKCANPEQYLPPEAMMDEPAWAQVKKYPPTDVQLQVWDDLCHVAPTLSFTRPAKYQFR AIAQFGAWALARAQRTEIDILDDDDISVISGHEGEDESDDATHEPAVDPTQPYLDPKP MPKKKTKRSKNKRAKTVAEKENEANAVGKAGDPLPPFHHHMIRQRVTTTGLLLPLPEH SDLPACCVDRNAIGVIKPGPVRKWLAHKKKFDSRFASTKSKVHKQRIKDMREGGYEVF PGGDVPPPSALAGRRKIGHREEDLKKDKKSWGLSLWGVWGSKHDKMTVARGEKADRRE VSTKTVQAADGGEGARSYSDIKNQEGTGVQGKTAAGVERPGLGTRASGSRYKSVADQG QTGEHHLHDDDEHEHERPQIEDILAYRKGELEEQHHQPPEVGATGKRPIVDGLATPFS LGKKAETASMITLQSGKSRMTAGTSILQSPPGTSAGASRDNLSLMPSGADDATSVAEG DGDAAAAGTRTGSALKHEVEADGEKQNVIQADEHIVPREVEVRNYTTHPLDATRGIGM SLPTPLTPGTPGTLGSRPGLDRFVTADEFFSTGGTPTPTASEPKLNVA NEUTE1DRAFT_86982 MPGRQAHGRPLMPKSGGGGRPKHRVNNKTADRKSRNNVLDAFAI AAEQVPQKKAKGVRTRDLDEPEERPQKRQRDDEDDDEDDDEDGDEEDLEDARPQKKKA KNSDDFEGFSDGGEDSDGEEWHVGVGADDEDSELDSDEAFGESDEEKFDGFAFSGSSS NKKGKKAKKGENDSEKEEDEDDDLESLGSDAIDLATALDQYESDEDEEGEEQSGSEDD ADSESSESEDSDDDMEDPSKLDDLQKMISGFAGGDDDDEEQSGSKPTNKLSLSDLGLL GVQDPHMKKSLKLMNKEEKAAKPGQSKKLDIPLAKRQQDKLLRSAAYEKTSETLERWI DTVKHNRRADHLVFPLAQNAHDAGLDSQELLPVTKATAGTELEQTILAIMEESGLGPT NKPKKEDQDNVEEIAARKGLSAAEMQEITRQKRRERELFSREQARQKRIKKIKSKAYR RIHRKELLHDEQEMHDAMVEAGEIDSEEEREALDRRRALERVGTRHRDSKWAKLGKKA GRAVWDDNFRAGLTEAARQKEELRRRIEGRRKGEEDSEDSGSDDYSAESGDEKHNKKR LLEQLEHAASYADGEAESGLMQMKFMQRGEAQKRKENEEMIAQIRRDLDSDYDSDGVE ETDIGRRQFGMGKKEITLPGQQAAQKKKEKKNITNDMSNLQVTPQQAATTQVEDTSLA ASVAGAWSKAPQEGRKSTKKAAKASVGELDLSNAAMLASKPKPKSKDAAAAKDKKSSA PAATVDDSSSDDEETIHLPLAIRDQELIKRAFAGEDVVGDFNREKAEVEKEDDDKEID NTLPGWGGWVGEGISNREKKRHTGRFVTKVEGVKKEKRKDFKLKGVIIKEGRVKKNDQ YLATSLPHPFETQAQYERSLRIPVGPEWTTKETFQDATKPRVILKQGIIAPMSKPVL NEUTE1DRAFT_86983 MQPTRILQGLKYRKLRLTTKDVNKGFYKGNRTGSMGTHTSYGTY KIDYTKVRTYVCPDLTGFKLTPFVSKTIRPVHDQFPGDKLGPKNPATYLARWKSENGL D NEUTE1DRAFT_13042 RTADLARFARHGGPDLRHLRGYPEPQGALHTMGPPSGVSTKTPK TSAYNGAFEQLMIDYCIYPPFYTFPDNPDAETPEPNNLEEEREVLSKRRPSLDSSRFT KKEFRIFQRKNKAAITEATVERTVVPLITGTSDDDIRNLSNLLFSDLEPIAGEDVVTP KPDFFDGADMGAIHPKIRSANEDGNLRKLIIPTNNVDAPVLPNFFMELKRPDGHSRVA WRQAMHVGAVGARAMHALTNYGKEEPVYDGNMYTYSSTYHDGRLNLYAHHVAPPTAPS DRPKYYMTAVKSFVLESDMDSFRQGVTAFRNARDRARWHRDNFIRAANAKACQSD NEUTE1DRAFT_86990 MKDIICADRYRVNRKVAEGGFGLVYEGTDMQSGEDVALKLTYYD EAKSAGPMLIKQENDVYKELSGGVGIPKVRLFTFEDEYYVLVLDLLGPSLEDLFNYCA KSFSLKTILLIADQAISRIEYIHSKGILHRDIKPENFVMGVGKQGNTLYAIDFGIAQS FEEAQSCRNATGRQLVGTRRYASIRAHNGRQQSWADDLESLGYVLVEFARGSLPWQGI KAATEDERRARVGEIKESLSGEELCDGFLPKEFATYINYTRSLDFDDKPDYSYLRRIF SRLFRAKGFKYDYIFDWTEKLFYEQLQGEGEDPVDS NEUTE1DRAFT_103489 MLLPQSSHAPVRLALGPHVPKPVEDTTESSQPVRLLRHLSLGIK LHDIFPSVTRVLEEHESCFQSLLPVGMNRHLRKRIIRSSPDPRRKGFAGHLARTLPNK LLAEIDSTNGQDALLVAPCSSKQGRKAGEVFIPLLVIVAFSGKTTGYDARRNGQRISF HPEAHSPIRKHPGEVQARMGSPSDCGGVLVDLWQGLSGYGIVVKGLVGVQKNSAQVTA RPCRLMKVANAEVTQVPIIFVCKRGVFQVPEPRPSRRENCLASITELVELPGRVARLY DGFLCHGIVDRSMVEVGRCFHGIG NEUTE1DRAFT_148457 MPSPYPKPPTGISILIVGAGFAGLTTAIECHRHGHSVTIYESFP TLKSLGDIISFGANGGRIFARWSDGAIAAKLRSLSIDLTGYGFRIHKYDTGEVVYHQP TPAQNPEAPVFNGHRGELHQVVFEYARDELGIEIHLGRRVEGYFEEGEKAGIVLGDGE RVTADIVIGADGVRSKARQLVLGFEDKPKSSGYAVWRAWFPNTDMIADPRTSEFCSNG DTFNGWIGPDVHFLFSTIKNGSDCCWVLTHRDEHDIDESWSFPGKLEDVYKVLEGWDP ICKAIVEKTPSLVDWKLVYRDPLPTWVSKHARILLVGDSAHPFLPTSAQGATQAMEDG VTIAVCLRRAGKEGVQAAVRTYQEIRYDRVRAVQKTGETTRDRWHKTDWEKVAKDPKS VAFPREDWIHQHDAEKHAEDVFDEIFKRFTRPEKDKNEPLLPKEKPVAVA NEUTE1DRAFT_50205 MLSDKLLDLFALHKTEEALTNVNLYKLLVFTLALVLIAIIIDYG YMLWLRSKMPPGPFPWPIIGNTFSLPENKPWIYFEELSKKYETPLITFWIGRNPTIWI NDAWCAHEILEKKAQIYASRPRMVVFGELGTGQNNLVTMRILNNNDRDHWRIHRKLMH LGVGIQSVRGYREIQNNESKVVALDYLREPKEYVKHLERYATSVVSIIAFGRRVASYN DPIITEVIALMQLAADLNVPGKRFPMLLETFPFLARFPRWLPFFRGTNYRSGGRSGQK GGGGHYFFHSLALEALAQYESKSPLAKASMPTPYIKTLMDASRQYSLPESELSSLTGN LFGAGSDTSSSTLITFMLSCLAFPDAMHKAQSELDRVLGPAGRSPHWDDAPNLPYINA YVKEVLRWRSVAIIGGQPHSNTSPDYYKGYFIPPHSWVQGNVWAIHHHEREFPDPDRF YPDRYLPGNDHHRPFPGEKGYMTFGWGRRVCSGQALAEQGTWITVARLLWGFTIEKYR DPETGKEEEVDIFAYTNGLNMRPQPFRCEIVPRSEEIRKMVVREGEQALRDLKVFDGE SRYRMSTFYQQKKREVAEMPEFDEKGNIKMVKVK NEUTE1DRAFT_49586 MSSKDTASTMVDKDVEHPVDTPVAITAEVEEKYGIKAHWKCLAA CTLVSMCPFQYGLDFGLIGGLQAMIGFLKVFGHPAPHVAGGWNISPSRQQLISSLMTL GAFLSSSLAGPTATFLSRRQTIWAASLLCIVSNVIMMATTSIGGLYAGRLLLGLANGF YMTFSQLYIQEVAPARYRGLMISSFQVWTSVGTVIGGVVDNFTEKIDGRDSYLIPLGL IFVFPVVISVGLFAVPESPRWLMMQGREEQARSSLRWHRPYTDRMVEEEIKDIQLALA AEGETVKGASVWDMFRDPVNRRRTVLAVCGLTVQGASGAMYMIAYGTYFFKMAGIGKP FENHVILTSLGVVAILVNSAMVTHFGRRRVFLISGLILCGIAQLLIAVVYTVTPGTKS TGQAIVGLAVVYILGYNGMVATYAWISGGELPSQRLRSYTFGLATAIGFFAAWLTTFT APYFINPESMNWGPKYGYIWTPSCWISA NEUTE1DRAFT_124368 MSTSVNINGVEFQPRLLINGEFVEASDKGTFNVFNPATGEISCK VPEATEDDTNRAVAAAKAAQPAWAALDPAKRGAYLKKLAALIREHKSTLHHLEAISMG VPVNHFFHADFGADEFDYFAQAWPHIQGQSSVNTPGYVTMTFRQPFGVVACIIPWNAP LYLFAAKAAAALITGNTVVLKSSEKAPLAVAYAAELVAKAGFPPGVFNILSGHGLPSG RCLARHMDVRAISFTGSSRTGKLIQEEAAKTNLKSVTLELGGKSPAIIFDDANIEQAL TDTMSSILLNAGQICVANSRVYVQKSVAPKFIEAFTKRMATVRGGNPLDPQTQMGPQA DEVQYKNILSYIEEGKKSGKLAVGGKGRLDETKGYFVEPTVFLDTPEDAKIMKEEIFG PVLNINTFETEEEVVAKANDTEYGLWAAVFTNDLSRAMRMAKALESGYVSINCSSPSM GRDLPFGGYKGSGQGREGRLHSMNHFLEVKSVIIKLDEKASSQWNM NEUTE1DRAFT_148459 MQLSSTLALLASYFGLSTALHLPDGVWEGTILANGTYSIKAAGA PDSDSFLVEPTTTTTTTTQKRSGPIAKRYVGCFGYQLDASGIDAAVVGLKNWAGSGHT LTSGDKNTFLAVSAEGMIVYYCIDAPRSSGNLDVDDVNYALAQMDATCRRYEASYFKW DGSVEIVGKGRAGDNVCV NEUTE1DRAFT_103495 MDEEMRADVVSGEQEGVVHECRRPPPYTFISAYSELHAFFTMVS EEQLYRRSSF NEUTE1DRAFT_140342 MYAPSRVDMSVVFALTLFYQPIAALGTNFLNHTSLLTGLEDPDW FEENIPFLDVPDAQIQAVYLYYYRYQTYKEHLVYTGAQYGYTASEFLHPVDYGAPYGG VVAAAGHHITEGRWLRNHRYGQGVANYWLAGPGTFSKPTTDNVNADTSDWAHEYSFWA ASALWRHSLGLYWQVPVWDATEYTAASYESSDPYHGGAGYRPTINSYQYGDARAIAAI AALAGNRDISTEYTQRADALQKAVQHILWDTNAQNYKHRARDNNPSGALLTTREIMGY LPHSAAFAQLKDSQGFSARYGPTTAERRSKLYMHEATTYCRWDGPSWPFATSQTLTAV ENLLNDYPAQTYFSAADYLGLLGGYAATQYKNGQPYVAEAHDPDADVWMYDSSDHSED YNHSTFVDNIIAGLIGLHAQPDDTLVNSRWTSYASPNAQDYFALDLRRPQAVSDVRLY FYDDGGGVRRPASYDLHQTKITFPTIVTSQLRVVAPNPGAGNGWGLSEFEVWTSAVFQ LRNENSGKLMGVAGMSTANSANIQQYEDNGTRDHLWEFVRAPGGWFKIRNLNSGLLLG VQGASTANSAVLQQYEDNGTSDHLWRIVSQGKGLFLLKNRNSGLFAGVDGISTANSAN IVQFEDNGTKDYLWSILPAVPAS NEUTE1DRAFT_68315 MHSTESITSLDDDDDDDVRPASSRMPSAWFSGYRPFPPVINLYG NFSGVIDAVTTMKLCGADKNDFLYVVEIHHGLTPRGPLHFRPGLYLRNGTKTNAPILA AAGDEDREPLLMSTFSVKSLIMLPPPPDVEVKNPRDLVTEIMYAKKTSDGTVSFRFSI EVGLKMKSREEFEWRKLTGKDKTNTQHARFILVRPPPSSTSASSSYSTQEEIEVLADL TFCNVMSVNHLFVLELKGAAASGEMGDRWTLMVVVTALRLYWLRCYGKTNKAVVGIGQ KLRGK NEUTE1DRAFT_112565 MTNRTTSSRSEKRQRTASPNLASDKEKPVAVSPAGNTPTLPDNF NGDLSMLPDFNLNAQELPSSQIARLPTAVTNSLLAYAAARDPYINSIVAHQHDLERAR ERARIIKFTRDSQRAKKMLNTEYAVSDIGKWFDDILKTVEKARGSDCSYGTRKNAVKA MIQIMRHMVVALNDVHYHKTLFMKEADEMEKKLLEMMTHFDGDELARLDEEGWTDEVR ELIQETGGYPMYGRLNEVVKMLEGDYEEDGEEDEEVE NEUTE1DRAFT_124372 MAVKGATEALVAAFTLSVHPCRLVVGVVFVGFTRPQTDSFCVAA SSELPISIIVISMDAAILLCLISRALTQNTQLNTESQDARRKALFWVMAGLAVWIATS VTLHLGFRYVALGVRTALPAIGLAILITILTLCSGSLGSASILRSKSPEALSPRRVEI SRDISTSNTYFPSGNYQDVKDAAIRSETAFINPREAPSTKNQPTQGLPTISLPITGVV GMGGVPIEGQLFPPIRANTAPTIARTRSVKAAVGLHKKGASAGGRLQISNPVLQDTGK SPLDKIPTVDLQEAMRAERERREKLLRQTSQAKREMNTQPPVMDPREDMKRAASVKRK EVGSPVSSGSSLRPENMGKTSSTELSPGMSGIRQRSPRQSPEPSEASRQSTFPSRSVS PPKEDFEQALPNLDIRPSRQLPPSPPTPPQERPLTMLQRRPTTGLPSNPKARALKLEQ DAPGAERQQTVLFLNNIIFDEPAFAHDILLGSDDMTVNKPRSPGTPESVVHRPRPIPR KVADPNPFAIPGAGHRRSKSSGSLVKKSMYMSEDVPLPLAPLKSAGAGTRPQPNRTRS MTVTEKIERMYPGEMPSKMPPVPEVPAVASSYLQSPSSVDSDEVRQPSQSTKSSTTKT VSSTTIVEEPTKATESKYETDTAAKRASSPVIPVNSPRELMNDEDLESPDEADTPWSS VRYTQVSVGLAVAQPMPRPVVNPVREESETRIQPEEEQKRPEINTAVENKPAPKHSSP VQAWRVGDKCPVFSDRKSRIRMPPPSPLPLNGPRRNKVMVQAEPSPLESPEHAFRQIQ EQLKKFEEPVTEAYSKDHTERLALLEDLEKEMGIHENHWQELKHDMGRDSLSSMQTNS PGNRNSRTDSTIGSIVIGRGSAVGVMAAERRASRQTMLRNSGSYNPNRLSRDAVKSHM SIWQKRMTEAHIDYIETAAERLRQPSDNFLPLPMPNVQLGSPTPPDSDASDEEVSVLS AQLDAVSNWLSKKAVKTASLWAPGSRQSTVAANLLWTPVPKASPTSTVASLEIETFVR PAARKDLPPLEITSTQLWSKPAVNVNKVPSLWTPPAPTEVSETTALWVHIPKVAEQCM TPLPEADTFVRPATRKVLAPLEITSTQLWAKAEPEERPSLWTPPPKASTNKTNLLWSP PLKVLEAPSLALDLPYIFTRPAARKDLSPLQISSKHLWRKPYTSTKDTTGLWRPSWAS VAPPADFKRLSLQETPQPRPQKAPRPVTQRPPRRNKRVSALPDILENPEPLPDKRGTL GIFQFPWGERSDTATIPLPRPFGRSMPGTMSSGIPPFRASYTPDYSTSFFNEYDEEDP ESDYERQESDDEEDDDFDETTLWEIASLLNSDAVPSRNSLFLSATPVYNVDEYYGSGS SSSHLEPSASTSATDIDDDDDDASSEHSILIGLAEDSQDDKEHVAEQYDDQGFLVHDI ALRSTPSILGQGSQDVVATRAALIDDFDAAYFPPSMATSAALIDDSAPAPAPPRVETS ENQAVEPRLQISTGLWSRPESSENKTLSSPHSVATTGLFVPGRKSTSDTRTTEQEPAA INMHRTPRTSAAESWRPLDRLMSDSLWTPETAAAKGVSRQVYQHWITLSLAAKAQLDK AETAPSAARLPRLQATPEEWEAALKEALIASSYPINVKCRTETGRITATPADWAAALE EAIQASIIKSVAPVFNSAVRHPVFAGSSLITTSEWFHPAAIGYTYDVARVHPAFFGSL AITCPEESVHPAMSAYAHKKLRRHQRSGSSASRSHSRKNSRSHSSSSGKKRRESILAQ IHAIEAEMGLSPEKSAVVPDVPEVPSLDEIEASRKAKIQAQIEALEQEKLFAAQTAQE NLATRRRGSSSVSHATTASGTTANSEDDGPASMDSFRPSTATSVDLEHDQEEEKKPAM QNFLWTAPSPLPQPTPSPIPALWTPLPSPASSFSSYEEALPSPKLLWQPKPGKPEIIA KTDEDREAHERRAMGRKGLLTQQRKAEVLEQISGVTGLWEKSEVVVKVKRDWSAPYVS AELSSP NEUTE1DRAFT_124373 MPSRELEYPKQPYSTVKRLNDRARYSLETIHGIINSSPFVNVAF QDSTSPFPAVLPMIGQMGSFSRPSADLGDVLDLYLHGYVSSRLMSLSRSSSSSGKEEG TPLTITATLLDGYVLSLTPNSHSYNYRSAILFGYAVPVTDPAEKLWAMELITNSVVPS RYQNTRTPPNNAEMQSTSILKVKIKAGSAKIRSGEPHDERGDMGNQEIRQKTWVGVVP AWMQFGEPVAGGYNQVKEVPGYLEEWRVEGNEERRREAYEAVKEGGKFGIILKMRQLS SHTAIRISPLTRPTYLSTRSPRKIRITIQTRHNSSTSNPPISQYDDTNPHHHYWWTAC APSLTSILRHSHSYTPTQQSLHISWFRNNVIPNLGPRPSSSYRLCSPLNYEGSPYRAT WNFCSAPAGSPSSSSVVGTLRFSFDPIPATGTTKEDPTNQEEYKRLFPLLARECHGKG VTKADLTWYDEVKEHMYLTPSEQVVLQSKIAHRSSQLPPEHAAIAIGCVGEKMVLKPY FFAGPKSMVTGFYEMRPGKEEPEVKVYVPLMQIFETEEAIIEGLEEVWRGFGWGELGK GAYEEAIREAFPHVDFKKAPGTHTWVSFSYSDRTGPYMTVYLAPRFQEGYDLGVVRDG T NEUTE1DRAFT_103499 MPIVGLLVRELAGFRNQFRPWGGDRVSSICCLQTHFIGRRDIDG NSYSNPDNSLPSPKNSPTSPTMFTYSPLSREKRETRVFRFSPPLQLGSDRISLELRHA SLDDDALQYRALSYVWGDTKEKEDIDVNGEQFSVGANLHALLQMLQYHDVQSWLWADA IYIHQSDDNKKSWHVQSMYNIFKNAEFIYSWLGPGSKATDVAMNFFSDWGPRALKVGV MEELWPTHLPTEAPLESSIDVPEFIYLSKIRYYFLPEPVFEKVSTVVDASSTSDKWYD LARFLCDLLCVEGLRGTRGKSEVALAREVLLMCGGKFTPILYFEPVLEDLWKFCDGNP WFFKDILLRHSFCERFPPYLYPNKAILVRQLIRRGTKIGLGTILMAFSNETERPWYRA TDPRDIVYGILGLLSHDDRRPFGYVDYKNMTWVDLFTQATRSLIEASLTSCYKDRLYT IGHCLPRPRGQPTELPSWVPDWRDHDHQAHNVLEKDRASDWLARIRDFTKLPESSDVQ NIEGEDYVWRLVMRCHNESFLRGFLGDVGFPSNPEAACFIRRLMRQDYPDPRTLPDSL VRCIQVSREFMALTDIRTSKHVNESPITKFSNLNDDASRDATIIVDGQTQTRSNSVDL ECLPDNNSISESDLKSFMDAKIRVLFCRSRSRNSTLFKTTKGMLGSRIGDVRPGDVVV ILENAHTPSLLRPRSQDGDGNTANRGGIETTFTFGGEAYVEGIITIRYKLHVFALRSQ SAEQQNWE NEUTE1DRAFT_124374 MTTQHTPYAIGHAPSHTKHHEWRTAANSAPHLLPHLPKALSSNP NLKLLDIGCGPGTISASLAQHLLPSGHVLATDIADDVLERAKEHAISQGLSVPQNISF QKESVYELSFPDNEFDIVHAHQVLCHLDDPVAAVKEMLRVCKPGGLISLRESDMHMWC FWPELPSLLKFHELMVNVMLANGGQDKGGRKLVSWIMEAGVDRKDIEAGFGTWCYSEP GDRKAWGEAMIERLRTGQMRQRGIEVGLTTEEDIEEMVKGWREWMERGDATLGIVNGE VIVKKAAS NEUTE1DRAFT_103501 MVSSANAAAVAAAYFCHFFSLTAHVGPRAVVGRRLVSVDPDAGA PGPHDEMPAYTPHVVFGMVAG NEUTE1DRAFT_87009 MVLTKYKAAAVTSEPCWFDLEGGVRKTIDFINEAGQAGCKLVAF PEVWIPGYPYWMWKVTYQQSLPMLKKYRENAMAVDSDEFRRIRRAARDNQIYVSLGFA EIDHATLYLAQALIDPTGEVINHRRKIKPTHVEKLVYGDGAGDTFMSVTPTELGRLGQ LNCWENMNPFLKSLNVSMGEQIHIAAWPIYPGKETLKYPDPATNVADPASDLVTPAYA IETGTWTLAPFQRLSVEGLKKNTPEGVEPETDPSTYNGHARIYRPDGTLVVKPDKDFD GLLFVDIDLNECHLTKALADFAGHYMRPDLIRLLVDTSRKELVTEVDRNGGIVQYTTR ERLGLNTPLENDKEGKK NEUTE1DRAFT_68327 MAGCFTGWASNIFLDRALPIEPVELLPLIAMYIPPVQYLLFKAS GYMGAYYGPLVTELLTLFPLITISSACVATNLEYADFGPLPKAIAEAVPGLGSFGFFK AAEKLSGDFIVQNIGKNFYMTRIGLEGLLAASYTTIAPSKFLLLGVPALLHTTLLNPH LASPMALSRLNSGLEKEGWHVLDRRDSITGYISVVDSLNDGFRVLRCDHSLLGGEWVK FKDTPRFKGNQVAEPIYGVFAMLEAVRLVEVPNPVPDNEAKALVIGLGIGTTPAALVA HGINTTVVEIDPVVHEFASKYFQLPSNHTAVIEDAVSYTDRLVNETQGVGQYDYIIHD VFTGGAEPVALFTYEFLQNLHSLLKPNGVIAINYAGDFSHPPPLLITNTIRSVFGSGS SCRIFREHPRDEAQAAAHNGRDFTNMVFFCTKASTPTQGISFRNPTPRDILNSPSREA FLLPKFEVTDDDLLRAVHGNVQEAQKLGILRKNETAVLEKWQTESALGHWEIMRGVLP EVVWVNW NEUTE1DRAFT_124377 MPLVALGVADLFNFVDYSKTSLAISAAAIAFNPTFWNIVARREY RTKFLTRAFGGNAQVACYFLAVTIFGLGLVRDFLYERALRDQPSHPLLEGTYVKYAAY ALLALGNLLVITSTLRLGITGTFLGDYFGILMDGIVTGFPFNVTSAPMYYGSTMSFLG TALLYGKPAGLLLTAWVLFVYIIAIQFENPFTAEIYAKRDRERAKAAGTGKKEL NEUTE1DRAFT_112573 MSANHGRLLIRLIFSSPTPTTPHLFPSRLGFAGARGFGGVLLDI EIRIGLSDRYIAGGHCDLDGSATLAPEEAIGVSAVVHRLKKCWNGQGGSPDPHFAKPE ALSASYIVGAFGAYIWDDEKAVSQPHVGRLYSYTRISIVEKERLDGLGAVT NEUTE1DRAFT_112574 MASMSELVVAPSDSFSSCLDRRSVRDRSEQRSFEPGHPDCPVKP GVSGEIHLHLQDIFRSWGYKWWYLHPEVQAAIVDGGKIALANTGEIMRETQVLIVNRG ITTPLTLPNGTMSYPSAEIPPMKVWSVHLGHKARENEVATYTDSLLKDVTVDPSDYEI DELAMPKVSEEDKTLALNMTLVRLMQFLAQDIHGHSMLMEDSAICEQVPSTNQEVLRD VTNLAANRTGQYNNRQHTEGGLPSRSSD NEUTE1DRAFT_140355 MVPAVAPPAAAVPEPAVAPPVSPAPQTPGGGGGGNRKFTKAPIR GATFACVAALPRAQHMRAGDWQTKGYRKISKNDGNAPVLI NEUTE1DRAFT_140356 MNSYNSLAPLPPVECSGFGVISPPPLAAGAAPRRKQHFYIARTK KSVALAIANSLSSTRIISPSWSKNSMDGNEEIDIFEDGQDGDDDDGQDVVGQDVFAAI RRHNVTTQRSRRGIHRMKYPVDGKKNIRRDPTVATHNKALWAAEREKK NEUTE1DRAFT_140357 MSHVQNRDHSEQPTEQVAHSSSSNSAAGSEAVPARSAGDQMDRN FPEKVTLFTADKSLSEQVAPPTPEDAVQSRTNHPVQNSEIQNAVEVEEVVLPSIESPT ENLPNDNDYATDPAGLSESELRRRAVAWMERTYHHLPNGSVVQPPSYFQRTRSSPAGR PAIWIKDFVWGHIRPRRLTNDRLADMPLERRESWARMQRREWEEIEEEKGETQEEGG NEUTE1DRAFT_140358 MSDYRPPRDYVWCNLCVRTLHRIMPMIYGDLEECNLAFDRSVRV VLAASARSWPSSSRIGRRRSRG NEUTE1DRAFT_140359 MGVSSTEELLDVQQLDNLGRALNDGMINHLYQYDTELRMPDFEQ PPGDLVSGDATAFCDLAQWGSGPTVQMSIEGWEDVETDRSEHGSLLEDPTFVPNDLSE GEPEPTEKESAPKAKTPQTPKKRKSAPAIATPSRLDAVTKREQSKGKGKGKPGT NEUTE1DRAFT_112577 MSGWWGAAVNLPARRTSVELTYTMATTYHRTAGSGTGRESGYRG NERASPDYAHFMFRNLVPLPNIEQVFLKQAKTARGSKGKAMEPDSNPKTPKSPADVIQ DVVTAQRGGKWSIKGTATFSGGAIKNEQRAKRLNAELGVLARRHGGAAQELFEEVEQE LAAFYTEVDHAKATYRNNKAFYSELPVIMDSGF NEUTE1DRAFT_103505 MLQRAKSLSKIEGGYEENGGYAIYSLGVLFAPLRLYFQNRCGRR ISIRFSCCVLWALIKVAGTGKWINLRFQQLFPSLTMTDDERQIALNLTFIGLLQFLSY DVHAWALMFEDDAVRRQTAIQGSRLLEGLHAMERQRGNPTLEVSTVNKLIQLNYDGGL SYMLMHLTVDAHLMPPSDRVNIVYWALGESGLFAWTVRKCAVIKHKRISVLA NEUTE1DRAFT_48682 DPSPRLDYRSLMDLMKDLGSRWEKPTITNSHVDTASFPLFYEDD AQTAKYLSEASNMIERIAQLTSDSGSS NEUTE1DRAFT_112579 MADGERTFTINADKNARPLDNNYSIDQLACILQLWCEDHQQDLE LGCLMSRGIGLLNLYDNDDTRVDTGIEWIYSSNSGRATADEYTDHYEALRSPTVPSSP NSKPSPTYSLKVSDFSPSASIAERSAKKCHSRLSLDLQIPSAQPMDQTPTGGICSTST APNSNTEASTEDGADEPEGSYGAAVVVSPPPGGQPATLPTATAFETGASRCLHPFFAT FVPPFFFAVGFSVLDPVCFVSRYLYLVRGDLLSGPDATMLRQSITLFSYAGNALILLG AHDEDKNFQLGMRLLSRAAASFLTLMRRRLVDGGLPTSVAAWPRAQYMKAGDWQTKGS REIFMNDGSAASFCEPSYPRQANSQH NEUTE1DRAFT_140364 MRAIENADVSTLPLYAVAVRRGNLASPGATDAKKQHNHSLFCSR EYVHYAEAQSPLPTPSISVAAPADEMRGQPAAREELIDADRDLGRPGLEFMRQQWRIA RLTTTGAHVAGPLRFSLSVGTLFCGANRAA NEUTE1DRAFT_140365 MAAEVVSLVPVGTPLSAGNLQRLRQCASTFLTAMQARLVADDLP MTLPGMLRANGLGCALAPGPIRESFEGPTHEQVLLCNVNTWQARIYAGSVLAFSATLY SSVAEGKLSPAAYHRPHTGSRVVPLDKLPSGLTFLGLPNKT NEUTE1DRAFT_49392 MEPNSPTTPRGPSKRKSPSSDTNGLPQPRSHKRQRTVEREEPAY KLSDSSPNQLITSPEKTIESEHKDEESEGETTVSDPMNIESEGTTGNQPHMTGDHNRP LHRNTRKPILVSAEPELSNSDIDDLFSESELELDLEDEDLDSTTKKSPSRGEPSKNED EYYMAMYNMDYYNSLSEPDLRREAIRWMEENSRADPKGTVVYPPPWYIATRFDPPRPR MWIKDFFSGRVRPRQLVNDRLAEMTVAQREKWAREHGMGGHDDEDTE NEUTE1DRAFT_49264 KVYFRGQLLGHGVQDHRGKQNSGGQAVDTSEWCFQVSRRGAVSA CGTWYFHLATGPATSPQHVQSYLAREWCL NEUTE1DRAFT_112581 MDPTARFPASADSQQARVDLLPENINPETSPARSSRSTNTQPED IPGSQDAKEEAQYDNNEAVTPTSQAASSTVDSTDLSLALNSNSASPHTEVDGDEDIEE DIAVGQTNLNLQIPDVPPPPPASEETLRQQAVAWMEAANSDVPNGQVVQPPPWYAYSR TEHPDRPRIWIKDFFTGHVRPRRLVNDRLAEMSLVERERWENRQRRIRGEPAD NEUTE1DRAFT_112582 MQKTTDHMAIIKNGNKPNSIPHERDTMTQEHSATTMADEAKQTE RPSQPVPNSPVKPDSIQIRSKPDESSDKTSSSEASTNRRLPFGWDPACISPHPKRGPR RTYHSGALAYYNPNPAFCKDGRIPSRFGRVSPPPEAPHQHELDPHNQWPMTQLDPDKY PGTGMTYVHFQPAGNSPYEPRPINIAPANRPCVHVYGVPDLGYGIFEHSVRALKAGEE VPWVHGYPMPRMFPPPSGNDGGPSKKAEEKAEDSSRKGKSVRFAGETENTKASAEKKG EDNTEKEKKKSAIASSAKEWEKTSLTFTPQGHFSREQEEKEEKEKKMEK NEUTE1DRAFT_112583 MSNAMSQRASEEPEDQVQGASNTAGTAVDATTINGTSGNTDISE EDSDAWYRNHNVPPNSFASSSSRSSSRSSSSTSSVATTPGFTNSDSISNNSAGTRETS PPSRATSGDGSPVINHHHQQPQHHPQQHNHHPHPNPIPIPNHNHNLNHPQSNQHPPPA QDPLFRRLCTTWLRHLHHVLQAHALVVRAQHIHDTRWLQVNSHLLWTVAPLTEEARLW WVAWHTQNERRMLREAEWRLWVLMNEDTPRLVRVVRGHDAHVDMHFHQGNLGWKFPES HLPSLITFAITIANKITIVNQHNRRFVMAQPQLSTTIRIPPALSSMDQSDIDVLFHHP IPTEPRSKPREAERNITIASKDETRQTAVSPTIHPDASEDIPRPATLSTSTPACYDTY SALLIVAWLGTLPDYLPPRRRRQRCRVHCRRHQHARHCFGGVDHTAEEGMDLDGFEEK ECDMV NEUTE1DRAFT_140370 MSSNDVLILREAIRKLLNKMEENKENTTDETNAVVENIARTGKS DIISSVWKSISSSLAAPCSPNARDTSYFTAVRNRAMVQQQAPRRPEHDASVEVHRYWR DAQRLRLVEGDAGYANWSLAKFRFRTAVK NEUTE1DRAFT_17622 MERQCKRTSNQLCGLHAVPPMSCASARPLSATTSSSTFSMVAGA TTLPLTIGTVAWVTLSHERTCTSGTSWVEASKLARRGRHCVIPNSPAAQLALIYQQVK PPQVLIDSTEPSGASLPLQTRHPTLGGLEAKLPAWQNGISAPNSQQKQAAPPQSVQSH ADQLFPST NEUTE1DRAFT_140372 MPQRIQHCSLKHRMIGTLAPVILGKKSPIADQVEYFLVRYVFPD FTNPQGFLRARACDTIEKFGQLDFKDQQNLLAVYRHIPDCVADPKLPVRVTAALALQP MIRHEIIRTRMQQNIPTVMQHYHDDLSS NEUTE1DRAFT_18330 NTGDAWDFADDYYDHIMLVWVSGFCTARLRPNHVGLGFRVLHCP STTKSCWSGFPGSALSNYGQIML NEUTE1DRAFT_140374 REREKRREEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE EEEEEENRQHLCRRVDWRRELHARAAEQGDGGVESDKATTAL NEUTE1DRAFT_14704 LWERAYNELKQEEVKLVDAYEKILSRQLQNGSNSMVLESQPNII AQSNSDRRRQMTQLINAGLAKTEREAKVKESCGEVVDVVLSVKNIVSAAIQAVPQAAF AWTGICIVLEIFINPIKATKANREGIDYVVKRMKWYWSLSNSLLKDPTNNISELSGIR GELEIQIVDLYKALLSYQIKSVCAYYRHRGLIFLRDMIRLDDWKADLGAIREAENCFQ NDDQTYNNHAQTYNNQQL NEUTE1DRAFT_124378 MSEKDQKCLEHLRLTDPRDDKVRIEQTKGGLLQDSYRWVLDNAD FQQWRDHLQHRLLWIKGDPGKGKTMLLCGIINELDQGNARHCNVAYFFCQATDSRINN ATAILRGLIYLLIEQQPSVLSHVRKEYDRAGENLFKDANTWIALSRIFTNILRDPSLR TTYLVIDALDECVTTDLPQLLDFIAQQSSSGSRVKWIVSSRNWPQIEERLKKAADKVK VSLELNAESVAAAVNAFILQKVDYLKELKEYDPITKKTVQDYLCSNAQDTFLWVALVC QALEKVQKAFAVEKLRMFPSGLDALYARMREDMSQFDESDYCKHILAVVAIVRRPISL QELASLAELPNTICNNLEFLKDIIGLCGSFLTLREQTIYFAFDWIFPKGKEDVHYIIF LRLLDAMSTTLRRDIYGLRAPGFPNDKVQVPNPDPLATVRYSCVYWVDHLRDSVSGTN TKRHDPLQDNVVYTFLKTKYLYWLEALNLLQAMPAGVVAIRKLEGLLLSKLVWDAYRF TLSYKSIIEQAPLQVYISALIFAPSGSLIKKNFRAEEPEWIRTKPAVELDWNACLQTL EGHSDSVHSVAFSPDGQRLASGHSDSIFSVAFSPDGQRVASGSDDKTVKIWDPASGSC LQTLKGHSDSIFSMAFSPDGQRVASGSEDKTVKIWDPASGSCLQTLKGHSMAVDSVAF SPDGQRVASGSYDNKVKIWDPASGSCLQTLKGHSRSVRSVAFSPDGQRLASGSLDKTV KIWDPASGSCLQTLKGHSDWVRSVAFSPDGQRVASGSDDKTVKIWDPASGSCLQTLEG HSDSIFSVAFSPDGQRVASGSEDKTVKIWDPASGSCLQTLEGHSDSIFSVAFSPDGQR VASGSDDKTVKIWDPASGSCLQTLEGHSDSIFSVAFSPDGQRVASGSEDKTVKIWDPA SGSCLQTLKGHSMAVDSVAFSPDGQRLASGSYDNKVKIWDPASGSCLQTLKGHSRSVR SVAFSPDGQRLASGSEDKTVKIWDPASGNYLQTINTSTMTTDISFDPTNHYLRTNVGR IKIDTETTESQVVLDNPESYSYGLGQDWSWITCNGQNVLWLPPNYRPSSCDLQGRMIS IGSISGLV NEUTE1DRAFT_49684 MYLVLFLFSSPHKLDLQVMETFKAKLGADHPYTLTSMANLAFTW NSQDRYEDALALMQDCVEARQRVLGPEHPYTLSSLATVSKWSS NEUTE1DRAFT_27447 AAITGYEAVVKLLLDTGKVNADAKDGATRIALHIAAENGQEGVV KLLLDTGKVDPDPKDKNGQTALYMAIEKGHKAVIKLLLDT NEUTE1DRAFT_148468 PSTTTRSWLQPSLRSSRTRNRWKCLKWWSSGLANRRSHDCQLIN FHHRLRAALPNRQPSERRSDFLCRCDCANPRIIPHQANLHQSYLVPAHPRRILFLTAP GLPPVQAQRDSL NEUTE1DRAFT_131679 MIPIRPKPNGNNPDGSSSSSNSTSPPPRRDKQAVHTPSPSRITS KPVPERQFRSPREYQIDQLKRRFSPLKETIDPSTGGETSLLFSLKPSDPDFPYDLEKL ECDLRIPGEYPRQPARLLVRNKDIPRGFAVNIERGWDRLVEERVKGNKGATTLLSVLN ALDRGLEGFLAEEKKETVKITVFKDSRHLEGQPSGVIGERGMEGVLKEKEREKEKEKE KEKEKPRPYIPLENFTRDQIAEAKARRAQEVRQLEARMSGLPLYHKSSDGVIFTLPMD PKRRSSLPPGLQSVHSVQLVIPLLYPLQPLRVLLNDVDADEAEAVEELFTQKAMEQKQ MSLTSHLNYLATNMHALAKQTAQEKQKAIQAAAAAAAEEQGKNKAADQEAKEAEHVAS AVDGERGHVHFIPRPLEWTLVNADGSSESESETDSDDDYELVDGKGGNTSGVTPGTAL PTATAERGTAISFPSIELHGIELLQVTLLSLSVKCNRCKTLNDVTSLKSNVEKLASCK KCATSFTVRFRAELVHANSTRAGFIDLSGCTISDMLPSSFIPTCGRCSTPYPSPGFVS VRGESTTNVCRECHARFTFKLPDVKFLTYSSSSSSLPPTSGPKRKDQKLGLHAGDPLP AKGACAHYKRSYRWFRFSCCNKVYPCDKCHQQAEDHVDEWANRMICGWCSREQNYRVE NCAFCGRSVVRKRTGGFWEGGKGTRDKVLMRRGDRRKYRNEKDGNKSNKENGIKMVFI FVIIPFLEVGILKAVDMLQEQLIDSMLTPCKAR NEUTE1DRAFT_140379 MEIVQTGAISHLPGLCLGLTVNSSSDVRFGVVRLDPLCDLRDSD TNSDEPRMERIETEETV NEUTE1DRAFT_148470 MARKSLTGLSWSDTAAANNNNNGRTHSRKRREDHQATAAPDPQS QASTFAVVFAKLAVTIRPTCTCASARTQRAASPLIMPLSLETPVLQVDANVIHKVDTT NPANLFSMWTVFARCRDSVHQGRRLENLSWRLWNRETFCCENEVADIESSATCQPQDI QRYSAAEDMPQLSGSVDSALDEEAVDFGNDTDAEPLEYLRPRIQRQDSCASNRSRGRE RHITSGDLEKMVVSIIEAKEPLNAPFPSITLVLPPVEKTKPAPVIHQLERSGSTTTES SCNTSENPSMESLQTAASTEATTQLRPTIVTRGFSVSPSQLPIIQRASAHASRQPSPS VIPEPTDAPAAKPVQPKKQQARFALGASNSGDDSYTDREQSFDHRKQQIPLPPKKKMF QVGRGSSEEEESLRDLKRTGALSKQVVIQDSSAISDDSDSDYVDESAIDDDDDSSDWE DSVEESGKSSVDDKFNFQRVDSKVNLTSRRSLITLMLHNNTRAQKLGNIASQSTSAIP RARTQLNGPSMVPSPNDSDEAPLMMRGKRAPPMRPINEIPRSTAQPINAVATGFHHQA CLSPRTTRRNMLATELTESLRRNLLWERSHKNSTATAVLKRRHTSQDVANLKQYPERP CMKDDNDKERWDQYFTKNAYSGYHAQGW NEUTE1DRAFT_112589 MECFLEITSLFHGSFRLANQQQPHWNYLGEPQARTQCSDLDQTH TVRPKEHSAATSMKMSSHLRSQLLLSRILSLPWKPPSHAAVTSGQRGELPLARARRAY LTASYLWANDLILSAGMHENLRGKGSGSWLHAAVTSSNGNKVMRRSAPWIGDQPGSPQ GTQLRAPTLFWDKATDSAVSSTSIPRSPPMRKGSVSLPKQTIKHLELLHGGHMTLFRA AVPHSVILLSRF NEUTE1DRAFT_140381 MSVFYYLEYTNVQFGRISYESAAHYLTPTTDGNEMRRQCMIASP DEMMTTLLLGADG NEUTE1DRAFT_87025 MASSTSPSPSPESTTPPRKRIVVVGLGMVGIAFIEKLIKLDTQR QYEIVVVGEEPHVAYNRVGLTSFFSHREVEQLYLNPLEWYKQHLQTSSLTHHLSTTAL SLSPATKSLTISPPPSTPSLTTLPYDHLILATGSRALLPTSTPGHDASGVFVYRTIAD LQSLITWSSDTQIKGSTGVVVGGGLLGLEAAKALMDLQVFGRVVVIERNGWVLSRQVD GEAGALVLEGVRGLGVEVLTRKRVKEVECDHGEDEGQKEKKRVKGIRFEDGEYLACST ICFAIGIKARDELARQAGITCAERGGGGIVVDDSLQTSAADVYAIGECASWKGQTFGL IGPGVEMADVLAFNFTQAHLHTPRVFKRPDLSTKLKLLGVEVASFGDFFADRDGPKEL PPKLRRELKKSGGKAEVKALTYKDPFLSVYKKYIFTSDGKYLLGGMMIGDTTDYVRLV PLVKTHKELDIPPSQLILGAKKSGDDNGDDDLPDDTQICSCHNVTKADLVAPLKSGEC TSLGDLKSCTKAGTGCGGCMPLVTSIFNRTMASLGTEVKNNLCPHFPEYSRADLYNII SVKRLRTLPDVMREAGADADSLGCEACKPAIASIFASLWNDHVMSPAHHGLQDTNDRF MGNIQRNGTFSVVPRVAAGEITPEKLIVIGEVAKEYNLYTKITGGQRIDMFGARKQDL LNIWKKLVDAGMESGHAYAKSLRTVKSCVGTTWCRYGVGDSVGMAVRLEERYKGLRGP HKIKGGVSGCTRECAEAGNKDFGLIATEKGFNILICGNGGTTPKHSVLLAKDVPPTNV IPIIDRFLMFYIRTADKLQRTARWLEALPGGIDYLKEVILEDRLGICASLEAQMQELV DSYFDEWAEALNNPAMQERFKQFANTDEGQPPMEVEIDRGQERPVMWPREDQGGSAKA DFKGLRDKWSSTTWQPVLEASYFQGADDLPNGISASIKRGDTQLAVWRIKGKYYASQQ MCPHKRTFALSDGFVGTDPSPSSCSSTALPPSPPSTPPRSSSPVTSSPQSPTSSATPA TTTSSSCTTNPSGPSSPWISCPFHKRNFSLTSGSCKNDNELSIATFDVEERDDGMVYI KLPPVDELDRELGTKKWMVKRGEAGEGQFRELDELNKSKGVEGKKGRRGRKPGASEAG KEVGKKLVEAVGGGGCGGPGLEW NEUTE1DRAFT_131682 MPYYQQDRRGGMAPPRRLITIAVSIVSFFILTALAIARFGPSSE LHARKLAQRIEHAQRANLQSEPRDTSLGDKYLIGVGKADVTGPVVEVGLGGYADTSQV GSGLRQRLYSRTFIIGETKNPKNRVVYIVLDTQSGDTAVRNGVLDVLKGMGDEYSVYG QSNIALTGTHSHSGPGAWFNYLLPQITSLGFSKQSYQAIVDGAVLSIKRAHESLQEGY LDIGTTDINDGNNNRSPSAYLANPESERAKYPFNTDKTLTLLRFRRASDLKSVGVLTW YPVHGTSVFQNNTLVNADNKGVAAYLFEQSVKGDSRAADGFVAGFSNANVGDTTPNTL GAYCDDGTGAACDFESSTCADGKVQACHGRGPLFQKLDKGVSSCYEIGRKQYAGAKNV WTSLDSSSTPVVGSTVRSFHYFHDMRYYKFNLDNGTEVQTCPAALGHSFAAGTSDGPG AFDFTQGDSGAPNNPFWSVVGGLLRVPSAEQAACQKPKPILLDVGEMDNPYVWTPNIV DIQSFRVGQFFMIISPSEATTMSGRRWRDAVKAAAKQQKLTGSTEPVVVLGGPANTYA HYVATPEEYAVQRYEGASTLFGQYELPAYINLTLSALPYLSPSSSSSPPPGPSPPDNR DDSLSFITGVVYDGAPSSKPFGTCITQPSSSYARGSVITAVFQGANPRNNLRLEGTYA AVEKLGADGKTWTQVRSDEDWNLTYEWKRTNGLLGHSEVTIRWETRTEGNGERDDPTG TYRIRYYGDAKAAITGKVTEFQGVSREFKLT NEUTE1DRAFT_103513 MDVPIKEEAPSAAEANPRDIVTTPPGKYLPFAWDSCSKDPLRSR ISIKQQAVEDAFHHAKFICHSIVSALKDEKPETFKELFDQEIVAWMDEICQLEEAHMN FEVLVGVAGVTGAGKSTVLNMLLGIPELLPSSNSEASTSSACRVSWNHNDDPEHEFTA VVAFREQEDVRRELEMIYEALAECHRIKETSADDEEELFQQEAENEKTIEEGLQKILA VWGKEREEVEELSAEDLLASNEDVLSVLGTSKHFHAADKEKFSEEIKPYLDSSATTEG YQTWPLVKEARIFVKADILRHGIALVDLPGLCDAVESRAQAAERYNQQIDTTIIVTPS VRAINEKTGFQLMSKYQALRMKLDGKLQKNRSCIVASQMDNIDCDIFSGNSPEAKNKA SLKEDLESIKSLSKRIGHLDQRIKDTRKKVVEYRNRKQKAIIDRDALKPTGVDKRYQE KMKTARNRVNNTTRLVPVHAALSLRACKGRAKWTCMSMRHSQIEKRLTENLERQWKEM EGTSQQLSSSERLTDIFSVSATAYRDLLKGRKVTGFPTESYTGIPQLSQWLCDSVMEK REVHLDSLLNALRRLAHGIQRWSNVNAEELHLWSEKIKSFDPFTQIHQTQEICKREAG RVATRWAARYPNDMTSSVFMAWTTFNAILKRGGGPYKFRSKIGDSQEYNFPEALIVPI LEKVVLKTWHNVFQVKIPAAEKRIMPRVDAIWDQYVEEPVCQIQETAPQLIPHVLECI PIVRGVKDEICDKVHETLTKLSKCSAEIHPEFRTTMEEKLKPMFDKCLEIKGKGHYQA RRKYLQDNVKAKNTRMFSDGFKKMKRRYETHLAKVPQEFSAIAQFAVTKTVNEKQQKL SDSATMFREKVGQTAMQWVAEWRVPRYEASSARPHSLLLPRRYVEPEPEELDEEGNAV ESRDKAEDDGDFAMEEDSE NEUTE1DRAFT_112593 MMDPSSAATADAPHDVPSFSTTTTLPANDAAPVNNGVNGPGALP TELDHPATSSSLKRRNTDWDCPKSKRRGYVFPSFESFSEYHGYDYDDNVPVGYSSWEE GDTQEHMLVDTMTSDQEGYYSPPYSRSELLELCANNPRAGREDCEETDAFFDSLTGGD PTAYAGEQDIFTYHSVPTCDALLAREYEVPSGDSNVATADEYSVASSEEEAMAKLLDS LADPFAQIPPSSVIRAVEGNSTPEIFDPSLRRSTPRSSANSCSVPVGNDQNADTENLL DEDIDWDEVFQHLPAAPKEDNTSQFVKLPNEANQPLENAIEWMQSRSISPPKYQPFAR PPFRSPLRNKSLVEGLLSTTVLRTCFRLDSVFKEVTQCFREDQEVTFELFARVSYSSR EKGSRVQHFQLIDLFEEQPPHLSGVLTRWKNDSLVEKEASRFLDVPNNKMCRCMCRPR KAKKPEIGWDLEVLRIRVTDWHEIESVRSVVCYE NEUTE1DRAFT_140386 MFSSSKPYTAVTVDIERLTSETFAEDDLSGIPQLIEAINLQASG PTEAARAIRKKLKYGNLHRQLRALTILDALIQNAGARFQRSFADEPLLERLRFCGTAE LSDPLVKKKCSELFRSWTAYKSTPGMERVANLYRELPRRKQVVTQEKSKVLKETENPF GEDEEEERQPSASTSAAQAHSSIAQPASSSSHRPTVSSSSGHGHSFSRSGKDKSKKSS SKSKKHRPFNLEAEKDQMTRTIAEASIASTNLMNTLQSINREKERISENSTAVERFEK CKMLRRNILRYIQHVESEQWLGGLLHANDELVQALMTFEQLDRSIDADSDSDDDLAEQ AHLYRMATEKAAKAKESGGSVPGSPRAPVAGMAGLSITNTPASPPPMPPRPAPPPRPS AASKPSMLKPPTRRVEPSDDEDSEVDEDENDPFADRNAVSTPKVESDEPKWS NEUTE1DRAFT_68347 MPAATSPSPPLTGTDTGTGITKLTNCRLIIGDSLIPSDLFIDSL TGKILEPSNNTLLPDITLDLQNRIVSPGLIDCQLNGAFGFNFSTLTSSTEYLKNIHSL NKKLIRTGVTSYLPTLTSQKPELYHSALPHLGPLPGISSSSNHHHRNPQNGSESLGAH VEGPFLSPLQHGIHDPSVLRAAHSFQDLEHVYGSSNLSSSSGSSGGGANIKLITIAPE RGSIVTLIPELVARGIIVSIGHTETSLPVASAAVKAGAKMITHLFNAMKPLHHREPGV FGLLGLPASAPAPAPASVSVSGEEGEEDKTCKRPYYGVIADGIHLHPLSVCLAYNLHP RGFILVTDAMHLAGMPDGKYEWVNGEKTEWIEKRGSKLCIAEPPPSSSSLPPSPPSSP PQSLLVGKQNGNHVNGYVNGGPGRSQGEEATTQNGNGVEKKKKCGGGGGIAGSSATLL ECVNNVLQWTGMPVPKALASVTSTPAEMLGLKGVKGSLESGADADLVVFEEVEVEVED LLDGSGKISRKQLVVDEVWKFGERVFVRDGTALVSSKS NEUTE1DRAFT_124384 MDADLDPLWQDMDWAIGQMLIMGWDGTEVTPQIRELITDHHLGS ILLTAKNLKSAHQTAKLVQELQTIAHNAGHPYPLLIALDQENGGVNSLFDEEHICQFP SSMGQAAAGSPDLSYQLAKATATEVSACGVNLILGPVLDVLTNARYQPLGVRATSDDP QEVSQYGIAAMKGYKDAGVATCGKHFPSYGNLDFLGSSLDIPIITQTLEELALSALVP FRNAIATGKLDAMFVGGCGITNPSMKVAHACLSEQVVDELLREELGFTGVAISECLEM EALRTEMGVRTGTIMAVQAGCDLVLLCRAYDVQLEAISGLKLGLENEVLTKERVYTSL RRVLKMKRGCTDWAKALNPPGISLLKQIHPSHLALSMKAYDDSITVMRDNEKLLPLNE SMHQEEELLLLTPLVKPLPASAMTKTILEGSTKIRSENPIHDKWIHRERSAIMSGEGV FRELGRSLARARHGKLLHTSYTANGVRPVHESLIQRASTIILLTADANRNLYQAGFTK HVAMMCSLLKASGHKKNLIVIAVSSPYDFAMDKSIGTYICTFDFTETAMSALVRALCG AFTPHGTLPGTLRKAPRKAVQSSAGGKSKTRTQHWLVEPYDIERDTKGLQDLLFAMVR GSAPNHQYFYAFGPHSFSLLSDVMTMEQQQHEEEDKKKMEEQQHFVVRNSSTGALYGF CSTYYLPSTFTGIIGAIFVDPSKRNLSIGYSLHRRAVRNLLQKHPDIKQFQLGCCLPG IFPGIPLDQSDALSMSSAITPGSTTSSTAASSGLKSWFSTTCGWDLSPSSSSSSVVRT RKIYNLLLPSLQSWSSPPDLPTTLQLAGISFDLIPGGGSGRTPNSTPNSPLSAEQESV LSFVSSHATPEILALYRLALLQSPPYSSSLSYSSSASPTDPTSYNNNHHNNHNNNNTW IIRAKIESQSPPAPGVVEGGGGGGGGGGAEQVIGTIIISSELGRDLHLPSLSSASASS RGGTGGIIAPVVSSMMGQQQTNANLLVLQGLVMLGLRQNKREKMASCLLNWVSNGNGN GNGNGNGNGNGNGNGNGNGNGGGGSGSGDGGQQQNNSVETLLGMGFEVQQVWEEVVNG VEQFASLA NEUTE1DRAFT_124385 MGLVIRDNAESASSYVADYIVNRINSFSPTRTRPFVLGLPTGSS PLGIYKCLVEKYKAGLVSFENVITFNMDEYVSLPPTHPQSYASFMHDNFFSQVNIPPQ NTNLLNGLAPDLAAECSRYEAKIAAAGGIDLFLAGLGEDGHLAFNEPGSSLASRTRVV ALAEDTILANSRFFDDDVNKVPQLALTVGVKTVLEAREVLMIVLGAKKARALKKCVEE GVSSMWTGSALQMHERAIVVCDEEAAGELKWKTVKYFKSVERRESGHNRGSDQSLPIR KGLGTPPTKLKTAAGPLTPESTPKATATRSSSSPISPLAQTVPILLTTNVEPAQSSVH TALEQKILAQPVPKSHGLLGLLGTSSYGHTSDGDSEAESEYDLKPDRMASRLTDPVFA AEALRRLTPNPEKGKMLG NEUTE1DRAFT_87040 MASLKLLIINALKSLSRGRSFLQALLALWISPKSNPNKLKKIKV FRRTREEFLKEAKKLLLGPIGSNHFITNSGPIPNDPLLLLSKKLKAQFEKGLQTNPAC MLPSYNHQLPGGHESGQYLAVDIGGSTLRVGVVDLKGRQTTDDDDSNIVHMDSYKIDR NVRLLRGAFFFKWMARKIETSLKKAIDAGHISKAEYEVGDPMPMGLAWSFPLEQTSPN GGNICPMGKSFLACDGLIGQDLGATCNKILQELRVNVEVVSIVNDSNATLLSSAYSSP SARFGLILGTGVNIAAHLPVNLIGKSKFGERPDSWYEQASHVMVNTELGMFGRDILPL TRWDKILKAGHERPDFQPLEHLVSGYYLGEVFRIVLLEAIKTTGLLGGKISPLLLDAY SMDTGTMSLIINGRDARGRAEAVFSQLYHLPAGYPSTEDMRFMQQLAGYIAQRSASIV AASVFALWELKNEAEQTFLDELEPESPFSEETAVELMMERTTVGYTGSVLTCFPGYKE TLQKYLDQLMMSSGHNLKERRIDLVEAKESSLLGAAVSLAGVVEEERMGLNEKKDMAY NEUTE1DRAFT_124387 MASFNSIPQLPNGAPVDTADALGLSAAGQPMGMGMGMGMGDPFG FEEALLDVNADLHAGIPFTPSAYDFDNFTFEDPFSATTSFPPLPAHSQGHSHIHIQGH GHTPYNLPSVGGGPIVGAVAAEAEAALKITTNEVDSPSDLLDNKLLGFSPPIPSGQAT LVDSETGRFADLNMTAELYGMFFVAEDVFASNTPVSDQGQGLGQGQAQAQAQHPGARP LELTCYRRNLWQCTGQITLPRHALHCSLLLDGNEQQVGGNGAAGRQVRIKELWASITA MESIEGKPTEIISIPWKSNNPAFAAAGGGGQQQGQQPEESSRTATTPPKQLLDLSAGQ EIDKSGNRVSIPVSWKRLQFKHATANNGRRKGLQQHYVVQINLLAKTAESGDKLIKLA EIQSGPVIVRGRSPRNFDSRRDVPLTGDRKGMLQRTNTEGSGGVGAGADWSSSTGGPT PQPFSTSPNLHQQQHPNKKLAMSSNSPGSSSRPPIPSWGSLSTDVANNHNSGSRRNPT PLAAPNRTSHHRNASSSAGALPISLSLSEDEQRSPPMNRITTPGSAGAGAGAGDSPLY GKMGVATGGMKRDTAGKGMGFGSGSGRLTTHSSNNKGESHFESLGGQNQYQKENVGSP IEAADLLYEYFPLSLDDWMPPVDAVYRPHVVHHTIVPPEIKAQQTNSRTKRYFAAE NEUTE1DRAFT_68361 MSKLSLSEKEKANNLPVRPGHGTMGEKVKLWANYFKINIKSPAI YRYTIKVAATEEKLGKEAEVASKKVEVVVGKLLKQIEAKVKSVAIASDFKVHLVTTTK LKVPENRIFEVTWTEPSSNQNLPSKPQTWVVKVEESVENCDFGKVLNELTTLDPKLDG DFPKYNVELDALNTIVTHHARADDNVAVVGRGRFFAIGDDLIEQVRPQDSPLVILRGY FASVRPATGRLLLNTNITHGVFRPGIKLAQLFQELGLDVMDKCNAWNEVTKNQLNDKM RRVHKVLAKGRVELNAPFLVDGKIVYKKGYRTLNGIANRGDERGKQKDGKEVRHPPLF GIPGVQVGGPTSVQFYLRARETKDGAAPPPTPGLPSNAYITVANYYKQRYGITANASL PLVNVGSKEKAIYVLAEFCTLVKGRSVKAKLNANEADNMIRFACRAPSLNAQSIVTKG RQTLGLDKSLTLGKFKVSIDKELITVIGRELKPPMLTYSGNKTVEPQDGGWLMKFVKV ARPGRKIEKWTYLELKGFRDNVGVPQAMSAFAEFLNRTGIPINQRFSPGMSIPVPGSE KEFFAKVKELMSAHQFVVVLLPRKDVAIYNMVKRAADITFGVHTVCCVAEKFLSTRGQ LGYFANVGLKVNLKFGGTNHNIKTPIPLLAKGKTMVVGYDVTHPTNLAAGQSPASAPS IVGLVSTIDQHLGQWPAMVWNNPHGQESMTAQFTDKFKTRLELWRNNPANNRSLPENI LIFRDGVSEGQFQMVIKDELPLVRAACKLVYPAGKLPRITLIVSVKRHQTRFFPTDPK HIHFKSKSPKEGTVVDRGVTNVRYWDFFLQAHASLQGTARSAHYTVLVDEIFRADYGN KAADTLEQLTHDMCYLFGRATKAVSICPPAYYADLVCDRARIHQKELFDALDENDSVK TDDFARWGNSGAVHPNLRNSMYYI NEUTE1DRAFT_131690 MADPDADSPGRFQGGLDSFDISTPLQLDEPTLARHGSLNANVND AVTSSDPTSIPSSLADTSRASTGGGPTRQYPNHSPPIGHHQQPNAYHGNGISPQQQYQ QQQQQAFDFNQDNISNWDLRDSPPFNSGPDFNNFGQVPHGLNIPQSWDLPVHFSLNSQ GLQQQGQPGQQQQGQFYPDTTGAGIDPGIYAAALSIPSPQQQSAEPISVRTNINPNIR HKLTPAQQERLKTIAMPPHLQYHSPKSAGSPDSNASMGHDKGSASSPDGLEPSKPNSR KRKSSDEVDEDDDDDDLDGHQPVKKTAHNMIEKRYRTNLNDKIAALRDSVPALRIMSK SARGEDTTEDREELHGLTPAHKLNKATVLSKATEYIRHLEKRNNRLIEENNSMHARIA AFEKLFMAGAMTGMTNPMQAPPTPIQYPQDVAAFMSTPMQTPRGPDPPGLIPIPDDMK RILTAQQMNAGRPYPVGPPAIQPGFVANPGVIRRQQIQQQQQQHQMQGRWNPYLGKMM VGSLAGLMLVEAAMEQEASNETTEGRGLYALPLQLIGSFIRSSHLSVGGYYISAIEIM AKLKLLFLGALLVWTVFPHSFFDAPKSEKPKGPNSAVQAVPSLASPIHVRRQAWLTAI QTVWVPRHNFLLEAAALLLKTLKFTLRNVFGVGTYLWMTGLSEEQEAARVKAWTIALD AQLAGGDVEINRSRLTLTLLASGTLPDTPHRLMLKALHTRVLLWQLSSKFSLANLPAA KIARSRWNEARQLNMILQRTSTSPEDVLPEHLATLLEQDCDDVLNNSIVQRAHNLAFN RPTTFNLVDLTDGMNIVVEDPAVRSPMDAVAAWYSSSILQRVLLSSLARAQDGITDAT QETIDEIALAAKIAPIGSNAYLRALVARAVLVSEKRGVSIAAALKASDPSLNPDKHPE YGRGVPPLIDSPMAQIVPDHDALMALQYAMRIAHVQKFPDPPKQAIAFLNSILPGGTD QSKHEGMSLLACTAAYCLMELLERHAVCREQCSGALERLAGALRIWVGSSQGEQVGLD GKMRQKMTGRCSSIIRSVIGMENDPGYGSMSDCEEKEEGGC NEUTE1DRAFT_87053 MPLGIRTFISRNNIKYNSAWVLSLLATSLVLTWPHLCLAIPLPQ PNLSPRGNNNPLNIDWDPAPAPEDGPPLSRGAIRDPAYLPAQIGGIVGSYAVSLVIVA TLLLVLSKGRRQALINADLPEEDKYLDFNPLPAYLQQSEEEFKQTLAQFHQQELGEHF LQQQEYGQVPPPLPTLQIPPSPYRNWGFRESPLSASRSHSVISAGSPTSTVLASGYDL SVDQTVIQHDRAMAQQQLEEMYRHVMEQEEAKAEGRQYIPPNLPRPSVSSFASQQPHQ QASATPGTVRKNKPSNLNLSKEKEEKQSRGASFLNFLKSPKKNKAPQGLSISSPIMTP MSGTFPRGYHPDVDGQEMNSIPPRHYAPAKPPPIPSDVLPFRRQQAQYPSPDISPVSI QSIDQRIDVALHHPANHGEREGARPDERLPSHIRDPSAASNASSLTGDAYLADPPSAV SDRSTSGLVSSGTVGLPSSPRPGANRFPSLDSTRTLPASPKPGASFSSSRSGASSTGK SSTLRPNAPAPLNSLSGNASASSSAVRAGGALPLRAYEPSLASPTSGSQTTKQTVFTR QVPGPLSPGTAGLRTPWTGAPVPYSPYQPFSPVVPITPSLVTKADRKRMKKFEPKTPT VEMVRSEEEIW NEUTE1DRAFT_87058 MAETETLCEYCAAIDFEQLRLPSSKDVGKLNEGRPVEDIFPSID YSIWSTDRPHWSLGTQSRIQRSAATCPFCREVSLVLETSGVRVKAPTVFEKDPICDVY FTEAGRVHAPPGVTWERNEQYLRHKLLPNKSPPSLNNLPLIPYPRVSLRWTVPEKHPM PWYANYPKYEGVIELFECFQSIEMGINERRSLFSGRERPALLDLELPRRWLRDCLDNN NGRCTPEPKGEGVRSSIFRLIDTKAKSVVEFDEHRLGDTPYVTLSYVWGTTQQAVLKR ENVLQLQLPGSLQGVTSRTITDAMIFTFNMGYRYLWVDALCIVQDDDADKMSQLHIMG DIYKNAVFTIVAAAGGNSGSGLAGIRTPRTVIQRKVQVKQAGPQKMPLWLISTAMPRV VSSYQLYTSGLPWQTRGWTLQEKALSRRVFVFTDEQLFWSCRRCHRWEETDTETKLAF LSWHPMTMTEADVTPSRIWTSLWTLITDFSTRHLSLDGDAQDAFSAILREYTELTGYQ FLWGLPVSKLQFSHALCWQANGPLVRRECLTTLPTTSLQVKVPFPSWSWLGWKGDIRN QWCSASSLKLEIRPYVLRNTPVRLVEIYDIPEDNMSRAMPSSTNGGESASPLPTHSYP NAFESLTLDTIYRTLSPDITPKRLSRTPDDQLLFFWCERTFLYFEKPSGDEDNIGVHD EEGKHLFFHIQRWVLGHLDQEWERPKYSWQSDRMKVEFIAITSYTCEWLELSSNPSQR EEQGTEFFLFLIKRRQGIAYRVAMIERVPMRKWLKCERERILVALG NEUTE1DRAFT_103527 MSTHSSSPSSSASCTSSTSSSITIRTPTPDWGTKASSSLHTFIT STPPQKMDHSSGSPTSDTVGDLYQDHDDNPTGNSTYIILHQPTNLALMVIGGWPALYK VPVNLGEGHPLRGKCNWHWHCVETDGWLGFRNAADGRYLGLNIGDKATASDPLSGSYW NVSVNHGESERFICVRAKEDGKHEEECETPQPNKRGYIMCSLQIPTESNSKAIPRLVK ARLKPKGSDSGSHSEEDWEEGFPVSMVWQDGQEGTVWRFVKV NEUTE1DRAFT_131694 MADNTESPPAVGNDPPVTRRQRAPTITIDTTAVSPQPQESPESA PRGVSYSPTSLGEDAYSPTAMDPIASHWTPSHQHSNSLGQRSEMRQSTSFDSRDSRPT SPHNVSSPVTYRPMDPQNFLSVPGAAGRSRQNSVNSNDETRSIASSQGETVIGGSSHQ GDKIGRPLSSNISDNAQIMNDPDALKPDQGREADFEVVDNPFAYTPGQLNKMFNPKSL PAFWKMGGLAGLEKGLRTDRKAGLSMDEVELDGRVTFDEATARPSVNPPAQPKAATET VLAKTDSHTAADHHKKHHGDDHYTSRKRVFSDNRLPEKKGKSLLELMWITYNDKVLIL LSIAAVVSLAIGLYQTFGQAHEPGEAKVEWVEGVAIIVAIVIVVMVGSLNDYQKERQF AKLNKKKQDRLVKAIRSGKTVEISVFDVLVGDVLHLEPGDMIPVDGILIEGYNVKCDE SQATGESDIIRKKPADEVYAAIENNENVKKMDPFIQSGARVMEGMGTYLVTSTGIYSS YGRTLMALDEDPEMTPLQSKLNIIAEYIAKLGGAAGLLLFIVLFIIFLVKLPKSQHTP AEKGQQFLNIFIVVVTIIVVAVPEGLPLAVTLALAFATTRMLRDNNLVRHLKACEVMG NATTICSDKTGTLTQNKMQIVAGTVGTTHRFGGVGSAGGLNPETPDSPTEADVTAKEV VTSLDASVKELLLKSISLNSTAFEGEIDGVKSFVGSKTETALLEFAKEHLAMGPIAEE RANAKILHLIPFDSGRKCMGVVVALDNGKARLYVKGASEIMLEKCTQILRDPSNGITA GPLTPENRETVLKLIETYARNSLRTIGIIYRDFAHWPPAKARRTGEDKEEIVFEDICS QMTFVGMVGIKDPLRPGVPEAVQLCQKAGVVVRMVTGDNKITAEAIAKDCGILQPNSL VMEGPEFRNLSKAKQEEIIPRLHVLARSSPEDKRILVKRLKDMGEIVAVTGDGTNDAP ALKMADVGFSMGIAGTEVAKEASAIILMDDNFNSIVKALKWGRAVNDAVKRFLQFQLT VNVTAVILTFISAISNKEQDSVLTAVQLLWVNLIMDTLAALALATDPPSDSVLDRKPE RRGSGIISTTMWKMIIGQAIYQLAITLLIYFGKQGVLPNYDDNVTDDQIQTLVFNTFV WMQIFNQWNNRRLDNNFNIFEGLTKNLFFLGISAIMMGGQVLIVFVGGQAFSIAKEKQ TGAMWAYALILGFISIPVGMIIRLIPDSLFERMVPEYIKRRANKTPDLTVSDDERFEY YPPAFAEVRDELAFLKQFKGGRINNLKFAMKHPRETFMPKRSASHSRSNSKSNSINVP MTPIGKDSTGGAPSIAPPTPDSRRRSRSTRSRSNSALGASMVMTGIIAGSVAAGWAPS PVERRPDSDFGLFPPKSSPHAESSQSEVQPSQRSLTPSINEEQETPDIVPSIVEQTVP ILSVPKPPGHKSA NEUTE1DRAFT_87062 MATHWPPRSPHEALISTPRGRQRFREMQTSPTPSPSRLRMSRSN PALAARYTDNEDAVMIDVDDLEDDDDEDEEMVQLKLQEIQARMKLKKIQNAKAQRRAN SSMDARSNGGRPESASANNNNNNSMQPPAGLPIQSRLAAARDRMEQQSNPVQIPASPV RRGRSESAPQPPQSPTRLMLGIDKGRKAADMSLKRAPTLRKVETERPSQNLNSQQSGY LRRSFSQAEERRPLSFNERLASARNEEQERREKAQRIQKIRSNAFSIGREEMEEYKTK AVDVPEVPYKAPEYSRDDILSATGRSSSRPTMSASSSFTQPSSTQVNSDNEPGFEPYS GLRLSKRILPHNVVTRAITGKKTYGLKDLLRQVKAPDWSLPDVESDVVVFAIVATKSE PRSHRSDGKPLQERGKYMVISLCDLQYEVELFLFNSGFDRFWKLTPGTILAILNPTIM APKQGQQDTGRFSLVINSDEDTILEIGNARDLGYCKSIKKDGMLCKSWVNLRRTEYCE FHTNEAVTKSRQGRLELNNSFGFGDKYKQNSRYAKSEEAKRKEEEIRLRGQYDRSTGS HFFMNRTAAELIDGGGMADQAEKKEAIKRSLARKEKEAEIARKLGEVGGGAGRDYMSR AAGGLRASFSSTNSVPMSGSTSFSSATASTPMSSLSGHSQSNSINDPLGFGGRPRYDL QALGLLRKKGEEQPKIDLGPIKRKRPESAQSSENSFSKSNSASSATITPSNYTTNTKA PSLGWGSSLRDKLSRMKEGERLNLSHRPSNSSMRDSTPALTNSSKKTRFVTDKGIREA GRDSLGDKGFLLSAAQKNRRQVVLSEDDDDDLIVLK NEUTE1DRAFT_103530 MESGDRIWIIDRAAAGWIDVAGSRFACKDGTGPNRRAGYGCLGG QLVCGKDDIHGHWSPKGRTAGWMVLCREAPEGEMDGAVGGRGRWKRKKR NEUTE1DRAFT_87066 MPRTTRSKASTADFQDAKSASSSSKTKSKSTTSSNSSIYTLAPE VESPPSIFILPKSATPQSRIVTLQNPRYAKPTRYLVCPDSGTFYEFTKIAAPQQKSPR SWLIDSAKLLDGTTAITPAQTTKDSELYVATQIDPLFLVLPALAAADKKQQRMFLSSD DHFDAVSEQDSDGNRQNHLLEVLKWPQARNLLEKRMAAVCDTVEAGDETMFRLNESKL VGELLSKAKRMSEGGLPKSMEEKFVAKALEAPIVGIRTTVLSRSDTTVSTESQEETTT TSLSEATTAVATPTSAAGAGAGAGAEVIPSLIPTPEILSLQRLRVSLNFLTSSYLPPS LSSHLKSSLSSPSSVDFTPLDAYLAQLAKLRQEAVASRSHSDFSRKRIAGDEEEAFER AEKRRKKEEEEKAKKAGVSRGVKELAKVNTRGMMKLTSFFQKKT NEUTE1DRAFT_68383 MSDDRMEISDEEGSSLFASPTHPPTSRAHPPSQAQQQQQQQKTP VASSAAAAAATSASKDSSTNQSNSSRSFGIDNTTIESREAALQRELEGVRKINQVIEG VIGTLERAKGNMGTVNRTIHSASHLLTTWTRILSQTEHNQRLLLNPSWKGATADLVEI EAEALERQREAERKAAEAERKREEARRKAEEEAERRRLAAASSGLGSTRGRPRSRSVG VASSRYGIGVTRSSATGTRGGRSGSGVGSLVGTGRTGTGTAGTAAGRVGMTTRSGLAY RPAGATGTSGIPSVRGGRGGTTTTTRGARGGSITTTRGARGGTTRGGSAR NEUTE1DRAFT_124398 MMEVFRSHGAVTPSGPHSPSDIHTPPAPLHGFEDHWEPYTPRKS ARISQRTQAQAASSSSRSRARTPSPQPTPRRHISSAADKQQQQQPPPPHHKSTGSPKS TKRQPHHHHHSHHQTEAAEPLSSSAMVTPTFTPVKKRAVNPALDSVHRASRTLDGSLH APLGRSTGAGSLITPAKTPIKPPTERSKSQLKGVTKTLFSRKVDENEVMPSPKKARAK KQSNEEEEISIFTDSHERVPVVDDSIENPFYGTKTTTKTTTTTTTTTTTTERTSTRRS TRNLVNIPGEGVVSLEEAVGRKDGMLIMFRGKKQFRKFAEVEEEVVEADGEFESAVAS PLRRPLTRSSIKPRLLFPPKPKEAKVPDSDEEAPTDIEDHVLESLKTEEEKKQEKEEE KEEVIEPEMPAEEAKEKPLTEPQEADKERASTPETTPLAPASPPATARTTRAGSKKAA EDTPKKVKPKGRKSPFDAFRRTKAGASSSAGTKRASETPSVGAGPAKRTRT NEUTE1DRAFT_118040 MWSNLFGIGGYFLFRVHGKIACLVIYQRLDGRFCDWNLGVVGPA KAFIPFLPFPSFHFCFPFLFLTLCCTSAWHGIAWQDCTAPVVISVSGCFHFFP NEUTE1DRAFT_87071 MHILIVNDDGPPSAHSSPYVHSLVRGLQAAGHTVSVCLPHTQRS WIGKAHMIGQTVKPLYYRPPPASSPAAGLTTALVPSSEKPEQVVNVTDHGSVHLRPST VPGTEEWILVDGTPASCVQIGLYHFFQDRGPVDLVVSGPNYGRNTTAVFALSSGTLGG ALEAAVCKRRAIALSYAFFNRNHDPAIITKASRQSVRVIEALWKQWPTDGSVDLYSVN VPLLEGLEEGKVLYTPMLQNYWGAGSCFEEVEGSVDGEEVDEERIREGGGADAETGDG GGGLDVGDGKRDGREGLHTHKHFKWSPRFTDVYKSVEEAPPGNDGWAVKEGHTSVTPL KANFWNTAENLHGKELQLPPLETPSATKTKTESTTTLPIRNSTTTNSKDHLYALIDYQ DAYVQPLILSAIEKLLPSSSYTLLPSPFTPENKEPEIHLSTLLPSSDAKILQITPYET IDFDHAMSHPATTLINSYIIRKALIRKHFLSSTVENWVAKHPTSALKTHVKRAEAFEV DYAEFLDDSLVEAFDLRASMEKNDQLIAEGKEKEVEWWILKPSMSDRGQGIRLFSTMD ELQTIFDSWEVDSDSEDEDDDETTQKDDDDDSDDDSDDDSDDDSDDDSDAGGNGINTS HLRHFIAQPYIHPPLLLPELSNRKFHIRVYVLAIGALKVYVYKDMLALFAGVPYTSPT TSSSDPDSNPDSELDLSAHLTNTCLQTYLSPNAAENSVHRFWDLPSLSPTHFPQSKAE NIWDQICEVTGDLFEAAARGMMIHFQPMEQAFEVYGLDFLVDADDESGRNTAWLLEVN AFPDFKQTGELKGVVGGFWEGVVREAVGGFVGVKKADDVEDEKMRLVREVDLGRRW NEUTE1DRAFT_48910 MVPPVPPSGIWASPITLFNLRDIIDFQSQISYFQYLSSPKIGLA GFFVFGTESEASLLTRQERRDLLRCARDSVPLGFPIMAGVSAPSVRQVREHIIDAIAS GANYVVVSPPVGSEPNKKGSKQQNETVDAFFDDIANYSQLRILISDEDGGIDLTSELI IRQALRHKGKIVGAHLRSGSNVVGKITRLAREFLPSRKEFAIFAGQSDYLVGGLAAGS SGCITAFGNVLPWALVWQYHEYVNGEKNNDKVKRADALRVSGMLAKVEEQLLESGGGI AAIKFAASLYTGWRAGVVKGTGTTRRYPMATAGHKGMELFLPRKPQADISMEMKDKIW KAVNWALDLERDPVADYDLLEKEKAEGSRN NEUTE1DRAFT_87075 MAAAGGASSIITQVQQGGPPINALGDVAPDETITMDLRGTRFTL SRDELLTLPEFVLLSLFPNGLFPEGHMGGFGEGDAVQVDYDPASLQYMLEFFRTVAQS IPVDPSNPQDGGDGIVPVDPASGARGDDGSKRAGIIVLREDLDFYAIPPRADLGQAEM MEIKRAAARALLKQDGIFSGLKKSDEPGTTEAHLIEMLTAGGFNHDDRWGHRAGEPNK AVICSLALARLRSDIKGNEMGSNAVGMAQKLLLFWRKPARRCWWEGVELEGVEGLEPG TKLKVWIRRVWTLEMSVIGLR NEUTE1DRAFT_68393 MSYKRSRATFEADLTAQQSPYVFFGTPLPPRDPEVRDDGSYVPI WKQEVRDERGRKRLHGAFTGGFSAGYFNTVGSKEGWTPSTFVSSRTNRHKDTPKAVQQ RPEDFMDEEDLADAEEAKKIQTNAAFAGLGSTANDATRASGLMGLFRPQGETMGVKLL KKMGWREGQGIGPKVRRKARLELQNDATAQTETFLFAPENVPMIAFVKKTDRKGLGYA GESGLTPIGRSAGSKKGSHGDTDDEDEDDYGALGRPKLTIGGGRKKETSQIRGGIGIG ILNDTGSDDEDPYEVGPKISYNRVIGGDKKKKKATIAANPTLQAKPKFITKKAASSIL GKVGLGVRKCHDGRLPLDGFVFGKESDALTTEINSEGKYPPPQIPPGWVSSRTPKPES AGGSPANYVSTADVAKASTLDPKARAALLGEKQLPGKSVFDFISPASRDRLAAVTGRS DLPQALGEVPAAYARSEAEKQQELLDRVPKLDKETAIAAISRGIGGGAPYAEDEEKRA RYRGYLEVQAGFRPQLPPKPAKLTDEEWLREFHEFFNCARIFKPMTGFMASRFTTSSS TKSSASTTGDTKEVVSRPPPKPEDPAEEAARLGMFGTMTRSVTDFYPTRLLCKRFGVK PPAHVQPDNYDATSGKKKDDVWSQFQSYGYQGGGGPGTVERELLGLEFGSTGGGGGST AMADLERGGEAQKPAAVVLDTSRNEALEGKRPGDEVFKAIFGDSDDDE NEUTE1DRAFT_103537 MSVETACEHTYLAHSSEGEASGDGPAARLTRIGGWVLYVTARST AFGKEIRPISKQHRSGVKPFSMIPDILGTMPAGMVYLSSHFPVLGVKHEVTLKMGARL TTTDGTIPNAKHSASGNSLRDIENERHSKPNEQTSIPRQSGISFVNCSHDEKQRTRKE GF NEUTE1DRAFT_131701 MATTLSSGAAAELPIRSQSVRTRRPPTTSRPEPPLPRSESTNRA EASRPHRRSSQRSASGATTAATTTTTTSTATSSRHHPHSSQQQQQYHHQQQPDMSAAA AAAAAPHGHASAGDDHRNGADAGVSTHRSATGTTKQRYRTVIPAPSGNYAFIKTIGQG SMGKVACKIIERVSPDDGRQSREEREKADAAREDRNAREAAIVSLLNHQYICGLRDNL RTRWHWYMLFEYVNGGQMLDYIISHGKLKEKQARKFARQIASAVDYCHRNSIVHRDLK IENILISKTGDIKIIDFGLSNLFSPEEDRKLKTYCGSLYFAAPELLQARPYTGPEVDV WSFGVVLFVLVCGKVPFDDQYMPALHQKIKKGAVDYPNWLSSECKHLISRMLVTDPKQ RATMQEVMNHPWMLKGYNGPPDNYLPRREPLTLPLDPEVISQMTGFKFGPPEYITAEL TKRIKSPKYQAAVRRLEKERERPEPIAKDAEKKRGFGFDFYKRRNSVTSKDTLTAASS EGLSLGDDPLNAFDPLISIYYLVREKLERERNHVYIDLQQPSKAQQPPPSSSQTVMPV PPSPVIRPKEKHSLADIVPPQPVHNAEPRSRQRTRSHSEDQPREPIQGGLLSPDMVPA KKESTAAGILRRLSTRDRRKEPPATANSTDGKPPIRGSMSMRAKSLGHARRESIQMRR AKREAEAAAQQAQSQTTEPQRSYQSHHHSVREETDAELVDSDIRGETSGGSNERLEPE DPDLVKPVFLKGIFSVSTTSTKPLSEIRADIKRVLRVLGVEFNEIKGGFSCRHTPSIN HAERQPTVMTEGGNEIEFEILIVKVPIVSLHGVQFKRLAGNTWQYKALAEQIVRELRL NEUTE1DRAFT_87084 MYHLRYDIQMLRERLKDAAAPGIYTLTKYCLRCKNLWLPHGKEA GCDGIGFSASLGCRYCIFLLNALKHFDWMEGLHCYFKLSGRKGRYYLTSWVFHVQLEL YTPRGHPPAWHGIAQDANPLDAVLSGSPQTSEAYEFIRSCLRDCDANHPECKIAGQGL PTRLLDVHHEGAQQVRLVETESLPVGHSTTYIALSYCWGGRQSLTLKSENLEVMKLGL MVSSLPQTLQDAIAVTRALGQQYLWVDALCIIQNSPSDWEVESSKMASVYRDAYLTIA AATASDVTHGFLSRNYRELNAWYKEPYHEEWINQEACSTILGARRIHEGLGHADEHPR VPVLPWNLRGWTLQEQFLSRRLLKYHAYELRWVCQNKSACQCRSDLSCRLLAKDPMDS PTYRLESAQLAHDYWRHIVNDYTARELTDARDKLPAISGLAQVFQQVIQSPYIAGIWE DRLSLGLLWRAFDARYAPELYIAPTFSWASISSRVETMFEPGDYGVRDRWVSHTVVED TCSIADGKDPLGRVKGGWIKLRGYIFKAALIDDPRGGFDYRYKVKTKFLGKERLRQDT WLEEFLATNEHGDVQRSVRRMRQPSGITTHDNEPPTSEIKDGAVVYLLLIGYVTYNLI TVFYFMGLGLSSDKPGMYERLGLILYCKSKKKFEKFKSGLIAAADDHKVVTIV NEUTE1DRAFT_131702 MPLKKSYSDAYAQPPKRGGWQRTRSNPPNKGPLQSLAPVSESSK NKLQAFRSDDTSSKPPPKSVKPVKAASNELQKENINTTKSIPRKRPLPDSNDEPSSDA KTPADRHSWKDLFGVPEVITEEEDGEKSPGDRVDWRSGVPLQLPASPMIPKNGRRRAK SSSPASSPAAKLTTPHVALKRFAGASKTPHADPASDLWSRYSSNGNETSPSNHKNPLF AQLMASSSPRPQSSDRSLRKSVSCGSAWPKRRRTLCPDEQDRIVSQDTPRKKKSSLLS ELLQSVDGEIDSSKKPNSAPAQQKKSPSPKKKSPSKRKTTPQTVPDQNSSPSRKKAER QSTETDASKQPEKASSDYGDDDFDFDESTLLELDASILGQGDGSTLMASDAVSPAPRE SSKTLVDNDEFGDLDDDIFDGVEDLVAQVESATQKPAQRLSPRKRPSPKKRLSPRKTQ SPKKLPQQVAGDEDDEFGDDFGNDFDFDAVEMAATQRASHAQDVSSHPSQKPRAIQRY LVTNVLESSYTDDRGRESPEKILIVQADRTNSVRTIHLRGDWFDTPASVSAYVHIIGQ FEDQGKCVVDNNQNMLILHPDQLISSTVVADSFSCMRRAVLQDRVKATSDLSPALVYG TILHEIFQEALVANKWDPAFLTNVINKTLEKHLEDLYILKVGMDDAKSHVQSKMPELR SWAQLFVTSQPKPDAIVQGRNGDKATMCVSKLLDVEEHVWSPMYGLKGNIDATVQVTM RDPPARGSSSKASTTKTLTVPFEVKTGKNVNSNHQAQTALYNLLLSDRYDIEIVYGIL YYMETSQTLRIPAVRHEIRHMIMQRNQLACYIRERSVQLPPMKKSKNACGRCYAQTTC FIYHKLADDGDGETSAMNEKFDEVVNHLSPTHKEFFLKWEELLTKEEKESQKLRRELW TMVSTEREKVGRCFANVIIEEGSAYENHQQMGKTNRFAYTFIKDKPAPGFSFLDSQLT VGEPIVISDEQGHFALALGYVTSVRKTRIAVAVDRRLHNARVRQPGFDEADNQVFASI MQVPGSGDNAPEDREAGPVRYRLDKDEFSNGMATVRNNLVQIMADGVFGSRQIRRLVV DLEAPRFKAVPTQYILPSTASGQGLNVDQKAAVEKIPDMDALATKHFDADSFLAYSEQ HPLKEGDKLSFCPYPTLTTCWLRDLLDPSARVRFINTDSLLPHSREEKSTRGQRIVNP LESRIVCQLVDSLLTVGVPASEIGVMTHYRSQLALLKHNMKNTLGGSAGAIRAGVKVA AEEIEMHTADRFQGRDKSVVVLSLVRSNEGCSIGELLKDWRRINVAFTRAKTKLLVVG SRETLRGASSSVAGSPSAIASPELGETGDDQGEGQGEPEGGEMLARFVRMMEDRNWVY ELRAGALEGHRFADANAASTAADALSPRKPNPIFKPVSPRKGSALSPSKKANAKLAGK GYKVQSKLQFGTPSQGKENVGSQSLKSPKKGIVGGGKHNPKRIGISERAIMKGRPIMR DIMNEITDGRF NEUTE1DRAFT_87089 MDNYPRGSLDHNIPFLLTLGVSPPNSSSAHNPELGKTLKDQAIE VRSDLPPLDLPPAQALLHYIQERDASTLPCIAYEHQLRYRFRVKSAERSFLLPPRRAR LPEGIEAPPGAILHSPFSPLTPISPLYPDGLIDGQWTLKHQELIPSVVLCFYNLVSDP NQSTLSDNHIKSDVNKLRNLLIQSGHKIKLAIVFLSDPSGDHTDYLQDRIEIIRRGCA LDPKSVFLMPPNENHEDLARMAENMLITLYGASMEYYKELGRHSRKKRGRGVAPPPTV PPTTGTSQTLSLAGWNVRYDFKSAVLAEFRLEMENALRSYEQAYENLLSSEVVELIPS WSPRWNEARLLADVIAIRSIRCLLWGAQNSAAVRRWQFHRERMADLVERLGRGTSNYG WEAWQARWAIVMANLMEKAGIPELDPAEMRLYLNPEKNIMGERLQPWEFLHHTGYWYR LASRHLYARRARARAMPEDDRRPPGSSPASHLASRGFIYDTFMCPEPHEEFPLEHMTY KGVDHNGMIIDCLSRALNEFLQRDQARLAAELSLELARELSLRQEWAKVVELLRPIWQ TMSFRKERWPTLAEEVSWALRAAASKTGDLGDVVTIDWELLDRGFTKRKNWQYDITKS LQPITPDPKPLVNIADGQIIPPISATFVFRDEEGKAGQTTRAQLCLRSNAHVESAPIP FSRIEVKFNGSINTLVLRHKIQEEEGSKRQKHGNVVLCPVPLEDITPSDREPTTEDSA EEDERGTELQGTTDLTLSPGYTLVCVMDIPLREQGEVTALSLTATLETESFDLHQSLK LRDMPNMTHIWYPTPSTRKRITRPVSPLSLRILPRPPKMEIKSEIKEQYYTNEAITLD FDIYNAEDVEAPSKFDALLFCDEDEASLTAPSFTVEENKKKSSTSSSSGRESKVSSYF LGDIQPSTTTTVRLHFPPIERPSRYELTLRVVYHLSTNPGTTIIQTSVFQLNVLNPFE ANYELLPRVHPDPWPSFFDAENIQPLSLPSQVEEEDNDAVVPYKGLHQSWSLLTRYAS FASEDLKVVDVDVKPMTCLITRHHHDDSNLPVEKGGGHVMKPHTIETTSFDIVAQKFS LDDRGPAPLDLAMVIRWKRLPTPSSSPETSPSTEQEDAEEEEETVINTTTLPCPRFSL FGIEPRVLAHVTYLDTYNPDSFTPLILLRVIIENASNHFLTFGVSMDTSSEFAFSGPK LSAVPVLPVSRREVEYRLLPTSLGPGSGETEEREEKKKKKDKTSEQQGYWIKPGLTVR DKYFQKVLRVIPASEGVRVDAKEGGFLVWVPTGEGPDDGDE NEUTE1DRAFT_103541 MFISTEIKVTPKKGPVALSDQAIWNDPSGDAFHIFGGRAPYMIN RDKITKDGIWKFTVDGTGGGTWTLERPSNPDVLNKLNLTDMAAFTATHDSSAGGVAFV IGGTTAITIDPDSNNTSPTMDPKYWHRTPIPGMVSYDMKSRTFSRTDTSAAMPMLTGG RAHFVPQFGSNGLMLVLGGIIAGDVRDFNNITFYDPKTGQWGWQNTVGYAPTDRFDFC MVGVASPAGTYELFIYGGENEAPRARYAFYDDIYILSLLGFVWLKIDAHSVEPCNNQD CVVVGKRQMLVVGGLGKNWSTWDEDPFPQGLGIFDLTDLVWSKDGNYDADAEDYRTPK FVEEWYQDKNLSALSWSSDEVKRMFLKNPVSFTATTSGSAPTSIATSAPGPDSGQQSS VGKAGSIAGGVVGGAVILCILSGLAYWLWQKRSKPSPSDEELKPGQSNGNALKHSPSL EPKRKLPTEGLATEMYTPPDELACGGGINERWELDASSPPRELDTSHGACELGVESSE VTR NEUTE1DRAFT_87092 MFNRLRLWVIGLFSDGGQDNKTPKPLSSNRLGLWVIGLLGLFSG GGQDNKTPKPSSSNRQQCLIYNKVYHDNTRSPSFPVGSLRPHSPIKYQSPTYPSSPTS HECSAEYSELSLSPPKLAETVTDIHAPNTEPDPQSIFSNFEGRSDCPTPGFTYIILHH PTSRGLSIIKGEPTLHRVPEPKPGISHPLLLEGKTNWHWECVEADNWLSFRNAATGRF LGYDTDGNENPRWGNIQCSSSWPGISEKFAFLSLKSGDIASSAMLSKGEVDGSEAFER EDENIIKDEIIVKECRTAEGNIEDLGDVGKCEVESEPQVQTVALYSLLVQPRRLTPSD HLHRNTGCPYHAPRFRKLVKVQVKPESLAEGSQVLVVWDADEDAGTVWRFVKVVPPGK ESVEEEVMA NEUTE1DRAFT_49722 MPAPTILRAGALASRRAFSTSRAVRSGGAPHYDPPSGWLFGVRP GEEYKREGWEIPFFYGFCGSFAVATIAYAFKPDTSIQTWALEEARRRLEAEGILEDPH PEK NEUTE1DRAFT_118046 MFRTSLRRAAAFQPARPCFGVFSATAARQYSAAAGTADINPSKL KVEKTTQPKGLLKPEELVFGRNFTDHMLTIEWTKENGWNAPEIKPYQNLSLDPATCVF HYAFECFEGMKAYKDKAGKIRLFRPDKNMARFNKSAARIALPTFDSPALIDLIAKLVK LDSRFIPEQRGYSLYLRPTMIGTQKTLGVGPPGSALLYVIASPVGPYYPTGFKAVSLE ATDYAVRAWPGGVGDKKLGANYAPCIVPQVEAMSRGFQQNLWLFGEEEYVTEVGTMNF FVAIKDKKTGQKTLVTAPLDGTILEGVTRDSVLALAREKLAPEGWKIEERKFTMKELA EAANEGRLIEAFGAGTAAIVSPVRSISWKGQLVNCGLKPEEESGELTMQVKNWMEARQ YGDEEHEWSYVCE NEUTE1DRAFT_124407 MALAGVFKSLGATNINSNYSISSTLTTTAGPWKIYPAKKKSTGK EYSVFVFDRKILDAHSKDLSRSSAAGFKKVVEEVVERLKKEASSLARLRHPAILELVE PVEETRGGGLQFVTEPVTTSLAAVLQEKDDQERNGVGGRSSRYVREEPDGTRRRREVE IDELEYQKGLLQVSKALEFLHENAGLVHGNLTPDAILINAKSDWKVSGLAFCSPQQDS DKPTSIQPISLAEVLHYEPRLPRTVQMNLDYTSPDFVLDNNLTSSADMFSLGLLCVSL YNSPHRSPLECNSSLSAYKRHFQSSQGVPSSTNNYLCSRELPKELANHVLPRLITRRP ATRMTAKEFQQSEYFNNIMVKTLRFLDTFPAKTPNEKQAFLRGLIKVLPDFPNSVMEK KILPGLLEEMKDKELISLILHNIFKIIELLPSTAGKRAFGDRVRPVIKEIFVTNAKQA QERDPARDAGLIIIIEQLPVIVAACPGKGFKDDILPIIFAALESPTPVLVGAALQCLP SVLPVLDFSTIKNELFPVVAMIFSKTNSLAIKVRGLQAFVVLCGGSTDPLANNDGLDG LGPSDRKPASSSSALDKFTMQEKIVPLIKAIKTKEPAVMIAALNVLRIVGKVADADFV AMDILPILWAMSLTPLLDLKQFQSFMDLIKSLSKRVEDEQTKKLQELNGGNTSGPAED FMSLGGLSSSSALDTNGTSANDFAALVGGGGNTNNNTSPLDSGGWDNVLTSSSNKPVT SPTIRSGTGTGRSTPAATFSWSSAPPAAAPSTTLKPQTTGPNFRTVTPDLNSFQPLTP QSTQFSQPLQPTPSTITSPTGGTGINWGAATQSQAQVNPWSSSTPTSAVTSPSIAPPT SAFGGMNLGQLSSTSRTSSSFSLQPPPSASASSVSTPGFGGSGTGGFSLAPPPKAPAQ GSTLTTTTTSWGSGSGSFGNLSLGSINNGANSMGNMMAAKSNTGSMGSGFGGMGMGMM QPLQPQSSGWGTPLQPQQTQSQQQQQQQQQQQQNKSGLDKYESLL NEUTE1DRAFT_87103 MSTTASQPPIPPRPSRSTEKQPAPMIPPRPLKNRIDRSMSPNPN RFAPSPLNEGPIQPKSAHPLRNGHNGEDIKRSTSVDLPSLGQEGMEYANITENPPSSE QSTTPEQTRTIGHDLKLHAPKPSLPPAHAKQRIMAVTRTDSDRAAAFGIGRPSSHDES THVLPANRSLKKKASTTSQLSVDLEDEHGIPQIGQQVPMYKNAGDVQAPSPAPGATAE KAKNHTRRTSSRGGLPPGSYGLHGHGVFAVDKLEKAYFDKHPEALKKEHTPYQYDRSN DFAMSSENLNKIVRETASRGAGLGVQNYPGTPSEQIGWQAIEESTSRVASPRPSSSRR KSPVKPTFAVQDDGGEPRALEEDEEPKNVIHVDEPSRRHSRGKSMDGSAPVAEEEEEY TAPILAEDEVAKNPSLYDHEPAVVPERREHAEHKSRPNSRPTSIYRENSYEMRSTPLE DVEEYEPLFRDDEQPAKKPEVPLRPKTEHKRRFPSADVWEDAPSSTMYTAEVSTPEPS AEQQEEEGQEQAKPQVEPREGETFAQAFARQQEELAEKEARENGPDGFVKSSIPKSSS HQQKPSWVQGQPHLLQEVKKEAAKARPAMAQRFPSRDVWEDSPESLQLQTEVSTPQQD EEQTEEATAESPQVSPRTELPEPKIDEKLAQKPEIEDKTPEPKEPAPTSAVVTERKPS PPVIPARPSLPSRPSIPDRPKPKPAAKPAIPARLVKASPTSGGLEPAEAAAPPRQKPA VPARPMGSKIAALQAGFMNDLNNRLRLGPQMPAKKEEAPAEEQEKEEKKEKVPLSDAR KGRARGPQRRAPAAAKAAAAAAAAPAPAVVEEKKEVAGPKLSFSVSISYWAIDPESEE GGVSVGVAELKALEEEKPKEKTEEVKAESKTETEAEKPTLENVKDEAKVVVEKLAPEP FQNEDQEEAPKEEIKEEKTPEPESEPSPLPEAITPEAPGAFPSTPAIERELKAQEETE AETEAESSAPADTPTSTEAPAPEPVEAREAETAASEATEQVEDKRDATTASAKAEAAA ADTEVTNSSNTGSVPDEEAPKEEVKSLVTNTAGETIVAEHVTRDGSGNVKPLEVEDKG GEEA NEUTE1DRAFT_118048 MSLDFTAIATQFVAHYYSTFDSDRKNLAGLYRDNSMLTFEGAQS LGAQGITEKLTSLPFQKVKHEYGPPDAQPTATGGIIILVTGQLIVDDEQRPLGYSQAF QLSQDASGQWFVFNDIFKLVLL NEUTE1DRAFT_118049 MTLKDEFATRNFSIYGQWLGILSMILCFALGIANIFTFRPIIIV FSVITLCFSFVILFVEVPLLLRICPTSPTFDNLIRKISTNYTRAAAYGVMAVVVFLSC IDRTTSLLVPGIFLSFTGICYALAALKGQAFVGSKTLGGAGVAQMIV NEUTE1DRAFT_87110 MPCFKGIAVSIHANGAPLPEYGIQKQSRVSRINTYIPVPQPQIS PDSGKPEAAKFAISITLLTPGLAIPYSTPKATENNPYPKPAFVGTMPTSTGERGKYHG VVAPYIPMTNSENETIAAYIYFDGRAKEEVATLLRPGEETWVNSRWVQVPPSEGGGLA EREFLFREVGLERWLNGLDLQGHDAAEKLERRRQKFEKRRRRQKAISEGNAASGRLQG TLRYGSEDRPVEAVDEDASLSSSDDDEPPEATGQIKVAMFRVIASGEIKKGEYSPQFD AHDDDDEEGGSKSGNNGIDADVEHTTSFAKPKTLDPKTISTQTVTGIDGPEKPYAVFT FFYRGERQLQKMGVLASEKQQVTSPTAAKRRSAQLDFSSLGPLKKQGTVGFSTFRDRD AEPRPKKSRKNTNGKAGAMDEDSDDEDDDDDISDKMLANDEDNVDPSKQGPEDVKFTG ELADGVNRIKLKRAHDAGQSSTTGTQAGGAAPENGSAPGTTAGLSSLFPKSLTGEAAA VGSPMKKARASVDDVGSDVKPLGGSSFVPSVPSGPNGILAQAAETPLPQTMGGEMDEE EL NEUTE1DRAFT_148503 MSAHDDTELDKFELGTVSESYTQIKQQLRDIKDALCDLAYEILT SKTTQKTVIYSILGTTASIIFYGMAIIIYISWYNRYLPAQVTTVPLHLQYGYGPNPYG ISPLTSYNIKTNQPYDITVTLNLPRSPTNVYRETGNFMVALHLLNGSPEIQRVIQPPV PPLNPDNPLTGKPGGPDIASFITPIPPAVPDPISHLTHNKILFSSTRAAVIPYIDPLV AQAKRVMFLGYHVLFPEHAEMVTLQVPLAEKVQFPRRSGRAAARLGLVGDGSPTSLLV EVQAGQKLQVYDAKVTMTAKLGGLRWFMYHHRIISFVVGTWVVWVGEMVSLVVAWVVG GYLLGRMLEGGSGAVEEGEESRMGRDTKGKRKARLHEGSGTGDGYDPEHETSESSSMS DTERTFPSRFGAPPLKYEPWIKQEQAEEEKEKSAAVTKEEEDEEDDDLYDLPVHLPSV REAGHEFLDSGIGSSGYSDVSSTTAVGSGSSSGLTARRRRKE NEUTE1DRAFT_68429 MSAAKTTCDELKSFYTARAKIEEEFSRQLLNLSRKSLGSQETGT LKLSLDTVRQEVESMGKQHQAIAVQMRSELEEPLVAFAGAMKERRKIVQNTIEKLLKV KVQQTQAVNKTRDRYEQESLKIKGYLAQGHMVMGQEERKNKAKLEKTQISLASSNADY EAAVKALEKTTARWNQEWKAAADKFQDLEEERLDFTKSSLWTFANIASTVCVSDDASC EKIRLSLEKMEVEKDIATFIKEKGTGQEIPDPPKYINFCRGDVDAQSEISVEPDSDAF SVAQFPRSINPAFRSSSPQPSTYESHHDPNNALLKTLGHDISVGVNGSRETTATPSKL PTIPAAALTMPPQQQQIEAPPPQQQQQQQQQPAPPQQQQQLVQQRPQLQQRRTTQHSL HEEQRRQQQQVARAPQNARAANNAEYDATDSTHIPHSPHPLDGMALLSRPPGPVSSDL DFNAVPSTSTTARPSRRGDKSSESTLEELQQQLLQSGPMSPVKQIQQQQPQTPQTPLD LVPANGAQSGSASPEKKELPKRKSGFFANNSPFRRRSTKELQQQATGSSGSRQTWYGG QSGQSSSMGNRTPRTNAIPTKENNTPLGIERSVSPDPIAANASLALNIGGNVFAVDAL DKRKGQQQQQQQPAPDDDPIALALAELKGVTSNTGNQSSTSRTEMNKQMSVRMSADHY HGIATPAPPGAGGPQQSGNVSPGGRNASSNSMHHSRSNSDLGLPPVIPGASNGTRATP PPSYDGMQGAMVPVSRLGVPPPAVTSRAMKESSQKFQAQTRSLFSNGGSSSAGDQRSS SGSANYQSRSRGGSTEIPRAASPRPGSRAASPRPGSHAAYGSSWSGNNAPDDRGYRSA SPNPYGGSSNPGSMNSRHAHSQSMGPMGIPAQGQQPRRSDTQYTRHGSMDYRGSSSNM YQAGGGSGAVSPVDMARSVSPSPFTSGVNRPGSSLDHTQNHMQLAHYGPGGQGQPDDG YTSGSSMRGRYGTQSSRPDRETMTGTRMSFYGDGQMVPASMMAGGGGTVHGRGRSRSV VDAARGGAPPGGGQGQYTRDGRVILHFAKAMYMYQAAIAEELGFAKGDILAVLRHQDD GWWEATVHGGNGQVGLVPSNYLQVL NEUTE1DRAFT_87116 MSAQQDLQELLRLLTVGRKTPMLQAMAQIKSLQAVDIRSIQQIA EAPLNTIKTALNDEKTAKSLQNACKAAMKRTSAGGPAGTKRGAPLSTTSLPSKRLRHD DNPLMPSRAFGEELTPQELEASLELPICLDEELISQTVLETNRAPLVLAFAVELLRYT RPEQPLSSRLSLGQALVSANSRSKAVSIGLAAKGPNAEEEGLIGSGQPRVKVMGREVP VLKRGGYEWKDEEEKGSTAAEDQQTTTAQSPSTEPKDEDVKSQSPPPKSVHPFFQPDD IHWSSSGPPVTSKDSKFLAHSTKITKQAQEKVLLNNLMRKHPNLESASHNAWAYRLRD PRMPDRIIERSFDDGEQGAGDLMLRILRELDIEDSLVVMTRWYGGTMLGPDRWRLMRN VVTAALNERGRKAGGEVLSAGGEAVWGLDIEGMQNGGSGASATSTSTSMGGKKATYGT GVVGIQIHKPEVARNYLLRSFHTASAADAGEETEEGGTAKKKTKTRKTQKQLEAEREE NLGLLLGALRLLFDSWRDHLTAAELDERAWAWYVAVRPDVEAGPRGWGAKGTLRLKSI LDLRRTEQT NEUTE1DRAFT_87119 MATRIPLSLRGASQRSSHIFLTANRLTLTSRAFTTTSLLAKSKK LPPQPPKASKLSRPSTTAQPIPEVTPEPQSEESPKSNSNAQDLGTLLQQRRWPLLFSG LTALIMGAYISMLIASLSRGPDACSHVDPATGQLPVTGRPRELDSLTSNPVVNDRILR EKAMAFDNGLNMPERVMGIRLLRKWLGTRVKGHVLEVAVGTGRNLQFYDWTEVVKGPV TGRELTAEEEKEEEEKAKERIRSVLDQGGKDEEKKRDKDWVEKMKMPGALEGEMLTFT GVDISEGMMGVARQRLRENVPGGKQLVPKGAFLTSREASESRIGEGKRGEGQEVLLST LEDRIRLVRADAEAKLPEAPAYPARVGGEEEGKYDTVVQTFGLCSVSNPLALLQNMAG VVKPDTGRIILLEHGKGWSDWINEKLDAWAPQHFSRYGCWWNRDIEKLVKEAEQKVPG LEIVKIERPGFFQAGTTVLVQLKVKSPGK NEUTE1DRAFT_124415 MPYNTRRKSLSLPSLGIHVPVSKDSRAAAAAGKASKEQKDSSHT SSHTRSNTAANMASPTPSNTSSSKAESTSSKKLKRTHSAVETEGRAHPSEPQQKKREE RETVRFQNTPPPSPGGARQSVECDDPPRPIDFEAINDDIVEAVIVQLQNTGNRPHLVK ELAAVLMQQLKIVQQSANPCAIVSSRLSTFLKRTCWNVSSPCPIAKELESVHPRRTYF YLTTCPHQPLPDPAQAAALNLASRAIISPSISSAASGTDESDLDRRRELSPSPEVDLS SPEFDDMEDDVPMPSTPIGSFSTRYCLSGAHSSNNSQNGRNHRAVSPPLEKDEKEFTQ TATGLQKRKLNGELSASSPVNTAMDIDYCPKDDNVLFGERTSYLTSPAMKPSSTMMSL NYGRKELGGTSESESWRKLDAMLEWDRNPENIELDELDCLLDDF NEUTE1DRAFT_118053 MGDGRMPRVPGNGAVMRREKHEWPGYLGMDFHTLGGRRLRCQAN TLGAACRGTLRPNPLLFRVSLQQQTTGFFGLIPRLQRGAARPVKRMKGTKEDGKLLVL SGFPAPFSRYRERAVCGDTQKGKARG NEUTE1DRAFT_118054 MEQQRRISRARVEICAAPRGLLATVVLETSFQARARARARARAR ARSQKPRPETQTQPEKAESEILVLTDDQQRWRKRAVDKARGEGTRRSLKYGMVSDVCN NEUTE1DRAFT_87125 MEGFRSYFQRLVVGNAGQIFPTQKWQGVNSSTYHQLVAEVKKLS QDFEQSRRIAEAIETGTEDLFRDFDLSTFMEHFKLDAFEKTVLALAFKLGSKPDLKTK ADAILSTNFPMFGNILSKSSGTHTMLGPDFIAGLLDRFIQVHPPNFNAAAKKELEHNV QSRYIQGNPDSNPLPPSQVLSALDLMRLLADRPPNALTLYIHKTGRDFTEIEETCYNY LRNRPANCQLSEEQVSHALMYTTISQTPPHNPSVLVTAFRRVLPGTFRWQDVVSYFDH SGARITAPQFLRLYNALLPIAQDDSQGFDIQRLWGGEWENPETQMSFVCAYTSLLPEQ LDATTIPGLKPTFTLDDYAQAPQMIRETAAYAVRHPLVSEAAMSAIFNVALHSTHASK CTEAKRLFQDVVVPQVAIFVVSAFRVPKPWPKLAEDALVDLFIESLWAAKSPETDFVM NSLWRWDKDWVKMRLIDAHAHRPICLPQIFEHSIRQGWLEELVYLINGFGFDLTALAH AEGYLDLQEWAQKNAERSTEMASALLQFLMIKANLELQYQRSGPGAPKQSTTLQVRTV SAMLQILEEFLPKSPIPELIVVQRQCITAYPRLINYGEGFDDIIDANGRDGNSLPQAA NVRMEEHYKKMYSDEVAVRTIVEVLDQYKHSRDPLEQDTFACMIHGLFDEYAHYENYP LEALATTAVLFGGIISHKLVSELPLKIGLGMILEAVRDHQPQEPMYKFGLQALLQLLP RLREWPGFCTQLLLIPGLQNTEASKKAEDVLREHEEETMRARGGTLPLGPTMGNDNMT NGNLDEAHGSEQHPLPFASVNVDPPPPGVIYEDPTAEAQGKIQFFLNNLTETTLQTMY KDLRDMLETKHQQWFASHLVEERAKMQPNYHQVYLDLVKMFEDKSLWAEVLRETYVSV QRMLNSEVTLQNSTERTHLKNLGGWLGLLTLARNKPIKHKNIAFKQLLMEAHDTKRLL IVIPFVCKVLAQGASSEVFQPPNPWLMDIIHLLIELYHNAELKLNLKFEIEVLCKGLK LDHKSIEPSGEILNRVPVEEVPDLNGQELEPYDNTSINGMGSVAGGLPAHASLPIPDL SASLSIPPTEVIDQAKLHSITSQAVTRALQEIIQPVVDRSVTIAAIATQQMIHKDFAT EPDENRVRDAAINMVKSTAGSLALVTSKEPLRSNMANYLRALSAEIGLVLPEGIIMLC INSNLDLASSVIEKSAEDRAVPEIEEMIQPEIEARRRHRAQRPNEPYMDPGLTRWAWT IPHPFKLNPSMTGLNAEQMAIYDDFARQPRAVASTTGPSHAASTSDARSLANEVLGDQ FNTISALPTPAETPSLPHLGGQLQHYPQGSGGLANGRQAGLNQVDARTIADRVNKLLE SLTAAATGATEEHFNELPRAHQVLDIIDALVQLIIKTQQTSEEFAAYAATQIAQLIFR QPGDTLLLESLVHVLETLRKIAGPATSQQIRSLFHQQPAEYFLNLSLIAALLGTDLLD WRSIDAAMSMALQQRKEGSVEFLEQLVDLTLLSESPLALYADFVRSLEEAWAWISENP DVPGGQSLKSKILAPPPDLPEGLTPAEILAIRQDQMEYVFDEWVHLCNNPAASSSKSS TIFVQQMRSRGVIVSTDDFLMFARLAIDKSVDRFEHVVHLNGTITEAYQAIDALGKMI LIFFQSHEDNADGQTARAAFIDSILALGVLVLNSHHVKRGDAFNSRVFVRFFSYLLHG IHSLEELPENERDRFMLSFAERLYDLRPSLYPGFVLGWLTLVTHRVFQPAMLQMANNA GWEAYTKILRDLLSYQGELLKPLELSIFAKEMYQAVLKLLIILGHDFPDYVSANHVLL CQSLPPHATQLRNMILLATPASAAKPTDAFQPGLKLDQIADIKEAAISVYDPAEQLRQ LGLLDILDQALQNGPSEDAIAQITHAITRSGSGTTDYGFVPIDVNRQVIDAVITHIGN FAVNRAATRNDASVFVPGAPDLKTLQMLITELSPDTRYFVLNSMANWLRFTSAHTHYF IQVFLDFFGHDVASTDPEEMDIRQQIVRILLERLIGYWPYPWGLIITTMELVKNEKYN FFELPFIKATPEVRRVFEDKVRQH NEUTE1DRAFT_148509 MDNNGSSGSTDKAVELEPLRPPTRPPTVGSRSGTATGEFENAAA AVTAAVGLPPHHHQQQPSGQDPFAGRSSQERARDEARKILQGHPIMTNLRNISKPTVG SAVTLADSEPGWAVIDGDIGGHGYNETKVDLIAVPCPGAEPLHPWTCDPVTDMDFGFP EKPGTPKSSRPPYVWIQQGIRNKEPMIRALAYKHRGLREGINIDMLAVDLLDQVLQIR QGVRPSRPLFFIAHSIGGLVVKRALLKAHEDSRYKDIRNNCHGVGFFATPHRGSSYMS MRNLRESVRELLQLQRPLPNSLINQIKVNTNNNPWLDKVHEQFVDIASELRIWSFYET IDSELSNTGADFASEVKFTAPLVSVKSALLELAREDVFAIDNSHANIASFGPNNISTM HDFLEDLHMAVLKAKSLSKHIHHPLRLKDHVKVEIVGFYEDTDPESDSSTRLYATKTQ LGQYLQKGAEPFLQDRLSKVQHKRHLLTRAFTLKSSTVITETDDDDGTGPSSEVDSDA SSLGSFSAPSLIVDHVSSTGPDLIHPELFGRYGAAHHAKKKITWIHVPFNNPIWAKEV IKRIEETRGQNLQKLLSREHWQSKQVQGLQAQSQPSFFKPFACLVPADVGLPTSPFTT PLVDRRVSLPASPRCVYLYLPYLHFDTYCNIIRRRKLIEKRLDHGRAKPVPEYVEHLE SLELKVIWEYIGFDPPLNCRRTLDQFAYPSLKDTHARDDDQMLYKLTKHDQSAHNEKA HSKFAVDPPSRKSAVTSVCTAKGSDHDREEYDDTCSEDESEFDFECDVKDGKLLMVDQ LWLWAIDNDTLATFFPKRESSPKEGTLFQQADLRNIVYNELNGDLTGRTDNALDLAAF IVLHAVMAFVELSTHRDLDIFRIFEEAIGLLAERMTQNMRRFRTQNFISTSTDDLDDD DGGRTLSIKERHKRELEKAEKENRENTSALLELRDMEDELSTLLRLFETQEGVVNQLK EIYNNPSLKEITKNGQGYLDKAMEYLTEYKQLTSEMVKRVETTKKDYEKMLEMAQRQA QVDEVRWSRLQTELAASQNLSVMIFTTFTVIFLPLSFFTGIFGMNTREWHDAGADPND PDGNSTIPTLGYIGAIALPVSFFLIFTSLIAAFSSRVQGICKKIFKATTGKIKEGYRA IKVEARKIEPERNKMRKQRKEAMRKRAREEEKARKQKELSYDFWADVMNARHERHQIP DVNKHDF NEUTE1DRAFT_87128 MSTSARITSCLYRQAGSAGITSVQATRGLRTLSTPTINNISPAS RSTRRPNVARQSYNPLLRTRQAAALSTTTQRRFADVADDAFDPNSIERESDEVDVCIV GGGPAGLAAAIRLKQLANEAGNDEFRVLLLEKAGEIGAHTLSGAVIEPSGIKELIPDW LDEENPNRFTGATPAGGDTMRFLTEKRAFWVPTPPQMHNKGNYIVSLSEFTKWLGERA EEVGVEVYPGFAASEVLYKSDGSVKGVATNDLGLGRNGKPTDRFERGMEFHARVTLFG EGCHGSLSKQVINKYDLRRDSQPQTYGLGVKEVWEIQPEKFKKGEIFHSMGYPLPANT YGGAWMYHFGDNLVSIGLVTALDYPNPWLSPYGEFQKMKQHPFYRHYLEGGKCISYGA RALIEGGFQSIPKVAFPGGALIGDSAGFVNVPKVKGTHNAMKSGMLAANAAWDALQDT NDSGSVFLYSYEDSLRNSSIWKELKEVRNMRPSFHSPLGLYGGIMYSGIDAFLLKGRV PWTFKHKVRDHEATKPADECEKIEYPKPDGKITFDILTSVSRTGTNHEEDQPVHLQVK DWDQHTKETYPKYKGVENRFCPAGVYEYVEDATKKEGVRFQINAQNCIHCKTCDIKAP NQDINWQVPQGGEGPKYYMT NEUTE1DRAFT_118055 MDAIQTHPSNAAQAKAFTAPGSLSFPGAAGELTPPTSTANSDKS AAINGAIGAQAANGNGVTPATPAATPAANQGPTSGMTPTLQNIVATVNLDCRLDLKTI ALHARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARII QKLGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASKHHNFSSYEPELFPGLIYRMIKPK IVLLIFVSGKIVLTGAKVREEIYQAFEMIYPVLQDFRKV NEUTE1DRAFT_87136 MATPSAPSSSLPPKPHQSSSASSPLSPPSSILIIGSGVFGLTTA YALSRRHDFVNTTITVVDRADPSNPDVFPAPDAASVDTSRIIRADYPDAAYAALAAEA QLQWRQQTHPDDLGSEGRYSESGLLVVADGPAPPPGTPVVPGTSVIDKSKLTGMDYAR FSWANVLSLASSDPELAARIKECPNTDAIKAALGTGGSSGSWGYINGLSGWANAGASM AWLYKRVRAEDRINFVVGEVTNLEVSGNTVTGARLSDGRVLSADLVMVSAGAWTGRLV DLTGQAIATGQVLGYIDLTPEEEAQLAHMPVILNLSTGLFVIPPKNGVLKVARHAYGY LNPTTLSVPPLVSSVTTPAAAVSLPLTTLTNPTLQIPTEGADDLRRALHEMVPLPSLR DRPFSKTRICWYSDTPTADFIIDYHPEYKGLFVATGDSGHAFKFLPVIGEKIADVIAG QCPPEFVGKWNWRYPASDAAIKTVITEDGSRGGVPGLILSTELERTSAVLN NEUTE1DRAFT_124422 MSSSKKIAEFPDVEAKLQRATKQSAFERQKAEAEAKRRREAAET AAVYEDFVKSFDHDDSSESRPPNSRYGNDRPERPTLGGQPFGGTGKRHFGVNSLKSGP GSLGPPPTSFGKKRSYDDFHSSRQRPHDESRSRSGFEERERERDGEKSSLPVSRVFND SDNEEEATVNGRAEEKAISKPTLRLSNLPPSTSPAVIKALIPPNLTVENVKFVPPSGP QGTERKSIAAIVTLSKETPATEIDAAVSALQNRYLGYGYFLSLHRHLSSAAIASGLTA VQTSTTVSHPFGAKRVEEKPIGHGPHHGGYGRNYAPPSHYGPPGSAISRSGLLHVPVK PPRDIRTLRMIHKVVESVLEHGPEFEALLMSRPDVQREERWAWIWDARSEGGIWYRWR LWEIVTGSQAKRGKPKYVPLFEGSHAWKSPEPLAYEYTTSVDEIASDSAYDTDEEDDF EDEQKEHNGQEDTFLNPIEKAKLAHMLARLPTTLSKIRKGDIARITAFAITHASRGAD EIVDMIISNVESPFSYTPANPDHQQRSREREGQDGNNSRDSSPVAEDKDKDKTNDSTP DLSAARLLGLYVISDILSSSSTSGIRHAWRYRQLFETALRARKTFELLGMMADKLNWG RLRADKWKRSIGLVLSLWEGWCVFPIETHEFFVKSFENPPALKAKEAEKEEAEKKAAA AAAAAAAATGGKWKTVDGPAATTNQAKATGFLPVSTLQETSTTAETEEGIEAEYVERM LETGDTDGELVLPPNHMQYSSGTDELFSDDDIDGTPDDDFMALLRNLTTTDDGDVVMG EAVQTSAPASASTTDSKTVEVKSVAGFQISANKAAPTKKRMRAVDMFAGSDSEGEK NEUTE1DRAFT_87140 MPIINGQKMACAPCIRGHRSTKCTHATERVMVPVRKPGRPLSLC ACPNRPPSCSCGGGGVKVAIPRKQTCGCGSVHGSSTEDHSPTAAAQGIPAPRLHASSS TPARPGLRVSKSGSISKPHSRHHLPTFDLDITKLGVAAQHNAALGPNMAGSCCGGSRE LRGSQLRVNGSVAMPQSGYGQSSANPMQFNAQPPLQLKEQQQPLTPTVMPFGYQTVFR YPEEYGSWNHPLDPQTYQQMIHQGAAAAQAAQQGGDLSLGLFLSGGGGDGTGTSHECT CGPGCQCIGCVAHPYNSQTIQYVQDAYNFNIASPIGSSAGNSHHSSLDFAATVGVQQQ QQQQYQIRSPIRGSRTGQQQQSQQQLPPDSPVEAQTPSDASGTPSEEQYLSTADFLWA TIPLPNCAGESLSCPCGDDCACIGCVIHGKAAPSLVGGGEGLEQQQVCDVGQHQQVPS QGHEALMPMSDGLPQGMMPNDPLGVIPLTQRIGMGDMSGLSALNGGGMSSDMNNAVSS PRTLHVPFGIDGILRATASGGGDGGSGSCCGGRGAGGGGGGRSTEVSVP NEUTE1DRAFT_148515 MAWELSSWLVVMRAFQIAAALVGATTNGFVTATVFKNGHLQLTK SMLIPELLICMTLVYATLVVFIQHSGHRSRKTGWLFTFVMGDIIFCGIDLAVITLLAN AGLPSHCGGLATIKEDDHHKVYIPPPGFTTPGFASGSDGEKGQLDKFCAFERSYYAIA LGFVFTYIATIILTVLRIFERNYTENSKCIEVLNSLERADTMESKLSDASSQIIEAPR PHPDRSGPSSEGVITRTASIRSNVTAMTSSTAAGNGPYSSGAIQSNAIPPRRPVNQNM SVPARQLSVSTVSSNKTSRPGGATSNFAPVSPIDEGEIDIGAALIADGPQYRAHQSHN NIPHQQYQSNQQYQPQRHHQRNLTQGTLPPLAENDILSPEVALLADGPQYRPNQQQTQ YGQQQQPQYQNLYDFPRNVPLVPQGVSLPTPVKGDYLALASDGAADGVQYPAIQRPLN QPPQQLSSHISQPQGISAPIGGGSAGEKTDYLSLAADGSNDNPRQYPFIQRQPLHHHH HQHNPSLQQSALPPFLGLGAGGPGTNFIYPDIPTNPHSGSTTPTPSHPRNINPNINPN YAPASTGGLNLELRYDGGSSSATSTTAHTPPSPDRYSISMSDVSSVSTALPPYQRYET GMEPQPPALARYPSQSQRQSQNYEARNSSSS NEUTE1DRAFT_87143 MSKPEEVVNQVPEDEGSDIEAGGLFEDPPDFYPPSPPPTTEHYT MKNGDDITLHLVGHSPLEAHTLWNGAVIISQYFEDHPEEVKDRTVLEIGAAAGLPSLV AAVLGAKKVVVTDFPDPDIVDVMWKNIRGCPMLAVDREEDRNIVADGYVWGGKEAPLL AHLGEQKEGEAGFDVLILADLLFRHSEHSKLVDTIQFTLKKKPGSKAFVVFTSYRPWL QHKDLAFFDLARERGFIVDKFLEVKTEKPLFENDPGDEEIRKTVTGWTVRWPTDDEKT AAKADA NEUTE1DRAFT_68461 MNAEGHRYRSRSPLPGGSRSTHHDRRRSRSRSERYDDKRDRRHG EGRDRHRERSRSRDRERDRVRDRDRHRRRHEDRDNHRHRSHSYERSKDEPPKPLPFNS RQLSRSDYPNFEPLFAQYLDIQKQLYIDDLDDYELRGRWKSFVKKWNRGELAEGWYDP ERFAGAAKEAATESRVPRSIAEASSLKEKDRKGRAVSTMSEGDNPESTTARPSIETND TPQPDSNPPQILQNQEEEEDDASDSDYGPTLPGQSSSSRTHAHGPTIPSLTDLTLHRE TLAEESATQRASSLEALRTQRKADRALQKERLEDLVPRADAGTRERRLEKRKELNEKL KSFREPSPGGEVAESELMGEGDGGGLEEYKRMMKREEVKKTERQLRREEMERARAAER EKRIQEAKEREDRVMEGLKALAKARFG NEUTE1DRAFT_131726 MPQLLTTLPSPTKTGPQQQTMPITTPTILGLPTSHLPLLLHLLI ETPASLSFLLRPESQLPLLSSSSSSSSSSPCPSLSPSPTTEDPSSSTNHPPRQSPPIT PQQATEARLILRNFGGLLLSVNCLVIYLLFLFHHHHHRFSTTRPGPNPGNGNGNGNEN GNENGNQEEEQELIRGVTACLSLYHVFPIYRARKRIWSERGGEGGGEGGGEGGGEGGG EGGGEGGGEGGEKEGEKEGEKEKEKEKEKEKEKEDMKDETQKTLGGPVVHFVVHMIVG GLMGAAGLGLL NEUTE1DRAFT_87148 MTFSILTDDEIKSLLDNLTLDELESFRENLRDALHVYSTGAHTP GQALIHQPERTVVRSGITGATTLFMPSCSPAGHGVKVITLSSAEQIVKSTQQEEAGQD ATTPLIRPTGAITLFNPNGSPRGIIHASTVTAFRTALASLCLVHKRDHVKTITVFGCG EQAYWHVRLTLLLRGSTIKYVNVINRRFSPSCGAMLRRFYEVSPAIKAREGWTNTHFG VLTPSYGEYERLLRDQVRSADVIFCCTPSTACLFDGNILTERDARRKGRLIVAIGSYT PQMREVPIELIQQAVKIQDQAGKRIIHHHKHAIEGGVVVVDTLDGALKEAGELIEAGL GPRHLVELGELVMLNRIALSETDNDSSHTSTDVDTDTLSASASTLTINIAPTEEKDSS SNRDSSEKTRRPRHSRHLSLQSIVHRASRSRSRSSNPDRGDDYEEKKKEKKKKKETED ELARWLQKGNVIYKSVGLGLMDLTVGMQLVDFAEQKGERNGVGSIPLMGLQGKEMVEV GLGLEPLDVAGGDGDVVGGGDVVDIIGGSKTSAGLGSEGGSSRLESSEDSVEGSQDQE NGAQGHGQGESHVA NEUTE1DRAFT_87150 MSADNTFHYALSSNNAWAGYKAHQNPQFFPKLAGGQSPEILWIG CSDSRCPETTILGMQPGDVFVHRNIANIISPTDINTTAVIEYAVANLKVKHVVLCGHS ACGGAAAALSDVRVGGVLDTWLLPLKTVRFNHAEELEAITDEKERIMRIAQLNVEAGI KVLMNNATIREAIAERGLEVHGVFFDISCGRIKELGCGTAHAGKGDHVVRGKHGQLVF GQDGEAAIAAAQ NEUTE1DRAFT_118058 MPQKSGKKAAPAPFPQGKAGSKKAPKNPLIEKRPRNFGIGQAIQ PKRNLSRMVKWPEYVRLQRQKKILNMRLKVPPALAQFQQVLDKNTAAQAFKLLNKYRP ETKTEKKERLLQEATAIKEGKKKEDVSKKPYVVKYGLNHVVGLIENKKASLVLIPNDV DPIELVVFLPALCRKMGIPYAIIKGKARLGTVVHKKTAAVLALTEVRAEDKNELAKLV SAIKEGYLEKNEQARRQWGGGIMGVKSQMKIAKRKKALENAIKV NEUTE1DRAFT_68471 MAPALCAICKTERANIKRPKNHARVCKGCFLTLFEEEVHHTIVS SKLFYPGERVAIGASGGKDSTVLASVLKNLNERYNYGLELILLSIDEGIKGYRDDSLE TVKRNAVQYDMPLKIVGYDELYGWTMDQVVETIGKKGNCTYCGVFRRQALDRGAKMLD IKHVVTGHNADDVAETILMNLLRGDMGRLARSTNIVTGDGSSDVKRSKPLKYAYEKEI VMYAYHKKLDYFSTECIYSPEAFRGTARALIKQLERIRPSAILDILRSGEDMARLVPG ESASSCGCKGKSKPPTATAAEDDGGIGGCGSNGAASGNEMAAMEKQLRENEAAEELEV DVSKLSLAGKAAESETDGAVPLKTNKNSKPVTRQTLGNCKRCGYMSSQEICQACMLLE GLNKNRAQIQI NEUTE1DRAFT_95973 MTVPFEALIPYAIIVTMFGVTGAGLSAIKTAQNGGKKARWSLDT WDRVLMDRDRRLTGWLRGQTDAADAPYGFEYNNPWRVEKRFS NEUTE1DRAFT_68475 MADEQLRAEELQQSVMMSEHQAEASTADGDVAMVDQVTITSEMS NIIAKPEPSEADEDQEMHDAQEENDHHDEEMGEGDDAEHSDQGSHDGHDDHDHHEDSG DEHGEEEEHDGEDPEGFDEDAEGDEGDSVHSEEHTSQQHLPSVEEDHDDDEDDDDEGV GAVKIKPGDTDHSESESGEEEDDHSKTKTTTRPRNSRSILHVLDVETTCAREANALPS DQALDAWKCPNCASEEGSQTAAGKNHEPTQVNGTETITHPTTRRASAPKLARDLLPPQ KHTNPDSHSVFNQLVLDEDPMDGSRVLRKRKTSSVEPPEHIISLRKRRRNTVGTEEEP DESTAGHDEQARPTSARSLRIKISNPAAPVNIVKKTRSSILLRMRVDPTKLQQITSQP PKGNSKRSSRSARSGRSGRSGRSGRKTLGRSGRSRRAAAAADAMEPSTAPFASTSYTQ PFYSFYDKETDELKGKPYGGILTDAEADTSKTLPQPEDRRRFDEAKQMAEEEWRQRLL KMQAEIEAPVKKSKKTAGPASQIECIEFGGWEIDTWYAAPYPEEYSRNRVLYICEFCL KYMNSDYVAWRHKLKCPAKHPPGDEIYRHGSISVFEVDGRKNPVYCQNLCLLAKLFLG SKTLYYDVEPFLFYVLCEYDQYGYHFVGYFSKEKRASSQNNVSCILTLPIHQRKGYGN LLIDFSYLLTRVEQKTGSPEKPLSDMGLVSYRNYWRLVMCKYLLEHCSSDPKEKKGLS IKKISDDTGLTPDDVISSLEGLRCLVRDPQTQLYAFRVDLPYCREYVAKWEAKKYVQL EPKALTWTPYVMGRSNATNFELGPALNAIAPREDDETKPTVEEGTVLNGDASQPDGLA NQVEKMEIDTSAPTILESTEPNELGIIQPEVISPRSVLKTNGLFPNGSVHGSVHPNDD HDRKAKTEPDADGEDKENPDDWMAQYEGIPPTRFEVVPPVNTSRRGAGVDRIRNTVAR LPAVRTNSGSAARPRNPRRTSGVRRVSSAIKPRSSSSSKRKAGGTGRGPGRWPKGTKK SDYGNAESGPGFPPGWLAKQKSLDSTTPKKEGEEGMRDTVHVTPTAESAAGYNMSGSG SGSGSRSANSSGANGNGEDAGSADNGDSFNGGGEDEQADITMKDDDN NEUTE1DRAFT_68479 MSKVIRSVKNVTKGYSAAQVKVRDATSNDPWGPTGTQMGQIAQM TYGTSTEFYEIMDMLDKRLNDKGKNWRHVLKALKVMDYILHEGSEMVVTWAKQNIFII KTLREFQYIDEEGKDVGNNGREPTPIRGLIFIRVAAKDLTALLLDEERLREERTDRRA WKSRMQYGEEMPQHHAEPSRPRPRQHRAQYADEDDAEYKLAIEASKHQEEEDRKRRER ALAEVDDDDLAKAIKLSKEEEERRRRELEETNAAALFDDTPAQTTQPQFTGFNQGYQQ GSAVDFFANPLDQNQLQAQQTAYMNNAFTGYGQQPMGYQQTGYQNGFQNGFQPQPTGI YDPYGQQQQQQQPQGFMAQPTGYNPYANQQQQQPQFDNSSSTLQPGSNNPWASNNNNN QQSAIKPMPTGSNNPFAQKSPYKAPSMPALSSLPEQKTLSSFPQISVTSSNSPFNTFG SQSQQQQQPQQQQPQFQQQPQQPQRELSEHEQKLNALLASGEGMDTFGNTGNLRIPAQ HTAPGTFVNSAGAGLNKITTEATGNNPFLKQQFTGLPTVSYGGQQMPAATGPAGMGMN MGYGGMNGGYQQQQMGSSNPFAAGGQQQQRQQQGGQGDLISF NEUTE1DRAFT_131732 MSFFGGGGFGQNTNTGSGFGSSGFGTNTNTTGTGFGSATTGFGA PAQTGTTGGGLFGSGTTGTTGGGFGTNTAGGFGTGGGFGAKPAFGSTPATTGGGLFGS STTATTGTGGFGSTGFGSNTATTGTGFGSGGGLFGANKPATTTGFGAPATTGGFGATN TTGGSLFGGGGTTSAFGSANNPGIGTNVPDPPGTAIVPFAPFQEKEANGSQVSFQNIC FMDAYRKWSPEELRLVDYQQGRRFGGQGGTGAFGTSTFGSTGFGANTGTTGGFGSGTT TTGGGGLFGSGTTGTTGGFGSSTTASTGGFGSQTTGGLFGQQNKPATGGLFGSSTTTP ATGTGLFGSGGTTGGFGSGTGTTTTGFGQNTGTTGGGLFGQQAAKPAGFGFGTTGATT GTGTSTGTGFGTGTTGGFGTTGTQGTGGGLFGNTAQQNTGATGGGLFGTQQQTQQQPA STGFGGFGTQQQPATGGGLFGNTQAKPAGGLFGSGTTTAPAAGGGLFGTGGTTGGFGQ PSTTQASGGLFGAKPAATTGTGLFGTTPAQPAATGGLFGGLGTQQTQQPATGGGLFGT ANNQAKPAGSLFGSAPAAGGGLFGSAPAQQSTGLFGQTNTQQQAGGLGTSLLGGAQNQ QAPQAFSAGINDINAYGAATLFSNLPDNQIQNPGPLATPLNGTSKAKSRSILPMYKLS PANASRMVTPQKRGFGFNYSTYSSPISTPGGLGQSLLAGSVNRGLGKPVSTTNLRRTF NAEDSILTPGAFSANNNNRLLGCSHKKLIINKDMRSDLFQSPAAKGKLLQDGNNTSAR KLTKRVSFDTSNVEATQNGAASQQEFHDLDDDSDLGFLKPSSANGRTNGAVNGSKASD ASPEMEQVKGNELAIVPEESSIASSSSVDAKPVKQVDAGSYWMSPKLEEIQAMNRVQR QSVVDFTVGRENVGSVRFKVPVDLSNIDVENLYDNIVLLVPRSCTVYPNAATKPPVGK GLNVPALISLEHSYPRGGLRVGGRRLEKHIERLKTITDTIFESYDIPTGVWSFSVEHF TTYGLVDDDEDSEDEEITEVEPTPRPSTEAVIVKNEFTSPEVDPDDTFEYRHSTGRRA IPGAFDQRAMYDDEELLDADQQQGMHSSHPKGADAPLPSREWPDDESMVDGQDAYDPD AEKSSQLDHEEQYDLALTQIADNTNNVPAGVLRARMRAVKRSTAPTKIEVAGGDDWTQ ILQASVRAPRTVDRNLLREQNESGEAWEAKESGSPAPREPVVHEGQGFATSIDLMKSL FEQARGPAQPAPPTGGFLKWPYQKRDKGDDEAAAPAPRATWGPNELLITTANDEASLI PVEGGASASETKTALNKLQQYIEITNAHHDVPLNELKDLTEDDPVWDLAFLLANDEAE LPAFWKKLVAADTDRLLKEASTPEEKAIILLAGNRVSDACDQLIGGKDFRLATLVSTI GSQSKDLKTQLDDWREANVLSEMSEPIRALYELLSGNACVCAGVKDAPIESRASSFTI AERFNLSWMQSFGLRLFYNSKTADGELDISKAIYAFQDDIEQDKEPEPQSPLWTLLKT FATREFNWAESDRLGWLLSRSIYFTHLISFGPDAEQKLDQASVSFASSLTAQGHWVPA AFVLLTLSDPVARETAIRDHLGRHAHLIGSYHEPKSPFSALRRFGVAESWIWEAKALD FRAKQDHRQEFLALVWAGNYKEANTAFVERVGPDLVIERDWKRLVKFAQLLFKVKEKL GSVQEWERGGAAVYLLYPLKVAALRNKLPAGEDEEDERRLDKLLVDGLVALGDRLKAS GASDVKREAAVADMAEELIKGRVNGQSEMGGMGADPRLFGLLPEDVKGRYMRTMALEG MC NEUTE1DRAFT_87167 MTTLSHHHHYPSDQCPALALADLPHEILLLILSSLPTASDIAHL GLTCRRLRQLVTSEGWRAFVIARFPSLVQSIPVPSSSSSSSSSSLLLGGQRGGGQQQQ QQNGSGNGQQNGSGNGGGGGRYAWQDVAESLTWQSRCWDKRAVGFCAIYPASDFGAGT AGAAGAGHGQRGGGAQGQRGNMFQSVVDAHFDFATGRELLVWGAGEDLVARRRDWGGG QQKSKSAPKFSWFKASGKEEGFRKGYDDVRALHVVKGDVPLCGGRGKGSGGVKKHGGG EESGNGRGYGRAVVVGRDNGDLALVEAEGERFGKRIVKFKPHEGEEGERLVQDTINSV DVLYDTPGGRRLVAAATKKAVGVYTLPDGEEAIGEDEEVSPVVVYDLQRSVLDSSTAQ LCSAKWMGGQGNVMALALKGCSERLQYLSITESGWTHHTTAKSIIRSGGVGGLSIPDA DICANSLSPVYANPNEQKSGGTTLLLSGWKDGTCRLQDLRTSSPYDAVYQDNIQPWDH VEAILTYGTERFVAGAGNSGATIKIFDFRWPKPYSYTSALPCLGRQPFPKPHQPFSKA PNAFATGRARCDHLAGLECHWHSLSKHLYYRPNATIFLPRGVPGHEHDRSNQHGFTRT WSLAKASDSAPNFYLGVSGGVIEANLQPTPSSPSNPVEIDPIYGYNYPYFTGPLSVTH STFFPHSSRNQAQINQGVAEGYTTVPIKYSLMETGDGLSVEANDRSIRMPRMMGPFEP SSAYANPASPFGRVPPTAPRADRERAAAARGGHGGRGGGHGHRGGPSLGWNGNGWVTN RDGSATSQKQVREQWKEGVELPAGVRREHHRLDLKYQTTNDFVVLLEDEKEETGLEGR VERMVV NEUTE1DRAFT_87171 MTVHGLGQNHASTPIPKFGQPTQLVSQFTPYNNLFNDRGKNRPE FHKDLYRSSGPLKANAPPKPSTEIKRNSMGMQLFSSLDVDPAPAPSPLQPGRGTASKG TAAAFGENVFYTDPDKAKEDLKALLEGGLDDEESEDEGAKAKTKGAVSESKKKEESIV SSTGHLPGLAVPLLPHQVEGVRWMINRELGPLKRGRVPKGGLLADDMGLGKTLQSISL IIGNRKPESSSAPGWKAHFKDISKATLVVAPLALIRQWEAELKDRVMPDLNIKVCVHH GPKRSTVPAELAKYDVVITTYQILVSEHDKSHPDPNKGAQAGCFGVHWFRVILDEAHS IKNRNTKAAKACCALRSEYRWCLTGTPMQNNLDELQSLIHFLRIAPYDNLAEWRAQID TPMKQGKGHIAIQRLHSILRCFMKRRTKEVLTEKGALVAGGKEALAKAEENGEKAPEA AFKVTERKVVTIETEFSPAESAFYKALEERADQSLEKMMKGRTVNYANALVLLLRLRQ ACNHPRLAQTKLDKDRDALAVDSATAPTPGGKKKKNAYDSQSQTSSVDDLADMMGGMG IQARQCDMCLGEMTKKEIQEGQVRCQGCEDSLSQLMKEQEGTQGSVESKESKKHKKKK VSVVKEKVKVERKTRGRGRKRVIDSDDEEDEGTWLVGESQRSALDLGVAGGTDDENAE GTGEDIDSNEEISEDSEDPEEDSFVVKDSDDDDDDDDDESVNSDEIVGSGDEDDTFAS VYKLSSQVLKQSESEESETAASSSEDSAISDSELENSSETDSEAEYGIKRTPKGPVQI SSKIHELIAILRREAPTHKFIVFSQFTSMLDLVEPFLRHHLPDIKHVRYDGKMPNDAR EASLHSLRKDPRTRILLCSLKCGSLGLNLTAATRVIIVEPFWNPFVEEQAIDRVHRLT QTVDVVVYKLTVRGTVEARILELQEKKRLLAQTAVEGSTERGNKKKKGQGLKLGLQEI LDLFKHDGSDSSSRAAVYGADHGGSGNGEENAAAAAAAGRTPARRTAGAAANHTGARR EHEVFGRRW NEUTE1DRAFT_131735 MPLYTTKKSNKLRASMFDEKPAKDYDPEAEPRDGDDDQSGSEAD SDVERAETEHYVTVGKSKLRKAEAPTLGPEYSGTRVSRKALEESDEEDFDDQEEDDEE DDDDEDDLEDGESGSGSEEFADPDTADLERDHIDEDAEISSDNALGEDDADWSEKFTF RGSSKPKTPAKTPKKDDLAVRIKKRPTAADFMSDSEDDEEEEDDEDLEEDEEDSEEGE RNGLYDMEAEETDDDEGEDDEEELDGALLSGSDDEEGDSEEDDEDGEEGSGDEDEDED EDEDEESGDDDDKNDVNAELRKIMAEDEKKIVSTFSKAAEADAQKGVAVRSQRRIFDS ILNLRIRLQKALIAANTFNCVEKPENFKEKPYEAAEEAAVKLWNTIDSVRNSFLPEQV KAKAGEKRKRDAIELDTPAQEIWEVLEAVEGPANKYRRQVLDKWSTRVRSTTASMTKE RRLAQSAGSQSLVSVLDDQLLSADHLIKKARTPRSCAPAQAAKKVEEDADIYDDADFY QLLLKELVDQRSSDSAAPGESVATVRWAALKEAKTRKQVDRKASKGRKLRFTVHEKLQ NFMAPEDRRSWEEHAIDRFFGTLFGQKMVLKEDEAEAEAAEEDEEMGGVNVEEAGLKL FRS NEUTE1DRAFT_87178 MDALLAKLGSHAVNYAIRSGIAITSTYAVNQCSRLLKTVDDKGV YTELKKLQKLLDSKIKIVSPAIDLIEFKYAQPTSLLTYLVLDPYKNAAVPLAKSLHRD IISFGKRLNDAATAEESSRSDGKKARMSEAHHVELLTIIKEMKELLARIDREIPLIQL AITASGERMNTTVNAGISPSRLMQASAFLSFGDSHFVNNPNRAVPIGPSFTLSLYMLF RGHSKLKSASSVPATPSTGGKTWTREPSYGVGEGERKPIWQEVVHKARVRLVRTPLGW KFDKTRGYCPGESSDGPVNGYSAAVDSALSMLGRPDEYAYHLEIIEDLDDGRVHDDDA AGEPFDGIDMAGIRDSIPIHQVSKIFYTDTGRLLNIGNAEEGDNNPVLLLKRDLHAPN PIELKRQWYADPEQAEASEGETAYDTAAEDDEQADIDAQLLAETKSTELATAAEEGRL RKALGLPSHLDPEWLALEVFVESDDESDDDDDGDDKSSIQSFKSATPRDKVVDSKLID QIRNVSLQPYPNTPPNQRKYVPSPLSSTSSLPAGGANGKQLFQTPTQVQGAQKEPNSM DSFVARSPFGAITSSLSLMEMLIRLCSLQEFQQTSHLSIPDHILTFFLEETSTTGLRG EEKWDIRNEAKRRMGFDPYTDTPTKST NEUTE1DRAFT_118062 MSGNVQNNWEEAADQDERLARQAQQQMNINAGTFRPGAAAFTPN APSFTPGQFAAPGFTPQYQQQYYGGAQQGYGGGGYPQYGQQGYGQYNNNQQQQQQQQG YGAVYGQQGYNQGYGQQQQYGGYQQNQGYQQRQQQNRDAPKPAPQIVKRPEQPAAQAQ PKADAPKPAAAPVKVLSIGGDAPAKVLSIGGDAPKPAAKVLSISGTAPAKEEPKKEVP KKEAAKKEGTAEAAAKVTATKAVEKTESAAASGRTSPAPSSGRASPSAAKSGNKVSRD VDAVEKDIQSADVDEETLKEIYGKEHMNIIFIGHVDAGKSTLGGAILYVTGMVDQRTL DKYKREAKDMGRETWYLSWALDLTNEERAKGKTVEVGRGFFETDKRKYSILDAPGHKT YVPNMIGGASQADVGILVISARKGEYETGFEKGGQTREHAMLAKTQGVNKLVVVINKM DDPTVNWSEERYKECTTKLAQFLKGTGYNLKTDVFFMPVAAQQTMGIKDRVPKDLCPW YDGPSLLEYLDNMSSLERKVNAPFMMAVSGKYRDMGTMIEGKIEAGVIKKGMSLIMMP NKQTIEISAVYGETEDEVPVAQCGEQVRLRLRGIEEEEIMPGFVLCSPKRLVHNVTAF EAQIRILDLKSILTAGFNCVLHVHAAIEEVTFAALLHKLQKGTNRKSKLPPSHAKKGD SIIARLEVTGGAGSVCVERFEDYPQMGRFTLRDQGQTIAIGKITKLITDTSA NEUTE1DRAFT_124439 MSAPVAASSATAPPIDVSNLFSVKGLVALITGGGSGIGLMMTQS LASAGAKRIYIAGRRLSVLQSAADKINSSLPQNQGQEPVVVPLECDVTSPSSLSSLVS SISSDPYTGYLNLLVCNAGVGGPQVSVVDKETGQSKSLSEFREQALAVDFDKEWEQTF RVNVGSVWYTSMACLKLLEKGNTLAAQQQQEGQGQGEGQVVWRESASQIVVTSSIAAL NKAAPGGWAYGVSKAAATHVGKQLAVLLPRWGIRCNVICPGLFPSEMAAPIVQAAGGS MTGGGVIPLDKKTVPLGRMGDEFDMAGQILYLASRAGAYLNGNVIVVDGGRLTTFPST GY NEUTE1DRAFT_148534 MSTVKQSAQAATSDVVDTAKNATAETVTAATDFLHTPAVRAALP FINGGLSGMVATTVIQPIDMIKVRIQLAGEGKAGGPKPTPLGVTRDIIASGKAMDLYT GLSAGLLRQAVYTTARIGCFDTFMSRLSARAKEKGQSVGFKERASAGLAAGGLAAMIG NPADLALIRMQSDGLKPVAERKNYKSVIDALGGIARNEGVAALWAGAAPTVVRAMALN FGQLAFFSEAKAQLKARTQWSSKVQTLSASAIAGFFASFFSLPFDFVKTRLQKQTRGP DGKLPYNGMVDCFAKVAKQEGVFRFYRGFGTYYVRIAPHAMVTLLVADYLGWLTKSST KPLWLTNHALVSQLGCVAYPSPIHPDYHAGPASTIAFDNQDELLWIGTQKGFAGSFIG RELKRFTAFRIHPETDGPLRQFLFVDKGVIFLGSRSVYMAARSGVPIWSIRHESMQDL RAMSFTSKGTSEILVAGWQNKMLVIDVNKGEVVKELPTQDQYSFLKMSRYICAATNKG TVNILDPITFTIKKQWQAHGAFINDLDTSNDFIVTCGGSHRQTHNTPAILDPYVKVFD LKNMSAMNPVPFAPLAAHVRMHPRMLTTAIVVNQAGQIHVTDLLNPSNSQVCYTQPQG VVLHFDVSRTGEGKALADNKHNTYIWGSPNKIQFTEIGIPPRLPDPPQPSLLPPDPDM LEELPLSRIGLPYYREQLFSALPPDIISDVGAPPQQIDPNILSTLTKTDWGYIGPNKT GLQRNQYIDTRSTMKTSNTIRAPKFLSEKARESQTGSEDNALATTESAMMTPNNDHWS LRPEAPPEYRICEIKYSKFGVDDFDFGFFNNTPYPGLENNITNSYANSLLQVMHYTPL LRNMALQHAATACLADPCLLCELGYVFDMLQKGEGPSCHATNMLRALNHTSNASVSGV LEDIAKDKNPSTLVKNLTMFLFDKISQDYKGTPPISTELERTLFKLNQPPNPLDLVKR LLETDARYQIKCMHCQHVSPRTATTFVNKLCYPAAKPNIRGMKAQRITFSQVLKAGLE NEAVNKGYCTKCQRYQNLDQRKIIFNIPAVLALCTEITTAEHRKLWSTPGWLPEEIGI IVDQGHVYCYEGDDLKLHLNRGIHNITVYSLVGTVVNVETKSPQKSHLVATVNVGRAE PESKDQDRWHLFNDFSVRGISKVEALTFNAAWKMPVVVMFQVKAANHRFNMDWKTRLD TSVLFRDNNPHALKTYELLDRETEIPGPETVIAIDTEFIRLKEREIHIDEDGKSKTIR PISHAIARASVVRGQGSREGVAFIDDYIHIKETIVDYLTEWSGITPTDLDPINSQRNL VSPKTAYKKLWVLVNLGCKFLGHGLSQDFRVINIQVPRNQVIDTSIIFMKPPSQRKIS LAFLAWYLLKEDIQQNTHDSIEDAQTALKLYRKYEEFMADGSFHDVLEALYKKGKTLN FKPPRISTGAAKDAGFGAVHRVGTPPVPAPGTTEGSFEISNSSTTTTGGGALSATGGM GSASASSSMPSTPVRKPIGLGGPFTVAGVVKPSPATSLDNFGAGAVGTGITRAAGTMG GGYGGYGTDGAYWGGPNDMAPTSMIGGSAFIPAKFPPGPPETRGFIPYRPQVLLAERE AAAAAAAAAAAAAAAAASNDEPVGEELEEQKKGQKLEEEAHTSRAAVGSGYSYFPAAH LSPPPPFVSEESDDDEMRRQQQELFAPEPYAPDFEQDLFEGSRRDEGSPVVQYVSPPA VEEDDSSTIKEVSSPVVKEVSSPAVSSSSPLAAAAAIGGEEKEEKQKDEDSPPAIEEA AAAPSVVTGSSSTLAAEKQRKQEEEEGSCAVGGGGGGGGGEEGKQEKQDDQGSTPALA P NEUTE1DRAFT_112657 MSDSHLPAQFSLRSSGNSKDQRIQRRRSVVRNRGDFRSRLSGGS FLLSRDTRCCSGDDGGWMMICGGRSEWEEIASVAATFKSFRAIGGIHHDTELIGTGWV LLPYYPCSDTIPELAHDKTDETFRGLAGETVIEIKRNRKYIEYALYGIFLSECCLKIR FKAHTGDPVYGDDGGYIGFPECGIKCTQVPVSVLVLTLCSV NEUTE1DRAFT_131741 MAAQLEAERKEYETQLELVDTSLQDDPQNEELLALRTELVNCIQ LIDDSLAELKNASAAVPAQNQEPLAIGVPSSSSSRKPSGQGAAAPAPAAEEPPKWSRE NHPAFKKPSAAAATTTPQPEKEEAPAQVNYQVNDTVMARWLSGDKGFYPAKIVSVTGS STAPIYTVKFKSYDTVETLRAKDIKPMASSTTPTPGAAAAASSSSIGASASAGFKRKA ADSDIHPIPSSIPASSAAGAAAMVRNSDGITLSAGPELYPGALAAAAAAAAEEAGGKG KGDSKFDKDGKPKFKKIKANKELEKGKNKWQEFTAKGKFGKGATKKESMFRTPEGVHG RVGFTGSGQAMRKDPTRSRHIYQPNEDLD NEUTE1DRAFT_124441 MPRDRENSDTSGAPGQSKRPTLYHRDSSSSQVGGDQTGNGNTHH HRAKGPKHVVGGSRLHGKAPSSKGLHKHHGSTSTVKLNRTNTAASRQGESPTSPERPP FLASNHRRSTSQDLVTVSTNLKNTSATALKRNRSNADVAGTGKGKAKSTSNLLKRSTS NPAVHKLKGERHSKVHFNIGDDGQDDDEDDQDEWVDASTSASPLLSRRGSTINGAETA NENHLHPLASALPPQGQVDSPRVTQVQFPFPSHQQRVQPSNNTVASANNISQQQQQPQ QQQQQQPQQQQMPQLSKPPTNKNSSSQTSIAPSIAPSIAHSTTTSQSHNQLLTERILK RVPSMISAPKMSTESVQVQLNASRPTSPASSMLSSQIRPGSSEKEELLTSRFVGGDQL GSTGNPSNPGESFLTSIRTTTENGTSRSMGSLEHAGHQGSKLGADSDDEGTEIRRTTR KRNGTQTSVSSGVYGGLKDLNRTQQKLNLQRASSTLDQPQVPPHHPTLGPLTPGLPLN GNGYDNRDPRLTRILERTGMEYLVVRRYQNPVARSIARIAQYQALQNGSLNMNGGGRA SRPGTSHSKRGSELSGGRPNFLRDGREPRDSFLAQATINANHQHSNSMSAIPSKAVSA AARFSGLGGIGTRANSTSSSLDGDGDGGQPHVGMLNGANGGSSSRLSGSSLVDRSEHA ETQAILRALWDKSLDLSVSQE NEUTE1DRAFT_68512 MGALDRLSQIGNQISAGVTGGGRDQILQKNADDIVVTCALRTPF TKGGKGGFKDTHAADLMAGALRGLIERSKIDPSLVEDIKVGTVLAPGGGATEMRAAAL VAGFPESTAVHTLNRQCSSGLQACVDIANAIRSGMIEVGVGAGVESMSTNYGPAAVAE FSDLLESHKEAANCKVPMGVLSEDMAKDKGVSRKDQDAFAASSFQKAVKAQKEGLFKE EILPLKAKFEDPKSGETKEILVDRDDGIRDGVTAESLGKIRAAFAKDGSIHAGNASQV SDGAAAVLLMKRSTAEKLGQPILGKFVAASVVGVKPLLMGIGPWKAIPKVFELTGINK DDVDIFEINEAFASQCLWCANELGIPMEKINPKGGAIAFGHPLGCTGARQVSTLLYEL KRTGKKVGVTSMCVGTGMGMAAVWVAE NEUTE1DRAFT_87200 MSTFTTNGAGAENDGEKVNTHIVTLTRFLTEEQVKHKEATGDFT LLCHALQFSFKSIAYYIRRATLVNLTGLAGSSNTTGDEQKKLDVISNDLFIEAMRSSG KCALLVSEEEEHVIYFKDAKHARYAVACDPIDGSSNLDAGVSVGTIFAIHKLAEDSTG TKEDILKPGTELVAAGFTMYGASAQLVITMKGGSVNGFTLDQGVGEFILTHPNMTIPR KRSIYSVNEGNSLYWEDDVKAYFNSLKETQEDSGKPYSARYIGSMVADAYRTLLYGGM FAYPADKKSPKGKLRILYECAPMAMIFENAGGQAVDSKMNRMLEVVPEHIHDKAGIFM GSYDEVEKVKKYHGKA NEUTE1DRAFT_87202 MNNDSPYRQAAAKLPVYFASVLRKRRALLFAVLFAITIFFLFSP FSPDIAGSYGKHSSSSPSFGAVKNHDVNDILRFIDPLIGTTNGGHVFPGATLPYGMAK AVADTASPAENAAGFVSDDNPITGFSHMHDSGTGGQPSLGNFPLFVHPGCVDDDYTKC DFSLSDRPLNRVPDSVYASPGYFTVNITNGVKAEMTTTHHSVLYRFSFPGTEAVRFAK GVAPYSPLILVDLVDLMNSRSRGNIKVDPETGRIVGDGRYGPSFGSGHYHAYFCADFK GAKIRKTGTFESNKATDEKQLLEGVGWGSAGAWIQFEKPDEEMKDSILARVGVSFMSV DKACENAEREMEDWDFERVERDAREAIIRGKTRCGIRASRTLTRKSGNQERGNRKMLT SSRFYCIWDSFRAQHPLLTVIDPKAQTEMIRALIDIYRHEGKLPDCRMSFCKGYTQGG SNADVVIADAFVKGLTADIDWKTAYEAVLSDAEIEPRNWGLSGRGNLVSWHDRGYIPQ NDVDTNGTGPASRSISRGVEYAYNDFCISLLSQDSSSSSSSSYRPNISTPWTSLTADI TKYHHRGANWRNYWNPSAKDLFRDDRTTSSYIIQSPFVGFLQPRTLAGTFRYHPTRTC SPIQNQHSCYYDTALDVYEGSPWLYSFFAPQSMSTLIQLMGGSDTFVKRLEYYHESGI AYMGNEQSFLTVFQFHYAGRPGLSSKWLRNYIPTMFNASVNGIPGNDDCAMGAFTTWG MGLGVFPVAGQDAYLIVPPFFREVKVRAGMDLKSSSPSRDYHSEKKEAKWAVIRTVNF DAEMQGRNGGKPVYIQSAKLNGKKYTKNWITHEFFEQGGLLELVVGPEESIGKDGWGT NREDLPPSYYEDEKFWEEWERMDRERTERWKEEEKAKEEGNEVDDGY NEUTE1DRAFT_87204 MAATSTAAVDQLAADLGNTSLDNKAAAPAAIDTSAVPEAQAEGA EAAPTPTAAPHPQASASLYVGELDPSVTEAMLFELFSQIGSVASIRVCRDAVTRRSLG YAYVNYNTTADGEKALEELNYTLIKGRPCRIMWSQRDPALRKTGAGNIFIKNLDAAID NKALHDTFAAFGNILSCKVAQDEHGNSKGYGFVHYETDEAASQAIKHVNGMLLNEKKV YVGHHIPKKDRQSKFEEMKANFTNVYVKNINNEVTDEEFRELFAKFGEVTSSSLARDQ EGKTRGFGFVNFTTHEAAAQAVDELNGKDFRGQDLYVGRAQKKHEREEELRKSYEAAR LEKANKYQGVNLYIKNLGDDVDDDKLRAMFSEYGPITSAKVMRDSLIEGSEEKDEKDK ENKKEGEAEEEQKEGSEKKTEKKGDRKLGKSKGFGFVCFSNPDDATKAVTEMNQRMVD GKPLYVALAQRKDVRKSQLEASIQARNQLRMQQAAAQAGMPQQYMQAPVYYAGQQPGF MPAPGGRGVPFPQGGIVPGVQGGRPGQYPYQQGGRGGVPPQQMPPMGYPINQFGPGAF PPNTPQYMAAMGQVGALGGGRGGPAGRGPQGIPAGIPQGLQGGPAVPGYPPAGRPQNG GGRGTPRGNANFMAAGRGASPIPGAPADLSAGSFLQAQLATTQDPQAQKQIIGENLFP KIQAIQPALAGKITGMLLEMDNAELINLFEDDNALNVKVQEALAVYDEYLKTQGQQPT QQPAEANGEQAPKAEEQKPEEQKA NEUTE1DRAFT_87209 MPKQNVSKGNRNETGSGRRNEYNEHGEDIACDEPYEMNGARLST VYSIKHTAYQNLVVSFLTQPGPARCSDLITRLAANIDLDRLSNYIIDLSHKLSKHDPN AVLWLIAHYIAINGAKEQRSSRLLFIKTLESLFPLCSAQIRAGFAVARPKDTGYFAEA EQDGSDVLHPFVRQQLQSLVDKGAIQDLLSAFTATTGETSTSADDDASYLAGFILTLI NCFPAFSEDIRMFLYVADISTAQGRIPTAKYFWNATQKTSVFSEIASSQDSTLDFLRR KPSSPAAEAVWQREWKTVLLFLESYIFVLRLMDDDDFFSTLNPHPNFGGPSSRLRLAG MSLSELKRLTQFLKHLSFTMYYNAKELLTNSPWAETLNSNRPRGDGGQASKNDSFLPP AGVDFTTLRDLATTAMKMLYERDSRRPFLPEGHWLMTSKFDMEGFLAAVVLEEQRQHE LRNTEDGADSSEDDQQEWSHYAHLERLRQKNRKAARNHLLSAITPKLEILRNMPFAIP FETRVQIFRQFIQLDKQRRRGGDGDPDMWRAHMLRNGFGAQHPLGKHTARIRRGRVFE DAMKQLWELGEGLKEPIQVTFEDEFGMQEAGIDGGGVTKEFLDSVTTEAFTHTELFVT NSKNAYYPNPTLIDRIRNMRVGEPEETQRAVEQVLKMYEFLGRIIGKCMYEGILIDIV FAGFFLLKWATANDTPGGSSTSLASSSTTAGYRANINDLRELDEELYQGMLSLKNYTG DVQTDLALDFTITDPINSPGEPTRTIIRPLIPHGDSTPVTNENRPLYISYVARHRLVR QPYPQTRAFLRGLGSVIDPSWLSMFNQLELQRLVGGDSSEIDVEDLRRNTHYNGVYQI GLDGEEHPTIQIFWEVMHELKDEERREVLKYVTSTPRAPLLGFGQLRPYFTIRDAGQD EDRLPSASTCVNLLKLPQYRSKGRLKEKLLYAVKSGAGFNLS NEUTE1DRAFT_68528 MAASTAAGELGLSITGLGVQYPPYSLGPDAIDILSKRYHPESPA MKKVLAINRYTGIDHRSSIGNPDHPLVNKPNPPTVNELHEVFMSDGVPLAVEASRKAM AEARVVPAQITHMVSTTCTDSANPGYDHFVAKELGLSDRLEKVLLHGIGCSGGLAALR TAASLCLGHTARGKPARILVLALEVSTTMVRSELESINALQETRIGIALFSDCASAVI LSNGIGEAPGKPAIYDLLGWENRVIPDSEHDLGFDVDPMGWKVVLSPRVPVLAKASLQ PTYADLLSSLKDQLPSSYQKPADFDWAMHPGGATILSGAESAMGLTPEHMRASYDRYI NHGNSSSATIFSVLNRLREKDMDALAPGGKVKEYVVGCAFGPGINVEMCMLKRRMNAP ARTTGLDTPPETDDSEGP NEUTE1DRAFT_118067 MVADALVYHPAVSHYLKFVATTVGRDKLLRLLQYFARFYAWYLL RTNKPASAIQPWETMKKQFGLVRKVMRAGKNVEHIKAAAVAADSKNGDPILRYLAVGR QLGYAGYLTFDLGTLLDATGIRKTESAKRLARESQRFWVMGLSCSVIAQAYTLWRLRQ REARVDRKEGEGVVEAKRIEVERAASRIQLTSDLCDLTVPLSALAWVNFDDGIVGLAG TLSSLLGIYTQWKKTA NEUTE1DRAFT_68534 MPLQTELTRRLGITVPVIQGGMQHVGTAELASAVSNAGGLGIIT ALIFPEPEGLRQEIRKCKKLTTRPFAVNITLLPALVPPDYEAYAQVVIDEGIKIVETA GNSPGPVISKLKKAGVTILHKCTTIRHAQSAVKLGVDFLSIDGFECAGHVGESDITNF ILLSKARQTLNVPFIASGGFADGQGLAAALMLGACGVNMGTRFLCTVEAPIHHNIKEA IVKAQETDTALVLRRWRNTTRLFKNKVVRDALKVEKESKTGEFSEIAPYVSGKRGKEV FINGDPEYGVWTAGQVMGLINDIPTCKDLIARIEKEAEETIKEKVKLFAAPSKL NEUTE1DRAFT_103590 MGCKTNVGPIMDESLEKPPPLDFPWFGNQWRYITVTLGAPFLLL CKDNVDGRRHDANHVRTPDRSRPARLQAAPSAAHHLRPWHAVPYNDAASPTYPAVRIT TQFPPSSAWQLPSWPECPVPTGQQGRCKNPSVFPGLWVPRQFFADA NEUTE1DRAFT_148545 MSYNTNQWQNKLADACQSYQMPLPVYHVVSDRRGGRTAWSCRVE VGGELIQARFWFDGKNLENAKEDAAELALSRLTSTRSPSSATSRGSIW NEUTE1DRAFT_124451 MPARLGLSAAFRSLSIRTNQQQSIAAAVQARTLITDSTTSSRLP PRVQVQQQQQRTQPFSTETTPPTNSNNGDLAGIEGQPPVEVTPENAAALSQLSEIAYG VKAADVAIEGHKYGLPTLPLPSELHHKYRYSEVVNHATKLLMKDGKLSKAQRDMAMIL NYLRSSPPPKLNPSRPLIPGHPPASHLPLNPVEYLTVAIDSVAPLIKMRGYKGLAGGG RSLEVPQPIPARQRRSTAFKWILDVVNKKPSKGSGRTMFAHRVAEEIVAVVEGRSSVW DKRMLIHKLGTANRANLNSPALQLKGKRF NEUTE1DRAFT_48460 MSMEAMLDEERKEVLALLEGPNGQGSRSRAGSMFEDRSPSPFTT PRTSVRSMLDIGDDHLSAGSPLPPPISPVLSHAKTASSYLAPVRSMLDIAPGPAKPVR SMLDIPAATSSRTPLSNPNSPIEPKSYKGPHPRSLSDAGIKPVEFGPRASARSNPMNS YQFGDIITNPNHTGQALPKRVTQGGKRQSSSMVEVMKSNDVSNLVLPSERGRHTSLPG PGVSAARPSNKSKSKSPHGRSGMRSKSPHELLAERQLSPAGRALLDEALDYKMNTAYR HLSDAALVRSGGNLAEVTLRKKSDDAPGSGRLVKDYMGPDGEPLVEDSSEDNESSSEG ELDRGRKAARNTTETKAKQAPELAQGNRQVKSLLAAAEEERIQVEKQQPQYTYRSLLD EPAITVTGPSGRTRKPGVHPATSFDLPSSGSRTPMDSDTEADLTDIKRAQKLSFAVTQ IISQPEVHRTIQIITRGDYSQLVQETQDEHNQPRKYLVATDLSEESTHALEWAIGTVL RDGDTLIAIYCVDEETGILGADGNSLAASMVPDDPKAMRETATALDRMANSKSAIQNG GGAGSLSPLAATSMEASGMLSTGNSSSAIGEDPSPTPSHSSRERSRAEEERYRAVQEI SDRVTKLLRKTRLQVRVIVEVLHCKNPKHLITEVIDHVNPTLVILGSRGRSALKGVIL GSFSNYLVTKSSVPVMVARKRLRKQSKYKRLPATHQVNNINNPTARSLASAKID NEUTE1DRAFT_124453 MSDQEVDGGEASHGGYSPPAWRRLANGDRSSGFWRKANSRLNAI DPLLLSGFGGLGGGLGNGGWSRDFETSPEYDSMNEDDMDQDAILQKAIRTRLPTGSLS PEKERSPEPEYARRQQLQKQAERQQQHQRLAPVIEEDDVKIKEEPMEEDAGMVLRGLP RKGPDNYFRFAVRAEVHQRTEPIETAVTFLRKWLGPLTTSWSSMFLSGLVAILSYAAM RSLFQPASMSPVPDLVKVAGVARSFEPLIYYSENGIQQVGDLQATGVAVWDLGESVRS SNLTSAPIIVKELDELSESLKTLAIELTKFFANVDGDIDGILIVMDWARRELSQLQHL PSPPLSSFFDNVHNLLSAFGILEDPSTSQPTRLGLIATSLFGPSTPQRTRTTLQRTFN EFLAVLEEAITNELQHSLAIFALFEAIDHQFLNLARTVVRESSLQEELHADLLSSLWT RILGAKASDIQKYERNRLLLLNVREKTVRNKGILVEHNHKLLALKASLENLRRKLVSP LVRSVNSSTLTIDEQIRGLEDVGVYLEGVRTRQKGKLMEMLYGGGSYRAGIGSDGGGG QGSGGGGYGGGQYGEQQREVRLVADAGGHGYGYGSASGATRSVHESHRGEQ NEUTE1DRAFT_87233 MFGFGQRKAEVETTAQPVDQQQDANVDTLVNEGPTGGKTNWKNL IPVIACGAGLFSDGYINNVIGSVVTILDREYQEVWRNSNAKKYVGDIAFAGTVVGMLL FGYLSDKWSRTNSLLVSTIILIVFAALAAGSYYKGDAVGMFNILTAWRFFVGLGIGGE YPAGSVGCAENTGELKSGQRNMWFILFTNSMIDWGFVIGAFVPYVVSAACHNGHLSTI WRTSLGIGVVFPLVLFVLRLFVSEPEEFQKNSMKNARTPYLLVLRFYGFRLFIVSLIW FIYDFSAYSFGIYSPKIVANIYPADSPLTTIFGWNTVINLFYIPGTMLGAPVSDLLGP RYALALGVFAQAIVGFIMAACYSSLAQPGRVAAFAVVYGIFQSLGELGPGNNIGLIAA KTCATGIRGQYYGLAAAVGKIGAFVGTWVFPYIEAAGGGDERLAAQYPFWVSSSLCVL SAVLVLFCLPHIGQDTIQAEDARFRAFLEENGYDTRQLGLRKGEEIGELGEAEGAEEV SIEKKVSPAQ NEUTE1DRAFT_148550 MDPTSKCPPLAASSSTTYPPLPKLEILNPSALPSHRFLRPAKRI NEGPDVSHFLTSKAYRDIGVWILQLNHALVPRIIKKSTAASTSGPTKDGQQQRQQKAE EANEQPPPPPPPPTDALAAKLQLLRKKKDEQQPTTEEIKTFPLPRYRDGEGVDHQEEP ESIKKLQRLLKKVEAIIDEAPPDPGPRRFGNVSFRTWFKLLEERADGLLREYLPGGVL RWDQGAGGSGSVREKKEEEEGTETETETETEGDSDKKTNEQKQEVVGPLEELKAYFLG GFGSAQRLDYGTGHELSFIMFLGGLWKLGGFEGEENDEDGEVERRIVLGVVEPYLRVI RRLIITYTLEPAGSHGVWGLDDHSFVPYIFGSAQYTRPISSPNEPTPLEGSVPNAPKP SDITKPTAVERYRTENMYFSAIGFIYDVKKGPFWEHSPILFDVSGIKDGWGKINKGMI KMYNAEVLSKFPVVQHFPFGSLFQWEKDPEAGVPVQSVHMQNQPVASAASAAVTGGVG IPTERPSGPGGVTGTAAPWAQRPSGGMTGTAAPWAQAPAQAPGAGIGAGAGMAPPMTA APWARSTGAGAGAGAGVGASAANRFTPFKPAGGPGGAPHTGPPPPESFPSGTPGTASN QFAVTKAPWAK NEUTE1DRAFT_68553 MSPSNAPGTGAPPPFSSTPDSTSATTTAISNLMPPPPPLLLSKR VTAFLHANLSPYIRTAALTTPAGKLLAHASNGLSASALRRQCAVAASLWGLHHPSGSE YGGGGDAHGAGDHHVIGSPTGSTASQSTVGTTRGGAAGGGGLRARPVPGQAVTVQMDN GLVFVIRRLRCGMLFICMGGEEGHGNGKQPGETEANGEGLPTPHLDPGHQHHLPLRAG PHRTPTSSSAISARSKREADLSGENTPLSTSTNTGPSASTSQSSNTNAANSATGAARG PSASSVRAMRRQVEELARWLDERLGTLYVPEEGIGLGFGGAPGVELR NEUTE1DRAFT_131755 MTSHPTPPPPPSTLTTPNSTTPLYTLHKTLSPPKAFPGQDQGHG QSQSQSQVILIRRSTDGAILLGTPSPSALLNNPSYANNNKQFSTFSPPSCVSPAAAQP VDTNIVRELMKGAIQFEASPAGAGTRRGGTGIIGAPEAAARVLNHENLVSLHGEWVSG VVDGVGSMMLGVFGGKGEMMMGKRKVVDKTERWLVWDWCDAGSVKGLIEFYGGGVGEC IIWGQVDEVAAAAAAAAAAAAKGSKTKTKKKGGFPRKEELGTIVVQGKPSQFKSGGAF LPESLVWHVGLGVLRALMYLHEGKRDVISVEKDPVTGGFKRVRKVHGPPETEPDWMPI LHRDVRAENIYMQHSRGIETYGAVKLGGFENCYVSAAVVMAQDEEEGFERVPLVAMER EVVGEEELRNRWKEWQDERYDVDVSRRPYTRGNDLYALGTILYHMMVGRPLPPVPEEC PFCKCHHVQFLTNAPGEKKKACTHMNCDYQDVNHEVDIGHLMKNGTRGKYTKGLAGLV GVLLRQYRNDEMRASDILDRAGWRGYEEWKTATPDGKLFKDATDDMMFRKNNEIVAKR NLAAQQASQPMDV NEUTE1DRAFT_68555 MFTGPTLRPIKRVRNSLEYIPSSKKRKAEETKPDDGSGQDDDSG QDDDTQVLEVVNSFRGTKSRDPPLSHTEPQPVTNGAKRGRGRPRKYPLPAMAQDQPAS KTHLSQRALDHIQALARDTLSPAEAQPTRERDTDAIKIGAQMPIRGSDSDSDDEFPPL DEVWKRPKNQLPASAQPEPNQEDDYEEREGDDVRAVDEDEEQPDKENEADHRLPRVPP KPRSTPAIYPLLFETPESVTNSARVKIRGSSLMSLRNIMMGPGWTDLGKDWAKTIVQP FRDNVRKPTATSEIKNLCKHLHELTMLSDRASRAASITKQNEYLRGVVKWRSKSIEAI QDIVSVCEQHLAPNSVTRQSLRQDLFEYGIPMLILALSSAYCLGEVGGGHNDIDEGGF PQSGMFTSSTVDYLLRITDWITRLETRLAPDVADDEDDEDVEYEHVLKKEREDRQLFK RFLGSWDKTLQQASHELIEAKFARDQAIKRARQAAREAELAKSAAKYNAFVLSTQRMR SQSRPLDELRQKATRSIVMPRSSGVVAPVRSVPTSHQQQPGSRVSTTSSSQPRVSTIR STSKPNYPIWSDAKKMWLLDELRKIGPRVSDMDYEDLAEDLGKPIIEVRYEAERLRAV ARSIAEERGTKVERWAQGR NEUTE1DRAFT_124458 MGISTVTAAPIHLGILEHGASEEPEEGGASLWVLYLVSAVLVLV GGAFAGLTIALMGQDGIYLQVLARDRTEPQQKNAKRVYDLLQRGKHWVLVTLLLSNVI VNETLPVVLDRCLGGGVAAVIGSTVLIVIFGEVIPQSLCVRYGLPIGGYMAKPVLLLM YLTAPVSYPIAKLLDKLLGEDHGTVYKKSGLKTLVTLHKNLGDVSERLNQDEVTIISA VLDLKEKPVASVMTPMEDVFVMAEDTVLDEKTMDMILSAGYSRIPIHETGNPTNFVGM LLVKILITYDPEDCKRVKDFPLATLPETRPETSCLDIVNFFQEGKSHMVLVSEYPGED HGAIGVVTLEDVIEELIGEEIIDESDVYIDVHKAIRRLTPAPKARITRRVSEDVLGKT MSRGHDDAAGSSKTNGVDIPPSKIVEPLTGAGSTVSEAAHLINERDPKQTTFMMRRSS TGVDGQMVNTTVPVRTNLEELRQHLKHLGPSNPAINPKSTRSTTVKIKPGIAGHLSQA RAASIADSSAVAETSYEDDETTSLLRPQQSTAKDGIQALTQSYGGVSPATAQLISPAE SAVTAVPIDMDNSGGNQDTVDKSTQTPTRQQRNAATDTASGLSDHGSNGSSDSVASIR DSGPLPHRRGIVRSGSITENIIETRGVRKVVLETNSSNDEEEYAITATSPGDGRGAKV TVSIATPSARSETGDETQGLLPLTAGDEGHQSGDKESESAKTNGGGQSSSQGKKNKSK KKKRKGGKS NEUTE1DRAFT_124459 MAATTDVQNYKFNHSMIRVKDPKESVKFYEFLGMSLLKKLSFPE AKFDLYFLGYDAPGAVSAGANLWDREGLIELTHNYGTESDPNYKINNGNVEPHRGFGH TCISVDNLQAACQRLEDAGYKFQKKLSDGRMKHIAFALDPDGYWVEIIGRKPVEETEG VKETDLKTYRMNHTMLRVKDGEKSLKFYQEVMGMKLVRTHEAKEAGFNLYFLGYGDEK QNTADREGLLELTWNYGTEKDENFSYHNGNDQPQGFGHICVSVDNIEAACERLEGLKV NWKKRLTDGRMKNVAFVLDPDNYWIELVQNERFTGQANF NEUTE1DRAFT_87245 MCTQWFCLYACGHKGFYRYDPCQDFGTKCYGAGGEQSLFSVENT CDDCQSRATEPNPEGRKDDPYLKEQKYVPWIVTTENAAAASAGGGQGK NEUTE1DRAFT_87247 MAFFQLGLRRAAVQLSRPSVFCARAAFNASQQQKLVQLATPAST ILKLVSQARHTQSRNYATQKVQESPLSKLATDIAQPAPSSDKSEKKSSFPETSSKSVA YWLLASAASVFGIVIFGGLTRLTESGLSITEWRPVTGSLWPGSQEEWESEFEKYRASP EFKMLNSTMNLQEFKQIYFMEWTHRLWGRFIGMSFVLPTIYFIARRRVTPRMACTLVG ISALIGFQGFIGWWMVKSGLKDDLFAPGSHPRVSQYRLAAHLATAFVCYSWMLLAGLS ILRTHKAINFPEQAVKNFTALQSPALRVLRGSVAALTVLIFTTVLSGALVAGLDAGLI YNEFPMMGNGLAPPKSELLDKFYSRYEDGSDLVWRNMLENPSLVQLDHRILAMTTFTA VCALVAYSRSGRVKAALPPAARKGITGLLHLVSLQVALGISTLIYMVPIPLAAAHQAG ALALLTGVLVAGQRLRIPKATLAMAQRRLAQLAQQAKTQGPK NEUTE1DRAFT_124462 MTDTESSGPQQAPVPAAQGSPVALAATGTSPLGDSDVVQENAMV ASDALETGKDQGVTSTLPIRQDTTTSGFDPADPMSFGRHRRENISTKQMKVDHPDGDK RKLKKYYSRQNKLIDQFLGAEDEERNTLEEDARYKPKIKFAVNASFVVNFCLFVIQMY AAISTGSLSLFATAADAFMDLVSSFVMLITSRLAARPSIYKYPVLADRICAYLGSGGK HEAEGLHIIPLTFVGVAIFAKGSLMIYCLFYRRFPTVHVFFVDHRNDIVVNIFGLVMA IVGDKFVWYLDPIGAILIALLILFSWASNAFEQVWLLVGKSAPKEFIAKLIYMTMTHD DRIVKVDTHYYVELDIVMDENTPLRISHDVGQTLQRKLEGLALVERAFVHVDYEHAHN VHEEHKPLYEKPTPKRSLKDMLLFRKPAMAQGEADASIGQ NEUTE1DRAFT_49498 MSSPQTSPAPDAGTNIMNSGKPKCGGGEEVGEYDLGLHVAGLFL VMLFSILGAGFPVVAKKVSWVKVPTKVFFMCKHFGTGVLIATAFVHLLPTAFGNLMDP CLPDLFTTQYPAMPGVIMMGSMFILFVIEMWLNSKTGGHSHGGPTGFDNHSHGGNSLA AAKASLAQGNGVNRPSQHRRTNTEDTLFESSNEDIDYEKAMAQELYAEKVRRKAYTQT PPRNPFANDYDDDDHYEQLSPRSEMPPWFIIFYEQYVRQRLELVNMIKATANRQEAFL PQADTTSSFNEDRKSLIPASIMDSPYRDVETGEPVHPLVYKKMSLNITLLESGILFHS VFVGMTVSITIQGFTILLIAILFHQMFEGLGLGSRIAAVPYRQGSPRPWLLVVAFGTT APIGQAIGLLARSSYDPNSAFGLIIVGVFNAISSGLLLYAALVDLLAEDFLSEEAQRI MTKKDKITAFIFVLLGAAGMSIVGAFA NEUTE1DRAFT_140478 MNITLPPINSRCSDCHAAAHQPNPDARLDENDPYRKEARERAAA LEKEAREFRVEQEEKLKREKAKQEEEKWLVAEVKKLEKEKREKNKKKRKVADDAESTE SPAKKVREEKKGSGGKKGNEGKKGNMKEMKGKNKAEAEEDKENRNDNKDDDGNDNGDN GNASDIYDA NEUTE1DRAFT_50174 MCGNNIEIYTCGHSVFAGINFCPDYFKLTQDRTPICPGIGANNS HMDNIIRHGSICEDCQDKKQQAIQREGEMVWRRGRARVEKASRKQRSKRGEERE NEUTE1DRAFT_124463 MATGHERYIDDYESGVKSGRIAQPPRGLIKYIDIIYEIENQTRI LPARVQEIRVATPATASREEAQRILNQLIDPLSESLRYLLNIRKTVYIDFHCARLRAR RSGPPPEVLRSVENLEALWREAIMEAEHVLDAALDDLKHHIAFYQVPGRYLDNPAKDD RLFREVGPLDTARLVLTYNCQRTGRETSGKTMLKCRKRKAPGASTTTSTPRTTRASAA SRRAASARSAVPDVYREMVSEARRMAVAEDFPEATTPERPLKRRRPGERQTPKVETKP VKPTVIPEPTTTDHDNPEDDDDEELEFEDVELPPPTLQTITRDSDDEDDEELELEDIA FDSQGAFSSAVAGDVQLDLNLSAQKAAMAPHRRVVERRKALSKSEKEQRREIHKIHLL CLLAHVERRNRWCNSPKVQEALRALLTDKMRKSLIPRASLNQYGRTESLKAGLQETST MFKTKFQITERGLRRALWAEDEGQLKNYQLPDDLETVKSKDDFLKAAKSLSGSRDVGA QLFCALLRSIGVQARLVCSLQPLSCVPGAPTMPKQQKTKSLNASKGPSAADRYAAAMS KYGNTTTTPDPRTPAFLSGRSRLGHPNATAYNVPSMTAPPPPPSRPEIPKAKTIKGES PYPIYWVEVLDEAQQKWHPVDPLVTNTQWRPRALEPPASDKENSLTYAIAFDEDGFAR DVTRRYAKAYNSKTKRQRIDGPISPTTPSGINTGERWLRRLFLRHYTATDFPTDLDQI ELNELAALEGAEPMPRNVQDFKDHPIYALERHLRRNEVLLPGAQSTGTVSAGSKAPIE RIYRRKDVVVARSREKWFRLGRVVKPGEEPVKVLPPKRKRSSKFGGERISSSSPSLLE TNNEDDDDGDEGDLFGDYSLAKAGGTPLYAPQQTELYVPPPVSKSGKIPKNKFGNVEV YVPSMVPAGGAHIPHERAAQAAHILGVDYAPALTGFEWKGRKGTARILGVMVPEKAAE AVRAVITGLVDMEEEEREERRRMEVLRLWRVMLRGLRIRERVFGGVEEEEVEEEEGEE GEEEEEEEEEEEHDKKKDKKGKEKEKGKETEEEQFDREMADAPSDVSEEFYMDMDNDD EEGGGGFLIE NEUTE1DRAFT_68571 MGYPETFEGFVVDSPKTWNQFHRHELKPKPFGDDDIDVQIECCG VCGSDIHTVTGGWGDFEGPLCVGHEVVGKAIKVGKNVTEIKQGDRVGVGAQVWSCLKC DVCKSKNEQYCPHMVDTYNANYEDGSTAHGGWASHIRAHQYFTFKIPDAIPSHLAAPL LCAGITTYSPLVRANVGPGKTVGIIGVGGLGHLGIQWARALGAEVHVLTHSAYKADDA KALGAQNVIVTSDDEKWAEPYKFKFDFLLNCADATHKFDMKTYLSVLKVGGEFHMCGI PDKPLPPLEVLAFMQNGAKLSANHLGNHQEMKAMLQLAAEKGIVPKVETVQLGEDGCK EAVERVKEGNKVHYRLTLTGFDKVFGKVEY NEUTE1DRAFT_68574 MEEESRMADVDRFMDDVAVIDDNSSKEEADTAATSSTTNTNNNK SGGLGAGAGFLTKTRSLSDGGAKETPKLSPAPHIFCDPRRKSQDDIAIRDKERGADGN AFSVQQPSTPVRAGFAVRGLALQLPQLPLGGEGDAPLLSGYARLQQPHVDINNLNATT PPLALSQAHSQSQLQSHQPPPSHPAGAPASASTPSAYVKPAAPAPLSPKLDHSHIYAS PSPNILPRRSRGLDFSRAATTLHHSTVVDQASPSSSPTIGSRAMNIPAGPGRWPGDQG TAMEQTSSSLWSLMANNRGHERAHVSSSLGSQAPHALLTDTSSSSDDDDLMDEDMEEP IVTTPSANKMAMGGQHPWMPGGSSPAVNNLLSFQQRQRRKQPKSRKHKTPLGLGFHPT TPMGAAMSMSPPQGLDGPQPRRESISWAANQLHISGSESDDPSRQLEAVDSPSRPGVI RRTVTRHRGNLLPKTKGFARIRAALLEESAPIDAEVKREAEVVRQVRESDMDLEPRLP ISALTSPNLMPTHDSMDDVDAMMMDSNGSSSGNGNTTPGGIPGGIPGSSSFKQQVLKN SKGKMFWDTFSESGASSNGMRTPPNFGLPRGSSSAMSMDDMSMDSPSSRSESQLRQGP TPFVLPPSGNPPTNSSSSSTTLASTSFPGAANGVPTAAEITRRINNKRRRDDDLDPMS FKRRAVSPGMGSPILQSPMQRDLAPWGPSSSRPGSVNGDGVRQQHENLQNGTVVVGGA TTPVHRRPSFSTGKRVGLQGMVDTNDGITRLSIE NEUTE1DRAFT_124466 MEPLSIRLCEPDTPTLFENSAAVAYLASELHMNPGVWGHNVQLT RNPGTNCLIVTPHWPFDLCLVVGIIGYALSMPGIVIGCGKPKGPKPPKPQPRKPPPPP QSTATSHIQTPKLQPPLPTTSPCGTLPLNWPSNLPYLTAPLFSPLLTPSHYSALRTRP PADSIEPLDELPADYVAGPCKKAVILPITDKRHPACGQAGLFAASDLKPGELVVRYLG EIHPGGEEWGGRLVFPPREDEEEEESAEDGKTDEVKQMAEEETEEQVKYNYKQSDYDL WLDHALDLAIDAARCGNEARFVNDYRGVPNPAFSPGYKPQSKKEELFMKRPNAEFKVV WDPLRQERAMAVYVLPAGKKAVGRVREVGIARGEEVVVSYGKGFWEGRKAEEEEEGYE G NEUTE1DRAFT_131766 MSEADNTIEVAEQVDAPTPTQSEPAQLEAEQPVSAPSPPPGEEP STNGTADADEDANANADADAEAEADAGAKPTAASPKTASPKSPTTAAKRTTTGTTSTT ATARRSATGAASTATKPTASSATRTSTTTGTAASKTTTRPASISSAPKRPTTAASTTT ASHRTRPSSSEIDSKSTTASRRTSAAPSSTGASPTKPSARVSSTTSSTTAAARKPASS STVSPRTSTTGVSRTPTTTSSAASAARSASRASVTTPTSDAARKRLSLASSTGPTPTT ARHTSRPSLASSAGAAAAAAESAKEIEALKSKLEASEAEIAELKSQITSSQEKIEELS TKAADSTTSSDQQEAAQDGSSQEHIDALTDLKAEHTAEIETLNKQIAELQEKLSSAET ELVAHKSQLSDAAGSKDVADSEVTNLKESLATLEAEYQAKLAEAEANLGKAKEEHNTE IEALKATLTEQHEQALIELKTKFAEQQQDGDAGAAEAHEKAIQELKASHEGALAELQK KIDDLSSVQAASDADATKLDALESQISELKAKLEAAEQNAESAKAELESKLASFASLE AKVADMEIELSAAKEEATKAAATHAELQKRIDELTEETKSQEAIIAKLKEETGSAEEL QKRIEQLTEENTTYEATLSKLKEESSAAEDLQKRIQELEAEAKDKEATIAQLKDNTTG SDELQKRIDELGNDLKDKEATIAQLKEELAAAEELQKRIEELTEEAKTKEATIAKLQE EHKAADDHHQQQLQQVSKDYEDEIESLKGDAFFKRKFQELEVKYAELTKSHEDATEEH AKALESAKAEYEAAVKALETKEAEHQQALDALRASLAEELESAKAAARQQAEEASLEQ LEALKVSHASQIDILKGESAAALAKELEALQASHAEELAAAQKSVDGSNASQLEEIKK ELEAKHSEEIQKLMADLEDANKIKLELDDLKRKHSEELEQLKAELESGDSLKKQLEEL EAKHVEEVQKLTAELENGSHLKEDLEELKAIHAQEVQKLMTELENAHSLKQDLEDVKA RHAEELQRLTSEVEGSTSLKSDLEAVQAKLAEAEAKLAAAHQTAEQARRELEDSNAAE FEKMISTHQEAQEALRKENAANVKAQLEALNTEHEKAIESLKASHEAALKEQTEGLAK LEAAKHDLEMALASLKIEHDKAQEELDNVSQQLAMEKMDKFTAQAELDAVKNARPDTR ELDHLRQELAAIKAAHDQELQEQKHALKAAFEQDLAAQRTSFESELTITSETAKKHAA ELASAKEEVAILHKEFQAFKLEAEAKQKTFEADYKDMHDSLTQLVEEEQNQKAAYARK LAEEDKMIVELKAQLKVKEAELAEAKNHYSNGSDNYGINGHRAAAEGELEPDNSSSAL ASINKAQKMVSQLAEFTEDLGNQNSQMLQSITDIKA NEUTE1DRAFT_50286 MDEKKPDPGADEVMTGISHPYPSPTVETTDAQFYHISAQRDGEL EHQAVQSDGADQPDGLPTVTDHHENHNLHELQELQDPVSPNQHSRPGPSLSSEDLQLA AQLTQDLAPVIAAAAASHNQAQEEQLQVPVQVGVPVQTEDVDMQNDTGDPDLQEQLQV QLQNHNHELQSVMQSHAEQQQQQQQQQQGQQQQDQNSQQQEQQEQQQQQSPQQQQASQ SSQQQPQQQQQQPQVPVQPHPHYVQQLSQMQQTPGPTTAHLSTHIPIDHLAGTHPQYG AAVQDSTPPRKRSKVSRACDECRRKKIKCDAQSEVSDQPCSNCRRSSAPCLFSRVPQK RGPSKGYIKELADRINTIEGKLTGAAVPDPLDRRRTTPAEPFGSPIPGDDGRKRPYSS ISSETFTPSAAHERLAGWGSEANRAPEHRPIRPYHPNYPQIHSVNDLVPKPPAMSQTP DVNGVQAQVSEAAADVASQDGLASNGLSQEPLEQAREISDVAFECYLNSVHRIFPILA SRKDRVHSMLSQCPPVLQEAFYHALFAMLNPMLPESAGRENCNSLTSHGLLNEWDSQP RTVSSPVADLVCLQTLIILIIEADYGGISAVKGQRGGPKKLSLLGEAVGLGYIMKLHL APPPDVNPNMDLDLDSEKNIALRAWWTLVALDRWNAVGTAAPSMISSDVVVTPGLRNI MVVAEISFLLTQFIPLFLTLSAPTPSDFAALTTKKPLVASLLNALMESFRWQFPADLI TSPSSDPTLLLAYWHIRLLADLCSGGAKADFALQDCANIVGILTGNPELLTPLNHHFI SLVSLALLELEKLERTKDDAGRLLKDLLDFRVAASPWTSVVREKIMSVSLINKERSST AVVNGNHNNTGTSNQSQVQSHLQAVLQSQSLSQPLQQLADLATVAAGVEQSANDANTE NMVSSAAAVAAAAAAVAAAAAAHHQQQNVALSSVTNPAPQVIPTSLDPEGNAGQARGE PSADRDVDMDMEGAATDSNEERHTNGAEHTEPEQQQHFKTEYRSDEEHEFKTEPQPET RPDDHHQSRQQQQQAEQEPQHVLSASPVDENSIAGPGQTDTASAQEPAPEVPARETVS SGTTDSQNTNKDDITPNGTSASQASLTASLALSLGSGFGSLGLNTAEIYEHYQRQQQE LQLQKQAQQAVQQATQQSGSQSQVEPQAAQQNPSQEQQQQQQQQHPYHQRFEPKEATS QHHPQQPQQQVQQIQAQLQPQPQPAQPPSSSLPLSQAQAQAQLQQVVAKQQQLVLQTL QQHQQQSQQHQQQQQKLFSRPYEPEVFLKAGYLTCFGDDPVGSDGTSNGNGSGSGNGN GSGFTSGNGNVTGNGNGTSK NEUTE1DRAFT_68581 MLINGEKWACEACVRGHRVSNCQHHDRPLQHINKKGRPVSQCQH CRSMRKYRASHVKCDCGEKTSKCIHLQVTVEGHKESCCCNHGGRCTCCHKKEHPQLET VPESDSDKSCSSVSRSCSKSASRSRRRANTTNSDAMLSFDANGHHKPTYKHTKPSQKC GPYTLSRGNSMHSTTPSLGARSVDNLDVSGSGLAMQRGAQSESASPLMTGSSTFAQLN GQLPPLDLSSIKYTPYVPNSADFFGSLSDNDQPLFSAGLSATSVDWSHYEGLDLGNKS VDFAPSSYDQAQSYGSFDYYGSESLSTLTTNTSTSGDMSEVEDFLPALDDWETTSGFC AATSGSGFGYGQPQPNLYGAADPFLDFEEFKMMKAGAKFLANNSSLGSDDSNLLTSNL PDFSNFPLEDDPAFWITHYGGLALQDPAPADGTVHPLWDGSS NEUTE1DRAFT_87269 MADRTRQCGLEVEIWPKFVSQDFRVGGLAVTACLAEDLLEWTFK SIEILRQPGDGVKHISWFPDRSS NEUTE1DRAFT_148565 MSSSLVPTGPNTNKPPAVLIVYQCRTSAVSRADGWGDGKEWEGW KYQNALTALAASRLA NEUTE1DRAFT_87270 MPETIKQYPDPDKPVHIVFVGAGAVGCFYASRLHHPSKNIHVSL IARSNYKALLDNGVKLQTHSFGDYTFTPHAVFPNVAAAASAPVQQWDYVVVTTKALPD RGDDSALIAPLIGPNSCIVLIQNGVGVEAPYRTRFPSTPIISAVTVVSAEQISQGVIR QNRWTRIHLGPYSNSASFDSSTPITKDRDEAPPSATTTPKVESTGDLTPTGSPPSPSP SSSAPSHHKLTDSDLALQQRGYDLAARLTSYLVHLGSVRDAHLSSESHLQLIRWHKLC INAAFNPCSVLSGGIGNADMVRDPELRLFLSAIMYEIWDAAPLILGLPKGEGFEKDPK GFATPEKILKSTERNVGSKPSMLLDWEAGRPLELEVIVGNPVRIARGRGVEMPRLQGV YALLRSMQSVRERRKREGKL NEUTE1DRAFT_49983 HRTTGADVDPTWVTATPVTCTCSICHSALSPPQSAGAYVDQWQK DKSLYRRLALITARNPSFL NEUTE1DRAFT_48392 MQPEEEKSRVLEEDVPGASHPQFPLCLTGLNGGQGRTWDRPASP PFQGARVLASVRVQR NEUTE1DRAFT_87274 MDHTQDTTPTAEGHGQLGNSYQIPDQQAANNIKVQDYIDNTALA TDLHANDASNSSATADGGSSAITSPTNLSSWSASSDRQLGHGSVQDPEDRVFPIRSVI SVDLAATPPVNDDIRARRRISLSEGYGTSVGGRSTAAAGAGNTATPTRPHASTVPTAK SGAIDRRMNAMTGSLSSVQADADRYGKGRPIEIVLGDSSEEESDGGVDGSGDGGDLGT IGASSSSGRESPDALPETHFAPRFKHIVTNEGHAVITGRDGQLQRCEDEPIHTPGAVQ GFGLMVVIQEERDGRFIVRFVSENSKRIIGYTPQELFRMNNFLDIFTDEQSENLLDHI DFIRDEDSDPAINGPEVFSLSIRLPKAKTSVRLWCAIHVHPSCPELTICEFELDDDHD YPLRPPEEDYPDIPEDTLQSNPTTEELTESTAISSKPLRVLRSARRRRGEAGAMQVFD IMSQVQEQLANAPNLEKFLKILVGIVKELTGFHRVMIYQFDSSFNGKVVTELVDPMQT RDLYKGLHFPATDIPSQARELYKLNKVRLLYDRDVESARIVCRTPEDLETPLDMTHSY LRAMSPIHLKYLANMAVRSSMSISINAFGDLWGLVSCHSYGPKGMRVSFPIRKMCRLI GDTASRNIERLSYASRLQARKLINTVPTDKNPSGYIIASSDDLLKLFNADFGMLSIRE ETKILGKIEQSQEALAMLEYLRLRKFSSVVTSQDIKIDFPDLRYPPGFQVIAGLLYVP LSVGGNDFIVLFRKGQVREVKWAGNPHEKTIQAGSAAYLEPRKSFKVWYETVIGKSRE WSEEEVETAAVLCLVYGKFIEVWRQKEAALRSSRLTRLLLANSAHEVRTPLNAIINYL EIALEGSLDQETRDNLARSHSASKSLIYVINDLLDLTKTEEGKDLIKDEVFDLLACIR EATEPFRHDAKRKGITYEVIEHPGLPRFVHGDQRRVRQAVANVTANAVKHTSEGSVRI ELYVAEVQDNRARIDIVVQDSGQGMSNAQLDALFRELEQVDTDIGSESSDDDNSQSGK ALGLGLAVVARILRNMDGQLRLKSEVGKGSRFVIQLPFDLPEEETSRDTVGASSGNTA QLSLGSGTASASAASVTHMQDGEVMLVDRNNGSSWSVNNATGSLASKKSYDDSLSITS KGSGRSALSDADRLIDAIQNPLTLGEPEPESVARQRRDSRGPYYNPSSSLLGSSKGRS VSPGSRKRPDVPTRSPAPAAQGVQYVTDSRVPIKPVKLPDEMFDKPVVPPQSTSKVLF EIKDAVADKAKAASPAVKEQQSVSSQPPAPPPAPAKEASTNNKLQVLVAEDDPINVKV LRKRLEKAGYKVTHALNGEDCAAVYEDKPVVFDVVLMDMQMPIVDGLTSTKMIRAFEK SNRDGSGQQLSDIASDHGRVPIFAVSASLVEQEKDTYVDAGFDGWILKPIDFKRLETL LQGITDDQVRNDALYVQGQWERGGWFEKKGMGNMGQEQQENHEQ NEUTE1DRAFT_13510 LARNWVGDCVDNHKQCIYSKGDTGWYPTRLLDLGESTEDFVLNK QKYPQLIKGLPLDSMPRLFQDTISVACSLGVQYLWIDSLCIFQDKDDKTDWAHEASLM DKVYSHSYCNISAADAENCSWSLFNARDPHSINPETLTLDIVSDEIGGMIPVQFYVYN CDFWFEQVSTALVNKRAWVLQERILAPRVLHFGERQLMWECCEKDAAEVFPHGLHPEL AASGNVRFKSFNLELDQVARLLWNRIIWKYTGCDISRSEDKLIACSGIAKRFESLLQD TYVAGIWLGPGLRPGVYRAPSWSWASIDGVIWPSTWPQDGCLFEIEDVHLSYATPDKT GGITAGWLRLRGVL NEUTE1DRAFT_118076 MVGHAGTEHMPMVLLLFKVVDEQRGVFGRIGVVYAYRDQREKIL SIRREPEKPPLPCAEFKDGKHTIIVI NEUTE1DRAFT_49594 MTYHPNGKKAHSSSCVPPSRQVTYPPHPDSKYRPNMSNLLTTYE VDEQRTIYM NEUTE1DRAFT_49102 MASEQAPLPFGYTFAADFGYVRFECPAAGAPSGRVLFAISSHQL QTGKPTAGADHYNGMLDCFKKIVKNEGPSRLYRGITAPILMEAPKRATKFAANDKWGK FYRDLFGQQQMTQGLSVLTGASAGATEAFIVVPFELVKIRLQDKASAGKYNGMVDVVV KTVRNEGLLAMYNGLESTLWRHILWNAGYFGCIFQVRQLIPKAETKKGQTINDIIAGT VGGTVGTIFNTPMDVVKSRIQNTIKVPGQVPKYNWAWPAVLTVFKEEGFGALYKGFIP KVLRLGPGGGILLVVYTGVMDMFRKMRDERLGKQL NEUTE1DRAFT_68596 MTQTHLLWKYYWEDDVEKFRRLLSLAAHGPQPAGRSSNITPGQA GGAGSLGTSPRPSGKSRKQPNGALSRIDVNSRDHVGLTLLLRAASSTTKNAVSFAEAL IEHPAIDIHAQDPESGWNALHRALYAGNISIARMLLAKERRVLAGPTASFLRVGQLIK TKDHEGNSPFDLYNATIGERDLIALREQGGAQEEEDGSDNEAEVAQESVSRKSRHGAL GEDLYTFGSNRNLTLGLGDQDDRQFPERVYLERPAHLLQRFYNEYLESAGLEGSTAQN LRDVPALVLNRPIVIEDVVMSKLHSAVLTTDPVSNLYVSGVGRGGRLGLGDENTRFKF TPVQGPLADKKIIQVALGQNHTMALDESRALWTWGSNAHSQLGYTLPEPTKKDEDPIS TTPRQVFSSLKKESIQGIAASSIHSVAHTGISLYCWGKNVGQLALMDSDSRSLEYQQT PRKVAASLFSSPIVMVSAIDKATTVLLQNYTVCVFTGYGYNIVKFPFASMDTIGHLSM SNRYEPGRSQISYITSGGETIAALTKRGDLFTMHLDHKMETNPPATSTTNPSKIKGAV TQPQCIWSARKDGVRSVGVGEYGSVIISTHSGAVWRRIKRAKVKDAYSWSSESKRNDF KFQRVPHITKVAAVRASPFGAFAAVRRDLDVLKEQLVVSEQSLWKDVAPLNPLADFRA SEPKPRVRNKEKVKFWGNETVKSNLGSVAYEVLKSSDLEEDLDSYLVEWNHLHQPLDA LGLDVITLLNFVHFMYEDKVIPAWNFTRQAPPLAYRYRQVRQEVMRLATRLNMHNLEA AARLQVDPKKCMDEDFRLAIKDRTFFGDGDILLELDGREVAVHSSFLCQRCPWFQVLF HGRSRGIWLATRREEAEIENERIRIDLKHMEPSAFAYVLRFLYGDYGAELFDPTMCET FADFLDLVMDVMSMANYLMLDRLSQICQTIMGRFANIRNIANFLNAISPCSITEFRDA GLEYICLQLESMLENHLLDELDDDLLLELDAIVRDNQAAQSPFVRSGRFEMLLHEAHP ELAQDIDEERQIRVKEIAFRASREDDRKLSTAFKGKGSSGVDDASASTPAADKTRRAS KAARNEPFGHSPELKPKTSQADLIFDMEEDGPATLSTPLGAKAPKVSSSAVDKDQFPT LGSSWKGKAPIRSGQSPAMASRSSLQAGTSPATKAGGVPWANAPMPASKVGLRDIIQL ETTTTPVAAGRSALSEGLAAQQQQQTGKEGTSAPKVAQPKLSQKERKKQQQEQAAAQA ALHFAKASLANKPAWGDTESKAPPPWKMVTANKAKPAPPHVNPPVSSSPVAKPLVAAE ATPVVRRTASPDTRFAGQRTSSNNSVHTANPNPKSKSTPTLSSSSAPRPSPQPSAQQF PSLGDSSSKPIIPHSKVYIAPARKDEHLLGFSMEEIILQQKLEQERIKGAMSKRSLQE IQEEQAFQEWWDAESKRLQEEEEERKRKATEKEERKEKGDKARGKGRGRDTVNGAESS KAGGDATAKGTGKPGGGEAKTGERKGEGRGGRGKGGPGGKKDGPPPGEGKGAVVASAA STSATPAIPTGPKGHGGAAAPQHHSRKADGGEGSSNPRRRDRGRDRGKGGRDATQVAG AVSTPTGPAIPAAGR NEUTE1DRAFT_148570 MHQQSRHPPRVSSPAVSAQTNPARTNNQRDPRSRTSTDAADRDG AGGGGQDGGGGALAHAASRDAIKKLDQIIQNFYYKAAVLIIGSRQHLTPGPKKGFNKW VGFHFFKPLPRQAADDEMQFSIETEDTEDFKEELRLYRNSDSFTNRPPPMIIETYIDA SRISSSHSLALVDANGKRWDVLEALNSSESSEDSPRKRHLQKRNTEVILERWRIELKC IPNDDALQDFGPSLPTIYKRSIVFFRSLFLATRVMPGWKFSQQTMTKGIHPALEVKCR IVPAEAEFLNYDPLRQPLSDSKDVVTEYMFGDLEVPVGRFNASVIYRNDCTFRVEDAD ALLSSRLMGVDEDFFKPSIPQRPQPVSHGRADSYAEPGSLPSHRLGRRNREPHQTYGS LSTFHGTGAFGTSPISALKAVRPAGSDTSSPPGSSAASVDHSEPSHSLPIRSASSRTL RDVEGSRRPSISFQPFKAGSISGSPRFPDHGAPASPHSLPRPSGLSGISQARNRSSLT AGMAASLRGPGAAVPTDIPKSSSPRPQSRYSSSFSHRRSRTSFGGQSKTGDDEASSSG RQSLSSSAQPGSALLAEPVGGVASSSSFQTDDDISEFLKELDNRKKLPSFEATKKGEQ SAAARRTAAQLNKFHLMRDSNNALTESMLMGSSTLHRSSSSSSRHMSNMPGSLGNPGI SMSGASVSSSPGGKPLSPHTPHTPAIPSRLSENSIIDYPAQASYNRRGRSHVVPTEEE EEEEEREDGDETTQTDRQQEIGGGAVSAAIDIPLSPRLLHAGSANRRASSVAQQHRSL AAGAGAGTGEDDSDIAQRSLSLGAGGGEAPSLSTLLAFQRRNSGDGNEDSSAGSGMAG MQQLQPAADIRASAELRQANDDQPTNPPVRLMTTSRTPYKSRYSYHGTTTSSTQGRGS GIGPGTRGTPPHSSGGPFTGMRYVGTMAGRGGMGVGQGEDGTDDEPLLFAMSELERPS RHSLEEARGGGVLGGSPRGLRGGARGAGEASGNTDGGGVGDGEEYEVRGTTRRGW NEUTE1DRAFT_68602 MFRAAAAGPYDEAINKATDENLTSEDWGAIMEVCDRVATDANGA KEAVNSMIKRLAHRNANVQLYTLEVANALSQNCGKNMHRELSSRAFTDALLKLANDRN THTQVKAKILERMKEWSDMFKSDSDLGIMYDAYYRLKQSNPTLQPPSAPQKNVLTDAD RQKEEEELQMALQLSLQEEERKKRPAGASGATASSSSGGAAAGPSNAGGAGASGEGTN STAGQAEATPQPVPSSTTAATVSRVRALYDFVPSEPGELEFKKGDVIAVLKSVYKDWW SGSLKGKTGIFPLNYVEKLADPTPEELQREAQMEAEVFAEIKNVEKLLTLLSAGNTGP REEDNEEISKLYHQTLAIRPKLIKLIEKYSQKKDDFTQLNEKFIKARRDYEALLESSM SHPPGPTYHQYAMRPPMTNSYGSGGYGAPPSQQQQEPPRFYNPAPAQDAPQYPATSPS PNPNHFIRPAGTPAPYYMGGAEGPGQLQHQQQPPYPQQQPQPAYGGPSRPQDQQRNPS GPSPMAPAPLNTTSSPPPGNQYTPYQAPGASGANNRTFSYSSTNGGAPQELSTSAYDS PIAQHSTNPLSNPSYSAPSAPSYSQGRPGAPTDDPYGPTSPGAGSSSNVGSAPPPPSG PAPSAPSAPSAPGAPTSYAQGGYHSQNPYAAAAAAAAAAASSRTHVPGVYDGAGSEVS STAPQPPAALQPGGGAQPQYKAYVPPGAPSAPGGGHEGPSAPGAPGQNDGLADYYRSA Y NEUTE1DRAFT_112697 MLDTEWRCNHCGHDHEYMGDDRREEKYVYIIESTSQATEVKGRR KKEEEEEEEEDIVEGKEEEEKKKKKKKKKEKKEKNKKENEKEHKGVVVSSDDTYHTLD YDENGNWVVLAGEQLVRFQVDDGAGQQVSGYHEQHGEGNGKKKRISDGETHAQLKQRA RKDEQQQQHQQQQVVEEGGIQSSPGLFVTLVQKARAYRA NEUTE1DRAFT_131775 MVPARINDEYVAGMWRKADSVSLTYATPNPTGDILPGGRRHLRD IFMPVKLIYTTTHSRMSTGTLSSKASSSSVASRYVEHSTEGAGILRGQVSDHAQRI NEUTE1DRAFT_103622 MPGVPFDAFTNLKAKLRAAFKKPTEKRASKPVDHAKPTEAAADA AQHDPVAAAAPPAPAKDATKPAAAAAAAPGAVELAANEAPQEAPKEAAKEETPAAAPA AAPVEVSAEPPAAKAVPAESAAPAAVAAPAEEKKEEEKEEKKDEKKEEAAAAAPSENT PVPSVTPAPEVAAPAVEAPEVAAAPEVAVPEQKKEEEKKEEKEEASSLPEVVSAPFEI PEIAAPEFAGAPVAAATEAAAVPVAVVDEKEAVAVPAAHEVKKVVEEASAAPAETETP APAAAVAEPPAAVAAPAETAAPAAPVAEEKKDEEKKEEAAAATTA NEUTE1DRAFT_68606 MPPRKRKAAAIAHDDDAVSTPDYSARRRSTRVSASAKKSHYFEG GDSDSDSPEASAAAKSKSNAKSKAKATRGRPKKAKVEADSDLDENDDEDDEDAYNDDP ETQNDDDDDNTHQNNNNNEEESDDSTSSPKLTFIPIPKLRDPNGVPYTPSTIHPNTLL FLADLRRNNKRSWLKLHDAEYRRSLSDWESYATSLTDEIIASCDSTIPELPFRDINFR IYRDIRFSKDPTPYKPHYSASWSRTGRKGPYACYYVHVEPGKCFVGGGLWHPDGPALA RLRASVDERPGRWRRVLMDKTFRDTFLGKGGKESDGGLDEERAVIKAFCVANAENALK TKPKGFDAEHRDIELLKLRNFTVGKKLPDSVFTSENGQEQVLDVIRAMVPFVTHLNRI VMPDPGDDDDSEEEEV NEUTE1DRAFT_87296 MRLGTLLLAVASLTSAVNAYWMGDIAHRGYAPFAYSGYPVFRNV KDYGAKGDGVTDDTIAINAAITAGNPCNQGCTSTTTTPAVVYFPAGTYLISGSIKPAY FTQLIGDASSPPTLKATANFAGFGLIDANPYYTQYLNWKAVNVFFRQIRNFVIDTTNI PPANAATGIHWPSSQATSLQNIVFNMPTASNVVHVGLFMEEGSGGFVTDLTFNGGATG ASMGNQQFTMRNLKFNNCKTAIYHLWNWGWTYSGLSINNCGVGIDITAGSGKVETGSI IVFDSSFTNTPVAISTSWTTASSPDTAGTLVLENIALTNVPIAVKGPQGTYLSGSTGS TTITAWGNGHKYTPSGPVEFAGALTPNPRPVSLLASNGRYYTRSKPQYETLSASQFIS ARASGAKGDAATDDTAALQNAINTAVAQGKVLYLDYGLYRVTSTIRIPPGAKIVGESY ATIMSAGGFFNDINNPKPVLQVGSSSGQAGTVELSDFIVSTQNAQAGAVLIEWNLASP ASNPSGMWDVHTRIGGFVGSQQQVGQCLKTPGNPTVRQECIVAFMAMHITKGASGLYM ENVWLWTADHDIDDAANTQITLYSGRGLYIESTSGTFWLYGTGSEHHVLYQYQLSSTQ NIFMGMIQTETPYYQPTPNALVPFPSVSSLNDPNFSTSCSGVSGNCAAAWGLRVLNSK NILVYGAGLYSFFSDYSTACSTFAAGQTCQSRIASVEGTGCSNVNIYGLNTIGAQSML TRDGVSVAWYADNVNNFPSSVGVYKSG NEUTE1DRAFT_87299 MRTRAERPALRSPRIYRANSVRSDSGASSMFRDTREPTPKRNLL SANGKAVERDSDDVFSSHYPTFAYMDIRDLLVRHEKLCHLNDGASNNNNNASSSSSSS NNNKNANHKDGNKRRKTSSSSVVSPPPLENHTDIQMKTQHRPATQSQFPNGSMQPSMV SSIPSDSRLLSRGPACNLDLLSDAATHLASGGEVNNLQHMQPTMMQGLSQQQPDLPPA KSYQDSMSFANHNPKQEHGAMNGGYCTQPPGSEFDPLFMGDYASSHAFPSLFDGEAPF TNLTISAKDHSVMKAKLDEFSSVLPSNFVFPSRHTLQRFLEGYFTGFHDHLPFIHLPT FLPVEASPELLLAITSCRAFYRLRRHIAPIPRDHHRAQGFDTRILLFIETAQSLKTHT GSPSLWGAKAILHEALSLQSQLALLVREEGLHGEPNQAPDWESWVRTESATRTKLIAY CFFNLCSVAYNTVPLLLTSEVQLYLPNATRLWRAGDANQWQEVRQTSPSTEVPLPIAF SRLFNRGIQGPPPQLTSLGNYVLIHAILQHIFVLKQATFATSLGMQRALRGQENEDIC QAIRVWFHSVEQQRHVEGFDTWDPVDSNSVALHRVAFIRLNTDLNSSRHLESRAYNAA GRAYAEAPLLLRVSNNECAVLVSKWLLTLASIGPTDPPITSEESQLLEGLRRMLDETE FAVPIDPAIGGGAQQNHNHQQRSTDGPATDPTNLRQLAAAVVRLWAETFRGTHIFEFV DMMGSGLETYAYHIENPRDRTPLAMGRMGTNHQMQM NEUTE1DRAFT_118085 MGLPSSTSMSLNELNAKALDNFVYSKVDRDMIRFLATAASEVIQ CDPTMMPSPTVAATSGREQQPTAPPKVVKCEDGGLPTLEAFISQLVVSSNVQVPTLMS TLVYLRRLKSRLQPNAKGLRCTTHRIFLAALILAAKYLNDSSPKNKHWASYTNMSSSA YNFGFSRTEVNLMEKQLLCLLDWELRITEEDLYRELDYFLAPIRRDYEVRHARRMREK AEKRQRQLEEEAWVQVAAAAAAAQHIQQQQQQQPTYISPPSSRGSSRSRNGYASRDTS PPGLSSSASSYTSSTSRSTTPDSELDLPHSYVAINGDDYYQSAAIPPCVPEKDAAAVY FSNTRGAAVSAAGTKRGVLPYEITAEDLDDSSRVKRMRGMLGRVFGANADRNVIPVR NEUTE1DRAFT_140502 MDDGVDCLPLIGSGDHLLEDCRVEEEEEEEEEEEGSSMTVSSMA GHQQAYLQHYTLDPNPKGPDKCPGLQSTGPMTA NEUTE1DRAFT_118086 MMRHTSRRLNNTDDGHMWNHQAESKQSEICPAEAHIHLTIITFW KMQSTHRYLWRRLAHEA NEUTE1DRAFT_118087 MGYREQEEIDTFGSGCNANLGVARESWRRWDGFSFSTSHRPNEK RVRTFRPRSPTISLKDHLLSHANSPRANQILSNSLEESLGLRSTKPQINGCNRGTRKL KVVA NEUTE1DRAFT_87303 MENYQKLEKIGEGTYGVVYKARDLANSGRIVALKKIRLEAEDEG VPSTAIREISLLKEMRDPNIVRLLNIVHADGGQGHKLYLVFEFLDLDLKKYMEALPVS DGGRGKALPEGTGAGLHNLGLGEDIIKKFMSQLCEGVRYCHSHRVLHRDLKPQNLLID RDGNLKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGGRQYSTGVDMWSVGCIFA EMCTRKPLFPGDSEIDEIFKIFRLLGTPTEDIWPGVTSYPDFKASFPKWVRDYNVPLC QNLDDVGLELLESMLVYDPAGRISAKAACNHPYFEDYNPKPKSKYRDSSRYA NEUTE1DRAFT_124487 MMGGHHDLDAHEQPSDELRLKWKSFAKVDQKIVMNSPEIDDLRS PGKAPEFVQKTLLRNIIHRDLNQPHHQTNMHLHFKLPYPPVDPETGLQESFFSYPPDS ETCFEPKDPSVHKPLTFQQVFNRKLHWVTLGGQYDWTNRVYPGELPPEFPKDIAGLLE TLFPETLAQAAIVNFYTPGDTMMMHRDVSEETDKGLISLSIGCDSLFMICPEDWGKVS EEEKQKGSAESESGKSDKKFLLLRLRSGDIIYMTKESRFAWHGVPKIFKGTCPEWLED WPAEDGKYEAWRGWMKNKRININVRQMRD NEUTE1DRAFT_140505 MASPDSQNINTFLTIEEMNSPKHRAILEFASKKAGFTCYDTFTA WLASPALAPFWTEFERDFLKPLAASRKSTTSFSSPPPTTTTTTTITINNNPPPADPFA KTSTIPCPIYHIIPLFQGMFGTREYLRKGPSKATWRPNHHILYFFLNVWERNHDQMRN SPWAGCYLENVKMWAMQLCWILDAMTRCKYQEELGLHVVEFRGMRDEGCIITYKH NEUTE1DRAFT_148581 MTTCVATQTFTHVSPKAYHPESDYTTSTEPGKPRPGSPGPNKPD NCGFESGPLKRDDPIPRPQTPLVPVPNSDGEGDSKPAPRPPTPHPNGDHLELDPIPRP QTPLVPVPNSDDMPVPRPQTPLVPVTSDDSDDECIDEEMPVPRPQTPLVPVTSDDSDD ECLDEEMPVPRPQTPLVPVTSDDSDDECIDEEMPVPRPQTPLVPVTSDDSDDECLDDD PIPRPQTPLVPVPNSDGEGDSKPAPRPPTPHPNGDDEMPVPRPQTPLVPVTSDDSDDE CIDDIDPIPRPQTPMVPKPNADMIKPVACC NEUTE1DRAFT_124488 MSYRGWSALIRNYGAQRALLWPSWHQCQQRIISTKPLKILGAFV VITGFALIIAFLHVLWHYAKLTKLKKRITAEEKENKRWQLSIGGFFEMLIISCSDQQI FTGAAYAITMRFAKACSISAYHYNVIANMLLITCATHLLTLLVSKHYWEHPWVAGIRT VATGLLYLATGIYLSTQATPGSELAFPTAVPKDDEKWSTLLLPAACFQGSAEQFANTL QQSFHGAGLFKVGDRITGWTPFVILVLYYVLANIVTLGRVVRAGRENGGKREKFCKWL VDMIGQKKLKWVNRIGGQVYGVYLLAGLVISGYTMASSSEYIEMLRRWVDRSGWIQLY NGTNPENDPKSFGQLVPLLMMTLTVFTFLQMLSEAITIRKLKNNHSDELEDVRQEFED QLEDARTSSDKVQGSGMGNGKRPEVHEKEAFSRPSEASGSQAYGMNSQTPLPPAPMYT MFANPSSSSSAPFFVYAAPPTGSPMATPDWASMTPQQGTPMHFIIPAQFVAGAFPMMT PGNGGAPSPPGFPWNSPTPFGPGPAPASSEPGPSKPSPSDDVSSSPEASTKAEPEITT KDTKSTPVVSVYDVNPTPPPPSRSSTVPIPKSDPSDNEKLAPHPANLRRADSEFTLIG QPSSSLDAGGPTGQPMPAQGPSGVAADPNTLSYFPAVAESSAEEEGQEAERRAGEGNT AGGTGFEVGKAM NEUTE1DRAFT_68626 MKLLYPTSLKLDISQIEGFPSVTLHPYDVKAPIPEEHVDAEILV TWTNSSDNLKDAVNRLTKLRWIQSLAAGPNDVLSAGFDTSKVAVTTGSGLHDHTVAEH TLGLLLNAARRFYEMRDYQLQGKWPGHLGGPQPDRPADRFTTLRDARVLIWGFGNIAK TLTPSLVQLGSQVRGVARRQGVRNGIEVYSEDKLAELLPETDALVMILPGDPSTRHAL NTERLKLLPKHAWVVNVGRGTSIDEDALYDALVEERIGGAALDVFETEPLPEPSKLWK APNLILSPHAAGGRPQGAEQLIVENLRKFLAGQQLKNLI NEUTE1DRAFT_124490 MVTYTQDQLDRYFRHIGYSPKDAEDDLGRLAMLQLRHMARVPFE SLTLHYSQHHRLSLDLEDLFEKIVDKGRGGYCMEVNTFFGAVLRSLGYTLINVGGRVK AGDREYKGWNHMVNIVTINNTRYLVDVGFGSHGSVNPVPLEHNYIFTTVSPARGKLEY KSLSQHTDPTNQRIWVYSTQENPSAPWKEMYCFAADTEFFPADFEVMNLSTMTSPQSF FVQTVMCMWLLLNDNNNDDDKQEQPIGLLILHKDYVKRRIGDKSEIIEQFESEEQRVK ALQKYFGIVLTRKEKEAIRGLPSELKTRCGHC NEUTE1DRAFT_68632 MKTNGVAKAALAVGLSATALAQTSCDKVLVPSYNLPVVAAGWQA QLIAGELTKPRSLQFDSSGALLVVESGKGITHHTLKDNGGTCISVSESKTLIDEKTLN HGIALSKDGKTLYASSASAVYAWDYDSKAGTVSGKREIVTNMSNNDLVTRTLLLSQKE DGYLLVSRGSADGNEAQAEVLTNGLSQIRAFDISNMTSSTKAYNYNEDGIVLGWGLRN SVGVGEHPITGGIYAVENSIDGVTRNGKDIHENNPGEELNFFGYLNGTKILPNQGGNF GYPKCFAVWDVSEIPDNDYNLKIGSQFAITEEENAKTVSGNQLTDETCASDYVSPRLT FPAHYAPMDIKFAPKGDVAYVTFRGSFDKTDPVGYRLSAISFDSVTGEPTVSAENSRD ALQQHVMMNKDNSVCPDECFRPVGMALDSSERLWMTSDSTGEIYVLMKRKTEEENPTT TTTENGTIVTGTGTGSGSESSPSATGKGEDDDDDENAAGRLLGGGGGWETRALMWAGV VGVAGALALV NEUTE1DRAFT_87319 MDLHPTLRGILAMTLAGFALVAHALPQPQPQAQETVAPSPKVWV HVDATTKGVTITPTVTTYRDGDKSTQSAPPPYLTVSKAYSSFKDNGVLATYTSLNPAP TALGIAGVTDPRGDFLACLPQQSLDEPFCAPKRDAILRPGHGYYITWNTLYFALPNQR VQILVQTADVSFSQDSSSSSSPKPVTPTLPHFSILPADQGFALLPLPKSFLGSSSSYP IIRLNITMAVWASGNYDEDAPTEAKQGPLVYVTNGYQSDDVDDEDGNHDGDDSDDDDD DDNDDGLVGDPGGLSKGKKIAISVPVAIVSLLVLGGLIAFAVWGYRRKGAVPWVGGLF GKRRGGPGGRGGGSGYGVRKSYSERVGGKRGAGAGVSGFEEGMIATRGAGDNKGGGGG GGVELTDRDSWSPTSPTSARLGGVNVFRAEVERQERARQG NEUTE1DRAFT_148587 MGPRSKFPFSIPGRKAKPVPPPPPPPQPQPPSGPLTKAQKILGT GEINIDSPWEIRASPAISNAPVSGAAHHNERDVGRRRAHHNDAEASVAHERRWDNEPE PAPRNTGWQHNERPEAMNYEVANTSHQQRRQSSSTIASYYDKQHQLQQQQTQNSAMSK QLPSKAHQLLDYDNGIPETIPEAKIRKKKSSMLDISSRILKSKTSKHLKPDLVDYSAH VLGPDMITNSPAMPTTPDMSQQPTKRRTERTDYERYQQESYANSAGQEMYEKPGVDEG PAPDEDVSSFPAPPLNPAARRVSLSLFPPAPSGRPVQTPKQPEGPPSNAFTPSSSASP HADSASISSRHTRTSKASKRTDRSMTELDLQMNSVLSLSSDSEEDSYEPSTQNSLAVP MGLGEQGPMSPASTRSASSLPASLTADYSRTKSAKRSQYGTQSQFLPIPEGAAATDVS RKSSRGSSQKTSSSKQQAASLLYQTSRLSIGSTSTSQTAMHGAPAQLKKETNATPPLS PTSVDFYLQNRSSEMFGAYAPDNRSVYSGRSLGSEGMGPGRRGSNTSSIQDNASGRFM AVTRQEEMLLAALRQKRARMREDILAEYGDNRDDMDGDDARDGRHSMLSESPTSRVSR QSSASTMRLMEAHSLSARPHGHQRPQIRISTGSVDKKSDRMSESSGQIFVMMDRQLRQ SRGDDSEPSLDMDRFMHYDDAGDEILTRGAFGGPYEGDDRRNSRSSLGAHSTSGSHRA QRTPSSRSKASSRDRRDSDQVSPQTFGHLCREAERRNRIVEAPEEDDMEDGIPRPDSP ISPGDFPVPLSMLKKNLRLSAVGH NEUTE1DRAFT_103639 MKGVLCWAVAGLQPLLSAALTIAEINGNRYISSYNGKTVSDVTG LVTAKSSAGIYIRSTTPDDDEATSESIYVFSSTIGRTVDVGDIINLGSAKVSEYRSSN TYMYLTELTNPSDITIVSSNNAVTPLVIGKDTLSPPTEQFTSLDEGDIYGVPNAQHNI SAVNPVLKPAEYGLDFWESLTGELVTVKAPTALKIPSSYRDTWVIGDWKVTGKNDAGS LTMSAKDSNPETILIGAPLDSTKNPTTTKLGDALEDITGVVTNTYGFYTILPLTAIKI KTPSALTPPPTSLVSSGSCNGLTVGTYNVENLAPTSAHMPKVASQIVTYLKSPDLLFI QEVQDNTGATDDGVVSANKTLATLISAIKSAGGPTYDYVTIEPVNDEDGGQPGGNIRT AYLYNPSILSLKNPNPGSSTDANEVIVDAETGQPSLKFNPGRVDPLNAAWKATRKPLV AEWIAKDGKKPFFTVNVHMTSKGGGSSVHGDVRPPINGGVEKRTQQAEITAKFISQIL QADPAARVIAAGDFNEFAFVKPLTTFAEVSGLKELDEALNTPVENRYTYSYDMNAQAL DHMYISPVLASSKSKFQHIHVSSWVTDSAVVSDHDPSVALFDVCGCADSNKRVHKL NEUTE1DRAFT_96005 MATLILGSQWGDEGTGKLTDILCPKAQICARAAGGHNAGHSIVA NGVEYDFHLLPSGLVNPNCMNLIGSSVVFHVPSFFSELSKLEEKGLTDVHNRILVSDR CHVNFDLHAAVDGLEEVELGDRKIGTTGRGIGPSYSTKMARSGVRIHEIFNEEIFERK LRQLAAGYKKRFGDLLKYDVEEEIARFKEYRVKLARYTVDAIQYMKEAQDRGYKILIE GANALMLDIDYGTYPYVTSSNTGLGGIITGLAINPTKIDNIIGVVKAYTTRVGGGPFK TEDLEEAGTKLQEIGREWGVSTGRKRRCGWLDLVVLKYSTAINNYTALNLTKLDILDT FETIKVAVAYKDPQTGEEVEYFPADLDILDSLEVVYKELPGWNKPITDCKTYYDLPKE ARAYIEFIEEFVGVPICYIGTGPKREDMIVRKTSAIKE NEUTE1DRAFT_103641 MPPPLSQRSDENSSEDEVSDPGSLEAVPESDSLQKLRESAMDVL ASRLTSQYTGTTGNHVTGKLINNVKRAPADDFANDHVAKYPKLDQEEEESDEEEEDSS SEEDEEDEDDDEEGQDLVGMSHLLPDEQACARCRGVKIKKRYVGGTFVGCVAFADSED AKLTGGACANCWYGRQGSLCSFRNPAGKRKERLGAARYNPLLISPPPPPPPPPAAAEG QPMEHGQQLENTGQVHPAFLASVSSVSPAYTPFPDYYPHMEAQIAQQNNGEPPSLLRP DSSPSVNKVTAWETRYRRMSTDKLRATHGNLVEWQEDLNTRLLAMNKVLLDRLERRES SHTS NEUTE1DRAFT_49844 MRSHSFFPALIGLLPLVPGSGVAASPCRPHGPHNGGVSHAHGPG GGSHNGAGNGGGHGAGHGGGGHGGPPGYPPFHGNGTHGGFPPYNGNGSSPITTTTTTT TTTTDISTTTDVTTTTGTTTTNPTTTTATTTSTASPTACSDYWLENIAHQGLAPYAIP GYSVFRSVRDFGARGDGINDDTDAINAAISSGNRCGPGCDGSTTSPALVYFPPGTYLV SRPIIDYYYTQIIGNARCPPTIKASGNFTANWVIDSNPYQSNGNLAWGSTNVFWRQIS NFIIDMTAAPPPTDPNAPGLAGIHWPSSQATSLSNIEFRMSRDIATTQQGIFIESGSG GYLGNLTFNGGRYGLQVGNQQFTMRNLVFNNVQTAIRQIWSWGWTYQGISINNCGVGF DFTSISNDPESLGQYQVGSITILDSSISNTPTGILIGNANGADTRSVNNFIFENIVLN NVPIAIRDLNNGGIDLAGTPVNTVIRAWGRGNEYNTATAQNNTPGTPIAGTFTPFPRP ASLLTPDGRYYARSKPLYSDLPASAFLSARSFGAVGNGQTDDTDALNQLFTAAAKQGK VAYIDHGQYIVTSTVFIPPGTRITGESYPQIVSAGPIFNNMANPQPVVQIGIAGQPGS IEWSDTIVSTRGRQAGAIGIQYNLASAGSEQPSGMWDVHVRIGGFMGSNLQREQCAKT PTINANPPGNVKPNCIAAFMSMHITALSSGLYMENCWLWVADHDIEDEADNSQITVYA GRGLLIESLAGQIWLVGTGVEHHQMYEYQLYNTNDIEMGQIQTETAYYQPNPDARFPF PLDERFHDPLFGPGEDGWGLRIVDSEGVRVYGAGLYSFFNNYDQSACIDGKNCQRNMF SLEGTNDVSVYNLNTVGTTYMATVDGMDIIPAADNDGMFVDSVAYVHTASA NEUTE1DRAFT_87329 MAPSTAPPALAASGPTLFGLSMKQVSLITLTFQNSALILIMHYS RIMTPPGDHRYFASTAVLLNEVIKLAISLTCSIYEVSNTLAPQTPLTVILEQIYNSVF AGDGWKLAIPAVLYTLENTLQYVALGNLDPVHFQILFQLKIITTAFFSVVMLGRTLGI KRWLSLVVLTFGVSIVSLPSSNDNSLTIHDFSDHFFPRSVHELGQAAGATFDVARELT KRGMEGLATELTKRSATYEGIKEDQDGGRLVMNYSAGLTACLVAAVVSGVTGVYFEKV LKDSSTNVSVWTRNIQLSFYSLFPALFVGVIYNDGEEIAKHGFFDGYNSIVWTAIVFQ AVGGLLSSICINYADNIAKNFATSISIVISCVFSVFFFNFDMTSSFIIGTALVIGSTY LYSTPDRKRSRPPPINIVDYEKISIDHTPRLLDQNLLNVNPMDSARATSRPSSPSPLA RTPSSRGKLLDE NEUTE1DRAFT_96006 MPRTGGTCPGRTRIPSSILQLPFSPKHRSCIQSAGSARADAYTN PKSSCPGISHTLMPS NEUTE1DRAFT_103645 MTGHWRESARERIAQRQRERHRHRPEILEDRDELAMSPRPGCYP VRYRNEHDRGELGPSTRYYAEPPQPHADELDDFDHHILAHPAPQTGDDEQYQIALSHL SRHARPHISPGYSSYMDATMPQSMSTGLPSSSIVPVPDDHLIPTPRTLDIISREPNHR LRELYGSHQQPTPPMHSVFPYELLNAVQSKCFGLVYGSTDNVVISAPTGSGKTAILEL AICKLALDRGNENFKIVYQAPTKALCSEKARDWEKKFSHMGLKCAELTGDTSQAEMRR VGEASIIVTTPEKWDSITRKWQDHRRLLQLVELFLIDEVHILKDVRGATLEAVVSRMK TIGANVRFVALSATVPNSDDIAKWLGRNHTTQQLPAYREVFGEEFRPVKLQKFVYGYE CNGNDFIFDRFLDGKLPTLLSKHNQRKPTLIFCFTRKSCESTATKLAEYASGLPETNS LWPIPTKRIPVVSRELQEIVRFGVAFHHAGLDVQDRVAVEQHFLNGELSVICCTSTLA VGVNLPCHTVVMKGTVAFMDDKLQEYSDLEIMQMLGRAGRPQFDTSATAIILTRAANK LRYENMVSGREILESTLHLNLIEHLNSEICLGTIGDLSSAKLWLSGTFLSVRLRRNPD HYRLTEDISNPSQIDDKLEEICERDIKLLQNTQLVTADAKFKCTEYGRAMSKYMVEFE TMKLILKIPRAASTEVLINSLAEAVEFKEFRIKPAERTLFREINKNPLITYPVKEQIQ HTQHKISLIVQLHLGSVQYPDSAEAAKLRRQLMMEKKRIFERLQRLIRAVIDCKGFDR DAPGVKNALDLARALSAESWEGRPTQLTQIPNIGPVGMRKLASQGIRTVLELAEKESV ELERLMSRQPPFGKKLKADLDKFPRLDLDVSVVKYTTPKRRNEDVTLNVQTTLKYLNK NGSPNWLGRCPMLTFMIESSNENLLYFWRGSLRKIDKQIGLVLPVSVPLKSPDERIIC HLSCEEIVGTLVSKVLKHEVPLAAFPSQQFRQGRTSPANGGQTQRLLKETAEEYLGDD GIDDSDLLQAAEEATSCAPVLHGAKCQVESDPDEYPEVEELMEVVAQASEPVHQKFDR HMAANEVDDSWDMENSQMLDREPVQLPNGRWQCNHACSGGAPTKSGKPCTHKCCQEGL EKPRKRPNKKRKETEQGNKKSESQSVLTQTSFEALSKTSTGSQATANKTIPRAATEKE ASEKPYAPVNKKPKLELPLQKQTIDGVDFDYIDLSYDDDEFEDIAAGVQRKTTATTTV YQGQRANTKSQSSHNEAWGVAQAQTEGTRGSAAPSSQDLMADQSIAFPLSTQVYGDDP FNAGNLALLDSMMLQASNRHTAKSPFSIGGKDQVFYQTPSSRSLELGMNLTDELVPLN PQSAGNIDQSATVIDLDWDDVPMEGKDPMNDDRSVSYTIEAPSPHELAHGSPQTPNVV ESNEAKDQSRKIEGEPEWVSTMDPDVVDMLRGFVTFV NEUTE1DRAFT_131795 MVGIALLGAGIFAREQHLPAIESVPSLSLKAIYSRSESSANTLA SAASSPVDVYYDVPTGSGEANVWPEEKTLDALLARTDIDAVVIALPILAQPAVIEKAL KAGKHVLSEKPVAKDVEEAKRLVGFYEALDNKKPLWAVAENFRYTPSLLKAAEKVKEI GGKLTTFRLNMNGWVEEGNKYFKTEWRKVPSYQGGFLLDGGVHFIAGLRLLLSELNQE ITHVAGFSALLEERLLPVDTVHAVALTNDGKSGTISISFGTQFKTGLEVEVVTTQGSV YWNPTQIKTKTKEGEKTEEFEKSTGVKEEMAAFAKAIEKGQIEKEQTPEEALKDLEVV QRLLESGEGKGIVKAVEA NEUTE1DRAFT_49633 GAEAGCLSLDFWVYLARYLDQTNISSAYVSGMKEDLQLNGNELN YFLTFFSISYCLMLIPSQVIITYVRPSYWLPGLEIGWGFVTALIAFAQNAYQVYALRV LLGLFESSAWPGMMTLFMYWYTPLELAKRMGFYHSCQALGSMMSGALQVAVLESLEGK WGLGGWRWLFIINGLLTLLIGFTGFFLIPDYPRSPNPRCLKASPQTLSCSSTPSLSFG WLTPAHIQAAEDRLKRHGRTDSKKITWRAAKRTFRSWISYFIPALYVASVLAPYGYNY FNLFLKELKDQNGRPRWSVEQVNAIPIAGNGVNVVFVWIWAILSDLLQTRWTLLVAQG VIGLIPCIIMSIWTSHPDTTPVSAAYASYFMTYMSLGTAPLIMSWLSDILPQDSEART LIVGYSIAGVYAILTWSQVLIWPASQAPYYHYAWQISIVLWILVIIMCWMLNIIDVRI LL NEUTE1DRAFT_50233 MEAPNPAEMTHLFGTKYFEPKGIVKTHLIINVCLVTLTLGVIGL RMFARFLSGAKLWWDDWLILAAVPQGIGMGVGYPFTETYMNLVPILKLLVSYELIFAT CISTVKLSVMFFYLRVFVNDGLRTATKIAMTFVLLWSTGNILQVFLICRPFRAAYDPM SAPDAVCGSQKASFIAIGAFNVVTDVAILTLPLPTVWGLKMSWTARAGLTGVFLIGLL TSVVAIIRIVTLVGLDMNNLTGTMIYADFWSTVEPNLAILCVSMPMLGSLWARCTTRR GTTKLGPSNSDGTANFGGTKNSGFNRLKNNSHLAEEDNIGLENLYASNKDVHHQSAVA ASGEKEHRGHHNRLGNLSRDDGSEVELTAEPGSFKDPGVITVQTKWTISVDQNH NEUTE1DRAFT_48614 MVYRLVNIQRWSCGPNSPSPFSLPSKLLGFSCCFTGGPDGLVGN KSRRRLLLPINRAFSHITAKCGLLWHQTCGAF NEUTE1DRAFT_148593 MGSVAVPLAGLEESYDYIIVGGGTAGCVLANRLTEDKDVRVLLL EAGDDRTTDPLVLTPGLVAGVYGKDEYDWNFQSVPQSTLNNRIINQARGKMLGGSSAL NFMMLVYPSRASIDAWAAVGNPSWNFEALKPYLKKFATVDVPSASTKDICSITYNNDT VAYGDGPVQVSFGEGYGVTNKGWFDTFESLDLLSKKDARDGQALGAFQNASSIDPATK TRSFSAVTYLTPEVRARENLKILTNTHVNKVLFDTTGPEPVATGVEINVNGIISQVSA NLEVILSAGALQSPQILELSGVGNKEILAQHNIPVVVENPAVGEGMQDHPIVCQSFEV KEGTPSGDVLRDPAVLKALLDMYTADRSGPMGQLTISVAYTPLVNKTGVISDEGVKKL FAGRDFSNPARAAIRDIVTNPNEPTFQYILFPTQVHIADKPHNMATHLIPVEPENFLT VMTILNSPFSRGSVHIQSSDPKAAPVWDPKYNHDDLDMELLARGVQFVEHLVAPESAL GKLLNQTGRRLPQLKGDDLETAKEIVRQRQISVFHVSGSCSMRPREQGGVVNERLQVY GAKGLRVVDASIFPIEPAGNIQSVVYSVAERAADLIKEDRKAVKV NEUTE1DRAFT_140522 MGAIQAAISVLITIWIGVLAAQFDLIDDGAAKRLSSMCVTIFLP LLLVANLGKQLDSDTAMHYLPIVVWSLIFVVLSIVVGKLSVRIFKLPAWTTPALAFNN STSLPLLLIQALDAAGVLKNLTSDPNVVEKARSYFLVCAVISNTLTFGYGPVLLDQDD GGQTDSDPESGRDSGEEDEEDHNGSGSNSDDSSGPSETTSLLPKKAVRFAKTTARQIE NAQNKTYNALPKPLQKAVSWIAPFFNPPALGASTGVVIGLVPALHRMFFNDSQEGGYF KAWLTTPIKNTGELFVTLQVIIVGVKLSLSLRKMKEGDEGGRVPWPSIVFILAWRFLV MPALSIPIIWVLAKKTGLLFDDPVLWFTMMMMPIGPPAMRLVALADVNNLPQQAKMAT AKLLTISYVATPVIAFSVVGALRAAQNVADA NEUTE1DRAFT_48632 MSPSAVESVQQTVDEIKTKVLPIQQKSAAIETDSLEAESLPKRF EDHKEPLELSGALEQFENFEVTPVIGREYVNVDLVEWLRAPNSDELLRDLAITISQRG VVFFRKQDKLTDDLQKELVDRLGKLAGKPATSGLHIHPISNASREHGVQDNEISVISS AQAKSLYKDKYLFKGFGVNSGRQSAKDQWHSDITFEPIPSDYALLRLVQLPKTGGDTL WASGYELYDRISPTLRSFLDTLTAYYAQPLFNEAAKRNNFSIYSGERGAPENVGEILE AIHPVIRTNPVTGWRSVYAVGHHCQRIHGLTDEESKHFLNWFVQLIVQNHDLQVRLKW QNPNDVAIWDNRSVYHAATPDYVFEEGLGERKGNRAVSLGERPYFDPQSTSRREALSV KSRLGL NEUTE1DRAFT_87342 MARLFRSFMLAAAASVTPVWSLCSHNTFLHPREEGKAVEVKKFG YIGVTGPLFWSSLAPENTACSTGRRQSPIDMTGTSFNMVPSSEIQIDIPDFTEGTEFE NLGTTIEVIAKGGSMTFGEKQYTLQQFHFHLPSEHLDNGTSRAMEMHMVWQTENQELA VIGTYIDVATEADAPPARFRIRGSRSHREQEVIMPPSTNETAPVRPVRPDSPVSDAPT VLLETIFSTVDAIRTPGTVTQTPPLILSEVVNIWKSGHFQGYIGSLTTPPCSEGVMWL VSTQTLRISPLTFENVRSVIGFNSRFTQNAPGQDNILAKAGCAAAGTV NEUTE1DRAFT_148596 MVRSTPAIRTALRPVVRARPQRAQQVRFRSTESTDSARDKGPVK DFNKTGNTNKPWLYAGVAGLTLGGIYATMMGNPDKAAGAAQKIDQKLDFVTEGKSAPH TPHVGDRK NEUTE1DRAFT_124505 MDKQTLAALLKESQIPDTQRVKAITAELQKNYYVHPECLLLLIE VFATHDDLGVRQLAAVQAARLVTKHWGKIPKAQKVQVRQVLLEATLKEQNPKCRHTAS RLIAVIACFDFEEGEWDDLRDIAMNLATNADVNQREVGTYIIFSLIEANPTAFEDLRK LLEIFNHTLRDPQSADVRINTMMSIGACLLMFDPEEDPQAVNALQTLVPSMVDVLKHV VESGDDEKIAQAFDVFQQFLACESSLLGKYLKDLVKLMIDLGGNPQAEDEVRAQALAF LAQTVRYRRMKIQGMRDVGEELTIKSMVILTEIDDDEDEDDMSPARSALMLLDQLASD LPPRQVIVPLLNAFPKFATNSEAGYRKAGILALGTVVEGAPDFIANQIKSIMPHVITL LNDQDVGVRHAALVGLSRLADDIASELSPYNEPIMTALVKNLQASMAASNDATQAKKN VEIIRSVCGALDSMSDGLDAEFMKQYAGELVANIGALIGHEDYKVKVAASGAIGAIAE ALGEEFKPYFDNIMHALGAYLTIKETEDDLALRSGVCDSVGRIATAVGAQTFQPYVLP LMKSSEEALHLDSTRLRESSFILWSCLSKVYEKDFSPFLPGVFKGLFHSLELEEEEIN LELSEAERAIAGTDEEIITGGKRLKVKANDDEDIMDDEEGEDWEDIGISPEAFEKEVA IEIMGDIITHSCSGAEIKEYLEKAVEMISPLLEHPYEGCRKAAIATLWRAYARVWQLM EEETGSNWEPGLPLKTQPTVTLVKLGEIVTSATLKVWLEEMDRAVVTEVNRNVAATLK ACGPAILAQGDFMKETISILSTIITRSHPCQQDLGDEDEEQEVEGSSEYDWLVIDTAL DVVIGLAVALGPAFSELWKIFEKPILKFASSEAENLERSTGVGVIAECAANMGATVTP YTGKLMHLLLKRLSDTDNETKSNAAYATGQLILHSTDSNTYLPQYETILHKLAPMLQI PEARIKDNASGCLCRMILAHPDRVPLAQVLPALVDLLPLKEDYEENTPVYQCIYKLYE QGEPTINSLTPKVIPVLESVLSPPEDQLSDETRELVKKLVHQLYSANQALFAANPNAL KLAGIL NEUTE1DRAFT_15323 FAYYKYDPSTAANAIFVALFSITAVGHAFLLARNRTWYFIPFLV GCLFEAVGYIGRVISAGETPDWTLTPYLIQSLLILLGPSLYAASIYMILGRLTCMLEA EAYSVIRVKWLTKIFVLGDVFSFLAQGAGGGILAKATTPKDQDLGNNIILVGLGIQIA FFGLFIITTIIFHLRIAANPTAKSYSVAVPWRQLLWVLYVTNTLILIRSVFRMIEYAL GWDSILMKREVYLLVLDGMLMVIVSVAFMRYHPSKFLVGYKQV NEUTE1DRAFT_68669 MDRPTRPPSLDVQLSRQKKRLSLLGRPSPAYGEATSARSSLVSP TQRNHPVRNSSSSRLLPGLGLPDYPRQRYTYHASGGLRTSPISEDAPSEGELASEISA AILKETEENAAAEQPSTTLKIWGAVARCLEEITVSLGPPNSSAPAVSAPPSIAYAPQL RATPSVRRMSNSVQSVGSRRTSYDVGARNDLPSRHRRVMSSSSIRSGRQERDLEATYA MDGQGVEGYSCPFRVRNPVRFNIRDHEVCAMTSFESLADLRHHVMSYHRRRAMPHQCQ RCKVGFPTQKGLDEHLMLPKDEMCDTVPAETPRNPEDGISEDVDRTLASGNGIRTWDD LWRLIFSLDDQIPSSDFHPVIELAEVEQQFDEGQEALKTHLQETLRLFLPEAIDDAYR NFLTGQLELVFEAHKANTIRKCLHRIHGSTNNDTTGGQGTSPEDKRRRSSSGAENVNL ARRSTRRNRQSAIFSGSRAQERHSSSTSDGLFSPSLLPPWQSRRGSNAPSNISNNNNK PASRRMSDATGGRPNLTGNPPTSPRRLSPHPTPTLSVSATVATNESDDTAFKSPTTPA SSPGPAPLIHVAAQGGGASNFSISHDSGCACTSPSACRCNELLALGIHHNSHNSHYHG HPPARPKTAPIPYRERMEELSVLKHRLRHQPALQVRTTGIDLTGGLEGRRAWTGDVRM YDEEDSDDDEDSNNVFLRPKTGESFDLAFRGAMSARDVRESYSPQSFKERLLSRGSSV RGVKQLEVEDGVGEKTQGQEMGLNGSGSEGTSSQRGSWMG NEUTE1DRAFT_103660 MGDTLEKPDFRPILNPTKQRPLGTPPHKETIIKDAFRLRTLMAM GALAQIVLFAILPYRYAVVPALILTLHSIGSTIGQMFLCKTNENPYMTGVTQGFTSSQ PPSWQTGRYPNAPCSSSIVVFHLGVSYNHPLGPACPGAYELYTHFMNMIKAIDEKKEE YGLLGMSSWKQTKRATHNAAMTVFYFRDIEGLHKFAHDKEHRDGWDFLHAFRKKGYNH ITALHETFEVPAGAWESIYLDSPPILMGDTTIRVTEKDGSATWYHSLVDASVRPLTGM MNRMARGKATAREGYA NEUTE1DRAFT_124509 MDSEHFGGRTDDDLFADDFEPVAPEEQVATMSTETGTPVQDTDI TSHASPAVESPQPLESAPVQKSTPAPQAPKGLANSRHAPKGLEKQPRNNNRHPKANNN VNNNVNNNVNNNVNNNVNSNTGNNSATQSQQQQSTSSTPPSAPKEFREKEARDKEAGR NTASVSSAARIGSGANPRTKLTEDELAAKMEKMRIMAAEKTKRFEQAQRDENEHAAAY AKGMEEDRKRRAEQAAKRKAAEEDRKKMEEEREKNRERKMRAMGAKEGGSWDEGKEER MREEDRRGYRGVRGVANTQSWAQDPADGNEQGNGYRGGRRGGRGGFGGGRGRGGGDGY GGRTLFEPDPENETGQRFYQRDNNRNRGRDWKADGPAAPRAERSGPGSGAPKADKPKL TPDEFPALPGSKATAPQVSTSAPLSFASLPLSPAVGRWDDEVEESISKKAAQAKEAAN AQAKSKEN NEUTE1DRAFT_87357 MAAEQRKLLEQLMGGGISSRSAQLSLTDPKVCRSYLVGTCPHDL FTNTKQDLGPCSRVHSEALKAEYEALPEQEKKKYGFDYDYMRDLQKYIDECNRRIDAA QRRLEKTPDEIRQTNVLLKAISDLGTTIATGLLEVEILGELGEVGRAYDELFRVRQAQ AAKQEKERELKALSDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFFGKMHLGYAQ MRKTYDAFPKEMRGRQRPMGMGGGGDDDMGGVPTGPGGRHDDWGRGRGPRSGGGGYRG RGPRRGGW NEUTE1DRAFT_68676 MCPSLPSSFLLSLLSLLCFSPYTALAIASSPADSISNSRSDYHE QLILRPLPLSTLLASFNFRSNTSLSAFEAHNFRFFPRSLGQILEHAGTRELHLRFSLG RWDAESWGARPWNGAREGGTGVEMWAWLEADTDEEADRKWLTLTNALSGLFCASLNFI DSTRTTRPVMSFQPEGNHIDANATNMHLLYGVLPHEVVCTENLTPFLKLLPCKGKAGI ASLLDGHKLFDSSWQTMAIDIRPVCPEDGECVLQIEQSIDMVLDIDRSKRPRDNPIPR PPPAHEMKCNTSKPYHAHDTCFPTDSAANQDWSLSQIFGKPIKGTCPLTDPTVSPVCI QVPDSRIVFASEGAVEKKNPDQTSRCFDFPAENDFEIFLPAPEAQDGSVVIEHVAKPE TPLLYAERSFTGHGQERGGVQTILRNPSPDQEVEFIYMESLPWFMRIYLHTISARVDG QPGKADSLIQEVYYRPAVDRARGTQLEVRMTVPPASTVFLTYDFEKSILRYTEYPPDA NRGFDVAAAVITILNKDKSGGNGRNSRFAAYSLRTTTLLCNLPTPDFSMPYNVIIFTS TAIALAFGGMFNILVRRFVAANEGPESGLKKGVNKLKAKLAGILKKGRKESAPAVAAE EEKKAW NEUTE1DRAFT_49030 MDIIITGAGLSGFSTALSLRRANPFHRITILERSSHYSASSSTI SSKSYTSHEVGAAVNVPPNVSRFLCHPQPGRGWGLDPLKQKFVKSEGMLVMNPPTMEQ ILGQGLDHSRNEEVWGGGALWYAHRVDLHGGLLGLVKGRKGWVRIEGGKEVVAYDPSK PSVTLSDNTVLTADLIIAADGVHSRAPEYVLGHPNQPQMLPDPKLNTCYRFLIPTAEI ADDPDTEFFLDEDRKEAKVCRLWPDVKGRKRVIAYRCRGGEVFNFVVMLRDETSTAKR EDWHAPVSKSEVLSKLSDFHPGILAVINKATDLKRWPLLYRPPIPTWHKDRLVLVGDA AHPMLPHHGQGGAQAIEDGLVLGLCLGDLSSTSSPEELERRLQVYEKIRLNRASAIQV MSNVGFDEEAPRELEGYLTEEGWDGRVPKNMKEVVELEYGPDVVERTVKTMKDEVDAG WEVPRGFFPGFKRQ NEUTE1DRAFT_87363 MAAITVHSTLKPGPPVPFVPKEEGAISWYACGPTVYDLSHLGHA RNYVSTDIIRRILMHYFDYKVNFVIIIKARRKRLLELEKEKPYTPEQIHDLIFKAFQA YTKSLPLLAPEDAAPLDEKNYEERKQAAYGVVLAGGPLVPETKPGDAEAKVKMHLNNM DAAAEALKNGSGLDAAEDVLLPYLDSLYKETIDTSDQTIFTDLTKTMEKAFADDMEAL NVLPPDVITRVTEYVPQIVAFVEQIIQKGFAYEADGSVYFDIGAFEKAGNTYARLRPE SKNDTALQEEGEGSLSTALGGKKRSGDFALWKKSKPGEPYWPSPWGAGRPGWHIECSV MASDKLGEQMDIHSGGIDLAFPHHDNELAQSEAYFHDCCKGEHTWVKYFLHMGHLSIA GSKMSKSLKNFQTIQDALASTYTARNMRIVFLLSRWNDGVEISPDMRKQADNWETTLD NFFTNVKARLSEVDASSGIKDLSLSEDAGARGLLEDFEQAKKDLDAALRNSFDTPTAM QVLLKLVRNANIYMNSSNPNVQALESVARWVTKIVGVFGLDASAQPPYDGLGWSSATA SNKANVDPQTAVKPYAAAFNQVKQEVAALDLAGNESIKSLLEQQNPDSEFAELEKSGE KDAEKLALPFIRATSKLRDELRATISSGVALDPKVKQAILALSDRIRDYDLTDLGVQL DDRVDGPSLVKFVPASKLIQAREEKAALLAEKARQKEEARKAKEKAEQEKWEKAKLSP QDMFKSDAKYTEFDADGLPTKLADGNEVPKSQVKKLKKEWEKQKKLHEEYLAKFGSA NEUTE1DRAFT_87366 MAHQASQPHGPLQLVRAPERPEFTGKKSVFLAGTTSKMGDEPDW RDTLSRQLSRLPITVINPTPPNWTDWPEDISFKPFRDQVEWELDMQERADIVLIYYGP NTLAPISLLELGLCARTGKAIVCCHKDYKKRGNVQIVAKRYGIELLENEKDMAAAVIR KLGSLDN NEUTE1DRAFT_68683 MKRTGHSRPSPSSANSGPKLPKPQPKQEPDSDNIAMGDIQDSDL IKAQLAMDALSASRATRSKTQAPPKPPTIDPSTEALDASAYKVWKDQDTGKLLPTCGA LLPPGYELSDHPELPWICPVRSCRALLPGLPNLGRHFLVLHRACRLNDNLDGTLTDLG RYANPTPGNGRRFGGTTKSCIIVSKKYRSPAESPMVEPKPYTPHAHSKSLPVQEVPKR ELRRRSPIDESETIQISAMSDDELDPQDEAKGAVGPSNEGPTEKILVTAKSGRPYNMW PVSRGARESEPLAEPQISQNYVNRMLRQHRRGKSSSTGSSIGETIIKDSRDLQEMHNT ERTSSPALHNVCVNDNQDGTFSIVEGRSKTGPRVVSRGPMNYDESPLREAHLPNKEHR RVVEPDISTDDDENQNNEMPGRISQKRTLDEITTSPPTPEPGEEVGHPERLRRYITSQ IGGNFPEIEETELEVLLSLPRRRDLKLKHPLPVTHTLAPKQLTAVVAQLTGREAPKPC TACRRRAGPFKGCITIIPKISVKLRRLVSVSYRNACANCLYGNTYNACSIKHLNKTEE RATRVVAFGNGGDVEEAGDEAGDEAGDEAEDEADEEEEEEENEEAYEYDEQLGATVIR RSQRFPSIAAAEESEADVDGVPSPRNVITLKDPSPTCASASLDVAEEEQEDVEYRRAS KRLRTREQTAVPTSIQATAAFASSLLVSKEDLVTEDWERGSRGVLPRRRHPSENLVYS SSYLSANQTIQICKSTTLTALTITSGSTQRLPADQTRTRICTLVSGKLRVILNDGEDE FTVGPRGVFKLSPGATCLPENGCYLNAAVHVTAVADR NEUTE1DRAFT_118101 MQVKEMRRFIDVRHPKGGCQKSKQNSARNTKSGCDWHAQPLGSG SPTYCMLIHTYVLTHIKMVTCDR NEUTE1DRAFT_131811 MQPFLSGETTLALLLTVLTLLFFAAAGDEHPYEPYHHSNLPLPA EAGRSSVVDLASCEQPQIQRKQELVIRLEESLQRRVTAKEEEHHQRKSAEVEMMMKKP RGAISFQANTTTKPPRLSTSTSTFITSRLLRPPRNLQILAALLSHGRRRSLLHTATAP LSTVLLKSSGAGAADMSWLLEALLGLWLVLPLATTKKTSSLRCPTRSKRRKSVKFVAV GGGGHDEVDLIGGQQQLGQKRQRETCGGSGGEETDEIQQQQQLQDLGLGLTRSVGERV VMVC NEUTE1DRAFT_87368 MTRPESQNGWNKVLPTTHSGRVERMSHQELEAYEKEIIKEWESS PGHWSGIGKHTDTTPEHLEKAKRYHDQFKSNLNYQLGRGSYGVVDKVQLAYKHRSIRL ARKYIPQRRNMLLETLRREAKVMDKLEHEHIVKLIGSYCYRNVPELYLLLWPVAVCNL DDLFNDLHLLQTGQDDRDEILFRLATLDLTDLDAFEYTYLPSKHVSPSYGNRCLFRYM RQMMGCLTRALAYLHKSDVRHLDLKPGNILLSPGRVYLADFGIARDVHDRENTLTLGQ QGTPKWRAPEVSRLSDEWSMRAADVYSLGMVLLNISTVVYGAKIADFDRVAGKLPDRG RDALLDQFLGHLEMHALATQEYKDSEAHTVGPKHTINLIRRMSSTAPSERPAADEADV ELVELGGIEQVYHASCCKRSSRFLTEKLDSRLRRVTEDAKRLRDEHEKIIKRLAELEA KDATYEARIRNETTHVAERYKQKCEQLKKRLENESEERKRLEASLMELQTGKRHGVPT ASRRTTTSSSVSTKAIPAPSGLKMPSRPPTYPLPTISSSAPILQTLVKMPTPIADRTA RPSYSQAVSTGTSSIPVPQTATRRDSVRRDSIIRPANLLNSPIPAVGPKRSPTLEVAP ANYSLRSSTSASRLPRAVNPATPIRSSTPSTPRYNRNTSSADSTQHSMTSSTLSLSRS RNDDLSMPTTVENTPNVYSLSPGRIAKESDEMVPRLADSDSATAIRVDGVGLGLGYEE DIRRAESVASQDDHEENEERDMRDDSSDVSFAPSTSTAPSIGRDSGRTAIQRKIPSMP TAPSWADVARTKPQLQI NEUTE1DRAFT_148609 MKPMMRYPGGDLAILGVEAYPDPLAQARARSRLTRQSRSTVTSQ RPEEGGPRKRPRLQEEEVGTREKDETKRARGRPRLDVNDKTAADRRRTQIRLAQRAYR NRKETTVQTLEKQVESLRQVNREMRNAFMEFHDFAVASGLLDNSTELGRQLLAAKKRF ITITQASGDDIDADDHHEEGWRRGSTSTTESIGSHRRRKEPLQPESQRNSPEYAMEPS ATESPPQLYPGLTITDEPISQSNLTTAPATDLSLSIPTTSHAAEFLPTTTAPSISKAF DFATIDFDLDFLTQQSLAQLHPPIPSPYSSLPSPLSYASHETTFGRRYQRFAVERAHM LVTMPNPPLDQLNRTFGFCFLFESPDMIFQRTCRAIARRAGESLYNWQYPFYHLGGAG THNFHQSGPGGPLNSTLASEAGTRMPLGLQVGNQGTIDLLKPHAELTAGFAMGPFPPA VNSARDNFLDKEMKMYLPGFEGTYYDCDEVEFYFHQRGVVIPPGADSYTVEIYPAQFG VGGSGGEGPGGPPGSGSGPLKELDLAYLDSLGGQNCDPPTTAAYVESLPSSMDFSSNS IISDDLPLFGYQQQQQQQHCTTTTTNKPLSTGAPQQANDSFDFSEVSPDNNSNNMFNL SSRSSESSSRSATENTTTITTTTNTTTSFDQPLPVPPKQPQQMWNQRMLVTIDVNQLV NELTERAICLGRTPGIRVEEINAAFWKSLSMAVTL NEUTE1DRAFT_118103 MVMDGKPDRSAEFVREVSHGNEGGFGNKYGRRTEDELVLWGWEG DGTGESGEEGLVLSCAKDSGRKRRRSSGGVGITNHQYFLERKGGKRTFRPKIRRALTG NEUTE1DRAFT_131814 MPAFIKPGCDSRHRFACKALFRALLRTGYRVPLPHDVATALDEK QNPIRALIRNGFRRNSSETSLRLVNSALNKGYRFLELLTLARDESSPAHADVLRFLRE NNERVLAIREKKRQEAEKFKKFAPNPDAIPLLTKIPSPNGKGPPTFVPTVRPLPLEKL SGGVRKLPTLDELGGHPFLRLRKPQSEYLGRVLRQKFQRRQRWAEKYHELVSEELADA EQEDAWDDMVEEMLQGEPQPWEEPGYYATDGAGRQRWTQSKQSARRARTSHGSYAHAV KLAMADIELKQKREWDEMIARGKALWKLVLEERTLAEKEKKSRKKKEKVQRKRERRKE ARRLRRIAKMKETLRKWRVRTQERKKKEGTERKKLQMDQEARQLEDAKSPELATAEQE AGKVETEDNTPEEGRLRGSQALKATRQPKRTNVQTVADVKGTESPTVPAEPAKEPASS LEETSASLEEKESEPDPVEVQLQEEPTPASPAPKKRGRPKKVDSETLATEASTEPDTT APKRRGRPQKAATPTEEEAPKKRGRPRKAVAATEEEAAPRTSAQITGALHAGGVARDT FPPTAIHPTGQNQIRILL NEUTE1DRAFT_118104 MAVAQVPRNFKLLAELEKGEKGMGAGACSYGLEDPEDILMTHWT GTIWGPPHGNHENRIYELKMECGANYPKEPPVIHFVSQINLPGVSQTDGRVDPNYVGI LRDWTRISAELSRNPRPKEDPLSLEAALIAIRKYMEEHKKLPQPPEGSKYPMYK NEUTE1DRAFT_50093 MTAQLVASELANLIQESKRKNNDLRQAAEKSLEELKSLKVTSEA QISAELSQRSNFVNPFIIACGTKNVKFTGIAIVCLQRLIASRALPRFKLSQVLEALQQ ATSAGLDVQLKILQALPSLLSNYAAEVKGELLVTALNICFILQSSKNAIVNNASAATL QQLVVSVFDKVVAEDGAGGDVEYVGEAPSQQGPVPVQAAAMDAYRYLRFSGMPQTFGL ELIESVLTNHAAIFTTHPEQADILRTRVMPFIISALRGKPNFATSVRLVRILFTLLRR HLTILPSESGDALDILTQLLDQDTALWKRSLCMEVFRGIFADHALLRRVFMLYDAKEG EKNILKNLTAAFVRVSTEKPTVIGLGLQSTLPVANPYANAVASTDQAMLEASGVTGII SGSVSSDGHNTGISTHWSTMRSPCIDQLDKTDPPSIPESYVYSLTLACITSLSEGLAK FILPLTVPTEGRRKKSVKPEGGRDSPALTADERPSTPSEGLKNRERSASSKRNPLPVN PLTLETHPLYPEIKVCAAFIDECWPAILATCSTFLYSALDSEYYHGLVRAFQKFAHVA GLLQLTTPRDAFLTTLGKAAVPPNVFTACLNSNSSRRDTPLSATSETGSSLFSNARGL LTTESASAQAAEKQRQQSMDITPATLNTRNLLCLRALLNLGIALGPTLSSTWRILLET LQQADFVLFTSGKAAGRTPLATRGPDQQAEQEANVLLSNFNTEIRAVETAASRLFEST ADFPNSAFVEIVEAVCALLVKHVETTSETTGQAQLSPPPGPLRTPTFTHKRVLSITTS PGAGANQEDQFALAKLGDLASINMERLLSYPPEVSGWTPLITELIDTLSSSTNTPPVR ARAAETLVRILLEAAGSIATQQEDVRGPIQIRILEAFHNSLSSLRVEGREVSLSNHAT DMDIHRIVLEGLKGLLENCGESLVQGWDTIFAIIDTIFVKEDLPKDSSSTTTPRLMTR SVKLIRPSFASLQLICSDFLPSLPNLCFLNLVDTLYKFCTQDDDLNVALTTVTFFWAI SDFLSSNSKSMSLTQDMVNDSGDEALLKLAADPSHQDSGGALWMLLLLRLTSVATDQR LELRNSAVQTLLRIISAYGDSLSPEAWLICIRSVILRLLASIEDELRAVHTSPAKARD QEGWTDTANVIIRGVSGLFSSYLQVLLGHEDFATTWQQLLGHFARMLDVQILDINASV YSAVREILRSCAEHVQPRLGKGSLDLTWDLWSRGIPVPEDGKDDKSADNQKCLLVWVE ALLELYGLIKDDFGVERIRRMLTLLRDAMQHATPGAYASDTEYVTPLQGRILQVFCTV QTDVPRVPSAMITQIAEFVSLAFAQENPDKAASEKRTFVAMSKESMSILQSLVIKHAA ETDIYETGAFAAALAALAKPIILKYKFKIVTKSSQPWREATKTVLAVLKATLPHIRAI DKARSTVQEIWQIIVSIANGIISADCSIAPSGTDIMDDQKFDISSFHKLRELIIPALG AEVILDKTRKVYAEGLFRTSIIHAPAPAEALIIYGSEDHHHDNTTNGNPNGNGSDAVV DLDLLYKQRRGRTIDPPPTHRSAMAEVCLDELFALVAIHEEEDESPPSKPTSTSSPTQ EPIGTTSSSSSSSPPDKTTIHGSTHPLYVHLALTAAPYLILRCALSIRSYVADQPLRG CMPQPLSQRKELYRILRGLVDLRSEPDAIPDTPNVDSETKKHLLRLYPLLVSMVKVAG TSGDNRVLGMVGEALDVVGGELGCK NEUTE1DRAFT_68698 MTMTAATTQRALAPLARHQQRLLTSATATSARSLSTRRRTLLPA STTAPTSSTAVSNFHTSANHAIPTGGFGGGGGIPTYFQKPSLPANTIIRFVPQQTAWI VERMGKFNRILQPGLAILIPFIDRIAYVKSLKEVAHEIPSQSAITADNVTLELDGVLY TRVFDAYKASYGVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERAALNTNITAAINEA AQAWGVTCLRYEIRDIHAPKPVVEAMHRQVTAERSKRAEILESEGQRQSAINIAEGKK QSVILASEAMKAEQINRASGQAEAIRLKAVATAGGIEAVARAIAEGQGAAQNAVSLSV AEKYVDAFGKLAKEGTAVVVPGNVGDIGGMIATALSVYGKVGDAQAKAMAKQLLEKQQ QQGEQQEADSQKALPGGVAKQESPVDDIVKGFDQATKQR NEUTE1DRAFT_148613 MADYHELNHLPQGQGEYDERLHFAPVSETTSYNGASIEDVSQKG LDKSMTAVAYEEVAPEWPQGPQKIAPKGYIWWIGTVGDFILSVTPVAFFVIAALAIQL EGQPTYNNEHGESLVEATKLAPTIYPIVFAAVASRFYKILGLWSTTRSQGISIGALEQ ILGSQSFAGSLFRLFSVRSHISLGIMILLTWALSPLGGQSSSRLIFTTIQVSDSKALV YYSTTDSESAFSSASGLQVASSATNSLFTSSLMAAEGQRRSTTDLWARPRIPRLIDPS NDDWQTIHQAAMEEVDYASLIGIKILGLANFNATTSYSLTAEATYNDLDCKQVQSGAS VNETLAYIPADRWTTPKYYVDKKNDTTGKRLPGYTEPIGSPDNKRASFFVTSDYSTPE RTSGGHLHIMFGSHEGDHTYTLYNCTLRNVPVEVDILCSSPLGCGAQRVRRSKSPQWD QGDAHSPFTLEMPVVHNILLNFPFAAGFFDNGSPTYQSSIDNYLRGITQFPFKLNYLS PWPTNITDEAFSKRLTLLLNTYYYASLDPFTATDSNYSKFPVDTDHIMSQPVYPGPYL YSGYQGASMVMVNNATESTRREIYGLNRQWVAILILCTSILQILSLAGLFLRLTTPAP DILDHASSLTRENPFIPVPKGGSAIGGPERARILRRMRVKMADVRPDEQIGYVALVAT TGMLDQGVNAHGEVIPTRALSRWKLYW NEUTE1DRAFT_112750 MALTKKLLSARVHRTRFNIVHASQVNRKSVIDPISSRHQETPPR SKVRPIRLLALHHGAILALPSRKTKKNDEESKPEKKRKKSNVPRAAMQRASPDAGHVG HVSGYHGL NEUTE1DRAFT_148614 MAYTPRHSHFDNEKDLGPDDSISVVSTSTVSDSQPCTMNIRTPP SSSASTSTPNRSSNIPYITRNSAPGPGSTYIIVHKSGDVIKALTVIRGRLGLVTPLEL LPNPPVLDAVQAKTFAGICNWHWHCEESDGWLGFRNAATGLWLRSSSICFDEDKMPEA VHLGGILSDATGSTLGNLGVNEQFCVRKAVDDKGYVLLKRVDHKKTVYLLPVKVVSVR AAMGPAAINPKTADMDKQRMTWEFVEVGHEFTPETRITCQPL NEUTE1DRAFT_148615 MDNPTPSDPLQLHVNRNPQRESTAPPHHVLPTRAFPARLKPSSG RSSYLSYLPSWDPSPGRSCPTSNVGTKTVAGFRNPWPSWCTPTCFQVWHHLSWGEDQD PCVVLAASHLRSFPVTDKLPEKRKRPLFNDVKDWPDSAGAKAARLLRIETPDFSFDSS TSSHAKVTWLGHAGVLVQLPPPYAAKESRPIRCLFDPIFSMRCSPSQRAGPIRAYPPP CRVQDLPPIDAVFISHNHFDHLDHDTIMAIWKSSKENVRFFVPLGNRTWFVDCGISEE RVTEMDWWDSATLCSLPGKGSSATPSIQIWCTPAQHSSGRAGVDANSTLWSSWYLRRQ SPGGIYRIFFAGDTGYQFHGSSDWPPSPPSGKNTQKPNTQVSDHTEYPPCPAFAEIRD RLGPPNLLLLPVAVGSTYSYVRSFVPLPDWINPFPRHSVGITAGIHMPPWDAVRVFKL MTKLLDKDTTNEDASGSSDPGKTPNPHPPVAIAMHWGTFVTEGAEVLKTLGQLEWACQ QQGVHFARSLPVPDKESGTHESGERPRKEVPVFVALNHGQSVAPR NEUTE1DRAFT_49141 MGSSYRTEDNGKISDLPQLHRYITGHNADGKAVVHSQNDFEWKS YAEGELAFSVAYTTSEFPVNLNKDADVAEHTRVLESGNLGLVNPRGTVFRCVDFAPGY ACGMHRTQSLDYGIVLEGEVDMVLDSGEVHPMKRGDVAIQRATQHQWVNKSKTEWARM MFVLQDCQPLVVGGQEYKEDLGTNSGLPASGN NEUTE1DRAFT_103681 MTPKPKESTDPNGSGHLHAKLVNDAESVLCQFSLPVCSTKLIDE VMNETLSISSGPAQWSAYHQLVNVQRLQLESLFNCVLGFSGAACTGLKGGGGGGPGTY RSAAVVRSDILRSILLSLASLTFLRLSTTITMATHGPHLVGPGPRVNGAISKMQLGSA RLVNDEGLVSLKADCELIVRLITQLTAPPPGKKTAKSDSE NEUTE1DRAFT_103682 MASETNEEGGPGQIYAKFVDDAEKIMRQFSTPVMSANLLNKMTS QQLWPDTAPAEWSTYHQITNVQRLQLEKMFEIIQSIDENACSGWQPQRIWTSTIVTMG TYGKKSFEYNGKKELAAQLVSNEGIASLKADCALILKLITKLTESPTPK NEUTE1DRAFT_87389 MICERVSSPWDRWIDTSIKINGADIGVEAILLGAVTAIGGFLFG YDTGQISGMLLFSDFKNRFGQITKPDGSKEFESIIQSLLVSLMSIGTLLGSLTSSYTA TWWGRRKSLTFGVGLFIIGNVIQITAMHSWVHMMMGRFVAGLGVGTLSVGVPMFQSEC SPREIRGAVVASYQLLITFGILIANIVNYGVREIQEQDASWRIVIGLGIFFSLPLGVG VLLVPESPRWLASKEDWEGARMSMARLRGLKHDPHNELVEDDMKEMREVLEKERTAAV GTWKECFIPNKNGVPKQVYRTFLGIGIHFLQQWTGVNYFFYYGATIFQSAGIKDPIQT QLILGAVNVFSTLFGLWVVERFGRRWPLFIGAIWQASWLAVFASMGTALEPDQNKTSG IVMIVSAALFIASFACTWGPIAWVVIGESFPLRTRAKQASLATAGNWLGNFMISFLTP LATDGIGYAYGYVFVATNIMGALLVWFFLYESTSLSLENVDLMYSEPGIKPWNSHKWM PPGYITRMQRDDEYFHHPEKGSDGLEITNGSKEMHPHEEREEKVVNHRV NEUTE1DRAFT_48806 MSGWKTIHTFDSVQGLAGIAEPAEDLFVVVGGNITLGGNGTSNN ASGNGSPFFAWTIDFRYNTTFHGITDGQETKQNINITQIAQLTSALLPNGVASIPGNP DAVLIADSFAGLVWRLDIQTGLHKVAVKVPEMSAPTNSSGGVVGINGIKMHLGYLYWT NSATVKIYRVKLDRDGYHEGNGTAAVETVADLSPQATFLDDFAIDDDGVIWVVTNSDN TLLAVKEGKKAVLVGGGKTELTFSGDTAAAFGRDHRSRKTLYVTTCGGLRAPVNGTVT EGGKVVAVDTSGFRL NEUTE1DRAFT_87391 MWCAPGVTNFLEDTPGFHGMVRDSVSLLTNDPLTVVDLNLNDDY PVPHQKQLIPFNEAWGETNQYGFGGTSFCETDAEAATAALYYLVNVNETGGLLGAGVA KVEVINNTPTVTQRFGNKGFWWNSNTTAKYGDQCAYRDERSEYIYIWGGPPNSITGWP HSSYAYLARVKAEDAFDLAKYEYFWGRQQGWKSEVLTKFDAGTAVWWAVGQGQVAWNE YYQCYVLVHLSAFGDGAVYLRTAQALEGPWTPDVQVYKSEPIDGGLVYAGVQHPYLDP TGQTLVLSFTNNNHIEVIKVAFA NEUTE1DRAFT_148619 MEYKPQPSSPTRVQVQPRLIIHGGAGNITPASLGPERYVAYRSS LLTIISKAHTYLTTPTQSNYNSPSNSSKLPSALEAATYAVTLLEDNPLFNSGHGAVFT RDGINELEASVMVSRGQAKRGVGVTGLRHVRNPILLARKVLEHGRDDLLGRGKKLDNN NGDGEPDVPSAQGHTLIHGPTAEKLARQYGLEMVDPSYFFTQKRWDEHVRALEREKRE QQDMLGTGAASGVSATWSKDEYLPQGTVGAVALDVEGVICVATSTGGMTNKLTGRIGD TPVVGAGFWAEEWTEDGDPTSPHKNGSRNPLCSPGAAVVLSDALKGLIADCLPSPSLY TPTLQDSSGGLTTTRSIGVSGTGNGDSFFRIAAARTVGAIARYSSLPSVVALTKVAGP SGELQKSADDRWGKTGEGEGGIIGLELVVVRDANGNVVETRSDILQNYNCGGMFRAWI DEEGVAYARIFRKDQDISSSYVGEGRPEDPRFWSGEKI NEUTE1DRAFT_124530 MDHTRDPCPWVILNDFGGAFAMGAIGGTIWHGIKGFRNSPYGER RIGAITAIKMRAPALGGNFGVWGGLFSTFDCAIKGLRNHKEDPWNSILAGFFTGGALA VRGGYKAARNGAIGCAVLLAVIEGVGIGFQKMLAGATKLEAPAPPPSNEKVLA NEUTE1DRAFT_87400 MTEICYCDKGEHQAKLNGSNGVLHIPCSPKSSTSSLTASLETPS QPIIPKNFVWRLQGGRRASSSTASTEPDQADAMAAVDVVAKGPIDGVLRDSFDPWNRH NKRRQEGGGKGNVNGCDGNDDEVCKGCIKISRARASKALRDGKRAGSHESSTLPTAAR PNPANSTKPNPTVTVTMPGTLQHSELDDGGLKTAPDQLQELKHDGSVLSIAVSSEYIF TGTSKGQIVVWSLGTYQLVRTIQAHKRSVLCLFLSQDGKYLFSTACEPIINVWCPKTF TRLYEIYSTYDVGDVFSVVYSPQNETVYFGTQTQYIQWVGLKDEDRKVSHDSANHPDR RQHKFFDSRAVGGTSTPRRTEDYYSLIPRAETVLEVDPYAIEKYAHYGWVFCMQIAKG PTVLVDDDEEVLISGGGDGTIKLWRLNDKGPGYHEDEDTKGSIQELMVLGEDDAESVM SLFVDGSFLYAGKLGGIIELWDLDTKQRLRVIHAHTGNINAVGLRFGLLWSASTDGFA SKHSAAHTTEESNGTSQNVSQRYQCLSRWKAHNAKILSAAVATYQNNQLFITGANDNT VRIWHVNGAPAETEEVDGEHEDMMIRSLRQFVSYKTVSSRPEFTEDCRKGATFLGSLF KRLGAQVEMLSSDGPHNPVVFAKFSGKLEPAEKRKRVLFYGHYDVVPADMAGENWKTD PFKLVGQNGYLYGRGVSDNKGPIIAALYAVSDLLQAKALDSDIIFLIEGEEESGSRGF EETILKHKDLIGHIDYVLLANSYWLDDEVPCLTYGLRGVLHATVCVDSKHPDLHSGVD GSNMISEPLTDLTLLLGKLKGPRNKVNIPGFYDGILPITEEEELRYDDIASILIKRNP TAGPVDALKRSLMARWREPNLTIHRYNVSGPDGSLISSHATANVSIRLVPGQEVEEII SKLKSFLKTEYEKFDSDNTLTVRIDNKAEPWLGVPGNYIFRTLEEAVMRAWGGSSSSS PSTSTPSDTDSPSSPSSSLSDNEKANGVTLPPNATNGETTSTGAAAPKTRKPLYIREG GSIPPIRFLEKEFNAPAAHLPCGQASDSAHLHNERLRLVNLQKSREIFTTVFRKL NEUTE1DRAFT_103689 MDPQDETFWPNIRRYLSLPAAQQFAATKPNPVCSICLANPLKCY PLNNHGANATQDSDGNDLERMAILWCGHVVGYNCLTDYMGSCYANYFKSYEDQDLPRC PQCRESLTKDCEKPYVEGDHDQERFQFVIPFVIAPAGPPVPGGPSDNGENFDESNPVH FNIIDEGMLEAIAFSYNKWQDIPLTKGEGGLLPASCRCCDPELYRAAYLQYRAQQQQQ QQPMKMVMNNTLYATKGAPRLVQAPSVFQSPPNRPSHNIWKLGFCVVARIPMEDGTWE QRRIRVGGRTMYNVTLLDGTSVEIPLEPRCLGPSSWGPMRFGRHPGVPFQ NEUTE1DRAFT_112764 MQLQSLPRIITIGAGFLSYIQLVVTAAAVALPVQPEVGQSSPYA LVTRGGGGGSSIEGGYYNLCHYYPTISKIENQLRMTGQCASNQTGPLQNTAVDLNPCY TNIDGGLFPVTDGKGDFTKSCGECHIPIDAKVPPKWMRCVCERFAGDKEGKETEVDLD QHIHVFEDKLGCPGAEGFVLT NEUTE1DRAFT_148622 MEHVIVIVPCISSMPTYHQTMDESLEAHPGAAGHFAAEGAHSAF LGGLLASADTISRATSPGLPCSNGSDQDDQKRYRPRTFSYFRLLPFEVEEESHRDAAL NGILKNLYVSIMAEDFSPGAVHWTRELQGWLNLKFEMSRELRARLAHLYFHLALAPGL DPNTADRFARMVVTLTRKRHYLKPGQDLTLDWRPLWKELKGLVFPSETAPHQGSRKRA QKHIMKLCLHGSVYFDPKERRAILDELLPYFSTSDMSNAYIVAGAINTLLPTGPAPPT EPQSQPSDFVPTFFHLWSLMTRSKAFDVGFIDIFSRMARDYLSCTHVPFTEHGIFTRE QSDLIFTAILRLTEIPVGQANSPYASLDYSAGLGIYLEKDKKKYPVPYMVARWIVHSI SPLCLDQESSVMSNLEGLMESIDTFFHPSNQGAWSMFLAQLTFFLTDIFVSRWNREQS GELDIPADRRINNDLKKRFVLSLREVTFMGLFSKSSKVVNYYYTALQGLAYLEPDLML PGALQRFYPSLQGLVEVHRTTSSLCSLQMIANIMSKQKGYRCHITALLALALPGIDAN DLNKTQYTLNFIQSVAYSIPFVPLVDENNDIHDTSLAMNWVQGEMERMEREGQDVKIN YNEELSDEDEANILRSSTAGLGEFVMALLGKVFALLENLPDASHLRTGSPEDNVINTL PAALTPLFASLSPQLFDSALEKLANFVSTHVVHQARDAMAWICNALCKVNPEKTLKVF IPMLIVNIRNEIDYNGAASDRSSGTEVLPRDRALVWHVSMLSMCVVHVGSEVLKYKDE LFGIAKYMQEKCRGLPTIHISNYVHHLLLNLTHTYPIDNALYEPDVIARGIDVSDWGR TTEPSELTIKWHRPSPAEVAFAVELFESEARGATERLMQLMSDDPPVSRKGKNKEWSD ELSRSLTALRLLISGVSTLFDPVRASGEATGSSGNQDTDAAGDTIMEEDNDPLAEIAD DDELKRTFRYPAGYVLTTDDPLYHRVHDLREEVGRTLSQTHSFLNANQQDDVACFTAL YSAYRTWITDVGIERSAHPLERLTRLYKADISPFKISGLRKVYPRPLLIKRADAYQLQ RVKYNSAYRTSSELDRRLLLDLAESCTSSYADVRRVAQSAQDSSLKVIIGGRPLVIPV ILKALRKALDDMDHDRIKGAMYTLFFTTLLKTCMKDWRFAPELMRLYIETASVDKTSI QNLGATALYPLIDFGKPFERMILFDRSIADTIRPPQDFSASIQRRHEFIKERRAKVEE KKAALGLELINKAKASHWKVATRCAMFALNMSLRFETLAPPEFIELVVTGTNDPHPGL RSTYLAAFSGLCTSIDMRAVYQHSYEKYLLEQAVGDNKFEVPVPEDDPEWTQKFLAQF ENTDEIQYFVDSDYPGWLVWGKKFIASSANPEPFLGYDEVETAARQQIGKLLTRDWFK QCFEYLKQEPRDAGADRFRMSNVVLLMQVFDLMNYGQTAATFDDIVELTKEIYGDGGD KHQHRATAEIVGAMLSGSSDDPREFRDKVWAFAAPLMLKVIADDLTPDNLQYWMTCLH LTVDSKDPRRSKELIDPLANFRLDMNSNAAFKESAKIQLLEFIINDAGWHFRRDKPIL EDFLAHIDHPYKSVRESIGRVIATIYRTRYHESFPNVWSLLEQNKSASSIGIRPYEAT EDFTATIREVFDRIEKWRHERTPGQQTPSSYTSGSKTVLVWLDSMLASQECIQLVPFF PEPFIDQLLHMMDVKEDPELMRLAYHVYRHMPNIPFRSGEDDAFIAALIRVGKTAASW HQRLRALVNMQVIYFRRLFLTQPAQRQMLFDAVGDMLSDVQLEVRDGASATLAGMIRC SPERIRNPIIEQLKARFQLQLEMNPMPKRKLPGTDTPVDVQKQIIRRHAAVLGLGALI EAFPYATPPPKWMPEVLAYLATHAASDPGVVGKATKGILAEFKKTRQDSWTVDQKYFT SEQLEDLEGVLWKSYFA NEUTE1DRAFT_124533 MPSSKGKPTDPELREQLKEEIKQEPNKSGGGVGQWSAWKGMKLA KEYEAQGGDYENEAGSKNEPKKGAPKAKSAKTKREEERAQESEPSEEE NEUTE1DRAFT_87409 MRFHTITSLSTTFTTIFTILTFNHVLPVQAKPQQQPSKASIFHV RHIDNLNLNTHLPKPTPPAKFLLQHAKEPITITISTITITITATTTPSNTPASSSAPS FLNPALFTSALLNSTNFYRAQHNASAVIYNDTLSSFASHYLDKLGLPSSSSSSSSSSS KSKSKSSSTTTKCELTHSHGPYGENLALGCSDVQSCVEMWGDERAKYDFGSQAKFGED TGHFTQLVWKDTTDVGCAARWCQGWNAGRGGWYLVCEYWPRGNVVGEFGSMVQRRVAG NGGGSRVEAEWRVMVGVVVVGGWMVFG NEUTE1DRAFT_103693 MVEDDERDRKHQSRDRCMRTTLTNELRFSFLKLANFHCKTSHPS IQSHSRSRPDIASPLLGPPGASLWKPHTPSPKMPTAMASNESFKVHKFSSKLPEAEAA RQRENQRRHRARVKGKIAELESSLADTRERLDAALKHIRELEAENQRLREASSPSVYT PESRDESPAEQLLYMSQSGHDGYHYSQPRSHQFEPHQPPRQLQQQHQHHHHRQPPVNG LDILASISSSESAVPTRHRTYHPHELDCARNLASLPSYEPTPIASPRDMSPIAALLSM PTASQRGQATDFHSPSATTISASSSTESPGRTSVFDFNSRSSSYYHPSSTSNSQSSVD SHSNFQDKIGELISCLDNHIEDDDDLVLPAPRPGESTMPCREAFSIVRKVQTTRPDYY YDTDCREVENVAREQWKPGFRSAIEPGSGCRVQTHLVYDFVDHITGP NEUTE1DRAFT_148625 MDTRNTSQIYDEVAKHYSAASQTTSVKYGETVAKSFGYTEEELA NIPEGANLGLSCGNPLAITSLREGETVIDLGSGAGFDVFLAATRVGPTGRSIGIDFND DMLARANSLKASRGFPDSQVSFIKGSITAIPLESGTADCIISNCVINLVPEAEKPLVF KEMHRVLKSGGRVAVSDILAKKPLPEKLRNDIAMYVGCIAGASEKQQYETWLKEAGFE QVLIQDTGADINVYLDTDENGNRGGCCAPPGTVKEEEEKSSSCCAPKKTECAPAAAAS SSCCKPAAETTSSCCKPVEKKESSCCKPAAKPEVEAEEKEDLNEWVGSYKIYAVKN NEUTE1DRAFT_103695 MDNHHSSSAPSSKRSSTLSPPVKKALTLKEQRVLYAAKAEVAGK GDAEPAFRTVQVPITSVTSKHLNRRAPKPTTKSPKTAPAGYGPKVVMKENLRPSSKFA TKTSTEPSPKSGSTISPPVNKKALTLKEQRALYAAKQKVVTQITRRVSSRTGSEPLSK RSVNRNYSTSPTASALASASSVGSPTSPPVAKRALTLKEQMALYAARGKVTAPVLREV QSNVDVGSPTELAVRRYYSSSSTSTKASSSASMSPKTDLNESSVISRTSASSCSRTAT KNSASSSSRGSSRTSKPPICPQSTIRKALAKFEREEEAEAALQISPRKKTTSTPVPKT SGGYTLKEQMKFAAAKRAAVKKADVEGSAKTSFTEGPSDSGKKRSRDEASLDNRKDDG SGRQTESLSRGKKRAKWC NEUTE1DRAFT_16241 MSTRPQPNNSPPDADLAIPFLDRVLIDSPFPPIAILLPRPFAHY DNDIKLEVTAVTTTKDIHVIKREVERYRFGLSPAYRPDDRVYLVMDMYGFGLPAAGIW RFQIKGYSWIDRKRTEVLSIIHDEVTEAPARVQPAEPRRPRGRPRKVRVDADSGEHDD MVDVDDNGYMEDVDMEMNKAENAEGCEVSVASFLEQDEDDNVNYIDYYGENPADAKFG TGNGADDMDAVQDDQEDNLMLVCESEDDAVQHERPHVDMKKTPASCY NEUTE1DRAFT_103696 MTADHIGPLSKAPLSIESCPTTTSQESPSLNNKEQIVSSSSGCE NPQATAEAQDHDSKLQGYGGHETKLVSGEGDTERLQACLKHPEISDDAQPASGTHSKG VENITTKTTAASGKPLAKPSLLQSQPAPAASPRQDGLMLHGMLETRNTAFPSSKSDAS APSRKLLPGTSTLQGQLAKENNVGLPRPILNNTKKTSLPPNASNSPTSPDRLSSAASG KAHQQKKPSKPSSSSFGPRYKQASGSTIASVYFKGNGSYYTPFNSESPGVSKHPSKRA MNGTASTESKLRPSSEKGHLELSSQLSQPVPGVSALTSMDPRQKSQQAHSEIYGSTSS LNQLHKKSKDPDPSEDTQETLRDDRASEDSELSDVESIDPRSFSSNTTASTLGRLPGL IIVDSDDDDDDDDNNDDDARVTYDAEKDDGGRENDAYADLPDNSVSVTNTSCPYTKHT KDSALDDTPYKVCKDQFGNITKTEGALIPIGYQFFDGDFPWICPVRSCRTVHPSIKAL GNHFSHGHKGACYNDNLDGTLTFLGQYTKMLNKQPPIIVSKKAMSLDDSPMLEPSHTV ANHYKFISGLPGDKLNEHTQRRQSQSNQLVSGQAIVAVRDSPLPKPEKTLTMADPDRP YNMWPSPQRGSATNSRAVIDATGKLEQLYGGLLPSGWTPYYEYPKRQWLCPIRSCQCL TKSRYQFGRHFLTHRGCHMNDNLDGTFTILRSPSQAQLDDKDYTDAPSAVVSREPLDI EPIQSPKIRVKDPSGTQPIWFSLSLYMKSTTKGHGKAVKNTTPYSVPTKNKIVQPGLR ETPLKLVTEETRGALIPEGYELDKTWPGRPWICPIRSCRVVCKNTWSLGSHFTKMHSS VSLNDNKDGTFSIVGTHQYGAPRVVSKRLVSLHKDPVVEACLPVLKKVEIEEEERKRS QMESSTHKFTTKKPATNSEELWKYLCSMVDRDLTRPSHPSFNHLMALPRIRDLNIIDK KLDPKQLKHSALGVALVIQVTGVERLVKQCTACRRGDGPFYECVSICPELAHEIAESS PQLVTSPTNRWCCMNCVLNKSATMCSLKASMLERLEDGRVKENIQQWMISPQRHAAMV KRKHVFVDDEADKDVDLYRQDATSFSYRRSGRLRSLAETTPSESQKRPFASTDMASAA SQVVSTTREEIASSLRGSKRIRGTQNAPRQDPTPSAIQESLEVEDWEREAKAIPRENG PSDNLALSSSYNLPTHQNSSTSSIQICASSSFSLHIIKIPVGGSHELPATSDVSPSSS SRKQQHETRMCTVIAGKLRVKIKTVEDETEGMEFNIGVHGLLKLEKEMECKFENWGYN EAVLQVVGVSGE NEUTE1DRAFT_103697 MVPSKSHIFPNNMGPHTNNRMGQSRPPNGENSTMAPVTSHTSSI EMGPLVDNDTDHFQPSKSENMDWMRDFGLVDEGLIQQPTPSSEFFQSQSRVEPQATQQ GAQEQGQLMSSTDFDRACTADTKASVEGNQVRNDNNKAEGSGMKIDQAQWQELKDDNI CIQEAIGGLHLHSTTHYQSLLKQLQDNRRYFEVLFEDLKKQMEESLNQGKSPAAVTVE SELAQEKERRRKLESDCQKQAQEIIELKLEVQGLLGICQQLNDRISKLVQQPQVQGAP VQQFQAQQLQARKRRVQQLQAQQLQAQQFHMQAQQLQAQQFQAHQPQVLQPQVLHLPM ELPQQFHEHLMNFCQSVPIPPELNGIGNSFEQHSGLKEHQQGPTSVPTSADFQFGFST NGVFEGSKRMGRPENQKRGREKNSEKLQ NEUTE1DRAFT_148626 MRMQTLAARALLGGLAYERLPTVPGTSPKPNQGLVAIGTGSNAA GCGIGETTCGIGCMPLASTCCSGKGYCDPGEYCTVRDTCCPIGKTCSPGGGCDAGKVL CGDGCMPAGAVCCPDHGYCDAGEVCTDHGTCAVGSGGGGSGGGGGSGSNTCSAAGKEN CDVGWCMPLGSVCCATGEGWYCDSGFYCTATGCCRNGRTCSSSGGSGSGGGDGGGGSN PAPTTTTRTQAPAVTSSSSSSVAAPPVQTEDSASGDGHPGATMPLHPSLSVDDGTTPS STPMSSTTSSTLSSTRAAQSTGLSTGDVTQPGGAGVLSVSVGLVLTAVVGMVGFMLPI L NEUTE1DRAFT_148627 MSLTKEQAAATLETYIRDVRNRTSSDDARKRAARQIRDLVNVAK QGKSTNPEFHLRFLSDIGTHANIQRCIEMGAEQFTAFFNTVNQRTMALIQGTDTCDRM GGVYILDALVDFDGIDLALKYSRFEQHIGYILRSKDVTPMQPAAVVLGKLCKPGGSLI SELVDAEVKLALEWLQSERVEERRYAAVLILRELARNAPTLMYPYVNFVFEQSWIGLR DQRLLIRATSAETVSACFKIIRERDQAMKQEWMDKMFHEAVRGLKTNTVESVHASLLV LKELLEQGGMYMQTHYGEACDIVFRHKDAKDPAIRKTVVLLIPDLANYAPNDFASTYL HKFMIHLSHMLKKEKERNDAFLAIGNVANSVKSAIAPYLDDVLIYVRDGLSIQSRKRG SVDPVFDCISRLAVAVGQTLSKYMEALLDPIFACELTPKLTQALVDMAFYIPPVKATI QERLLDMLSKVLCGEPFRPLGAPHPNTLTSIPAIPKDPKDPSVQERGKAEVKLALNTL GSFDFSGHVLNEFVRDVAIKYVEDDDPEIREAAALTCCQLYVRDPIVNQTSYHALQVV ADVIEKLLTVGVSDPDPGIRRTVLAALDERFDQHLAKAENIRTLFFALHDEQFAIREV AVSIIGRLARYNPAYVIPQLRKTIIQMLTELEYTDVARSKEESSKLLSLLTQHAQDLV KPYVNSITEVLLPKARDPTPSVAATVLQAIGELCTVGGESMLAYKDTLMPIIIDALQD QSAPIKREAALHTLGQLASNAGYVIKPYLEYPQLLEILQSIIRGEPQHGTLRQETIKL MGILGALDPYKHQVEERTDDNKRNNEAAQLTDVSLMMGGLTPSNEDYYPTVVINALLQ ILKDQSLVQWHGNVVDAIMSIFITLGLKCVQFLDRVVPAFISVIRASSNARLEFYFNH LSRLVSIVRQHIRVYLKDIIDVLQEYWHTTLALQSTILGLIESISRSLEGEFKIYLAK LLPLMLGVLEKDVSTKRQPSEKVFHAFLVFGSSAEEYMHLIIPVIVRLFDSHSQPLFL RKSAIETIGKLSSMVNLNDYASKIIHPLTRVLASGEPSLRVAALDTLCALMLQLGRDY LHFEHTVDKAISMYAIQHSNYEKAIEKLKKGEALPQNLAPRFEDISMEGFAAENNPPK KLTLNPVHLKQVWETKGKSTKDDWHEWFRKFSTTLLTESPNHSLRACASLASNYQPLA RELFNSAFVSCWSELYEQFQEDLITNIENTIKSENVPPDLLGQLLNLAEFMEHDDKAL PIDIRVLGREAARCHAYAKALHYKELEFLQDHNSGAVEALIVINNQLQQSDAAIGILR KVKTYREGIQLRESWFEKLERWDEALNFYCQREREIPEDQPVPVDIVMGKMRCYHALG EWDSLATLAGKTWANSAPEIQRRIAPLATTAAWGLGKWDSMDSYLQSMKRFSPDRAFF GAILALHRNQFREAMACIEQAREGLDTELSALVSESYNRAYQVVVRVQMLAELEELIV YKQCDGEKQATMRRTWETRLKGCQRNVEVWQRMLRLRSLVMTPQENMHMWTKFANLCR KSGRMGLAEKSLKQLIGTDSPLDSVIPYWHDRHPGPVGNRIASPILYAVLKFQWEIGQ SPGFRNSEHRVAEKTLYCLQRFTQETAHRVETSRMHMAAHAQNGMEVQNQPGFAEFNE EMLNPQAQKHWLEQTVLLAKCYLRQGEWMVSLNKDDWQQRYRAEVLDCYYKATHYNNK WYKAWHAWALANFEVVQYLTGNREVDVRNNGEQNYIIQYVVPAVRGFFESIALSSGSS LQDTLRLLALWLTHGGHIDVHNAVTEGFTRVSVDTWLEVIPQLIARINQPHKRVQQSV HGLLADVGRAHPQALVYPLTVARKSWHNTRRVRSANQILESMRQHSLRLVEQAEIVSG ELIRVAVLWHELWHEALEEASRLYFGDHNIEGMFATLEPLHELLEAGPQTLREISFAQ TFGRDLSEARDWCRQYQETQDSNDLNQAWDLYYTVFRRITRQLPQMTSLELAYCSPNL LNAKDLELAVPGTYRSGQEVVRIMSFDATFSVISSKQRPRKLDIVGSDGKTYTFLLKG HEDIRQDERVMQLFGLCNTLLANDSECFKRHLNIQRYPAIPLSQNSGLLGWVPNSDTV HQLIREYRDSRKILLNIEHRIMLQMAPDYDNLTLMQKVEVFGYALDNTTGQDLYRVLW LKSKSSEAWLDRRTNYTRSLGVMSMVGYILGLGDRHPSNLMLDRITGKIIHIDFGDCF EVAMKREKYPERVPFRLTRMLTYAMEVSNIEGSFRTTCEHVMRVLRENKESVMAVLEA FIHDPLLNWRLTNPTSPPGPNFNAEREVAMPGGPGPRARRPSILDAPVAPTEFLAAQA APGADGHGMGMSANPGRSRARTNSSAIYPTGSSMVNGHGNNQQEQQEVQNARALEVLD RVQQKLTGRDFKPHEELNVTDQVNKLIIEATKLENLCQHYIGWCSFW NEUTE1DRAFT_68739 MRRTTALVLLSTIAPTGTVAATESVPRGVGPEFAKFYANKETFT CISNPSIVLKSSQVNDNSCDCPDGSDEPGTSACSHLDPLSPEQPLPGSVTGTTNTTRA LPGFWCENKGHIGAYIPFMYVNDGVCDHELCCDGTDEALHVGGTKCENRCASIGKEYR RLQEERRQSKERSAKQRRTLVKEASELRQRVESKVASLKEEIANLEVQKAELQKKYGE VERAERGKVVKAPGEGGKLGVLVGLAKKRVDELRDTLDKVLDQRDDLQDRVNQLEDIL SKLKEEYNPNFNDEGVKAAIQGYENYAAGKSTEKKSEVVDADVLEVLKEDGPDSGINW AEFQEEESSDADIVYNLEAYLPPSVRSFIHSKISSLKVWLIENGVLADNPTTGGPTES KLVKAARDALEAARAEHTTKTSQLADEERDLAKDYGPDDIFRALKGQCVSADVGEYEY ELCWFDRTTQKSKKGHGNTNMGNFERITTEIADEEDRVDGKGLGKGPRMVLRFENGQG CWNGPQRRTDVWLACAEKDELWRVSESEKCVYRMEVGTPAACGDVGEPGVGTKGHDEL NEUTE1DRAFT_87426 MSSSTPTTTNPTGLDALNNLITSTLDLITRLETVVANITAENQR TSAPSSTEEPSNPHPEINPLSLASDSATLLRAHATKLSLLIINEPFTPSAIISILRQL TSGPLPGLASAAQICVASQWTKTISQDLVYRISRVLRETRALVGKIPGDGKALKDGPA KGGRGSIANTGTLWAACDELVAFAKRGFGGNLVKKTEDLRDTVKDVMEELKEWGEETG SDDEDEDEEEDEEEDDEDEEDEAVKEVTQSLEATKIADTQAMLDDLMNSSSYIPRDDP DKIRERLESCLRRLRLVTLLYQAVAKRRLKSLPQTAPAEGSDLPTRLDEIMVLLKKIP ERFGSLALAFYELDPVEIDKLMDQCFFDCFAVAELLIKPWDGEKDEFSDWVVKFQAEV KKA NEUTE1DRAFT_103704 MFMPGAATASSLVQRDSSCNTPDNRACWTDGFNITTDWEKEVPY TGRTVHYTLVLEQYDIIGPDGVPKHDAMLFNDWGDYVNVTIINKLLYNGTSIHWHGLQ MEDNNINDGVGGVTECPIPPNATKSYMFLAEQYGTTWYHSHYSSQYGNGVLGAIVFNG PASANYDVDLGTFPINDWYYESADQILAQVQAPGEHGGPTIPPPADNILFNGTNVNPA NITMGEYARVVLTPGLKYRLRLFNPSVNYAYTFSIVGHNFTVIATDLVPVNTTDPSTT VSSLFIAVGQRFDIIIQGKSEEEIASDPGNGNYWINATQPISGYCGNFYGKPAAILSY DYPDATDELPIVDGPVPEDLRCEDTTDFRPVVVRDVPPSEFSISPYNTLPVHLMRDIK QSIVFWTIDNVAINVSWEQPLLEYIREDSTSWLYSENVLEIPPESEWSFWLIENESKI PHPMHLHGHDFVIVGRSPAPDGPSPSDAMMPVRHFDPVLDTFSLNFTNPARRDVTMLP GNGWLIVAFQNNNPGAWLFHCHIAWHVSMGLSVQFLERKNEIKEKMDLNLLVDNCNAW QSYIDTNPPYQPKNDSGV NEUTE1DRAFT_118109 MLVTNGNPYGRPRILLSLCSSPYKSSTQNVKSKCWDLCPNHHGP QSRAAVVK NEUTE1DRAFT_140575 MEAAHSISARSMPLMFTQQYDTDSIPRPPSTSLARRSSIRAVPY DPTDTRLPLAPSLSGASTSSYSPSSWPFPKGHHRQNSKSKLPVPVDNDSPVRMARRET SPTRKFAQRSVMRAVASAPSRQGSFHESSLRNETFSNGRESSTPDEERDSDETGNGTI HVSQTNGVVMNDDEERPQSRQERPEILTRASTSSLASRPKTPFPFSVPDDMPSERPTN PTPHAGLAKQSETLQDPRFTAEYFETISIHDHAPSPEPLTPPRRLSITQSDSTDAMYG GSRQVSTFSTVHEVDEEDGDHGMNIRDTVDLERTMTFVRDSSDDQTFADKNITLDSST QQDESVVSAKRASSNPFGFHENMDIGGGTPDRGIMLIDPNVTADLTNHNVSRVTSGSR MWTPLPNIDSIRRGPKIRHHRVIPEPGGGGGGGSGHGGIAPARSGIIPPVNTLADLAI LGGNGSGNKLNDLEEQDPDQQEKTRRVMRLQKWLVPVSFGVLQVSIISFVASIAVLAS RSSTSTTNATGIIVWISISAVLLISSLATFLFGACAVGRLRKQETGEDPWIEMHRLAR ALPPRPPAEKEGNSKANKKSKALAEEDKAATEQAWKKFADDQEQLRKYVEKLEQEILD IKEKREEEQGVLYAAQQQLQAANEQAQQQQQQELHPIGTAISTGDQTPDSKSNSYKQP TVEDCPDCPSNQPSEDEDITLTPKASKATQIAPRDSLIADNKPTYYTSGRRPRSNTTG TATQSLHRLLGPPSELDSGHFQRTGLGVPASNSGGTIASLNLHIHGYYSSPSRNGDNH GHRSNNNNNNNHNAQGGDHILPNNHTHTHTHTAYVMTTPSGVTMGVPISQTQSSILTE LCEAVTLSGLSGTGSSTNQVGRIEGYSPLGRDEISGAMRKAGVNNNSTNKVTLSPSPR PSQSPLGSSIPVPIPISVGTSSSASTGAGAGAGAAESDRIRTEHGMPPKKGMMGGVRA VTASDVAPEEEKEHEDHEQDQEPMPEMPPQIMPHQMPDMAAKRPQQQQRRRRQYLSPE LTSSNEKERAYYGTFRRMPSRREDVRGMEFLADPNNGGGGGEGR NEUTE1DRAFT_50188 MPNQTPVAAATPDSSSSSNAYVRLHVSPLDAELLPVVLNSTLLP KARNVSFHTLETFPERRYGFVELPKEDAEKVRKKFNGAVLRGAKMKVDPAKPDKNPAP LGEEVALADEKSSKKSKKSRDSSSSLGEKKSSKKRKRDNAEEITGVVLPEGRQVKRGW TSADEPKKERKDKRDKKGDKKDKKDKKDKKKQRVKSKYTEHDECLVKTVLPPNKVANT EGMEGMVKKKKKEKDSKREVVVHEFAKTTKFPTFLKAAATSGSKKDPSHFDEEKKCWV DEDGNVVEEVKATRKPTTGMLMLDAEPQSEDDSSSSSEDEDTKSAPEPTKEESVSDAE SSDSRDDEDASSPSDKKESTPPPTEKAASSSKVTSSPGKNLSIRIPPPATPATKTEVH PLEALYKKPKDGGEAAEAQPTTGFSFFGGNNDDIEEEEEEVTTTATRTTSLQVPLTPY TEKDFESRGLRSAAPTPDTAHPSRRFKPWEDDNEDIQEEDEEDEDEDMVDAAAATGAA ETSADGEKPASTSDFQKWFWEHRGDLNRSWKKRRKLVGKEKRYRENRARMARAI NEUTE1DRAFT_131836 MGWFWADGNASAAAPVVPPSHKNLAASGAVPPPSCPMHNKTMDA LSAHKPVTPAPEPTPAAAPPSKCPVNHGAKDTLAAAAAAVAPKQPQPENHQPTAASEP SFFSKLNPLNYMFSSISQEPAPNQAIALPTERDPSSIPKGTGDGNWEYPSPQQMYNAL LRKGYTDTDITAVESMVAVHNFLNEGAWNEIVEWERRFGKGLMRGWEIMKRGEENAPM MLRRLEAQENDPEPQPTLIRFQGRPKDMTPKAALLQVLGRINSKYATEPPFDRHDWYV SRDENGQKKEVRYVIDFYSAPPEPTGEPVFYLDVRPAVTVTGACERLLRWGGDVWWKA SGGEVRERERSK NEUTE1DRAFT_124544 MVRMLQVSREEIEKKVGEDVTGLKRIGKKIVLFLDFYIWEPLCT GIRFLKLVAIFAPVIITVPVIWFGERQPDRDDERSGTLWWYGFLVQAMEWAGPAFIKL GQWAASRSDIFPDEMCNIMSKLHDDAPAHSLHATKRIVEAAFNGRHFDEIFEEFDEKP LGVGAIAQVYRAKLKPDLTMPADADLSSSTHRFVKNVGTVLKATPKRVPSSHVAIKVL HPGVERTVRRDLRIMRFFASMINAIPTLEWLSLPDEVDQFGDMMKLQLDLRIEAANLS RFRKNFKDRTTAWFPYPYTEFSTRNILVEEFAHGVPLADFMANGGGVFQHDIASEGLD AFLRMLLLDNFVHADLHPGNIMVRFYESEKPPLSLHWDKPSDAHPTGKNDVTEHVLSR LRPYRNNKELWVAELEKIDEEGYRPQLIFIDTGLVTELNATNRRNFLDLFRAVAEFDG YKAGHLMCERCRQPDAVLDKEVFALKMEHLVRDVKNKTLALGNIKIGDILEKVLNMVR QHHVRLEGDFVNVVISILLLEGIGRALDPDVDLLSSSLPILRQLGAQGGKDMLMEGDV HMMAVWLGLEARRFMQASIEDVERCVKYDLLSPNV NEUTE1DRAFT_23446 TARDWEDEIRAAKECGIDGFALNTGSQDHWLDEQLTLAYQAAER AGGFVLFISFEFTSFAFEWTVQQVVDLINRFKYSPAQCVVDGRPFVSTFEGPQWAENW PLVRQATGGIFLVPDWASLGPHGVAQKLDIIDGAFSWDAWPKGCNHKMGPDEDHLYLQ ALQIEQPGIFGTPNNKHKYMMGVSPYFYTNLPQWNKNWYCSSESLWYDRWQQILEVQP DFVEIITWNDYGESSYIYDPHRDDQVVPGADAYVKGHSHDAYRAILPHFIQAYKAGKT KAKPREHLEDVAIAWYRRTPASAGHHGG NEUTE1DRAFT_118111 MDSSKAPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDQTRSIIRN VKGPVREDDILVLLESEREARRLR NEUTE1DRAFT_118112 MGFLTLFHVAFLAVSLFVSGTLAAFGYTTSGNNFIIDAGSANPL IFSVSKSSCDINSIKYRGTELQYSKQGTHIGSGLGKATVSVSQINGSTSKFIKVTCVT STLTQYMIVKEADSTIYMATYITAEPAIGELRFIARLLSDKLPYEYPYGEVSTTKGSS STVEGSDVFVVDGQTRSKFYSSTRFIDEDSHCVYGGSDLMHVCIITPQQESSSGGPFF RDIDSNNAGDSTNLYNYMNSGHVQTEDRRMGLHGPYLMTFSRSGIPKLKTVDVSWFGE LGVTGYVPDSQRGTVIGRATGIPSGFEGVVHWYNAAAQYWVRTASNGDFTSPKMKPGT YTMVLYQTEFKVATSTVTVSAGQTTVASIASTFNTSHTTLFKIGEYDGQPTGFRNADK FLRMHPSDSRMSSWGPLTYTVGSSSLSDFPMAVFKSVNNPVTIKFNLGSAPSQATTLR IATTLAFAGARPQVVVNGWSASAPAAPVKIDSRGVTRGAYRGYGEVYDVAVPAGKLVS GTNTITISALSGSSGATFLSPNFIFDAVELFY NEUTE1DRAFT_103712 MNGIKDLGLGFSKASTRLHFFSLLLALGSFVWGYNVGVLASVLA HPGFRETIPDYGPYRRGLITAIYYLGTWLSYVFFSRPATDLLGRRYAAMVGMSVLCVG QALQAAASGSVALSMVISGRVVSGLGTGIVSTSVPLYQSEIAPAQQRGRLVVLNHVGF VAGLASGFWVGYAITFWNDSHGYLSGWRLSVSLSFIPALFFFVGLPFLYESPRWLVEH GRPDEALKALQFYREGSFTPSQIQSELTDIKRNVSAYQATGLKKWTSLFTNPSLFSRL WRAALLHFMAQMCGATAMKYYLPELFRVLGLSPRVSLLAGGIESTLKIGCTVLEMFVI DKVGRRVTLAVGAGIMAFALLINGALPLAYPNNTNRASDYTCVVFIFIYSLGYSMGFG PAAWVYGSEIFPTAARARGLSFAASGGAVGSIIVSQLWPIGIAELGSKIYFFFMAVNL ACVPIIFLLYPETKGRPLEDMEVLFGGYEGGTLSTTSLLLADDREDGNGEDEENEILG RPLLGDGRGMAR NEUTE1DRAFT_49163 MVSNLGMVAGLAMGGLLAEPVKAFPGLFGAEGACNWNESKEGVR WMVEYPFALPSVVNAMLIGVVAAVTTGWLRETMPEQDQAEDGTGYFPQRPYILLSNTS TILSFHRTEKPTLISSILSTLTTRTLPPLISSFLLTLHTSAFTFILPLHISTPSSPYS PLLSNSFHSLVPTLFRFTGGLSLSPLMISLYLSLFGLLGLLFRGFIYPRWQNRLSTVG LFELSLAIFPFVYLVTPYLSLFSWGHQDTTEGCAEIWKWIALGVVIGGQTLAMTMAVP SAEVLLAESASSEEGVQEKVQVVGNMVTSLASVVGPVVGGVAYAKGVREGVVGAAWWF YLAVVAIAAAGWCICFQGRVYEEPVDDEKR NEUTE1DRAFT_140583 MHLPRVAMSLASLLGASKPALTPRQFEPDSSVYVDADTGLTFAS YTSDRSIIFRVAIPDVIPADLIYDTVLQIVAPIDVGWAGFAWGGHMTYNPLGIAWAND KEVVLSPRIAYGYYSPPAYTDSHYTVLKKGTHINATHFQVTAKCTGCSSWGDESTGIG NIDPEYQTTLAYAYGNTKVDTPADVQSTFGIHDSLGHPIYDLAVAKNADFAKKVAALA AAGEAT NEUTE1DRAFT_68762 MAPSTTAPKAPLKSTLPPLLLGTATFNTQYVPDPHSLPYRSIVA CALSLGVRGFDTSPYYGPSEILLGDALHTLFTSETNPLPREDVFIVTKAGRIAGDEFD YSPSWVRYSIYRSLQRLHTDYLDLVYMHDVEFVSPAEVLGAVQELRRLRDEEGLIRHV GISGFPVKVLAELAEMILRETGEPLDAVLSYGHFTVQNRLLSESSVLKRFKKAGVEVI LNASMLGMGLLTQKGIPPNPDSKESPLVKWHPSPPDLRIACKKLGELAAAKGERLESV AIRWALEEWARVGAEAEVGVDAEPGSPLKVGATVCGVSSIPELEETVTEWNDVLEGLK KVAAAGGKADGRVYGTERQEKILKLVEDEMWPALGEWIDFAWASPGDGYVNTRRPEDK GRVPDDGVVAAHEERIKHKK NEUTE1DRAFT_124549 MASSPSAPGNPVEAATAKIDKAAAIVHQKAAAVVTEPKEPQPYQ VPNPIIEDHLLTPATVNSIEIHGANNTRRGLLDHVFKPIVEETTSPATTLGDALARIS TATQKLTRFGIFKEDGFGVFISDARQQQQEQFQSPTDRTELDVSIRVKEQSRLVFKAG TDFGNAEGSAYTNAVLRNIFGGAETLSVNAAAGTRTRSAYNAVFSTPVNGNPDIRLAL EALRSSTHKPWASHDEHLTGGNLRLAWSTDNGDDHALTYSGVWRQLTGLSASASPTVR ADAGDSLKSSITHTFTRDRRDNPMLPQAGYLVRTAAELAGWGPLKGDVSFAKSEVELS AAQALPLPGVSVGAGFRAGLLYPLPMGYSLSSTSVAPSRINDRFQLGGPTDVRGFSMG GLGPHDGADSVGGDVFAAGSVNMLLPLPRAGPTSPLRFQLFANGGRLVALQGKKTAEG SVSLDSGAVASGMKSAVAELANGLPSIAAGFGVVYAHPVARFELNFSLPLVVRRGEEA RKGLQVGVGINFL NEUTE1DRAFT_124550 MATRAAHKRASPPNSMLTREYKSITENPPPYITAHPSESNILEW HYIITGPENTPYHGGQYWGTLIFPPNYPFAPPAIRMHTPSGRFTPSSRLCLSISDFHP KSFNPAWEVSTILIGLLSFMTSEEMTTGSVSATETERKFHAARSRWWNSTGGGSHLRP NGAAGKGNVKAGDGGAKFRSEWPEVDAENWRWIKENNIDPATGNRPEPANASSCGPQL GIAGSSGGQAHAVVDVVMQQRDAGQGWMSRHKWLLAGSFFFFYVLMVRIFGEA NEUTE1DRAFT_131843 MSFMVGTGSFGNYDHTARTSGAPLGRRQTHDLEEETVAANPSGP THPEPGSSHSHNDQMTGTRATSMTDVDGSTARSEKEKDAQHANDSDSTCEEDEDRIEE NRRASQVLALARKYTSQSHAAVPEGKTVFQVDEENSPLNPNGPNFNSRAWAKALVEQI SGDGLQFRTTGVAFQNLNVFGFGSATDYQKDVLNVGLEIFSQVRNLIGMGRQRRIDIL RDFDGVVRKGEMLVVLGPPGSGCTTFLKTIAGEHDGIFIDDQSYFNYQGMTAKEIHTH HRGEAIYSAEVDTHFPQLTVGETLTFAARARAPRHIPDGVTKTEFSNHLRDVVMAMFG ISHTINTRVGNEYIRGVSGGERKRVSIAEAALSGAPLQCWDNSTRGLDSANAIEFVKT LRLQTELFGSTACVSIYQAPQSAYDLFDKAAVLYEGRQIFFGRADEAKQYFVNLGFEC PARQTTPDFLTSMTSPTERIVRPGFEGKAPRTPDEFAAAWKNSAEYKSLQAEIEEYKK EHPINGPDAEAFRASKKAQQAKGQRAKSPFTLSYIQQVQLCLWRGWRRLVGDPSITMG SLIGNFIMALIISSVFYNLQPNTDSFYRRGALLFFAILMNAFSSALEILTLYAQRPIV EKHARYALYHPSAEAVASMLVDMPYKLANSIVFNVTLYFMTNLRREAGPFFFFLLVSF VTVLVMSMIFRTIASSSRTLSQAMVPAAIIILALVIFTGFAIPTTYMLGWCRWINYID PIAYAFESLMLNEFVGRKFHCEAYVPSPSIPTYANVGNLNRVCSAVGSVAGQDYVLGD DYLRESFNYVNSHRWRNFGIIIAFICFFLFTYIVAAEAVSAKKSKGEVLVFRRGYKPA SFKENKGDAESGGVQVAGKGHVSDGNTSDKEAGFLQAQTSVFHWNNVSYHVPIKKEIR QILNNVDGWVKPGTLTALMGVSGAGKTTLLDCLADRINVGVITGEMLVDGKPRDTSFQ RKTGYVQQQDLHLETTTVREALNFSALLRQPAHVPRAEKLAYVDEVIKLLDMEEYADA IIGVPGEGLNVEQRKRLTIGVELAAKPPLLLFVDEPTSGLDSQTSWAILDLLEKLTKS GQAILCTIHQPSAMLFQRFDRLLFLAKGGRTVYFGDIGKNSKTMASYFERQSGVKCPP DANPAEWMLEVIGAAPGTHSEIDWHDAWRSSPEYQAVQEELQRLKNNSNHADALEMDG DAGGYREFAAPFFEQLREVTYRVFQQYWRTPSYIYSKTALCISVALFIGFVFYNAPNT IQGLQNQMFAIFNLLTIFGQLVQQTMPHFVVQRSLYEVRERPSKVYGWKVFMLSQIIV ELPWNALMGAIMYFCWYYPVGLYRNAEPMGQVTERGGLMFLLLLAFMWFTSTFTDFMI AGFETAEAGGNIAQLLFSLCLIFCGVLASPEAMPRFWIFMYRVSPFTYLVSAMLSVGV ANTDVHCSDVEFLKFNPLNGTCGEYMSDYIKAAGGYLLNPDATDECSFCPIDKTNTFL ASVSSDYADRWRNFGILFAYIAFNILGALAVYWLVRVPKKNGIFGFLKKQKKE NEUTE1DRAFT_18829 MSGFLAMPSTAQCSLTPSGRSAPIPCAAAATGVNGGDGIAQGSE YVVWHPNQIV NEUTE1DRAFT_124552 MGNANTKESRGDDSGRRGLHSALDAGIGSSTQSGRESSRRNRNT RHDLTGLLGRAAGGSSSHADERHERKETKQEREARRLEKERVARLQERERSMKEEHVD GGYLVTMGTYVGPEDFNKQIVRQLMIERKLAPFWRGLNDFDENWTEPQIIAAARGLPI PAAGETPPDELIPRPRSPASPTDASSNTNHLTVPIGGRSLSTASEHSTSNAGSALPSP GSGKGSSSPFKPTRGKAIAAVLGGGSCRNGSSTEIAPREIMLPNDPFVNGQPLEVFLY KNATECPICFLTYPPYLNHTRCCDQPICSECFVQIKRPDPHFPEGHNENDPNNNPEES AGLLVSEPACCPYCTQPDFGVTYEPPPFRRGLTYAISPLALGSTSAAMSSESSVNSGS LSPGVASPGGRRRNQSLSANAPNVVLTDKVRPEWATKLQAARAHLARRAAAATALHTA AFLMNNNESRALRSRFGRRNTGGSGSASATPGNGDENRGTGPATPANAGATANTDRAA GSSGNGNRRSRLEDLEEMMFAEAIRLSLAAEEERKKKAEKEEQKEAKKREKEREKAEK KAEKAAAKAAAKQGGPYEASRSGHSSASGSSLSLPGLSFGRKRGNSAASNLRVEASVA SAMASTGAAMTTPAAPGALAPDSNTKDKGKAVDRSAGAASNDASARPIPSPQPMAGPS HLRQMSSASSASSSAVESNQGSYVPPSNLQDPRGSGLSLGGRSGVSEDGDEQDRDPST STEPMFNFRSLAEVVGVSIEGEHAGKRLSQINADGQAIEGEDETAKSGEGAGEHVEHV LDSQTTGISEQDSEINPQPPRLTITLDSPATSVGDVSTASDSKHVGNETTVEHATQVT L NEUTE1DRAFT_118116 MEAYDTPFDMPDDGSNILLFPLVPLFLFQRICHSKRQFVLLRTS AFSFLP NEUTE1DRAFT_124553 MSQRYQNGGGGGGGRRRGNDPRDDRNGYQQRAPNGPHGLPARPP QPTSYRPSDNYSNRNNGGGGGGRDRDNYRPPQGDFSFRVEKPPGVSNSSYDNYRPNDN RQSYNGRRAHSPRGDRRHGRPSGRGRGRNAGPHGGFRKPWRPFVAAERELLSGTHESG NEEALYNPETGVLYRNVDELSDSDEAEMDISGDEAAEDAEPSTKRARTALDQSASDNN TPKWSNPDPYTALPPEGARERKTKDVVQMIRKARVQTAAETKTALPNDLDEIIMFNSD SDSDIEIIQEVKPTGKAARDSLSLVPVAAKQPSKKPEVKQPVDKKPVTGTIDLTGTDD EEDSAPVPPAPRNIPKNLPKTRPTFDDPTPSALGSRKRTHDDEIKLPHARLKKATRAP VGGKIQPEWRAVPKQDSCPWMRDAEDHSASPTMGRWLHKEIIDFYEYVKPRAFEKRIR EEVLDEINRLSDALKQHKLAFQNEVEIIAFAKVPLVKWVDSRTGLKIDVSFENDTGLQ AIKTFHAWRDQFPVMPVLVTLIKHFLCMRGLNEPVNGGIGGFTVTCLVTSMLQLMPQI QSGSMDPNHHVGDLLMHFFDLYGNRFNYRTTAICLNPPKYLPKHKISTFAYKNYDRFS IIDPNNSENDIAGGSSNTGTIVALFKQAYELLAERMAQLAQSPDRRNASILEVILAGN YSTFRNQRAHLEKLAAPNGPAGPRKR NEUTE1DRAFT_87463 MTGRGGAKKSRAAGPAPPTTTLVLDNGADTIKAGFVSDDKSDGK PRIIPNCLARDRHRKIYVGSELEKCKDFSELAFRRPVEKGFIVNWEAQKEIWDREFFD DKAAQKCDPSETRLILTEQPNSLPSLQTHCDQIVFEEYGFASYYRGLAPVFNAYRDIQ SIFRTPQSTIDSPAQVILLIDSGYSHTTVTPILQGRPLHPAIRRLDVGGKLMTNYLTR LLSVRHFDMRNEPYIVNEMKEAVCYTSLDFKGDLEKTWKGTRGEKREDYLSGAGIAKD YVLPDSHTRFHGVVRDYEPGVSARARKGIISTEDVLTLRNERFVVPELLFNPSDIGIR QPGIADLVKQSLLAVPIGLWPGLLANIVVVGGNSLSEGFCQRLQTEILKRFPDECRVR VARPEDPIISTWLGAANFAKHEHASRLEVTKQEYEEHGAAWVARKFAAGLGLDP NEUTE1DRAFT_148644 MATASTTETPNLVRVNHHNAKTFAAALLTASGVSAKNAEITASG LVQADLRGVESHGILRLPSYLSRVRSGVLDPAAEPELKQITPVVAQIDARNGFGFPAA HLGMDTAIKMAGVYGIGMVSVKHSNHFGMSAWIVKQAVDADMMSLVFTNSSPALPVWG SKEKMMGVSPIACGAPGGKGEGSKPFILDMAPSVAARGKIYKALRRGEKIPTDWALDG EGKQTDDPAKALEGVMLPMGGPKGSALAIMMDVFSGVLSGSAFAGGVTGPYDMSKPGD VGHFFVAIKPDLFMSLDEFRERMDVLYQKAVDSEKMHGVDRIYFPGEIEQLNEEQRLK DGIPYAKAEIDALNAEAEKLGLGKIPLIE NEUTE1DRAFT_87470 MAGGVKKPVNIFKLKNLDEPKEVFNWRLWFAVLSFGLLGAARGV DEGLINGALKSKNFQDSINYASYSTVEQANIKANISSMVQIGSVGGALIIGRIWATRI LCLLWILGIAIFMGSNGNLSLVYFGRFVAGLGVGQSPVVGPVYIAEIAPASIRGFCVC TFTGFVYLGIVLAYFTNYGCQLHLAETQARWMVPTSLHIIFAGLIFLLTFFQYESPRF LVKTGKSDKALHVMSRLRHLPPDHDYVRHEINAITLSHHEEMEASKGAGWLGILKETF TVRSNLYRVGLTLGAQVMGQWSGAGSITIYAPDLFELLGLTGTKESLLVTAVFGIVKL VAAIVCALFLVDFIGRKRSLLLGIACQAISMIYIAAFLSATPELGNEEGYVIPANSGK LRASRGAIVMIYLSGIGWALGWNSMQYLLSSEIFCLRLRAFCSSLAMCLHFANQYGSA RAVPDMLLPVREGGISGQGTFWFFSAVTILGGVWVWFFVPETASRSLESMDRLFSLPW WQIGRYGNREAEVLDEAERVGGEKEGEMMSRSGSRVEEEKGMAYEAERRV NEUTE1DRAFT_112796 MARTRESCYSIHASVTVISLPEPARVLQRAETELHHSTRKWQGD HSSFNSMFSSAPHCAMLLRAMIVKKLPVSDGMCTCNRLKVEVMGKVKHGDIRRMSQQN QSHNVDALEDPANEAGPGSSESAEEIVRVDTSSPQFTFKTQDSRINARYLDVFRRHVF GHLKDVVFSASKALRGNVGYALSSMLGKTSDDKEEITDRTKNHQP NEUTE1DRAFT_103724 MKLLPTAISLLGVLSQPILAQFTFTSTVEHNGVPVPQSETDLKP FEPGTLGRVRSRTYDDSGPKIETTTLRRVKRTNPTANSNNWCGSVQSTTSSNQIKLIH GTFQHPTCTQRPGVTQYPQAAAAWIGIDGDSWTSALLQAGTVCKINNSTGIVENEVWW QWVPNGAYTITNIPVFAGDWFDITINTTSSTAATIKIMSSRGYTYTVNAWQGAALARV DADWVVERPYYGNTLAGFAQFTQVWFQNAYATLTSGTSSLGITGAKQYQIPGGCASAE YDNSKLYAAVAS NEUTE1DRAFT_112798 MSRLPERPISTPKTLTRPRPSKNRVRATRINMLQIRSLTLLLFT LLSSSSIPTATAIPQLPAGVNLKEDNGQVTINRDPTDNQTDNHLPIRATIFSGVPGPT HCRGHVVLLLDLPPPSPSPDGNSLLTTTPQCYNIPSAGNPSSSSTAGCGNFLANKSDG CEARMFAEPNCAGYLNTVVFTQEDRAVGGQWRSLEVRCGVPAPDPESLGKPPLAGFMG QARIKENKPGRRWVG NEUTE1DRAFT_124558 MTHSASLSTEIRHNALLQLLANSLVLNHTTPYLSSYDVLNLAAT SRTFRYIVYSTPHIFSRLDLTTVRKAQYIEEKSSRGGDVYSGHLRRIFSSLRCLDILR HVQVLCLDGLSVTSELVHEILTDPTYSVKILSIRDVVNMNEGKLRAALQYACRPSRPE GTPRLKGLYVFGPKDSVRPDLSSSGASTPTSTCSSTSWAAGTGAADQEPEAWYTKRGA QFPILNGSRTISEWASWASTLIACYSNIAFDAVLCTGPRHLNSRAWGAVNIEALNAAK NPASADVPIHAVATHSLGGCAACGSAPEGWTIWGEEPPAERDPHERRASEGGTMTTDI GRFPLLAPPPLHSVSLKSAMCPTGQSVYTRLSQFRSKDEQARFIPRCYDCIRDRYCTG CNKWWCETCYVGPWASVHDGEASRAKMNDLARVIKSCWECGMNGAPLEAGPASDILSS IDSAVPQPSCNMTA NEUTE1DRAFT_112801 MADSEAQTPRDSGTFDKERSLNFDVEKGEQSDLDGATIQAHSER GVSTHSGNQEKAIVEETEQDPNIVDWDGPDDPENPMNWPDKKKWLNVAVLSILTIITP LGSSMFAPGVKKILFEFHETSSTTATFLVSIYILGFAFGPLLVAPLSEMYGRAPLYNF GNILFTIFTVGTALSKNTGMLLAFRFLMGLAGSVPITIGSGSIADCMTLENRGKAMSA WALGPLLGPCIGPIAGGYLIRAAGWRWVFWLITIIGGCLIPFSFFCLRETYAPVLLER KAARLRKETGNPNLRSKLASKVSATETFKIAIARPMKLLIFEPIITFMSLYVAIIYGI LYMMFTTFTFVYSEHYGFDEGSIGLAYLPTGIGMFIGVSLFGYLSDKTVRDRQAKGLV HRPEVRLIPWFNIPCGLLLVAGLFIYGWTVQEHIHWIVPMLGVLIFCGSLMGIMMSVQ SYLLEAFLTQAASVTAALAVLRSLLGALLPLGALDMYQSKLHFGWGNSLLGFIALALA PIPFVFYVYGERLRKKSGFNKAN NEUTE1DRAFT_131851 MAPPNEAGTPANPGGTRRAPYNVIKPVVPALPLGFPQRPISTVK QAKSLAPAIIARSQPVASAVQRAVDPDLRDRQQGQPSAEAAKNEEKHNGAPLLASQPA QHNGANVNAPPTEPMHHQGKTDVPTGRPIQFEPAPPSSNTLIPRSSHHAPSQSTASSS IYTHEAPTAMPQPTIIYRPQAAFHQGHPSNAGLFFGGPNDSGASSPASHPMAPFPPPG GLPYAPGTIPPLDGYGRPLLISSTVDGYPAALVNHHGPPTPHSVHGSQSPIHPGDHNF GQLGSANGQSANGQNGHSANWVNQSALPPQGLSPPVNGLPQPNASVMAFQREQDTILD FLRNAANNHLFSDCTLQVQFKPSQKFQGIPGHEKLYEPLAIPAHRLVLARSPTLVRIM ELQGTGPGGVVSLELDNEYVRSDTLMFAIRSLYGWTLRAYLPSQFPPRGVIEDFKLAL SYITTGRYLQLPHVFSFAVHHGAELVCWPTIELATEFVLKSVIFSSQQEGAPMMTELI DSVLHFLAHNIPQDFVLDTRVSDFGFPRLPAETRQAAAPPSAAPRDPQSSSNEPVPAT QAPSRLSKGSINPRLLQIRFGDLSLGAENGQGSTKQAESPRSNRAPALNDTILSRILL NLPFDMFKIVMENSPNMHHNIVNEVVQEREARRTSALAKHTDVLGAFARPALVNGMRD YWYNNMGFKEEVFSGDGAYLVRTWIHGEEASP NEUTE1DRAFT_68795 MASRASAGAAAAGPRASPGPRGPGVTAKSTSDPILRNALRYTIS AREYAVLHKHVISKSKTLRKRAPTVDTVQRIMDGEPQNRGRGGGGGQALAKGYATSTG SGGGASSSDKDSKAKAKEEVLARIAGKGAGADDYNARAIRHSLRVFIATGALMKLWGI VSARVLGKKQGGAASGQKQPLHKSPTLRLSLSLSTILLMYRLLFRFFTRLRAHLLDPT AAPFRIRNPKTTLTLTSPYAPAVGASLAGLALGVYPSQQLRVSIAVYALFRALEFGWN CAEDNGMVWGWDRGTHGRPDKKRTRPWWWGSWMLQPFAFGQLLHAAVFDRDCFPTAYG DFIFKHSTAYLHARPEDFPLSLKWPKVYEIIDSLATMAKLNWPAFISPVLFPGKEDTL PASLSAIAPLTSGAHPLITSLSCATLHPSDPSCTRTLLTFWLRSFTPLTKILLLVYSA MVLPRFSSLYHYPLSTIQGIISNALRMSTFLTGALGTWWSSICFFQTWLPRTFMPTQR FFLGGFLAGFWAYLEKKKGRPIFLHTAKASLNSLWKVGVKRRWWRAMKSGDVWVLVAA LALTGVVYERDAKAIKEKNWRKGVSWVRGEGWRDWVETLEIEGDEADEKEEDIYELKI EEDESEGEGLRY NEUTE1DRAFT_68797 MNHLSSLYEQLLRLPTSLPGPGYFADHAKEVVFSVKGAAALICA AASLQSCSSLPSSSSAPSSQHHDGQHPSELPYYGLSPPFYPTPVANGTSSSRWSSAYQ HALALTSQMTLLELQNLTRGFPGPCVGNTGSIDRLSIPPLCFYDGPSGVRGQEFASAF PAGIHLAATWDADLMYRYGQAVGAEYRGKGVNIALGPLAGPLGRVAKGGRNWEGLGSD PYLAGVGMGRIVEGVQGEGVIATAKHFLLNEQEYRRRWGQDAPEGEGHAISANVGDRA LREYVWPFMDALRAGAGAVMCGYNRANHSYVCQNSKLLNGVLKTELGFEGFVVTDWDA QMSGVASANAGADMVMPRDGFWGEKLIEAVKNGSVAEERLNDMATRVLAAWLYAGQDD GTYPPVGVAPGGQLPDPIDVQADHADLIREIGAAGTVLVKNVNGTLPLTQPKFLCIYG YDAIVKSTPWENPDRYGGGYDVNRGWTTFNGTLITGGGSGSSTPPYVVSPFEALSHRI RKDRGMLRWDFHSANPSQQYLNADACLVFINAYASEMSDRSALSDAFSDDLVLNIASW CSQTIAIVHSAGIRLVDPWISHPNVTAVLMAGLPGQESGNSLVDILYGDVNPSGRLPY TIAKKESDYGHLLNSSTGQEEGGDPFFPEDNFVEGLHIDYRFFDRHGISPRFEFGFGL SYTTFSYSDLQVYVSIAPGVMEETTMPSLAATRFAEFPDPDTPIIQGGHPDLWETLFV VRCRVENSGDKYEGREVVQLYVGIPASDEEEEDKETPVRQLRGFKRVGPLAPGEDGEA EFELTRRDLSVWDVEMQQWRLRRGKYKIWVGASSRDLRLSETFDI NEUTE1DRAFT_103732 MEIIALYGLLRAVDRPDPSTYLRIISTFILLYTTGSSENTYFPL WRQIEAYKLEGHQMCPKPARAARPAIRKSCQKRSPHQDYRFHSFLVVVEF NEUTE1DRAFT_68801 MNRFRNKKKAKEEAAAAANAAAVARSSEDSEHSSLSGFKGFRKG KKAPVEEPKLEFDLSTALPSDDNFRTSLLMTNLSARFSMLREQDDPNTKIGKASDDSV LHPKRQSRLPDFGFGAAGGLGDIAEVESIKAPSHFFRSDSIASADFDNGGSILSRGKP IEGNNLFGGRQKIYKVPATKSGGLGGRALYADDDVALSAFQRWRISEKEKEQQEHATE EEHEQAKAHEDHPRSESPPPLGYNFKRETSSTTSSASIMARNSTAANSIASQPAGYVS TAPTSGASTPALDRSVTHKRRLYEQSTSQDGPEQSSVLSRIESLNRQKTAASGIKALG DRLGEERTLASKTSAPQLRSVSLPITTPATGTGDLGNGAAPQADPELNFGGSPPLSPP ISETGETLPLLPIQPNDVGKATAMGVFQKPLQPYDETKYAQRQIQLQQGRETPTHRFR AESDASFATARSQESSTHGQPFEGRGDPLRTQPALEEEPSTTDFDSQTPESLQAEALA ALSGQLSLQRPADEEHPALRGAAAPPPLSISTSAKSENPTISIEESIEPASADSPTLG PNAGLGGLVRSHLRSGSNASSIWSAPTQNNDPEPRPLNMLPDPKITPWIAQEQEWTLN FYGNGAKPNTDNQAAEAVQKETPVVDSAPSNRSSSATNHGLSKPSNRSSNTDSELDEF ASQLAEARRRVKERLTSYAESDSSRATSPVRQPELPSHKGSQSSLNHLNINILKAKSS SSSLVDRSWNNSGSQPKSSLKLLGLGGARSSSRSPTKDSFDVRETAVQAIPERSKARQ ARHSSETENDNSTQSTNDKEDTWNAHPGLMAFRNAKRELQKRKELEALAQQQLSTTAP SLDVSNNMEPLALQSPPRAPRRGRTSSRDGKAHPMQYSSRGPSQERGYGMHRDSPTAH VMPGSRERSDSDANRGRSTSKSRRLHHLMLQQDGNMAPGSPRGPLRSPGLPDTNVKQS PIMPTQGYSNRGAPSPLPSPHVLERSKSAGNLKAGRSVYGAYPGPPSPASPMPPSPYT AGPAGSPAGTPTFSSKSRQLISETAKRFVDKREISEPKFVMATSRMPTMDLPHGYSQE GGSRPSSRAGVPPVPTTAPPVPPINPRRKRESPRDTPDGAGMGVPRPPFANQTESMAV SDAETQSAFSVSDDEEPEKSDYRRRLRKPHIESYGRVGRALANMGENGSTFSLKQVES QHK NEUTE1DRAFT_49845 MPFIRPFEPRDTEACMHICHATQSPTVAADPVTARMSPYIWCVQ FTHLSPQTCFVLVDDTDTNTNTDFSSSSKDGKDGKVVGYVIGTPDVHAFAACYPRYIK EVLQSPQGLIDVPPPKQLDTLESWFLPSSGGKTKEAAAAAQRLNPVCLAQLAYNPQWL VLSGPEGGPAEARKKEMVETWRAMLHIDLLDEWQGKGWGRKMIDRFVEAVRTSGADYG RGVNLGVAGENKKVVKFYEKVGFRVFEGGEVEGNVWMVRDLCGKTGGKERE NEUTE1DRAFT_103735 MNYSQQHQYLTNNTSSAQETRILTTFPVEGLPEPRVEELRRDEV LYPPSAPGDFPFHLRIPLLTQLNRWFCCQCATRAIHSLQSDEYRGLDKIGMVNNKTFD RCHDPACSHIQCANCALGPGFGGLLLFNSLLPPGGGRGVHMQGPTVVRTVAGLHAHPF HIDPCHWECACREWISNVFDSRCTMHLTRADSVVLNRYGQRLGTADQRVVERNGPWMV QRQGLSMVPERSQRDKGKGVVHPGHPPPAPTGPPTAVLLDVFRPGTAGYAGDEMDICD DEAEKIPVWKPGCPAPRYRRRRAPPPPPPLDKPEEVARYLSEYLASIPRGSPQPMLMA SAARGDLSRPGLVLMSGRRDDNESRSPFQGAGFSHPPRAGHHSGHRPLSVGATATMPS TSTRSFGVSGASDGRISNGWHRM NEUTE1DRAFT_118122 MINQAFTLQVLSPKGLCRSHVSRPAGSRCSYERVLAMGSMCYPV LSMYDGTRY NEUTE1DRAFT_140603 MQSTNSSSSSKSSMDSPLEVPLLPHERLQPPSSPHPTPLRPARH LPLDSDNSSDSKSSGSFSFPDMKQSDRPSSSSYDSNETIGIPLLPHEQLTSPWASMSS MGSPSSSSTSTTKRTRMHKNKHHRPDPLLLERVQHAQYAPMLEPETQYEQGSWFPPSS SSPSYSPTGTTTTILPPDHQRHRGEFCASPEPMTPAEEQVRRSLRPLFDMEIFGNKAS DQGFGACANNESETDIIASLEEGYLPPARKTETHDEEECTLKRSESLVSFKDLHIPEP KAPASMAITTLKRRVTDACETATDLVKGSRAAATTSAFVGDVFEYLIKKGF NEUTE1DRAFT_49555 MSEMHPDPPAILHGAVKDIVCNLEKQLKVQQEKLRVLYKEWNFW EAAGALLDFDLLEVSDFKIDELQKQLIEAQIEQLTLENDLSQMTEFARQVFSQQGLGF KTPSIPIPIPSANLFPLSAEYSHPSPPPKSYGQQMAQRRQDDFRYYLEHPEMLAVMPG QVSPTVTNMNNASVLDPQVTLVQSQNPHFPYHKPVNRPPAPSHTRRLSVKIVHPPSTS TTPEVRTNNLPPQNDLFFLRRMTAYRNSRYGSQKGIHPTDGKRNYEFDPHFWEKKENW DGTDDFWFKPSTRKRGRMNDEDKALSPCSVPRKRVKSAGGE NEUTE1DRAFT_48789 FHQFHPVTEARIAAWTIWVSRVHLLSNSRRWDWIPRGGQAAERQ GLERCLDRESDKPGSRLMEKEKTESVGNCHELAVYHVVQQCEQWCNGKGAVSGELE NEUTE1DRAFT_124564 MATQLSCDRPHSRVSMVSNQTYHSFNDIESHEPEVTSQQTTIVC HAPLSKTLSEDRPPPPIQEMRRQDSGYASIQRKESRSSCHLSSTLSAPSTSAKRRSRP AVQRSSTDTTPGTRSSRKAGKTVSHRRSYQCRPTQSQQPVTYFEFPVFTTSNPEYAID EAEVNEDESAQTHVHPLPPQTTHYWTSDSTRRMEYAAIDAASRGVRGWFMRHIVPECV VPPSKRHVGFEDDRGSVVRYRLDLEEDDAEKTDDRSDRRRKSWWLSIFRR NEUTE1DRAFT_118124 MSQPRRNTPIIPVFLPTITISVPTPSSSSGSSSSGLGSTSSFSA SGSSTGSSGKK NEUTE1DRAFT_124565 MKAGIFFSFFALAASALATPIAAGNQLESQAAQIDQLTTLVRQH TANMNATAAQYPENPTLAQQQDAADKLKPDFEAVTNALTQATTTLSKREFWTELAARG GAGFCDDDCLKVKIQVLILEITYTVKFLIVKLGLGCLIPLLTPLLLALSGLLRSLDKV VGGVLVLVGGLLHAVLGGLAGGLLGLIGF NEUTE1DRAFT_49219 MNRGRLYELRTKTRWSQGPRLHSERLKWFLYSRYAYVTSVDEGA SRVSLISCWSGVTRGRNFCKLVRSCSTRPGKADSLAKLVIRLKILQGLTRVVSP NEUTE1DRAFT_103741 MPPLTGADSNTEDVSTVAQSRSDRLEVPELIEAFTQLETGDESR PNPKSVAPSLTVPIPVADHGTYELFEHVPRQTIAELMRDIPGTSFNEFVRVLIDCGLL NWEDDDLPIDPKTRVAIMALTFQQLASPANLKLPPDVVERCSTMDLGRRHETTWSQRH VSRMDSSLAPG NEUTE1DRAFT_87492 MDRYRAYELHFRFSKEVDELEKELETEKQNASQELQKAKDEIES RRLEERSMLQKRLGTIPLPPDLTQFIEDKRDFYLRQATQKYEERLENDRKRFIEKVSA VNEKWRMLPFDIENSSTPPTELPISRLSLDTKTPISMPSPMQNHPPQAQPAVKLPVSH LSNKRPITEIQSPNENIANEQPAKRPSAKRSITFDEVYQEGNAKFKHIIVEYPPESGE FYILKCDDHGVHFGANPLAGAAKHLASKMHDHQSKNYSVAIDTLGFRVIGCNAELAQR NNTAVEEAAVNGYKPVNLLQLSVGKRARYVEANPEFANSFSTPIPSLPLASPAAEALP PAMAPPPSRETATSPAMAPPSSRDTSTPSPAKDRRKSSHIRTPSDRSTRSAVLIPNPK PASLYKGFWVQDKRHYPVMVLPVKEADLSSVGLEKTLEDTKLLQTVPLCYKLDKTAGK IKFEGWAPGYEDGGPLVKLRQVPVMYFDRDMSFGWLSIKDISAFDFDDPDWRQIPYFQ KAVEHWESLNKAEAGTTASSATTGGAEQPRLESNSAGATLPEPTRNPTAPAAAAAAAS TDTVNTPIPPAVVRLAEIAKTDPQLRALIDRVGSKEGTKEENERLERIVDQIALELSS SGEAVAATVQLLQPKSVSSGPKNMKGHGTGLRSPESSKPPTPHLTKAAISPAAAAPAP LVTAGPTPDSSTASSRTTSPTEVPAPTSTSINNPHVKPPIVKPATFVKPENGVTATAK ATQPQNQNEKFEVTIEKGSWNVDTNCPTEDKLSVRLIACNQTKIASTAPGLPYKNCPY KFEIDPNKFANVVVENCPSSPNAKTIVTIILKKGREGSGDRTVVLTFDRFVNPDTKTV ESGRVQARRFCRWLRGVNTSIAYSNKSFQ NEUTE1DRAFT_118126 MMLIWLAATETNIIWVWEVNGLVKVYFKVHTYYGLRDFRTAYLY MGSRRIAWVYL NEUTE1DRAFT_131858 MAAFDPPWLSHMSVPQPQRSGDALNSHPSTPSNQPKGIIYSNDG TASNPNPTHASFSPATLSSTAPSATSAAKRRSTILVHQKSPLLLATPPQITRALAYSH PFLLPLNTLAGLITWTTRDPWESFLMVAVFWAAVLYGDIIVRVAGPVVLVLLLIGGMY GRRYSPLSSSGWTEPGVAAGDMAALAGTDGKGSVRGKKAPKSKNLSVDGLPDNKNGKA NGGLGGGVGAGAGGGGQLRNQGSVSEVTNTRHQKTLDEIVETLKEFTARCNILLEPML ELTDFLSTQRTPTSATTKPALTTLFIRILLCTPFWFALTLPPFRLITTRRVILIFGTL ILTWHSRVMRVSRAILWRSASIRRFLELVTGLQFEKPVKVSPAEKSGVASSAASTASG SSANKKTFANIKSTKAYNAQESELTKALRRARGGHDTGVRFTFIIYENQRRWVGLGWT TSLFAYERPAWTDEHNNAVPPRDQFELPEVEDGSNMRWRWVEGSRWRVDGVPDEAVMP GEDADGKEWDYDSPGGRMGWVYYDNKWQNGRRGQDGWGRWTRRRKWYRDAELVEADDA LIEELAEDAIVDQQGNNYTVNGSANGNSSADSVTPTATPAPGPGIGARAQPRSLLSDQ LAASGEIASPSTAVPRKTSIKLKKSTPESAVDSLARIQAQDMTKSRMDMKDKLRKDKD AETEGGDEAASVVSTSSTSRSHRFSSALFGKSPTSGASSAVTIRGGRGSSTGGQQRRN FSDITTAPTTTGSPSASSSGGGGGGGYAGHDGRGQSPSTTPYSSSHSLFVPSSQSRSS SISRNTILNNSGNNNSYARSRRSSHSNAVSNSNTTTPTTATARGSSPAPQSTLMAAKT NEEDEVVSSFGTQTRLALQDAGKDAGSWGFGDEVRMGLE NEUTE1DRAFT_124568 MTPKPKAKPSSYPKTKAKIKDPRTLQATMKKTPTPKRKAIRHHA CRLNPPCKQGHGGPAKTILPIGKYFFSEEALEHDLGALKKGAVYKGDKEFYKRVKAWY KAEVDRCMHRKLVESEAEKEKEKKELKKLMIRPMAVTRPVTTKRTLAAAADSDDIDLS DDRSTSQSDESPSESDYSDFEDEHLRKVIALRASTPIRQNPQKLQTPSPSPNKLTPTR VQPSRAAKRKAEPPLLPLTPIQTPSPKRQKVTLNIKRPNPLPKAGHPGKEPAEETKGD YSLSKLDLDEFQPSYADRGELADRLDVASFMISVEKAVETAVSELVAARLGNGDDEEV FEPAGDAVPGENWRPPALSRSALATIELGVVGGKKKGVKKGLELRLASREGTPAPEAE MKLSPTLGRWQLADRRGSGFSGLAGPGRPRTEQLVKLELKVDLKAVVVDKECGPASEN RDAVAKTPVEESNKRSVQCSSGHFQVLSFSLLFAVFAGTDKEHCKSEGQRKGEGYRIG GTCNHGNG NEUTE1DRAFT_96043 MGDCGCSGASSCNCGSGCKFFYFLPRRLSDPIFNSWHGTNLGNF QGSCSNCGSK NEUTE1DRAFT_49063 MTRNESSSICPPSASATPDTLTALQLHALFDILTHYGTYSEVEC FKNPDAISKYGYPFASSIEGASEPVIKKESSVPLLATLLRAIVLPVPGVRDLPTDFWP LEFQGIMTKFGEANLSESYDKGSLGSRKTLATAASVIHEAVSRGLIGGLPKGSPRDLN GHYDRSKAQDLVRAWEDVLHELVYGSLVETLFDRIAEKPDIEGHSLAVQTAVDYIILH LATFMHHVFVLSPEGSYLLQMVASVHKMVPYSAIKQTFRIGNAASMINGITKLLLAKM SIGGLTNWLGLTQNADDGMNLLQRQTNRRRIISLVLSWDMAEFRKTAERIEKAKGGPS KVHIAVIKKYVAKSREEHLRIRNISIKRSESIIAAIFENSRRGLVESLSKEQHEQCLE YLSTLLAIRDRDEIIKILCKQNPDLFTQAVRDFIGSFEPMIRAVHQNIDLREHVSAAE SFLTDLIETSKAKDSPVAISSTLTAETTIETRAPSIEDYVGLIRRHKQSAYNFVHQVA KNCPEIKGEFLEYCKKTLAQFRQQPEQQSLSSAIPSNDSSSQAMDTKLRKGAAGSLSA DIQSLFNALPPQVKPDILAAADAHAAYLSSLEDLSLKRMQHILNDMNLTAPSISSLPE AAITTTTTSSLRDKFSLSASSPFGSRAPSRRNSNSANSNKKASMCGPGMFLSRWQSLM DETLITPQVPTGGQVRRGKDVKGVIAQAKTTVGSSAIVAGSNTDGNGNGAAWDSAALT RLVEKEVPEPPDVQVVVKMMGSGFGEVVERRMLERIEVVTI NEUTE1DRAFT_131861 MSTTDTEESQLQSAQLLVDAMSNDRRFGLIQDPALISEDDDMRN PSSPETIPSTQVADTPDEVDNGPSGFRLRVNEEGPVDTIIPSSVTPPPSSQMPNAAGT GAATSLAFASSQRSGYFSPPATTGMFGSKREANTALEFNPPASQEIADASIDKLRSML QTCIAENAKLKMETAHHKLQYNLLSLQADEDMKRAAVEHEMTRREVEALRVAEHTRQA RRDLDAASETQQTKFMQLKALYDQRVEEVDFLNRKLKAARKIIQQKEDENISLCDERE LLLNRIRENREHFQILCSPGGMFHGATIGRQTVAVTAPSQPQHHTPPRPTSRTVYREA QARADKDHSKGNFTMLLQAIDQENTSAPSTPRGLSHNAARHTRNAQSMSSLPTTPTQR LRGETGGLLPSVDLVPQTEPPYRHNRYVPETPVRSATRDRRRSRESTISAEDNEELAR QALQSVAAASFASRSSQHQLGPMQRNPTNEEEEEAFESQASQAATQMLRRDPRESFEV ASSVEHSRNGTPAPADKTAKLQAKLFGGLNKSGVSSGEKRKFAGHGDPIEEFSLRDRL SPTKKLRTVGGLRDPSKVGLGIQYSQDA NEUTE1DRAFT_118130 MTDCTEVYALVRCIQQPVLQTMLRGEVDCPHVPFLPTWQMHHSF AKIAVRQYTIHNEFRPTMLSDRSDILHNPKR NEUTE1DRAFT_87502 MGVLPKGLSSVLAKAPTDVVILSSLRTPITRSYKGHLKDAYPEE LLSVVLRATLDKVPELNPSKIEDVAVGVVLSELGGSKAARMALNHVGFDSSSTSLYTV NRACSSSLQAIASIAASIRTEAIDVGIGAGMESMTRNYGSRAIPTSYWPALKESPVKD ARDCVMPMGLTSENVASRYGVSREDQDAFAVKSHQLAAQARAEGRFKEEIVPVTTQYQ EVDKAGNKVGEPQTVTVTEDDGIRPTASLEGMAKLKPAFKPDGASTAGNSSQVSDGAA ATLLMRRSTATALGLGDRIIGKFVAANTVGCKPDEMGIGPAVAIPKLLGQLGLENKDV DRWEINEAFASQAIYCLRELGLEEAWKDGKVNPDGGAIALGHPLGATGARMVSTLLHG LGRTGGEVGVVSMCVGTGMGMAGVFVRE NEUTE1DRAFT_48847 MSLADTVDPIRSIDALDVLPLPTRMRMLEDCLDAGLKYSSEIAK LIDQAVPGTDDVDEDGTDAEALGRANLKQWLKDADEINDGHKQFEILVGVQGKTGAGK TSLLNALLGYKDLLPPNDALVATAAICQVAYNYSDDPKKAFRAEITFRKLLDVKHELN QFFQDIKLRDQLLNGRGEYEEDDDDRDGSDDSDIGEITERINATAEKIGPVWGYTRIE LESKSTQDLLAKTDPAVKLLSTTKTINAADLETFAPAVKPYLDATTTEITGRAGAETR EMAVWPLIDHVKVYVKSEVLRGGIVLVDLPGLGEIVETRAAVARKFYNKLTVSIVVTP SVRAAGEKTAVNLMTENQEINMRMSGKLDDHGYCIVLSKADDGVDWETTARNEKRQKD IKMVRDLRKKFNAEDTALKQLRPQINKVLGSVKPNTKVSVEEKRNRLEELRKLYDKRK CHLKRQRELKCEKKRAHWGQVFTAVQSRSALLAIEINRYLKDRHAIFMKSCPGAQAPF CPPKIFPVSVRAYWPLQRKIEDDPDAISEDPIMGFPEEAYTGIPALKGWLYEATIPQR ERHMKALLHRLIGLYYNLQTWSDKECERIKLHMTPDELREEYLDGEYRLLEKKLGAYK KKLSKQVSDCNPLKDTNEAMDTCIKQCVDHVKNWVYKDPEGENPHKKLHYGTFLAIIK AGGGVFCSRAGGQKKTFDWMEGLSHLFKNQICSQWVHSLHEQLPLLEGSALVELDARW DHRINTILTVLKKQFPRQKDYLASKVPSLKIIKEQVKDQVAQALHDVAINADDVHKEL AVDIKAKWKTTFKRASDPKLKGKGTMEKRHKILRAFSTHKDNKTYKDAVAKLKKVVAE EVNKFPKRLETIWMIGLAKLKAEVSLIMDNIVEYEKEQVDDSMEGLEGDGSEINEAGV SEELRKQKIGLQEKIRPMLNRWYFAWDMSPGDVLMHDVEELDQDEGAKTGCVKESEIP TIFSLGALVNDGDDTMAEDSFDSIIELHLNSGLVEDSTKIKEEIVDSD NEUTE1DRAFT_87504 LFSPSSKKFQIAIQLLGTTNPQQHFNHNSNLLPSSLTQNGSQDP RRPRSLHGPSLLPVQDHARHQQLLLPLRPPPVLLLRLSSIASTPFEHLHWADPRDKHR YIPST NEUTE1DRAFT_103752 MTVASASGPQVCCITALDLVNAYFRKRRGGKGVRGIHREAPVAE GRGFHGNEEAKTCTCCRSVDHVYDDQDYPVY NEUTE1DRAFT_103753 MAAPITIPIVSAPSPSHSISPSSSSEIRPVAPNLEIHPLPSHTS GLLLRVIPPRSPPNLPDPNFHHVPCDIVLAIDVSGSMSADAPVPTTTSADYTNEQPEH NGLSVLDLVKHAARTIVSTLNSSDRLGIVTFSTEAKVLQPLMPMTALNKKKTERNLGG MQPSSATNLWGGIVEGLKLFDGQSGRMPALMVLTDGMPNHMCPAQGYVAKLRAMETLP AAIHTFGFGYSLRSGLLKSVAEIGGGGYSFIPDAGMIGTVFVHSVANLQSTFANNVVL RLTYPKYLGLEETTGESVDKVESVQLEKGDVDPDSSMQLTLNLSTLQYGQSRDIFLRY DSKAQDAIADGFDFESPPSVFATLDYQHFTNITNTLISECNDIFRPNPQVKQLTTAQT AYHISRSALISFLSSLHRLRPDGEHQPRSFNPGLATSLQTFLSTLPAAQPAFASDPHC RSLVQDLIGSKSAINDANQDGQVALALTEEDFYNRWGIHYLPSLAGAHARQVCNSFKD PGPLMYGAESPLFVRCRDRLDAAFDSLPAPKPSRTTGFQGEISMRAYNSSGNPCFAGE MRVRVGVAVTEDVAADSDQLMTKEIEISKLRRGMMVQTPKGFRKVRAVLKTPVCEESM CLVMARDEGARRLLVTPWHPVSLDAKDWAFPRVVALGDYVSYTGDIYSVLLSADPDVD AHAIMVEGVWAVTLGHGLTGTGEGVVTAAEDVRTHRFFGDYKLGSGARHRHLSTTMAI DNMLEVMPN NEUTE1DRAFT_118131 MAIKHNQQIPNNHFRKDWQRRVRCHFDQAGKKASRRVARQAKAA ALAPRPVDKLRPIVRCPTIKYNRRTRLGRGFSLAELKAAGIPKLVAPTIGISVDPRRA NLSEESLAANVERLKAYQARLVVFPRKSNKPKKADTPKDQQTGEFVKSVEAVFGVERP IASGFKEIPKSELPSNIEGGAFRALRKARSDAKLIGVREKRAKDKAAAEAEKSK NEUTE1DRAFT_148663 MTFTLDENLLSQLEVAWNGGDKPLDTLAGLLERIGDGVRDPSLR NPLRESGILDFVLKVLPTEELDLSLKRQCLRVLGNGCADCDENRAKLVESGQLRTVIR FLNDRSLLPLLLPVLYNVIVDYEPAQLQVCEAGLSKALVALLQEGSLQPGGDHDQVLH LINNIFELLVSQKPEPDLADPSTPAVFLALAGGSPSGLPSLELFNGFCTVALAYLTYE SLQPAFLSSASNILHLQQAFYNACFSYENLPEPDLDDLDQLKTVCTTFVTLLADLSAH PSFSELYPISSSPVSRKFLLWLSSAEFANQHLRTAACLCLGNLSRSDEASTALVADPD FHVHTHLASLLAQALDPSNTAVPATPQLLHAILSFAKNLAIPQGNKIPIGEAFLPDLL AGAWIKTAVQPQVQFAAVSLARLLLVNAPGNVAKLCKPLAADFKHTEKEEVKSYFHLL MTLGARSDLEPTKIETARGVMSVVRALQATTAQEGEDKVEVLDKKDWGTTREEFYEVH GPLIARHLTHLLAQGKYPALRSEVLFVLALLARSTDGGASVVLRVLNEGTEAVKKLAV AVTGSEEVAAGLEGESGDSGRIEEVKDEKEEDKPAEVTSIMEGLNLNPQQAETKPSAA ATAKDRENGLVLVAEILRNHSKELKGPRKDVFEEILKKGTELLQEDRKGN NEUTE1DRAFT_131865 MRRIPRIPSTASALCSSSSSVASTSAAPLRTLRAAADLSSTCQS CNFSTQISATRNRVWQNTVQTQRRCASTVPETTPEAPVAAAAAETATESTETVEQQRK RKGGFVETQRQVNLVLPGQPTRADAPEKIRDPNYEPATSGAGLQEIGGMSDWWSKPEH FRDGGKQFEYQGFAPQEKITDPRLLKVILRRALVEGLALKKFGASPKNPADMASIIGN GDHWQRTVSVEMCRGENGELSLKNESDLQKVWILMRNAAEKTYYQREWQEEINRLRSL GEKEQAKQLLEEGKKLGYRLKSEEGSLVKLTVDEAVELRKSWNNDWKEAIIRDPVVKF YAAKRIQKMTGHILSDGKLTSIQTVANFMDALVTPPKPKKLAEQIEQSSILPELPNVK VYPRRVTPVDKEKMVGRWKVIQKELQKRELPVLGTGNHGKYVELKWLGSKQ NEUTE1DRAFT_124576 MPGTPKFVETPEQRVARLRAAHLKAKQAQVSRMDRIIDGSRRFF DSAHKVTVLGLIGFTVMAGLVTAYTAADMIIYNKNRKAEFIEAQKKMEADSLEAARLA YITGKATEEQTALVEEYLESEREAGRPKPSIFSKLPSVIGAPTPITNETTEQTTTSVS EAATWPAATTPKTTEEQQLQQQPAAAEEKSGLWGWLTGSLKKEDVAAGAGQQAPTLVG AVKQETSALKEKAQAAFEKEKENQRKGGPLDKVGLPEQK NEUTE1DRAFT_131867 MAPHAWATGPRKRSREDDYADAAMGGVSGFSEHRSKRQQILPVR TSPTAKRWMSTPSFPQEDPQPVVSGYQYYGAPDISDHNDPAVTEQHVWAAEPELPSNY SPDSMDMEMMDCEPEPRHQELQQQHQQQQYLQPGNFQPDHLAPEPSISERIPTPIHCS FAEQGRGKHWGGAAGNITMDHRGSYGVPPPTQQHHEPSVSLLGHESVPRSLDGAAATA QVMEDWSMVQNRRLPSPISEVGGDMEDSGMGESPRMILESYHAGHQHHHDHDHRDSQQ HLPRQRLSSLDQLTHSHPLLSAMPLRNSSLNSQMGPREPTPIPQGEYQSHGHGHGHSH GSFDGVEIDMGSPQTSPKTTSPPNKRGHQRSKHTVSSWTMANQPGMKRSFSIGYRDDC EKCRMKVPGHFNHIIVS NEUTE1DRAFT_87517 MVGPDMVALRADDTRVEGQDPLIPPALLISEIPMTDAALETVVK GRKEAVGVIMGKDDRLLVVVGPCSLHDPATALEYCSRLKALSEKLKDDLVIVMRAYLE KPRTTVGWKGLINDPDIDSSFKINKGLRISRQLFCDLTSAGMPIASEMLDTISPQFLA DFISVGAIGARTTESQLHRELASGLSFPVGFKNGTDGNLGVAIDAIGAAAAKHHFMGV TKQGLAAITRTKGNEHGFVILRGGTKGPNYDKENVQAAKETLIKKGQKLAIMVDCSHG NSNKDHRNQPKVAKVVADQIREGEKAIIGVMIESNINEGNQKVPAEGPSALKKGVSIT DACIDWESTVSTLEELAEAVRERREVNGGLASGVATPKPNPLEED NEUTE1DRAFT_148668 MARIHILTIFVLSLLSLVSASAPTFCKCTCFSNSTIIRLGPQPD DPANNNPQQPPPPPPPPPPPPPSKPTATITATALPPNSPRSPNDQSQFLLHLGGSKRA ASSSCTQCNRAFCLQYNLPICKDAEEKDVTTTCFQRDSRKDEIIVWGFILSTAGLLGW AGVRRVLEKGRVSGFGGGGGGAGGRSGLMGGLGGTGNIGGSRAGGNAGAGAGGLGGIG GGLFRRAFSGGAGGQGRGGGGGEQSTGQGAYSPLGGEGR NEUTE1DRAFT_124580 MTPFTPRAKPAPSSSMDEVELVDVPVEERPPQDRTARNANRMSV LSQASTTLLRWVPRVNFWVALICVTVAAFLMILDTSILSTALANACVLPLTSKIYTRF STKWTFNFFLLIFMVGSYICRAAQTSGMLIAGRAVMGLGAGGIWNGGLTIITAITSPR RRASVIGCVIAFAQMGVGAGPLFGGLLTQHTKDGWRACFKINLRVGMVLFFPVMFMQV PEQIEKPHPWRVLRRLHREFDLLGFALLAPAVFLFVWVLTTAQLSGPFSWSSGAILGS LCGSVLLFGVWGYWNYRKGDNALLPVTTMTKRVVWASALTQWFIMTTVYCMSFFLPIF FQSVQGKTPTISGLELLPGFGAQLFFGIAGGFLVERTGYIIPYAVVAGILCSVSCGLM STIDSGTHFGIIAVYQLINGAGRGFGTQMPTIAVQTANMHPIDTTIAITLLMFTQMLG TALVLAFANNIFAHSITMALERRMSREEVEALINNGTIGLSPKPEDREKLVKMEDLLK YVMRAYLEGVHNVFYLAAGFGVLAVLTAFFLGWTDIRKTSMKKNWFGMSSDKETNKLR KGMPGTPARANTSTSFNDNDPIGNASTGPMPSPPATASTKAERRASRTSATADFAESN ISPTPSPLANGTTKAERRLSRMSATAGLA NEUTE1DRAFT_124581 MENNSRPPSSQPRPSGLPRPSRLPVPGQSRLPVPRSTSLRKSPS QESLPADSGPAGTSLQSPRLRSTTSRDQLTSTTTSRRPQSMLIAPSRVVSSANCARAA SRQGQSQSAYQPFSASTGSHALHDTESIVSDDSPTSAVRSNSTLIERTIEALSQLPSS PSVRGKSAASFYSDGIPKGRTSSRPTSRLSRPGSSHQSHESLGASSNHGSRPGSRLDS EDDGSSFHGTYGSLRPRQGVHSINAPAARKATPRASRMSLYGDLPSSAIDNQSPKTPS TEKTKPAAPRPLAKRTSVYGLSKKPSGAGLGKGTQGTAPRKVSMASQRSAATSVEGSV SASSGSTATSADLTESSPAQTYRKASSTLREQIAKAKAAAKKAAVQPISESAPAVGHK SSGFGSFGDDLGFASHHDPFNQHKNDQSQAKVLQARFSTARTSGRLNIAAMGLKEIPI EVLEMYKLEAIGATGGAWAECVDLTRFVAADNELEIISDTIFPDVDPQEMVDDEDSEG NIFAGLETLDLHGNILVTLPMGLRQLRLLTSLNLSSNRLANSCLEVVSQITTLRDLKL GGNLLYGELDPCFANLENLEILDLHGNNLSSLPSNFGNLSRLRVLNVSENAFASLPFG TLAKMPLTEINARKNQISGTLIDDSVDSLPSLQILDVSSNQLVRLCSPTKAITMPALL QICLSMNRLQDLPYIGSWAKLHTIAADENNINSVPEGFAKLKHLKSVDFSSNDIRIIP NEVGQMENLMMLRLSGNPLREKKFSTISTEEMKGILALRLEPREDTSASKVNSLLEVS SVSEVEVVSPSDAGLKGIDMKELTINTTKTLPKTKKAPVGNNASKPKEPIVTNTATFE HDQLTDENDDIDDSRSDTDHYATPPTSARASPTHSRSQTLTEGIWPVKSGGVLDRSGT QSSSLHPVISSRVAAEHKVYEIQLHHNLFTTFPESLSFFAATLTHLNLSHNELVAEKY LGGLSGNDHLELRALRELNLNHNHISSLEPLLSHLRAPNLQKLDVSFNRISALPAGTA LRDAFPNLIVLTISNNHLSELDPESIKGMHVVDAANNDIAHLNPRIGLLGGSGGLERL DVSGNRFRVPRWSVLERGTEATLRWLRGRVPVAEKAAWRGKEGGDEDSDLD NEUTE1DRAFT_96047 MTRAQQTISIALLVSSLYLSLYLELVPLPALVQTAIVPVLPFWA LVSFGAWVLFRLGWGMLTFHDTPEAYKELMEEIKLAQADLRAKGVSVD NEUTE1DRAFT_68844 MEDPAPKRRRTSPRNSVPVPSDEAPTTQQPNQTTPEDTPAPESS GDTSTKRPSYASPTKASLQRHNPEVLQRRESLSLALRSQSESHDNNPLRSPARRPGIA KSPERPNPRPLPPPAPEDDEVILNPFRGRGLHRSPVPGVLPKVIVPEPDLPPTPEHPD LVVSTPPSGIHNTPSKRPRRSKALAETLRSSSPTKRAPVMSAKTAQLLVPGKPSEKLA PIPTTTEIPAEAQEPTTAELRGLQPPDPDADKKRLRDSLLAEIAELERDLAVASKENE RLRQAYVSKLKPSAPPNAIEILDLLRRRALPPKEQKDPDPSIAATSWLSSALHPIAFL PFSKPPSTGDDLPSLFSTVDDEKAEKPPISHHPLPMSADEALPYLQVFTPLTFTSAIF PLPPTESEPEPSLVSSAAAAAAAAAADQQPLLQRHYITATTPSKSFTARLELTVNTSN IFSITDLSVPLIHPPTAAAELAPLIERIIEQSKKGTSSSAMHNNVSVLCWAMGEWVRV AKERARVWCVLETELNAGKEAVRNMVEGWRERSRMRQKRRTRMGVGKGSKKRKAPRRD RDRERDGGDGGGRKRDEEGGSDENEQQEEEMEEEEEEEELDGKKVPEQELVPYMSRTS MDFDIPLLLGSGGGPGGAGDPGSAEKSSLRVQWKIEFDWTGEARSDIRVFVALPGKWH KCDERGQLAKIPALFDDLVQGGEEPLSAVRTVAALLAGEPQPQR NEUTE1DRAFT_87531 MVQKLYVTYNDVHNLCQEVAPKILETFNPQLMIAIGGGGYVPAR ILRSFLKRPGSPNIPIQAIGLSLYESLGEDVVEEPGTKVTRTQWLDLTALGSMKNLIG KRILIVDEVDDTRTTLEYAVKELQKDVEAARQALGGGEETQFAIFVLHNKDKPKKGTL PEDIISQGRYFAALTVGDEWICYPWEAGNIEEHDRNAAEAKAQKAADAQN NEUTE1DRAFT_68850 MRRSLIAARSSAGSLARAAVYQQHLQPRRMFASGSGTPPSKSEP PTTPVDRSQDQAQPIGAYYEGVLQGSKNYPDTKPELPPVTSQKYPTKLAPAEPEAQPE LEKKQQAESEPKPKQDDNAQGTSSQSAQDPTPSSNPSGDLSGSDGSGTVPEASSSPSP QILEDGEAGNTRIIFSASLTSEARRAERLASIRSQSKLVAGVLVPPRPEEPENCCMSG CINCVWDMYRDEMEAWATATAEAEQRLAAQQAGLGEEGVMAATGAVEAGGSQQTPSTS TSTAAGDGQEQQTIVKGTELAGTAHSVVDQRGAVSMDDDGGGSSSNWDFGTAQSPATG TAGTTKTLTSTWDDNLYKNVPVGIREFMKQEKRLKEKHAREGTVG NEUTE1DRAFT_68852 MTSEQVPPPSAAARIHSPRSSHDLVTVVLTTSPTPSAPSTELLS SIIASFQKHCAPLTKCRIILVLDTYDRIASDSRLKKGTVTAEGAKKFAEYKKNAKKLI LDEYSRSYGGAENAFYDDELIITEGEAEFGSVAYAQQHNAVTLTITRTKDGRITFIEP HARVGFGLGVRSALRLIETPYVWIQQHDWALVADIPLAPLLEIMQQSESAPESPDSSD SETTDGQEPRRTSDVPVKYVCFPSTRMVSYAKSDHVMLYPALRALTNLYKQNFSVGSG STSVKIPLTPLFFWHDKPHLASTAHYLSQIFPSRAAMLRGAFIEDTAGQRARTEMKDG MWRKWACWLYYPDEGTKLCVRHLKGRTWRGTDGELVQRMQYMRLNSYNS NEUTE1DRAFT_124587 MPGSSLWLIPPPTHPLYPILSFLISQRLPLDFPSEAGAADARLS PEFFAPHMTLSSGISPDLYGDDPQRWLDSIPWPSADEVQVRFEGISSQDTYYRRCYAR VKLDEGIKKIAGLARARGVNGEDDAKGAKTQEWLDWWRKEFGPHVSLMYGDVPISDDR LKEVAKVVEEAGVKLTEPVGDAEGNGWNGGVVWLVPTDRDIKDWKPIAKRVL NEUTE1DRAFT_112834 MSESLPEPVLHRIPCTCPRASDCSLRCYAYLPTIPSPDSTSYSS AQSSRLVLKQHIRTTFGHLHSLFQSFISSTSTSTSPDRDAGHLSRLGLYSRSSTEDTS TSSHIPTLVLQSLHTLLNRSRNRNQRLNSLIAEPAKDEDPEAIPMFHLTVLFSALLRN YEFFLVDLVPYYREDWAEGRGRCLMAEFLGEITRAEKKLERSDKTEATAVD NEUTE1DRAFT_103769 MFDTHSKQRSWMKRQKVRLTRQLHRLTNVLCPSPSKSRPSSQIR GSRQYRSPSPYPWPRLAAKEVDEIEGQPEEPESATGCDDLDELSLSASHASNASSMWR PPIPYLDKTRQSRSPSLSTSQAVQARHEVKLITPPPTEAQPQSAIIEQVPSAVVNDKT SLLADTIPFEVDNSSKWENVTARDTTMIQDLTFCSLDEAPVLDPTRPLRYLGCPNEGL ATRTPPQIHPSPSGYILPWLILWLI NEUTE1DRAFT_148677 MARSWLDIDPSSDFSLANIPFGIISTPDDSSPHVGIAIGTYVLD LKVLALQIGPENLLPSGGASSLAVFAQPTLNAFAQLGRSVHRHVRERIQDLLSEDTTR PDLLKNNAELRERALVPQQSVQMHLPMVIGDYTDFYAGYHHAYAVGVMFRGPENALQP NYTHFPVGYHGRASSIVVSGTPVRRPVGQILQDPKADPKRPTVAPTQRLDIELELGCF ISKSNPLGQPVGIDEAEEHVFGYVLLNDWSARDIQAWEYVPLGPFNGKNFATTISPWV VLADALEPFRTKGIENPTPVQEYLKEKREATVYDIHLEVDLTTPEGNTSTVAKTSGKN LMWSFPQMIAHHTLGGCPLRPGDLLGSGTISGPVPEQSGSLLELSEGGKREFQLNGGN ETRMFLKDGDTVTLRGFCVGKAGGRVGFGECTGRVQG NEUTE1DRAFT_68861 MDLLETQVSDLRSFLGAGGLPSATTNTTTSTAPIASSPDGIGTA AAATQQGMSGSTPGASGGALGGLGSISATGLTGGSGGGVVQGGMPSSAALPPHPSTGY SLTKSNLYNSHHHLHPLQSHPRDFGPHDFASGPMRTAEGTNHGAPRPSITGSTTASLA NPHDPYRRNSSVYSPHGDNSAQNDMNYGSMSPATQSDTYTQDHPTGTAPRNNRTTSGS AAKRKAADDDGASSSTKQQRSKRNRYTSIACNECKRRKIKCNGQTPCQRCGHLNLQCL YAPNCCSHSLKDSDEFKQMADQVSRLQDQVETLFSNMSALRQEALRLAPIHDRILPPP SATVTPSPSSASMPQFSKPLAPLRPPSAFNGPTSIAFTVDVAKNTLHNMGYAGASEAL DDSGDSGDSNSGPQAEPTPRTSPTPLPPMQPSSYQRQMDPLWEFNKDEMIRLCKLHDE EVSVMYPVMKMEPTIQHAIEISEWMEKLKRQGMVPPADQAEIFTDDKTLLLKIVLCTA LVIQEHGHSAMAARLYETIEAVTMKTLMSGLTDVLKLPYLALVAGYKFFSNDEVAAWR MMGQLMRLCFELGLHRREGLDKITDPQDRKNTINTFWIAYVLERRWSFATGLPFVCDD AKIDPKLPYPDDNPFLRAMITWSMLAAKTWKLIDYFEPAVIRELKPSDFEELDNKILA WYDSVPEEIKISTHEPVKMPIGGTQRLQIWTRLRLNQIRIWLYTPILHSAISIAENME FARRAVELAKETIHYLTDLNDTTNIYRRMQMFGHQFLTSSIAVLFLASTHAPLQFSAS CRKEFYMALELIKDMSAKSWVSRRIWRTIGSLKKYAARLGMEEDSSKTSNPTTRNSAM ARPFGRFQDNAAGGSPASSVYSPGTATYSGGGPFGRSDTLSQGTRSGELASDASTPAA HQSQMQGSSPDDLTNGLRLHNEMSRIFENMQGGLPSGRARANRIHSPDVAMSGAGGGG YFSNLTNSHDVGMTGRASTGSPASSNGAGVYQQLRDMF NEUTE1DRAFT_87548 MAATAKTVVATGASSGLGFHVIKHLLSQTSQPYLFILGARDVSR TQDAYRSAFQGAALDPHSLEILPLQLSDLKSVKHFAKQTLEKLEGKRIDYLLLNAGIT NGAGTEKPGPGGSKWCEALVVNHLSQHYLSHLLLPALLRPGSDIAADTTADSNSRIVV VSSGAIRRVTDPSTLEEDLLAGSGKDGNTVYAETKFVQLLGAHWWRRKLKDAACKVVA VSPGLIPGTGIGRGSGLVLSMEMKDAKSVDEGAQSILRAFTRDDFPEDPNRIFLTSWG EWWESETIGKTLNKELQDRWCSEKEEIETEEGLKDF NEUTE1DRAFT_68867 MKSTATLLTALSALAVSPALADFDGFFRFIGGNSVISGQRLRLN NSIPWISPGVSHAPYNPADHFSRIYINDTTPSLLYVVPTNPHPPPVPGYYALSGTEGV PDAYRLVQTYRPDEEGTVNLYKDWKVKKVCNQAKNETRLLLRYGNDLYNEWRWIAVRE VGYTGAERWVPWWVKHSDANVGNLTTWDYDIADLELVEAEGPVNSNAPGGVQEN NEUTE1DRAFT_103774 MGRSKSALRASREEKAESKAAGVNLNKRLAMALKAKGAPRPIWD PPRMSVTPSPKKSEPASRKVKAEPKSPEPYSGPTLRSSTASAAVKAEKPYPGRTLRSP TTSSAGNAKKGPKKEPYTGPTLRSSAASHANNIKKIQKIKDEMSSASFDGPTPRSSTT SAAGKSKKPYSGPTLRSSTISSAADVKKEQYSGPTLRSSTASSNRRAIQKIKDELSSK SSSNSASDSTMVVNSSEPHDEPDPQPEAEHEDDPEQEAGPKVKPEPGRAQRTTAKAHC TDLRKCIRCSVWGFEYPSLHVVEDEEEI NEUTE1DRAFT_140637 MPKRTLATARGAHSDKAALRAQGINPNKRLAGLNIRTVPQRMTA QNEPPAPGDESEEEPEEAPAEEAEEEAEEEPAAKRRKTTKAAGGKRKRASDDDDEDDE EEAEESEEPEERPRKRAKSAANKKPARKAKSPKRKNKKKASNKKAKKAKESEDESEEE SEDEAYDPMQLWEVRDIIAEQVDSDGQLQYLVDWEDNPETGEKYDPTWEPAENVVDTS AQILAEWEERRARRASTEEEVSAEAVDPDDIEMEDVPEEEMPEEEERREIVQGRRRAG PKPRTQPKYPRKKLVAMTAPK NEUTE1DRAFT_48868 MDKQSVQGVPASTAARPVEEATLANNEPKADNSRRAVGIFCDQV LSTTREMHDALEISNEKLRLLMREVQELQEKTGS NEUTE1DRAFT_103777 MPANETSDHSSKTAKVDENTTTPKITPNMSYVASPKVTLTPRAL EILREQILPRIQSDIKKDLAAFERQEKMRASQAEK NEUTE1DRAFT_140640 MAGRASFPNLGRTSVAPNRSARWDRDFFDEREHDMTLERGRGSG RDRFEALPIRTRNPDDDDDYFRQSRRVPPPREHSVDGRFMHDRRARRPHFDEEEDDDL LFRERRRVMYDDIETPRPPSTTRRRRSPSASSFVRRRPSSERERFRSPSPPTRPTARM RRRTSSVDTYDRKPKGFYDREEYDPPARRSDYRIPPNVPIPLPRHKALPPPRVYAEHD YFQDIQVSDPYRYGDEDFHAFPGGEKFREREVEKEVIHTRRGRRDRSRDSRTSRATSR SRRSSRRSRSVASSTSSSSSSSGGTTITSKSEYPKKGKTRIPSRLLSRRALIESGFPF VEEGTTTIIQKALGQEHIDHLLKMSDEYKKAELEIHAARSSAGDVIEEREESRTEIIE YTDTAIPGPPHHTTHYTQTTTHAHPHVHTTTVHTHSPVQATYTQPVMVNATPPVHTQQ VDFAKTVQVRDVSPSRASSYSSWDSTSSWTDLTCTTGTTCSTCSTATVAPRGHVHTPQ VVHVAHPDEFSKGLMILDKSHSMSRSRSRHRSQSRSRHHSRHRSRHRSSHRSRHRSQS RRSRSRHHSYEKERHGSRGNLVLAERLATGELVLHEEEVERIEEPRRGVRLEKDKRGR MSISVPRNRR NEUTE1DRAFT_68872 MCGTDAPNGTNGASNGVNPANFRSNPYQPVGDFLSNVGSFKIIE STLREGEQFANAYFDTETKIKIAKALDDFGVDYIELTSPAASEQSRRDCEAICKLGLK AKILTHVRCDMRDAQLAVETGVDGLDVVIGTSSFLREHSHGKDMAYIEKTAVEVIEYV KSKGLEVRFSSEDSFRSDLVDLLSLYRAVDKVGVHRVGIADTVGCASPRQVYDLVRTL RGVVSCDIETHFHDDTGCAIANAYCALEAGATHIDTSVLGIGERNGITPLGGLMARMI VTSPDYVKSKYKLHKLKELEDLVAEAVEINTPFNNPITGFCAFTHKAGIHAKAILNNP STYEILNPADFGLTRYVHFASRLTGWNAVKTRVGQLGLEMTDDQVKECTAKIKALADV RPIAIDDADSIIRTFHLGLHEQNKVQPPAVVEN NEUTE1DRAFT_124594 MCIVLLTTAHPKYALIVIDNRDEYILRPTSKPHWWTALSESPST DKHEYDCRKVEVISSRDLARAEHGTWLGMTKGGHMAVLTNYRETNTHDAAHPIAGKRS RGGMVTAWLAAHPDEPVQDFVSRMVGSGEARDIGGFSLVCGKLRKKKAEKAIEPLAII SNRAEHIDRVPWICGDRDRTYGLSNAIFLGPSEEVNELTWPKVRDGREKLKQVIEATS SDDVSEDVLVEELYKVLDTTNFPVDRCIDVEEGIPLLKNSIFIPAFGGKQHQAEMEAA RQRGTIKEKGPHDCASEALTTVNRPDNQPYGFQTGLYGTQRQTIILVDWDGNVTYRER ALFDAHGNPIPRGAGDETFKFKIEGWEEATDGEPEIRS NEUTE1DRAFT_49489 MSRRLSSSTGEIESGTPLRYASPLLASPWIASTCFEESDSEESD GTELVLLGHNNCSRFPAKGAVKVESPKAEADNYFVWSSINPPPNFETICNARGEAYRN LPKLKGLPLSTSGPPIHFVSVTATADDCLEHLEGSELAEKDHFRSSKQQTVRYSEGSR PSVPKSQEDNIQNNTIHNDEVHSNETSIVQVTWVLLLTFNAVIRGTSRLVLFSTLVPL LFVKRTGLFVLCALFEVVGVWLLFASQCYNILLLIFKKLTLLARIQCIKGLIWALKLL KWSITFKTALRRDEGPAATEDKGKAMDHNVGPSTEATEPTPTCEEAPPPLPINPRFVS TAMPSAYWAGRFTSLHDRFHNEILGPRNLNLIIEAHTFLSTTSGDLNNSTTTPSPPAS AFNNPSTSVYASSRIVPIRDLSFYTPAMMHPNADQLGLETTENRHIPHYIRCIPHSAT TDAILQSSRKSSTYVSERNPAVYKTVQHEETYNPKVPSPSMIPTRVIPRSESSRILPR RPLPEPPVSESSRILPRRPLPELSFTESSNVIHVHPPTDYNNRSSMSFRMKNTDRPNR IMSESQAKAQKEREAMLRRVTVADATALTDDDNRCRRVFVHLEACCVTDEARNSLYAW QQDYARRTQREVLLPKGGTMHGPRGFKNSVFGRSLFGSRRSRGSLPAGSGGGSITTGL NVDLGQPQSEVRPSKTERSLLQVPRRVFAHGRSASMKVDSMEQSSYGHRHERHGTVRG IEADNEVRKERYQYRMSLA NEUTE1DRAFT_87562 MSLGGQQLLEYLEKLPGTAFRRLYQSPATALAIFRRMLSYHEGG GKIHCDRTIAALRSLHILQVSPPSKDRTQEIQITASFKKGLQLAFEGGGEDGSFGVPS SLPVDPSIDIAYLDKYARKKWDDILHYVVNSLGVHGSSEGVSGPKASVKELLLAGRLV ERRPDTRTGIGITQAGFTFLLQESNAQVWTLLLLWLEAADHTADSGMDSIDMLSFLFM LSSLELGTAYDTSALTETRRNMLPSLVDFGLIYFPRDTRQFFPTRLATTLTSTASALR TVSSAFTAATANPSGEPGTTTSTSGGPAATPDKTQTAAKGIIIETNYRIYAYTTSPLQ IAVLALFCQLNMRFPNMVSGRLTRDSIRQAIGFGITADQIISYLHAHAHQQMVREATV TGKPVLPPTVVDQIRLWQLENERMQTTAGFLFKDFESTEEYAALSRYAEEIGVLVWKN DKRQLFFASKHEQLRDYLKSRKKGE NEUTE1DRAFT_103784 MAPKLPPARFVRSLRVTGATEGKVDFELDITKDHTNRLKIIHGG TIASMVDLGGSLAVASKGLYATGVSTDLNVTYLSSGGKVGEKISGTAVCDKMGKTLAY TTVTFRNQKGELCARGSHTKYVANAWKSQNGTELFTPPEGAAIAEDDVE NEUTE1DRAFT_87570 MFDILPNLLSSVASFLFPVYASYKALRSSDPAQLTPWLMYWVVI SFVVLVESWVGWFLVWVPFYAFMRFVFLLYLVLPQTQGARVIYQTHIEPWLEANEGQI EDFIASAHERLKAAGLAYLKQAIEYVKTQVLGMPPTPPEPASASYGGGAGASQTAQSY TQSLLARFNVPSARPGGANNAAGGALGTDFYSFLSSAVTAASNAYSNKGSGTQFDRDV TPTPGTGGGASSLVPESIRGVDARISFIEAQRERLNTVLNALDREASQLQNNQQQSGV PGFSFDGADIGLTSSAGNPWQGSSSRSGKNSRSMSEHSSVSGGGLSRNRSEADFEKLE AESGEEEIMDDNGRRVRHRPGPNAQGSSGWFGWGAGGADDAAAAKSTGVDK NEUTE1DRAFT_50393 MLRLASSIKYLVSHDYDYKKRGQVMLKDLSLAQSGNAIIGCRIL GLLGSRTTCIAFISGLPKLLSKQSRIHDGKCPRPSRKEKLDAYSPLSAMPANPEKGQI AITNSEAPRRASVPSRWLFNIHGCHLKRLRSVMTAGKGTSVVNR NEUTE1DRAFT_68887 MAAGVSETMTSEKRRQSLSESDTKEGYFENAPGAHYRAERGQAA TDIHGNPLVELDPVAETKLRRKIDLYIIPTVAILYLFCFIDRANIGNARLDKLEKDLD LHGYDYNTLLSIFYVGYIVFEIPANIACKWMGPGWFLPLTSLGFGIMSVCMAFVNNFS QACGVRFLLGVFEAGMMPGIAYYLSRWYRRSELTLRLSLYIVMSPLAGAFGGLLASGI LKLDHFGSLHGWRMIFGIEGIITVGLSLIGFLTLTDHPATAKWLSQEEKDLAIARVKS ERIGQTEIIDKMDAKKLKRGIFNPVVFFTGFAFLLNNITVQGLAFFAPTVVATIYPTK NIIQKQLLTVPPYVVGGFFTVLMPLISRRLDRRQIIIIACCPLVMVGYIMFLATENAH IRYGAAFLVSTSVFAAGPLTNSQVSANVVSDTARSSAIAYNVMLGNVGGLVATWSFLP FDAPKYHIGNGINLAASSGTLIIALCLLIWMKRDNTKRELRNAEEELTGMSQQEIQDL DYKHPGFRWKP NEUTE1DRAFT_87576 MGSVDTTLPHPFDPLSREEIENTIFTVKKAHGDVYFNVVSLQEP RKAEMTAWLEDPTKAPRPKRLADVVVIAPGGKVYDGLVDPAKGEIVSWEWAEGQQPIL TMEELQLVEHIARKDPKVIEQCKISGIPEEDMDKVYCDPWTIGFDERFGSSVRLQQAL MYYRPELDNCQYQYPLDFCPIYDSDKQEIIAIDIPKIRRPLSKAPAFDYHPAAIEARG GFRNNLKPINITQPEGVSFKLNGREIEWQNWKFHIGFNYREGIVLNNITFNDQGNVRP IFYRLSIAEMVVPYGNPEHPHHRKHAFDLGEYGAGYLTNSLSLGCDCKGSIHYLDAEF PTRVGGIRKIKNAICIHEEDSGILFKHTDFRDDSVIVTRGRKLIIQQIFTAANYEYVV AWIFHQDGTIQPEIKLTGILNTYIMEPDEDTHGWGTQVYTGVNAHNHQHLFCLRIDAN VDGPNNSVYVTDAVPGEAPVGSKENFYGNAFYSKRAKLATTGEGKTDYIAQTSRTWEI CNPNKLNPYSKKPVSYKLVSREVPTLLPKEGSLVWKRAAFARHSVHVTKYRDDQLWPA GRHVPQTSGEPSRGLPEWIGDGTESIDNTNVVVWHTFGVTHFPTPEDFPVMPVEPMTL LLRPRNFFARNPVLDVPPSYASTPSQLLAKGQGVYDAADKLSKLAFEVPKEVDGKGVS GAGNGAGGSMSSCCKNGDGCQ NEUTE1DRAFT_148691 MASNDKVPDQRPSASAAATAGQQDDEPDEWDKRIFSTGCSDENA RLTDCYYEKKDWRLCKSEMERFRQCWKLQGNDRRTDTKDV NEUTE1DRAFT_124604 MATRLKTDQRVLNVLIYAGAGVTPECLRQCTQSLKRLVGSKYAV SHITASTLKTEPWQSTCALLVFPGGADLPFCRDLNGPGNRVIADYVRNGGAYLGFCAG GYYGSGQCWFQLDDPSQDVKGPRELAFFPGTCGGPAFKGFQYHCEMGAKAAKLSVRKE AFTGGQAPPDVFKSYYNGGGVFLNAEAMESDGVEILAEYADRIDFPSGKTQAAVVFCK VGQGKAILTGPHPEFSGTSLKSQPDIPGYDKLVEEVTADESARLEFLAACLSKMGLSL SPKAAWDDIPRLTTMLNIYCQGGHLESLLNRLTGRSYAVITDGSIWLEDKYGSDMFHL EWTTDGSCSTNYEPRKSDKITWRLNVGSKVAGQGDDESGTEFDHDIYYASLEEFRTLE SSRGFSTWKQALGTHGAWCPTLSWGNHLMYGDTVTSTNTLLSSNPQLLSKLESGFTFT ATRQVAGRGRGNNVWVSPAGCLIMSTVINHSANVIASRPLGFFNYLAAIAIVEAIKGY DHEDDIYQKLDVKIKWPNDIYVRDPSKPNEPAYVKVGGILANCSYSAPNYQVVLGIGL NTNNAQPTTSLDALLKWTASMLEGDKPSPPPFNIERLVARIVICLEILYDHFLRKGFS DEVEALYYKHWLHTNQIVTLEDEDGVKARVLGITKDQGLLVAEEVMEDGIELNSWKGT GTMFQLQSDENGFDYWKGLIKRKV NEUTE1DRAFT_118142 MEGGATADCYCGQIDCCIPPFLHDDTTWRRRERNDQHTITMLFL LLPSIVLRSGPVPP NEUTE1DRAFT_87585 MAYRLITQVVVVGSRVLGRAFAEAYKQAAASSQYQRAQQKNGNA ATGRASLTSGMTLDEACKILNVNKPADGTAANMEEVMERFKRLFDANDPEKGGSFYLQ SKVVRARERLEAEIKPKMEEKQAEEEVKEGWNPKIYKDR NEUTE1DRAFT_124605 MSQYYGAPPNQGYAPSNAQNLQFYPSSYTQPVSGHATPSQAAYG YGGPSSSGGYGVGTGGFSSGFGGSSAAGGVSGRMGEQGGLRTGWLAAFSTEGYEGEPP LLEELGVNFGHIRSKTLAVLNPFGRIDQHLMDDSDMAGPVLFFFLMGTFLLLSGRVHF GYIYGLALFGSISLHVILSLMAPSAGVPSSSASASGPAGPGAPAQAYSSYPGPSATSI SSASMSAADHDHSAGRSTLTFARSASVLGYCLLPLVATSLVGIVMPMDTPLGIVLTSA AILWSTYSASGIFCAVSRMRSMRALVAYPLALFYVGFGIMSVFSSKGSGSFAKVGTAS SL NEUTE1DRAFT_131894 MPPKRAAATQTAKENAPAAKTATKKTKTTTTVTKKTTAKVAKKD EEEPKAAPKAAATKKTAAPKAAAKKAAAPKKTAAPKAAAKKAPAPKKAAAPKKTAAAK KAAAAKDDSDKENSVAGAKRKREEDEEEEEQEELADEKQVNGTRPMIKRAKTDEDEAA KPAPKKAKAAPKPKPEPKKKPLGKVINEAPTKVMDIFVFGEGTSGELGLGSLKYDGKK PIDVKRPRINHNLSGIVQVACGGMHVAALTKDNKILTWGVNDQGALGRDTTWDGGLRD ADAESDSEDEDDTGLNPKESTPGEIDTTDVPEGTVWVQVVASDSATFALTATGQVYGW GTFRSNEGILGFTRNVLIQKTPALITQLSKIKQLAVGSNHVLALDEKNKVFAWGAGQQ AQLARRLLERDENGALFPASIGTLPGRAKAAKLACGSYHCFIIDTKGRVIGWGLNNYA ELGIEAEAGTDGGFILKPQLVDSLKEHNIVDIAGGEHHSLATNDKGELLTWGRIDGHQ VGHPSGSFTEENTIFDEKDKPRILVQPAVVPDIEGKIVHVAAGTDHSFAITEDGKVYS WGFSANYQTGQGTTDDIETPTLIDNSAIRDRKIIFAGAGGQYSILGAEPKE NEUTE1DRAFT_140657 MITAPSISALSGPTISGNVVGLGGAGIVSGWIRDGMVAGRNDDI HWTGQTIRDNVSRRYTTATISPPVVDHALVAGEADEELLEGSELGSLIPVLSTPSTFL RLGIPTLSARYSLFLGFSQLLWQATATWSICHRIRAIAWLAAKAPFIILGDLWINVYA SMNYDPFANRGFSHASPTGGLTRFQILFWNWTKWLRCDAKPWLVSVWEDLRGILVQGT SFFSRNTKKKRKKWRIPGPTLQGIVADTKSQLEREEEEKELERRRKEQETAADNGNGG SRRKGGRGNGGRKQRKW NEUTE1DRAFT_140658 MSAPVAKASTCCGKSAECICAKQATCSCGKQSALHCTCDKANSE NAVEGPRCSCRARPAGQCTCDRASTENQKPTGNACACGTRPADACTCEKAADGGFKPT DLETDFTTKN NEUTE1DRAFT_118144 MTNVDHAVYQYLEPSGPACDGSCVFGRSIKHQQGKRIVIMVIIV VLGANDVEAVVRACHLSKSGLIRGCKTRDVLRNT NEUTE1DRAFT_124609 MHYIRFCRPPVLEQGRSGLKTLKLVLTITTDLSDKFLCPHEPIQ LSIIGAGAPANPQNGPVPINLTPKNPPTWRAGMRVLKVDVQLPPQPIETVQVRPTNRQ LAALITDDISSGTGAGLILPVFADLAPGPTSHICFRSLRLPGGTATLQVEEEMGESMA RHVWDGGLTTASFVASMVLGQTAANSSSTTVSLPILANILQQNDGPLNIMELGCGVGI LGLGVARILGVSSQATAEKSTLLMTDLPDAEERTLANIVRSADQTACTVMYENLDWND GKDGIFGPEVTSRAWDLVILSDCTYNDLLPELVKTLSAVHAHSAQHSDPSNTKILLST KPRHSDEKVFFDLMSEDGWSIQEEVKLPLPVLNDEAQTVEVYLFSKS NEUTE1DRAFT_50119 MTSTKDPITLLSTILRLTEERIIPLTRAGVSSGSKLFGAAILSR SSLKPLTVSTNNERESPLLHGEINCIQQYFTLPPASFPEQDQEKEGYRIPTKDTIFFA THEPCSLCLSGITWAGFNEFYYLFTYEDSRDLFAIPYDIEILEEVFRVKAPGDTEESL KSRPLYNRRNKFFVGRSLIELLEEAALSAEETDKWKKEIERVKGLYNGLSETYQEGKR DGVESASVWK NEUTE1DRAFT_112861 MMAAGAPAHPPEGGERRPSYQYYNNQESTLLPEVPLLTGIDNLR LWEVAVLAHLRHYNLDDNSEARDPSTPPSARDGTLYLSWDHMQNRIKVYTIIRRSISD LMSFLEKECRSNPWISLNDPTYDAKGLYELVKEVYSRPPPLDPHQSQDDLMIDLLMLK AVNFGTLSDYLDSFYALWSRLDVSGLQLTDDVLLNFLISGLDGHYDEGWTEDLEKQRL MKKIDLHEALNLVGMRVCEENMVVLPPVPPKDDKYLKGSPTESSSSSTIEQENNIEVN TSMDESIRGCFGHEDELEADIMRRQEEMAAHFRSRTLQWAVENAFLTSWSLEDLDPLS TEHDITTENRRSDDGSRNVSPTNKKERKRGYQPLSDLMARYIPLPLSITSRGSSPSTI AARMDALRIDDKSQVEHNDFEPRDLPSPLASDQSAARKERRRRFLTRFTGTPTKSSPG PVRVMSYQEEWKPKPLFSSPRKFSWEDGVSNSEHDYEPTVLRNTPEPQVRRDLPDTPG TGGSEKQQNEQDEYRLEKRLSAFSPEKVVQKAATAVRNFSRPERPLIRTASF NEUTE1DRAFT_112862 MSVAWVFSAPVTVLSCKHHVVPSTQQAKVKKQSNIHRETGYIQT IGILGTPSEILVITKRQASGDSSFMKTSKLTWDSYDLPRLQAKLPILHIHLGGYHHEV ELVMRHVNAGFTMLQRLSNDYPSVPFNPRYSHHSEGRNEL NEUTE1DRAFT_140662 MATYSPYESTRYRDVYAHYDEDRFASASTTPSPRQPAYFTELPP RPQRPATRAHFRSGSVSGYTNAYSSPRGSFSPRYTSDGHYATANVSHSRSSRKHSFSV PRSNPKRERRSSYSYNYRASDSHGESDEDELDRLVVDGFAYMQPLQSRFDRYSTRDYF TVNAGGQGTDYHYYAQTGPHRDYYDRESAFPSAKYDSDRTDRRPLAGQRRSSTSHAVP QRPQTARPASSSHKKPPPPTRVATEEDAKNWKIPPGYSLKNWDPTEEPILLLGSVFDA NSLGKWIYDWTVYHHGPATPISEMAGELWLLLIQLAGKIKRADQCIDKVRSKENKEIL QEFMDAGDRLTDKLRKLLKACEAPMLRSTAKQRSSSLGKGAGVEFVDTLFGFERELEK TEKFMSQQQRRQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRGVIVSRHTTTPS TVEYTVDTLQFDYAEQVRNQYAAG NEUTE1DRAFT_140663 MSSTIPWARTGYNLGVILTPSVDREGNPWHWHGGTAAWRYWHGT LRQPPHSDV NEUTE1DRAFT_48591 MANKGRQEERPSGHRRMGQKCKVSEQCGNPHCTITKINDRPLVS GMAQPDPIPHAHTCPYEKTLVQHHTAPSLGSEMKSFTRTAIGFYGYSEDELLHRFSFT CDTLPTSIAEFEEAVFQNTCSLYAFRTLAAYSARFACFRL NEUTE1DRAFT_49514 QDFSQHILMMRGGTPCASALGGELGNLLGGHHLTLTHQHSTSPT HNILKTHSVGGPSAAWQPAGGYRGACSLGGFSRHITGARWRWQSSPVDTTDIYSGQWV SGGPPLVIVNLDGT NEUTE1DRAFT_112864 MNLGPLFDSENVVLPPRGISSAPGIVILFTYLYSSMVFFTKRNL FSTFKFNLLVAKMCSHRRSTSWCESIGKNLTQETAVPRHGARSLTQILMAWEGTSDIV LQGAAPLKLHWHTSSSSPSATGKEGQLKATPLPTQSGFVLEVPLLVLQVSLLALGNRL AHTQHQRLHRDLQSSLLGY NEUTE1DRAFT_103802 MNQTGMERRIYSHREMVAAAGLVLLRQGGHDEPTPPAGPGPNLP ARPAPATAAAVRRPAPGEGSLPARPRTPEGGWRSATPANNTSARRRRSGGNHRQQTGR HHHHHHPHHQQQQQQQQQQQQQQNRPGSGSVVPYQHTTTTTTTTTAVPTPPPFSNRPP PHIPPMGYYGYQQPAWQQPQQLQPPRPGPRGTSGREPLDEENIVPPPPWRADNSRRSS NSRRW NEUTE1DRAFT_103803 MGREIEPFLKFYSQELMRGISVLFQNCPSLLGPAFVRLFVLEHP FEPSLGSPFWKLAETTTRRCTVLLVVAPLRHDGKS NEUTE1DRAFT_140666 MVAAFAAAAREFLRLTLGGATRLGRLAPQIWGIIAQRVQPLTTT ITTTTANAAAAVAAAPAPGGSAPRRPRTLVFAGPNGPNPGPGPGPSSSPGWSGRCAYP SPIACLVACLVACLVACPFAARPVTAWLAWLAWSFCSPGFPRAPAKARPPAAAQLAGS DSLGRDPRFATIPAEYFRQLPEVGR NEUTE1DRAFT_148701 MSQPPPAPGPRDEDPPTWPLPPRRSHTFPEQPHQQQQQQQQQKT FDDSSQPARAPSWPREAPQPAYPQSSGYNPYMMPYSVPYYPIHQPPVVYPPPSVPTGA TRAAHQVHFEPYNEYIQPGQQRPPVPLVAPYDTWVTPPGGYYGPGDTLSRPIHQPVRR NIRRSASPTPASSPSRRKNKRRHKTVKFEQDSDDDILHHVEEYPPPQQSHAPSAEALS PGAEDDDSDGDRSDELRSNSTVESTYRPTRGASTVRSYGSSRFRATPALVTEESGSSA YSFAASFRAARTASVGGSADGEQSEDPDATPSQPSPKDDQSSEVAHILASSYTGKGYT AAEGHHSADLLIAANPAQLRHLQPLYRWMHLTRPSMSLEDLSTQIARLSVLTGIERKA VNDMLLRLKQSSVKFIQTSTGKPVQHMEPGCLDYVLPHDSGVGGQSRTTRSVTWICLP YFSLEPYSGLLSGAGNSSAFPTQTLLQAQFSRTAKERDMQQAVRQVAQAGPGLCFHIS QLWCIIINNSFVITYGRMSEDVLYGDSITKSVKSLSNLSEQQSQQKFWVRFGGNVMWT LPVKDCQTWFDFISHFWEFWHLPLSFYRNKRVICPEDWPKIWTSASQKHSHTISFDLE LGATPKLPTPGRLVPIQPQAKQTEGTTIEEPKDSEGTNAKQETQDEKARKAKYVRPPT KTTTSGSPKISQPPPSSSFALFTCLDDVSMAQNDTIDEAKFEERLHEIETILLHETSV SDQRAYKRCPSASRLSIYHMLKQEELEIVHPERRIRSSNPQDYEIRADIFNLADMIFK FFFPVTTETSMAAKFWGAVKDQVKQHDDEGVADDRYQLRAVLKELAVMILYFTETFMH AQHSDRVQIHVPDQLIDGWLHLLLGLSYMSTDPERASTFLDLHTKPLISQGLNAIVKD MAKKPLLENSVVLPQELVSLISMKLLTDVTPGLPNISQTYSAYLSAIESDITGKQSDR SHEQRLDLLDQELLVVQKTVRSQRDIFERLERYSQQSAYRMPAAARSRAAPIQGPSHP VYYSGAPRGAQSVPHMHRQYNHYEQPQVRSAHGYGQQGQGQYYEPPPFYDEEVTGSMA VESKQLSARDPGGFRQLFLNECILQLDQREREFEEYELHSKQLRVMNRNKVDIKKDRQ ERLIYAFTVVTIIFLPLSAVSSIFGMNTSDIRDMEVGQWAYWAVAIPVTAAVLFLGFL FTGDLGAVFRWVSRISGSGMSSLPGSGFQGKLPSLRPGTEKWWIDKPGQMALGSGPEE KDYGEPEIEYVVPRRSESLRRRSHTFYENGDYSGAYTRYGRGEMTGPGQRGEKWGLPY NEUTE1DRAFT_118146 MQEWIVETALFVNGVGSRDGGSCEGRDLVQAIQPMEDVNAMKDG KNPYAVLGLAMTENL NEUTE1DRAFT_140668 MAAIQARKSRPSTISSLTQTEPTARNHQASRSTMPLPSAHELHL RAQYRPPPPAHHHRPTQIPTRQAFILNHHQHEYWLPYPRPRSPLTLSTSVSSPSSSSS SSSSSSSSPSP NEUTE1DRAFT_148702 MDSRKEDSSSSAHLSSGSAPAASQYITPSSTPEHDFWTAAGLAS SKAQTGPSFPKHLSETTPQDFGNGDSLFEFDDPDGMDYSSSIADSIRGHVYECGLRYH AYHAGKYAYPNDETEQSREEIKHTMSVMLCDGRYFFAPVEEALEKGGQVLDLGTGTGI WPMQLAESYPDAVITGVDLSPIQPSFVPENVRFYVDDFEDEWVDPVNKYDYIHLRFAL HTLKDRKSLMQRVMRHLKPGGYFEIQEIDVSNPSCDDHTLTPDTPYAVRDALKLLGAG LQAMGADISAIQKTSQELREAGFENVTEITKKLPLGLWPADKNLRLCGLFWRTSIMDG MTGLCSRPFQAAGLTPTEIESFLVEVRKAVMDGSIHTYFPFITVYGRKPLGG NEUTE1DRAFT_68924 MDERAKTRVTLPRENPTPSYWQDPPDDIADQRTTKDLPSHVDII IIGSGITGAAIAWNLLKDVRPGEKGSRNIVMLEARQACSGATGRNGGHTKAASYRSFL EHASLLGTPAAVQIARLEYDNIQAIHAFAKEHNIPCDSNPCHTIDIIYDQAQWTAAHE AVAAMKVAFPEGDPVAEYTFHSPAEVQSKFHCALDAGTIAGEPQTLYGGVSYPAGSIS SYAFTTGLLKLCLSRGLNLQTNTPATSLMKNRDERTWTVRTPRGNIWTKDIILATNGY TAAIAPTFQGVIVPLRGQVTAQRPGSNLSEKGCLPTTYSFIYDKGYEYMIPRPKGSRN EGDLVIGGGLVRAKEEGLGEYGTTDDTTVNEEISTYLKNTLTRYFGGGGGGGGDRNGN WGDDHPDGRIKKEWTGIMGYSPDGFPMVGAVPGENGFWMSCSFQGHGMVLCWMCATAL VEMMEGRDNEELRGWFPEAFRVGFERMRKRFQGRLHTSVAVPPGPAVGGGSG NEUTE1DRAFT_131902 MPVTNFDFKEKYRYQNGFDSYLESEAVSGALPIGHNSPQKPPLG LYAEKLSGTAFTAPRHENKQSWLYRILPSCSHPPFVQATPRNGQEPVLEQSPARLHYI PNQLRWDPFDHESDTDFVSGLRLVAGAGDPTLKQGLGMYIYAAGKSMSAQSAFYSADG DLLIVAQDGVLDIRTEFGWLLVRPMEIAVIPRGVRYQVLLPSGPVRGYALELYQGHFQ LPELGPIGSNGLANVRDFQAPVACFSEDHGSIALDGPNSYTITAKFNNTLFETKQPHT PFDVVAWHGNYYPYKYDLGRFNTIGSISFDHPDPSIFTVLSAPSDHAGTAIADFVIFP PRWLVGEDTFRPPWYHRNTMSEFMGLITGAYDAKKGGKGGFVPGGASLHNVMSGHGPD AASYEGARNAELKPQKVGEGSCAFMFESCLMVGVTDWGLETCHKVQEDYNEHSWGGVK VHWKGMPEGEKGQGHLL NEUTE1DRAFT_118148 MSGEPLTKVDSAVQGLEATSPPKESHIHKHRRASSSANGVMNIN DLEAQGTDLQIAKETQMLGWKVNTSPTSIDDKDYLKVMLTTPPVKKIDLYFKTGLHVT ARNLKGVTIRDAMDAIHKSFKKRPDDELPEPYLKGFEWDKEESWTSLLVHLSTNAGPK PAGGSSKKKKKAAAAEEEAA NEUTE1DRAFT_96063 MRSFAHALVASIAGALAATMHINDSTFQDHMALAVLEGLSGMDG QQMPAVSGQHNLVDIVMSKLATITMDTAPTDAVSGTKTSTLGWPSCKDTLACNFNFL NEUTE1DRAFT_131906 MIACLETLYELVYAVLFIRIVIFDALRNPERLPGESEVSAVERG RGGAYRGGEHGGDVELMVKIAAEPFPKRHNLVLPRTDFRHSWRSMGGTVREDDVRAST GVDDLVNARSLEMDWSPHPHGYL NEUTE1DRAFT_96064 MSFHVTAEDARIEVRDNRTILFARLRREDGEWNDASYELDQIIG NNDGHFQWGGQNFTETAEDIRFHPKEGAAEQPILRARLRDCNGEFHDRDVNLTEIVEN VNGQFQAKF NEUTE1DRAFT_68937 MISNTPILLLFCLSLLVLSATAFPSPGAYGGGPTYTWSNPPPAD GSKVGNNNGNQITGFSFAKWVDDVQQGKGMTPEQALASYKASEHAKREAGNNNNDDDD NDDELPPWYFTYGPGGGGGRNDTTTTIVGKRASEPTGPTCYWHKNERPKVEDAFFVVT MMAHRPELISIHKDEGRDVARPINTASINVRSVTGLRYAVLGTDIARAGGLIMDYCTW KGRSGGGEYAWGNGYLYVTIEHTGRGEKTMQENLMDKREQLIGPKKAEEEDEVKLKWQ MVVRERK NEUTE1DRAFT_68939 MDSLTHPTAADIEELAEHLHSIGTGGFPKSPPRYRDSPALHERH ERDEFWNEPHDQRRKVASSGGFSPSPSQSSYLADSKEVEFGQHWTPLSDYNDPVYVPR SAHSVRTSSNSVSSSIRKDSRASDTRRPSQSAASYSLYPPSSPPITKPLPPLPLVKNS HRVSSQGSFDGSDATSSYSASRLSQASKSSKATSSGPDHMSNDAIAPLSSPLFPSPPL HPFSTPSEERSKPKPRPRAGSAAIDLVPWKHMISNDKVAKDPTVFYFDVSTTSATLAS KHGNNIIKVWSVGSGILQSTIKFSCYTAAQARSREYFVRSHAILSEPATMIAIATGFG DTLEVWDWAKKKKLQTIEKADRWAAVRTSVYEAGFSPLVTYAGDADTIDLYSVSQSKK PFTKSRTIDLRKANLPVIPQYPELAFSATGPLLVAASGPRPPRPGHPPPEHETLLVAW DVGDPTDGSQPTSHTPYKCVTPWAHTELETALPSGLATYGSVAVSIWIPASYRAVPIP AARGGHGYNLAPVPVPYRHVLVWDFSASSTKTFRIPNAISCVSPDCRYVAYCDATGID FGGRGCLVILDAMTGTELWRWPDPEAGIMESAPKQGYEQLEALSKVTELSFSIDGGFL FLGDSDGGCGVYEVREATAGANGQKLNVRPIR NEUTE1DRAFT_68941 MSATPRLASGGHPADLNAEGYREKGMDSSSPSSEGELDETDLEY AAAQGQRDDLARVQSQAHSFLAPKLSLPHEIVFVGIICCAQLTTQASMGPSLSIGREI GRQFGVTSAADVAWYLAAYALTIGTFILICGRLGDLFGHKRMLVIGYTWYAVWTMICG LSVFSNSGNIMMIVCRAFQGVGPSILLPNGLAILGGSYPDGPRKHMVFALFGAMAPGG CALGCAMAGVFELAWWPWAFWSFAIALLCLAGAAQLIIPDSVDAHASSCSFFDKLKQL DAIGGTVGVSALILINIAWNQAPIVGWSEPYTYVLLIIGILLVPLFFWIELRWSSYPL LPLDALKSGDIAFVLGCLACGWGSFGTWLFYNWQWFLEIRGETGLMATAYFSPVSVSG AVAAVVTGIVLGKIGPAWTVVCAMSAFFTGNMLLVTRPMEQTYWTQSFLTYLITPWGM DMIFPASTVILSNAVGRRHQGIAASLINTVVNYSISIGLGFATTAEVYVNKGGTSKEE LLKGYKAACWVAVGLTAFGLMLSVVYLMVDITKKRRVGRAVMSEVEEAKR NEUTE1DRAFT_87628 MAGPPPPVSNSPALNILPSNQPAVNNAVSSPSLPSPHYLPASIA MKDNAGVDPGPGPTRHPRPLTAADLHLQLEKEQEAVVNRLSRELSMLRAAQNASVVSN ASVISNASSASASVSGAESVPIGDAYVGSGAPGLSHHRVSMHNRTSSSASTRSLTANA GSISTSLTGISSPAPIRPTQPLPIGGISLSRQNSAASRRSQAGSPSSAHFMGSYSGAL PMHSFTPSSNPGDPSTVNYFAHRLSGAFHSTAATPGSIPTSDHSPAILPGTPRYEETA FYRSELESVKRENEALKRRVRELERMVRERRASDASRASQGGAGSLAPRTRSDSTSTT ASVSVTASTAATGGVSIAAPRDADPTARAERPRVVSAISSIAVGVPDDEVKVGESAAS SGLGEHDKRRKQDKGPEAEGQTQA NEUTE1DRAFT_118151 MPERGQSPPPERQTGKQQNEPAGSGKGVDDASQKEQINKSGLEN LTSNPKGPLDDEVEKKFAKTSKPEESK NEUTE1DRAFT_49349 MGGKRGTRRRGMSSSHDRGAIQGGCANRGGNTMKVASVVSQARA GQKELSFNAKTRQEVD NEUTE1DRAFT_118152 MSTVYVKNIGANTEEKDIRAFFSFCGKISSLDVTTEGETKSATV TFEKESAARTALLLDHTKLGEHELSVTSASGEHADSGDNVHPKSDADRDTDEITQEEK PRARVLAEYLASGYLVADSGLKTAIALDEKHGVSQRFLSTIQNLDQKYHATDRAKTAD QSYGITARANSLFSGLSSYFEKALEAPGAKKIVDFYTTSSKQVQDIHNEAKRLAELKK QEAGGSSYKAAGLDKIFGAEKAPGQESKPNDQVPGAAPSDAAATESNQQPISEGAYPG TAEKIPQ NEUTE1DRAFT_131913 MPWSMKGKSPPSSRPTRLLASTRHLRQPLFTFTHHARNLKTVAE TVAPISIEDFRRVAFQAQRPLLIRPSSKPSTLSPCPNQQNDANTQTNTSTSPTILPAL TKWFNSSSTFGKTSLTPYLDNFRSTILPYELSFPNSAQVLGFMSWLQRSSSSSPTASS DSHPDSNSSSDSQSRLALAHLLKNYITHHSSSSSSEFLILDLPLHLLLLAHHYNMFLS SSQTPPNPPLTNLYIAQAPLSHLPSPLRSDLPTPLLVSHAGRGDIYSSSIWLGLQPTY TPWHRDPNPNLFCQLCGTKVLRLMAPKRGEALFRGVMASLAVAAGSGKIRGEEMMKGE QRRALERAVWAGDGEGADDMMYEVTVQAGDMLFMPLGWWHSVKSAGEEGGINGSVNWW FR NEUTE1DRAFT_87638 MSSSSGVPESWIASFCSLLGHEYFAEVSEEFIEDDFNLTGLQTQ IAMYKEALEMILDVEPEDDDDEEEEDEEDEEDMSGGDGINKPHGERRHHSRIASDLSV IESSAEMLYGLIHQRFICSRAGIQQMSEKYELGHFGICPRTNCNQTRTLPVGLSDTPG EDTVKLFCPSCLDVYVPPNSRFQTVDGAFFGRTFGALFLMTFPEYDLTKTGAESVSNL TRSGSDDTTVINGMYARNIAPGLGRGKIYQPKIYGFKVSEIARSGPRMQWLRSKPDDL SVLDEARRYAEQQGSDDEDESMGVSSRTASRRRGPPRRQKQNGSPMAIEQNGAESEL NEUTE1DRAFT_124630 MDSTTAGRKPSIEGPNQTEPPSTSASSRTQDIEELREEARRNNP NGLSRAVSGISVEQAENDFRELRRELSRASRTQSHANRSTHHGDAEKGQMHVETSSES APEQFDLEAALRGDLEAEREAGIRPKHIGVYWDGLTVKGIASSTNFVKTFPNAFIDFF DVVTPVVNMLGLGKKMPEATLLHSFRGVCKPGEMVLVLGKPGSGCTTFLKNIVNQRDG FTSVTGDVLYGPFTSEEFLQYRGEAVYNMEEDMHHPTLTVEQTLAFALDVKIPGKLPP GITKQDFKEKVITMLLKMFNIEHTRHTIVGNPFVRGVSGGERKRVSIAEMLITNACVL SWDNSTRGLDASTALDFAKALRIQTDLYKTTTFVSLYQASENIYKLFDKVLVIDEGRQ VYFGPTSEARGYFESLGFAPRPRQTTPDYVTGCTDDFEREYQEGRSPENAPHSPETLE AAFNESKFARELEREMADYKQSLVEEKDKYEDFQIAVREQKRKGAGKKSAYSVGFHQQ VWALMKRQFVLKMQDRLALALSWLRSIVIAIVLGTLYLNLGQTSASAFSKGGLMFISL LFNAFQAFSELAGTMLGRGVVERHRRYAFHRPSALWIAQIFVDQAFSASQIMLFSIIV YFMTNLFRSAGAFFTFYLMILSGNIGMTLFFRIIGCVSPDFDYAIKFAVVTITFFITT SGYLIQYQSEQVWLRWIYWINILGLSFSSMMENEFSKIDMTCTDDSLIPAGPEYTDIN HQVCTLPGSTPGTKFISGKAYISQGFSYNASDLWRNWGIVLALIIFFLIMNVVLGEIM NFSGGGSLAKVFQRPNEERKKLNAALQEKRDARRKARKEHEGSDLKINSESILTWENL TYDVPVPGGTRRLLNNVFGYVKPGQLTALMGASGAGKTTLLDVLAARKNIGVIGGDIL VDGIKPGKEFQRSTSYAEQLDVHDPSQTVREALRFSADLRQPFETPREEKYAYVEEII SLLEMETFADAIIGSPEAGLTVEQRKRVTIGVELAARPQLLLFLDEPTSGLDSQSAFN IVRFLKKLAAAGQAILCTIHQPNAALFENFDRLLLLKSGGRCVYFGDIGKDACVLSDY LSRHGAVPKETDNVAEFMLEAIGAGSAPRIGDRDWADIWADSPELANVKDTIQQMKET RKSAGEQVNHDLEREYASPLWHQLKVVTHRTNLALWRSPNYLFTRVFSHAVIALITGL TFLNLDLSRESLQYKVFVCFQVTVLPAIVISQVEVMYHIKRTIFFREQSSKMYNSFTF AASMVIAEMPYNIFCAVIFFVFVYYMPGLNSESSRAGYQFFMVLITEVFSVTMAQCLS ALTPTVFISSQFDPFIMITFALFCGVTIPAPQMPKFWRKWLYELNPFTRLIGGMVVTE LHDLPVICKDYELQSFTAPAGQSCGEYMEPFFKRGGAGYLVNNATSACQYCAYKVGDQ FYEPLGISFDNRWRDLGIFLAFIGSNLIILFMASRYLNFNRR NEUTE1DRAFT_148717 MAIVQSLLNLTNYASPLERTVVPCIMIAYAIQIVVAIPSIIYQS DRFFDVSGLSTFLIINILSLYLPAIRAKYGGDNLEQVADAVNEGVQAVTGTQPGWGSL FMAPFKRCNIVQDVAAGTPLAVEYNWRQLALSGAVTIWAVRLGVYLFRRILREGHDRR FNEIRINPRRYLRAFIGQATWVIFCMLPVIAVNSIPSGVPSIHDVKPTDLWGFGLWVI GFVVEVVADYQKSKWQKGKRDKAHDEQFLTSGLWSQCRFPNYLGESMLWIGICTVTFG VLLFDDVREALAAADSFPMSILSVIFFCTVGPAFVTLLMLKVTGVPYAERKYDKLYGC DKKYQKWKKETPKFIPISPKYR NEUTE1DRAFT_68965 MGIIHEKAHYVVAVALVAFLIRAFVLSQWNTIKKNGERLKKPPN TLPLVGNGLQFLQSRWKLFSWFDACQRKFGYETVAISVPTLPPGVLIHDPRNLDYVFK NEGIFTKGNFVKGRTWDLFGNGIINAEGDFWKTQRKAGLSFLNTANLRVLTDVALPQY LSESISQLRSSTNGTVVDLQHVFHEITTKLMGKMAYNASMAMHADDEFTVSFDYASGG TAERFQNPLWFVTEIFLGAELRQSIAVVKDFGKRIVTKAVQDRREKGFGEEEGKLDQI SGSLIQSLLDAIQDEQMVADAALTYLSAGRDTTGQALTWTFYLLMRHPRVVAKIREEA TQLLKEKNVTLTPDQFDSSLFNPVTMPYSMAVFYEVLRLYPPIPFEIRQCNEDVTLPD GTFLPKSSILVWCLWAMQRSKLTWGDDADEFKPERFLDGNKLISRSPSEFPVFYGGPR TCLGRKMAEAIAAQVIPTMACLFDFVPTSDEERTSKTSLTLPMEGGLPVTVETRIGEE REKLARIPEIRFSEH NEUTE1DRAFT_148719 MAPLLPRMHLFEIDDQPWFPPWLRSKVQAALTIAWTTHIPFLQA QSPAQTVANLLSDTLGQAVFDHVFIDFCAGGGGPTPSIERHLNATLPPSSQQNEKDGV QFILTDLHPHVPDWKKAAAESSHLSYVADPVDAANAPADLVGQYKDKGKKVFRLFNLA FHHFDDPLARAILKNTVETSDGFGIFELQDRTLLGMLPPILFGIGIMLLAPYYALCVW HAPWTLVFTYLIPILPFVLVADGIVSALRTRTPEEVAHLLRTCGAEGGPAEIEKWELK SGKKTFMWPIGRVNWIIATRKR NEUTE1DRAFT_87654 MFNHDHVNKTNLHPGGVKPHVEHTELEEELHQKAHIDYDRVAII ANPSVASLYEDALVYETGTAITSSGALTAYSGKKTGRSPSDKRIVKEPSSENDIWWGP VNKPMSPEVWKINRERAVDYLNTRNRIYVVDGYAGWDEKYRIRVRVVCARAYHALFMR NMLIRPPREELEHFHPDYTIYNAGSFPANRYTEGMSSSTSVAINFAEKEMVILGTEYA GEMKKGIFTVMFYEGPVKHNILTLHSSANEGKDGDVTLFFGLSGTGKTTLSADPNRRL IGDDEHCWSDRGVFNIEGGCYAKTIGLSAEKEPDIFNAIRYGSVLENVVFNPETREVD YDDATLTENTRCAYPIEYIPNAKIPCLSPNHPKNIILLTCDARGVLPPISKLDSAQTM FHFISGYTSKMAGTEDGILEPQATFSSCFAQPFLALHPMRYAKMLAEKIENHNANAWL LNTGWVGAGFAQGGKRCPLKYTRAILDAIHSGELANVEYENYEVFNLQVPKSCPGVPS ELLNPKTAWTAGANSFDTEVKKLGGLFLENFKKYESEATEDVIKAGPVV NEUTE1DRAFT_103829 MTTPILTPTPIYRVCALIELKGSANIRDWNHFLRVELDAEELTE YVFGPTSAVPEPNKNLDPVAHKAWKLARAKAMRILYTTLKRETVTNRLEGYGWDEDNR DPSYVHKLVWKVFGPGAPSIGLGGL NEUTE1DRAFT_131919 MLPLPLKSEYLGYKKDTDAVAAWLASTAKKCGFPADQLKSGSWD TSDTSSLSTKSSSSGRKKGKARKAASAAVQATKKAIHGVSKYIVAISDYPKLAKHIAD TADPAITVPDNFVVTINRVISNRSGFGERLAEHGVGPDTKGDLKHRHFVEVLKKVREA LLPRMSSKASTSTKVKAAKSDEISNRFAGLAVEEPSQAFLDAPDVERPTQPTEDKTIY EAETLAELEDVLFTYTIMMNDLARIRATIRWIWENYQKGLFDIAAAAVATDTALALAR GIIDEAEPSFKNFEGATWGVQHKFFLACCLRKGYNINSVFSDDASDNFNYNMYDLADE CFINAHRCLLSFLDVLSPDHLPIIREGVLGHYDPTKNRDNLPGHAKFKEDQILLMEFL SELTVVTRMIPSYPVEDEFMRGVREMDKTSKIPLSLVFAAQTFLDIHHLLRVDVSQGS KFMQNEIKHLSQTLKDHLDYHKKSKLRIKNWPERMDDMLRLVIKQGQEIVADPVYQCK VSYYRRNKIPIASSMRPNRILDYSPVLAGLNLLSYRGDMYSIGFDAATAWGSIQYTTH LYSALQNEKLVDPHFWPDLEMAQALLPDSSFFPGGIAPKTRKKYLETFVLQMGAAGVP RGLKKGLPVSSIFVEKYLKRTEVPWTPENIHDIVSHIEKKRTLEAKGKKREEYLRPDE LIQAAVMALHEEVTEMSFPWLTLHKSCWEVMKLVKEKNHQRLSQIFGSGYLNDKSQMP FVIGYIFMTTCGECPGHLRTMDLMEQAAEAVKDLLQRRLPEHISQWSFPSSLVSALMP LIEFEAEGKTEKGILMLKNIDVQDQKAHREARFVVGHRRQKPRSLSDSFGSIENPEPG TLHRWLRLYDVGR NEUTE1DRAFT_68973 MASSQRTIQYTIPGREDFNKLSDEQKTRVGEAFDLFDSNKDGLL SYEEFRFVLRALGFELPKAQTFDLLIRHGQKPANWPLDQECPPVYRLFNLPTAQAIAG TLIRQRDPKEELRRAFRLFDIDGKGMITQDDLRRVSKQVGNNIPDADITAMIEEFDAS GKGGVDEDEFLRLMMSKK NEUTE1DRAFT_87659 MQSLGKRKRSEEDDVEKVFAKYWGELHHALKTAKGFERQRQSKR LHDRSTPQDKKARIENEIVVLKSLDLYQAAHAHLCFSLLRIKRIAECPNLPEAVKRGV SKPVLTEEERTALHNVTSALCARQEVRTVTDKAITGICKAMGIEPPEKKGKKGKNQHK ERDESKTNEARTNGDNKTAKDKKEEKEKTKTKEKLANKEAVTEENLDDDDDEKPVNEE EEEKILSKYDDLLGGSSSDSDADDEEDDERFASKAAVKKRPIAKELDPMEITDDEDGG YGNDGDLDPMEITDDEADDGDSEQNGDGSDDEFEGFSDLEDEGSATNPQEERPEEEDD DEEDSDNSGIDAAPSKKKSKSSSSSTAKTEKLQNFTMDSTFLPTLMGGYISGSESASD VDVAPPRKNRRGQRARQAIWEKKYKEEAKHLKKQQKARDDGWDLKRGAVESSDGKPWK KGSLLDLKNKGKGGRGGAGAAAAAAAPPAKAPKKRDDSGPLHPSWEAKKKAKEKEMLS APFQGKKITFD NEUTE1DRAFT_87662 MSGQMTRAERFEDEKRRIIESCFNKRDEDGSSRHATRRSNNKNK ATEGIDNDELAIETYITHIRITEFSTHPTSPPPPQARTPNTEKPRIIIVAVRKSGRVR LHKSKENPNGTFSIGKTWFLDDLSDIESFTSPTASTNFREWAGDVGFIVTLGKPYYWQ AQTDKEKKFFIASLIKIFGKYTGGRVPRLIGFDQRELDQVLGGAQAPRRPADRGPPSR SGTQIDQQGATPPLSRSATFDKPPSRSGPLDNPPSRSATFDLPPSRSGILDPALSSGN VSATSGYGSFPPPVAGPPPSAPPPGPPPSRPVPERMPSRTNLAPNSKDRGPSPSRSIE SSNVRSQEQLPLRRMNSNQSQDSRAPSLATRTEDSSSLRPGSRAGTGDSKVTTPEPAT APTPSVPAEAPARKRPPMDPLRPLQLDSGLVPAPLMSPALRSPGLRGRGDPVLPPPRS VDRMIPRKNSILTQNEPQRAPSPAPPVADQVVTPIEPRKIELPVSVAPSPTVSTPSAS IKSPISEAMTDSPRTDEESRPGLGPMIKSKKSRGQIAGAIWKAATAASAFKPRPGGAA DRLRNLTKNPEGPDGITSVVPAPPKPTPPQKQDQAAPVGQAKPADGKAGVPEVKVTDS KGKAEDAPKDKKKDEALEPEEPRRAIVAGNDIKYLTSLGIDPSILDTKTTEFAKWLDY FGWVPGKQMRSRNFDEMRIDVDRELSKAQAGGWLARFQEEDERVDAIKKGIDVALAEC DELDNLLTLYSVELSTLSDDIAYIEAQGQGLQVQAANQKLLKKELESLLATCAISESD LAALKVAPLETATGVEEIESALVALFKAMTKIDPAMGAIEGRRSEDGSGGQAMGLNSD YGNMRIVQEKREMYMAESTMFMRRLTEFMARRFEDAFRATKMALDNALSKKVDPRNHE AGRDILWMYSPLILYAKEVDVANWDRIMQIYQDISHPIYRAEFKDAMDSWKKNARKMT GEETAELLFTSGQDKKDEGLANTARKLTVKRSQTLARTLRSQVGEGKAGTAVDKTLDS RALPYEAFAGVLDDLLPLVEMEQNFVIDFFHASTLEQVDFPDLVVASRPQDRRGNDLK RHRMMEPDRDLARRITRAMEVIFMFFDKNLQNLMDWVLMMDPLQGVGVLATLERKMAD ISQSNQDYLNTVLQKLHGNLEGKFKKFVDEQVRAIEETKVKIKKRKGVIHFMRIFPQF SAAVENMLSSSAAAVGNTMESPVSASNLTVRRMIDREYDRIIKTMFDSLKVIARENPA VALPVTGGGGGGNSSFPSFPGSSAASMISSVNISSLRGSSGADTEEDKEALNFHILLI ENMNHFIEEVDNPKGLEVLDDWREAAQQELAEHLNLYTNTVMRRPLGKLLEYLENVEA QLAAGKNPGAVAAQTNTSKSAFNKVLSGYDGKEVRKGIETLRKRVEKHFGGDDDAPGG DGASAITTGSNGSRVVGGGNRGLVMRVLKECERFYGEVDIRVAKITTEVYGGEVLWEW PRAEVKSAFSQAGR NEUTE1DRAFT_118162 MRSHLLPLLLAATAAPTTTLASGNLPNNNMNMNMYPRQPQDVFT IQTNTDANNGLVPITTTTTTESTWHTVPSTTTTAAVVDTATVTATTTSTTSTSTAGAA RVTGVTGVTGVVGAMGAVGVLVVGLVGGL NEUTE1DRAFT_131924 MAPQTRARDRLRQTTSREYHSSPIPQQVHFPARSRVVKTYGKRT PSRTALRQQTLTQIGFPLAMQPDTDGSELLETLETPVPAKKKGRDSKRRKTMGDTPNP SSSFHTQTLTQFLSGKEGDEDDKEDLLIKDSQDEGDDEDEQDHLDDVYNLPKEESPKR ESQKKPVAKKPKSVKGKKAKAKGKAKAKEEASAVPHTPSTQRIKVNIDEVPSSQPTPF TPMLGNSEYSPIGPYRSPLKNKSTNTGAPAPTLETISKLPRNLEIQDSYSIGGSSPFS IHQSPSPLRKTPMSIRSKPKRQPLAELPVPEEGDDNESGFSEGEEQATPTKPRAGSSA VKGSSGKKRAFVEIPDSDDDLESFGSSPAMSRIAKTPTNQRVIVPPPDSAKENRTPKE QPHAEENEEEKADEEMEEQGTPTPTARRSERPREIPSSSAEDMDYDSDESSLSPVLSS SPQASRELGNSSQHAAVNQPIPDTTHSNDQSHITRTPTAKQKVQIELPSMSDDGNATG EEVLKETPHRKSATTKKPSPPSAAGRRKVQIELPPQSTGKAAEKDQDQQAEDEEEEVL KETPHRKTTRFSKISPRQKASPSGPIIRSQRSQRHTQRQTQRSQLYSQGLESQRVPME VIRAMGPPTDTSDVIIVVPAHHLEEIVAGTRDHEFRPHVLPAVRAWFYATEPVNEVKY MATLGDARKPGEIEGASGVGNEEFNRGESVSVVLGMSGSGSGRVVSSGRSSSEAAATT TPNKFAHKLLQVYQLNDPVKKDELEEHGFGKGLPTRYRLLPPAAVGDLLANLRCALFA EDGEEDGKDGEDEGGRLEAIEEGDEEGEEMGGTVEGAGETTDSQPDHGESVSQQLEQQ LRSDIMRSTQMMLSDDARPPGQGGALLRHDSRITSSPQRPPPAQVTSSQGRTTRSSQP RMTVTKVTKKQVQVTKKFHFAALEMEEDDDDLDLDLGKEGMKTPPPALPPRSRSTVAS TSTSTSASKTSAGRKSSGSRSSQAIQSQFETETRQTRRQSQRLTSSQLQQEFDERQIT KTKTTTKALQEKASMPPPPSPAKPRGGSKITTSALAKLNKATTARASTIGFGAGHNNP NYNTARPSQATTASGPSSPIIPTRPEEDEQEESSLPQLSRGRGVVGTGRGRGQGSVRS QALSESVTASESSVIRPAIPDDVDDSHILLSSSSIPEPLDFHHGESSNSTTPTGTRNR TRGGRRTPGSGSGSGRGGTERLPMSSQALASLAPDSLLVDVEGVRPPPELVAWDSEED VDEDETASEDDGDLN NEUTE1DRAFT_148727 MADRSLANPRSRSAIDHDHAVRLPSSPLPAPRSPNSTISDPSTP SPNPKRIRTLGPHTTPSSALAAFSVSSPARLTRSAARQAASQAANLNNSTSTAAAAAV AQPATGGTTSLAAEASSAPPAAAQTLARSSSAKKRKTTAAAEANSHPETAAEFPSSAR RSKRQRVTQPASQSSSSITHPAQPAAAPSSAASSTTKKTATTRRKKGKALATSTMSSP ENSAVPPNPPTNPSSASTSRRSSRHKKDAQGEQGVSDMSDVTLPPMHIHSTNPASASA SSRKSKRNAKSSSADQDVVMTGTDEHEKDPTPPPPPPPPPKESPPTDSDEHDEDDEDD DDHHRYDDDDDDEDDDPFTGFGGHGRHPHSLQDTLRHLSGIMSGVSAQVRKIMADLKR KDDPSLQLMALHELSTLLLMTNEDQLSGHLQPDQVVPELVALMRPNEITGEENPEIQL VACRCLANLMEALPGSTSALVYGGAVHILCEKLLQISFIDLAEQALSTLEKISVEYPT SVMREGGLTACLTYLDFFATSTQRSAVTTAANCCRNIPEDSFPEILGVMPILLNVLGS SDQRIVEQASLCVSRIAESFKYHPTKLEELMSVDLLKAILRLLLPGSTNLISPHIHTQ FLRVLALTAMASPRLSAELFKLNVVETLYQILTGVSPPSGNDDLASKLDSVLIMQALI HRPRDQIIETLNVICELLPSVPLRDSSSINYTVELGTFAGPMGGSGESTRRRTANEKR IEHMEGCKEEVRRFALILFPTLTDAFSSTVNLTVRQKVLAAQLKMLSNLDQDILSEAL RSVPYASFLASILSQQDHPLLVGLALQATELLMSRLDTVYRYQLYREGVIAEITKLAA DAPEPKRKHSLTEQEVKEETNQASRGESLTGVSGRPSAPDQGVADKEHPKTLEEDEAD DEDVEHSEHESGAEEGGEELDEDEPEDTENDENDENDDGNENEVKDDDQSPVSSEGET MSLDGPPRYLSDFPTNTYKSSIRQAAKKFLEMYETEKQGKAMKKKASKILASLSDLAT EIEEFYLCRKPGGPVMEHGTSLFQKLVSYFDTDVLESVTSAELLGSGVVRVLEQVFSN PDEEMAAAAQNAFLQVFMGYSVKSKPKTATADSPATPFSVLIHKSQDLLSRSEHFEVI TAHHNSFDGSRSTAASMLAKQIRLKLVADEDSDIPRSYRNIMVSIHAITTFKSLDDYL RPRISLSDRPHGASRRDAVTRALAAMGASGFMGAAAAAARLAERGLPPSSRSTPAPPP AAAPAQASGSRSSRKHKSKSQPTTETPTTPDASTSKEKGGLRRSARKQAASDSSLPRQ PPEEDDLENALECADERQLSDDEDEAADSSDLNVLRDLDEGMDDAPTPDPTAVNMEVA AGGRITARKEDGTRVATPGQGKPAPPPQNRTSALTAGLQNTPTPQAASRPMSYSGALQ AVPQDWHIEFSVDGKVIPNETTIYRAVHSSSLTADEHVTRSIWSAVHPIKFRRVPGPP PPEPVGFSPSSDVGVEADENGTPGSLAKHPITLSILRLLKRLHDLNANIDEVLVENRE TLKLNVEPLSQFVNTKLTAKLNRQLEEPLIVASNCLPSWSEDLARLYPFLFPFETRHL FLQSTSFGYARSMARWNAQSQEERRNGRDDRPYLGRLQRQKVRISRSKILESAVKVME LYGASQSILEVEYFEEVGTGLGPTLEFYSTVSKEFSKRKLKLWRDNELNGDDDFVSGP TGLFPRPLSDEFASSEEGEKILQLFKVLGKFVARSMIDSRIIDINFNPLFFRVGDSTA TRPTLATIKSVDPVVARSLMTIKKFSLAKKEIDEDPNRSAVQKVADTENITIDNFKID DLYLDFTLPGYPEVELVSNGSQTRLTIENVDLYLEKVIDMTLGSGVRRQIEAFRSGFS QVFPYSALSSFTPDELCTLFGRVDEDWSLETLNDSIKADHGYNMDSKSVRNLLQIMSE LTLAERRDFLQFTTGSPKLPIGGFKSLNPMFTVVCKPSEAPYTSDDYLPSVMTCVNYL KLPDYSDIGVLKKQLFTAMKEGQGAFHLS NEUTE1DRAFT_87667 MSQNIYDSIGFFESYSKYPRMEKGLAGASEWPLLETMLPSSLVS KTVLDLGCGDGWFSRWALDQGAKAVCAMDVSQNMLSRARALSPPDRYPAITFRQVDME TLEGQDGGLDPEANDVAFSGLALHYLVNLEAALRQVFRSLRPGGLFVFSVEHPIFTAP QRPGFQKSGAVQDWPLSSYFAEGEREVDWLGTPVRKQHRTISSYLKTLRTVGFEVVVV DEWGLNMGVEVRDLFGLRTL NEUTE1DRAFT_124643 MKRICRSSQRSPSLLRPLTPVTHQPRLVPIAIQPSTTTTSKTVP SRRHASSLSQRPDASHVMFPGAVKSAFCNTMTFEEPSKYDALPTYRAVDQHGQVVDPS FKPDLSDKEVIKLYHDMLTVSIMDLIMFDAQRQGRLSFYMVSAGEEAVCVGSASALSP EDVVFCQYREQGVFKQRGFQLSDFMNQLFANHKDSGKGRNMPVHYGSKELNIHTISSP LATQLPQAAGAAYALKIQRMQNPTVPPRVVAAYFGEGAASEGDFHAALNIAATRGCPA VFICRNNGYAISTPTLEQYRGDGIASRGLGYGIETIRVDGNDFWAVREVTKRARELAL QDGGKPVLIEAMTYRVSHHSTSDDSFAYRAKVEVEDWKRRDNPIGRLRKWMEAKGIWD ENMEREARDSIRRDILKAFSQAEKEKKPAIRTMFEDVYQEMTPEIKAQMKELKEHLEQ YPEEYDLGDFEGGKDSLAR NEUTE1DRAFT_50075 MSGRNAIVAIIVCLLAAIAIFTVFHRKMIHFIAQWMADAKNAEA TVKESKKAASDDLEAAKSEPSVGSEARSGSKKADDDRCDTVSSASSGPDLHVQTIV NEUTE1DRAFT_87675 MVARPALRRAIPSSRTLLHAESRILRSSFSTTLQSQQKPNNNNN SVPEYAIPDPARPTHFGFETVTEAEKRERVAGVFTSVAESYDRMNDLMSFGWHRVWKD HFVASLNPGFSPLTSSSDPRAPQHILDIAGGTGDIAFRMLHTAHILNGNPDVRVTISD INPAMLSVGKQRSTSLPASQQAALSFLEANAEDLTQTKPLGANQVGYSPTTGVPDESV DLYTVAFGIRNFSNIPAALREAYRVLKPGGVFACLEFSKADKHPLFNTIYKQWSFSAI PLIGQLVAGDRDSYQYLVESIERFPSQEDFRDMIVDAGFVVGGQGYEDLTGGVAAIHK GMKPRRK NEUTE1DRAFT_87677 MASQPRNTYNAPGFLPNGAAPHQGPVPGATPLLPNQGRILETGP TRVLCIADVRGNLRSLNELAKAARANYIIHTGDFGFYDETSLERIAEKTLKHVAQYSP LISETTKKAIAQAASGPVKSRFPASELPLSELPLLISGELKLDVPVYTVWGACEDVRV LEKFRSGEYKVPNLFVIDESRSKLLEIGGVKLRLLGLGGAVVMHKLFDNGEGRTTIAG GQGTMWTTLLQMGELVDTANRVYDPTETRIFITHASPAREGILNQLSVTLKADFSISA GLHFRYGSSYNEFSVNPTLDHYRGKLAASKASFNDVWETVRSEVEPAIQQNDVQQTLL KNALSVVEKMPTTAAGGNPFGGAAAGQSAAALGQVDESAFKNMWNFNLADAAFGWLVL EIHDGRIGTEMRAQGFNFSHRGAKQPVYAAQGAVPPSTGGANPVPTGPAAASTPVQPA AQPAPAVPAVPVVPKQPPAQTAKPVAPSPAPTQPKAATPQPAAASPASRESEKPASAP TNGASNNPEPTPSPAPKSAAESIIGLFVMQPPNDDAIPGLFAEEDKSKIVKVDKWGQN NRVVIFKTVEDRDGALDRLPQEYKSRGTGSDDRSKPLIKVFNPPSSRPFNTRGGAGTW ASSRGGREGTQSGYRSAGGGTASDSEGAGRRGGRGGSRGGRGGERSGRGSRRGGLKGD GSPATTSATPADS NEUTE1DRAFT_131930 MYTIDDDDSGPEDGRRASRPLSFIATQGDHLERLASLAEEPSEE NSGDELRLVRTTSDKTKSTLHDTTGGEGGDDGFMGGRTSKESSTLPMRKSSDTSSLSE RPASPLSPARSIRELQADGSASQFPMTNIDDANDIAQELSNLQALRRLSMDVGNFNDP DLLPFSNMGLVQSGPPSGEDDEADPSRLLWVPARVHPELEPTAFKDFLENRVQAIKRR SSDSMLSIDLNRSDSTGGGGGLRRKKSMLSRQIKPTSEGIDYVDGAERLQRQRSLHGD GNPEPSLNELVDDPTKAVKKLALETHQEVDEGDIPILPAPSMGLRRSTKTTYRKSGSV RGNKPSFSKRAHGRQSSELEDDMPPVPAMDEASSQGLKRVQSEPALADLYSHPEKSLH TRQNSARDPSGSSKHITVRRSLEDTPNRALQDEPKSASPPAPAPAEVLPVKKTPPIPP PTTAPPPVPAIVATPPAETNKQTLPDRSSTQKADEPSPRAEKRPSIDATQSTAQANGK SQPKPSAPSVITQPSPASDEKKADKKAKEKEEVEAPTKSSGWKWFKSDEKDKKKREKE KEREKEEQARKAKSKGGDKSHDATRLDVLQNSIEGSSKGRESLVLDRDSIDKNEDKKK ETKKEKEGFFGGLFGGKKKADKEPAKKKEQTLLTPEPPRRKLVPDVDYSWTRFPIIEE RAIYRMAHIKLANPRRPLHSQVLLSNFMYSYLAKVQAMHPQLNVPTSPQQRRLEEERK RREAEQKAAQQRAMEQLALEQQMAAMSGQTDFNFEYHRAGNGYGEASGGGTQYVDDAQ IYEYEQQRNESRARQENQQQENGKNDEAKDMW NEUTE1DRAFT_103842 MIFSKAALAAAAMMASSTVFARRCGTPGMTPDQVTSFNTLATKA MTKLTAVKANFQKAELQDVEEKVIKVYVHVLANGTKVEDGYLDESQIHEQISVLNADF SSAKLSFNLQNISYTIDKAWADGLEEYAFKSQLRRGDYSDLNLYFPLTLPDSLLGYSH FPVSLTQDRQDATSPKDIFLLDGVVIRSDTLPFGSYAPYNLGRTATHETGHWFGLDHT FGAGGCEGKGDMIADTPMEASPAYGCPVGRDSCPDQEGLDPIHNFMDYSDDGCMEEFT PLQKAYMHSAFETYRQNVAGP NEUTE1DRAFT_68998 MSQKMGSVSQSKRLLNYPDPLRGPDVPYVVERKSNPPIRGWIIC AAAFILEYVRFIREIIWRNAGFGDLRKIRPYIEDYEPWFEPQIVPYPDFETTDEVKDD LEDDEIPETEAAKRDDLRHRYPQANYYSVEDYRKLYLSGALTPLDVAQALLPLIRRDV ENPTEYSSAWFDSKVDRVLAAATASTQRYKEGRSLGPLDGVPTGVKDEYDIDGYETNL GSKNIYTGTIHEEDSITTWCVRKLQESGAIILGKLSMHEFGLDTTGNNPVHGTPRNPH NPNYYTGGSSSGTGYAVSAGLIPIGLGSDGGGSIRIPSSLCGVFGIKPTHGRLSFKPG QNHCLTCACLGPIAADIKSLATVFQVICKPHPSSPFPPLKRLSLTGPPNGGSHPKVLG IPSAWFARATPAIQTLCNTMIRRLCSDHGYTAVEIDIPFLPEGQIAHAITVLTDAATL LPETSNLTYGNRILIALGTVTPASDYMLAMKLRRVLMQHLSWLWQQYPGMLIVTPTTS CEGLPIKSKSELKWGVNDGDRTLQSMEYVWLANFCGLPSISVPAGFVSPESGLVNDMD VQGRGEVPVGLMAMGEWCAEEQLLQFGAVCEEAGADRRRRPPIWVDVVKKAKEEVVQR G NEUTE1DRAFT_118167 MSLEAHVTVNDTGELGHYFPLFETDRRGYSIAPFKFSLQWQIGP WTRFVGRWLGHQRA NEUTE1DRAFT_131932 MGGRKTKSHVKPSGSPSYEGKARLESVDSLLEQLFEEFRDLDQS LILAITLERNIQTDYDDIKDILNTLAQTASCEASTSFDPSGMGMSVEGANGDEVDHSI MSESLATENATTISDSIFSFESSSSSEDLKFCDSSDLNELDKVERLRLVFPNFKEHTL KFVLKQSGGDLDRAFDDLLTRQHLHETGQLPKGLDGFHEPQGSTATRRGKNKSKDQSS KGKAKLALNYAVVLPTVDNEELEGAKGPIRPSPSTRRGGMASSQVVTTFERASTLVPS PDSEYGVSNLYAQASALARKGPLGRQGAIVYTERAREEARAAAERISLASEQLVNQRS TYCSIDLHGVTVLDGVRIAKERVWRWWNSLGEHRKSKAKMEGFTVVTGVGRHSSGGVS RLRQAVGLALKNDGWKVETLTGQFYVTGRV NEUTE1DRAFT_148735 MIKLSTLVVASGGLLLSGLGSVTAEIYTPKHEAGRCAIRGHCGS KGLFGSQLPCVDNGLAEEPDDYLRQQLVDLCGPKWSTGPVCCLPEQVDSLKSSLSTVN QIISSCPACKDNFFNMFCTFTCSPNQSLFVNVTKTIEKKGKELVTELDQLISEEYGTG FYNSCKDVKFGPTNSRAMDLIGGGAKNYTQLLKFLGQERFGGSPFQINFPVEYAEPDM KPLPMKPKKCNDEDPNFRCACVDCPEICPTLPDVEQAGSCHVGALPCLSFASILTYSV ILFISIAAVVGHIAWKRHAKRRSERLRLLTDAAPSDDEDEGDLTQNVAMIDRPQKTYI INTWCDSAFSKLGYIAATFPAITIVTSILIASVLSLGWFHFELEKNPARLWVSPTSPA AEEKAFFDSHFGAFYRAEKVFLVNDTNPSGPGPVLSRDTLLWWMDVEKSVAALKGANY GSSFQDLCLKPTGDACVVQSVAAYFQDDPDSVDPETWQSTLRTCAASPVECRPAYGQP LDPSMILGGYPEGGNVAEASAMTVTWVLINPPENSPEVDRAMDWEVALKNRLLEVQDE AKERGLRLSFSTEISLEEELNKSTNTDAKIIVISYIIMFLYASLALGSTTLTFKDLIR NPAVSLVESKFTLGIVGIVIVLMSITASIGLFSWAGLRATLIIVDVIPFIVLAVGVDN IFLIVHEFERVNISYPDDMVEARISRALGRMGPSILFSALTETASFALGAFVGMPAVR NFAIYAAGAVFINAILQVTMFVSVLTLNQIRVEDSRADCFPCIQIKSARVHLASNGAG PAPVYLEAPEESYLQQFIRKVYAPRLLGKKTKAVIVMIFLGVFAAGVALIPEVKLGLD QRVAIPDDSYLIPYFNDLYEYLNTGPPVYFVTREFNATDRAQQQKVCARYTTCEQMSL SNILEQERKRTEVSYISTPTASWIDDFFQWLNPENERCCMERRRPCFANRTPAWNITL SGMPEGDEFVYYLKKFLSAPTNEDCPLGGQASYGSAVLVDSERDTIPASHFRTSHIPL RSQEDFIDAYAAARRIANEISAETGLDVFPYSVFYVFFDQYASIVSLTGALLGSAIGI IFIVASVLLGSLVTAAVVSFTVVMAIVDIIGAMAVMGVSLNAVSLVNLIICVGIAVEF CAHIARAFMFPSRSCMERAKNRFRGRDARAWTALSNVGGSVFSGITVTKLLGVFVLGF TRSKIFEIYYFRIWVALVIFAATHALVFLPVALSLVGGEGYVDSESDGGLEEDLASRR YRALVPDADSDSEDEGF NEUTE1DRAFT_87689 MITDLIIKVLAQHWPAILCLVLVGWLVRNRYHNGLNKYPGPFLA SLTDWWRFIDVYKRRPEVTHIALHKKHGPIVRLGPNTLSFSDPEAIKTIYGLNKGFVK SDFYVVQQSTVKGHNLPSLFSTTDNEFHMQFRRCVNSAFAMSALVQYEPFVDNTTKLF LKQTEKLYVEGGEKACDFTRWLQFYAFDVIGEITYSKRHGFIEHNKDIDGIVSYLTKL FNYVAPIGQIPLLDRLFLKNPLYLKLSQWGLIDGTMPVAAFARARMAERLPELQTEKT AILPTSEKQAQLKIQTPDLLSKFLAARSARPNFMTDTLVQTMAVSMAFAGSETTAISL SAVFYYLLRTPRALARLREEIDEAARQGKFSDYETGLVTWAEAQTLPYLDACVKEAFR LHPAPGLPMERVVPPQGMEIVPGQFVKGGTIVGVSAWVLHRDESIFGERVEEFRPERW FVDETVSSKEEEGKRIKTMNGHMLQFGMGSRTCIGKNISLLEIYKLVPTLLRRFEIEF DDPSREWEIVNAWFVKQNNFITRFKVRDIVMPENKEYKFDGRGVNRMDVLLNAYLYVD GGSMSVWKCSIRTQDTCM NEUTE1DRAFT_87692 MSSSRDPTARLRAGLNPLLTASLGGYHGHHANTPLSAVSMTSHA FSSNQTPLSAIQPYNPQEWVASPAIGPGSDRTMQQFPPPQGHPYLEPQATPPPPPPYS PPRSARPMSMVLEPSHGANISAARAPPPPAPPAQRPPTEPPLATASFPPPPGTRESSR ERRFGFPSLSRRREQQANTPVDIHPPVRHQGLMSRVMNGNTNSPRPLTLQIPQPEQTA SQAPAARRAVSTPAIATPTSARSRSSSQVRWDSAMPVPPPPPGPPPSSARSQSTQSVQ RFPCGNDPVVVSLPTRRAPPSGVTALGPVPPTPANWQDTEVMSNAQAQARTPSPNNRV PFTTETASVASLSAHPQSAASSEASMGSLARSYAQRTDKTIRERRTESRTRGSASNFM DGSQDLSDIVIPGSGGLQRRLTITRGTPRSSRTSDGLRTGESMDNMHESRGTTPRAMG SNQAHSGQLTPTGVSSETHRYIEDNQTLSPKALPTPPTGSRSSSACHVPATHLTRHSV ISQSNEQFTQATIERFTAFAQKEMTASSDAERVRLFAEFFVSESRIRRERYGAAIGAM GSEILDLTRDLFRPMDTHNRRESSNSATSGVIELTTPQSSEPRSHRGSIGSVFSGNPN SHGQTPITPSSASQPMTPGSSGNTPNANNWPTSNYMPSLSPILSMSVSDAVDEQDSRG RPASRWWEADSSGAPSMKMERSKRESKYMGVPKEAREALQWIDDGSAGPSNNQRYSDS EYPPEKVGWHEPDQTTPAPQQQSARNSVLSNYSSPSTPNPAHLDVSRLVTLPPPYPRH HPAVNNNHPELTQTRSTVRVISDMTEIDAIKEKFKLASTKARTDAADAAKQRRVTLRQ NLQSEIASGSIGYAEAAAIETDANTSENSRIQDLEKSDFDEFQTAVVVPVNEILQTRI TKATELFDSLRSRLFDETHDSNPNLPQEEGDEQPELLEKLTLLKWIFEARETLHRALY DLLSDRNDRYREVVLTPYRLVQGQQDKIASAMAFFAEDAAKRALAYHEEVLHRTQQFR DVVEETVIRGVEAQLSAFWDIAPPLKRLLDNIPASMEGFQIQIPQSEYEENPSYVEHP LQYLFSLLLHAEKSTYQFIESQTNLLCLLHEVKEACVSAKAKVMESDGRDASRVEQMR VEEGQRLTEDLKEKVRVVQDQWNEALGETIGGVKERVGGWLLETGGWDESLEDGGVGG V NEUTE1DRAFT_118170 MVLHNPNNWHWVNKDATSWAKQWFEDNLTKLEAKEGDVTAKISK VISMDGDVDVAQRKGKVITIFDVKLTLEYTGSTATDDNVSGTITVPEVSHELDEDEFV FDIDIYSDANEKRPVKDLVRNKLVPQLRKEFLKLSPALIAEHGKDIQHAPGSNPSSGF STPKFVPQPSSSTAPAVTTTSSSSSSAINTTTVVDSTEFRTSADELYATFTDPGRLAA FTRAPPKVFEGAKPGGKFVLFDGNVSGEYVELQEPTKIVQKWRLEQWPQGHYSTLKIE FDQNDVDKVTVMRVEWTGVPVGQEEIVKNNWNEYYVRSIKRTFGFGTIL NEUTE1DRAFT_131937 MAPNYKIALIQFQPKDVAVEENFQKAESYIRKAALGGAHLAVLP EYHLTSWCPEHPSFVAACAESSADNSYLARYQALARELNINIVPGTICEVHPASSSFQ GKEPPSDDGDSGKQEPATWKGQPIEIRNMAYWISASTGDLAGSYQKMNLWHPERPHLT AGDIKRHRPHRAFDTPFLKPGPNGTQVPIRAGLLICWDLAFPEGFRALIADGADMIIV PSYWWVTAVDENSAKISRDAEKIFLGSVCVTRACENTAAIVFCNAGGMSQVALPLKGV LPPVGEEWDGKKGVMGAETEEMQIVDVDFEVLRVAEEDYKVRMDMGGKGWHYDYTLWR DGPKE NEUTE1DRAFT_87700 MVKEEVFNVEDNPYSRVTPAHLPECLNSKTKQLELAKAMANVFS EQGAKATDATFCKHQGVDSKTVFVSMNEGSDIVIQFRLRSLDTTPFERARSCPGFDQY VPNIRLVAVITDPRDLAKKSWIYASNRIVGKTWTQVVQEQGVHILPKLCNSLGRVLAK GYIQDKSHEAVVRKVLPFLIPFLFTLEPEMKPYRSLAMEILRHQDKISELPLFMAHAD LWAGNLIVNPDTHEVMGIVDWEDSFPLPFGIGLHRIQMVAGEDFNSNWQIPNNFEESE RAFWAGIMEGSPEHRQKEFQEKAHIIDLAVKMGALTAGFVYQYDDKGHLTLKRDSETG SPLVNGTLPFLLSYEIPFRRGSEKGPYPEEFEWPFEGDEQRQYKWICELHEELGEAGN LFDWGISDMVLGTRLEDLLVKPLHFVVPTVFAQALDFSVILMQCLSPPTSPISELSKS FSYYVMIQPCRTPAMHMRLCHLSTLSTPPTLFRPLK NEUTE1DRAFT_87703 MESLAQNLDHLTITSHPSSSSSSSSSSSSSSVPAWILACFAERA ADAKAAAINNHASQEEKEEEHDQMDLDIDGAALPRKTVLQSSSSTPSDHFTQAVWGRV FNHRRDYSLTPHVHAVVHPRTTAEVIAVVEYAKATGKRVSVRSGGHSWAAWSVRGDAI LVDLCELELPDTLKIEWFGHHGKYGCTERVMDDSAERGPAEACVWYDEESQVVACPPS MTGQELNDFLVWMGGGSGKGRMFAGGHCPDVGLGGFLLQGGMGWNCKNWGWACESVIS MEVVTADGQHLLINKDSHPDLFWAARGAGPGFPAIVTRLYLRTRPLLEMYQSLYFYPV SLFKKVLQWAINIAPTCDPGMEVVCVSSHLRTSTKNPYDPNPAKDADYDPEPTILASF TLFTTSRSAALAALQPIHDLHPPGTKHLVFCQSTSLTQEYKQQLLASPWGHRYCCDNA YISNDSSSSSGTPASSSGFAAHETAESISVPDVLEKAFTTLPTVKSSALWFSMNPRSR RPLLDPQGEPMALSMQSDHYFAVYTVWSDEKDDERCTGWVRDIFKGVEKYAVGSYLGD ADFRVRRARFWSDECARRLREVRRKWDPEGRMGGFCDDREGDEEVLRNGGGWV NEUTE1DRAFT_124657 MPQLEEGPVQDILVELLFASTDHVSAGLTLSPFSSKPSETNPNL QYFLISTHPLGVLVFSSLSIPTDSSDTSPRLPSLPPGRAGADYHPPTHRQHSYAIAAH VWKQCNKEENMSVRINWVHPNIKFVTPEEGEAAVLDAAVPDVADPDAAISDPSGPGPG HHRRFNFNALPAEVQARIFKLWLFKKGKLIHVISRLDPFVPNEDFPEEAALRNRSGLK NVFFGGERRCNITDSGQNPNSLLRVLLVCRRFFFIGIHCFYGLNSFAFSSLGEFSRFC QGIGPARVSRLQHLEITLMGNQYLTVPLDRNGRIPVSRRTSPLSFLLDCHRLKSLVIF VNESEKTYTRCRYENSIIQDFMVRQTAGQPNQRKHRALRCIQGMDFIYALRGLEWIRF YDLYKAIASTSRTAIREPVADWSFVEDVTNTATMQKVPSRRESSRLENLEPLFSDNGQ QEAWNPGQADWELVKSFYIDKWSYDQLRQDSPHIPSHMSASSDPRQGHSLSSSSSSSS SGPHHGRAGPALSAAGSSMTDAIDLGNSESTPGPNTETDLPPRSTTGRVASVMIELSS DSESDSDDDNLFVSQRNCQPQYQHQLSPTPHPLEGGPSVTVSVPARLHSLTPMGSMTS SLLDRGFSVPANLGRLETPSSGLITPRGPQSFASLLNIQPASSAARYLPPNNPAALES ASVVASRRGSMSLSMSRSLSRSLSRSVSRSVSRSGSLVIERGNGNGSRAGSASTYRIR LRYRSSGSSGSDLFVRQTPRLSERESVDLTNVDEDGEDDGHGGGGGGGLLGRSRGSES GNGELMTIDEGDDENGDQDMEGRDSGYEGADDSDVEMVDSV NEUTE1DRAFT_118171 ARLTTHKAEQVGELLRGLGLRLIWFKVCRLDVRPLTDAGTCERV YVEFATGRRRNRRDTSEFDLHS NEUTE1DRAFT_49027 MSAAKTNILHLYRALLRELPPRPLLTTPRAPLHQRLRDFVSSAA SPASAGASTPVHKPSVAEAEQLLAYLQAQREYVTLLERYNPGMGMDEETRVRLTAKRV GMDLPKEFQEGEEDK NEUTE1DRAFT_124660 MASILAMPPNPRSLVLRQLAASRPVTVAAPISSICLFSSTTANP ARQRPAARSTSRSQPTQPGKPQGKPTEKPKAKQPEKPKAKQPEKPKAKQPEKPKARQP EKLKAKQPETSKDKQAEKPKETPKKIKKTGPVEKAPSSFSFLPPPSNDPNQRALPAPA PTANSLFAATHIFTCQKPYFMYAAPRFLNFPVNTHVPEVCILGRSNVGKSTLINALSG AAGAAAGRAHGLEARRAGRAITSAHAGSTKTMNAYGFGPPPKVAPPKKEAEPEAGESH AIKSRSEKREEKNKFNKERKPTNQLILVDMPGYGFNSQAEWGKEITKYLEKRKMLKGA VVLIDSVAGVKKDDRTVLGMLRDAGVRTTVILTKADKIDSMEEYRQGTGKERKEGSIG DMCVKVWEELRKIEEESLTWVEGKGWERELWVTGAGDPRNAGLGIAGARLAIAKMAGL VRDERALADEEAPELGSVVTKPAPTVSKIIPFDQIVWATPHQGTAGSKGSREKASF NEUTE1DRAFT_87709 MGTIAIIAAVILGISFMTFVAFFGRLPALRNTPISFLHRLIWIH LPNGLLTVDRTLTNGRLTTSLTRLGRHLWYDQHPTILIFFFLLLSVGEYLYLPVAWPH FSFTHKFFGTIAILCPYLFLYLSAFTDPGVINAKTHVREMARYPYDFTLFHPGTSCET CRLLKPARSKHCSICKKCVGRMDHHCIFINNCVGANNQRWFILLLLSTAILTLYGGVL GLVIIRAKIQARFPYWTLLPWWTSTQAWNNGDLDFHRWLLLWSWGLQSGVAMGGVTLL ALLTTPLVWGLLGYHLWLVYCGTTTNESMKWQDWQAEMDEGGVYKRRMAADGSREKDL KVEPAWTRWPVEAEQLMVRTEDGKPPRSSHRLPGEGEWEAVWRLKDVENLYDIGFWDN LVDVFLPYFMFKESKGRSPVDEREFGRERGRNRRRSS NEUTE1DRAFT_131944 MPSAGIVYEGALANKTPDGNNSKNQSEGTLFNGLKFWISLKVPA RQSLVESVKAHGGKKVELEKNADILIADHAKKNFAPAGSLSWKYITDSISEGQLADKD LYTIDHPTAKGVRPGGSATSTKSTRTPYTPQDDNLLIHWVLEKERSGAYIRGNQIYID LAEKYPRHTWQSWRDRFTKQYDHQHRHALAKMLPQNYQPPPPAPPPSQAPAPVRKEEN VRPGTPPATTTTVHTPAPDRSQAVSAPATGRVTFTQDDDQDFIRYLKQMKRQGESLQG NLIYKVFAEQHPRHSWQSWRNRWVRILQATTNLDEDDPAAPNPPEPSLPRPTPRATIH PQVKATPQSADQAAAKRRPSAALHRTRQDVPTKSWSSPMGPVKATITSSAHKHSPPRS TPSRLEEINKRARLVHGEKKKIKSASIIQRAWRSYQARKQDPDRQSLIMFQALAQGAL VRWACAPAFESQSQQYSEDEGSVDLGHPLEKGVSEPTQTPREQFYVYLNRYKEAIEAN PVTWVIIGGKAVDMWDLWTAVTEQDVPANARDWQEIAELLDFDWIAEPDVPNQLQTAF QEHLAEFENYYKEFQEAQSFELNQGTDEDIEEEEEEEEEEEEEEEEEESEEVDNDKIE AEEEEDDANEAQDTTLRQDPNTTEFRSSPPIPIIGALKSSGALKRNSDQIMTSPLDRM TSKRPRYDVEDEVPESPPKRWQSPLPPIPEATSRPELAADVALINKDNEDDAADDMPI LGDDGEDYGVDEYREEDGDPFITQPQQPWQPTVEEALSLRSSSASRSQKLSSAQKKSA LQPSSTTQAQYISSDSDFDSSSDAFGPPINSPRPPPEQRRSPIPVSVPRPSIEIPTAR RQLPPRDTAPASRSPPPRFRIPPDPYAADDEGSSNLRPAPQRQTSRQAQPQASSHAPP RPSASRSSLAASAGHQDRHQKPHPQSTAPPKPPPHTGLDLGDGIASEDPEAIINRYLA RGYKKRHCVRGMRVTAHDPYLAGRAIDALESGGGLPDNVPGIWTEIDDEGLRLLERWE RRIKKGKKMDEEAKEVERRLLEKHGRKRMKLRMDFLKKLDVLDKSKRRRDDARYLA NEUTE1DRAFT_112916 MYSSSNSEVTEPTYTPSQFSQLFSCLTSTMPSPIETPGPRGTNA INNISDTNKDKGAPNPRDEATQLRVGVSGRGTHPLPVEFPPLAAFEHAGILSTAPDEV LPGTFMITDEHRRDDDDDEDEEGEEGDEILFMRPSPNSSHASSSRVGTMSRSRYSMLG TTQRDGKDESDSDSDSDGGAPLFTPSRDKTLGNSGREENRAKRFDGSDLGCPAQLDDR HQQEFQHGHWANSNTKADALNIYHHRPKTLLASFRPGIPLALGIRTEPDPDAHPAYFS TRFIVQRAVARLQARRLNGLSSLDYPERIESLTDGEQQTNGVEQHHINGRGTYYNPPA SPLPTQASKPLTTTTTTRKPNYSRPPTETSTTENPTASIQLGPFTLSPSDVALLASSE ASFERLTTPKFHPAFSGSSSSSSYSHRESRLVQDSAEEWTDVSLDGGGGGGLSEEKKK KMKKEEEEEEEEEEEKEGEEEGEEGGGEEEEKEKEEKAKDGEEEKEGDEEEWEEEEEE GEEEEGEEEEGEDEEEEDGEEWEWVDHPMDKYRVGCMHNYMERDRGYVRNEGFGRREE E NEUTE1DRAFT_87713 MSAAIRVVPSRAARAVNLLKTVQYTHPPSCPCHSNPGYHQNQTR STVSRYNDRTSRRNYATPQDTSQLKEYAFEMAASSIRFGPGVTQEVGMDIANLNPSGT VAVITDSTVEKLDAMRQVRESLDREGIKYKIFNKVKVEPKDYSVKEAIDWARTTGDYS VYLAVGGGSVIDTAKLMNLYSVYKDADFMDFVNAPLGKGRPVDRKLHPLIAVPTTAGT GSETTGTAIFDLVAKKSKTGIAHRNLKPYLGICDPLNTRTMPSAVKASSGLDVLCHAL ESYTAIPFNERTPRPTNPILRPAYQGANPISDIFSLNALRQTVKYLPRSVKDPEDVEA QTEMLLAATLAGVGFGNAGVHLCHGMSYPISSQNIASYKHAGYDVPHPIIPHGVSVAV TAPAVFKFTAASNPDRHLAAAEAFGVDISNVKRESAGEVLAEAIAKFLANLGDQPAGL KALGFGREHVEQLVEGTIPQARVLMLAPGLAKELQAEREQLGRLFEDALEH NEUTE1DRAFT_131946 MPSLSSISGPTYVTSQLLVQQTAYKLSDKIFSYSPETFDLDVAV KEWAEANEKNVHGETTTVVPLQTRAGAGAFALGYIFSKDFDLAKRHVPQTLLAPSLSL RHLRSSLDQLALLYGVSSPFVAHVAAADYSAEKGLGADYATALQIAEDLGLALVSSSS AYEVQHMSLLATLLASVLPTLHIYDGIRTARESLRVVDALGEAHIADVYAKISKEAAA LNKRLDTAGKVVELLKAFNNELGTSYAPFEYHGHEAPETVLVVFGSAEAQLAKQVASA LAAEGKKVGTIVVRVYRPFVEEAFLEVLPASVRQIAVLGQVADAAAVEDANVQSALYS DVLTAVSFTDKLSQAPEVVDVRYAVTDAHTPSSIASIFNKLTSKGEAEPVAFSLTAVE EAQQYVFWDVDNSSAAASASAVGRLLETEQSNNVYVHQTYDNLVQGGVVRTDIRVSQK SIEAPYPVESADVVFVGEEKLLKEIAIVKGVKAGGKLIVRLPNFKEDELEKRIPAAAQ KEIQEKGIELYVLDSSSSPALEKEAGLLVGVAFLRVARADITKLDSLSADQTALTEAV NALDQSVRKVEVPAKWAEVENTVAPLVDSVKPNSFVAFQKEEEEETSSLENWQSAAKG FAFKEAYGTQNQLRPDLTVKTYTIKVKENRRLTPTDYDRNIFHIEFDLGDSGLTYNIG EALGIHADNDPEQVLQFIQAYGLNADDLVQVPSREDPAVLETRTVYQSLVQNVDILGK PPKRFFEALAQFATDEEEKKKLERLGSKEGADEFKRLTEEDTVTYVDVLDMFKSAHPD FNDLVRIVDPTKRREYSIASAQAVTPNSVTLMIVVVNWVDTKGRTRYGHATRYLSGLA PGSTITASVKPSVMKLPVKDTAPLIMAGLGTGLAPFRAFVQYRAMQKAQGKEIGSILL YLGSRHQREEYLYGEEWEAYLDAGVITLLGAAFSRDQPEKIYIQDRMRQTVTDIVKAY IKEEGSFYLCGPTWPVPDVTAVLEEAIAAEAQESSRKVDPRKEIERLKEDGRYVLEVY NEUTE1DRAFT_131947 MSGLALRELCVKSNQLTNYEQPSLQRQETQIVKHQSDTFTLLST LFSSLQSSRPERLRLFERNKKHHILASTVLLIHTPTRRPSLPNIRTSAGMKPTNSMPT HRRRQQQQQQQQSSTATGTETSHIPAKTITTSFLISNLHCPSCVSTIKDVLLGEPSTG SHIRWVSPNVVTSVVTVEHDSGSSDNNSAASATSVIKGMHRALEDSGFEVSGVTTTAT VGDHDIDNDDNGGFPVRDLGIQAEGESSTFSRWVTTASRRTNSPFGSQKRAETHFQNC EQCRTSKATTGHQVQEKTSEKEKESPVSAVRGIHAAPDLLTARQKNMASTSTKKSFST DTVVDLGGRVPSSQPPPLYRVTVAIGGMTCAACVNTITNELNKKDWIAHVSVNLINNS AAIDIHDESRATELVEAIEDLGYDVKLDKVVALSDPSKPPPKPKAGREILSDAWRATV SIGGMTCASCANSITNEMKKRDWVQDITVNLLTNSATVEFAGRENADKLVGEIEDLGF EATLNEETLVNVAIHEGGPNPEEEQQQRREVEIRIQGMYCEHCPSRVSASLAGFRRQL DVISQPSHKRPIVKIVYVPDAPEFTIRHILKAIEASDPAFKPSIYHPPSLEEQSKAIQ RHHQLQILWRVIFSFVVAIPTFIIGIVYMTLVKASSGNKSREFLMKPWTSGISRAQIA LFIMATPVYLFAADIFHRRAYKEIRTLWRRSSRVPLLQRFYRFGSMNTLMSLGTTIAY VSSVCQMIAAGAQKVHMVDDSNFYFDSVVFLTLFLLVGRLIESYSKSRTGDAVEMLGK LRPTTAILVEGYGTEKERDEVVQADSLDYGDVVRIPHGASPPADGIVVRGEGSLDESS LTGESRPVKKVVGDEVYTGTVNKDAPLLVRVTGVAGKSMLDEVVKAVREGQTRRAPIE QVADILTAYFVPVVIAIAVATWIIWLAVGHSGHVASDFLGDTKGGWVVFALQFAISVF VVACPCGLALAAPTAIFVGGGIAAKHGILAKGGGEAFEKASRVDCVVFDKTGTLTMGG EPKITESEVFLGAADDEAGTVFAALKAVEENSSHPIAKAIVAFCAAKTSAKVQVEDLQ EIAGKGMKARCIGVDSQANFDLIVGNESLMEDFSVTVSNETVQTLQKWKSEAKSVALV AIRRHQSNDPNGWLLAVTLAISDPIRPEAPLIVKALQSRGTRVWMLSGDNPVTAAAVA HQLGIPADQVIAGVLPTGKADKIRYLQGTEKARVGKGSESSTRRALVAMVGDGINDSP ALATADIGIAIGSGADIAISSADFVLINSDLRGVVTLLDLSSTVFQRIKFNFGWAVVY NCIALPVAAGAFYPIVSNGHHVKLAPVWASLAMALSSISVVLSSLALRSRVVGIGFRA RKIGEE NEUTE1DRAFT_124666 MNATVKRKFNSLLQGIGNRPTNPDSPSSTRDNDSLSSTPASSSS SRFTNMANDSLDYLSKKRRVGGLPSTPSAITLTTPTKGQTTISNVTLRKWNSHGGPGS SPAPGAGGGSSAKGDSPVTKLQPPKYCPGDRDQLVRRLATFQELTDWTPKPDRANEIE WAKRGWVCQGKERVKCTLCNNELAVKLNRKEVDGKEIPVLIAADIAESVVDQYVELII TSHREDCLWRKKGCDDSLLRLPLPNPKLALETLRQRYDELCQRKDFLPYEFNLRLPEG FDIDIILSYLPPNFFAEPPASSTADSSAASQPASPNPSTSQQPQAVNRTALALALLGW QGLTNPRLGTAVPNSASCHTCLRRLGLWMFKSKQVDPDTNTILVPAPMDHLDPLREHR FFCPWKNPQAQRNPGAKPLARGETDKAAWEVLVEGLKNESRLREKARDLMHGRSKSSG SGFGFGLGGKKGTPHRATGSTSGFLGVPMTPDGRGVTGQQPNSAPGGLHVGGEEGGGG DEEEGFEEEDDDESEEARKKKDQAMMSRLKRVKTLFNTKGSKLKKLGASPSPSPGSAG TGTAAVNVPDSPRPGSSHSTRTTGTPGTAATTGGSTNAPAE NEUTE1DRAFT_124667 MAPQDSFVDDEEETCPLCIEEFDLSDRNFRPCPCGYQFCFNNIR NNMNGLCPACRRPYDDKTIQWKVVTQEEVAEFRANIQKNQKRRAAEQRQKEAQKREAE KENRKNLVGVRVVQKNLVYVTGLTPTVREDELLKTLRRPEFFGQYGNIQKISISNRKS QDGQNQSLGIYVTFEKKEDAARCIQAVNGSQNGDRVLRAQLGTTKYCSAWLRHEVCTN RQCMFLHELGDEEDSYSRQDLSSINSISSQRPLPVAGASRSASRQTGHPSPAPSNAQP MIRSSSKEESENGDGPALPSSATWARNPQVRSRRGSHATSGAAPSPAISNILPVTSES NREAVEDFPPIESTVHHPPPPQKTKTEKSPKSAPKPAPKPAPPVEEASPAPAPAPAPA PAPVTAPVAAPAPPKVETSAKSTLKKAKSASQDTLTKLLKSLNGCSLAWPKLGDDSTE SAYPPLFDVRGGEKRRALRDDVTTGVAESHEGSAAPTEPAEAEPESSGSLALGGEPED RDSGRDFNLRGGAQPPIQRANNDGLFGSAIGSGFSQGSTNLASIGSRTMTPQQPAFMR PQAIGYDHLPPGITSQASLFQGQGHNRQGSRFSFANEGQTTSATSVKLAANPRVMAQQ ASMMPSSFHSQPNTQFYASSMPGPPPGLKSTSTPPSMFGQHGFGSAFGNATKDTHEIL QLLNRSRGAGSQVHDAGKLDLADPSILQARMQSQQHMQQQSNAGLGQVFGGQSQVQLA QRSKIEHFYRCYDDLPSFEEVTTSVDALVSDEPITTIRQPPGAFDNFGRTGTPIVAPP PGLGMPINHPSPAISHASLRAGTQTPPVAVPVVPPKVTTAAASTAASTAPPTSTPVTA TASSVAVSTAASTTSTPTTAPSPLISKNRKNSVEKGMRYCPFCALDLPVAKDGKTIDV LEAERHMDSCENDKKLRLAALAPGAKKNSKENIQALAAESGLSRDIATVKAKAPKVLA DEDFPALNSPKAQAAATTPAVSTKTTATKSKAAKKAEKAAEKEKPAPVLVIPAVAPTP PEPEPRPVDKKPAPVLNIAAATQVAQVKTAESSSTTTEKSAHDRDSAFPALPTPTTAS VASPLARTAKTLRLVSTPKAETPSTPANAGVTSVLGSAARSIASISVRPETPASEMIS DSASIVSASVSASRTSSPPPSKIGSAPVRATTKSQQRKARKEQLKKETAAVAAQPVKS DPSEEIGPIIGRKKKQKKEKEKEKPSTTNINVTPTVSRPETPAQKEKETPPPPPTKEV KEVKEESSTYRSTANETTTLTDDASPIKTRGAKETPKSDAVSTPRTLPTPGTIFQDLQ KSGLVPEKIDDLPLFKPTSTGFEKSRNDHSNAAARENAARNAMTPTKSIVTDEDQAAL LAGHPVRKMIDGVRILLTPNGDCIRNLTEEEEDRFLELQARIAETASSPAAFVSSRHE AGGGFSLIKGRAVPNGPPGYFPQAPGQYPTDPVNKIQREEAIYYINQYVLPRLNLNAR DMSFPKAMSNWHPDQRGGNPAAAGLSSLAPWMYGAGSPASPHDNDAVAPEISYPAPVS AFADAHGSYLDMPPSPSPSALDDPMGHKGGAGLNIGGAPGPFGNVPLMSLEDAESALS AARKEGDKIEKHFNQTVRKNKRLLMAMGNPVSVGGSGGAHAAAATAAGGGGGAH NEUTE1DRAFT_69044 MASKLCRSRALASALRSAKPSPAIRCLATTSRNLINMPEGPNPR QFPREPLPGALNAAVVNPADKYQSKADNLHKYGSWLMGCLPKYIQQFSVWKDELTIYI SPAGVIPVFSFLKYNTAAEYTQVSDITAVDFPTKDQRFEVVYNLLSVRHNSRIRVKTY ADEVSPVPSITPLYDGANWYEREVYDLFGVFFTGHPDLRRIMTDYGFDGHPLRKDFPM TGYTEIRYDEEKKRIVTEPLEMTQAFRNFEGGSSAWEQVGAGIDRKPESFKLPTPKPE TKPEEKK NEUTE1DRAFT_87731 MASHRFDPNFTQNVIDGMGPNTTPRNRQVLGALIRHIHDFAREV ELTMDEWMAGVKYINEVGRVYSESGQTRNEAHRLSDILGLETLVDEIAHKIVVEEGLE PTSSSILGPFWSPNAPFRENGGSIIQDGVPPKGRVTKMHGVIRDITTGQPIPNAVFDI WQASANGKYDFQDPQNQTPNNLRGKFKANEKGEYWFYCLHPTAYSLPTDGPSYALLQL MDRHPMRPAHIHIMVTHPEYQGCTTQLYPSDDPWITNDTVFAVKDDLVVTFKPLEGDE KAVLELEYNVNLAPKGFKGKV NEUTE1DRAFT_118173 VRIQSSQRAAGKHGGTWHHPGTWAPGACAILSCCVHSQCHEDPG CASREREIPVVHYGDGLLCIVPFVYNILRGTSCSGCPPSSFLIVIVIKLPTSL NEUTE1DRAFT_69050 MPLHHLMIGTWTPPGAIFTVQFDDEKLKCKLIKRTEIPQDEPIS WMTFDHERKNIYGAAMKKWSSFAVKSPTEIVHEASHPIGGHPRANDADTNTRAIFLLA AKQPPYAVYANPFYKFAGYGNVFSVSETGKLEKNVQNYEYQENTGIHGMVFDPTETYL YSADLTANKLWTHRKLASGEVELVGSVDAPDPGDHPRWVAMHPTGNYLYALMEAGNRI CEYVIDPATHMPVYTHHSFPLIPPGIPDRDPETGKGLYRADVCALTFSGKYMFASSRA NKFELQGYIAGFKLRDCGSIEKQLFLSPTPTSGGHSNAVSPCPWSDEWMAITDDQEGW LEIYRWKDEFLHRVARVRIPEPGFGMNAIWYD NEUTE1DRAFT_87736 MIPTTSSLFAILVYLAAQARQVRADPNAPCYFPGGEFAPGYFAC QAFNAPVSSCCPAGWTCFSNALCIATTESNSFPNLTLGAVQRGACTNPQWNNYICGSA CLENGNRRGELAACGNDRFCCAADFNEGKCDCSSNDKDSSAFTISVGLVQTIIQVSDT TFTGTPSLSIASTRVSTVEASATGTGTTTQITDGPPSSTSLTSSQTSFAPTTTPAAPP VASSKGDVGSDSSGSSSSNGLKIGLGVGIPVAVLAAAGALAYFLWWKPRQIRRVSRIS QHDHYFVSTDLAENDPHGPAKYEPYRQAAT NEUTE1DRAFT_118175 MLEIIDQGNSKGGAKGRIWTIDPIDGTKGFLRGGQYAVCLGLMV DGDVKVGVLGCPNLPVDDAAPLTADIGTNATDEGRGVIFSAVQGQGATSRPLGTAGLA EGKSIAMKPITEMSNASFCESVEAGHSDQGVAGQIAQKLGITKPSVRMDSQAKYGSIA RGAGDIYLRLPTSKSYQEKIWDHAAGDLIVREAGGQVTDVSGNRLDFSVGRTLAENKG VIAAPAAVHDQVIKVVQEVLGQQ NEUTE1DRAFT_112927 MFAPSRRRVLEAVASSSSSSVVTLPGFLVPAFQQTAGAAARRNF SATTTRPSKLGRTPLSIPPGVEITIGEPFVKRDMTQWKQQPKRKITVQGPLGQLEMDI PDFIKIDHDAEARRATLSVANRDEKEQREMWGMANTFLVLGNVESYRRPVS NEUTE1DRAFT_131956 MVMALLFTALCAVTATPSLAFSWGGERKVPVPDHQVNIDRNIQP SDLLRESSRLPNIYAVALSELQELESEPFCHRVAARLLVNNCQLVDGKNDATILTDTG RQVRDFVDSYAASLAICDLERGRFNIPAQCAKFREPALSQIVIRDQAQLHVSSHEIDH CLSAMKSSDAAWNTWISYRHKALRFCEAARADNEKAQNILLYQRLTNVMKNLTEGVEA QLQKRMNQLDLRTQQLINNLDNLTPQIDKLRDGLAMVHEFLATDVEATLRKSSASLHD SQQQAEDLQKLLNLLLTGVLEGHSRVAHVHEHALQQVSARANDGIGALVAVVATAAAS TTALQQQIELSNQQAAALALRQDNLEQGMDRLLAVTETLATKYEDQTQRLQQASNITN EILDILEDTAEAASSVNESFLTGASSRSWWPYIVCPTASLVMGSYGLPPSAVRNLALL ALGEVAGFMISSYEQIAGALQSFEGPLYSSVWEASANTTASPYEI NEUTE1DRAFT_124676 MAVFLNHGIQLSIDGKTLYASSSNSVYSWAYDADAIRVSDEKSP KTLISNMTNAGGGHSTRTLLISRKQPGILLVSRGSGSNIDNGATDHSSGISQIRAFDI SDPNLGTPGGGGKVYDYPSEGWTIGWGLRNSVGVAEEPNTGGIYSVENSADGIKRFGV DIHEDSPGEEMNYHGVLRFPSNRSSSSGQGQQQGGNHGYPYCLALYNSSATPSFPSLG NLKTGSQFTHDSTPYSIPFLPSSDSSSSQVKPINITTSDTSCSSTNFIPPRLTFPAHT APLDIKFSNGNGNQSLGLAYISFHGSWDRSTPAGYKLSAVRFDTSKGEPIEASDSTNS LVDIMWNRDVSKCPEQCFRPVGLAVDEQERIWMTDDDKGDVWVLKQVGEVKDEEGADG GSGSGNVNGDSDSAAAPMRAKRSGSCAEWLGVGMSAMAAVAGSLLFVVL NEUTE1DRAFT_87749 MEDAGGENVEEHLQEVDEQYKASLPKLMGEDQAHRDPSRWWFAS AAFPMVAGTLGPVASAFSICALVRPWRQNYTKGADIKTATFIKDPAWLIAINAVQLAI ALSSNLALLLNMTRRLRFSVAQPVTIVGWYLSSICLIALASTTAGPLRPENNDFIWSE AYFYGIYAAVLYFVVASLMVVTVWGSQQGHYAKDFMLTPSQRTLMLQTISFLIYLLIG ALIFSNTEGWNYLDGVYWAAVTLFTVGFGDYYPTSTLGRALLFPYSLVGIISLGLVIG SIRTLMLERGKKRLDARMVEKLRHRVLRKMAKKGKDGILTPIRDTHSDSPELSSSSGL TEFQRRQSEFELMRKIQKQATHRHRWIALAISTSTWLVLWLVGAKVFQECERQYQQLT YFDTFYMAYVSLTTIGYGDITPISNAGKSFWVFWALLALPTMTVLISNAGDTIVKGIK DATDKVATVTILPSERGFKSEFKATFNALSHGKLFTEDIEESPPGIHGASQRHRYSSE ENDDEGELAQDQADVDAESANTGLIKQKQAKNARNEESHKRRVEGNAAEEDSGDRPDR AVRFDDSSTTPMDPAEYHLTLIEEIGLVMQHLKSHPPRKYTFQEWAWYLRLIGEDESD AAKHRKPHAHLRSSKDELEGKERAKWSWVGSRSPLMSSQEEAEWILERLIETLTDELR GVKAARRKNEMKNQKSQQADTFMPGL NEUTE1DRAFT_31033 MPRWTVALRLRRITVASKLPRQTSHERFYSSSPPFEPSIERYTV PCASSGEITVSLYNVADRPPTDPLIIWIPPFAARDETDPNYHLPSWLHTYSAAVIHYR WSGLYDDRPQPKLKPKIADITPFHWPTPIHDVLFGYSWITQNLQPRYPQRKDVYICGS YLGASLAAGLALTESYPHARMAVRGLLAYNGIYDWTTFLPDHPIHRPKGDDRILIEEQ LHKLNRDLDKDAGPAATSPIIAHYQRRLEDLFGSPSGLFDSFASACLFFHTAGLFVPT TFDENGDINVPADVHSEPHDPLTSPSTPPPHQPPPPLPASQNPTDDLDPDNSEHSEHY RMHMSMVNDAEGEPDEVAAEDMLQQTLKVEILPGKFPRKSYVHFPPRHSMLKLPDTLL LYETPSAELPFKERRNPVLNSFRTQAYELALLMQRSIEMLELKERRKWDEDYLIDLHH WELEAARRVKVADV NEUTE1DRAFT_118176 MTAGAQVIANSGHDDMIHDAVLDYYGRRLATCSSDRTIKIFEIE GESQRLVETLKGHDGAVWSVAWAHPKYGNILASAGYDGKVLIWREQAGSWQRIFDFAL HKASVNIVSWSPHEAGCLLACASSDGNVSVLEFKDNSWEHNIFHAHGLGVNSVSWAPA TTPGSIVSSNPGPGSTGNRRFVTGGSDNLLKIWTFDPATNGYKLEREPLAGHTDWVRD VAWSPTVLQKSYIASASQDKTVRIWTSDAANPGEWKCKVLNFDAAVWRVSWSLSGNVL AASSDNNKVTLWKENLKGEWENVKTIEE NEUTE1DRAFT_131960 MSDSMCGPSNGAKTLLAHADRDRTLHQDRLVNSPNSAAGASFRN RPSFAADGAYETFQQAPMLDAPGPSLGLANNTFLNNPGVPAFHAGPAPGVFGHAVGGA PATASPMHSSRAAAHGWVDQFASMQLRQDTAHAAPAMSSQAMPATMSTATHNSMMGLQ DDHMAYNTRQFGGMGMGVGTGMGMGMGMSMDDPFVMHGTATNNFTHQQPQFVLTDHVE SALDIEAFNRAFGEYDESSFEQELAEWAEKEKAEKAQQAQQEFEAAEAEWMAQHGPSA ENNTKVGPPTDEEMATIDADLENLAEEMEAKEEAEARRREGNEELAKAANAILTSVAD NQSEKFQKSTFLDLMRRIGNREVEVDGDKLVDVATGEKVSTSPPDFGPNDDVSGPSDA NDKGNAPAEPASSA NEUTE1DRAFT_103877 MLCRAFDFVAAHFRAWTGFQGTYFHIAGIEGKGSHEDGTVWYQK DLLQSWLGSGSGTTGLGMAFKKATGG NEUTE1DRAFT_69075 MPSQRPTYKSPLEGYENAPPLPTEKAEDGKSLLNNQTGVLSSAY EKFPEPLDNGRRGAFDVHIYHLPNHPAQARYASELHSRIRHEFPELRIYTFWSKPVGP HPIGMFEVNIFTPAQLGAFVGWLAVWRGPLSVLIHPNTTEKTLSEGGEISEAEKEARN HTDKAIWMGEKVVLNTDMFWEVMDH NEUTE1DRAFT_103879 MRGQHRSSPLPLLGLLGVAKAAQVVYITDLAIYNSLAPCAQTAI SYNVQGQTRDACPAAVGELQGCVCTKNNNFAAIKSDVSKSVNYYCGSTATEDQASAGT VLSAYCSQDKLPEFPQPSVPVTQYITDVPEVAALAPCAFSALGYAIREMGRERCPSDL AAYATCACQKNQNSLLASQLINSSVKYYCEGHTADVSSAQGMWSAWCKLNEGTSAFPK PSNPPGDMTYYIKDLPQYSSLANCAASAVSYAVQGQARELCPDGPQALASCVCLKDQM LGLVTSSITASVKYSCGVTATDDISSAMAVLDLYCNAANNKLVANGVTASESQADPTP TAQSGSRANRGAQATASSGSGSRSGSGSGSNSGDDSTGGANDGGSGSGNTGKKSSNTG VIAGAVIGVLVGLGIIAALLFFFIRRSKQRKNMEQTNSSNAIHDVFAGEGKPELAGNP IVGGAATAAAAAAAAAATVPPSPPSRSASMLKDGTRVNSVSPVSAGAGRFPPPQLTAE LQGQGPPHPLNSTELHGQTPAYPPMPNTAELHAPYGVPQNPSQPLGQGPLSVSPMLSN ASELQGSGGMYPGPNRQELQGQGTPPQFMSNKHEMMGPQRPAEPQPTAWQSGPVLGYH EMDGHGRGSGGQP NEUTE1DRAFT_124684 MSMNFMYTRGRFHSSFQSHYPRERLEAPMYTNTYIMTEILAHGM MVGFNMTTASWLARHAAARNLEAWIREQKDGEAWAGGCRVKGGSHESRGLEPYPSSAM FSMQQQHHYAYQAHQPQPPRQYSGHGTSSAFSSSANPDEDWTKISDLAERRRIQNRIA QRNYRKKLKKRLEDLERRAGTSDDSSSSPGTEKTSPASKTTKRTQQQTAKAQKQASVS QQKPVPVNKNQFTPPMHPEDDYTFGASYDERDRSLSHSPPMFTYPSYPPPPEEMLYAP VHSYRAVTTEAAYHDYYTTAPVPVTLPSMAPFSDAIKREPGYPGADDTVYMNYGYLPS VDMNTGAPSPYDNMPHTPPLQHPYDHSASCSDSGSYEYPRTPLSIPSSPGMVSQHM NEUTE1DRAFT_103882 MERKVYTTKLSAAGKHCIGKAQKNHEAVTNSVDLEHEHDSQIQK LPLESVSHAGVVPLILPLYKLNRQVKEAPMPY NEUTE1DRAFT_124685 MREIVHLQTGQCGNQIGAAFWQTISGEHGLDASGVYNGTSELQL ERMNVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICMRTLKLS NPSYGDLNHLVSAVMSGVTVSLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAHHFRAVSVPELTQQMFDPKNMMAASDFRNGRYLTCSAIFRGKVSMKEVEDQMRNVQ NKNSSYFVEWIPNNVQTALCSIPPRGLKMSSTFVGNSTAIQELFKRIGEQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAGVDEEEEEYEEEAPIEGDE NEUTE1DRAFT_131965 MQAVPSHHQQQHHHHHNHHLHPPSPSPIDTTYFFCTSRHPHCAN KTHWNTHDPPSGLIAASFPSAISRLHVAGFRCRIPDANFNACVQLVGTGTVVAAATTG LVFGQPPDLDCPQPIPKRKMARNYDLFRMPMASPRPGTSGILFTSPQSEYFPYSSFEP PLDVVPGPLTSHPTNSTGQRPGSLPPPPSRGNRRQSFIRSHSERSTSNSVRDSSSSRE SWLKRWSIRPLSQHESSPRSSMGPDSLSINFSNNGSEAPMLRSSTTTASGPQAAPNKL VKRITTGQGGNSETKQKRGSRPQLPTLRRPATSHQRSMTLQQLQGTFCPTPVDPKFSL EEEPELPHRTMNPQADAGVAKGRGRWTSFFHARILRSSGRRSGARLSESSLDNLLLPS KRVQVHTDNAPRPYLTKPDYIAACPTPEMKPRQSRPETRGGRLEGLDSAPLASPTRQL RRSMSFRTLDSPETRVLKSTNARRPRRGTTSDAEGCGRHAAGPEPLDDFLLPAPNRAD QPKARPTWDGISPPTQTTPKHLETGLRPGLRLVISHKRNTSAPLVPMSRIPSLDVDVT RVDQPGSIPSLASPSPCQDPINDMSATQGLAVQPNSRSGGSGEQASTLAGSESIDGRD GLSGDDDDTDLRSDGLYDSFRTGASSSRLRTVETPLESMFDESPPGTAGNSKDKRLSI QEILGQSWDGATRIMEEDESLPPTLRAAFMSDTAKIAHNGSDQNSYELDPTPTLTLGE HDFGRLSLDDDDDDWARDDDETFSNHLSPPSTTNSSHVSPAIRQALAGLCEQNDADLG HDALDDRSRSNIFDWCEQPSVDKFDHDGLSLRPKTVHGKHELDLRGGRSVIRKGPSAV HIRSQSVPVVPDLAEGSKPTPKFGTWGLSSKTVSEDWDDDFDFDEVPVSATGCKDSAT SFSMVVPASIQASQPSVKAHSGQIRELSLLVNDLKRLCRHGKELDIMNGPAASKWEEA ENVIELASPDEEDEDADADVDASSQSTESKISSIDERYLEEGFDGSILDQQIDPFEAI PPPMGKTTVIRERQTARRRSVFSPDDDIFGGGWPLTEGNPKATRPHTPERSTSPTEKS AMIATVIEAMQQQRSTADAVKHVAPVKPSSSKLFFDTNSLHELVKRAGQLRDSLAETV RRAELLTQTPISTPRVEPPKPRHNLDGSPAFTRVFTDPSASPQSPQRRLPKSHSTNSV LRRKSSVDSPRLHMMTVS NEUTE1DRAFT_69088 MEAEIRTVLPNIDPIISEYSAGYLTHASTAWSGDEDATGPSPLV EAASAITDLLISASGGTNAALQDKIRQLVDKWVDRYSAANDSQEKRGPAVKRLDQTIQ VSAQRNISSTLAVATGGVDLESANARKVESKVDKKKLEKAERKIAAKQNKKTFKTVEY EASRLLNQVDPAQSYEEFYMAVNPLQMNGSSGKTKDIKIDNIDVTIGGLRILTDTTLT LAYGHRYGLVGHNGVGKSTLLRALSRREVPIPTHISILHVEQELTGDDTPAIQAVLDA DVWRKVLLKEQAEIIKKLADIEAQRVGLADTSADAAKLDREREVQDNKLGEIQGKLAE MESDKAESRAASILAGLGFSPERQQFATKTFSGGWRMRLALARALFCEPDLLLLDEPS NMLDVPSITFLSNYLQTYPSTVLVVSHDRAFLNEVATDIIHQHSMRLDYYRGANFDSF YATREERKKVAKREYENQMAQRAHLQAFIDKFRYNAAKSSEAQSRIKKLEKMPVLEPP ETEYSVHFQFPEVEKLSPPIVQMSEVTFGYSPDKILLRNVDLDVQLDSRIGIVGPNGA GKTTILKLLVGKLSPTSGLITMHPRLRIGFFAQHHVDALDLNASAVSFMAKTYPGKTD EEYRRQLGAFGITGTTGLQKMALLSGGQKSRVAFACLALTQPHILVLDEPSNHLDIEA MDALSEALQKFEGGVLMVSHDVTMLQTVCKSLWVCENGTVEKFPGDVQAYKKRIAAQA DAAGVVKKH NEUTE1DRAFT_118181 MFSELDLLDFATFDGGATTEAAFASPANQTYDLSSSVPSSVSNM GTVSPQELLLHEPYLSAPSSTALTALTSPSIFDGSPDFDTFDISPSFGHSDLENPDTW FSLFPDATPLPQAQVQTQPQTQTQTEQQTQPLPELIQPAQPTVQPTVEQTVQSVEASP ATPSEDLEVLSPGSGHQRRKSSVSPPSGRHSSVAGVGSRRRDKPLPPIIVEDPSDVVA MKRARNTLAARKSRERKAQRLEELEAKIEELIAERDRWKNLALAHGASTE NEUTE1DRAFT_124690 MPDVDDDGFYHWFPWGKNINPGWSFDVITLLAIIGEGSVAEFAQ TITASSLCMLPRLIPAPQALLRPQRPQRLPEVHAKLAGVYGGTLLDSVGFFANILHPL DEYKAFDFRVFEIKHTHLLQPDVSRRLEKEGFLGTVFGLRWLKRKKAKKAEDRNSEIT FNNTRENGMNGGSHETNTDASAKTDADALRRQHGTNKHVTLDVDPEQGDHVPTPGINR QPTMSERITDMVTAPKMMTPQRVASSPNARYTVPPALDSPMHVINVFSFLLTVTILII TAYWNDGAAVTAIVTISLAATVMGYASWWYPLLMARQANAKVPPGDVVIRTREGAFLV VKCTEEVARELYQGTEECRYVSTKFHRLFMGIAMVLLMVAVVLLGNCRWSSQALIGGA YVLLNSIYWVCGLLPQRFFWDLSRYTFTDITPADVLSHDPNDEPPNFTRTLWYAIRET KKDAWVDRSGALPGTLQWKKWLEEALKAAKEGNYNWDAVGRKDEIMRENPDAYVSREP SNPVKQHQSGHWPNDPAAQKAPLDQVQPSPYQHPGGTL NEUTE1DRAFT_69098 MCGMAQKKDPSSPHPLSKLRRIFPFVPSSKSSSPPISDQMASGD EKPRAPPPRPYDLVESFDRVIAARDQHKSTPIKLLQQDIDTPTPPNAKEYFQQRDEVI RREKALGFDHHCTSEADSTEMKANAVIQRLKDLDVAEVYEKEARIEGYAGQKHKRVPG DRFLVNAPVIEKTKLYRAIQKMPKGAHLHIHFNANLLPEVLLNIAKEQDYMYIMSDIP LLDKKAFERCHLKFSILSDSTFKTTWLGQPDLFTRDKTDVNGAKEEDESGQPKHIMLF KEFCNGFAKKYDEAYASDEKSWLKIASGKDLVDEYLTRKIVFQPDEAYNPHQTAKGAW QRFNAHTQMMKGLFNYEAAYKEYTQKCLEEFVNDNIQYAEIRPNFMETNQVWHDNGEG RIDNEGIMDLIIGVYEKFQETHKHRIFKGLKIIYCTPRSFNPNQVKFALDQCLKMKQN DKYAKYIAGFDLVGEEGAGHPLSHFIEQFLEFKNKCRDAKVDIPFLFHCGETLDVGTE TDGNLVDALLLGSKRIGHGFALAWHPYVTQRMKKQNVCIEVCPISNEILGLTPRISGH TVYSLLANDVHCTISTDNGTLFRSRLSHDFYQIMVGKADMSLYGLRQLIEWSIDHSCM DDKEKDQTRRTWEKLWKQFCDQLVREYPDLVLKSLHQKL NEUTE1DRAFT_103890 MAGTKKVVKKSGDKKKAVAQPSAQLLAAVEKFLTENNFGDAATE FTKQITKNGWEKKSSDASLVSIFQTWEAKPKQAGSTSGDDSDSDDESSSSSESESSDS DSDSDSEDEKKKEAPAKKAESSSSSSSSSDSSSDSSSDSSSSEDEAKKTKAAAPATNP LKRKAESSSESSSSSSDSSSSDSSSSEDEAPKAKKQKVAESSSSSSESSSDSSSDSSS SSESEDDKKKPAAKATSPKKAAAAKKEESSDSSSSESSSDSSSDSSSSDSSSDSSSDS DSSSSSDSDSSSDSSSDSDSSSDSDSDSSESEAEKKEVKKEAKKATKSDSSSSDSSSS DSSSDSEDEKKTEKKKAASTNGSDSSATLKASTESTPVPTTEEKTNNRGKANGPPPKK EIQPFSRVRKDVVVDPRLASNAFAGHEWGQKAHEDLIVTRGKGFTKEKNKKKRGSYRG GRIDTFAVGGIKFDD NEUTE1DRAFT_118184 MDATIIEKSFDSSSALITTKPLNGLLFAGPRRVLAVIPPGSRIS STPICSHIRTFRL NEUTE1DRAFT_124695 MSETSPSSPLLASTDTNPPKGGPGLNASLFLEPSPASAPSEAED CLAADDDFDSDDDNDNNKVTKRFGISASLVMITRLILFVLTLANIITWVVAGRLGNNG FVVVIFVELIFMLITNGCFILKLVRENRRCNVRGLNFKIPRISLAVGDWFCVLDGQNF LGQKWMDDDKKTKRVRSLLVRLFGVFIELYFGITLIVFTKCAFDAAWWYYLNRWQAPL IIGYVIGSFQLLAVILDQIKPLGRRTTIEIAYHSDGPVQKDYYIQLPVETEAEAVNPP MSIAA NEUTE1DRAFT_140750 MPPSGFSHQRSQSTSSLPPSSASIVGSSFGIHARHASTSLARGP GAHIIRPTSIPSFRGYYYYYSLSLRTGSTQDVGGLLAGPLLGGRQQADKDAKEKEKKK KRGAQGQGKGGGGGQGGGAGGGKGTGS NEUTE1DRAFT_69103 MLSRTAAPTKASARTLSRAAVEQCRTFATVQDGSANPVRHYGGL KDQDRIFQNLYGRYPPDLKHAKKMGDWHKTKEILLKGHDWIIGEVKASGLRGRGGAGF PSGLKWSFMNFKDWDKDDKPRYLVVNADEGEPGTCKDREIMRKDPHKLVEGCLVAGRA MNATAAYIYIRGEFIQEAAILQNAINEAYADGLIGKNACGSGYDFDVYLHRGAGAYVC GEETSLIESLEGKPGKPRLKPPFPAAVGLFGCPSTVANVETIAVAPTICRRGGSWFAG FGRERNQGTKLFCISGHVNNPCTVEEEMSIPMRELIDKHCGGVRGGWDNLLAVIPGGS STPILPKHICDSQLMDFDALKDSQSGLGTAALIVMDKSTDVVRAISRLSHFYRHESCG QCTPCREGSKWTEQIMKRFEKGQGREREIDMLQELTKQVEGHTICALGEAFAWPIQGL IRHFRPELEARMRKFAQENGGEALAGGWQRNARQQGKLVSPGM NEUTE1DRAFT_118188 MELMEMKMEVVGFGGRGFASHACLTFAKGIPDSRMMDLLGLRSL NSRGPLVTFNSFQPLIWTTCAAA NEUTE1DRAFT_124699 MSSATPSPVPSSAHGHHKRHSILPAVSQSGPKPPVQFSSSCTIA DSALLTGPHTIIVSTESVIHPRARLESLGGRVTVGRRCIVHERACLGAADLQGRYHKG SPDKEGRSMGAVTLGDYVTVEVGAQVESGGTVIGEGTTVGIGTRVGAGAVVGKHCTLT ANSTVAAGEVIPDYTVIYSNGLRRIDKRGVSDLKNKAQARQIDVLRRMIPSNPGKFQ NEUTE1DRAFT_87793 MAGNGSLSGLASRVSAIERIPSTPSLSEIQRPRKLRLLIAANGP RDVAFAQAIAVRLSKEPQITTRAIVDDMTHRLAQEIMVYKNRNLRADGPDGLTGKEVE TYQQQADELVEWADLLVIAPIDADHLARMMCGMADTLLLEVLRGWDASKRILLIPGMT THMWENPMTKRQISKLHRKWSWIRVLPPILWHYDDSPNPKRIVKWDVFNEVLAIIKNQ ADLLKIGHTVEVSVQQGTSATTGKKARSTLPPELWSMIFEYTNDWELATSMGVFTTIP MPESEGWRLQPKDPNDPLQVFMHELEWTLLTANTKAICEKLSQAPESFQDLSALAVHL IFKFGLTEVLTYIESNFPHLFKCFDGKTIPTKASAYYYRTDILEWWARSPSFLEKQYD TEALNLASMRGSIPVLEWWRRSGLPLKYTESAFELATSRGHLDVLQWWRDASQREHPS RNISLKPGRSLLMAAQYGHVEIMRWWLEQSGVPLEHQEHVCKIASRWGQVKILDLWRE LRGDDKMQFDNQILIEPTFHAHIPVLEWWRRYAHGELPGMNGRKGKRVEYKTMDIEEA LEDSLGDQTRVRRWWAENGLNLGLGTSEWMKVRYL NEUTE1DRAFT_118190 MPNEAPNRRPAQTGSRMTTKCPNAWLSHSLHKQTSLTTSSHTLL LGVGYCDGPGGEDHSGGSECSPDDETETGNVASNLNFPQQLRI NEUTE1DRAFT_87798 MAVDKKRKNTKAPASGPKRRKTQQSSKQIKRPVSVDALAWKTVD IPEMFDDAEGFFGLEEITGVDIVKDGDVVKFMAAVPKSEAEVEDDGEEFGGFDDEETP KPAENADQEVETSESKAEAASTPAKEKKASKDQKKPKEQQKQQKQQPKKEQPNKAANK KNAEDKKKARKNEKTTAEPKDPELETDLFTKLEELPEPEEEEIDMSEWVPLDLSPRMI SSIAKLRFSKPTVIQSKAIPEIMAGHDVIGKASTGSGKTLAFGIPVIESWLSAAETRK QNKEERKGATALILSPTRELAQQIRDHLQALCKGLPTAPYICSVLGGMAVQKQKRQLQ VADIVIATPGRMWEVMSSDNSVLASLRNISFLVLDEADRLLKDGHFKEAEEIFKALDR PPVEENNEDQKMGGTDEEGQEEEEEDSEEEEEEEEEEEEEEEHVNKRQTLIFSATFNK NLQQKLAGKSKFKATSTQDMEYLLQKLNFRETPKFVDANPVHQMAENLKEGLIMCGDM EKDLYLYATLMLQPTRRALVFTNSVNSVRRLTPLLENLNLPAFPLHSGMIQQARLRSI DRFKANEGAKKKNGSAAILVATDVAARGLDIPDVDLVIHYHVPRAAEDYVHRSGRTAR ASNSGTSILLCGPKEAVPTQRLVAKVHAQAEVKAGNSGNNTKKLVSSGLRTIDIDRRI VAKLRERVDLAKKIADAVQAKTMGGKEDDWMKKAAEDLGVDYDSEELEKAGKWGGKGS SKKQKQKEAQQMSKGELASLRAALRDLLSKRINTGVSERYLTGLDVSELLKGEQGLFL GQVDGLGLDD NEUTE1DRAFT_124703 MGKSSKDKRDAYYRLAKEQGWRARSAFKLLQLDEEFNLFENVTR VVDLCAAPGSWSQVLSRVLIKGEKFGRCAWQDREAKFRQQMLNVFPEKQDAQDEVQKV QETQGSTPAPREQVKIVSIDLQPISPLPGIITLRADITHPATVPLLLKALDPDFDPST MNQQASHPVDLVISDGAPDVTGLHDLDIYVQSQLLFAALNLALCVLKPGGKFVAKIFR GRNVDLLYAQLKVFFEKVYVAKPRSSRASSVEAFIVCINFQPPEGFTANLEEPLGVGN KLAEMLAEKQAKEEPTTKSATQTPADKDGIYDVEVEDLTNEPLKDIRWVAPFVACGDL SAFDSDASYKLPDDHVSLDPVQPPTAPPYKRAIELRRQNGGAYKKTGPATAKA NEUTE1DRAFT_87805 MMVYISLCEVDDIPGMIVVLKGSSHGSRLMAVKSRDGSLSGQSW GVGGKAQKGNIQVP NEUTE1DRAFT_49427 MITKLTRRVLPVITGLILTYILFPSLYYYGDYVRQTNPFSGQRS IEQAFTPTDSELACLRGGGGGGGGGHGSFPGFGSKSYSNNDNENGHPSDFSREASIPN IVHFIYGLANPQSHPSAGHFDFLSYLAVRAALVSLQPTILNLHYTYLSSPPSPDPGAD PLSNPWIRRLAQKWPDKFRLVHHPPPPEEEGAGGRKTSKTQYAHLSDTLRLQILLEQG GIYLDIDVFAFRSFSPLLLSPGGGGGGGGGGRHRDTLLGYEGGSRWGLCNAVIVARPN ATFIKRWLDTYENVDLEKEAWNYRSVLLPKELAEEGGNDESGDDVVLGKEEARGKDGK DVAKGDVCALPPDAFFWPTWTWRHIEWMHTPLKTKEEKGFWEGQIARNGGGLFENQMA YHAWGQMAWDRYLKWLTPEVIRTKDTRFNLLVRRFLEDDL NEUTE1DRAFT_148781 MARLRPSLRLLPPGLPRLTLSRHTRFALLLILTAALIEVFLHTQ RWAVRQPDHELDEPFYTSCQEPAIDQPREKAAMVMLVRNQELMKALKTVQSIEKHFNQ WFHYPIVFLNDEPFSKEFISAMNATVSGEARFELVPKKEWLFPEWMDVADAQASIKAQ GEAGILYAGLETYHHMCRFYSGKFYTLEALKDYAWYWRIEPDVEFYCSITYDPFVEMA KHDKVYGFTIALPEEPRTCPSLFRKIADYKELNNIPTTELWKATVAASWVPWPLRPFM GWFRHRDRSGDGWSLCHYWSNFEIANLDFFRGKDYQRLFEYLDKTGGFYYERWGDAAV HSLALHMLLPSHKVHHFEDFGYRHDWYYQCPANAPNGQLFESKLLPDGPYSPELEGGI GCRCECDGRRTRNFPAYCTNKLKEPNTVKRLSMVESVRSWFP NEUTE1DRAFT_118193 MAREGTRSQTGNSKPRVFPVVDTAPAVKRTTKPKPAASEEPKVA PAKGTKPTGVTKKAAPKKESTVAKKVKAVAEKVEKKAGKTADKAEKKADKAAVEPKEP KPKAAPKKKAAAAPVAAPVAAAE NEUTE1DRAFT_103906 MHLIKPSWLSHSGEQKDFEVYSCHVSPDGKRLATAGGDGHVRVW SVEAIFNSHDRNYTKPRQLCHMSHHLGTIHSVRFSPNGRYLASGADDKIICIYHLDSN PPSHTSTFGTNEPPPVENWKTYKRLVGHDNDVQDLAWSPDNSLLVSVGLDSKIVVWSG HTFEKLKTLAVHQSHVKGITFDPANKFFATASDDRTIKIFRYTAPAPNATQHDMVNNF ILETSISVPFKHSPLTTYFRRCSWSPDGNHIAAANAVNGPVSSIAIIERTGWDSEINL IGHEAPTEVCMFSPRLFYTQKPDENSNANGAASPGLVTVIASAGQDKTLTIWNTNTSR PVLIVQDIASKSISDLAWTPDGQTVFAASLDGGVIAAQFETGELGWVAKSEENDKALQ KYGGSRKGMGTAEDVDGLHLENHSKEKELRGAESRMGALMGDPGPAQKETATTTNGVK PAGKAADTNGTTTPAPPEKPEEESADKTAERIAELKSRVTITKDGKKRVAPLLVSSSG TGLSSLPQAQLVGASSTKPVQSDTPQTILDLSKPYDGLPRGGIAAMLLGNKRKAVIVE DEEEEEPSAKRSATGPVPIVVNGVEGLEPAPLSAPAHGLVPTPEFLRPAVISPNIAYS QVRLAVPKIRSHILRPLERGVLQEETSLEDATKVPENVVLEAKNPAHIREPARITATK RGALLWQDFLPRAIILVAGSKHFWAAACEDGTLHTWSPAGRRLMNAIMLESQPVILEA RDSWLLCVTAVGLCHVYNIKTMSSPHPPVSLAPILDIAMTSLSPHGATPAPGVTSAHL NSSGVIVATLSNGDGFYYSTSLYAWQRLSESWWAVGSQYWNSNDSSVSALQTTAVGPV SGAKNGEGEANVSAGIIPYLERHTTTEFLLKGRAYTLQRLVKALLARDGFENFESSVS IAHLENRIAGALALGAREEFRLYLFMYAKRIGAENQKIKVEELLNSLIGGVLQDADDG EGWFSKQDKLCGWDRKDLLQGVVLILGKFRDLHRLTVQYARILDMTLGDEEKETTDEG MEVED NEUTE1DRAFT_48574 MKSPTLTSIIILLAQTATVHYPVITSLRSFTELYCDEQHWSTGY TLSRSQATGTCIGLYESRSLSVGFLDARCHGRRDRYGKRIGLVKNRDAKIREW NEUTE1DRAFT_148783 MASYEPKQPTVATSAEWKQSWSAPKAAPGSEEPWTTETPIWHAV VRGFQIFFGLVIAGLAGYLIHGYLMDAVAFGLVCSLFTWIICIWSLVSEKVLSARKAY NIWATLSLDFFMIILWLASMGANAANRAKFTVDVNVEGCYDDGSSISAHHCIVSKREL VKRGAVANEAGLAMMSGIAGLSAIQMLLFVATFAYNAHKFRLYYQANKGPKTTHNGAL EMNAQQTPMLSVQQGGPASPSYPQYTNQQAYQPQVPVQQPVEQYQPTPTTSPAPGSIP TPSPQPYTYGHAEQQYPGQQAPAQYAPAHHPPQQYAEAPDHTPAQYQQTTQSYSPNTH EMPTHSQGYSQPTSFPQ NEUTE1DRAFT_112959 MKQYQILTLLACVATAAAQGVTAKIAPEAKAPTGCGPNFNDRFE LDILELGNPTKRSEQVRQCHGEGTLVSQLVDGVLTDAHGRTGYIASNFQFQFDGPPQA GAIYTAGFSVCNNGSLALGGSTVFYQCRSGDFQNLYDRWWAEQCSPAEILVIPCGDSH QDAAINGVVVGTTVLPTTVVIPQSDGEGKAVVTTAAVPLCSVGEIGDGQIQGHPTLCS EFEFPTPLTDLVPVSEYADGQIQVTPPAPNTPGNPKSQLTPPNSVPNIFLTTTPASGS PPAQNTEVPPGVVVVPPKPSVVITNDAGRRWLSSINGYIGIALGVFGGMRFI NEUTE1DRAFT_131983 MAEYWKSTPKYWCKHCSVFVRDTPLERRNHESTAKHQGAIKRSL RDLHRSADQQEREKERARREVERLNGVVSGSGSTTPRASGSGSGSAGQKGGHKPGGGA GGVGPTGANAAAVGLSDAERQRQLEQLAELGVNIPTELRGSMALAGDWEVTNVRVVND DADGAAAGQNAGAGGAGVIPVGAEGRAVGVKRERERTEEEKEQEEAIKGLFKRPKKWG VGSKVAKMEEDKELEELLSGGIPLRKEEKGTIKTEVEQDVKKEDGNEKDGEVNVKKEE DGVQGGVNKEENADAEEDSAISKIPAIKSEPSEALSGIADEVAPPLATGESDVAAAAA DAVPAVVFKKRKPKNISVACDRALIHIEDKNNKPGTRTSSRTYRLAAQVPKSEHRHIE QSRSLLLKPNASTKSTMDTNGQVTRGIQPQGRADKAHKGI NEUTE1DRAFT_103911 MPSSSAASSPSTTCPTLPSITHSLLQTSLSLKRDFRFLKLTVRV SNPDRSSLEIAFDESETHKLLRTSLTRLNSATRCFIRDANILLDEEVNRSFDAYLRRS DFYSGFHKEQEEEGQEGEEEGQEGGEKEEGWHVLDMTSEEKETRRELRRVLEVVEELR GVFLKKAGKYVLNGVGGKVFKEKGDDGDLGDLVGVLGKLNEDGDGEDGKGKEGKMTSS LAPDDNSVVDCNDTFTVVVTTGIISRHWFPFPPPHHHPDGDQRHVPTDEVDNLVRHSG KNIKAYRPREQVAALNEHLMEETQKLREEAIKKDPTRAAELHGNKPCKGALIDKELAE EDAAELRKKEQKLKQGIAGATHFKNKHHHRKNEEEEQ NEUTE1DRAFT_112963 MTISTDAPYGPCGVSNGVLTTTKMRVFLVQTAQGLTPSSGGYKA NVNLLRQLRLFGHDAAQICYGFEDEVEKYAELARAKGVEPNVTHHSGLPVIDSKGNQH ELDVKTFMDEHGIHNIVISRVPFNEAYPTREFWQDTQDYLEDRSITQRMQTLIDIFSK HITAYRPTHVVFNDPVTMKVTADHALRPTFKRINVIHTAEQLPFGPYCNGILGHCSSP KVEDQLLRELEGIWVVSKAIGDYAWQHGRLKTTFLVHSPLTYLDARTGGMPVQRYNID KFEVGMVNPCPHKGLSILVELAKRLPQIQFVTWSSWGSRSKHLDQLKELPNMKVMPTT SNTDEIWDRIKVLLAPSVWLEAWGVVVTEAQLRGIPVIASNAGGLKEAKIDLPYCLPV NVVTGAKHENGDYIVPEQNIDLWEEAVLRLMTCQQNYDRVARATAQGSVKWLNSLDPR AHEKWFLGMMTA NEUTE1DRAFT_140767 MSAPVSVVCRKRFSLGNEDASSDDSSKKRARSSSRTREANQNQF NDVDPFKMNNIKQLINDVAEKIEKSRHASSSHTSEAAMSFGSPSSHTSTKSTTSGQVD FGFGVDTSGDAFTFNQRPVYKELAELLTTSPRARSASPSPEPLQKKRSRTQKRADALR RQKARQQAEKQASQAEAINQAEAVNQAVAAPAAEKRSRTQKRANTKRRQKIREQGERQ AKQAEAAKEAEKLRQAKKLQKKLNAIQNNSIPPAPPSSPMLMQLDAQMDEDVEAWSVR LQNELIEAKTEMLSMKRTNDFLMAQVQHLQAKADSLTEANQAALREMQAVRTAAARFL DTDPEVTVEDMERRILNGITAEVPSLPL NEUTE1DRAFT_103914 MIHRRLSSLLLSTFLLSLFPQVATAQQIGTGIPEVHPRFRTQRC TKSDGCKTQQTYLVSDARHRPFHNTEGEIVECSAANKVLCPDEATCAKNCILEGVEYG SLGVLASDTAVTLRNYLFDGKKHRAISPRVYLLAEDGENYEPIKLLNQEISFQQTGPF LCNGDQCDESEKSGSGMCARKGCGINPFRLGAPEFYGKGQKHKVDTTRPFTVVTRFFT DDNSTTGALTEIRRVYIQDGKTIPATYNSNIKALNHANIPPSGGKFEGALTEGYCSAR DFDDHARLGGLKTIGQALGRGMVMVLSIWNSERDSMAWLDAGKNGPCQDVTFSNIRWG DIGSNA NEUTE1DRAFT_103915 MSEITKQYESDIREYARDSDPEVAKAGRMGKSLLWKTSGKSSRD SLISSIYRAVKRLADAVEYGGTVNIPKAKEELEAEISRAS NEUTE1DRAFT_124709 MYPSNNAIYISRIAQRALCRPSEEQIEPTQTVRQSSSDLDAEPS PWSCLGTHYPSKVQTTKDRSEVAREAAASIDKRLPGLFRLFSHGKERLPREARAANES IAPIPMIVQEEWAKMWADMHKQALVVSAIFEKQNEELRKIGRAYKDEVREVGEEIDIS LVFARKEVFQEGMRLFASVTGLKRRERRLGTSVNGSMDGSMYVDFAMLLPQYNLPVET KSIETTQTDASYSKEVEHHQPMPAPTWALPLCGPLFNKFSPKNGTQNTTSHNNLKSTS TLCAPCVPEKDDFIIIDPPTTEDAPEADSLHAKEPLCDFEIQNEPGRRKFVMYLTEDI DEAINAEGMMLIASLLGTLRNGVVGDAPINYSTRRSANLIKIRAARWADEVGKEADKL LKKEAVKVARIRRKAQENKEVNGEEADSNDVK NEUTE1DRAFT_112968 MENPIDGQDVSQKDLSPVSEEKLVSLLVDPATHDFHSWLFDILM DFFFEENEIQGDPREFRKNVLKILIQEGLCKPHATLSGRGADMENSIPSSEVLQELKD ELDDRRREERLKRKREEDENQKLFWLKFGTRRPLRPQ NEUTE1DRAFT_148787 MTRDTLRPADATHNNSPELDVLAGLWEEARFARLPWDAPEELRE LVEEIDNPRKVYAVHKASRRHNFQLLVQKFIFQLREGCGNENCTTSTCFTCRRRLAGR APIRRYNTTSARTLAIYLASQDNPENGLCPGLRLPKAPPAALNSLRFSPAPKTFSTKG PNGAGDASSPKNTGGGKGNKTPNNDSGKDKERPKSPSQHAKKDEPGFRVIERPTSKDY RSFAANVFGTVAFKMMEWLTPAAMEEMSRLAKAYEASEPAIPVNGQAGAPKASKSGLS SQQSGAGQVVPPAPLKEDRPKDIETNGEWKQNEDNEKTAVKEVRPASEQPSQQPHRPN GHRRNSSARVRASSGPKPKRKLSIDHFTTDTRPNGTADKGLRGLKAAGSTLTRPISQL SSAGYFDHVSLEKMPPKSADLRPKAGIRGQLDGSKSNEASHSSKVTSESGAAGVQGGK RAVEIESDSEDDHILPQTLSRLDPRVVDFVCDVIQEDGTAESHILEPRAVTKFHKGYA DQRKELERKLDPKRARSPNMKLEWKLFVEQSLFHVLSDPHQAIRSFTTNGQLYDSETL WYCMLRMTRICPTLVFHSLWMAAASLFAPPKTLQSLRSRTTKLFPKSEKALSNEEAGR LLSICLHALVAAAPLVNDDRQLYDMSRIRAHGLIFAGSGSPASQPTDLCLQYEDAFSD ELALRLAKRVLAAIPTRRYFDELKRSNSADDDTKEHDVLTPLFSQLDYLNEDALYILN FPFLDRTVHETRVPILLLDWARAVMIKEWDGNPEVPGDGPFGGALALIDTMHKKREDL LLGDVQFHSKYFADRLDVVEAPVGWLAHASTRKKLHLLDFPYLFDSNTLVGFFRAINL SRMNRYYEQSASIQERFEVYMGRSSPMNEHHKRVIMDKLKVASSKYLVLEIRRNRVIR DAFDQLWRREEREIMRPLKIHLGERAGEEGFDSGGVQQEFFRLAMAEALNPDYGAFTV DERTKMTWFQPGSVVEEWKFELIGLLMSLAVYNGLTLPVTFPKALYAKLLGEPVTDLD HIADGWPDLVSGLTMLLDWDEKEMGGSVEDVFARTYEFSVESFGEQVTKLMTPPPPPI SSRSGKSAAETDAFSREEPWPQFSPAFRRLSDASSSASALPLEEEAPLVTAANREQFV SDYIRYLTDVSVRPQFEAFARGFRTCLHPKSLSLLTPSLLQSLVEGVQEIDIAELQRY TRYVDWDASHRTVRDFWSIVKKYDDKMKRRLLEFVTASDRLPVGGVKNLVFTLQRNGK EDYTDVDEEDEEDEEPYTTDEGWEVQGEYSSDEYTSEEEDFWGQHEQEEEEDDGVRRG EVVIEDSGENHARAQAQAQEAPEGSATNNNNAAEDDARQQQQENTPPPQPATPAQRPS TSSANGHRRRRSERSSLSHRARRPSTRSGSGEQHEEAAPKEKKKKAPRLPTAYTCYGI LLLPEYKDKEMLRERLAMALENAQGFGFA NEUTE1DRAFT_87820 MATISASRTVPMAADVSRMDQGTTHPFTCNTCQVAFRNIDLQKG HMRSDWHRYNLKRRVASLPPISSEVFTEKVLQSRAEVTAQTDKASFEMTCEACSKTYY SENSYKNHISSKNHKMRAAALASRGPAAIGRTKADDEVSSVMSSTFSLGEPASEAKSE VDSDAEEEFNEVVEGIKQAKIEDTASPVDRPANPHLSAADQHKEDHPVEDAAASEQPE SSGTATPTQPEADATVLKQCLFCNYESPTPALNATHMERIHGMFIPEKQYLVDLEGLL GSLRRRVFELNECLMCGKMRSNTFAVQTHMRDKGHCQIPYTTEEEQLEIGEFYDFRST YSDGDDWDTEDEEEEEEGAGGAKLGGKREAKVEVVEGEEGEGEGGDEEGWETDSSASS LDSDDLHAVPGEGHYHQYERLASHRHHSRDDPRPHRQADGFHAHSRKGVNAVYYDEYE LHLPSGRSVGHRSLNRYFRQNLHNYPTPEERQQQLLLEAAGGEEGEDAEMQYDSDGEP RFRRNMEVQLRDRKAHGRHVITRETRGLIGANGIRDVESKEVRKVVEKGRQREVDSYK RAEVVGMKKHFKEVHRNPVSYLR NEUTE1DRAFT_69138 MAIISQTWTLTRKNLLIVLNRHTNATIIRAFVLPVLVVAFLSFA KNLFVPYAVFGISKVHPVRSLSDGLQASSGTGRNTVAFVNNGLRGGEIDRVIQELATV VRDAGKNASIAESEQDLIWTCRASLRGVTPCYGAVVFYSSPDEGPDGMWNYTLRADSA LGTGKINVDKDDNDAQVYMLPLQRAVDAAITRNGQQKLPEEQDEYPFTSLTKEERADR VRQLYQKTIYNVLGVTFLISVIGVCYHLVGFMASERETGMSTLVEAMMFTKRTWEGQA ARLLSYHLGFTLLYLPGYIVSSIIMWAAVFRTSSVGILIIYHILAGLSLASFSILGAA FFKKAQLSGISIVILYILLGVIAQIITAPKTATVVVLSLLFMPCNYTYFIAYLARFEA KDMATNLGKSAPDSPSQVAGIVLWIFLIVQIFAYPILGAIIERYLHGTTSSTRNIAYG ENSELGPDNAVQLDGFTKIYKPGPFRNMFSFLSKPREPVIAVNGLTLTARRGQILVLL GANGSGKSTTLDIIAGINKLSSGSITVDGTGGLGIAPQKNVLWDELTVEEHLRIFNRL KSPNQLATKEEILELISGVDLAQKVDARSGTLSGGQKRKLQLGMMLTGGSAVCCIDEV SSGIDPLSRRKIWDILLAERGRRTMILTTHFLDEADLLADHIAIMSKGTLRAEGSSVE LKNRMGGYRIHLNNAKYISNSPNVSGVQKKVSPEEITYIASTSAAAAQVIKELAVAGI SDYRFSGPTIEDVFLQLAEEVRAEDGARLDQFQSTAAVLGAQRSTEKQSSTEMVNDAP VDDTTKPGLNLLSGQRIGYFKQGVVLFRKRMTILKRNWFPTLAAFAIPIIAAGLVTLF VMGKDPVGCSPADQSSRRVATNLFASDFDLFMIAGPSDKFSANNLARLFAPIYMATQD NATSSGNSSGIGMNPLDLFKNITLVDTLDEFNSAVVQYRKNITPAAVWLGDDNSVPTM TYRSDSVEMFTAWFGQWITDMMLSNTTIASQFVNFDIPFAPDTGKSLQMLVYMSLALC AYPGFFALYPNVERRSFVRGLQYSNGVRPLPLWLAYTTFDFLIVLIASAIMTALLAGL ASVWHHVGYLFIIFVLYGLASILLAYVISLWSNNQLSAYAFTAGGQVVMFLIYLIAYM CTITYGPVDKVDDMLVVVHFVVAIFAPIGSLTRALFIALNLFSAACDGDKLASYPGGI LQYGGPILYLILQSIILFILLVWVDAGNPGSTLKQLFKRKPRSAPPTIAQEADGISDE EVAHELVRVKSTATGSGVAGTNNTDGLQVVNLTKTFGRNTAVDNVTFGIPHGQVFALL GPNGAGKSTTISIIRGDVKPDYNSGGDVFVEQSSVARSLAAARSHLGVCPQFDAVDTM TVLEHLRFYARVRGIPASGIEYNVEQVIRAVGLTQFRDRMARALSGGNKRKLSLGIAL MGNPTVVLLDEPSSGLDAAAKRIMWRTLAETVPGRSILLTTHSMEEADALAGRAGILA KRMLAMGSVDSLRKRFGDTLHVHLVCRGAPRTSDAQIAQIHAWVESTFGSAAAMEGKT YHGQMRFSVPAAVVLSLTTGITNQRADKGMTTEEIRETETQQSTTSAIGKLVVLLEEQ REELGVEHFSVSPTTLDQVFLEVVGRHNVREEGYAAPDEGKKRGLKRWFKRS NEUTE1DRAFT_87826 MADSSATTPAAAADQLANLHLDEVTGERVSKSELKKRQKQRQKE AEKAAKAATAPPKATSSKPKNAAGGEEDLNPNQYYEIRTRQVNELLKNPETNPYPHKF QVNYDDSNFVEEFGSLKTGETLPEKELRIAGRIYNIRTAGSKLIFYDIRTSADTKSIG TRMQVVCQAQLAKEGGVPFEKQHAHLRRGDIIGIVGFPGRTNPKNLKEGEQGELSVFA TEVQLLSPCLHMLPSVRYPFADAEQRARMRYLDMLWNDRSRETLWQRSRMVRYIRDFF HERRFIEVETPMMHAIAGGATALPFVTHHNDLDMDMFMRVAPELFLKKMIVGQFGKVF EMGKNFRNEGIDLTHNPEFTSIEFYWAYADVYDLMSITEELVSSLVKELTGSYKTKFT NQHGETYEVNWEAPWRRVEMIPALEEATGEKFPPYDQLHTDETNAFLQKICKKMNVEC PPPLTNARMIDKLTGEFIEETCINPTFILEHPQMMSPLAKYHRSKNGLCERFEAFVCK KEIANAYTELNNPFDQRLRFEEQARQKDQGDDEAQLVDESFLNALEYGLPPTGGWGLG IDRLAMFLTDNYSIREVLAFPFLREEKTAHKEKFAAEIAGVQPLPEEGIPHK NEUTE1DRAFT_87829 MSSQTSTGMATPVTYPPPEQASTGNTMVPYTFPQAKLKLQQTQP GRTPLVLVACGSFSPITFLHLRMFEMASDFVRFNTNFEVCGGYLSPVSDAYKKAGLAP GHHRVEMCSRAVEHSSWLMVDPFETVNCDENGEPAYVPTARVLRHFDHEINTVLGGIE GTDGVRRKAKIALLAGADLVMSMGEPGLWSPVDLGVILGEYGAFIIERSGTDIDEALA TLRQYEDNIWVISQVIQNDISSTKVRLFLKKDLSVRYLIPDPVVEYIEEHGLFQDEQS SKKKNNDTSSTGGKDKEKEKPTTADGTSTPSSSTEETTQQS NEUTE1DRAFT_48924 SVELESEPVLWNAGRIALASFVEPSLTERTYVQEYAASCAYCRA LKEGFGFANCPSFYGIVNTVASTWMLCTWEILNSEV NEUTE1DRAFT_50222 MAGTETPALSVESNPNTPKHVKDKKCPWCGQPFTSSSLGRHLDL YIRPNNPKKPDGIHDVTAIRRLRGTITRRRTKGTCRRRDASASAAGSATPSGAPSKDG ISPAPSDVVQSPAPTPMLAGTPAATHSKRRSVSTPFGRNFPFNTPWEATGVIDLSQRS NGVPSHLEERQALPDGSRSLAPVPPPPPPHTSSSGQQPLHHQPDPRQLIQDAQDTARA AELALREMICSWRAAKLHVDLHTMPFDFDPLALDFPALVLQCLEPPPTIFSSTFYPTS TSWSLQPPGWDQFKALQNHFQEQFNKWHINCRAATTAVNEDLSYPPPVNFVNVDIKEA IRRSETINKTLEKAVSEHLKKTFGVWEASSQFDREKMWQLELARSVARKQKDVNTLKE EQHRVRQENNNLKLQIEHLNVLQQPREYKLQTPATLPIEKKLIDYMSELIVVHKISGV GLEHGHRHHDLNTVCKEAIDRWKKVVQSGRQGPPPPAPAPAQAPQQQQHQPVSEPQFP QSTSVPGGGPSSRNPLMLYNSAIAAIYATKNPSTSSNAAPSRPQQQQQQPNTTAQAMR DRDNGRDPASDEEEAGDGHEEGEEDEEGDNDEDEEGGDEDAEMVDTEAEAQRPNSKRQ SHQQADGSSGEIAGGSGSGAGEPMKTNEQSSGDGESKRAGVAQG NEUTE1DRAFT_69147 MPYDPSALSDEEAASDVELDTRSATSSSKKQSRDKKSVKYTIPE PEDFEDEEQNGDGADEGGEDDEEGDEEEEDVYVVEKILDHMLNDDNEPLFLVKWEGYE KKSDQTWEPEDTLIEGASERLKEYFTKIGGREKIFEASAAAQKTKKRGRPSSNSGTPQ ASSNKRSRKNGDHPLNSEEPQTAKNAAWKPPAGSWEEHIAQLDACEDEDTHKLMVYLT WKNGHKTQHTTDVIYKRCPQKMLQFYERHVRIIKRDPDSEDREGSVSQ NEUTE1DRAFT_118196 MLLGRAVSLSHRSSQATYTVDNNNNTTTISNADDSNFQAELQPT APNTFRPRVNLITPISE NEUTE1DRAFT_148794 MSPKTYIHLVRHAQGHHNVSQANHVFADPLLTPLGESQCAALRD SFPYHDKITHLVASPMRRTLYTCLLSFQPAVERLAKGGKKKEVVVALPEVQEVSNLPC DVGSAPEKLHQEFDEAGLVDLSLVKDGWQDKHSPDSPWKPEMEKVKRRAEKARKWLYE LAQQQQDSISSAMAGAGAESQADGGEQQQEKHIVVVTHGGFLHFLTQDFDGMDLNRGT GWDNTEWRTYEFVTDAQGKDGDVGLKETDESWRKRKGSAKPLTQTEQEEIMAAVDERL TQAFGDGVRRVGGKRV NEUTE1DRAFT_148795 MMSWWSSGANTALDEQIEKATSSSLEDIALNLEISDVIRSKTVQ PKEAMRSLKKRINHKNPNTQLSALNLTDTCVKNGGAHFLAEIASREFMENLVGLLKAV GPAAPNPDVRNKILDLIQSWAMAAEGRYELSYIGEVYKTLQREGYSFPPKPTVASSMI DSSAPPEWVDSDVCMRCRTAFTFTNRKHHCRNCGNCFDQQCSSKSLPLPHLGIMQAVR VDDGCYAKLTDKGGKSGGSDRKHHSSSRHHHHHHKHKSSSSMQPRDARVDDSFDEDLK RALAMSLEEVKSYSRGHSEPANNTQYKPDKQSTSVSKIAEEEDEDLKAAIAASLADME EQKKRHAAALKEQTSNVGSSSSAAPFTLPKNDYELTPVEAENINLFATLVDRLQTQPP GTILREPQIQELYDSIGALRPKLARTYGETMSKHDTLLDLHAKLSTIVRYYDRMLEER LSKAYGQHSIGGYNLPAPRQPTGPYPTLDPSAPSAPGAAENFYTGEQQADYSHAPYGQ YPPQPPQSQYMPYDRRSSMVGPPNSQYPQQQMPQRTGSWGNAPPAQAPQYNYSGNEVA PSLPGHAQQAQPGAPESASGAPNNDPNASYYYNPGQPQQQQQQSAQQAPAAAPDHSYP TLPQQGHSYQPSVPQTPASVPVQPSQTPQQAHQRAPPPQQAPQQPYWQHSAAQQTPLP PVWQAPQQTTTYPGYDQEAFPSAPQHAPAPKQPVVEEALIEL NEUTE1DRAFT_87840 MVNHATPAHSVNGQNGHITGGLDGTQDGGQNRIINGGGGGGGGG GGGHGPGTGGSKRPPMPHLDDLKAVTVSIDPATPIDSALRLAEEALQQAKAFQSFGRI DMAFKSYIKANIIALDIIPKNKDWALLDRSRPNVYMRHQHLLRQIKSLTYALPDQSWP SPGRSKPAVHPKPQALHGHTLQHSGGPATTSTNNAAQDLALRFAKLRAYTASTPSPAN ATTIQDPRIRTQPIPQPIMPINPPAPRDPPRSQVPSVPPATALADLPRVPAAIYNPPR GTVSNEAAELPSSAPRAIFTRKGSTASATGMNRNGRPRTSDESLVSGPPVTSPKMRPK PAIPDGDTISAEELERYMRVGARDVSILVIDIRSRDEYDEGHVMSQATICVENEVLMR PDISASDIAESMVLAPQAEQQRFERRHDFDLIVFYDQRSKRLVSSPQTPEERAVLTFY NALTAYDYAGGSSSQQRLKLLKGGIEAWTDLVGTGALQTSSTSAAARSQPRPPLTHNL TSRLRRNVTRPIQDLAEVQKWEENIDIVTPVRTTEDFLRRYPSVSTIQESMTSPINPA AARPASPLPRHIVHEQNLYSSLPSPPTRPAPTVPRRSYSGLAETDTSTTATSTRSSLK SGIEKVRKFRTGLVNPGVFCFANSSLQAMFATPGFARELYTGVWKDVYKVPLKADEKN ENPQLLTKYLAGLFHWLDEGTLRSLEAKHLMAYIHFIHSKNADGRKKPETEIFGGPAQ QDAQEFYSFIIDNIHDETNVHRDRKPPKEEKPYTPKNGTVIQNAIDYWRDYSTASASI IDKYFRGLEVFISRCQNGECRQEIRMFQPCDVWILNIAAETGGEGHGYGVTDLDRLLA SHQATEHFPDLKCETCNQPGRTRRAKFARLPDRLAFCLNRFNSSFGQSRNSFSSILSG GGSNKIHTKVRFPIRDLDLTKYCAEPDPDMATTDDAHYAGRMKYDCYAVTVHVGQGIN GGHYYTYVQDEQSKDPTDWFKCNDDLVERVKIGSGGPGLNGNGNGNGGMPDLTEAMYA NGNTSAYMVYYRRQGT NEUTE1DRAFT_140784 MAASKVEIAPFEVTPLDAIPAVCSTARATFASHKTKNLQWRLVQ LRKLYWALDDFKASLMAALQQDLRKGGYESDFTEVDWVKNDCLHMINNLETFAKTEKL KDLPVTYSMMNFRVKKEPLGTVLIIGPYNFPIQLVLAPLVGAIGAGCTAVIKPSELTP ACAMAMKEMIESRLDRDAFAVVNGGVPETNALMEEKWDKIMFTGSAQVGSIIARKAAE TLTPVCLELGGRNPAFVTKKANLALAARRLMWGKVLNAGQVCMSHNYVLVDKDVADTF IEFLKIAYKDMFPNGAKASPDLSRIVNARHFNRIKKMLDETKGKIVMGGEMDESELYI EPTAVLVDSLDDPMMQEESFGPIFSIYPVDTLDQALSIANNVHRTPLALMAFGDKSET NRILDEMTSGGACINDSYFHGAVHTVPFGGVGDSGWGAYRGKASFDNFTHFRTVSETP TWMDRFLRVRYMPYDWSELRLLQRWTNKKPNFDRQGTVAKGPEYWTWYFLGLGTKGGV KGALMRWLVVVAGYYLSAYMKARRA NEUTE1DRAFT_124720 MRNINITNLKYRQRRRLFKLASVVFFSCLTLWSLGHYFFRHGSS SVVVSFPSLFSSLLFSSSVSSSSTEGGNFDALNGEEGEVDYEVIALSRARSPSPREGS MRTLTDEISNNLSLDAETCRTTFPGLMKEIDDTVAKGPFKVKRSSDLGPMQVRIRDGK MYVLHAQRKRDLSREMVNSRTAALHQVHRALLTLPPSDRSLISDLDTILTINILDTPF GTALQYTRNADPAHAPSDPDARTFLIPHFSFWAWDLPFIGSISRAASAITNLEITQFQ GNRWHSHKDPRAVWRGTTWFNSIHNPQLRYKLVSTTKGKPWADVQSLEWATATTTTMG NGESKNATNSLAIEDFCKYKYVIHTEGISYSGRFQFLQMCTSVTLTPPIQWMQHTTHL VKPLFSSDLDLDKARSLRMRKNGKTKDTRAKPGKTAKDGIWTPHVRHERAWPKRYDPN EANIVFVSPDWSDLEDTIHWLEEHPKVAEGIARRQRELFVGGGYFSPAAEACYWRELV RGWAKMARPEQGEFEELGVPYEDCSAVVACSQLEHHYTHGFPHCSMPPRRENNPLSCK HDPFKLPKRQLTALAHGPECLTKGVPFQNSPVIVPQLQSRHARSPVLPYPPHILGELF ACDFLSACPRKRRMLVWIKKGVLQQLDVCRLHRLEDLFPVLAYVSVLLSPFLAPYPTP SGSPPLSPYLLAPTPHPGTADGRTHNFHLPLPQPNTTSRSRRPSLRQPSLWSASSMPT SASSPTSTINPPAPHVHDRNKFGTRIRLEPVRGQAVVHRMQQTKVTRQAHSCGGVATG AQVKDVQGRGEQEVELEGVHQGRSVVLPSFDVWVCRSGSDVVTV NEUTE1DRAFT_87851 MPLRGPLRRPALALPPFTCNNVTTKIPLRISPLPRSVAVRQRRG HATHLPVPDTRPKTAIFFPGQGVQRVGMLTPWLEAFPSTTSEIIDEIDSIVGHKLSDV IQNGPGKDLNLSPNAQPAIMATSIMIIRILEKHFNFKVEDNAHFTLGHSLGEFAALVA GGYIHFEDSLRMVQKRAEAMSNATRQAEEMYGGEYGMVALVSSKAEHLPPLIAAIHEF VGYDSAGSKVESADEWCPIDQVLIANVNSKTQIVLSGSIERIKTLVEHVRQFLGHDPR AVRLKSDSPFHSLIMKPAVGVLQQMMAGNSMVKGRENEDIITFPGKVTCISNVTARPF ESREQLKDLLARQAVETVQWWDSIKYLDQSMKVRRWVGIGPGYVGRNLVGKEVGMRGK DVIKGAGVWAITDPKEIEEVLRGLEETDFYEDDEWQDAWDRWD NEUTE1DRAFT_124724 MPITILPPKAFRDQPPRHSLNHDSDDVMSDATSDSEDSDSQPGG VSLDGGATIHPRKRHHSGAGAAASSTFTKRPKTNAAINSIPRSAIVTPGERITTDPQW MRGHGTYLSSASLGEQAITSSLAGIVTRTNKLLSVRPLRARYTPEVGDLVVGRIVEVQ AKRWRVDVGSTQLAALPLSAINLPGGILRKRTETDELQIRTFFAEGDLVVAEVQQLFQ DGGAVLHTRSLKYGKLRNGVFMAVSGTGGGGGVVRSRRQVWTLESANNGGPIDVILGV NGYVWISKHVEPVVPEEAKGQTGITNLEEVVSATMYSSQNDRIEPETMREIARIRGVV TALVENGLRVDEDMVTKGYNEAVELALVSADGPDDVYLGGERGEQLAAALTAV NEUTE1DRAFT_132000 MSRRIATSVTITDPLVKYNALIASNVCSPDIAQHRLAHHLQKLY LRLKDYTPSQEYQSRLQQITKALDAASKENVEAEEAQLAVRGHPIRGNPLFAKFFRKS DDRDTLALTRVLTSHEAALHIDSPKGLFLSGEVGTGKSMLLDLLAEGLPTHRKKRWHF NTFMLYALSRLEQFRKSHSQLAMGDQEYSLLWLAKEMVEKSPILFLDEFQLPDRAASK IMNNLFIAFFQLGGVLIASSNRMPEELERATGGYYHPPTTGGLIKQVFGFGKRQGEMF GQTSDFAAFLEVLKARCDFWHMEGTRDWRRRESQTGETGTGAVNKVTDSGATASFQPA FGPSSSSTEPSTTTTSEATMIEQGLQKPSMYFLPSDSEESWTAAINQVIGSNATGQQW SPTNLIVYGRKVHVPRYHNDVTYWDFSELVSSHGPADYLTMASTFHTFIIDKIPVLSL AMKNEARRFITLLDALYESKCKLLIRAEVGPDDLFFPEMRPTKLVTTSTTTSTGNGNE QVAAVVEGDATYSETIAEVFQDSIAPFRPNISEYDPDQDSDFGKEQQVSLTREVDFNQ TVSAFTGQDERFAYKRATSRLWELCSRQWHARTDEGWWQPLPKEARHWEGKEVSKPLE SPLVRLNAGPKEKTEIVMGESTVLEEPVGLERFRVREMKEGKR NEUTE1DRAFT_87860 MFEPTQQDQGGPAKGTRSSRRRARPSNTDATVQAPKAKRQRVPL HETTVANTEAHPETDTDMYEAKSDKLALLDIKRDGIENLGGPRKELSVRSKKQKAGER TARGDGSIVLTTNNAYTVSKLPALPDRLRADAQSKLDQHSYLPNALPPLEQLSIESGV PNKLEDRQHGAVYSTSGYALSLTHTHAFVWPYASTAASPETFTFGLPYPSKNPTDPLP LGSLVSPSAASDEPGLVVVMPMSGKIAYWESISSAATLDFIRQQRHGVEDTIPGMFSG EHVVQIVNAESAGFVLVFSSGRLAYLSVRDMHGRPAISVQFLRSSLTNAVGGLLGSIR QVLGSTSAHGDVVAVRASHATRVGERVIVGATTKGKLNVWRVHRGGHHDILSDIDLRS ELIHQIQEADPASAGLPEESFEIVDFTCVPRGLDNNKSQSHYALVEVVISGQNKKVGA VRPLTSYTSPVRPNAPERPKLYLPRPAMVAFVVFDRAVVIASMVAPPDSPESQLQEDS HIIPATFEDVVDLRDEGNVQIVGSGTEEPTTNGHFPDELRSRHRTKNPAAVLLVQGVG TVRVAVSEVDRFATEKPPTISAKSKLEQAVFFGIKNDNPLVFQGRSLPFTAQEIGNAA IELSHEIVASKTPFIPNVPASLENNMRTRVEYLERLIFYLNTLRVDLDARTRWVLLYD SEKMAVATWLWRKNEQFIAERPKGDKQNVISETVVYINENQKTEPNPAVGEVDPVRHW FINDVWRLEIFIAWAYQIIKYAYTERLTDENGINRLILEAAIVNKGALQEAEQHRLKN ARLYGVNPRKGAEGNAIPEPWTATSFIASNLKRLIEFCYEWLDNFYFPSPEKASVDVK ILKSIRDMLPALTSRYFTALDEYISWAEKSSDSDTRESGKRCLQEYVENVYEKILRLK DYDLWDEAIALAQEHEAYAALADVMVQHILTLEESALSPGTSATKAEECRAKAEDRKR QMGGFFNQYQERFAFPAYEALLDASGVQAVLEFPYDNEGYATKFLRSRPGLAKISWIN DVEREKDIDRAAETLLTLGLEEQHVWSKKIELSLGKLALLADEADQRNGDSEMSNGFS DSKEAKLEKVDQALEIITIQNGLYSQIRPTIEEAVDERAEFELAMQFHGAWINGQKKQ KALQSVFEDGMQCLLKHKALQPLDLIDLLTLVYLDPKHFKAIGDQFYLALKVARHGLK GEERSNAERLIWRRCLIRDDWKQVNVTNLKDDQHQLEVLGETALYRTLFAVVDEQHAN DAFRPCIKPSDALGVYTDSLDRRFDGMDASYRNKLMDAMKAEDAKLKTYIDKARLDEW YKTTLEAAENTVAIVYGRMTAKKAAAVAVNGSTRAPLEAAGAVSEGSFW NEUTE1DRAFT_148804 NSSLKLVDRPVLDVPFFSTLQNTSFCPSAQAQSGRSCHRRSPLG TSRDGTGRTELFNCWLLLHDPL NEUTE1DRAFT_118200 MASSTSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKF EPRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCN RRFSLKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRQGTKTANVIHVVDFGMA KQYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGL PWQGLKAATNKQKYEKIGEKKQTTAIKDLCEGFPEQFAQYLTYVRNLGFEDQPDYDYL RELFSQALKDAGGVEDGEYDWMKVNKSDKKGDWDRPGALHNPNARPGPSAMEIHNSRG PTTHHASDPRAQLTAARLNAPQPSPPAQPGQRAKEQPNANAQNNRAYKSQPTPTQSAG NGAQPYNMQQPPQRTMTHTATSNTNPPPSNQPQQQQGGIKGIWKALCCS NEUTE1DRAFT_87868 MKSFLPLLSLGAVAQALHFFIDGTSPKCFYEELPKDTLVVGHYT AEEWDDQRHSWQKHDGINIFISVDELFDNDHRVVSQRGGPGGKFTFTAAEAGDHRICF TPTSTSGRSSWMSVNNPNGGIKLTLDMAIGESSAIESADKGKLQDLATRAKDLNNRLQ DIRREQVFQREREAEFRDQSESTNARIVRWVLIQLVVLGVTCAWQLSHLRSFFIKQKL T NEUTE1DRAFT_148807 MTSHDVRDVLNLPSDHAGPRPSKKARTATPRPNLKGLAREVQNL GGDNPIAIVPEVSIFKKRRTVSRKPAAKWELKAFTNSARGDDGALVLRHWKRKPDGTV QDGSAEGQDSAATADNSADKPEDSSFAKFNVRVSVPQYSEDQYNTNLKHPDWTKEETD YLLELAKDFDLRWPIIWDRYEYAPQQPEGETPDGMAVVPASKPRTMEDLKARYYEVAA KMMAVQKPAQYMTRPEFELYEMMLHFDPKQEQNRKRFAENTLKRSSDEAREEEALLLE IKRIMARTERFNEERRELYNRLDYPASESDINSFKTSAGLQSLLQTLLNVDKSKKRKS IMGPENGVPPAAAAAAATAALPAAAAAVAAAPEVPPAASRRESLAASSTAGANDHHEP PVREPPVRHERQESRSHHRNESRSERADRHGAHGHHHRDSVSQTPATPAEAPTPAPAP APAANKKKGPQQPERRKLSEHEEQVYGVSHHDRLGSGPTFRYEKINKLYSHKSGQQQM RITNLLLELDIPARLIMPTAAVTAQFEVLWGAVTTLVDLRKMSDKVDAEIKLEEAKKA ERERAAREAAEARGETVEKKDGEEEGEGGGGGADDKQKDGGGAGGDDAKDKSGESAQA DEQKNDQKAEDAKAHKEQQGEGQDQQKKGEEGLVVPIKEGGGEKGQGDAAAAATAAEK EAESSSNQPKIKEEADENGSSSGAGASAGARQHKRSASVLSNASDRSTKKQKK NEUTE1DRAFT_103938 MEKKDTPLRLNCQVVVRESKKRRHRQLSPRSFTSCHMPTKKSPS ERAILDRAIRLSTKEGRWLLLCGFGYLRL NEUTE1DRAFT_49802 MTTFTALNGSSPRPTEISNGARELERGNGQSAGPDPRSASDVAV SQRERDRENWGTSTRERHPYPVANYHDAEPAPKRMRTDSDPHSPRREHRPSPISTERP DKFGTERTPIERTERLERTERMERVERPEVTEKAEQYEPPRPPSNPHDRYPSPQKEGY RGYENRESDDRWRSQQARSERNASYDAAYSAGPVSGQSEEPMGEHMRRATSQADSGDY ENQSPDGDDNRYSDQYTPEQRRDGTVQSDPKKRKRNFSNRTKTGCLTCRRRKKKCDET KPQCTNCIKGSFQCAGYPPQRGNWQKPESKPAQVNIESKDPNYVPLGAYGMPQQPIPP YTSNTAPILSQPKQREPFPMARGQQPTLRITPPQGRPLQSDDDRLTASTLPSASVISP DNKLSALSAYPSNVFPTPISARTPLREYARVPPLHDLTRTDPDHQPPPPPPPPQSAIS AHPPYNLHGSRTDTPTSAVQPLITSQPPSSAVQATAQLALSHAQSHTPSSATVPPVRR EKDEMISGRAFYPFDKELVLERERCNAACWRFNNSTNPNIGVSPAERARLFKEILHPR EGVQLTPNQLSPIGRIGHVGDNVSVEAPFNCDYGYNISIGSNVSIGRNCLITDSCEVR IGHNVIISPNVSIYTNSCYTDWRRRDGHRGAQFGKPVIIDDDVWIAANVVILPGVKIG RGSTVGAGSIVSRVSVNP NEUTE1DRAFT_140796 MSDEQQQQQQQQQQRQQQQQQQPPFFGGCDSGLETALCATRPAD DPFWGSYGCGLENVGLCCRATTHQTECSEAPKPKWAQSQLGRLGSAAVPRRGTLPRQQ QWR NEUTE1DRAFT_132006 MNGVIEALHIFDEHNSPILSHTYTSRPLSPSYLLPLYLEHPAPR PNLIYLPNTNPPTLVFSLKHANLLFLLTTSSEVEPLLVLEFLHRIVDSFEEFLGTPLL AHKIESNYDVVAQLLTEMCDAGTINTTEPNALRDLVEVEGFMGKLLGNLNLPTKPTFS NPSPASLLAQQSTLALPWRRNNVRHTQNELYADVIETLSVTLAPSGRPLAAFANGTIA FTSKVSGVPDIVVTLTGPTGKHNLGSIIDLPVFHPCVRLAKWREQPGVLSFIPPDGRF TLAGYEVDLLPLGARNLGNMSASANNLKLPISLEIKTCLGPTGSDFEVRLTVNRIPGT SGGGGGGSGSSSNYPLSSASGVGRGMGGGPQPGTPASPTLQDLKVTVPLPADVRNLAE IRTAKGDATYNPGDRFLEWTIPNKDVAAGFASHFALRCTVVGQSISGEDEDDNDDPTG FGFNTSSAGGRDWSYDAGEPAPYQSASDLLSGGDKKLTAEQEKEEKERRDGKLAQQNK ILMPSSASVSFAVKGWTASGIKVESIMLDPRRSKGLAETVRPFKGVKYLTVSKGGVEI RC NEUTE1DRAFT_49651 MAKDSIPPLHTLERPEKLQDILKQDRGDDCLPCRVIGGGAFLGL AAYSYYSGHSQLTKAQAEILASKSFFGMRSRRWAITGLSCGMAYLGLYRLFK NEUTE1DRAFT_96118 MGMESMVFLAGCIELCASPSPTRPTTTLLTNKLEDPPYKISSVV PSYYCMARTSSSNRFRTATACSVKISLSDGRNDRTVASGW NEUTE1DRAFT_132007 MKFSNSAALAFAATTIAAPSTPTLQEKPRAVQAGCASAVTLDAS TNVWKKYTLHANKFYRTEVEAAVAAISDSSLAAKAAKVANVGSFLWLDSIENIGKLEP ALEDVPCNHILGLVIYDLPGRDCAAKASNGELAVGELSRYKTEYIDVIVKILKAHPKT AFALVIEPDSLPNLVTNSDLQTCKDSASGYRDGVAYALRNLNLPNVVMYIDAGHGGWL GWDANLKPGAQELAKAYKAAGSPKQVRGIATNVAGWNQWDLTPGEFSKASDAKYNKCQ NEKLYLDNFGPALKSAGMPNHAIVDTGRNGVSGLRQEWGNWCNVNGAGFGVRPTSSTG HDLADAFVWVKPGGESDGTSDSSATRYDSFCGKSDAYQPSPEAGSWNQDYFEMLVKNA KPSF NEUTE1DRAFT_69197 MRWLPAFLSASLFAAGSFAARKSSEERFAEFHAKQTSHAPIKLK DSSFKSLTAPPRDYSVAVVLTALDPRYGCQLCREFQPEWELLSKSWVKGDKSGESRVI FGSLDFSEGRETFMGFGLQTAPVLFFFPPTIGPHAAASPEPIRYDFTNGPVPAEVVHS WLVRHLDGRPHPPVKRPVDYLKWAVSFTMITGSLTGVYVAWPYVVPVIQNRNVWAAFT LIAILLFTSGHMYNQIRKVPYVANNPRGGVSYFAAGFQNQYGLETQIIAALYGILSFA VISLAVKVPRIADPKSQTIAVWAWSGVLLVVYSFLLSIFRLKNAGYPFYLPPFM NEUTE1DRAFT_148813 MDPEQKTERMFELEMRYKQAVHQTDLLVREEDGRRMKLRSMVLR DDNSSLKDQVAHRDNRIKILIQRADDARHLIESIQQQCARQEKQIQAQMREIAHLKEE IAAFSTVSQDSAKILSEKLALTREVAVLKPEVEHLKSQLSHQKDVLAEKLALERQLNT LEVELANEKRAAEKAAQKQERYTKEEEELRKQVRELEKELNKERKAAQRSGDSQQQVK ALREQLATAEKKFASEKSKMEQATRAQVEKSTEMEEELEQLREKLSETEQALAAEKRA AQRKAKNQENKASVSEDELAALQKQIDELKQALTEEKKDKERLRKENQQAITEAEVRQ AATDEKLDKFRTKLHEAKEELKKSKADLEKAREKAAKAALVTTTTIPTKKAAAKVAGK KRRADEVLLEETALGTPGAADRPKRPLKKRGFDPSDMVQKSTFSITPFLNKTLNPDGT TNEDVPAPGEGAAESEAAPKPTPAPAEDSLMELGDDSVLAHLRPTGNTPTEVKVTEQI EKAGGGALEAEAGEENNGKPEEKAKKGRGKVKALKPLAASVASKKGPIKVPKLKINKV ARAAEAVSEEPTEENGESSTQPQPETSKPAPKKPELKPKTKPSSAPTSESEQQQQQPT TSQQAAPLQKKKKRKLLGNTSKTTLFDNDDEGERVDTSILPGKGKVAAAVTGKATAKR VVAPLGKTMVGKVHIAGGSKNPFGKVDKFSPLKKDRRGVNASFLH NEUTE1DRAFT_124738 MSLCKACSEPLYLEVDPDYDLDQDSNASDNGMDQDHDNGGPSSS SSAHPQQVPDDLLLPCNCHYHWQCLMDLSSSVALSLRCPSCNTYLPTNSSSSSSSGFS GSSNPVPVSATNPVLPTSQGLAILTQYHNEGGVQSSLDILPALTEEAYLSSNPSARPA RAMHTMAAEGDFAGIIELLTDVAASEDEETTITPSELLTFRDPLNEGKTALHLAVENG REEVFWLLLWLGSGLPTEVFPAEVVEVANGVGVGERRAEVGAEEDVRWVRDERGRSVK EVCGEVGGRWAEMAVGGLFD NEUTE1DRAFT_124739 MNVLKIQRKFPQLQQSDIFGLADAFRKLDVDDKGYIDETTAIKA TQASERQPYDAVRAALKEVGLDASRRVELEDYVGLVAKLREGPGSAPAAPSTPASVIA QRTGGATPSHASKPSVGGSGKIFVQGSNANITHTINEDERTEFTRHINAVLAGDRDIG SRLPFPTDTFEMFDECKDGLVLAKLINDSVPDTIDERVLNMPGKKTKTLNAFQMTENN NIVIESAKGIGCSVVNIGSSDIIEVREHLILGLIWQIIRRGLLSKIDIKLHPELYRLL EEDETLEQFLRLPPEQILLRWFNYHLKAANWPRRVANFSSDVKDAENYTVLLAQIGSE YGCTRAPLQTRDLHQRAEEVLQNADKLGCRKFLSPSSLVAGNPKLNLAFVANLFNTHP ALDPITEEEKLEVEDFDAEGEREARVFTLWLNSLDVNPAVQSFFDDLRDGTVLLQAYD KVIKGSVNWRHVNKAPAHGGEMLRFKAVENTNYAIELGKQNGFSLVGIQGADITDGQR TLTLGLVWQLMRKDITLTLHGLAQRLGKREITDAEMVRWANEMSRKGGRNSSIRSFKD PVIGSGIFLLDVLNGMQSNYVDYDLVTPGKTDEDAYLNAKLSISIARKMGATIWLVPE DICQVRSRLVTTFIGSLMATYDKMQ NEUTE1DRAFT_87893 MSSDYSSSSPTTSLHHLAAAAAAAAASTESTGHAVKRSKRRGKF SDLVFTRNFSTFDRQNALAASSPFHGFFTLFWLGISLFVIKIGAENWRQNGNPLGRNE IFRIMFHRDLVVLLIADGIMCLSTGVSWVLQRHFVRRGVVDWDEEGWVWQNIWQCLFV AGVVGFPLLRDWPWTHTVFFVMHGMVMLMKMHSYAFYNGYLASVWKKRQLLLGTLKEL EHVEVVTKHDPNRSWTEPFPLLPRDLSTEHLSRVPSAQAYKERRRMSTAAQQGADGQE GENDVDKIVAAIDSHEPLNEEQVHVFERALKWEVDAMTDELRGKATDDKRVYPNNLTL ANHYEFIVLPTLVYELEYPRSESINWYYAAEKMVACFGVIFVMIMISQAFIYPVVMET VRMKEMGMPLSERFQYFPWMLADLIFPFMMEYLLSWYLIWETILNFVAEMTKFADRNF YGAWWNSVSWDQFARDWNRPVHNFLLRHVYHSSISAMKVNKHTATLITFFLSACVHEL VMWCIFKKLRGYLLFLQMFQLPLVSLSRTKWMRNRKTLGNVFFWLGIFTGPSILCSLY LIL NEUTE1DRAFT_118208 MSRNGTTLYVTGFSHGTRARDLAYEFERYGRLVRCDIPAPRSAS SRLFAFVEYEDRRDADDAYHDMHNKRIGRDDILKIEWARTPPSASWRFDRSDRERGPR GRDRSPRRRSPSPRRSTRDYSPRKDDRRDRDRDRDYDRDRRDTRDRSRSPERERERDV KDDRDREDRDRRENGTNGDDRKAIDSPERPAHDDLDVAE NEUTE1DRAFT_148817 MSATPSASGAPSPLPIPTVEKLSAADQFRSVVSQPTVAAFCAGG VAGAVSRTVVSPLERLKILYQVQSSGREAYKLSVGKALAKMWREEGWRGFMAGNGTNC IRIVPYSAVQFGSYNFYKRNIFERHPGDSLTPLSRLTCGGLAGITSVTFTYPLDIVRT RLSIQTASFAELGERPRKMPGMWETLVKMYRTEGGFPALYRGIVPTVAGVAPYVGLNF MVYEHVRQYLTLDGEQNPSAVRKLLAGAISGAVAQTCTYPFDVLRRRFQINTMSGMGY QYKGIFDAVRVIVTQEGIRGLYKGIVPNLLKVAPSMASSWLSYEVCRDFLVGLKPEET KLLQ NEUTE1DRAFT_118209 MGIDLRKHHERSTHRKAPKSDNVYLKLLVKLYRFLARRTDSAFN KVVLRRLFMSRINRPPMSISRIVANLKGNEKKTVVVVGTVTDDNRLLTVPKVSVAALR FTATARARIEAAGGQAITLDQLALEKPTGANTLLLRGPKNAREAVKHFGFGPHKHKKP YVASKGRKFEKARGRRRSKGFKV NEUTE1DRAFT_124744 MAPASGTGPGAAADKKPEKSYLASAVDSINPWAANRSTTPTPKE PPPPKPVVPVNPNPGDHSTNPFYGHSFKRYPPDCPPLNVQWFHAVDVPKRKPKFLQSK TDDDKKTQTQPKKYAPFSPGDSRALEVAYQARLEEIEQQRANPKRSTSVRLGSKRPRV VSGDEANNTNLDSTEEEAKNHIRVPVNEDFLFDVDLDDRELAPVYWEGPVYEVRRGTW FYQEGSTVRPCEENLAAQLEEGYMKIRPWMYPTRTRSDSGTKTVTPKASVSNLKAAAA AQKETPAKIESTVVQHQPQTYRLFGAYMNNIATYHDESTVWLSSEGVLSWVTSTVYER FAGGGYMSGVKLVRGYNTETKKPKDDKRPSTPTGTKSTSAEQDEKLQKVLKRRSAPAG AHSLSEETVVVKEEGEEEGPDEIESTRARLSRQISNLIEGVKDPEAEAEAIRKREEKE IRDDYNARLGETQGREIEHLVLVTHGIGQLLGKKIESVNFVHDVNMLRKTLKETYSSS ADLRALNGEIEVEGPGNSRVQVLPVVWRHLLDFPKRKPKRREHDLGEAPYEEDEYDIT IEGVAFARSLISDLALDVLLYQSAYRETIAEIVLREANRIYKLFKDRNPNFNGKVHVI GHSLGSAIMFDILCRQREKRPETEPFKNPLRLWPTHTQDRYEPKESKELAFEFDVEDF YALGSPIGLFQMLKGRTIAARHLPNAYPSESPLNPEYMDDPFFLAATAHHHHHHHHNS DQSLSPITNLPYSISSPKVAQLFNIFHPSDPISYRLEPLISPAMSTLKPQALPYTKKS IFGSVAPQGLTGIGAKVGQSVSGLWSSLSAGIASSLLNRSLGFSQEDVANINASQAQH QNSSPGAGTNIAGGVISPESPKMSDAQRSEKTAERMRQLANAGHDGALIDDELETLFS RFERKRLDMVHAAKEGGSTAAAKEEWVKEEAKAQKLKREEMKVRALNRNGRVDYSIQE SVLDFNPINTIASHMSYWADEDVCHFILSQMLVSSKTKTPRVGEESSRNCTHPTPSFH CFIHTWWVALSCVRSEDGFSMSAVSSTVPPSFPNRIPEDTNNKAGFVMTDPGPLQSPN VDADWVRFGSLRLRYGFPDRRDPRIIPVGWICCKCQHNNTHSDAYGKPAEIEPGNTDH LKPCAEVNCRSRKRDHYGNELDPFPHSLCPECTLINHKDHPIMSGIGIPAALSDHIDR SKVAFWECCHCLKLNAINDSASGRCFSCHFQSQGSGHCRNPDHPRLQVKALSALRQFP EEGNNLWDAYRKVSMETDDEIMLSAHVTCGDCRLLNGYKEYLIFADQTERRRAPTPVE KVALLDEFEKHISKQFRKRTNINVCWRTIDRFKRYRAKKVVDKSSFLGNCDPNLYPEC DMEKTKKIMQRDARAKARFAMGHGQASVALMFRQKYRIMRDHGYFRDTRLVYECFTRQ VAKTDHRLVTVGYVNRERARSTNTAEEDEVEAEAYQQRERIPNAAVSKDGTNNLANDV NNICVHMNAALANPVEKSYDSLTDDEPKTPPPPPPEVTKRREDGWKDSESCSVQRGNS RTEILSSSSSNSQWKARQSNDYYARSARTAGLKSRAAFKLLEINKKYRLFRRNSSQIV VDLGFAPGSWSQVALDLTKPDGKVVGIDIIPAQPPRGVSSIQGNFLSEGVRGLVKGWL REEEGRGRVELLRKEREKAARVEQEAKEREEKERVEREEIEEAARGSGLEREIVGEVG EGVAGSEARQKEKNDVVEEMVQGLEKLELTERREEQPQQQQQQQQRQQQKDVAKQEIF ADRPSYIELERMAVREAQLQQPELLEQDRREEDKATTAAKETESKFDGEDSTEELEEG HQQEEQQQPRKKKQPEQMRLVDVVLSDMSEPWPQTTGFSIQTLSNPYSRMMNTSGISF KDHAGSMDLCYAALSFANDTLKPGGHFVCKFYQGSEDKKLENMLKKLFTKVHRDKPDS SRSESKEAYFVALNRRGDVILEDIPI NEUTE1DRAFT_87908 MGAEQRAPIKEQLADQLPKRFRALQFGVQSHQDIVNQGVLEVSD NLLYDVENGRRTFPHGPLDPRLGTSSKTGTCETCNLPLQSCAGHFGHVRLPLPVFHIG YLKYIQATLQNICKTCSKVLLEEEPKAKFLKALRAPGIDNLKRSAILKKINDECRKQK TCPYCGDTQGVIRKLSVMKLTHDKFSHFNKSTAQKKVPPVAKAAFDSSFIQAKTFTPD LEKHSKKAMDDMDPLKVLKLFKKITAEDCELLGLNPSEGRPEMFLWQYLPAPPICIRP SVQQEAASNEDDITSKLSEIILYAGHLRESLLKGAALNTIMEQWEFIQLQVGMYVAVP ERVAKNLTYPERVNRNNIEKLRKCVMNGPKVWPGAQGVIKRDGGMKFNLNFGDESIRE ERARELKFGDVVERHLEDGDIVLFNRQPSLHKLSIMSHRAKVRPWRTFRLNECVCTPY NADFDGDEMNLHLPQTEEARAEAINLMGVQNNLITPKNGEPIIAATQDFITAAYLLSS KDLFLDRKTFTYICTQMLLGETHLDLPPPTIIKPVELWTGKQIFNVLMRPNKQSPVLV NLEAKNKVYKAKPGELPDMDIDDCYLVVRNSEVMCGRMDKSTVGGGKKNSVFYVILRD WGPEHAAQAMNRLAKLCARTLTLRGFSIGVGDVYPSKDLTEHKAKLVQDAYALCDDLI AQFKSGRLEKAPGCNMEETLENKISGILSKVRSQAGDYCVGHLSRNNSPLIMAKSGSK GSDINVAQMVALVGQQIIGGKRVADGFQDRTLFHFHKNARQPPSKGFVRNSFYTGLVP TEFLFHAISGREGLVDTAVKTAETGYMSRRLMKSLEDLSTQYDDTVRTSEGNIVQFQY GADRLDPADMEGNAKPVDFERSWNHAESLTMNHNDRSLLPAEISAMCKEILDERRKWY VRKHLVTGELLHYEDKTIAESDDHDVFIAIDEHEGARVYLNTIQAEMDKRALKLAKAR KLAGLDPCLPQDNADLLKEFAAWEKKQNGGDIKMLDPEEEEIQQAHVDRVAKVSEATL RKFMNLCLSKFDKAKVEPGHAVGAVGAQSIGEPGTQMTLKTFHFAGVAGMSITQGVPR IKEIIGASKLISTPVISCELENNRDIKAARIVKGKIEKTYISDVITYVEDVWLPDVAK IVLKIDMQALSDMQLGIGLREIMVAIVKAKKLKLKVEMDDLKIGPDNTIEVIVSNDWK DLTAQKKAARVRAAAIEKGQLLLTTSDETAADFQLRVNFLKRMLPAVPISGYPEAFRA IIQSSESNEHKVLVEGYGLRACMTTEGVIGTKCISNSIMECRDVLGIEAARTTIAKEI GSVMGDMGIDPRHMDLLADVMTYKGEILGITRHGLAKMRDSVLQLASFERTPDHLFDA AAGMKTDRILGVSECIIMGQTMKIGTGAFQVVRRLGIRDHQVRPKKSMFEEVWKKDEA EKRRAKMEMRKTTAAAAASTGRGGKIDGMMPAAPMVAVA NEUTE1DRAFT_87910 MCGRYAINLRPSEVRRMLQADNMPVDDAPDDEGDDSPRQSYNFA PGYRGVVYRARIGGGGSTHQTKHQFILQPMKWGLIPSWTFKSKSKQQKPGSTNYTTTL KTINCRDGSLSSSSGMWSRIKHRHRCVIPAQGFFEWLKTGPSGKEKIPHFVKRKDGKL MLFAGLWDCAHYTDEDGTDKAIWSYTIITTSSNDQLKFLHDRMPVILDAGSEELKRWL DPAKDVWNRELQDVLKPFGGELECYPVDKRVGKVGNDGDDLIVPVSEQREERKIETWF GGGGKGGKREEKEEEKEGEVEVKTETGKIKVESVDELAQETDQKGKEARSPPRKGIKR EPASELGGDEQPPVKKSMGAGDKSSPLKGSKQKPPPPAASKAKGIKPISATKNKGKGS PIRHRNAPPPGTQKITKFFGNSA NEUTE1DRAFT_50369 MADEKNNNNNNNNNNNNNNNNNNNNNNNNNNSHDKLNFDESHQS AMTTTSTANGTTANETTTNTTNGSNTSSNPASTLHAAARKIPATEIPSSIFQSLTKPT PTTTHQQPSLPRTLSATSSDIRRRISQFGNDLRVLGQVFGEDVNRYGSLQTAERFRQV YATFVEVSQGVLSELDEFDRLDGMVEGEGWGEIGDVRERGDQNGDEEEKNNGMGEREP NGEMRNGT NEUTE1DRAFT_113003 MISRSNEMNRFRRCILSCCFDGGDDVPSPTQRRIPRHPQNQTAP LFQQAQYQKTQLRSQYHTEAQHREYGQTSPSPPRPKRPLPPSKSPVYQHHHMKNDHQP PARPITEWPAPNLNQRPGKISESLTFWWDDAVSQDSYPESPEIDFDPDLTPPPLYHRY PPKGEEQQQQHTFPKPFTVSTPATRRRNVPSSYDISYYFRNSPSVAIPWRPPTPPPSQ PSSLPHYEDSSAVANWALGVEKGKWEEEVWEEETERDREEARHQDPRIVSGVSSLSYY SEVAHQHRRPVSDVSSVSSYYSEYRESRALTPIPEVRKWGDAKYEDARREESNWL NEUTE1DRAFT_103957 MSIAKKQSSKTECPEVTSSLRLSTTFNHSTQPVYLPALTSFKRQ RCPSPSPSSSFNLSTKLELDSETDEPLTITSPQAEDLFSTRQIKPFHRSRPRFQKLTT STTLSEQDHRNSNHLTQTVAHDVRTGAYTVPRSETRTTTSLSANTPMTSAQWCQAKQE DPAAVSLALATRDFIGMSMSVDMQEGFGQNTRGEEEEKEGKKEKERPKKKKRKPITNG WDKETHSRRVFEWIPSPGGLEPVPEEFVKGTVPW NEUTE1DRAFT_69229 MEHRTRSWALGLSILGFFALLFSAGFVQQAHANDTEAMGTVIGI DLGTTYSCVGVMQKGKVEILVNDQGNRITPSYVAFTDEERLVGDAAKNQAASNPHRTI FDIKRLIGRKFSDKDVQNDIKHFPYKVVAKDDKPVVKVEVKGEEKTFTPEEISAMILG KMKETAEGYLGKKVTHAVVTVPAYFNDNQRQATKDAGMIAGLNVLRIVNEPTAAAIAY GLDKTGEERQIIVYDLGGGTFDVSLLSIEQGVFEVLSTAGDTHLGGEDFDQRIINHFA KLFNKKHGVDVTKDAKAMGKLKREAEKAKRTLSSQMSTRIEIEAFYDGKDFSETLTRA KFEELNNDLFKKTLKPVEQVLKDAKVSKSEIDDIVLVGGSTRIPKVQALIEEFFNGKK ASKGINPDEAVAFGAAVQAGVLSGEEGTEDIVLMDVNPLTLGIETTGGVMTKLIPRNT PIPTRKSQIFSTAADNQPVVLIQVYEGERSMTKDNNLLGKFELTGIPPAPRGVPQIEV SFELDANGILKVSAHDKGTGKAESITITNDKGRLTQEEIDRMVAEAEKYAEEDKATRE RIEARNGLENYAFSLKNQVNDEDGLGGKIDEEDKETILDAVKEAQDWLEENAATASAE DFDEQKEKLSNVAYPITSKLYSQGGAGDDEPAGHDEL NEUTE1DRAFT_124750 MARHQNIRNLDYEAELEEYGAFSDEEEEELSPEDQVRMREGTAQ VLEALGVEAHKVTKAQIEESLWHYYWDVDKTITYLISKYIDPPKKPAKTAPPKTAPKQ DGLLGGSGAPPKMSKLQQLAAARKKKAEEKSASGEVEQTQVKMTELTVNDNQASKENR PLAGVFGKRVKISETTAQGRIPLTMAEPTRPEIPQAQAPEATPSQHQEEDPIDPEPAV APPKAQPSAFASTLFGPSSDSPKRRPREVPDAFGKPSPDDVVLAAQAKVATAGKKSAN VHSKKGSSSADGVTNQVSQLKIDDTPLPKSRNLNVLSEFEKQKGKKTASFVVVGHVDA GKSTMMGRLLLDLNVVDQRTVDKLRKEAEKIGKTSFALAWVLDQRHEERSRGVTIDIA TNRFETETTSFTILDAPGHRDFIPNMIAGASQADFAILVIDASTGAFESGLKGQTREH SLLIRSMGVSRIIVAVNKLDTVNWSQERFDEITHQVSGFLTATGFQPKNIAFVPVSGL HGDNLVRKFTDPAASWYTGKTLVEELEASEPSARALAKPLRMTISEVMRTPQSSISIT GRIDAGSLQMGDALLVQPSGEKAYVKSLQVDDGEPADWAVAGQNVVLHLSNIDPIHVR VGDIVCDPAKPIQCVDTFTLKALAFDILMPMQVDVHRGRLHAAGKIEAIDAILDKVTG KVTKKKPMIVKPGTVSRVRVTLHSKVPLEAGQRVVLRSGGQTVAAGLLE NEUTE1DRAFT_132020 MALNLDLSNAVMMKDEQGRPLIVVRDQGKKKRQYGNEAVKNHIL AARTVANIVKTSLGPRGLDKILISPDGDITVTNDGATILQQMEITNHVAKLLVELSKS QDDEIGDGTTGVVVLAGALLEQAAELIDKGIHPIRIADGYDQACDIAVAELDRISDVI EFSKEETANLVKVARTSLGSKIVSKAHDQFANIAVDAVLSVADLERKDVDFELIKVDG KVGGALEDTILVKGVIIDKDFSHPQMPSEVRDAKIAILTCAFEPPKPKTKHRLDITSV EEFKKLQTYEREKFIEMIQQIKNTGANLAICQWGFDDEANHLLLQNNLPAVRWVGGPE IELIAIATNGRIVPRFEDLKPEKLGRAGVVREMTFGTTREKMLVIEECANTRAVTVFV RGSNKMIIDEAKRSLHDALCVVRNLVRDNRVVYGGGSAEIACSLAVEDAAVKSPGLEQ YAMRAFADALDTIPMTLAENSGLNPIATLAEVKSQQVKDPAGRGRLGVDCMGRGSNNM KEAFVIDPLIGKKQQLMLATQLCRMILKINNVIVSGSGEEDY NEUTE1DRAFT_69237 MRNTHQTLKRAASLYSTSQLRYSASSTLRSQSRLSHAQFRSLSS AAGSQVVNSPAPNKYQKPDSFAGRNSLLQATIAADAPRNDWTKEEIREIYQTPLMELV YSASTLHRRFFDPASVQLCTLMNIKTGGCSEDCSYCAQSSRYKTGVKATKISSVDEVL QAAKIAKENGSTRFCMGAAWRDMRGRKTNLKNIKAMVKGVRELGMEACVTLGMIDQNQ AAELRDAGLTAYNHNVDTSREYYPNVITTRTYDERLTTINNVRQAGIHVCSGGILGLG EDDADRVSFLYTVATMESHPESFPINALVPIKGTPLGDASAAKSETGEPESTVTFHDT VRMVATARLVLPGSVIRLAAGRINLTESEQALCFMAGANAIFTGEKMLTTPCSGWDED KAMFDRWGLRPMLPDELHGSENRQHAGTEVGLEQERADAVRMASSSA NEUTE1DRAFT_69240 MGPGNKSPIGAALNQFLGGLPIPLFSGGSEDCLFLDLYVPGRTL RDLSDASKKPSPLPVAFWIHGGAYLFGSKESLQPFFYDGSSLLTQSVPSPGSMIFVSI NYRLGTYGWLAGTSMENSAVPNVGLHDQRAALQWVKDYILLVGGDPKRVTAMGESAGA GSIMHHLVAEGGKLDPMFQRAILQSPAYQMAWDRNGTVDAIFKKFGGLAGCSSSSGEA VVNCLRKTSPDALAKANAALQASQMPGTFAVGPTPDGKFIRQMPLLELAQGNFWKGQS GSEMSLLISHVKDESSLFVSGAIRTNEQFSGFLDTVFPNYTRESGHTEKVEQFYPVPG KKSADGKRKYDSQATRMAAFLRDSCFTCNVRSEAYTPSRTYLMQYSVFPYLHATDLLP TFFTSTPTPSSSSSSPQTILDCLSTFFAPALAPFVSGISMAMQSYFASFIVSGDPNTY RKGLLNLPPVISWGKPSGIATAGSKAASSEERMGGVLNVGDWGFTTVRDDQNEKTPCE FWKGFARDVSEVGGYEL NEUTE1DRAFT_103964 MSDSNLDGKYYDELRDQMREYGASIPSPPAAVQQPLRPPNQTAT STENTLYYAREYNIFEDQFGQALDPSSRRYLGAPTPFFTPAARGIPAGSQPKANPAAT AAVSPVASQAAAPATIPAGQQSRRNKSQNPRRRRKMLWRLYSSFTTTSAETETSQPRR EAWVRGLSNVRQILCVDDNKDHQQNSGEVSGTQDGTSENSNDGRATRSLLAPDERGEE EGELRFPQYKF NEUTE1DRAFT_140822 MNNGEYFLQNPGVRPASAMINVGNGRQATITRPGAFLITPGLAF SLVSVHVLASLGVGFNRFEPTSNLIGYIASASGRDVLAIGIVMLEVKLRVPVNAHLVP RFNGRVSFSSIKNPKY NEUTE1DRAFT_148828 MSEPESATAAMGIGSVLNTKGAQASAALAQAAQAAQVAQPTQQL EQSAPVPQLATPEQQPSQPLTQQQPMERASSPHASVKFEPSMSYPSPTGMGTAMPVST VPSAHMAPGGQMASNAQMVPNNQMAPNAQMGPNLQMAPNSQMAPNAQMAPSGQMAPSG QMASSAQMAPNAQMAPAPMGIPAMPTVPGVPSNNGMVPSLHPSYKPQVEGGAPPGPPP KAYACSTCAKAFARRSDLARHERIHSGIRPHVCDYPGCGKQFIQRSALTVHQRVHTGE KPHQCERCGKPFSDSSSLARHRRIHSGKRPYKCPYMDCQKTFTRRTTLTRHQNHHTGT VEESAKATAEALARGTLARTKERSESEQISNQATPMTTPSPAQRPLSLSPSAGLANME MQYLQTNTLPAHLRGDVHISNAPTTSPGYSNGLTRPTSHPTGYVPPATMEPTVETQQG SGSVAGSPQIGSAGWASPGGVGSPAQSPSGNGYVYPDPEPFPGASAGQMFYDSAVGTG RRLGSGEPQNPSYHT NEUTE1DRAFT_103967 MDHPPRTRPFNFENSEDNAPVGPKSYQHAQLDSGNLIPYSGTTS PNSEQNAAYQDKSQTSQDVIQETPRSLPLAPEEMGLIERPKMRKTFELDQEFEFGPPL QINPGQFTKQLKNPIPLYQSTQSPAVTSQPSSKDSKPRQLPFPLQEPGPGRPIEERTS NNVVSARDRLPPETTDHPSSVIFHEHEAQDTEQHPKRTVDTRPPPRGPPSVDASPPSA QRPSKVALPLSGPHISVARVKTSRHFTSDQEPGDSRSQTNHRASAVNASRPLQQHRNH AATVNAVDISSDRHQFSAFNETGIALDGEYHSEKRDGQSKDQSSPSSTTMRHNVRLSH TDTSHHQDIHMSDYSHSGYPETEDVVNVESRGAHVRSIDYPASRQPKSTSSHFESLHQ EEPSNKSRQKSHTSHDVLNANTVGSGKPSKQGAGKAHSSSKPQAFSPIRRRAAASAAR LHNQHTSRDPKTAYEQNSRPHLEGSNVSRRRAAVSTTARSDIIDITSTPGSEIIRSSP ANNNMSVKISQEFTKDLAGVLNRFTTQHNSTRQELRERYHKYIKQLKKVIKKREHEAE EYIARVEGQASDIQNLEHSNGEKTQKIEELEHSKGEMAKKITEMEAVLEATAKRGSKA EGKYRKVKDHLNAAIEEQQKLYLMSKSQWEKAIREVRETERSHGSALEEMLQKTEIIR QQMLEKVRQTVGQCRQDTSKLYGQIDALTRQIEQKDAELSHEKKAVKMLSQQLETLKI TNEGFEALRSRQNDIMGKMDEQNAQAIQRQVKADDAIGTRLGQIAEQVGSVSKTVSEQ PQVLSTLQSQQQGILELLSGCIAEKEEALKNCQQEFKDMKKRFEDQQIHFSQLQAHAV ELEVAHEDNQPLNQQLQRAQAEIERLEAEVSSRTAAISQLEKTIRSKEEVYVFEVKQF GSQVQQLNQLIMDKEATIQSASAKATELVRRELLVERDRETAELNKTISQLRSDRNTL DDLVTQLRQERASEEEAKRQNARTIELLKANLAISENQCSSLAKELKTRSDDLAESRH QRSTRISGLEADLAVWQKKAAELEANYTGLQETERRHTNEIESLKVTLAAAESKCSSL SEELEAKSLELEQFQQRGSSRIIDLEKELASWQKTAAELQATYSEVQQIEKTREEKMQ ECFATMEQLAVKEGFVASDAGSSKLFDSATTLDEVWSKIPKAVEQMMKMASTKYQAIL DKQHQQLQALGGVADQKGAPASELQALFVNAWNKIGINPLQESKCGDPDGVSGTTTTP SNTEETSSPFLQHRVVMGLQDQDRRVAVRRPTSTEGIRKVRLPPPPSVAQEKSRRREA APPKSIMKRMTRSASREQLIDNSSGSGAFGRIGPLETFTATPPENASASGRPNKRKQP DDIVDSPVVLRRGRYSKGAGGKGTTKDITSTAASAPLPNGSDERGSRGIVRPQRIYRS RHAVDKLSEGSKLSENGLISINFKPSFGLVPEVMNGANRFLPPRSAPTRTYGSRRSVD PTEDSSTVSQTNGESQSRSQSQPLSRYGGANDETQDSMTLSQNANKEDGDDLLLPPPG NKL NEUTE1DRAFT_48634 AKSRIINVRLISMAMTGYFYTFTRPRTSLPMSMLKYDPIVRRKV LFLEQKRKGRS NEUTE1DRAFT_124756 MLNDFCCAVVVCNGAPGLDWIKCGTATGDGLGLVRTERFANCGR RAGGFTEHGLCAGSSPIDVGSSALLAIAIVDFACRPAGHFGCNHARSANNALKVNCHS SSLPWRLRNSSAIPPRLADSSPGQNMAFV NEUTE1DRAFT_132025 MSSSNSRRLNIDNINPHVRAAKYAVRGELAVKSEEFRAALLRGT SDIPFSQVISANIGNPQQLDQKPITFFRQVLSLLENPLLLENEDVLINHLGYKTDVIE RAKWFLKNVGSVGAYSASNGAPAIRQHIAEFLEKRDGFPANWQDIYLSAGASSGVNTL LHIICADEKSGILVPIPQYPLYTASLSILNATCVPYLLDESKNWGTDINTIKESYQKA KDAGVDTRAIVIINPGNPTGASLSEEDIRAVLEFARQERLVVLADEVYQTNVFIGEFI SFKRVLRQLQKENPDGKYDCVELASLHSVSKGMVGECGHRGGYFELVNFDPEVQAEIY KFVSIMLCAPVIGQCLVELMVNPPKPGEPSYELYQKEYRGIYEGMRERANALHKAFEQ MEGVECGAPQGAMYLFPTINLPPKAAEAAAKEGRTADEFYCMKLLEATGVCVVPGSGF GQKEGSLHFRTTFLAPGTEWVGSIVKFHKEFMDQYR NEUTE1DRAFT_69251 MGSDPQYAKWPLLPLAQHVFTLTNPYAARPAQQAAVKNLQDAIT EHKMAPFYRYLAHPTEGVLNSVGEGGSNTAAAGRLGRKSSIAAGMIATHKPTTSLSLP WDENLYQQLQAENEKELEEFQKEEDEAVEKAGDTEIQAAKGKRAEFWARVGDKEKAIA AHQDLLEKTGILGTKIDLVLALIRLGLFFGDKQLVQKTVERAKSLVESGGDWDRRNRL KAYEGLHLLTVRNYSGAAPLLLDSLSTFTSYELCTYSNLVVYAVLAGSVSLKRVDFKS KVVDAPEIKAILGDGEDKLLALTGAISAGPGADASMQDADSAPVAARTAVVNLTTLGS STEQPEAEMAVDFDPLAQLVSSLYNGRYKLFFQALALVEEQFLTQDRYLHEHKNWFIR EMRLRAYQQLLQSYRVVGLDSMANDFGVTVDFLDRDLAKFIAAGRIPCTIDRVNGKGV IETNRPDDKNKQYQDVVRQGDQLITKLQKYGQAVRLRGSERA NEUTE1DRAFT_132027 MSGYDGYTSYRSTRKRQRSESPYDDDRNGRNEDRRYKSARHDED EDDNDVLPQPFDARKLAPAKRTQTPTTSGEPRKQKRPGQRARITEAEREQIRQRQMER ERQAQREAEAAAEAERRNTVNDVVRAHYNAVPERGRDWRKTDSRIKGLRSFNNWVKSC IIQKFSPDEDHSPGARERGISSNNQLLVLDIGCGKGGDLGKWQQAPQPVELYVGLDPA DVSIDQARDRYRSMVARGGHGGRGGRGGYNRRQPPLFDARFHVKDCYGESIEDIDIIR QVGFASSNIGGPSHRGFDVVSMMFCMHYAFETEAKARQMLKNVAGALKKGGRFIGCIP NSDVISSRVEEFNKRLAEQQKAKEDQAQPSVETDGNTPKESVPNGDEKLSSDQSQRKP TPEEGEASEQTPKPDEDKEEGELEEGELEPTSEPKPPSDPTIAEWGNDIYRVRFNGPT PADGIFRPPFGWKYNFFLHEAVEEVPEYVVPWEAFRALAEDYNLELQYHKTFTDVWET EKDDRELGPLSERMGVRDRMSGKLLVSPEEMEAASFYVAFCFYKV NEUTE1DRAFT_124760 MSLPNPDNRPLLIGPPTGPEVPFPFRMEGEVISGFGRGSKELGI PTANLPVDDENTWIKNIDSGIYFGWASLKLPASHPNSVLYQKPPTSEPVMMEPVQQQQ QQQQQQQNQQQQQKGGVESAQQEKLVDQQTGQWQIYPMVMSIGYNPFYKNTVRSAEVH VLGEFAADFYGVGMRLLITGFIRNEKDYSGLEALIADIHFDCEVARHSLARKGWRVRE LGVKEGEEEGELDGSWLVR NEUTE1DRAFT_118217 MLKYTHNPLSMISFLSLLRLSVFCFYLFCGLDVGSPWFSGHTGT PFGVRGLD NEUTE1DRAFT_69259 MTKQWEDHRHIIIREYKDNNKPLHEVKKFMEERYRFRASSRFDR WRVRKYTSRKRRDSFAAKSDKSVLSESSDDGTGSPPPSSSPIHRRGSSSRQEHPSIHY IGSGMYESTTPTEVSLR NEUTE1DRAFT_124763 MPPGRLVQGSRRPQPTASASTSAHNGSDLPPYEPPSHPMNDAGR QALSNFTNNNAEMRKYEDHLSKSATYLREAVGAINDTLFARKSQLTGKIEKRRGRGES EKGEDEAELEEYVNKLHGDVTHLTDEIEAALRQVIDYRAEVQREKLVLGSVADQVQSE KSRRERPSRKRKAAQRVSSDSDEEMGGVDAEPEEAEAVGAKDEAPLTGVREALHKARD SNARQYRATSAYDRYASNNEYIAFKKMWHDAQHPEDQVPLPDASTWFDNQGRPIWDGA PAGDEDLIIEREVQDLTCKLTLRPLKEPYANHKCPHVFEKTAIMEYLRGTGGKAQCPV CTKELRIKDLYLDELTLRKVKRAARAARESEDRSSNADPDEQMDESVLMGESTQLRSG RANNGVKQDDSE NEUTE1DRAFT_69264 MSHTVNSSTMNPWEVEAYQQYHYDPRTAPTANPLFFHTLYAPGG YDIMGYLIQIMNRPNPQVELGPVDTSCALILCDLKQKDTPIVYASEAFLYMTGYSNAE VLGRNCRFLQSPDGMVKPKSTRKYVDSNTINTMRKAIDRNAEVQVEVVNFKKNGQRFV NFLTMIPVRDETGEYRYSMGFQCETE NEUTE1DRAFT_148836 MSTVRICVCGDEGTGKSSLIASLVKGVFVANKIQAVLPQVTIPP TTGTPENVTTTIVDTSARPQDRTTLRKEIRKSNVILLVYSDHYSYERVALFWMPYFRS LGVNVPVVLCANKSDLVSDGNAAQVAEEEMLPVMAEFREIDSCIRTSAKEQKNVIEVF YLCQKAVTHPIAPLFDYKEGQLKPACVDALRRIFFLSDKDQDGCLNDQEMQDFQQKSF DKPLSQEDLDNIKLTVSKSVPSSSTDKGLDLRGFLQLNKLYAEKGRHETIWIILRKYH YTDSLSLEDSFLHPRFDVPDYASAELSPAGYRFFMDLFLTFDKDNDGGLNDRELAALF APTPGLPHSWAETSFPSTTVRNEAGHITLQGWLAQWSMTTFLEPKTTLEYLAYLGFET PNARETTTAALKITKPRKRRRRPGRVDRNVVLCYILGSSGAGKSSLLDVFLNRPFDTL YHPTIKPRQAVNSVELQGGKQCYLILEELGELEPAILENQAKLDACDLICYAYDSSEP DSFSHIVELRKRYPQLDELPAVYTALKADRDKTTQRSELQPDAYTAALNMSAPLHVSV TWNSISELFVALAEAATNPSTAFPRSEEPPADRASLYMALGATACAALAAFMIWRRST SNAA NEUTE1DRAFT_103979 MLRSTQEAPSMQADFTYSIHSVLPPPPRYPSQAGYGLVPGYVAP MIETNNILTHPSGPEYQFLVGEGLYTLKEDLHLATPPPHPSEAPVINPNPLATTPQPA TAGTSLSIVNLGVRPPPPGLFRVGSRAYGVQQSIQEHPDESRSSSGVRGSSEGARAAS SDAGAIFSSTPAFGEGNTLLAQTNHKDNKRKKPKNSMTKSNSSFISRVIVHESLHKRL NDRPADGLFAFANINRAFQWLDLSSASKADYLTKILFTKAHCLCHDVNRLTKNSSHID VIMGFSTGEIIWWEPISQRYTRLNKKGIINKTPVSTIQWIPGSENLFLAAHMDGTLVV YDKEKEDAIFSLEDEAATRASGDSHGVPNGIKGCNYTSQIHVHKSVHSKNQKTNPVAV WKLSNHRINAFAFSPDHRHLAVVSEDGTLRIIDYLKEELIDLFYSYYGGLMCVCWSPD GKYVLTGGQDDLISIWCISESALIARCQGHHSWVTSVAFDPWQCDGKNYRFGSVGEDC RLCLWDFSVGMLHRPKAASVRQRGSSFSSRFVSLQRAETQNTTTSNRLRSDSNLSSSG DAGAAEETQPATATLEQKVEPHPVEPRARMAMLPPVLSKIIDDDPLCWLDFTKDAIVT SCKSGHVRTWNRPSDDFSTPSQSQHGDDN NEUTE1DRAFT_124767 METPAAPEGAAPADHRPALTVEELCFASAMSCFEGWLKMDPAIG PRTMSYVTKFISRTLEKTHNLKEVRETLSRSVEVWVWLTKSFAAALPSLTTRSIGPLQ SLNDPEKGITPQESTALITKNYTSLKEDLQLLIKLMHIARNLLVVPEPEIPQDLCAAA QFDKMLYQTITLCVNVTSKAYDGDILEETARHKLSEITELYKKLLITCLQQAHNWIAK NDRNKMSFWCAVLFDDESGEEQQDAGAQFADFRPDVAKMEVQNWIERNSRMCDKARQL LVDYQRNLGSRGSPPGSLPENSVLSWNWMPHVRPRADDIGEETKITPQWKEDEQDKFE QDRLYGRVSREVDTWWLKVRDPNYDEWIISMPSVEKAHQLIEGCKLSSLNRYPHSFRN DDQEGSVHSAEDVQLPDHQGPEGEIRDDRHYNLPDYNDDMIEDEDVDDDESYGEGPMS GLLTEVPNILDPKQIEALHMIVKSCILDSAGISLSRAGENLQKTRCRMFLSLECGRSL LREILVFIAVWEKSEQSLIFQLTTQIVEAIHHSALIPFAWQSLRIPKDIISPAQTVLL RLVNNMFRTRLQDPIQPGSKGHLRDIKLLQFLYIQFRTRIVPECTALMHLQAQIRNET CDPVDFPVDSWDMERAKDGLQQFLDLLMTVNEPIEWRTCLIQWEAVFDLLVLLKSLES AVPKKPMVDLPDRSAHRRPPPPHDDDRESHDYDSADPPPPPPPPPIQEHAHKFPWAGI KGQILHIIAGLLQPEAGRSGPGNPVVQKQILQHNGIISLLNCCVYDDHNRFARERVQI CLKWLMDGSAEANKVLHDLVAVSPPPNLQPAPDASGLTQPVPLRVDGIAGEVKVRVKS NNAQPGSSPSSSAQQQQYHPPAAYQQYPPRPSSAASNESGITTNSNTSTSSSTHDHDH LSSQAAPSASNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNTHTHQPPARVHG PAPPPPTPPSALTLPSLASPLISVSPSDYERFTKAYMNALPPLHSAATGVPGVGGHLN HPMMQAVRNHGGRPEDLFKDILTLADEAARLAIGQPPFASPSGSGIGSGSGSRQRDAE ETEEEDFM NEUTE1DRAFT_69275 MENLPTTYDGPVHIAVIGGTGLSKLEGYVPVAALNPTTPWGSPS SPLMIFEHNGHAVAFLARHGLYHQLAPHEVPARANIAALRSIGVRTIIAFSAVGSLRE EIKPMDFVIPDQIIDRTKGIRPFTFYEGGVVGHVGFADPFDAGLAQVVEKCASAMKGD GVVLHNKGTIICMEGPAFSTRAESHMYRSWGGSVINMSALPEAKLAREAELAYQMICM ATDYDCWRDEAGEDVDVAMVMKYMAANGENAKHLVGAVLDELLKQDNSDLVLAKKWQG SAQGAVKFMTKPEGRDPEAMKRVEFLFPGFWEQN NEUTE1DRAFT_148840 MEDVEKPEKASTTICWMGTEVLENNPNPYLNFLRQKICKKFREV PWSPNEEGGKPPAIKQQAAVAGLHAPSSHSANTSSRPVHGHYDFCDSASKGISISDMD TGDYDTNTLLINNLSAISFRVYEPVAANTASYTFQPQVVEDALRRDGHLVHVDTTRRC IWVFYLTTKDGSMLGPEERGLTAKMEVCGYSLGLSGEGGFEPSSLFRSRQLGTNSLNT PNSSSSAGSAVDATFRNPQASAFPTAAGAMPGAPVMDNKGASHILSDSDRFAHVPLKE KYDFFITATLASLSSSLCHTIGAIPLDHRSILLPSQAYYLDEFILGPKPQTCALGTFR VYLTSTGTLVISLSVSLLEGLASFAELARSNMLPSNPVVLAAPLGAFGFMLNPVDGDI YGLDSGYGHSPDTQISRLRPDPTTDRLSQWKATCCKVLQMRGISPSLINGCSWFNVHF LQRKPYEHRQDAKRPPLTNPPQIAAWPSVLCFRKPRIDAGADSRSSKALVASAPESLD PVDDARQWCQGFPEREETIAKRKKERDEREALAAVSRSIADADSKNNQISAASPMALR RPSNGGAIAASSAMYPTPPDGIQPLGMAASAETGFAASPANQPQHNAMTGIVTVTKNN APHTENFGNGWEGGDNKREQQAGNLLEGDNMFGDLGEDMFEGNELTDADFNFFDEQLN EQPEGVEMNFSAISGLGTTTDLSADTGQPTNSAPQANNRVEEYKTVPQPAPPEFTKPE LKHARSTLAEGSRQQANQESFNRNSTIGIKRQHSPFNRETVYKRIKASLRSPPKPRSP TTAVSQRRKSEFDKVDFDPSLSLVNKKYTENGPFNYMIPAVQEMQKTRLELQNPLLTR VEKPPKEPPSNFGALLARIAHGIGGSLTGRDEVASETDDSSWASDPDDLSDESNYAPS LAKSGISRRRLDDDVLSTAASFKDLESAVADTPAYGSIDLVRLSHPEVPEFSIAKYFA DPEPARLQVSCSDMDFITIAQILTEQVATGSLQIAPKILSRKPQDVRRTLAKAVRYSM QGLRKALPRSLADAVECSLKPYADVQDVPLVALAQPQRIQAKPMGSEMSTRNIYPIPT PHIEIRRYETPFSMLPTAISFWESLGLSPAKGAKDIVSVCVFPNVDGLRDSASAFLQR IRSVYESLKLGTFDILPTVLELEDGLLSYFATQQPAVRDEWAVSPFDGPMSCLADALT SVQITEKNFVVFFVYPDDRPSSVVETCAAFHDLYERYKRCMADNRKPVTSELVLQLVP LDCIASDTTVTVMSPVDYMKLCLETYDRCTLFGGPMPAPAIVLEPQVLRTIDFKLNTN PSPNLLQENSCMHVAYAQSVDERWITAAWTDYRGTRQMTTSYCLGRRDRPLTRSITEI IQQIWEATMDHIHFARVHWRVVVTKCSPMEQQEIEYWASLQQSETYANVSLTLLTVDT NPSLQLIPPAQRIQIPSAALSSNSPASTPQASVLSPDQSGLGPPTPIGTGSSNSANAA TDNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNTTTTTTTTTDSDADSTLVD QLDMTWAVIAAHRLNNSTSLTELNQALASGYLVKRGGPKLEDVPVTMEVNVIHCEGIN IPQPHQYPRVYEQLLKEMLSHFRGLGTLARARGVVDRETDVRPWHVAVAEKAVRALYL LM NEUTE1DRAFT_148841 MKLTNPGAVPVYTVSGPSTARPLPEWLARRRKRSAKYEPEELNN FELLQNFDFEEASNCVRVSEDGNWIMSSGTYKPQFHVHNTQELSLSFARHTKSENTTF IMLSQDYTKSVHLQSDRSIEFHTPMGCHYEVRLPRFGRDLAYLRQSTEVLIPSVGLSA DGSGMGEVFRLDLERGQFLRPWQVDVGEDEPGAGLQGSINVGAVNVAAVAESTHGLCA FGTTVGTVEFYDPRSRNRVAVLGGQEGEITALDYSRDGLSLALGTGTGIVQVFDLRNP RPLMRKDQGMGLPIKNIIHLTTPTEEKKLLTADKRIIKLWDEQSGDLWTSIEPTVDIN HVAHVPDSGMLLTANEGKQMHSFFIPNLGLAPRWCHFLDNMVHEMENEKRVDTYDNYK FLTIPELKQLSLAHLVGKTNLLRPYMHGYFVHSKLYDQARLIANPYVWEEERAKRVKE KVEKARESRIRGVKKVKVNQKLVDKIVDRSDKKKIAAGVLGDSRFGKLFEDEEFKVDE MSREFRALNPSTQVGGQDVAVQHVKDDSSVTSNSDDESDPESEVESKTKKSKKSKDDV VMQVSSSKIAGGKAKDTAFGQRAPRTGRVTKARTDVVGEQKITFVPESKKKKQAEPEA APTRKRHDGRRSASTNTFRRL NEUTE1DRAFT_118221 MSSNANYEPDANPGSVGAGPDMGDSGSIAGAAGTSSNDVGLSQG GLIAIIVVVCVVTIFGGKSFSPTSNTAPTRHDIDPPSNSR NEUTE1DRAFT_28886 MASSVRWGIIFALLACYFTLLFSSLNNQAHENPAPRSRFQCLLE NIKPFQLILHADVAS NEUTE1DRAFT_87974 MLRPATRPALAATRQVRQCAARFFSSPASPDTSSSHVHSPSTTP ETNPAPPPPNSRSSTSSSKGKKPLTAEQRAFLSSALRVNQAGELAATLIYTGQTPPLV TRDPSLRPLMKHMYDQEAAHLRTFNNLIYRHRVRPTALYPLWSVMATGLGWGTAMLGK EAAMACTEAVETEIGGHYNAQIRKLLEMVSEWEAEGYEVGAEFRHLINTLRRIRDEEL EHLDHAVEHDAQKAEPHWLLTGVIRAGCRGAIWVSERV NEUTE1DRAFT_124773 MSDIDDELLALAGGDASDDSGDEAMDMSRDDSRSPSPEPRKKDT STRGTAKKSVGRKRARSDDESEDEGQASPPGSPDSQASAPMDESDSDSDASPARRSVD DEVNQYPVDGLFRDYEEKEQIMSMREIEREQILAERREENERIRQNRMLRQLKVNQEK KRKASLAELDDSSRKTSRARTKADDKMDSLRRAREERSNRKEQRERENDRRKRSPSYR RSPGRGDDSDVDWDGPSNKKHRSRSPESRESPPAELRDFERVRVGRSRFAEVAFYPGF DEALTGCFVRLNIGPDPDTRQDVYRMALIKGFTTGKAYALEDHQGHQMVVDMYVKAAH GKAVREWPLITCSDRKFTEAEYNRYKQVCLAEGVPFPDKQTLVDKINDINRLVQRTWT EQELSDKLKRQNALQAKFSGAQREYLKKQLDIARQANDEAAIARYQERLEKLEVPRLA FRTSLTKKDGKKELTQQEKLALKNMENRRRNAEEVRKAQLRERAKARQIERKIERGEA VQEDMSRRLITRPKFVHDSSEKATPSPSKAGTPTPDTPSKSESKNSNGVLPHIAKLQE QHRLQQKKNGLPVISAPLMDDEIIGAMDLGIDIEL NEUTE1DRAFT_132041 MADPTRSSSASLPVPTQSRKESVLQSGTLEELPTITQAQVFGVP SPIGSDQFDFATKASSPKTPRANESPSASDYLNGGQAHNDGVSPVPDQDPPAWLPLQD VNQTTTRSSVPSTLPTAGTGPSDVPYSERSVQFANNDVVLEPPPVIHHERHASWDTKD TMNRIRGSSFMTKLKELAGPSTSQTFKSPSYPPEINSTASSPTVSRHRQARSVDDRSD EDADAEETADERVGESQIRQKKKRRIRRPKFESFSTPNTPRIEQEPTTPGGHARFAFI RRSTFSDIGDRGGLSEGEGRDHIARQRINRRTNLWMSNRPDGEGDELESPSRIGQHLR RFSNLGGGVSDGDATPRRPFFGTDRAATFGAQKWRQVKSTLRLLRQKRDDQYDYFKSA ELMAELTAVTPAVLMFASMIQRDEHGNKRIPVLLEQLRLRIKDSAPLPGKDSERHVLF TIELEYGSGPSQMKWTVKRHIKEIMNLHFKYKINPPKDKLLIHRPEGGVRPKQPNFPI SAFPYLRAVRGLEDDEEQEPLDPPRIVEDPAGEATAGETAVEGTDVDENGRPQVRKKK SRMGAFGGRRKNSTIGSKGDLNQIALDAAAKKKKYIEQQQRILEKYLQEMVRWLMFRA DSNRLCRFLELSALGVRLAAEGSYHGKECYLHIQSSKGLDFRRVLTPGKVIARHSKKW FLVRQSYIVCVESPENMNIYDVYLVDPKFNIVSKKDKNKHLTANGNENEEDLENFDPT TAKKSKSSNHHTLKIVSSERKIKLFSSSQHLIQQFEESILEMLKTTPWHQRNRFGSYA PVRTGVFAQWLVDGRDYMWNVSRAISMAKDVIYIHDWWLSPELYMRRPACISQKWRLD RLLQRKAQEGVKIFVIVYRNVEAAVPIDSEYTKFSLLNLHPNIFVQRSPNQFKKNQFF FAHHEKLVIVDHDIAFVGGIDLCFGRWDTPQHPVTDDKPTGFEPDSYNLPKDAEHCQM FPGKDYSNPRVQDFVRLHEPYEEMYDRSKVPRMPWHDIAMQVVGQPARDLTRHFVQRW NYVRRGRKPTRPTPFLLPPPDCSREELEAAGLNGTCEVQMLRSASTWSIGIDETEHSI QSAYVKMIEESDHFVYMENQFFVTSTETLNVKIVNHIGDALVERAIRAHEKGEDWRAV IIIPLMPGFQNEVNDQDGTSVRLILQCQYRSICRGEHSIFGRLRAAGINPEDYIQFFS LRQWGKLKNNSLTTEQLYIHAKCIIVDDRIALIGSANINERSMLGNRDSECAAVVRDT DMIWSTMGGKPYQVGRFAHTLRLRLMREHLGLDVDEIQEEERQAELDEAEARAEMEQR TEHHMEHPNLEHRDFTDDSEDSMVPESSSRRRRSSHMSSHILPSTPPPPQLPRHRAHS FNHDVEMQELPRPDSKGKSVAGREDQEHVHTGERHRRDVEGLGPDHWKLAQMQGIDEG RDSVVVNGREVLVHDISAEGKGTLDSPHESHELRTRPHDAASLSESIGNEHIPPMPPF NRRTTEQLGLPRANQLPVLPVVDDTDIGGPPVQITANGTLSHSQIAMNIELANIDKDC MRDPLNPSFYEDVWSRVAENNTKIYRRVFHVMPDSAVTNWAEYKEFKANEREFKKQFE GGKASEEVNEKNGVATEHAQTSAGVGISAPGPAQLTKSLTEKLVTPVSKLSGGGDKGA RERTGSTTTLDEKGAKKDHTRKAQTFPLDAEKGAMLTASQNPGERPPTATPKDSADSN HDDTRASGDFLSASITNKERHATFSNNQDPNPPPTSASGGSTTTMADNSNATPNIANG NGAAVSSDNTATASAMGINGASVKPAASTRQQRRRANTGRSKRGYNPFDAQTLNPAEA EETLRLTQGTLVQFPYDWLVTEENNGNWLFQVDQVAPLQIYN NEUTE1DRAFT_69297 MPREIITIQAGQCGNSIGSQFWQQLCLEHGISQDGTIEDFATEG GDRKDVFFYQSDDTRYIPRSILIDLEPRVINTIQTGPYRNIYNPENFYVGKSGLGAGN NWGDGYQTGEQVHEEIMEMIEREADGSDSLEGFMMLHSIAGGTGSGLGSFLLERLNDR FPKKIIQTYSVFPDTTSAGDVVVHPYNSLLAMRRLTQNADSVVVLDNGALSHIAADRL HVQEPSFQQTNQLVSTVMSASTTTLRYPGYMHNDLVSILASLIPTPRCHFLMTSYTPF TGDQVEQAKTVRKTTVLDVMRRLLQPKNRMVSTVPGKKSCYISILNVIQGDVDPTDVH KSLLRIRERRLATFIPWGPASIQVALTKRSPYVTMAHRVSGLMLANHTSIATLFKRIV RQYDGMRKRNAFMEAYKKTAPFSENLNEFDEAREVVMDLIADYEAAEDANYLNPELGE NASADTDKRMA NEUTE1DRAFT_87984 MLSSVRTGASMALRARPTAQIVPFRAAAVASISSSSRKDATGAV APAGAQHGIARRERREVPLPSQEGTKGAVQYALTTLDSIVNWARQSSLWPMTFGLACC AVEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPDPRWV ISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTSEALMYGIFQLQRKMRNTK ITRMWYRK NEUTE1DRAFT_87988 MAAGSITSSVTSTSQTITHDPSTHNSVMAATLAASSSGSTSSST IANNPVVASFLSALADESNRHVFLQPPSTLPAGSLLLAKETLDALASQVSDHQQAKLK EIAATIGGKKRKREAGYDKNAVLKIRKLHVDDGFETEQIWQQAKKIIASATKEAGDVL KELEENGIVGTGEEQEKTIEFGEDGFELGSDEEEDDDEEDADSESGSGSEGEEEEEDD EEELEGEEGSEIDDDEEVEIDGEEVEFSDADGEGEFDEEEEEEEEEKEEEDAPELVED PNGLNDGFFSIDDFNRQTQLWEDADARADPNVDADSDDEQLDWHADPFATKPKAKKGK SDKKKDEDQDEDDVDMGDESEDDEALEAAAAAHAKKLKGKKNVEQLEDDGDDLGLTDG VLGMDMDLTANDIYYKDFFAPPQKKKTGNQRKGGYFPKKLEQPDEADMERAENDVRRD LFDDISENEDEDKLSDLDAGDPRSRRSAHERRQAKIAEEIRRLESELVAKRAWTLSGE ASAQARPMNSLLEEDLEFEHVGKPVPVITEEVSETIEALIKRRILANEFDEVLRRRPD TLNNPNNARRGLVEIDDTKGKQSLAEIYEEEHIKKANPDEYVSASDEKLTRDEAEIKN MWKDICAKLDALSSWHYKPKPAAPTISVVTDVATVAMEDAMPTTAQGVSGGESTLAPQ EVYAPGKDSVEKGEVVAKSGLPIAKEEMSREEKARRRRREKERIRKAGGLDANKNISK GAQERKETMSQLKKGGVKVINRKGETVGLDGKKIAEQKGPTSSGAFKL NEUTE1DRAFT_69305 MKFGEQFDRESVPQWRIHNIDYNSLKHYIKAHTTRSQGTAIAIP GHQAAALSKFEDDLYDELCRQHDRVDLFVSSKADEIARRLQHLSNQIHAHIVRCAAST RTRTSTKRQRQFARYEQELLQCGDEIQALQRFVNAHTVAFRKILKKYRKWTGSATLGS RFRETILANPKSFTKRDFSRLQSQYENLLQTIRSASPRDSSRSGSSSPPTQPATPTRP TGAGEQRLAPNVTIVPEDDAPTGYWNEYDHGSEAGDDRCDEYAIYINPDEEMKFPGVS SIMNWISSPMKKIMGRGQESTPDQRANESTEQQPLLGSSQTQSTDRYGATLAPPSYFT YPPGVAPIDTDIDDDNASDRFTNSPPHSFSRDRWRAERRGSYGYASSEEFPESGYRSL EQQRIARHRDETLFWGTWGCYLVTFVLMGIATMLIMTGRHKMRMEVDAGVTVGIVTSL GTAVAALCLWNSRHEFQAPSVIGEIAVWVTFVVACVGNAILLVLMVGKAP NEUTE1DRAFT_118227 MHFPGHSSKKEESAQAALTKLNSWFPTTKNPVIISAPMYLIANG TLAAEVSKAGGIGFVAGGSDFRPGSSHLTALSTELASARSRLGLTDRPLTPLPGIGVG LILTHTISVPYVTDTVLPILIEHSPQAVWLFANDPDFESSSEPGAKGTAKQIIEALHA SGFVVFFQVGTVKDARKAVADGADVIVAQGIDAGGHQLATGSGIVSLVPEVRDMLDRE FKEREVVVVAAGGVADGRGVVGALGLGAEGVVLGTRFTVAVEASTPEFRRKVILETND GGLNTVKSHFHDQINSNTIWHNVYDGRAVRNASYDDHAAGVPFEENHQKFKEAASSGD NSRAVTWSGTAVGLIKDQKPAGDIVKELREEAKERIKKIQAFAA NEUTE1DRAFT_69311 MAPPTPRSPGVLYWAPRLSLGALAIAFLIHLNHPASSFSLFSPN TIRNSGSAITAKTYCYTSVLTSAGSSREADCFSVSPDGRFTKVFHSAEDVLIVGDNDY FDEDGGNNMVVETKAGHVIPGIWDGHGHLVQYGEFLHSVDLFGASSVETAMLESDPAL KGKYIMLDRVDVHCTWVSQAILDLIPAESLPEEVPGGEIIREPGLGVFCDNAMDIVTS LWPKPDAERKKTFLKTAMKELHKVGLVGMNDAGVTPTDLKVYDEASRNEDWWTLRVYA MIECGERNVFCPLEANSVRTQHEDGMLTIRSVKLFADGALGSWGSAMIDPYSDKPSTR GSLLVNGSTLESLARSWSNAGYQVNIHAIGDLANRYAIDALEAALKDACNLSDGKTLK DCQQASHRFRIEHSQIIHPTDQARIRDLGIIPSIQPTHATSDMAYAELRLGPERTATE AYRMRSLIHELGVQPVLGSDFPVEPPNPFEGMYAAVTRKNPHTGKGADGGDKGWYTDE ALGLEEALAGFTKGVAGGMFTEGKTGVIEEGAFADWVVLDEPLEVLAARDNGEGLRKI KVRETWVGGRKVYDREEKVAKKVVSNGEEGVREGL NEUTE1DRAFT_87999 MTSSRDSGLPAQPNLRVTIIAADGLYKRDVFRFPDPFAVATING EQTKTTQVSKRTLNPYWNEHFDFWLIKRSKVNEDSILAVQVFDQKKFKKKDQGFLGVI NVRIGDVIELAPDAEDQMLTRDLKKSTDNLVVHGKLIINLSTNLTATMSRLGPPPSSS RPSLLTPQSSVISNDRANERPSSAMSGPNGTANNMTLASRPASLAVSSSSTAPTPGTN GTAPTNPSTLVPAQARHHSTLSPFEDSMGRLPAGWERREDHLGRTYYVDHNSRTTSWN RPTGTGAAENRTAEANTQVERQRHQNRTLPEDRTGANSPTLQQQQAAATANAATMMHT GATTPGTGELPAGWEQRFTPEGRPYFVDHNTRTTTWVDPRRQQYIRMYGGQNNTNGTI QQQPVSQLGPLPSGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKRDFR RKLIYFRSQPAMRIMSGQCHIKVRRSHIFEDSFAEISRQSATDLKKRLMIKFDGEDGL DYGGLSREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYFKFIGRVV GLAIFHRRFLDAFFIGALYKMVLGKAVSLADMEGVDADFHRSLQWMLDNDITDVLDAT FSTEDERFGVITEEDLIPNGRNIAVTNENKKEYVELMVKWRIEKRIEQQFRAFKDGFH ELIPQDLINVFDERELELLIGGIAEIDVDDWKKHTDYRGYTESDEVIQFFWQTVRSWD GEQKSRLLQFTTGTSRIPVNGFKDLQGSDGPRRFTIEKAGEITNLPKAHTCFNRLDLP PYKSLEMLQQKLTIAVEETMGFGQE NEUTE1DRAFT_69316 MSSVVNEGEKPQEAAQEQQEEEQQQQQQQEQQNAGDDVNDDEVR QLRPKPSDTIHREEISAMKRRVAEMEEEAAKLREMQASLDQQHHELTENKEDIDSRSI FVGNVDYSASPEEIQAHFQSCGSINRVTILLDKFTGQPKGYAYVEFTEPSLVAQALVL NESVFKGRNIKVVPKRTNIPGMSRGGRGGRGSFRGGGRGGYFGGRGGFPPRGGYRGGY RGRGRGGYAPY NEUTE1DRAFT_103996 MAGAILDRERTYPKTQRGPLRGQAVVGLGNQCAVRGAGGTILRA GSWSVRTLTWAVELLTGRIKLACSPLNCGSFSKNLRCSVLLHACASKG NEUTE1DRAFT_49936 MPIEIDKILAAAPATARGQATQLSCDPKGERIVYASGKSIFVRT IDEPSKSLQYTGHTTTTTVARFSPSGFWVASGDVSGKVRVWDAVGAENTKGEYSIISG RINDIAWDGDSQRVIAVGDGRERFGHAFTADSGNSVGEVTGHSKVVNAVSIRQQRPLR AATVSDDGSMCFLHGAPFKFADKASEHKGFVTGTAFSPDGATLVTVGADRKIQLYDGK TGAPTKTIGEGVHTGGIYGISWASDSKHFVTSSADQTVRVWDAESGKNTETWRFGPEG SVSIPDQQVGVVWPHGRTDGLIISLNLNGDLNYLVPGNPKPIRVVQGHNKNITALGAS PDGKALLTGSFEGRVLSWDLAAGTGTVVDGQSHSNQVTQFALPPSGGTVYSVGWDDTL RSVDVSANTFTGLSTKLSAQPKGVATTSDGKFVAVAAHTGIQVYQGPDLISELPTTFT PTAIAASPTDASVIAVGGDGNTVRIHSLSSSDGTLSSQPVAVLTNSSAQISTLSFSRD GKFLAAGNSTGKIVVYRTSGSGSWEVETDRWSAHTARVLSIAWNEAGTHAVSGGLDTN VCVWSLAKPGSRTSAPNAHKDGVNGVAWTEEGTVVSTGVDGAVKVWKVSGLP NEUTE1DRAFT_140851 MNELEKVENYHATHLNSDATQINSPTHDVDIEKGHFSGSHGTSG STTPQVAHSATGQNEKENDSDSASSSSQTEDDIGFAPISTHQTQPPHQPRPMSRQSHN TTFSHISRSRSNNGFGVDDLEDEAEKEEEGADAEAAAARSGAGETIKDEEEKRFEVGW EGGDSDPLNPRSMPTWRKWIIIAVLSTGSFAVTHASAIYTATYTQMNAEFHCSRIVAT LGLSFFVLGIAMGPFWSPLAEFYGRRPIYICSFAGFLIWLIPSAVAKNIQTMIIARFF QGLAGSAFLSVSGGTVGDLFSREQFQLPMAIFALSPFIGPATGPLVGGLINTFTTWRW TYYFLIIWAGVLLVCVMVLVPETYHPVLLKRKAVNLRKTTGDNRYHAPIERTTKSVAS TLGNSLLRPFQLLLREPMCLILDVYSAVLLGVLYLFFGAFPLVFRTNHGFNLWQVGLT FMGLGVSMVLAGCITPVWTRVRNGLAEKLFKKTGIMKGEPEDQLPPVIVGAPLITGGL FMFGFSTYPWVHWIVPIIGSSIFGLGMSFAFTGIFTFLVDAYPQYAASALATNALVRC SFAAAFPLFGIQMYEKLGFQWATALLAFLTLAMMPFPYLFFVFGKRIRARSKFATRS NEUTE1DRAFT_140852 MRGPPDSNVVAYKRLYVGVKYLGWYMRYVGSFQGKMYGVGSEAP DCPSLVGSP NEUTE1DRAFT_69322 MPDDVGPAEAEVSGAVSESDNEYDETEVTTKDDDDEKMAERSVA SEGVETNGDQKKKYDPKDPLRPRRKKARRACYACQRAHLTCGDERPCQRCIKRGLAEA CQDGVRKKAKYLHDAPPEALRPVLGPNYNPAAAVSVRNGHRHPSNAGSDAGSSVGTFY SQSTQYPVFSSAATQLGSIPENLPFPQQSPVSPTFQASGNPQLGSIAVNSVSSPMNSF PPALFDPSNPAIFNFNLEGLNFGSQYGAMEFGMLGHMSSGAAETPPRDPSIAQQGTSD VGFNPSGVFGNSLNQFEKVYDNNTGLISDFLTLDAHSNGLYSQGNLQHGLPHAYAIPA GPTSLQSPSTENNSPQPTGFGFESPTTTNYTGVPGAAGNQPGSQQPRAQKPKTPALGK LGPQSVLGKRQRDPSSIYEAVKEPFQYVASFHKLISLLQNRFSGASTISIVRSLASIR PSFMSCMKTLNRADLIFMEKSFQRALFEHEEFMHQSPSPAIACRRTGEIAAVNKEFTA LTGWTKDVLLGKTLNLNANMGGTNSDTLSISSKGGRGGIVGTTPRLKPLHPEQGTNAD SQQQQSQQHKEQPQPVFLAELMDEASVTQFYEDYAQLAFTHSRGTVVRKCRLLKYRTQ ENMDAAAAAAAAASAPTASGGSGSSNGTVVNGGPDSSPAGKTEKERPTGVNVASNSIL SNRVAKIDGEHGISKLERDGKLECSYTWTIKRDVFDIPMIIMINFLPCYYRSHNQLAV NEUTE1DRAFT_148856 MVLQGRDIALAAIAAFISWGYAVHWFPAIRWANYAFAAGVLVTL VGLLALLMLTSRGPHHRRLRPSPRPHGAAFVAPGSWSKEVAALRARQTSTKAPLYPES PKVSAALDEVLGYVIRDFIQVWYSSISKNPVFTDEVDKAIRGAILTVRDRLAQLDRAT ILTTRLVPILTAHFRDVYEAEKSVRGRKLNRSVTETDELDLAIASKYRDGKLHPAATL SFSDTKTTQQDYLRKVMVRILPKILPKSMLASGAVSAIVREITACAVMFPIMRMLSDP DTWNQLMENYGRSMLQDRSTVRKLRAALDQHASPAPRVNKPVAFPRMIPGDNERKFEK FIRAIRKTNNLSDARRFRSEVAIQIKRDSQQENVDQIYLRRLEMGKRLLDQKVHHLAA GGDRRALPPQTTALPTPTVSRLENASLTDVLRDPSSLSYFMEYMDRQRLMPLVQFWLV VDGFRNPLEDDGPEGEQLPLQLPPWTDSDRLDLAQIEAAYLSRPELKVPDSSKRTIQE FLRAGRRASPEQYYKARRAVLRAQSAVLEEMKAKHFGNFKKSDLFYKALASEEASKSM VAPVSGSSTPGPSVARASSFTSKPNPQLRMALRLPPGARNRGAGSSLDLRAGTQSIDA LMGTRRSTEDDSSITPMFDDDDLGEDGLADSVQSLEQEPAPPVPDTRVVQAMEQALNN ILEDSQPPTAEDLRASLFGDDGNNSSLFSSSTKSGPTRAMSATPRSNHGSVDLGRPSR GSADMPRPMTAKEPEKPSLASLGLVSAASRIGVFIDDDLFADENRFLSDEHEYSDGNK DDDEIDEVHEAAPGDLGLAEAITVLSNDIDRLVAQDAVVDSLLKKAELTNNTAELRIL RKSKASLQREIRRKELQRQQYVIQESDNSLYGRSTIKIKNIHVGREEDGKEFAMYAIE VLRNAGEKMPAATWTVTRRYSEFLELHQKLRSQYPSVRNLDFPRRRMVMKLQNDFLQK RRVALEKYLNQLLLLPDVCHSRELRTFLSQSAIMPATATQGQTSSLEDSKKDMMTRLY DSVADGMEDILGNIPVLDQLSLAGQNLLAAATNQQLAAASAAGPGGDDVVTAAEAEAE LNAFELTNDKELEPFVKPICDIFLEVFELNRGNNWLRGRAVVVVLHQLLGGTIERKLR DNVRMLVAEDALLRYINLVKDGMWPGGELSSNKPPRTAQEKARTKTEASLMLATLVPD LAASVVGRLNAQAASRRIFATFNNERLNAHLAFTFLDEIIDILFGEIQGRKQQPGG NEUTE1DRAFT_148857 MSEPKNKRQKREDYRAALRANGVTELPRKKFYRQRAHANPFSDH SLIYPPTPEQMDWASLYPHYAVEEPIAQKTETTESEETTGQELSAPAIRKLTKQVEVA DIGCGFGGLLIALAPVLPETLVLGLEIRVSVTQFVEDRIKALRVQNEEQKLYRNIAVL RANTMKFMPNFFNKGQLNKIFICFPDPHFKARKHKQRIVSTTLNSEYAYVLRPGGIVY TITDVPDLHEWMVGHFNAHPAFERVSVEEQEADPLVEIMRNETEEGKKVTRHNGQKHV ALFRRLEDPQWPEDVSA NEUTE1DRAFT_118234 MASARQVNIAIIGAGGVGKCFLSQLESLAARRQNQKLVLTYIAT SKKAVYSDSYSPIALDSVVSTLSSSSQAPLALPKLVDYLAASGTKTVLVDNTSSQDIA DAYPSFLRRGISIVTPNKKAFSGSYKLWQDIFAAKEEGGSLLYHEASCGAGLPVISTI KDLVETGDEVTKIEGVFSGTMSFLFNSFAPTSGSGGGKWSTEVAKAKELGYTEPDPRD DLNGLDVARKLTILARYAGLEVESPTSFPVQSLIPKQLESVASGDEFLARLPEFDAEM EEVKAEAQKEGKVVRFVGSIDVASKQVKVGLEKFDLSHPIAALKGSDNIISFYTKRYG SNPLIIQGAGAGGDVTAMGVTSDLLKVLAQL NEUTE1DRAFT_118235 MVEVFRLFYFQVCPESADPNRPTLVEQVGGETKVNQQSAAVQMD VEEGDVPL NEUTE1DRAFT_15619 QDPNLYGQPAPKKQKKELNLSGSLTFSSQLSSLLAASSASSTSS PASTTATTGRARPSKAGKDIFSGVKKKTKPGAKTVNEDRDGKLTLKEPVGTEDEKREL ERARRNMEHKARLYAAMQRGDYIGKEIGLVDFDRKWAEEQEKKTGHTGEVSSSSEDEE SEVEREELIEYTDDFGRTRLVTPAQKAKLERSRSSAAELTQMSARPAEPEQLIVGDTI QTEAFAAIVTADRLETINELAAKRDRSATPPPPTHYDANWEIRTKGVGFFQFSKDEKK REEEMRALEEERKKTEEKRREREEILEKRKREIEERRKEIKERGARKMADNFLEGLG NEUTE1DRAFT_148861 MTDFGNNTPEGFKLPEQTFSFDTLENHFLTPSDIGLASHNNAYT QTRYSSSDSVSGSGCGSTSDTTVSATVTTRRNKPIPRKGHTKSRRGCYTCKRRRVKCS EKLPECDNCTRIGLICEYPEPPNPSALSRLVTRSGTGQQARLANSSPAMILQQNPTSF APVFTMEDLRFFHHFLIAAYPPLPVQAHSVWDNVAALSHGYDYLVHAMLGLAASHLSL VTSSPSSFCSSRSSGSQAALSHRIKSISLLNRSLSTPCSSPAEGDARFASILILAFQA RCLPDGMTEFLSMIRLCSVIAGAGENSIFKDLAERGYLDSMRKMTAKTKAEELSRKGF MLEPEQERLVDGFLSSLGRLRVRILGTGGGDEDGEEEGGVGSGLDLGLGLSLVEEEQE RGRELPMRILEGLEDAAKTTKVSPAE NEUTE1DRAFT_124791 MANTGPGNVPLHFIQKPPFTVEDPNAQPIPGETIPRRHPKAKNG LATRPAPGVNTTLDLLTRTVELYGDERAIGSRKLIKLHKDIKKVPKVVDGETVMVDKE WQYFELTPYSYITYGEYFTIVKQIGAGLRKLGLEPKDKLHIFATTSPQWLGMSHAASS QSLTIVTAYDTLGESGVQHSLVQSKASAMFTDPHLLKTATNPLKEATSVKIVIYNNHT TQPVSQDKIDAFKAEHPDLTVLSFEELRALGEENPVPLTPPNPDDTYCIMYTSGSTGP PKGVPVSHAGFVAAVAGLYAVMEESVTHRDRVLAYLPLAHIFELVLENLGVFVGGTLG YSNARTLSDTSMRNCPGDMRAFKPTIMVGVPQVWETVKKGIEGKVNSAGALTKALFWG AYNIKSFLVSNNLPGKTIFDDLVFGQVRTMTGGELRFIVNGASGIAASTQHFMSMVVA PMLNGYGLTETCGNGALGSPMQWTSNAIGAMPAAVEMKLVSLPELNYHTDTVPPQGEI LFRGACVIKEYYENPEETAKAITPDGWFKSGDIGEIDANGHLRVIDRVKNLVKLQGGE YIALEKLEAVYRGAVFVHNIMVHGDNSAPRPIAVVVPNEKALTEKAKELGLGAEAPGE MHRNRKLRDAVLKELQSVGRRAGLSGMETVAGVVLVDDEWTPANGFVTATQKINRRAV KEKYSKEISDCLDGK NEUTE1DRAFT_148863 MGFFDLFRRKVTVQPEQKWDFISLNDFKSTSVFTPLAYAYLWVS IFISCAVYIVDIFTMVQLLAFQKWSSQVQPTSLIPIVVSKWIFTICIILSAVNLIYEH IRANRAMRSGSVAESFLDHLAAKLESLRIFGGKGWKRFLVFAALTESKKGAEYIALFC YFNFQSWIRVLFCSGPRQVVNAFTLYSFYNSNLRVDGQDFGTSMMDFFRKIEAFAEND YRQAATLSGMCFTLLIWIFSFLQLLLSGVLFVCFLWCYIPRADGGLTGFCERKVNKRL KQIVSAKINAAMAEEEEKRKKAQMKAAKKKGEDRPMTMKATLPNVGASIESRTEKLPD MPSLSRQDTMATLPAYTSRPSTPGGYELGSIEKRPMPPSRAGTFATQFSGASSTSLIG AAAEPARQRANDAPTVPKIDMYNIPPTRTATMLSQSSYGTASQSNFEPRPLGRAATGL SNYDTASSQSEFQPRPPIRTATGISNVSSVPSQQPSFQPRPLTRAATGMSNMGPGPQS QFQPNQPRPLTRAATGLSNTSAGPQSQADYQPPRAGTVPPQNNFRPGMQQPPPPRAGT APPRNEFGPPMQQPNSTFGPGYTDSPATYSTATMPAFQLPARAPTAPAMSYSNNNYRG AEPRPIERAYTGNAGNQSHYDNFSAGSQVPQTNNPYGGFSGHMSNGYDQDGYGGGYGQ DIYRQDNYGQQQGYMYDDNGHDNYGHDGYGYPAQNNSQMPPRLGSAPPPQTQPGYQPY IPQRTMTAPPEGQPYYHHQQKPSKSSLSSVAEVPAELPVETSSGQLPPARAGSVTSDY LEYMMDGSPSSRKTDAPPKTRNGSVSSQGSSGAANQETRSSGTHSRQGSAGSVSKAAS AVSNSTSSSRKGSVASSVSSSSSSHQRQGSVGSVASTASSRRDPETTTAHARQASNDS VAATPTPASREDYLANNTLVRQASNDAASSDHLGGNSDNDVRPESSASNSRATAPQQQ EEDEEDDYFGGINRALSQDQQQRQQPASSDREVDNDGEDLFGPTTFGNTFMRTNTAPI ALDSNSSDGDIRPIARTATAPPFLQHQQQPQQQQQQQQQQQQQQHQKQDEDEDYFSQP SYQSSYQPSYNNYNNNNVNNYDQSNNTININNNNSNYHNNNGWNEDIERGERIMDMRQ NQIPHNNGGGNGENGRYAGGGYGGQGRGGGDYDYDGSGYGGGRW NEUTE1DRAFT_104008 MSGMLPDPPSAQVVPRPAMIRDVDEGIDDDDEFGDPIPTGVALP QMVQNGCGSAAEDLRASADWNREDCGGRAQRTGTGPAIGKVMGSDEPGSTGQKWVCVL ATSQVMVDPIAIVVEVVQLGDGMKVKDQVKWERGDAQRRMAEDEILWMHRKQGSRLIF AHPVARNARNVRYQDERGQCEAEAASMCTPGNGLGATPSQP NEUTE1DRAFT_148864 MASSANALRLGLRTCSRQTQTSNLRIAAIQRRALSATATRCEGV PVPKREDDAKLEFTRPYNPGEFLSEKLRSDDLQDWERERYERALTSWEQTPDDLKRGW SSMIRDIEQAAAPLRRVVMPRRSTFWYEEEKDTDLITNEDGEDDFHENDIMSLGHGKL EEHREFREYARIAVWEMPLLSKYAKPFVPPTSEEVLRFRYTTYMGEFHPADRKVVVEF CPKDLRDLSEVQQRKLMKLAGPRYNPEKDIIKMSCEKFEHQAQNKRYLGDLIEKMIVA AK NEUTE1DRAFT_88029 MDHLTTTKHTTDTEATDTQQIAGQKRDASAITTVSSRESSTISG EGLEIRKESKGEGEAKRPKLGEEQTSSNRSDNNMTLIEETSMEGRQCFVTVGATAGFR PLLSEVIKPEFLNCLADSHFDLLKVQCGEDFEWFDEQVKSLPSSPVTIERFAFTENMT KHYIRSRGEKSVRMPGVVVAHAGSGTILEVLRLQVPLVVVPNPTLMDNHQAELAQELE STGDAVYGRLGKLTEAIARSLELVAQGPLKLKDLPPYSPPPFPVPDSERVTLFDWMTL TCYPDELRKQQHLQDLRNVEKSFQRESEQAKVRQTETVQDRRQRDLD NEUTE1DRAFT_69350 MASSFIIKEHKVEGQHIREYARATADSQEEVLYLSVKQYIPKSN PNPQPGDLTIIGAHANGFVKELYEPLWEDLLKALSARGIRVRSIFIADAAWQGQSGLI NESSLGNDPSWYDHARDLLCVVNALRREMPRPLVGIGHSFGGNTIASLSLLHPRLFSS LVLLDPVISKFAKRGPSYGFMPMKQSAYRRDVWPSRQAAAEGFRKNKFYQTWDPRCLE VLISHGLHQVSPEKEEVTLTTSKHQECFTYYRPKKQDQPVPDLPPDQAVDPNFQFYRA EAMMTTHFLPHLRPGVLWVFGSTSDVCPPESREEKLELTGTGWNGSGGAKAGRVEVRT VEGFGHLVPMEATTRCAEEAAEFIAKDLEKVWRKEDEEFRKVWKYKEGTEKRVLSKDF LELMGPPPGRKKTGKEEAKL NEUTE1DRAFT_88033 MAGENEKPGLLDGCSIYFVQSKSLSASLISEFSKLVHKHGAQLL EPTKDGKIPLEHATHIVSNTIDFEQYHDALQYMIPVVNSNWIKITLARNKVAQVRPYS PDPRMIFSNVILTCADIPESDKETIIGATMALGGMESKDLTRQTTHICALSMDHEKCQ EAQKKNPKCKIVLPHWFDDCLRLGRRISEAPYMLPDPEILRTGPDEDITIPPSEAVEG ATSVIPSAAMPGREREKIVVFAQKKVMISQDLPINARLRNILNNLIEQSDGEVVNDVD ACDMYVCQYRDGDEYIRAAQQGKDVGNLAWLYYLIVHNEWTRPTRRLLHYPIPRDGIP GFTGMKITLSNYGGDARVYLENLITAAGATYTKTMKADNTHLITARMNGEKCEAAKEW NIEIINHLWIEDSYAKCEVQTFSNPRYQHFPPRTNLGEIIGSTFFDEQRLREVYFPGG EEVLSTAAKKRRKANDAANKNVYTSNFAETDAGTASKSSPLGGKTASKPPRGTQNKQN IQTPAKTTRTTRGKENDTPSVMSSGSRSAKDKALSKLHQLAPDIALYEKEKKRTAKDG PWGGKRAADQIDRDRAAKESSPAEDGGEEDETEQKRPAKKARVSSSPEMRICLTGYKR WVNDKIREEADRRKLRSMGIQIVQDNVPCDYLAAPRMVRTMKFLRCLARGPEIISSDY VTACVEAGKVLPPKDYLLVDKESEDRFGVTLQTAISRARANRGRLLWGVPIFCTEEIK NGVQSYQTIAEANGAIFRVFRGRGGSVIKPTTPEEDGGAPPDPVYLLTSPSPAEKALW PKFEEMAKKGNMEPRIVVSDWLLDVAMKQELTFDPRYLAVRYFAENPPF NEUTE1DRAFT_118239 MATRPQNIGIKAIEIYFPSQYVEQSELEKFDGVSTGKYTIGLGQ TKMAFCDDREDIYSLALTAVSRLLKNYEIDTNTIGRLEVGTETLLDKSKSVKSVLMQL FGENTNIEGVDTINACYGGTNAFFNSVNWIESSAWDGRDAIVVAGDIALYAKGNARPT GGAGCVAMLVGPNAPIAVEPGLRGSYMAHAYDFYKPDLTSEYPYVDGHYSVNCYTEAL DGAYRAYNQREKLLTNGVNGHSEDSTKTPLDRFDYLAFHAPTCKLVQKSYARLLYHDY LANPESPVFADVPPEVRDMDYKKSLTDKVVEKTFMTLTKKRFQERVNPAIQVPTLCGN MYCGSVWGGLASIIGHVDSAQLEGKRIGLFSYGSGLAASFCSFRVTGSTEKLAKTLNL PARLAARRAVPPESYDAMCDLRKQAHLQKNYTPKGEVSTLEPGTYYLENVDDMFKRTY SIKA NEUTE1DRAFT_124799 MDTLLQHMAGQTVSCSDGTSSTVSNPAASTTGAPVTGQMVLLDM FFPGFSTFSAFVQKNLNIDLNVYIPLVLICGALTFAWQYFSDYCWDLIDRYMMSVVDV RTDDEIYNMLMGWVAAQKFAQGARRFVVNTNLNSRFWWLWRYDYDDEDEDGTEEDDHV QSTKGGKKALAYTPTFGSHWFWYKGRLLCFRRQQSRDMAGYSLSSEREEISIKCFGRD PWILKELLLEAREVYMKRDEAKTLIYRGTTKGSGSEPTWQRCMARTSRPFSTVILNEK VKKELIDDVTDYLNPATRRWYANRGIPYRRGYLLHGPPGTGKSSLSLALAGFFKMRIY IVSLSSIAANEENLASLFSELPRRCVVLLEDIDTAGLTHTREDGKVAAIDGGSDDMVP GQITAGDGTATTPTPSGRLSLSGLLNILDGVASQEGRVLIMTTNHLKKLDKALIRPGR VDMIVEFGRADKEMTAAIFRAIFAPLEGDEVDTPSDADSKDLFKTPSISTSPAGAAAA EARRELARDEATLKVVELADQFADKIPAHEFSPAEIQGFLLKHKRNAAAAVEGAEQWA VDTRKEKMEKDLREARERREKEEKAEAENKGTKKEEKGGKDKTETKKSKKSSKHNKVT SKKSSSKKSTRKAAISDADSSDDSTSSSSSSSSTKSSSSESESESEPERFKEKNSKRK HSKKEKTDITAPPPLLVKEDTIRVQVVPVQQEVQTIANYIAPGTEKTDDLAKAVVVVE DILVPQISVIEVNETRSTGSEDGKDSGYGTP NEUTE1DRAFT_104016 MRGMNGQVDIKKISGVEPLYTLVYGFMKSKDTLTARQQYSQHGE CTRREGIMVHIVPCHPMHVM NEUTE1DRAFT_104017 MRTAAIHNRGGQLSSRQLGTFRTCTMRHAAVSPGFQRFLFRCHR RPAIRAGASAMQFHPVLRCKGYWTVACDDELRAVEDFPFLTLETRPPLQYPCKAKSRL QATFHS NEUTE1DRAFT_132064 MSFRPSSRASASSTSSGSSIRTCREKRVPDCAAVGALVYFCGRN PRKVKAVRVYETTYLDNDTSGDLDCEMRSSASSSKRSRRSGLSWVSSDSNCRRVKQEF YFIESRTSGHGSGAGYEGGEQQQQQPAQVAAARQWKPPKSGSERESRSSKHSSKSGGS HRHSHGHGHRGRQGPPSATSEEEEDEGKYDDEEETDDGSRSVSNEINYQGGGPGGPGA SPSPFPPGPPGMVPPPPTSHPPTGGYPGQPMFNMGGPPPPPIPPMTPHGHGSFHHPPH ILTPGTHPPVMSGGGGGGGRGGGGGHFQQDGVGIQVFMPE NEUTE1DRAFT_49770 KSRIENLNDTTDNDKLVGCEEKGQEQQYKIAGDGDKYPDTNMDR TIARVTSFGKEQALPDWEEWCVFCLPARSSACFLQRRHGDDGDGDRETDQRTGLDWTF KLYVWFVIGHLVQSAPQSRRAGQRAGLKPGLKPALTVPRSDIKTLATPSGLHGACARP SWHLITDVEQLKGWVHSF NEUTE1DRAFT_148871 MDPSDSKLGLPERRRSRRTSVRHSLTLRTERMDEFPHPEDPALA SVADHDMRRSLPHDPSPVVVALGQELGDEGVGQGGRQSKARITTTLPTSTPILPAQPF SQAELRPAQESSSGANAGMAATTPLSTLATFSPELPQAPLRPPSPTKPASSAADPLAL RHDGATSPNLSSQHMGIDAAHSASVNGPIQPPGPSHVYEQYTRNLPFGPPLGLSSDPL PRGYPYHGPSDPSPYHIYPQDVHNAPQYGRVVTQQGEVPVVDYYGPYGLHEPLPPYTE RDNTGLGGSSSNSVDPSPAPVADSAPSSGPPNAFTAVVSSSAPDAAPASSPPLGPDPV EEVPEGRTIPTAQPTQAPLLSTQTLPTTQASPTTQTVATAQTGQTEETGPVAQSGQAE VLTGAGGLGLATRNPEFDSLDDLRAPRPRASTRSFNSTHSNDQIDREKAVLSEKETPF KRWMRKQWCFGVVPNWALVLSLCLLVIVGIVAGTVAGVLLSNHGKPPPKESHPKPSSG TTFPSNVVPIPTPTDLAPLPTGTWGIPMMLDKASSNCFKDPTQSKSWDCHFVPSGMYL SLEHSAQNGYTFTINCNHSLTMFNNVYSYGEQPALLVNPRALVLVNDTSEVNRGPAWF QAVPYMKTVILPHNSLNITTTEGTAKMRRGWGSKDFNKSKGNEAQPGDKPWICNWPQT TFELFIFAQQNSSWSVNTQSLPPPSVTSSVPASTDSTTMTVVVTKTETDHLVSPTASP FPSSTWPPDGYNHYITKSLGQHLRDGDVDYHPHRHRQFEADHHGQESFSSETPSSTSG PAPSFASGLLGTGPRGDGFAPPPPGYPRVIKLEERRYSDAPIPECTQFEILPYGQAAQ PVRDENGNMVVVTMYPPEATETSSPRSSRRSVKRFTDWDLDLDEEDDSPIWSPEVLRR GEGDGGLSYANGGAVSYGSQCNCEWFVT NEUTE1DRAFT_88044 MPPPRDSSPERDYRPRRQSAQRRSRNSSAVRNSDDNGERGERAG REPRRRSRRPEPGTSVPPITRGEPRGESSNGSSATLSAAALAQLNKQNAKRKASPKKE RARAKPPRVATVESEDEYRYAPSRRPRDSRDAARDDRTRPKPRMVPPDSDDEYQYLTA KKREERARKRAPAMESDDEYQRLTPHRRTDSDDKGYRVVDARPDKPKSYERLRPDNRA GEDRSRLRVASGPMLEQGIRARNVSGGMLEKGGFMARFQNLRGGHGGPSMSSSSGYES VDKMSDDIGSSPPKKKRKKLWIAVGIGVVIVIIIIIIAVTVSKKKSDDGDGKGSNDTP SQGLGDISPDDIPADAPTWLNPFKWADTTDFNLTYTAQTVGDLPVMGLFSQWDDSKAA NDQVPALNKPWGDYSKRPARGVNVGGWLSLEPFITPSLFAYDLRLGIVDEYTLCTHLG SRCESVFEKHYATFVTEQTFKEIADAGLDHVRIPFSYWAVQTYDGDPYVFRTSWRYLL RAIEWCRKYGLRVNLDLHGLPGSQNGWNHSGRQGYIGWLNGTDGDLNAKRSLEIHNRL SKFFAQDRYKNIISHYGLANEPKMTFLSVDAVLQWIEDAYALVRKNGVKDAIVVFGDG FRGLANWQGELQDLGDGAALDVHQYVIFNTNQIVYKHHDKIKYACEGWTEQTELSMDR SRGYGPTLVAEWSQADTDCAKYLTNVGWGNRWTGTLLTGSESSDVSTPRCPYTDSRCD CIAANAPPDQWSDDYKTFLKMFAEAQMYSFEKGWGWFYWLWDTEDAYQWSYKKGLAAG VLPEKAYQRDFNCDVSKIPSFDNLSEAF NEUTE1DRAFT_88048 MRGSTIRPKAQCLRSLSKGLTKRPSAGLRYVPVAATLAPSLPSQ RAYSTHPPHAKLNLPTDYSTTPLLAQTSQSALNNPELSPEVRNGPTKRMNMFQAVNDA LSIALAQDESVLIFGEDVAFGGVFRCTGKLADTYGADRVFNTPLCEQGIMGFAIGVAA EGMRPVAEIQFADYVYPAFDQLVNEAAKFRYRDGSCGRSAGGLTVRMPCGGVGHGGLY HTQSPESLFTHIPGLRVIMPRSPIQAKGLLLSAIRSNDPCVFMEPKILYRAAVEQVPT GSYTLPLSKAEVLKEGKDVTIISYGQPLYKCMDALQKAEKDFGVSVELIDLRTVYPWD KETVFQSVRKTGRCIVVHESMVNAGIGAEVAAAIQEDSETFVRLEAPVARVAGWSIHT PLMFEALNIPDVARIYANIKKVLNF NEUTE1DRAFT_48330 MASHKEIVSSFVEGAPPGELSDIKALTSSTPNLLNELGPAFQKY NEEQFATVKLPGGSQPVIISSHSSLEDGRYYDVESSSSFAYDHITQKASDVQSHVLEG EQTDLVKSTVKGLSAYVKEHFPNAAYGAYPIENDSKIAVVIVANKYSPNNFWNGRWRS LYIYDPSNNSIEGSIKVDVHYYEDGNVRLLTNKTVTATVSSGTGSGIAKEISVNEKKY QEELNKSFTSLSEGAFKGLRRQLPVTRQKIEWDKVASYRLGQDIGGGSSRR NEUTE1DRAFT_118240 MSAEFTYQDVAEHNTKKDLYVVIHDKVYDITKFVDEHPGGEEVL LDVAGQDSTEAFEDVGHSDEAREALEPLLVGTLKRQAGDPKPKAPLPSSLAPAAQTGT ATGLGIGLYAVLVLGGLAGFAAYQYLQAQQGATAPSA NEUTE1DRAFT_49195 MSSSLERQQASFMSSMSAASSKLAGTKRALAPPSPSPSVGSVAS AVAAGNGTPRKAADRVDTPAHNIVYSQPAATGTGLNIITQVTYAIAWLRGKDEPRTYL EILGHLSGLHWSPSYQEEFVEAMRRTREIQWIPDPNLSEQTWQSGTYLHRPKVPGVRN KTQLLAYLQKKTDASCVGVKELKDGWPDCEKAINELEAEHKILVIRVKKEGSPRYVWL DDPSLFHEVDPELKVMWQKVEVPGTDTIVQRLKAASQKPASEDPRDKMTAAPKAEKKK RAQRRTGKATNTHMEHLLKDYSHMKR NEUTE1DRAFT_124806 MSTSAPTSTAIIGSTGLVGSHILSTLLTSPTTSSQVQTISRRAP ANPTNSSRLSPTVNAATSTWPTLLSSLVPLPTTVISSLGTTRVAAGGITNQWKIDHDL NVDLAKAAQQAGVKNFVFISSAGTRGALSTKVPYSQMKRGVEDTIQSLDFEHGIILRP GLILGEREKAQHAGQGLLYGLVRGLGRWVSLGVQDRFAQEAEVIARAAVKAAKMAEEG KAPGKWWVLEQDEIVKLGRDEWKE NEUTE1DRAFT_124807 MATPTPATCQSQFEQGVAIALHLWPALSLAVQNNWGGPDSADKR DWFAGAVVDLFPDLNKVLAPASEKQQQPSNTTKKSTDDHEEATEEPDQLDIETVLLQV MLDEFEVNVDDDSAFEVAEQILRVRLGCLKGKFDEVDALRRRFEGKGGNKQVVFKKAE DQDNDTDWDTDDDEEVDSDEEMGEAPALVPTTQQPKEKVEPEVDEDGFTKVVGKKRR NEUTE1DRAFT_148878 MDVAYDHIQEETLTDDNQASSSSDKTPAQPPTLNAEFQDAYRAF SNSPWGARIGGFLGNVVKQGESVYREAEKELSAVSQDATRGFSDLRTTLISRTRALSI ATTQAAASAAAAASSGVTAASSSSSSSFSASKDEQTTPTTVKDLSAEASLKQQQEDDS VLARLRGEAAKRLKDLQKAEDAADEALLRFGSNLRDFLKDAIKIAPPSEEEAAKRTEE GRNNNSVLFESKDAQGKRVIHTSRFDAQLHVIHTTLESFSKEPASGQEYDAWVKEFDV DQKTEAIAADLDKYPELRATMEKLVPDQVPYADFWKRYYFLRHGIETAEARRRDLLKA ASAEEEVGWDEDSSDEENTSEEVTSGDDKAAPATTKKPAARSSSTESSTTINPPSTGA AAQGSLKPSEPRKSNDEKSQADSEASYDVVGAASGVPSRAPNSPNEGRKADESSDEED WE NEUTE1DRAFT_88066 MTSTQNPLHNLVLTPQQQSLLFAALNSNKPINTQADLSGLSAMY NGTSAQGLDPMGFQHTPSFGYDYGLDGQHDPNFDFNFDTGDQSHMTDDRSNAPSDSKS GSADVESPDKRSHPDDDEEENSEPKRREGEGKVAKKPGRKPLTTEPSSKRKAQNRAAQ RAFRERKEKHLKDLETKVEELKKISETANHENEILRKKMEKMSNELNEYKKRLSLMTA RPPMVSPRAMPFGNSFVNNLNDVNFQFEFPKFGGPLPGPQSANQTKKGTPVSPPLSST GRLEQPTNGVSTGTTPNYGQIGLDTQTKEDLAHYSADLFTPQSARGEGANFSTRGTDS QYHAGAGTSTSSPSSCASNMGGASSSCGTSPEPLTHSPVGLKSVDTMATIGEEQPGVI GSGQPDFNTFGVDNTLNWLPQDNFQFDPQLFGDYREPQNNVLNNGFDDWLTNDAFDAD FLAPYNLPPTAPGLLPPQPEAESQPPKKDLIAQIDEVNASDDGVREQLDCNKIWDKLR SCSSVQNQEIDMDALCSDLQKKAKCSGYGAVVDEKEFKSVLKKHLSPEAMARCEKDCE EEKARKAAATL NEUTE1DRAFT_118241 MSSPDTTTSDISNASMPAQDKEEHEHESSSHQRPTGAGVNTGAG SSRPKTEAELEADRLYEERMEEEYAKRDGGA NEUTE1DRAFT_69393 MDKTALFLQLVSKLPLMARVALLHMLQASPASKYQDLRTELTIA MLRSFMDVPKPRSITFTQKMLSRVPPIRGTIWVSKYTLPIPTEEDARAIHDTLSKVID GLQDRDQPKTARIQVPDVLPVEAEWTAHRPGVPKDAKLPDIPEREKYDEMMKDVKAPT TILYFHGGAYWLMDPATHRPTCRELAKRTGGRCYSVRYRLAPQNPFPAAVMDALVSYL GLLYPPPEAFHEPVKPEHIVIAGDSAGGNLSLALLQLIMQLQRSGTTVFWLGHERSIP LPAGVAVNSPWIEITHSSPSCVTNGAFDYLPGLEAQDKAEKLRAPCSAWPANPPRMSM YVADDYIMHPLVSLLLAPSWRGAPPTYICTGWEMLSDEDKFTAARFHAEGVSVTFEEY EGMPHCFAMVLKNLKEADRCMEGWSRFIARVTNSTASEGGVDGADDKRSAMRSTFTTI KSGTLEEKELDPMTLSPYTEEEIREKMRRRIGLKCVPATEGDLAKL NEUTE1DRAFT_118243 MQKQYVSRATDLTCIPFVANISFGFGRDEGATRTLAQEERDDGW GQCLVPSKHCPNK NEUTE1DRAFT_69396 MAIREDLVASAAQFLQDPSVAASPIEKRIAFLQAKNLTQEEVSA ALARAESGGPPPPYAQSPAYAQSAGPVAAQGGSPQYYGYPPYAWPQSNTDGARRDWRD LFILATVVGGASYGLYNLGKRYVYPLIAPPTPERLEQDKKSIDEQFDKTFQLVEQLAK DTEILKASEQERTERLDNALEELETVVRDLKAANRRRDDEAQRVRDDVQNLRDSIPRA LNNQKDLTDNRLRELNTELKSLKTLISQRMNPTATSTSVNNYLRPNTNGNTTPSSAPA TPALGGENGDSNSRAASAQDEQSRYQQEQQFVQAAAPKPSPFSSGIASAGKPTIPAWQ LAMANKTASASTVGVTNGTTNDGSSSNGGDEAA NEUTE1DRAFT_88077 MSQDSNAGLWSVRRPRETLHGINLTSGIPAPPSTLKRQSIIGTI GTGHGRSLSGRQSLALPRPSQPLFQRSSDIGLGSVKRASVHNMNTSQFKPYGTPGSSG IPRSSQELDRRSSVYRPSARPSAVGGGPLAGGHMSFFQQAPQPAGVPRDPRPLKDRQF QNRIGQELLEYLAKNNFEMEMNHKLSDNFTKSPTQKDFNYLFQWLYHRIDPSYRFQKN IDQEVPPLLKQLRYPYEKSITKSQIAAVGGQNWSTFLGLLHWMMQLAQMLEGYARHQY DDACMEAGVDIAGDQIIFDFLTSAYQDWLNMDDEAGDEDVERALQPHVQGMAEAFERS NAKYIQELEILEGENVRLLKEIQELEKSTPDPAILDNHFKIMEEDKIKFEEYNTLAMQ RTEKYENRIQVLREELGKLHVELKEAEEERRQMQKAVDDQGISMQDIDRMTSERERLQ RSIESASQRLEDVKKKVAEREMEASQRLDELERLVEKYNTVAYQIGLIPATAVNAKGK NLELQVTVNEGTPNFASSMQGVLGPNGQPLGGVENERLLADSTTGYQPAHILNLDLRG QVKNTFLALRKEVSERRKVAMDVMMEDHDLLDRAKEAIEDKRNEVEALGHRVRAAEEE YEKTKEVTNAQKMASDAQIEKMEKELAKMRAQLSESVQLMEQREMNTNIEYEQLTLRA NALREELHTETMRMLNDVIKFKMHVQRSLEEYETFVADELEQELGIDDQEMEDEDTPM ADV NEUTE1DRAFT_148884 MSTTPPAPSDSGTPNNSNPKTTAGTLLPNDTFPFPREFFFPPFF TRQTNLTTHHAQLTKWSSLLLAYCRHHRLFRLSLNPDAIPFHNPRINRRLAPGDIRGV VGFLRKDGRAEYVLPLGQAKKDGTMTTTARGGGGGGGEAGEGGEAFIYWRTPEEWGSL IEAWVEETGQRGSVLTVYELREGEGTRGTEIWGMDGDVLVKALGTVVKRGKAQIFQSG EDSLGVKFF NEUTE1DRAFT_88083 MADSTEQSAAAQLLQQHLETASNAGSSHRVLVEEVEDEDLHKPV SSSETPAAPKPKPKTLDTQSRELFPELGGPKPKASVVAPIWGAKSNTNGSTNGAAPAN GTPQTSAPPSGVSTPIGSGRQGPGPHPLSIPGRNVETMLLDPPHILPRTQLKRPLPDI LKDLNRKARANVTMHALGNGQLRFDAVGPQEHATQALKDLVNQIGTKQNITVQIPSSA RAHIIGKGGYTIKAIQEKTGARIQLPKADESQPPADEDDDTMINVHIEGNAFSAAAAQ QEIDRIAGERAANVQTKLRNIPAEFYPFIAGPKNNLVHKLEEDMGVQIRVPPLQGRSL QPPSIPAPGQRPIFAPAPQDYNIQLAGERTAVQNARAAIERRAEELRRQLALEQLSIQ RGRHQFIIGDRGVPVDQFFEDTGCAIILPNDEDDDMVTIIGPQDHVQAGLEKAMDLAM NMQCSNIDISRFHRQAPGGAAAHARNVTRYLRQRKAIEQLERQHNVQVNTPFSNEGAL PWELYARDGKNAIRAQSEIKGLVDSHPPARIQAVQVDPFFHTYIRKDITPRVRQEYRV QLVVPEATEANAPVLLVYEGPSSPTSYEIPRGQPSQSEVSEMQKWLQDARQHILGLVN QQEEIIHASLDVPQKYHDKLRKFIRKEQDERRSQEKIPARVSNIGTTVNIKGPRSAVE NLASKCEAFIEQEKADEKERGFTLEFEFPQKFANHLIGKGGSNIRELREKFDVDIQVQ DGKVELKGPKAKAEAAKTHILALGRQLQDEATHILKIDPQFHRALIGAQGAQINRLQT RYKVLIFFPRTQKASNDDESVAEASDAGKPRRQQAADEVIVRGPKRGADEARDELLSL LQYLKDTSYTATVTVQQKQIPSLIGSGGAALDALRNETKAVIDIPSARDAADGLVEIQ IKGTKEAVAAAKKALEAKKAVFEDTVVKTIEVDRKYHRSLIGAGGSTIRDIVVKAGGS DDRREIARAVQFPKQDNSDNTIKIEGRTSVVDNIIQQIEAIVAERQNQVTEVIEVPVE KHRSLIGRGGDVKRGLESQFKVSIDVPRQGSGQTGIKIVGQSADVEKAKAHIQSLTKD QPGETLQVPRALHHSVSNNGQIFRRLKSDHHVTVDHAGHSIPAKPAAPASIRANGGSL PLITDDEESTADAHSWTVVDQTSTEEGEIPWVIRGSPENIEKAKKVIETAIEQAKKED AIGYLILPDPRTYRYVIGQGGAKVNQIRKQSGCKITVPRDQAKGEAIEVVGTKEGVEK AKDLILAAVKEGQNASRSPRE NEUTE1DRAFT_124817 MVVCTPAMWALVHPRTSCRSIVGKDHSRHMKRYQELGTLGYPSP SRTQPNHALTRRTSTSTLSLNMKQEPSTYTENALSRCKPLQTSHRNYMDQVDRLHFAH SLSSFTFIILSTSASQCILETD NEUTE1DRAFT_104037 MTKKTTTWYRVNVRDETAVGEHPGVHIRLENGRTTCFPSPPWRR NMICIFASGVSVLNASVSEKFRYTVRCRWLPLTLSSRRETNLARDEVLGDYHASCNLL VRDPLFISIEAGFCQVSGRPAKMHGARHGKKGAGDERCPVNKVQSPRQKKGHPWHRAT AVVEYARFPLFFDRRVTENGKNSYSELLLRHCAPHDETCHRVQIPSADKVLEKERRRN LVSPRRHTHTSFELCNQRILIAVLRSVLSNSFDAGYLPFKACFAVNLAVS NEUTE1DRAFT_104038 MADWCRVCAALLLLLLLLLLLLLRLPSSESPLPDRADVNSRAFQ VPTLDWPGILENESACNLCGFLFDSTVCTSIYIQHSHNLCLPASITAGTTPPPTHSEV NEUTE1DRAFT_88085 MDGQLSLLSPTSSSSTSHSRKRLTKKQRPPSANHRTSSSFNVES LRIDAQSLDSKRSASSLRQGPNCNQSPSLARTVSVPVSVPSNAPVHTKASNLSLPRSH TTRSQSANRPYNPTQTIPTNRFILSPASSSQPQSQSPPYPSAVASTTVTSSRNKDTSK QYDPLDSCIGSFDQNKLSTDELIGAPFDGNAILSRIEATKLSAAPAPTSTTTIAHSNN ISPRRVAPPPPPPALSRSNTDSKAARSSKPSKSPKSTISNKTMGASSFRHSASFSSAE QLPPSEKPSKSESSSSSNKRHSGDGKESRVPGMLRKKSGFSGFMNSLVGSPKKPLISA PENPVHVTHVGYDSNTGQFTGLPKEWQRLISESGITEKDRREHPQILVDVLTFYKETT EKPQEDQQLEKFHDARATDFRSPPVTGTAPLVLQTGVGYAHGPMSPMISPPASPRFPQ VGHEGSFENPRAPPPVPKGPGPLPAKDINLIPSRPAPKPPAISTRPLVPPASLPAKDS GIGMPPPGDEAPMPYLPPKDNVQHMYQEEHRSRSRSNSRTNGAAPFSPVQASPLHPIA AANQTAAYQQQLLQHQQEQAMAQAQAAMSGQLSRAASKRQQPTPPTSQHQHPRQPDIN GAPRMPQTQGAAPQASARPRHRPRQSNAIDVVASLKRICSDGDPREIYRGFTKIGQGA SGGVYTGHERGSNRLVAIKQMNLEQQPKKDLIINEILVMKESSHPNIVNFIDSYLCAG ELWVVMEYMEGGSLTDVVTFNIMTEGQIASVCRETLRGLQHLHSKGVIHRDIKSDNIL LSMEGNIKLTDFGFCATINEAQSKRTTMVGTPYWMAPEVVTRKEYGRKVDIWSLGIMA IEMIEGEPPYLTESPLRALWLIATNGTPHIKDEQSLSPVFRDFLYFALKVDPEKRASA HDLLRHDFMNKCVDLSTLAPLVRAAREARAQEKARKGQ NEUTE1DRAFT_88088 MAAATRSVRMASRLTFSRPSALFAQKASVAQRAAFSVSTRNLKS EVVKETEVPVSIYSGDAKGAASSNTGDHFSIPVKPRQQVAESEPDDHVQPLEDKVYRQ MPRTLQKMSVMGKVIIITGGARGLGNYMARACAEAGAKAIVIFDANQDLGDESAAELH QKTGLPVSFFKVDVRDGSAINAAVQNVVDLYGAPDVLVNSAGIADSNIPAEKYDAAMF RRLIDINLNGSFLMSQAVGRAMMAAGKPGSIILVASMSGTIVNYPQEQSCYNASKAGV IQLGKSLAAEWAKYNIRVNCISPGYMDTALNKVPALDAQKKIWKSLTPQERLGAVDDL NGLCVFLASDASSFMTGSNVIIDGGYTLY NEUTE1DRAFT_124821 MPEHTVDRTPEYEKFIEDLRVFHEKRGTNFDPEPKMGNLTVDLL KLFKHIVEHGGYDKVSDEKLMWRKMCEGLGLMRHNAPADAYTLKQIFYKNLAAYEIKT VHNKEPPPPEILEFTTAKGGSLLTRTLENFTARSLKGDKEDSGDDGTPSRERSTVDTP NSARASRGLREAPAPRVIFHPDTNSTRQTRHAGSAQQQSAANPSSNSNPQNNQQPPSH SQSHSSTPIPSHGPPHAHQNRGSASVMYNPGPDHSHHLVQSYQPAPLQPMQLRIVDTP TSNPELFNKRRRLLRQPGPSGAPGALSTSALVRAALPPGSLDGPNIYERCLLALRSGI RAEQAFGLNHLVKISFERGDKYKFAQFSGLAEGLTEFALGVGSLFYNIEWVISNDPDV DDGDIGELDGINGTSDILERIAELKRKDVQDNFQPSEFTDHMTLITEAVLTIRNMVML PDNAWFMADYPPIKDLLCILLHLPDMDLTVELKHFALDIAEQMTPNLVLESDDPLYQT LLGQLKSNDRGTILTALRAIGRISMNHATETNKLHNVPVSVLQNLMDWLLLNDDELVD ACLDFLYQYTAVVPNLDTLIKGTNVEHLVTHLVRLLSHGAKRHHKDIVVSEARIVYDA PSEQVVSIPPDLLERLLAMEEPERTHQWVRCTFEEDPDAQVTQIAIWQAYNAAFLEPL KRTGRSMINAAEFIRNISSVYHTAGAQVFREQGPTGEVQSRFIIRGIRPRAFPISPEN RGYLGCQWTQQPTSDEQQQQQQQQQVVCGAWHLTTESMWNHILTHHLGEAPGTDNKFS NKEGTYSCHWDSCRRYPAPTKLKLMQLMMHIKTHLKAEEARHNALYPTLQPPPTPVAG GATEPFPGGGAGGMTTPGGGPVQVASSPKRSRTRVIKPAGTISLTFEETASVRDERNP NMPPQAAGIPLSAVLILRNIARNVVKTDAEERLRKQSRKRLRTAGEDDGGDDSEGKEE EEGASALVSKSSSSLYPGLVALSDAGWNERLFRPVMPRLWEVFCDNRLLAPYITSLFK LLEPVE NEUTE1DRAFT_132082 MAPTKSKKPKKQEQAVVTIGPVLRRFELEDVFINQRHNNFKDPQ LVLKSQLDRSRGTPYNAGINSQIPTCHHQLMIHIKDKADELVKLELEDFTPAAKAMGP PSQCTAACSPSERAFMFWYPVGPERASLLFSMKSTSDFEDVVNEMKRWEVTMASSRHG FGHGYWIRREQQGLPSIAQVNPLTVAKSSTRRLRRYITNARPGLLIDPNNPHLVHSVS ITNQLTKSSQSIQHLRQPLYLQPPQEWAPGRLLQRSASTIAGSGMLEEGVYKISKLKS NPIDRIRMRRLPSSTAGEVAPTLPTQLKIARQTSGPSSSTVNVTRGPTVEQLRRRSRV RLSQFSKHYMAGLTEATRIWNEHMRRGKEESDLVSDLEEKVRIWMRHARVCYRDLHKV EAATKRRMRGQPVGTGTLRRLPKPGVGSHFPPNVPFASGRPMIQSTPQSIMIPPAPAG TFPLATPSPILSSRSAVFSSLRPRSHGHFELPKQFSSLTATTQSSPSTTGIWGESVSA SGFL NEUTE1DRAFT_49310 MPENYPVQKSANEWRAVLSPQQFRILREKGTEPAGTGKFDKHYP SAGVYTCAGCHAPLYKASHKFNSGCGWPAYFDSIPGAVTRHEDRTFGMTRTEIVCSNC GGHLGHVFKGEGFNTPTDERHCVNSISLSFSEEDSAAEKKGDGERK NEUTE1DRAFT_88097 MSRRPPNPAAERAAKNQQTIKSLLKLEANKVCADCKRNKHPRWA SWNLGVFICIRCSGIHRGMGTHISRVKSVDLDAWTDEQLQSVLNWGNARANKYWEAKL AQGHVPSESKIENFIRTKYELKRWVMDGPMPDPSTLDAEGDDDVPLSVVKEKQTLERN GSLRSQSSTPTAASRSVPAPIPAPAPVPDLIGADPIPVRASTTGPTASKVPPKAEPAP PKATSSNASLLGLDFFGTETAAPPRPASTTGTSGGTNQSRPDLKQSILSLYASAPRPQ QQAAPSHSHSGSFSGMSGMSSPSMSQTQNAFSGLNDAFNSLSFNSQPSPKPAQTDAFA SLGSFGSPRPSSQTSNNAFSGLSGGSFFDSKPATSSHQSKQSISSPLGFSSTPSPAPA SKPAPASSALGDLFDFSTTATTTTAAPPSAAAPKPSSDFSSAFNLSKPAATTPAPAAA TPTTPNPLASIATSNAWSDAGVWGSNEWASAPEPPKPAAPEPPKPAPVTSIPLSNDFG WGNSTTTTSTTGGSFASQPIVPSASGGFAPAPKVAADEEFGGWMSSTTTPAAPSGSKP ATGFGGSDDLFSNVWQ NEUTE1DRAFT_48325 MSANYERERQNNARLDELASKVSALRGVTIDIYDQARNHEVIDQ TTDTFSSMGSQLKGSATRLGRMAASGNKVAILKLSGILIGSFLVIYYLYRLFVG NEUTE1DRAFT_88100 MGINNPLPSSLSSECKKCGKILASFIEPKQAFGPDKVIPPSVLA NAKGLAILTVIKAGFLGSARFGSGLVVARLPDGSWSAPTAIATGGAGFGGQIGFELTD FVFILNDASAVKTFAQAGSLTLGGNVSVAAGPVGRNAEAAGAASLRSVAGIFSYSKTK GLFAGVSLEGSMIIERKDANAKLYGRQVSAKELLSGAERPPPQAAPLLSILNSRIFAG MSSGSFDDRMYNDQPVYDNTHDRVVWGDRTGNAYGEGQTRDSGYGASGGSGGQAHDEF GRPKRASTWQDDVYDQQPRGFSNNRASVQYGRNDTNGGGFGGGYGAAAAQQAAADKKL GPGRPAAPKPNYASKQALMKKNEAVALYTFNADQPGDLGFQKGEIITILKKTDSDNDW WTGMIGTRHGTFPSNYVKMIE NEUTE1DRAFT_148892 MSFTNFFTSVTGKHAYTYFDIRLESDFIVFRGNEHESAGQLLQG TVVLCLPAPLKIEDVHLRLTGTLHMSWNDPRVTAAGISNHKIDRTSTIYSHRWKPFVG VGAENQSIGSPNGLMSRGVTLPAGNYEWPFELMLPGDMTESVEGLREASLTYKLKATV ARGKLAYDLHAYKRLRIIRTLDPSTLEFLHTMSVENIWPNKIEYSIMIPKKAVVFGST IPLQTRFTPLLKGLELGEITIRLLEVHEFIIQSHSGYGVREHKKEREISVWKIPIERE KHWQDVIEDTGQEGWVMNTGLDLPRTLGKCVQDVNAHGIKVRHKLKVVLALHNPDGHI SELRATLPVSIFISPNMPLDEEGNLVRQMPNGTTPGDVVAAPPPSYDEHTLDQLYEDM EPTGLQTPAGMASPLYGHSRAGSVENLAALLHSHSTAVPPAALTSRLQNVSLESSSRS TSWHDGDSETATPNNGPADSVHSSAFPSAPLTRQNSGDNITAEAMSGYHTPEHLDFSG IMELSKVPSYHTAVKTPVRPIVYPEGTVLPDYVAATSASGSTTPGSPELGHSEAGDGA SKVEERSQSRPEPPRRKSARSRLAFTLSHIPHIHHSHSHHHGHSHSESEDRRRHSSMM QAN NEUTE1DRAFT_69423 MSANASAAPSRQTTMMSNASDDTAIPEFDPNSTAGILVQRLRAW KHAVAYLEDYVEAVEKIHHSQAKEYEKVLKTISHPLREGDHFSQHATNVTGVAAMFEV LRANTQGMINSNLETQKKLKETVLHDLKGLHHEIKDYSKRLSHSLNKGAKAVEKSRNT TQKHIELLSQHVASFPTSGAELNPADDPYVLRQGVIYRLHNQIQDENNHRTELITAQA QFMEFEVKVVKSIQKAMEDLNTLAGGHAEHVRGLYAQVLQTSQNIPPDFEWQEFRARS ADVLVNENEPPRELGHLLPLVPNADNPSTKALIEGSLDRKSRNKLSWGYNTGYYVVTP ARYLHEFKDNDSKCKDPQPELSIYLPDAIIGAPKDDRFNVKGKDKSKGIGSKLAGTSE LQFKAHSAAEAQKWFDVISNVAGALGPGVATPVTTPTPDTEDKKLEAATNNLTLNEAQ TLPPSPHETGVVASPINISPVDTKAAGAAAPPAAPPAAAVPEKGALPK NEUTE1DRAFT_88106 MFPFWATESRGNDKTLTLDASHPTSLDLAEPSVSSVSALPTASG LPTPISPASTHSLKRRRLSAVDTAFHGHGHSSKRIKTEQDGGLPSPTSTYAGGNEAAR PSKLAASGPNLERAKDVIQYQFGLEILMKHDELRLINQELAKCQIALEQLRRCHLIPY PVQCPTPSQMLDISSGKGPALQSKPGESVPKWAPPFGVVDGPYSRHYAKWLIPDPMFD GIQPEPVVETARARNAVAEGRTTRNSMSDAGGLGKQRSARGQGTQKLQALSSGYSHPK DKSLPCIVKRSDGMTVKLVCLDCHRWNFSSTQGFINHCRIAHRRDYKSHDEAALHCGQ PIDVDEIGGVAAEERKALPPIVPSVPNTTSPALVHPLARSEPLSEQQAYKALLSRINA SLELYHAGQLPDVKKIPTALPASSLVSSNAPDFVGSSDTPFLTKLMQKKKVGGNLSEK VKDAKTKTDWDAVLSRNDSEDTDQSNAAEGTSSSKKPDTSTARVSAVMRKPASSTIPP PPFSRISTSPVPLPTTSIGRAPAHLTGLPITATRQSNPPDHPETPIYEDDIDTELSPN TVASNNAPSLVSDDGEYDDSDDGSSSDTSDEIETRSVSDVAEINIEEDVHDVVVAPRA QICQHRKETTTDRTGTGKFKNDESRHVTFVTPVPPPKPNTKAKSRATGGNKRKQKS NEUTE1DRAFT_88109 MAAVDTMLHQASSSSPPRSASSSVSANQNKRRHVGIGDVPGNSS KKQRTTANSNIQQTASLQSNHPHQSNTTAGAVTPAFQPYESLLAKLRPRYEVKTMSVM PSTSIAKHVDKALQHLDRFSLWDQSVLPGVVLLSAKPVASSKLVTIAELVRRRIGEGE HKWFQYNVLTETEEEDAGGTEVVEDTVMDLTKDGDHDEEEEAFEMMGRASHDQQPTVF ERAIEPPNTRHNKYVSVFLSRIPIEELRTMRNVAVQSNEHHIEHLKKKRVGLVA NEUTE1DRAFT_88111 MSSQPLLQTAPGKRIALPTRVEPKVFFANERTFLSWLNFTVILG ALAIGMLNFGDRPAFISAFLFTGVAMATMVYALVTYHWRAKSIRLRGQAGFDDRFGPT FLALILLLAVVVNFVLRITYISQQP NEUTE1DRAFT_69435 MASPTNSPLPPKRLEQIATDVCNSTFASVEYYEHPKTAQWNEAI IQKMLKAVMSEATPQGATTPAYKFAVNSTIVQHVVPTSQLNKATSTSTSTGTGPEGES TSSEAAAPAASKKGQAGRRGMHSATAGYWNEKTDGMWSFKYDGEAKGLDVVIMLIWIA L NEUTE1DRAFT_118252 MIEVLYSTPPLLPGSALPFTREDHMRKEMSLMRSGKRVPRRSQT FQEYHIPQPPLFTLHTIQQLPEEDVVKQPVVPVKERSQPKPTEEKVTVRRRPALGPPR RSYSVTDRLPLPVPSAGKLLLDLPPELHMAIFDFLDPIDSTCLGLTNKHFYAIHRRMH GTVPLRTRREGPNELEWAWHLAGTVRRSAPSSPVEEKSEEESKKSLSLSQLRIRGQAY CRKCGVTRCQLHKHIQEWMGDNMEYCSITEKFGPIAPEGAKSYCYMSKPGDKTRCGRH FVRRSKVVLQ NEUTE1DRAFT_132093 MSPSLNSSTPQASGKRKRSSAAADSIANSKINTTHLDPVDAAIM NQDQIIQTESRDASAEEGDTTAPESSRTAAGHRKTDSATSSHPPSKRQRPNNDQLSEG PATTDAIEQAQAIDPGEPSDTTEASDHIAERVTRKSSRKATVGQNNGSEDGETGGYPI ISKGPAMAPPPIGKLTHPVGYKTNPPPMGRPVRVYADGVFDLFHLGHMRQLEQAKKAF PEVYLLVGVTGDEDTHKRKGLTVLSGKERAETVRHCKWVDEVIEDCPWIVTPEFLEEH KIDYVAHDDIPYGADEGDDIYAPIKAAGKFLVTQRTEGVSTTGIITKIVRDYEKYIAR QFKRGASRQELNVSWLKKNELDLKRHIQDLRDNIRSNWATTGQELSKELRQFWPSSRP QSPSPSGFPRLPPQLLAMAQNGGSSADVSNATSPSPLGPASGSAQAGPSNLAPKSPTQ AAAQAAGNVNDFITGYTLGLVGGVRSWMSRTRGPSQEDGSRAPSDDDSEEGDKKKSSE KRRSNVPAGSGAASASASTSRAQRRV NEUTE1DRAFT_69443 MASLKFIMDVNDDHTESHHINKKDVASDHPVNMGHPHRPPLHTQ LPSLPPTTLPPITLPRYDSNPGPSMPPRDISLAVTSSQTKSQGISWGSKGVNPVASSI PLAVHIRSTMRRRSTASNDSADHTPGYGSASTSSTGGNYPQSHTPLRPMRSQSHTSEL PMRLTPITGRVSRAKKGIPVHVCDICRPSKARRLHMSCVPSVGPAGSTSAETVTTSMT ILVHPLHRLNLYRHGRAKGHLAGYHQALPILAEEYYAMIQYQECSQSQIMSEPKIHIT TPLGLNTTRLYRPQTSIGRLNRLEYSRYNREWKHPFRTYLRQTPGVILLRRRPPQATQ QLQYLVRRYGQKEALLDSSYGMPGAPFPLEDIGMFDAMRAGLEGSADPSYSSDDVGYE NGNLVTLGLPISNGCEFTGATLPKSLMNPLHGAIPRYLAVYWEKVHPLLPIVHRASFE NAPEEVLRYAMAAMATQLLDDEEDRVKGSRLHDLAWREVKKTLQWNIQVMQAIFLCEY FARLRGQNAVIRPSRLFEDLYKRPQLEVSEQTYLQGAFNPQVLYHHPTMIDYTASPSD QQLSIHASWKNWVDAEAHRRLLAACFFLDGHVAIYQQQPQLGQSSVTSKLTHPPIPLM GSSLRLWEASSAAPWAKILAEDPSAGIPVFAPSPGTITPDFVSRQISIDRMIILVAEY LRIPCRQGLTAPSASARKFSMSEVDPQLHYTNSQFSPLQQESPCFQPDFLQPPDSRTR GSLDVETRLGSLFPGCPIASTYLALHHTPLRDLLAVSGESWLFTKKVLSAAAFGIHQR CLKAWAENYHYRQGGDANVPTDMANLSVVKATKYAARSIVQFLSPVSPGVSRYAPVKG AATEDRSSFWGKDISDYWALYVCALIFWAFGHRARSIPTISSTIAAATDVQQQQQQQQ QHHSAKRQRTGDIRASFTSRHGSSAAADQRAMSWLRMLGADNVTEEDIIRARSRPESM SVVSLVRRRLEVDCIGGKNMLYVEAVAVLKRLEEDGERNDKPWF NEUTE1DRAFT_88125 MASKIDGTALAKRIRDGLRATIEETKKTNPRFRPCLKIIQVGDR SDSSTYVRMKLKAAEECGIEAELIKFDENATEAELVAKVVQLNNDSTVHGMLVQLPLP KHISEYAVTSAVADEKDVDGFGTLNIGELAKRGGHPYFIPCTPKGVMALLAEAGVELK GKNAVVLGRSDIVGSPVSYLLKNADATVTVCHSKTADLQQYLKEADVVVAAIGQPNFV KGEWLKKGAVVIDVGTNYVPDDTKKSGVRLVGDVEFESASQVASAITPVPGGVGPMTV AMLLQNVVDATSFYFENQKKRGSIPLPLKLAEPVPSDIDISRAQVPKPITQIATEIGL APSELEPYGAYKAKVDLSILKRLEHRRNGRYVVVTGITPTPLGEGKSTTTMGLAQALG AHVGRLTFANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLL AAAIDTRMFHENTQKDGPLYKRLVPAKNGKREFPPIMFRRLKKLGIEKTNPDDLTEEE ISRFARLDIDPETITWRRVLDVNDRHLRGIVIGNAPTEKGHKRDTGFDISVASECMAI LALSTSLADMRERLGRMVVASSKSGDPVTADDLGVGGALTVLMRDAIKPNLMQTLEGT PVFVHAGPFANISIGNSSILADKMALKLAGTEPDEDYATKSGFVVTEAGFDFTMGGER FFNIKCRTSGLMPDVVVVVATIRALKVHGGGPPISPGAPLNPVYKEENVEILRAGCVN LAKHIQNARSYGVPVVVAINKFSTDTQAEIDVVREEAIRAGAEDAVLANHWAEGGKGA VDLAQAVISASEKSTPETQKLLYEVEGNTVQERIEKIAREMYGAASVEFSELAQKKVD TYTRQGFGNLPICIAKTQYSLSHDPELKGAPTGFTVPIRDVRMAAGAGYLYALAADIQ TIPGLPTAPGYFNVDVDTETGEIQGLF NEUTE1DRAFT_69448 MRPILLSGHERALTQIKYNRDGDLLFSVSKDQQICVWFAHNGER LGTYHGHQGAIWTIDVDPTSTILASGSADNTIRLWEIKTGRLLKTWDFPTAVKRVEFS EDGSKLLGVTEKRMGHLGTIVVLDIKLDVDAEQSDEKAMTIVCEDSKATVAGWSYLSK YIIAGHEDGSVSQFDGKNGDLLYNIPIHELNQPITDLQWSHDRTYFITASKDKTSKLI TAKDLEVLKTYPADTPLNSATITRKKDFVILGGGQAAMDVTTTSARQGKFEARFYHKI FESEIGRVRGHFGPLNTVAADPTGKSYASGGEDGYVRIHHFDKGYFDFMYEVERERQN KLNQQQQQTISA NEUTE1DRAFT_148903 MAPRSRQPVNDDDASMPDAPETSNAPASEMEVDETPDYTDSDTN PNTTESSVAGEPVDGRRKRSEANQLRRSIFGKKHDRLGESKEDDSLRRFRYLLGLTDL FRHFIETNPNPKVREIMAEIDRQNAEDAKSKKGAGRQGGATSERRRRTEAEEDAELLK DEKVGGSAETVFRESPAFIQGTMRDYQIAGLNWLISLHENGISGILADEMGLGKTLQT ISFLGYLRHIMDTSGPHLVIVPKSTLDNWKREFAKWTPEVNVLVLQGAKEERQQLIQD RLVDENFDVCITSYEMILREKAHLKKFAWEYIIIDEAHRIKNEESSLAQVIRVFNSRN RLLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSGQDRDQDTVVQQLHRVLRP FLLRRVKSDVEKSLLPKKEVNVYIGMSEMQVKWYQKILEKDIDAVNGAGGKRESKTRL LNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLVYNAGKMLVLDKLLKRLQKQGSRVLI FSQMSRLLDILEDYCVFRQYKYCRIDGGTAHEDRIAAIDEYNKPDSDKFVFLLTTRAG GLGINLTSADIVILYDSDWNPQADLQAMDRAHRIGQTKQVVVYRFVTDNAIEEKVLER AAQKLRLDQLVIQQGRAQIAAKAAANKDELLSMIQHGAEKVFQSKGGFGALPENGAEL GDDDIDAILAAGENRTKELNAKYEKLGLDDLQKFTSESAYEWNGEDFAARKKDIGINW INPAKRERKEQIYSIDKYYKQALQTGGRTADTKPKAPRAPKQVPVHDYQFYPLRLREL QDRETAYYRKEIGYKVPLPDGDDKTVEEREAGRALDQQEIDNATPLTEEEQAEKQKLA EQGFGDWNRRDFQQFINGSGRYGRNDYEGIAQEVDSKTPAEIKAYAKVFWQRYTEIAD YNKYLKVIEDGEERMRKIEHQRKMLRKKMSQYRVPLQQLKINYTVSTTNKKVYTEEED RFLLVMLDKYGVDSEGIYEKIRDEIRESPLFRFDWFFLSRTPIEISRRCNTLLTTIVK EFEDEPSNTTKAKANGVNGKLKREANDEDNDEDSVLGLAPAKKKTKANGVKNKALDNV KSASGSKATSAAPSRASSVASTESKATKGKAAPKGKKK NEUTE1DRAFT_132098 MTKTGKFHQPRVHVWETKVQVRPPKCTRLHPIQRVQTTPSLRFV STSNGSDPHAILQQKPLLALPTSAPKDIIAFLLTNHHRLISELCLFFVSLDHTIGYNH PNHPFGNEQDPTTSKQRCVCFTIQLFHQSAAMSADSNDLLEAFKAAALSVTKLYKTTG PAVAKARTEGYQDCLDDLLAFLDRRRLGLSDGEGWIIRSWATERLDGRDLPTVESDDD DKIEPALSPQAQSLDPIQEEDSMRDSPPAPAVFGNPQEEQQQPQQEYEQPQQEHQQQQ QRQPPSNPDDFQIVVPTQETFTFQSAHPFPHEEAMKLENLALSDAPRNRSVSTSTSSR NNRNRALRQSATRTLGRGAGQKRKVVDLAEFFRIDNFGPGNDKDPFNQNSKRSRHT NEUTE1DRAFT_118257 MPQSRDTIAYSSPPFVTSRQIFNPTHLASSARWSDKSRKFIHLT MIHESEHDLQTYPEAVPMSWRVKAPRNSINTKPC NEUTE1DRAFT_132099 MKAALLPLAAMFAVAAAQTTSVCAAAYIVETCLGTENSRLALCG RDDYGCKCAQYPNIIACYNNCPNDPRKASAMGDRDTWCALDKQYPSSTSKVAVATTSL NTAPAAAATTAATTAVSSSGSDNENTGDANTTATGAASSNTASAPSTSATGNAAGEVL VSTGGLLAAFAGVVAAVL NEUTE1DRAFT_118258 MECRSVCETGPPFLGRGRVQHNFHALRLHPHCVPNSAIHTPRGI RTQIYPKTQRHLTSAFSFFDAP NEUTE1DRAFT_88135 MFTFIFDTPVVPKPSSVRTTQLMQSLIQVTTENVPPKHEGPGPV PPDSLAAESIRSGGAFAQGNPSASAGGSASQNPRAKAPGTAHQSHPEAQQPKHENPEQ GAPAPTYIFHHGRNLPDPAGPHGKNIREVKEDEIDESWTEPASMPEPGSKEDPGRAAL KRMLVSTAPEVKMDTGKGSQEGGDVLPLETREAGFEALGGDAEA NEUTE1DRAFT_104064 MQKQFPFSGRPSTADTTTTLQTTPIHTSKYIDSIKILHIHDYAD YPLNHGAAVWSGSLPPSLDLPWSICLSEHVLRPHD NEUTE1DRAFT_88138 MNSTLSAPGKSLSAPQTSNFSQASSQAPPPVGFDSARRQGTGQT QGSSASQSAPRRNQGARRQHRDMRRPGAMRQQSRVNDDEDILAEMRAMRNASSRRGQT SITHLLNLNPPPRPVYDSTHSYSSRSYRRNPSYGVGSGYHSMDKARYVHANYRFVVSP GGNYTAQASDADEHLEWTDILQIVASTESQQTSCPICLSEPVAPRMAKCGHIFCLPCL IRFMNTMPNDDGRSHPEKKQNRWRKCPICDDTVYLNEVRPVRFYAGQESALPRPGEDV VLRLMARNAKSTLALPKEGAAEVLQSGDDIPWHFAANVLDYARIMKGTTGYMEEQYDQ EVDDLTKQEKEDELMFHEDNEWTQKAIRAVHTAKEKLKELDSSQTTALATSAAAGSQS RKRDEDLDFFFYSAPPHLYLSPLDIRILKTQFGSFSEFPTTLLPRVEHISTGNAVDDV MRKRAKYLGHLPRGCLISFLECNWTDIVTPEVLETFKEEIERRRKRNKDKIAQEERER LQAERLEAAAIRGARRQAGPLAEEGIIRYGNADADRPPVNMDDFIPLGAEAPSTTPPN PRTGFGTLSEMSTSPSAQRTVWGTPAIHGDEPEYMVPKNPVDDGWLKDDDVIDSLSTQ DIAFQMEAMGLENGAGSSSAAAAASAVGGAKAGGGAKGGKKKKQKITLMSTGGRRGL NEUTE1DRAFT_148908 MNRPHAAEEVYVTGTFDGWSKSEQLDKVGDHFEKTVMLPDFEEK VYYKVGDDSVWSSPQIAHNTPFVNSICARCAALYAGPAALQALCGVDGERLTSVAFVV DGRWTTDHTAPQEKDHEGNENNVLLPQNLIRTTDTSTQEPEQQAMSNENRKAEGSSNT GEPSFAETIMSALNTVTPDTTAQSVSNPLENKKNHGPPGGWVETPAADGDKTFSVSPL PATDGALNPIDLKPGEKIPDSISTQNVETHVTLDKESYEKSDRIPGVDFSTSPVATPI VATGAQTDFKVDPLPATEGALNPFKLAPGEKIPDDVRAADINTNVTLDKESYENSADR IPGLDAALPPISKNMIPESSLPITSNSDVFINTVTPVSTTAALAAQVPLDDCTVPEAV KKSQEKAHVEPGASGIAEEVKGKAAVEDELMHKVSKAPSTSEGTAGHGTEKAETDLSL ADAVAFAGNAAAAAVVGAATVAADKLPGVLESTANAAVNVGSKIPGAVQSASEALGTT ANTAKDTTSDVLGSAANVAASAGTQVQETAANTASSVQQTAADATSTVASSLPSVPDV TGHLPQAVQSILPTSLQPAFQNSQALPTSAEVERSLAAEKASRVEGISAAVPIEVKDS IEKAGESPEATTNAAAVLEKQAVEAELLREVKPTRAEPPAVAVSVPSEVRDSLQQADE SPEAAAYPAAVEEKKAVENELLKTVKPTESIPLAFASAVPGTVKDSITEAGKAPEAAA NADVVAEKTAVEAQLLQEVKPVEVVADKKAVEAELLHEVKPTEPVGESSTKATTNGTA ANGSTAAASKPAETPVTPTMPTTTEGFPPAANGNGKVTEQPTVTTGPETTTTTTLSTS TTPERKKKNRVSGFFSKLKHKFA NEUTE1DRAFT_124846 MVPPSPYISSGGGHAGTGGGGGGAAASSDGGHESPAMQAFFAMR QRKNEHMMKRSARNLLVRKVPGFKSAGHALLVTAYVVANVAVGFTNVDASSKANFASR FGCEAGIIVTKFQDRSEIAAIVAGFSFLTVVLSATILRRIRYELFYVVHISSWILAIV ALGIHRSDFAKKGIIVILLAASIWVLDRLIRITRVLYYALNNEATLYPLPDGGTKVIF KKTPARAVAGKHCFIWIPAVRKFETHPFTIHKTNSVEFTIKAQEGFTRDLHQHALANP GISVRASLDGPYGTFPDPMDYDKIVLIAGGGGATFTFGLVANLLERLHDEPDKNITFI WTVKKHENLAWFKEHLETLKNHAHSPKVEVSIYVTRSPVASLHHRHLPHIHGHSLLHH AQPRTSESSSGGSLPPLSGDISGRSGSPDTEKMPQLPLPTHSHQHRNHPSSTLSEKDL EDGGGGLPVVSHDGNAADNSTGTESHPHPHHAHGYEHAIRPGRPDMATLIRQAVVGIP SNQRVLVAACGPDGLMHVVRDTTARLIQRDGPGVELHCEQFGW NEUTE1DRAFT_113096 MAHLQGGSVSRGSAAARIESSRAINKSLIRCKILLECGSTADFT YDHCISQWQGEQCPRPTASVLSGSKVRTATALSHADHALDLASYYNQPQLVAKSQLFR GHCFRRMAQWDKAYWCYVRAASVREFAAEKGPEGLEASTKECQRRLGRRKECHYSSDS EEISSGYGSDDVVCRSGKEHISETPTALDTNIKDVQKLMGKEEEKGKHSLELDMDDND QHSRGDSISCDYPIQGIAGNVSGFASLRSRQGSEAADGTPTAMSFKEMMNCFFARVKS KDTAKNDAAWPATTLRDISEEQCKLQRREQRENNEVFGPGAILFSGLSRSSFFANQSA LQHQHDYSEVCCTCDNKSRQEDGQGKPTESECEPWWWVMQTGFYSLVYCVYDFATAVQ YLWLSPS NEUTE1DRAFT_96177 MVEILVRDSPAENGPPTALGSVIKRDGEVAAKGLQVIGLILKAK KGSRSFRARASLIPIEIVDGPYVTAQTSTASTTPRLPLLCCLRRGNEPGREVEKRRVS PDGEDGRVDAAEKVDKPALF NEUTE1DRAFT_124847 MIGTLWGPTFISAAVYLVLPHVMVIYGEQFSLIHEPIYCNIVFV ALDIFTLAFQSIGIALSATSDTELELTSLALFLGGYWYFRLKLSHRRFIFDPTFSMVY LSSYFRKFLLCVQAASVLLLVRAAIRLAAFTGGLASGLVQSQIVSLVLDDTLVLVAAV ILSVAPVGRAFGSSWAETAPFTLASDESDLPLRNWRPSTSQHRKRAISHPFPASPMIA QPSPRSYHSIYSQIPPPPLASPQDKRVYQRAPYEITPVQVVPYVPSEAGSPPLSGSMP ADTPRRTPREAQKLVDPDALWS NEUTE1DRAFT_124848 MPFQPRLNTDPTNWNPIVTLPASASSHIPSSCSPGRSPLPGLEQ STPRNNERYFGASSSCRRQSLDPRNKFAPKEYLGKEIVDDYAILRDQYETPENPIVLA HGLLGFAELKLAGSFLPSIHYWHGIKDALSRRKCEVITTTVPPSSSVEQRAAELARGI EARAQGKSVNIIAHSMGGLDARYMISQLKPAGVRVKSLVTVATPHHGSTFADYLMDEI GPERLPSLYNIWERITGFETGAFNQLTKRYMTEDFNPKTPDDPEVRYFSYGAMVHEKP PLLSPFRLSHGIIHGIEGPNDGLVSVQSSQWGTYKGTLSGVNHLDLINWSNRFRFTLQ RWMGRPPT NEUTE1DRAFT_118264 MDVNTRKSYVRSYCGCRCLKKESELENLLCMRGRIFDGSWTNVC KPGPPVDDRVSG NEUTE1DRAFT_88149 MWYPKIAAIVAAMCCGMATVHASTYKPARPPAVPMAVRTPYLNS WLQCEPECLLPDNWPRHWTTQTLGWQGLVAVDGKVFNWMGKAFQNPVVKQVSLEYTST KSIFVFDVDGKVNLTVTFLSPVFADDLSRQSQQFSYISAKAKSADGETHDVRLYMDVS GEWASGDLSQKITWAHDKTNKLDYHTFERTEQVEYGENGEIAAWGRWYFTTGSDSGAQ TNSYEVTVQENWPVFGFSHDLGNVGSSDIERLFTLGLIQDNVIHFAGINKTLDAVPGL WQSYFNHDDQRAIDAFYDDYQYATSHSSELDNRIQQDSVKVGGQNYATITTLSVRQSF GALQFGGTPSKPYIFLKEISSNSDIQTVDVIFPAYPILLYLNATLARYLLDPLFENQQ SGAYPNKWAEHDLGTFPVAKGYPSGNDEPMPLEECGNMVIMTLAYAERTGDSSYLEKH YPILSRWAEFLVEDSLIPSNQLSTDDFAGTLANQTNLAIKGIIGLKAMSRIANLTSSS DNWGAIADEYLEQWKVLAINNETDLPHTTLSYGDKNSYDKLLDLNFVDQSIFDMQSRF YQSIAMKYAVPLDTRHTWTKSDWMMFAAAVASPDTKALLINKLADWIGNTTTNRAMTD LYDAETGGYPQDGPTFVARPVVGGTFALLALPS NEUTE1DRAFT_118266 MAENAAKRIKTDGGALTIGTHNGHFHADEALAVHMLRQHVPKYT GARLVRTRDPALLETCDIVVDVGGEYEPARDRYDHHQRTFTTTFPDHQTKLSSAGLVY MHFGKGIISRSLPDAPAEDNDKVGLIWNKLYDSFVEALDAHDNGISVYDSDALKAAGI EKRFSNGGFTLGAMVGRFNGNWNDPVPSDPVAAQAEEDGRFEQASQRIGEEFDRALDY YTKAWLPARDVVETAYKARKEYDAEGRILVLKGQSAPWKDHLYTLEEEEGERVQKVLY VLYPEKPTPDAKWRVQCVPETGDSFQSRKPLPEAWRGFRDEKLDEITQIQGGIFVHAA GFIGGNKTFDGALAMAKKALE NEUTE1DRAFT_88157 MEVDPRGRTELSHRGGDDDSIDTRMADDKPTYRSWKKKYRKMRI TFDQKMHEGEELYKAEQKALATARRLAIQKDRLLDLLLDVNNSNQIPPEKRFDLSVKA PSNKEGLYLDIDRPSTPPGGIRPSKSYKELLQDVPHMRFSQAAECFPELLRDLEAGRD SPADPFQGQPHPPSFLTADDIDNYIWEIDQRLARKEATETGVSPPPALPTLAPIAQPN SAATNAKESGLLASRDFQLRNPTSVYNWLRKHAPKTFLQDTEAHGDDNDHGESRGTTS GRGGRAKGERGGTSGGRGGRGDSNLARTKRGGAKSAAVVVEAPEYLDEDNNLEAAVTP STTKGKRKRVVDDDPGYRPKGGSSRPTKKKRKSEGGGGEATPTSGTKRPRKSAGASVE ASKAND NEUTE1DRAFT_49798 MSPVTANLIRSSLRQASVYSRLNIATAPAFTRPAAPSLFVSNNR FRTMASEAFQKIKVKNPVVELDGDEMTRIIWQDIKEKFIYPFLDIDLKYYDLGLEYRD QTNDQVTIDAAEAIKKYSVGVKCATITPDEARVEEFKLKQMWLSPNGTIRNALGGTVF REPIVIPRVPRLVPGWKKPIIIGRHAFGDQYRAKDLVAPGPGKLQMVYTPEGGEPQVI DVFDYKNGGGVAQTQYNTDESIEGFAHASFKLALDKGLPLYMSTKNTILKKYDGRFKD IFQQIYDTQYKEAFEAKKIWYEHRLIDDMVAQMIKSSGGYIMALKNYDGDVQSDIVAQ GFGSLGLMTSVLITPDGKTFESEAAHGTVTRHYREHQKGNQTSTNPIASIFAWTRGLV QRGKLDNTPELIAFAEALEKACIDTVDIDGIMTKDLALACGKTGREDWVTTSEYMAAV ERRLKSTLKEKL NEUTE1DRAFT_104078 MKKKYRCNYMKCLRHQEAFARGAGLVADTCEERRDERVVALQQA SFEESKDQAAWVGVPYMFNTLRDTEEAVRQSMMSENNNERNQGAR NEUTE1DRAFT_88165 MAARKLAQEVDKCFKKVAEGVQEFEAIYEKIEQSNNPAQKDKLE DNLKREIKKLQRLRDQIKTWAASNDIKDKAPLLEHRRLIETQMEKFKAVEKAMKTKAY SKEGLSAAAKLDPKEQAKLEAGEFLSQMVDELEQQIETLEAESESIQATMKRGKGHGA KADRISEIERIIERHKWHQGKLELIRRSLENGGVETEQVNELEESIRYYVTDGMNEDF MDDEGIYDDLNLEEEEDAYGMNVDNDKGSSQDAQSIQDEPEPEPKPASVPAAKQRTPA DTVAASSIRRSSAQLKSPLPTLATVHNNTMPSISNTPASNVSMKPASLPTRPAEGLKY ASAAAAAAASDKSGVGIAPLPPPPTTNSSLPASQHVKTSAANSPSVATVQPVAQERIV NVVPPAVGGSVTNTPVPSKTEPAKNVSSRDKVSAPVPAATATTSKATPEPEAVKTQPK GRNSPHPCRSSGTNMCIVPQTNGASNGIKPIEEVEEEESIYHLPASLQDLVESYEVTK KCPASVDALATQRMHAAAVANKPSALDTELPRPYYPDVRYHTHNQFPQEPLAIFEDPR LYQRIDPDTLFYVFYYKQGTYQQYLAAKALKDQSWRFHKQYQTWFQRHEEPKSITEEF EQGTYRFFDYESTWMNRRKADFKFTYKFLEDEV NEUTE1DRAFT_124857 MEGSTPTTARIVIQRRGILVLAAVAPGDTVKEAEALASKVIKLK LWDDESGGRWKKSVQDIGGEVLCVSQFTLLASTKKGSKPDFHGALGPDEAKTLYDLFY KKVQEGYKAGKVKNGVFQAMMQVALVNDGPVTLEVSATPAAQQQQQQKKKKEDRKLNQ KQQKQPQQGAVENDQATADKVPGMTNQV NEUTE1DRAFT_69488 MSSTDDVKQARSRVFFDITIGGKAAGRIVFELYNDIVPKTAENF RALCTGEKGVGKLGKPLHYKGSTFHRVIKQFMIQGGDFTAGNGTGGESIYGAKFEDEN FQLKHDRPFLLSMANAGPGTNGSQFFVTTVPTPHLDGKHVVFGEVLSGKSVVRQIENL KTQGDKPTKDAVIADCGELSGDAAVSADTKTADAYGDEYEDFPEDEATDGQPLSASKI LKIATDCKDFGNMAFKAGDLPMALDKYQKGLRYLNEDPELDNEPADTKQKLDALRVSL NSNAALMNMKLSAWDECIRSADSALAVATISDKDRAKALYRRGYAQVRIKDEDSALTS LEEAKKLAPEDGAIVNELAAVKKAAAARMAKEKAAYKKFFS NEUTE1DRAFT_124859 MEGRHPVVLGSAGGSGSGRGDRGVGSQPSPGLQGPSDRDRNRTS SHDRTSVPVLADASAFSRDGGVTAPLSVPDRSVQNTAQDSATITVKAAVKATTLPFAV ESAPVLALAKMPASFSSLSLAPSPSPSPSPSPSPSPSFTATATTTFTPTDNGSSSGSG NDNFRPAARPAATVRAATPEPAEVTFDMSAPSGNANSAALPQRPGSFPSISPETSPRS MPGAAGPVMSKTAPAPIPVGSPSGPVTATPSSLPTRRQGMVFGEGLAGSLDSASSSPP SRPSLSNFRPRTHTLDSAFRQQLVTENRHRIGSVSSSGSVAVVDDLRPLPQPHFNSYG SPDTHHMAAAAGHKERKLSTARTRLTKKPAASQPPSPFSSPQPSVDSLPLPVPTRDAN NVLLLMKNLCGRMRGEAQYLKDMGGLWYGGICYIDEEKGSLVSDSGEGSSSSSISLLI PDLRGCRVLPLSRMERGGRPSLEITSPHLNLSIILCPSAVEEYDLWLAALLCWQQLRP SGTKNTMTRSGSSVALPPLRSEMQRRASSSGLREATVIKVGRVMLWDKGLATSPRAIV KRPSARDLQIPHMAWKRVSCILSDNGELKLMTEHDVSLLAMIELSQLSRLLFEAWFAL LRAFAVPDLYGLDPTSRGRVYEVTNLNSAPKGEVFRVEKTVKVRVTEARLRSRTFAQE GNLMERQNKSIADPDPAVGNYFAEVILDGEVRGRTSIKFNTKNPFWREDCEFTDLPPS LPYLSVVLKRIVGNPESFSHQLQATLGLPKTGQLSEALCGAVDIPLHLLERGKDHEQW LQVYDVRQQSIGTMLLKVHHEEVVVLQSREYQPLSEILHRFGSGLTTQIADVMPGNLR KLAEIFVNIFQVSGKATEWLVTLVEEEIDAIGSQTASKQSRFNQRLMSNDSIASTDKM WQTVQDMSRTLPGEASLLFRGNSLLTQAFEIYMRRLGKEYLEETLAEKIRDINELDLD CEVDPSRMQNGDDIQQHWAKLIELTSAVWRCIASSAHRLPSEIRHVLKYIRAVAEDRY GHFHRTATAVYTSVSGFLFLRFLCPAILNPKLFGLLRDHPKPRAQRTLTLIAKTIQAL ANLNISSKKETWMEPMTEFLQKQRQPFKDFADAVCAIPAESTSTSVPAPYSTPLTILG RLSPLAREGFPSLPYLVDHARNFAALAKLWAKVHPESDWDSSNCEGDLLHFHRLCTTL HQRAMHCFAQMETRRSAGETTSRPPDERLRLSDALDNLSYGDVLGLSAHGNATGIFWI DGGSIAPGSSGSEMDGPVIGGGSSSSSSISSRDIRNASSAIRQGSKSSEQLSSMSGGS VKGLRNGMQAPRKFLTGFIRKTRTASPEFGNGGKENGRERDRGAEREKDSKEKHPGKD ARASSGKSQGDRHHTAHQHWHEKGI NEUTE1DRAFT_69493 MKPVIESVDAISKLEHLSGVKIRPGENPYDALIQICNDHPQFLS ADFKELIVDPVLFRLERPSVQPGFRDPRHCLVVWARPPEHILKLASHLQTLLQKAAPN LWLMPTHRMHMTTLELAHSQTSDEIASLLSSIRPAIPLLTNLTFLHRVRLVRPMISYD LSAIAVSFVPAAEEHDGYTYHHLRRDLFEAVKKTGVEVRSRYVVPSAHITLGRFLDQE DHATPLARQSWIRGIEEINEWLETQVWDAVDGEFMGEWIVGQEKGLEVRDGTLWYGGG RTIMAGEGF NEUTE1DRAFT_118269 MFTCASRALPRRLEAGVEREVFPVTVLERHPFTSQTFVPLRADP QSRYLVVVAPSLSPSAQDQQLPVPSSRPPGTIANRELPGRGLPDLKGLRAFIATTDQA VTYGAGTWHSPMVALGPADKAIDFFVFQFANEVSVEDCQEVLFGPSTVTIRLQPQSRA SKL NEUTE1DRAFT_113111 MSGCPRIPRCRTCFSACLMDAGAKAKEAEAGIGRLSLITMNHHY TMSMGPEALPLPCRAWLRTRLPTTIESAPQRHLTGAKTTSWGHLPRKDQLIVITLALA RLSEPLVQTSLQWFNPDLPDSTIAGQAGILHDMLAVAVADLQFQISASFTAAQFLTAM LWGISEIIKEKKYQSRAFLPLPMTFNIGIIIGPILGGLLSDPAGTYPDRFGKINFFTH FPYAAPNILSATLDSGAMVRDRSLELEQKLWRCFSRGDTEAFYALLASTDSSAELELG SAIEERKSSVKPTYGGAGKHHQVRRRYTQRLPFRRIFTRNVSFTLVANFLLAFHVGTF NSLWFVFLTTPVYDPTKAAAPPNALAYNPMSAELFAAAKPKGRHCSLGGHGRSATLQV AGRTFVLPAQTILVNNCTPHPGVLGTVHGIGQSVSSFARTLGPMLCGFSYGLGLNIDI VGASWWGLSAVALLEFLASLSVWEGDGHEIWLEGDNEDDM NEUTE1DRAFT_140931 MRQCACDWRNNNPVSLKRRPGDEQTKKIEFKCLDGPLALRSNRE HQVAVRASTRIPPLLVGYCLRPPSTLTSTAHRLSALTRPLRCYLPHVPPSVPVSVSSP YSPYSPYSQPRCPAVLPVLASVPERSG NEUTE1DRAFT_132113 MARSPPAPTTGDNKPGTVKRKGTRSVSTLTPSQLARKRANDREA QRAIRARTKELIERLQRELEESRGRENRDAMVRELLQKNKALEHEVRALREALGIGNR PFPQSGYEVDGLQTSPSAVPGRGASIPQGSTDYGAPTSFGSSYLPTPEPCEAWPPVVP VSSVTVSSVGSSPSSSTGHPDEYAASHVPTSVPSSLMDSSVMGQATGISCLDGMKVNY EDIEADRGYCPTSVPQPQSSYLPQQSWSMYPTSTYYPQSPTV NEUTE1DRAFT_113113 MGARIRLGARRAGYCTSWVTLPLLCTTQKMAATVATRLVNDNET PPLTPNPTSVVEVSDAVTMSPAAEPPAVAITPSDPWPRPYYVEDGLRRVVPYHYTYNT WCKERWRGRKLMEIFEVEFRDRPAEYYRNAVETGLVVVNGKAVGPEHVLKNGDLISHT THRHEPPVTAEPIRVIHEDNDLIVINKPSGVPVHPAGRYNYNSVVEIIKSERGPGFLP RPCNRLDRLTSGIMFLAKSQHAAERLVAQISQRSVRKEYIARVVGRFPDGEVVCDQPI LQISPKLTLNRVRANGKAARTVFKRLAYYPPVDPPTKGQTEQPAMPDAEQAAQRRGEG EGYSIVRCLPVTGRTHQIRVHLQFLGHPIQNDPIYANQKVWGFDLGRNDADAARNSDE DIISRLSRMGKEDIADAVAYYDEMVDNYHRKKAEKMSGQLCDVCRTPLYTDPGEQELS LWLHSLRYEDADGAWSYVSPLPAWALPPSGMTGPTEVGGMDDLVSAVDASST NEUTE1DRAFT_49881 FQNFINNILYNTLNKFATIYLNNILIYLNNKEDYIKYISNILDR LKYSRL NEUTE1DRAFT_48320 RIISKEDEYSKYYNFNYIKNLRGRPYNTIPFANNLYTPQFIALI NIQPPPPPL NEUTE1DRAFT_49053 FIKKLKGGVRICVNYRGINNITFKSRYLLLLIKKILNVIYYIKI FIKFDIIAAFNYIRIK NEUTE1DRAFT_48620 VRAILRVLKRRNFVGKNGNFNIRCHQLNRPSFLVGVRTWRFLVR AGRLTLSKKAPLFRPRKTVG NEUTE1DRAFT_49041 PNIVRDVNRFVKNYIIYKVNKVPRNKILSNLYSILLSDKKWTSI VINYKKMPKNKYGFNNAPVIVDRLIKLL NEUTE1DRAFT_14510 FQSIVGSLIYIVFGTKLNIVFAILIISQYTINLVKAYFRTFKRI FKYLKGTQSL NEUTE1DRAFT_113114 MPGLRAPRTIPCMELVHLGKQLFCVRRGSCGQRYKSPSTRYAPL LDGDNYTVISDPAIRIMNNDNNNNDWEDVNDDDDDDNGKENLSRDYNDILPVGFNEEQ LRLDLEYTKRDFDNEPETVAFDEDFIDEDIYNEFYITEPIEVRNARYTTWGRWQTSAS DTIIALIVENLETLCGKSNAVTRYKGSQYSSTIIVAVVVAVVIYIS NEUTE1DRAFT_140941 MEPVCSGSSYIGEADARARVQFDKTATMAPFKDDIVATIRKANE ELIERF NEUTE1DRAFT_49002 GYSPFYEMSSNELEVVRKYILENLLKGFIEVISSLWAAFILFIK KVNGSLCFCIDY NEUTE1DRAFT_50216 INSIFSNYLYNFALIYINNILIFLFRLKKDYLTKVRKVIEQLII TRYYLDPKKYKFTIKSIKYLGFIIKNVGI NEUTE1DRAFT_140946 MAPIAVTPDSSTASPSGDSWNSYFHVRNGDSHNIISNNGHDHGE YALSAAVGNDHGSWTAEPGIGLSSPLPPPGHVHDMPIAIVGMACRMPGNVSTPAEFWE LCTRARSGWSETPKQRFNSARFHHPNQGKGGTLNPVGGNFLNVDPAAFDAPFFGLTEK EAISMDPQQRLLLECTFEALENAGIPKHTIAGKDVGVFVGGSFPEYESHLFRDPDTIP MHQATGCAYAMQSNRISHFFDLRGPSFTADTACSSSMVAIHLACQSLRTGESSAALVG GCHLNMLPEFWISFSTCRLLSDAGRSFSFDNRGTGFGRGEGCGMIVLKPLDQAIKDKD PIRAVIAATGLNQDGKTPGITVPHGPAQEDLIRKVYGRAGLDPNLCGFVEAHGTGTRV GDPIEATAIHNVLGQERSPRNPLWIGSVKSNIGHLEGASGIAGVIKAALMLERGFILP NHDFKQPNPRIPWKEWNLEIPVTQRPWPRGKKYISVNNFGFGGTNGHVVLTAAPFLRS RTSGSSHDDTLMASDKSGLGRKLYVATAHDKAGLSQQMKNIVVYLEQRPEIFQVDLTS NLAYTLGQRRSLLQWRAAIPAINSFELIEAINGEKAITGKETEPLRLGFIFTGQGAQW YAMGRELYEQYPTFSASILQADACLIALGAEWSLLEELGKDAKTSRISEAHISQPSCT SVQLALVNLLRGWGLRPTAVAGHSSGEIAAAYAAGIIDFESAMAIAYHRGRLIPILKT KYPELQGRMMAVGGSKKEFTTLIEGLQAKEVRIACYNSPSSLTISGDEPALAELERIC EKRQLFNRRLVVDVAYHSHHMNLVAKDYRASIANLQPPARTDVQFHSSLYGHPVDSTN LQPNYWVDNLTCPVRFSEALQSMLAPVGEHKHGVNMLVELGPHSGLQGPIKQVLKEVG GSAPKIPYASVLVRKRNAVETALELAAQLFTRGANLDFGAVNFPKTTKPPTLLTDLPQ YPWNHSTRYWQESRMTDMHKNAVGSRSDIIGTLASYSSDLEPTWRNIVRLDDLPWLRH HKIQSLIIYPISAFLAMAMEAAFQRAKSRHCSFDRFQMRDIAIKKPLAIPDNDIEVTI SLRPHQEGIFVSSETWDEFRVCSWSSGQGWTEHCVGLIATVKITDNDVVQGPQTDDGF EDHLHSVAPTIDNAQWASIDPQHMYETLNKLGVVYGPTFQGLDNINVCDTQSTGDLVA PDVAKEMPGGYLTEAVIQPAFLESLIGMYWPVLGAGSRQVDTIYLPSAIEYVTISRRI TQLANEPGNTLRAICKGEVYPGIHRPFKVHMVAAPAGSLENIIAIDGLTVSPVLNDDT REKNNTPRDLCYKLEWEHILEPEHMRNQLPVGAQIVIIHEDSNFQLLVALRLANALEQ ATGRLPEVGKLDTVDAKGKTCIFLNELLRPLLATLSPSQFSSLQKLLTGVEAILWVVK GAYDKCTEPEAYMVTGLSRSIRSETALRFATLDLDAASPLSEAKAAEMVFCVFKMAFG STSSSMSELEFMERKGSLFTPRITHDRETDQEVQTLTNPRALEPTAFGDNGRLLRLEI SAPGALHTLHFVDDHSLEVPLAIDQLEIEVKAVGLSFRDAMAAKGQIPITMSGAEASG IVVAVGSSISAFKVGDRVAALTQGAFATRTRATASLAIKVPGHISFEAAATLPLGYCV AYYSLVDVGRLAEGETVLIHQAAGAVGQAAICLAQMIGAEIFATVGSAEKKELLINEF GLPSDHVLYSRDTSFGPTIRRATYGKGVDVILNSLVGDGLRESWESLGKFGRLVDIGK RDAASKSRIEVSAAKRNASFVSVDIFALLAERPKVLKRVFADVARLLRYGKVRSASPL SVFPVSDIEGALKMQQSGSTMGKAVVALSGSDFVKAPPSRRLKDLLHADATYILVGGT GGLGRSIARWMAGKGAQNIVLVSRSASVTGKVKELAEDLSMLGVSVHVRRCNVVNETE VDELIRTGLQGLPPIRGIVLGTMVLHDVLFEKMTWTDYTEVIKGKVQGGWNFHRALLT SPLDFFVAISSAAGAVGNRGQAAYSAANCFLNSLVQYRLAHGLPASSLDLTAVSDSGY LAEDPEKAAEVARNLGSDTICEAEVLALLHAAITGKLTLACNNHTITGMRMTSTMRPF WTDDAKFKAMRMAAEDEAARNASLNMVVSFSATLKNAKSLTEAEDVVCKGLVEKISSV LMLDAEDVDITRSLSHFPLDSLVAIEIRNFITRDFEANLQVLELLSSGSIQTLAKAER IAN NEUTE1DRAFT_118271 MDCCGIICQVAAALQDAMLLPPHRPSAAYPAGLIDPTSRQTNHL DYLACDDLSFHTLSHGRHTARLAA NEUTE1DRAFT_140947 MRFLCLPGAYGSSDKFQVQLAPIVKELTEDGTATFHFIHGPCKA VPPEGFEAYFGKPPYYRFIEPDRDVEKTQDDVLARIRDFPHCESPEDTMRELMSEGVA TSHRSTDNALKHLIKIMDERGPFDAIIGYSEGATVAATLLLHEQRRFKKKGIKPMFKY AIFFAGWPPVDPDTHHMILSDESDVVIEIPTCHIIGSLDPYVHGSLALYNVCDPATAY LFDHAKGHTLPRDKETVKELANVVREVACLYGIHA NEUTE1DRAFT_104089 MAEEEFASGGEHPIPESLVSPPNPPSPASKAGMNPLLLKPECKT ALSDFIRIFSFSTWLDRVLVSAAVATSIGAGITMPLMNMIFGRMVGSFTGYYGADAST TYELFKNAISSCAWSLTLVTSSGLVLIIVVYAATTPFLVKHMNGVQYADLQASIVAKE VFSSIRMIAACGAQEKMAKRYVKWVDDSRHRGLKMSPIIALQQAPVQFSIYATFALSF WYSIRMYYELRFNSAETLVVCVTTILPAMFTAKYWTNLGYSTIVGDAGIKLSGGQRQR IAIARSIVKRPKILILDEATSSIDVRGEQVVQAALEKVSKDRTTIMIAHRLGTVKKAD NIIVLQKGQAIQQGTHSDLLAEEGGAYWALATSQKLVVDSTDPKELPSGLGSKVEDTE VNTPTVNSESPVITTDASVDDELITTSRLRKYMLLICEQKEHWKWYAVLVGSAIGGGG WSSSTIAFSIGFFDTDDHSVGTLTARLATDPSQLQEILGTNIAFVLISILNVAGCLII SFYYGWKLTIVTLCSSMPLIVAASFLRIRHEARFEKMNNEVFAESAKFATESITAFRT VSALTLEDTIIERYETLLREHVQHSFGTSSWFTLVFAVADSIALLCTAFVLWYGGSLM LKGEYWPFQYMIVYIAVLQVCLHCAYPASPMRPFILGQSSDDDSAGQGGLGAGQWLSY GPNIAKANVAADRILEMRAKGQENTTHTPLDRGSVGDKDSGVRVEFREVRFSQIDAVL LTPAKVEKDMFAAIVGPSGCGKTTVVSLLERFYAVNSGQILYNGTDISTVSLEDYRRD ISLVSQEPNLFDGTIKENILLGVNPDSTTEEQIQQACRDAEIHEFIMSLPDGYNTEIG SRGVTLSGGQKQRFAIARALIRNPRLLLLDEATSNLDGDTERAVQAVLERTRKNRTMI MVAHRLATIQNADIIFVLGDGRVLEKGKHAALLRMRGIYYQMCQAQALDR NEUTE1DRAFT_113118 MAGHTLSSLLLGIIAICLWAGHMPGAQAVPVPVTNSLDPRQSAA DKLVFAHFMIGIVGNRGSSADYDYDMQKAKAIGIDAFALNIGVDGYTDTQLGFAYESA ARNGMKVFISFDFNWWHPSTQATDVGRKIAQYASLPAQLKIDGKVFASSFIGDGLDVA QMRAAAGVDVFRAPNFNPSQTPNNGVVDSAFNWMAWPNNGANKAPQPGHSFSVADGDN AYKAWLGSKPYMAPISPWFSTHFGPEVSYSKNWVFPGDLLWYSRWNEILTLGPRYVEI ISWNDYGESHYIGPLDSKHTDDGNSKWVNDMPHEGWMELAKPFIAAYKAGASKPDAYI SQDQIIYWYRPTLKSLNCDATDTTMQDANNSSGNYFKGRPNGYETMEDAVFVVSLLKT AGQIKVTSGGNSAVTYRAPAGAYAQKIPMGVGKQRFELVRNGQSVLSGTSPRDISNTC PCGIYNFNAFVGQLPFKPFGSLDSQGLASLTVGLHVSTCQPTPTLIGTAPPPNDPQPT YPPAMWEPPSIPRTISTQPVTTAPPPSTPTSCNRDSEESPNLTGLCNFLCFKGWCPPG PCKCNSFGTPGWSDSNEAPGLPGSRSR NEUTE1DRAFT_88189 MPELPASLKQSVENSKCEYRRLGKSGLRVSVPIFGCMSFGDRRT LDWAIEEDEALPLLKAAYDRGLNTWDTANVYSNGTSEIIVGKALKKYAIPREKVVILT KCWGAVGETPDLRSFFFPSQIAASKDYVNQHGLSRAAILNAVEASLRRLDTPYIDLLQ IHRFDPSTPIEETMKALHDLVQSGRVRYIGASSMWATQFAQMQFCAEKNGWTKFISMQ NQYNLLYREEEREMIRFCNDTGVGLIPWAPLCRGHLARRPDQFGRTKRSQGEKEAQSG SHGTGEPDLTIINRVVEIADKHEWPISHVALAWIAKRVISPIIGFSSVERLEEAISAR GKVLTEEEEKYLEEMYKPKAINGHT NEUTE1DRAFT_124863 MPQDNSGDWTSRAQVEPHQLEGGHAVPYWDKSWWEEYRRARSIR ERNEIITKLVDQMPVKWDTLPQLPFWAPLFNVTQDQYKVDVGQRLMATSFLLGHQPLD REDIDLVAYGAAKRSVTNAYARPAIALGAGVCWAVGYNKIFGGWVADAYAETVFSATL MRNKAFKQKLLEAGQAMKANSITHERPELRDAYLVGHEPDHDHQEDQQQLPQHAQTPY YQRIQQHQQEQKQQHQMQQQQQTQQSTYSHDDDDYLFDDASPVAPAARGTGTVSSPVA QSSGSAWDRLRQQAMGAPAQAPGSTTAPTAAIYVKPSQSRSWAERRAAAVGGGEGGLS HSYLETDQERALAKDQAQREFDAMLERERRGDGSSRRRGL NEUTE1DRAFT_69511 MPVRGPIPVNLATRQAAEVLKVGPMTIRVYEDGSRTGDRISAIL LELPAGVSGPPMHWHRFHDELFFVVKGTCRFVTPDAEVDATAGDLMTVPPGAIHTFKN ASETEACEVYMTATPGHYVDYFRMLSKAGTEGKMLSKEEIEHLMALFGTFPPDIESEP NEUTE1DRAFT_69512 MSTDSAGSQASQAMLHMLDYITTHDHDHFEWDDHGREESEAWLR PVQDAGLSPQKHKVFNHANKEYLKPPQPFIQIGPELGESGSTIVYKVSPPDDQGYRRP LALKVIVCKENAHPPGPDSNVRKLALQEVRNMVAVRHPHIVVYVASFEDYCISNRQVR QRRAGDTGARAVFRRVDQQIKRHILGIAMYPPAQCNLRTLMLDIASAAAHSPRTAEEE NWMVQYLHSYFGCLSQAVSYLHKSTVRIRHKDIKPENVVIDDFGFPLLTDFGLSKHFE TGQHSQGPTAKTLKYADPEAMQETQRDERSDVFSLGCVFLEMVTVLLGKPPSYAEEQL ERMAGNADQVGEFKYTDALDNLQEYIDHLEQVAEDQLDALPSPSPDRPTPSTNLPPSM PKSQSFREREKTRQREREASLIGVIKVLRPIRRMMDRDYHVRPYARDLYPLFRHLYDI YESPGRCENCEEQMLTGRSRPPTRAPTMVGVAANGRQLPLQRTRSNSPTLAKSPTAGS FTIRRMRANSLYVVKQASHLPTDAVGIAYPHNGHKV NEUTE1DRAFT_113123 MPSKRKHQAHHGGMIDGLGGRCRFPWMVKIGTKPATGAGASLGP SVGPLGTMKPARRDEDGRAWVLATSGRTSWRGTGLTGIAGAPRGKNPPQKDDWGGARG GPTAAVMDERSEVRPEFNGGTSVGQQDKGQPRPSGNAREQSTKRAGSTSTFSNS NEUTE1DRAFT_124866 MSPSMWTMRWSHQVINEHHRHHLKSCSTISRVNNALKSIIPRTR PGDTDTDTPHEALPSTPLEPVPTRTTSVTMKLLNLNDGVEAGVMCVEPTLTNDGMDAR NLAKAHKGFSKNDIRSIGITNQRETTLVWDHETGEPLYNAVVWPDTRTKSLVRDLKAK DGADAIKDLCGLPLSTYPSSVKLLWLIENVEAVKQAYEEGRLAFGTVDTWLIYKLNGG AQAESPVHVTDSTNASRTMFMNIRTLQYDDKLLGFFGIDRTKVHLPKIVPSSDPECFG RIASGALSGVPIAGCLGDQSSALVGQCGFNPGQAKNTYGTGCFLLYNVGTEPVISQYG LLSTVAYDFGQGRAPVYALEGSIAVAGAGVTFLQNNLNFIENAKQINDLAESVPDNGG VVFVTAFSGLFAPYWIDDAKGTLFGITQHTQKGHIARATLEATCFQTKAILDAMEKDS GCKLKLLAVDGGLSNSDLTMQTQADISGIPVDRPAMCETTALGAAIAAGLATGVWSDT TELQEVNRTGRKVFEPKISRKCAEKRFKKWNQAVQMSRGWLQDNDNEDDECDEEVKKA NEUTE1DRAFT_88199 MKALILVGGFGTRLRPLTLTMPKPLVEFGNKRMILHQIEALAAA GVTDIVLAVNYRPEIMEKYLAEYEKQFGINITISIESEPLGTAGPLKLAEDVLRKDDT PFFVLNSDVTCEYPFKELAAFHKAHGDEGTIVVTKVEEPSKYGVVVHKPNHPSRIDRF VEKPVQFVGNRINAGLYIFNPSVIDRVELRPTSIEQETFPAMVRDGQLHSFDLEGFWM DIGQPKDFLTGTCLYLSSLTKKGSKELAPTTLPYIHGGNVLIDPSAKIGKNCRIGPNV TIGPNVVVGDGVRLQRCVLLEGSKVKDHAWVKSTIVGWNSTVGKWARLENVTVLGDDV TIGDEIYVNGGSILPHKTIKANVDVPAIIM NEUTE1DRAFT_104097 MLDQPRTDIAVLIPIELRFSSPHPARVLRDCPGVKFSNEVKGAS LGSVQGKFVDGTPTFKTGDFKGS NEUTE1DRAFT_96190 MAGFYMQYLESLCRRRGWHDPSYECYRDGSGFTCLVLVNGREYQ TDIPYQTHGLAQENAAMRAFMVCRNFSVNGGMLARNGIVQGLPADEAKHKSRRSSRPQ TSSHYTHGHRTRHSGDESSSSSTASVE NEUTE1DRAFT_104099 MACSVWVTSLRGSETWEGGETSGVGDLFGAGQHKGRKDGWRIKT AGSRDPERQQQAVGQQMGQGVEVVRNGCHGHGKGRYKEWTGAEIMEDVLRALPDELRD KSTRRRTGSDRPFLALTEVRVQVLSWRGSKGANRAGRDTSTEQKEGGERGRRKAGRTR AASHDGDTWSLSLATIGVERTGRKRRRRRRPGRRTQVITNVSVNVHFLSACPSLVVCE YRSISFRLSVTYLPPESALERSVHATMFDAVSIAKHMKVRMVGGQCSWIFNPCR NEUTE1DRAFT_88206 MVVRTKGARCRNRAIFIRKFPKNKKENMAASTTSSFPTSQEGVA TSSQQNLDAITDDDFEYVDEEFVLSHSAEQQAQPEPMIPDLFKQPSLVKDDLITATSQ LQDQTVEHCLPFLTGEDGPLDLNDYGLPKLNREKHIKFLKQSLGPLPGRFVAVDASRP WYLYWCLSGLTMMGEDVSSYRDSVIETARTMQNESGGFGGGHGQTSHLATTYAVILAI ALVGGEEAYDVIDKKAMWKWLCSLKQPDGGFQVCVGGEEDIRGAYIAAVIITLLDLPL DLTPESPAYDGRSNLLTGLAEYVRSCQTFEGGISSQPNNEAHGAYAFCALACLAILDN PRRIIPSYLDVPRLISWLSYRQYAPEGGFSGRTNKLVDGCYSHWAGGCFPLIEACLSP SGPGSEKNKTATGLAAAPESLYSREGLIRYILCCCQDQTKRGGLRDKPYKMSDPYHTN YVLSGLSSAQHQWDLDSDPLPLPNGDTTPSLAALGADSVWNVFPYIDENDQIYEDSDR VRPIHPAYAIPQKNVNAIRAYFQGRSGFASTLGGE NEUTE1DRAFT_104101 MALKEEKKPASALATENPGCGHCQNIEPNSTQKSSVRNEQETCV FVLGVACSMSLGYNLDGSEATWSNLSGSVCGCSPGLLPSLPVQVPRIRTNIRMLDDEP GPRKLWIQKPINVSEDHFVGIP NEUTE1DRAFT_49468 MGPIIHYSYLLRRGWIGGLWNMKKLLPKVSGSRTETEKNLGGSG EMASVEPCPSAGSDEWQAIPVKHGTN NEUTE1DRAFT_88208 MTYDFTAAGGDAVLLEQLAFDQQDHQRYYPIITSTQSPQNITST ALTTMSQSARQSLSPGATWLPADLSGGMSAPNSIHSPSSSMSPSSPEDQNCQSPGNSV MSSPLQQQQHSPLQHSPLQTGTHLFPDWTAFPMQTQQQQQQQQHQQHVSDMPQFISNE PLLALTNHFMPNFHQPSAFDYLPATTQAAIENTLHLEAPFNHAISPVEETSQQLQWST GTGTQMANWQDFEMAMNFNQHNELARMPMGSHSPTGSFLEVLSLHSGSDNGWATVDMP LFQDYNNFTQPQPAQNTAIFNPGQTLHLRTTSELSQQSDFTEFGSYEEVTFPYSPFST ESDGFMDMTNNRHGCCSGDHHHHSPQPTEISPSSAVAPVPIKAGSSRAVTANGSGSVS PPPRRITGPRKSPTAKPSKTIVRRTNSTGKKDGTGEKKVGRRRGPLLPEQRKQASEIR KLRACLRCKFLKKTCDKGEPCAGCQPSHARLWQVPCTRIDIKDIGYFMKDWKADYERH LGRGMSVFNVKGFAQKETLMWITHGYGFCLPVMVREVFVADESCFQVDWVESTLTDQE PIDFEIRTERLDVGHEGVSVEALSEYLDKHVDEGFEQFIDDHFEGTPFITELFKTAHR FYVKERLPVIRKALKLVVAYNLTLHITMVEQPPTEQPMEGQIDDEDSKYFGKVVAPVM INFQIKCAMADMWRELQKEVLEELSALYSSVYSGERLKNWPTIFMLAAILLAVWEEIQ FDCHYRVPDPIAVNKFCDDMESTPVGVIVGLFHAISQKLPAFTEWDTRRHGQLLNNNV AVCEAMTEVRQHVLKHESYLRTRQETKFDRYDFDSLSNKFLSKLVIRAN NEUTE1DRAFT_69530 MQIFVKTLTGKTITLEVESSDTIDNVKQKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KQKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKQKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKQKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGQ NEUTE1DRAFT_148933 MPVYRYHLKFELYPSPDPTHSAPAAAFHPDIWLPTKDSSIFDEL PAHPRTWQLKSLSSRPSSRRKQNRDIIQGEGRIEEQEKEGHSEKIPRALPESDLGLLN DSSDPEAEEGTETGGVIDCGASRPDCQNPEDNRNIVRLSGKQSRATSPPPRFTSGLGP RTAAKDWRFGRISIESLDLPRDGHLQRHAQTAAEDNNQKKGKGKDTHSTTAGTGKPVA AKNNKSAMAESLKDQGQQSQQEKNGNQHRASHGTPAASLGPNLGGMGQATKGRYIPLE TKNTEVGWGIVHLYREADESSALRAGVGAGSASGSNGTASSGDDGTILCITAVPMYMS PSDFLGFIGEKWRDDVSHYRMIMTSKLNRYMVLMKFRDPQRARDFRIQFDGRPFDSIE TEFCHVAYIQSITVESPGGQKGYSTTTSNAGGNGNPVDTLPSPSNTLNLRPFPPPTPN LIELPTCTVCLERMDDTAGLMTILCQHVFHCTCLQTWKGFGCPICRATNPKPTAEESN PENPYSRPFGSGPVSNLCSVCDEPSDLWICLICGNVGCGRYKGGHAKQHWKETAHSFS LEMETQHVWDYAGDMWVHRMIREKGQGKVVEFPSHHIHNNNTSSERNNTARAPAPAWS SQEETMAAVTGAPLPHPPVPEDQEVVPRAKLDSIGLEYTHLLTSQLESQRIYFEEMVA KAADKASKACAAADAASAASARAIRELTDFKEEHFRLKEEVASLERDLTRERKRADKS TELARNLSKQLQEEKRVGEGLMKRIKHMEKEAEKTQEELKMLRQQNEELKESNHDLSM FISAQEKLKSMEQEGQVTAEELEEGGTVLIPAGEGSSANGGQGGGKKKGKGKNQEQEE KMKEVVNKNENNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNN NDNNNNNNNNNNNNPKGINGISFLS NEUTE1DRAFT_88216 MSVPSAVPRTAPIAIAPKPPPRFPPSRQASINYSDSFSGFRSGI NTPDTDSLSGQPTSPCEACLRRRLECVMSDDEESCVACQTNGAECSLGESPPPRKRKL NGDAEESGSKRRKRRQNPPSLSSTVTTGMSLIEEMANFGGPTLLKRTLGLQSDRYSQY IGPTTDFEPSLINLSPFDPHDESLLARGTLRKVGDEDTFLMLPDSLTPGHAHIIEDVD EIESLVAPHGRKLIDLYFRIVHPGFPIVQKSVFYEKYDRSHREFSPPLLAAIYILAIN WWDHSEELASLPRPNVRELERLVRVTLADAMYRPKLSTIQAGLLLSQRPEGDQWAPTA QLVAIGQELGLHLDCSSWKIPPWERGLRKRLAWALYMQDKWGALAHGRPSHIFSSNWA VPVLTPHDFPDIDWEESDAEARIETERGRTLFCQMVQLSQILAEILETFYTLQATRAV ANAGPQGTQLVLSLAKPIQLKLKEWYSGLPDSVRMDSTFQSATMSQSNSNNNNNNNRL SSIGYLHLAYFATEITLHRRIIRSIDASCSSSSGSTIASLSASVNSTTSSNPSSTASN IDPYIQHICRSAAKARLISAMDFVNRLTPSHLRAFWYFASKTNFALIGTFGSLLWATS PGREEADWYRRRLGEYRWTLSVSSKPGEGHKGLTEFAMGMLDISTGLLKQLPEKPLLS RSGSAVNVGVGVNAEVMRSQSLLALGTGTGSAQRGGYGVGSPASSGFGRMGSMSGFNE SYVRGGPDRRYQQPARGDASGVQSPRSISSDSSDEGGYGNFSVTAGMAGLAD NEUTE1DRAFT_48408 MRRSHRKSRKGCLECKRRHIKCDETRPRCINCQTVERECSYPIL PGTDTGSDELSRSPADHLSVAATPPSSGAGSASASVASFSGGYGGSASTMPMPDVTPF PVPTGYQDSEPPSPNVNMVHMELLYHFTTDVFFTFAPFGDTARSLTMNHAFSEPYLMY QILALSARHLSVLRPHREAFYHHHAIQLQTHALTLFNSIDMAHFDACIEKRVPLFLFS SILGVHALCDTLSYRDAEFPATLTRFVGYLHLHRGIYGVLEGHMDEMRQSELKPIIEA GIRLYDTRGSGPECDEILRRLESRFSQENDDDKERLHGLRQAVHRVQFIFDAMSSRVT QVQMMLAWGTMLTKPVMGMLEEGKPEVLAVLAYYFVCLHLCRKAWIAGDSGRFLLDSL ARYMASLGPEWSEWIETPCRLLNEADEREARAGSDESGIGSQEHQPQQQQQRYQQYEH SSTRTTTITMPTRPKFTISHPSEASASLEAARIAQIHLLAMQTNPLLHAQFPTRKSQD ALECFLARDTQQKTGPLQTWAHRDEGIWVARLEGEDEIAGFIRWEYPPPNVDRGKGKS DEDKKKKLEEGEIKYLAGCRREYLEEYARLASEAKEKSGFMKKRCWHLTFVCIDPKYQ GRGAGSLLTRRLLELVEDALDAHGPLPVYLESTIEAAPMYERLGFEAVDGFEMEIPAL GMISGEDEGKAEGEKTTYREVCMCASTAEGAVNVNQPATEAQESQSRLRKYRVKTVL NEUTE1DRAFT_88222 MASKVLIFTGAPDSRALDWATPNLLVEFDEPIASFMGILQPREE QTIIPASAPTPAAWRSLSLVRERIPTGYTQQYDSSFRFNPAHGPEAVDQPDDFLTTAS ISSSFDESSVVHGDLESQFYEHSLTLHQHVRSSYLDATLLREAESQHSITSAMSEEDL DTASFLETTVHAVDGDENVKEPLTFRGGDRLTDLKDIPSAAYLVKAQPQTITCNLIVG IISISPPRSVSTRWGLDRSLVEVLVGDETKAGFTITFWLPPGCETVQGSMLEGLRHRD VVLVQNVALNVFNKKVYGSSLRKGLTKVHLLFRARLDATDVGGYYAPSDLSVARTTHL QLDKTRTVWDWVLRFVGPGPITTAGPASARRRSLRKRNNNKGALASAARPWDLPPPDT Q NEUTE1DRAFT_69544 MAPAPWDSVPPEDTLFALVTGANSGIGFAICQRLIDEYLVTRSL SSHLVVIPTTRSVKKSQETIDALRQHAREFATTSDILRSRAGPNYDPRSITKRIHILS VQLDLCNLADVHRAAEQLVNGTVSSPADANDAYFTSLTDVRIPRLDAVIFNAGMGGWT GLDWPKVFHNIFTKGLVQATTWPTFKAATAGHVVNPLPDTKDQKVPEMGQVFCANVFG HYLLAHKLVPLLSRSESDSTIPPGRIIWESSIEPGWNNLSLSDFQAIKTNAAYESTKR LTDVLSLTATLPSARPFVNSYLQPATKSNAPAAPPRIYLVHPGIVQTTLFPLNAFMFF WYRVVLYIARWLGSPWHPITGYNGAVAPVWLTLQEQEALDAVDAEHVKWGSSTDFWGE CRVLKTEVEGWGWDGTVGTRKELKNEKGERRIGRKIVGRKSGAVDLTEEKKVEFEMLG VECWKEMERLRGEWEARLGRVLGRQ NEUTE1DRAFT_48311 MKESPSNNNTNYNTNTTTTTMTTTMIGDEKRRWVGTTRSEVTYG SSGGRSDSEKKIGGGSSGNSGSWSASTTDSGSGSEISTTLGAGTGISITEGTVVNSAG EMAGGGGGGVGLGIDGAGDHDENEQRVERDCEGRPVVYKVYKRRWFGLVQLTLLNIIV SWDHQAKHLVYGIGAARPTQTGGGHLLTPATMLLGRLKLINTPNWLTFSPVASQAAAY FRTTGTTINWLSTAFLFAFAFITPLVIYVLHLGPKLSITTAAALLLIGNWVRYGGSHS SSPSGGHFGIVMFGQILIGLAQPFVLAAPARYSDLWFTNRGRVAATALTSLANPFGAA LGQLIVPFWVDKPSDISRMVLYVSIISSICSVPAFFIPSRPPTPAAPSSATPKLPLRI SAAILLGHAEFWLLFVPFAVYVGTFNSISSLLNQIMEPHGYTDEEAGIAGAVLIVVGL LVSAITSPIIDRTKAFLTTIRIAVPLIGICYLVFLWMPSTHDSAGLAGPYVILAILGA ASFSLVPVVVEFLVEVTHPISPEVTSTLAWSGGQVLGGVFIIVSGALKEEKGKEGRPD GNMYWALVFHAVVAMVTVPVPLCLGLFGRRDKVNLRRVGSDDGRGDGTVEGTA NEUTE1DRAFT_96196 MGFWDAVTDLIDAATPWATVEAEAPADTPAETAPASESTEETTA ETKAEESAPAAEEPEEEAEEEEEEEEDEDEIVDPKETLEEECRNSKECAPAKHHYDEC AARVTGAGADNNEDCVEEFFHLVHCATQCAAPKLWNTLK NEUTE1DRAFT_104114 MVIHLEGSRLLVHEGSHDGQTHVRGPLLGVSRSVSILQLDMQHI SIAQVSSTHGPGPLSRFLPVLILELLGRPRTRVPLVGALAAQTPSSALLLIWVPVLVL ICFALPMTHPPSPFSPLAWPLGWPRGCLSTRAITRYWLHYRMPIPMLAPIPRIEKLRR RSGSFPGARDRTRRYLADHSVADQSVSHHVNKIPPNPAPPPRPVQGIMADQFLVEAHR WTLAQHSITDEKESWVMLTRDGQIQKLPGEKILLTSKPRVGLELSVPKELQVAEPFSV KSDNGIAYITNERIIYLPARSTEAFRSFFAPPLNFSDVRINSSWIGPWSWTAIVRPIP NGGVPPEIPRIECKLTFKDGGHSDFQAKYEWLRDRLLHAQSLGLTPGQNLEPPPPYDE AQACRPSSSTPASGPSGDVTSDRNQVPQPGPDEPPPDYTA NEUTE1DRAFT_132128 MPPAADLYGGVSAYRTGSGDGMRDRNYNNNNNNNNSDNSDNNNN NNNNNDLPPFFPLQNQNQVQPQQRPKSSYLRQLMSHKRSNTVGAGQVQLPPAVFSTDF QKSSDSDHHHVMSSSAASVIDPDSPELLRPPNKINPDLVAQRHSCDMNLTGYQRHQFA AALCELRPNQLDDQPPRSPEKKSNPFTTISLKSPFGLRDSSKASKNKGDQSPTKPKKV KSAANIGQLLRPKSDKNLRQQEQEEEENSRRRNKDKENRAPSAIDTSPPPPIYAQFAR GSPFALPPELNSSTKPVPSPLDYRNPFWDSGKPLPADPDRPGTGGTRSSIAESQHLKS EAENRERDRNNSRSKSRPKTFHQYFASNSQQDEKRTPSDSSTDTRYKQPQSDNQNQSS PQEKQERSWKRQTWATAKTSDLAAGGSSGSDRSSQRLNVKSMFSSSGNGGGSSSSAGG ERSKSGATAAAAAAATQSTSMERQQSAPPLLQQHIDPKDIDKHLEAMLDRRNIPENQR YKMRNLNDTIKLEFIRQDWAEMQAKMVNQNASNTSLEKGGNAGSVNGGAGSEREDQQQ QQQSSSSSRREDGNSKDKDNERTGRTKKKGFGLSLGKGAASKAQSSPTKSIGRHFRSK SNDSSMNERPSFGDVNGNSGGNGVGGFLGMKGGKSQQVPADFVAYLQKVHKPELVEVG KLHKLRLLLRNETVAWTEEFIKQGGMKEIVDLLHRIMAVEWREEHEDALLHENLLCLK ALCTTALALQYLHTIHATLFPALLHLIFDPEKKGPSEFTTRNIITSILLTYIECATPQ ERITRAQTILQFLRDPETEEEKKPVNFILEMRRERPYRVWCKEAVSVTKEVFWIFLHN MNIVSLPPADSSLSGSSGAGGGSSGINGEQKDTQQQQLYQAGIIDPSALTRNDTESGG EDSETATPAQLAYMSRHFPQERPPVPAAPYVGGVEWDATNYLASHLDLMNAIIACTGP TATERNALRAQLRISGWERCLGGSLRMCKEKFYGSVHDGLRTWVAAAAEDGWDVRDVR FGPPPEGRGYSPMKKTPGKKPREEAPPKIEIPKLDFHIGGADSPGIGVSPGGLGVGMV AASVANINGNGGGGGRSPMPVSPAVRAPDYWLS NEUTE1DRAFT_140970 MFRSLLSSAKSLAIGDSGNKATKPEDLFPVVSKEVDGDDCDHDC ATCTITYPRSFKIDEEDKLFGLIKGWATHVLVATGKTDWVRDVADEKGSVMQAIAGAK APANGKLMLSASNIPTPHHPPSYSEPTTLLLLPAFILIENVTPATVPLVLEKIISQAP TNSTPLAPFSLPRSLEAPLPEASPAYIKELTTRPCPHQALILLCSQKTRDARCGQSAP LLRKELQRHLQPLGLYRDLDDERPGGVGIYFISHVGGHKYSANMLVYRRPDAFGLDHV ERAKAEGDQELRPKKPEELRRKQRGVTKEGEEGKGQEEEEEENGEVGAAQCIWLARVK PEDCEGIVKFTVLQGKVIKPQSQLRGGFDRQRGVLSW NEUTE1DRAFT_132129 MAEQSQQLNGHVPESHQHQNGNAETTPLLSTDLQQQHEGKDASA PPQSAKSPSASKELYPMSNWKYDTFLWTMSFLVDTFFREVHPRGSWKVPRSGPVLFVA APHANQFVDALVLQRTLRNEAKRRVSLLIAQKSVKGFIGWASRQVGSVPVGRPQDSAK PAEGTIYLPDPIDDPTLIRGVGTNFEKQAEVGGIIFLPSIKGQSGSNVEIVEIHGPEE IRIKRPVNSKLALYQLTGRDDIDEHGELQNKEVKGIKDGYQGTKFKIAPHIDQTKVYQ AVFDRLKNGGCVGIFPEGGSHDRSELLPLKAGVAIMALGTLAEAPDCGLKIVPVGMNY FHPHKFRSRAVLEFGAPFEVPKELVEMYGIKDKRRAAISKLLDMVHQSLSAVTVSAPD YDTLMVIQAARRLYNPAGKKLPLPIVVELNRRLALGYDKYKDDPRIIELQKSVAEYNK QLRYLNIRDHQVQYAKLSWPKVVITFFYRVAKLLVLSAGVLPGLILFAPVFIATKRIS HQKAKEALAGSTVKIQGKDVIATWKMLVAMAVAPILYNLYTCLLAYMVGKHRLWGYVP DWVPIWLVYFFGWVFFPAITFAALRFGEVGMDILKSLRPLALCISPTSSYSLQSLKQR REELSAQVTHLINTLGPEMFPDFEHIRLVAADGSSRIHADGTVSPTQPFKRRDSGVSS PPISTPGISTPGTESPHGLRRTGTTSSSRNIPRNESFSNIGQVPMFATRPPSRSRSRS SSAGGGGGNVQFGGPLGGSPVDKFPNLNTEEGFNEASKKIREAMRERGEMRRRKSQGK VYTFSEQSVDEEVEDEVSTGSSVDEDGKKHL NEUTE1DRAFT_104118 MPEIAEIARAVHFLRLHFVGKVIKHAEAIDDGNVFGKVGTDGPK MAAGLIGKKIVSAGTQGKYFWMELSKAPHLVMHFGMTGWIHIKGERTAYTNYYKKMKP DEHDKWPPKYWKFKIETEDGDEMAFTDPRRFGRVRVVDCPGKDIRKYSPLVENGPDPV VDLDVFTEDYLREKMKSRRVPIKALLLDQAVISGIGNWVADEVLYHAKLHPEQYCNDF SDAEMKQLYESIRYVCHTAVDKLGDSDQFPDDWLFNYRWGKGSKNAHSHTPNGDKLAF LTVGGRTSCYAPARQKKTGQIVSGVKEEPLESAEEKDSPKEAPGTKGAKSKNKSEELE ADGSEVKAERATSRKRKIKLEEDDEMTFTPNNAKARPSKKPTRSQYFEDARKPKGDAG QTEEAKVDISGRRRSGRLQSKAA NEUTE1DRAFT_96198 MAVYDVTIVTTPENRLGSKGYDFENFSMAMTHLMLLDGLSWRYT RQWFHYQQRDTVDDALVLNQGRLTISPCIGRECAAKCGQDRVKKKSE NEUTE1DRAFT_69559 MASQQESRMDPSAFDSIPDTVEAFRNGEFVVVLDDPGRENEADL IIAAESVTAQQMAFMVRYSSGLICAPILPQRTLDLDLPQMVGHNEDPRATAYTISVDA ADPSVTTGISAHDRALVCRVLADEKTKPADLRRPGHVFPLRARPGGVRQRRGHTEAAI DLCRMAGKKPAAVISELVDDGREVEGQAVREEPGMMRGEQCVAFARRWGLKVCTIEDM VKYLEEKEGKLGELNGSS NEUTE1DRAFT_69561 MHHLIAQCGTSASARPILAPADDRPSSTSILTTQRWHSNHNDSR PPSSSSVNPDEISHFNALAATWWDPHGSSRALHLMNPLRHDFIQTCRSSSPTSSFTVL TEDSGDEHAPPSPDGPTYSTTANVQKLRYLDIGCGGGIFAESAARLPTTESVLAIDPS PGVLAIARSHAKKDPSLSPKLTYLSSTIESLPTLKPEAVEKKFDVVSCFEVIEHVDHP ALFLDHVAKFVKPGGWLVMSTIARTWTSWFTTNFMAEDVLGIVPKGTHDWNKYINEYE LRGYFEETEGKRRFWGQPRAMGVVYVPGLGWKEVPGSEKVGNYFLGVQRLASEDA NEUTE1DRAFT_88245 MWLPFSTRDGKGASPSLSQLLTLSTLAALAPLSEAQFPPSQAVG LKTVTSPSNPNIKISYKEPKDVCTTAFRRQKQYTGWVTVPGEYPTHLFFWFVGAREPT SALTMMLNGGPGASSMFGLFAENGPCQVVEKGASRLETAAREWGWDRASNMLFVDQPN HVGFSYDTPTNGSLDLTTGMVSPNMQLPDNLPPSLFLNGTFSSNNIQNTANTTQNAAM AVYHLLQGFLSTFPEYVPDKYSPLGVNLFTESYGGHYGPVFADTWQKENDKLSKRAMP LSRRQDDANNIQSRPPKGGSGNREIKLTSLGIMNGCIDDLVQGSRYVEMAINNTYGIK LIDQATADAITSGFNAPDTGCKDLILACRQAQAALDPLDQGTDETVNQVCAYASLTCQ QLLGSVLASGANAYDIAHMGPDAFPDYHYLEYLNSRRVQEAIGSVVNYTDISPVVYQA FFQTGDRARGGLIAKLASLLQRGVRVGLVYGDRDYICNWMGGEAVSLALADAMKDLDP KSPYPTKFPQAGYENIQTNNREVGGVVRQFGNLSFSRIYQSGHFVPAYQPETAFRVFE RIIGGKSVATGARVNLRDFATRGPKKADKQLQAPKDVSAKCFVRNLGSTCTQEQVMAI LMGEGVVINGVWYKEKKDWSPVTARSQTAEPESKRSLVGRAARWFE NEUTE1DRAFT_88248 MSTQQQAMIMDTIVSIRKTLKRKAYESDSDSSIDHNTNRGYKLK KRARFVKQGRLANANGPAAYKEVAEHAGYQRAIINQNPLLIDEDGYDIDSDDNEERVQ EAISATMEENPYASIRLEQLLAPLTSVTDLPNHPTLSRPFKSKALTELVHQAAALSRR ENVALWKVKPLLTKFVGDNSWAPCGMMIESTDHDLFEDTSSFIKWVSNWIPNAADAVS AGNHTKATENRPVGGNNNEASASKPKESSQTNGDSGDKPLPDSVPDDEQLPDADANSD EKKGAEEGSAPKVNGKKTIDEDVEMTEPTEATESATSNSKAEAPQPNGEISRDPEQAQ PETVPTETTAQKVDESTNPDQPAPAPVDGQTVPATAASKDVEMANAPNGETGKEAAEH QPAVSGAEPETNPDQPGHNLERQQTDKEPQGEGVVHGVEDLRAKPNVQRNAALSTAAS VAALQEFLEEPYIHPIFLAPRTSHPDRDFGLPEQEAEDVRRLLQLYVQKQEEVCRGTR KLYEGLRKADRYRQTVLSWAKAEAHSGPNRDMSDGEDWYDKEEWGLTEDLKKGEDEVE EETAVTQKKTRNRK NEUTE1DRAFT_124887 MVTSTLLPLLLLINSFASLAAAQTTPIPVIGVKTGVDSSTGQRP IRRNINDLYARGGPQWDLYILALSEMQAMNESEELSYFSLAGIHGLPHEVWNGVEQVE GAPDTGYCPHGETIFAPWHRPYVALFEQTLVSHALAIASRYPPSLLPAYTDAARTLRL PFWDWASDPSLPYAVMNDSIKVGNTPNSSLASLSPPNTNGTGGGSGAPSGATLRCKFE DFAEDNKTVIKRWSEPWVAEEGMKEVGGQLRDSVYDLFVRPDPRGWGAGGFEDPHNTV HNNAGCGNGTMANIAWSAFDPLFMLHHANTDRLIALWQAIYYQNATFNYSFPSGGQLG TKRGTILTADSPLKPFHAEFPATIGSGNATPPSDAGGFFHTSNSVAGLRTFGYTYPEL NTDWQTPDKDKLAAQVRQAVNKLYGSSDDGSADDQGQAGVSERNVDSDTLDEEEDDTE NDGGKKTVENNKITFRRSRSRSRSRSLSASSNYKKNEEEAEGTTTTSRDDGKQTEENN KITFRSSRSLSNSNSSPKKNMKQKRQTYGSQSPTKNYYYTAELSVDRSEVPLPCTISL IVNGVVMGRMALLGMPMEGIAKASMPLARPLKNVVKIGEQQQGSQSQKRGVDEDEKMV GGKRKGRWPSTSRHSNIEKVKPKRGLGHVDMTPKKIIPFLKGNMTVEIRSNDQTLTDP STVPSLHLEIQDWEYFPRKNASEFPIFQNPRKWPMGIRGPGGHGGYGGRGH NEUTE1DRAFT_148948 MAPHNDSSPAENFTNGFNRMTLQSSGSSSLTVEDLTAELGSSYD SSTLDAPVSHVKRPLVPGVYVPTMCFFDKETEDVDTVTIAHHAVRLARAGITGLATQG SNGEAVHLTHSERQVVTATTRKALNEAGFSQMPIIVGCGAQSTREAVLLCRQAWQAGG DYALVLPPSYYAPLFAPSSQTILEFFNAVADASPIPIIIYNYPGAVNGMDMSSDIIVQ LAQHPNIVGVKLTCGNTGKLNRVVAGTRKLSKEYDPKNPDFLVLAGSADFSIQALAAG GHGILAGLANIAPKACTKTIELWNQGKHTEAQEMQEVVSQGDWTAIQGGVVGVKAGLE KWAGYGGYCRSPLPRPTPEQSKNWKNGFKDLIMLEKSL NEUTE1DRAFT_140979 MPSMVQSWEEGRREGSVSSLADIDKWDRPECQSATRSLSNNPGG SFSGGFFDLQLKTMAACQVSKWEHADKQTKQQQASSSRSLVNAAVSSPVGLFNAGRDT RILSGQKKTCQKVTCPSTAFHANH NEUTE1DRAFT_140980 MTSTGFMVEKLYGSIWQVCPTIWDVERAIQFYEPHLRGKLTFIM VRRIGRRLKRTYGWMGLMIVSKKGWSVPVGMEDHIQDQSECMPGSGKGDGDEDLDGDF GDHLMGDSEP NEUTE1DRAFT_118286 MQIKTLTALAFASLVSAQTYTDCDPTKKTCPNDKAVGKEIINID FTKGADSFFKNMVGTTLQYDNKLGAVYTIKKETDAPTVASSRYIFFGQVDVTVRAAFG KGVVTSFVLQSDDLDEIDWEWIGSDTTQVQTNYFSKGCTETYDRGGFSTVANPQNEFH TYTIKWTPTQLDWIIDGAVVRTLKAADAKGCAGFPQTPMQIKLGTWVAGRKDAAQGTI EWAGGITDFSEGPFMGYYQSIKIQDYMGGDGTTGAKDATEYQYGDKSGTWQSIKVLTG TSTTEDIDTKSGSSTATTLSAVPSASSTSGSSSLPIDSTLNNNNSSSSTSDATEATKS GNVVTGGAGKLAMSIASFGAALFAGAMLL NEUTE1DRAFT_118287 MSIVGENESHKWAYSISSVSRLSFELQQQSRCTSTWVGHGLPAS AKYSRFHALIYA NEUTE1DRAFT_88258 MASRLNNLAAFHQKLAKADRILAICGAGLSAASGLPTFRGAGGL WRNYEATDLATPEAFASDPGLVWLFYAYRRHMALQAQPNAGHHALAALAKKNPNFLCL TQNVDNLSSRAGHQQQQQQLQTLHGSLFTLQCSSYPSQCTYIDKNNTLDPLCPALAPA SASASINPPSNDPPNPSHSQSSKTIIPLLDPSTPLPPIPKSHLPHCPQCKNLLRPGVV WFGESLDPTMLAEIDAWIDQGGPIDIVLVIGTSSVVYPAAGYAEKARTKGRTSVVTVN MEVDDVEEEEGRPEDLVFQGGAEEWLPRMFEPVIGVAKDDGRYE NEUTE1DRAFT_118288 MLWSLEDQASEHYVEQQSGVRILILSREWQRGYPVMVRKPMSGW VIVIVGVMVPG NEUTE1DRAFT_88262 MSTTTTASGTKRAPLSDNPNAANSPLRGSSATALALQGSKKAAV RSHADQLREEPYGQPPPAKRQMIEHGGQRAAASPTSSRTTRAERLYAASTTTTTTTAS TTTTDRITAKELEDLSIWQTSMRSRFHKMVFYFESIPDEQRHKLAKQVAQLGAREEKF FSIEITHVVTTRPIPPVKPVQDENQPVTNTQSTSEQPKTIDPSLLNRNGDSVRRKLIF DKPSLRKSSVQPQEETMPKPKARSTDILHRARDMGKKIWSLDKLQRILGMVLETDLYK AALLGRGHTPAAADRAKARQQTANVLQMLQNERVHGPSDRDPTVVTKDIHYFKGPYIY VYDIEEKTRPIMVREYHRVADKKDGEWPQFRVASQGRCPFVEDYDPPERTRREKTQAK EKVVKAADAAAAATEKATKTLQPPEVPSPKPVTGKRTLKEMEAPNDRATEVVDDAPET VESAKESTPSVDFRSQNAFMSHTTKVGRLVAGEPVASGIQANKLTSAIRSQMVSSTTG VLGAKAGISKEVLGLQRKVLQKAGGPSLSQDSGVRRLAEIGVDAAQCTRAASLGNPAK PPMPGIWEDDEARKQEQAKKERKLRRTASTPVPQTKPKPRDPKPGYCENCQDKFEDFD AHIVSRKHRRFAENDDNWAQLDALLGKLSRAPREPRYRSVGDERWQ NEUTE1DRAFT_124894 MIISAADLEFGQPVYETHPHILQPGELTPGITAQEYHERRSKLA FALPENGIAILASSELKYRSGAVFFPFRQDSNFLYLTGFSEPDSLAIIQKTGPKPGDY VFHLFCRPKDPRAEQWSGPWSGLQAAEDVFNADFTGDIAKVETLLPPILRGAGKVYTD ITPSTPVTGQLVTTLLSHLHLPPAPLAGLVHSLRAIKSPAEISNMRHAGRVSGRALTS AMRRSWSSEKDLEAYLNYAFTSHGLSGPAYVPVIAGGSRGNMIHYVHNNRLLNQDDMV LVDAGGEYGTYITDITRTWPVNGKFSAPQRDLYEAVLTVQRKMVSLCRENATLSLDQI HRATEAGLREQLTLLGFDLSSGGGKMDVLFPHHVGHYVGLDVHDTPGYSRSLTLRQGH AVTIEPGVYVPQDDDRFPEHFRGLAVRIEDSVVVDVESPLILTTEAVKEVVDIEALS NEUTE1DRAFT_124895 MDPNTLRRLEYFSVPNHQTVNPVDQSYNLSGSTSTQSPTLWGTA PSLEANHSGMNSTATTTMSLDSLSQTSPATAFTGMSSHPSMTRRPSMYGSHTGMPSSK SSRTREGHERKRTRLDSELSPFDEPDYWLQFDNDDNFDDLSEITEPPRQDHKGKGRAP QLSQQQQQQQQQRRRSTAHSMATTAPPHRRTAGTLKSEDYMEDSALDNALSEDERAMS LNLAEQLSKIDTAPPQEVPPREGLYSTPLSWERPQPGLRMDSLIGLNQQALNEAEQRR LIAIAMNPGPSMGGLGSNINLNFGDMATGFNSGFGSSYASSSMGGGQMPRPVSPPRAS TSSQSRPAPARPSHKHGSISEKSSERMGSGDKHRKVEEKHKIGDRTAHNDIERKYRTN LKDKIAELREAVPALHTISEAGGVEDDGSQNSRAPKVSKGTILTKATEYIHQLERRNK QITMEHRELSRKLQAFEQLLNHTAATAYQMPAYSRTLFDPRGFC NEUTE1DRAFT_124896 MASSQPPHGTASPPSLHDDNPNSSTASPVLEGRDSPNLPLTMTA STVLMTLPRDATAALAEAGTFDQEKVVIRFKPVGSAPALRREQVKVLSTHSFETVVAY LRKTLKVQETESVFLYVNSVFAPALDEVVGNLWRCFKDSTNQLNVSYSMTPSFG NEUTE1DRAFT_148955 MGLPPTSPRKKVTLNTLQSMYKKGEKITMITAHDFPSAHVAEHA GMDMILVGDSLAMVALGMEDTSEVLVEEMLLHCRSVARATSRAFTVGDLPMGSYEISP QQALSTAIRFIKEGRVSAVKLEGGAEMAPTIRAITTAGIPVLAHIGLTPQRQNALGGF RVQGKSKESAKRLLRDALAVQEAGAFAVVVEAVPQEVAAFITGLLKIPTIGIGAGNGT SGQVLVQADMTGNFPPGRFLPKFVKKYGDVWGEALRAIETYRDEVKGGSYPAEEHTYP MAKEEVEAFVGDVRRLMNANASAKAEGEGEKDARVML NEUTE1DRAFT_49668 MSSEAKVGGQVNTVNVLGVRSETNIIKMLAFVSDPKLLVQRHKN HHGFWS NEUTE1DRAFT_96207 MSSPRRRIETDVMKMLMSDYEVTLVNDNRQEFYVRFKGPAETPF EGGIWKVRVELPDQYPYKSPSIGFVNRIFHPNIDELSGSVCLDVINQTWSPMFDMINI FEVFLPQLLRYPNPTDPLNGEAAAMLLREPKTYENKVKEYVQKYASKDAADEADAESE DDGELSSVASFDEEDDEEAAGKMDDV NEUTE1DRAFT_88279 MKSLLLPLLSLLSLSSSALAATRISPPSSACLRVGAGQPYSNIQ SAVNALSTTASGSQCIFIYPGTYWEQVLIPARSAQLSIYGYTTNTLGYASNTVTIIAN RSQADGLNNDQTATVRVKSSNTRLYNLNIENAHGQGSQAVALSVAADGVGVYGCQLKG FQDTLLAEDGAQLYARTLIQGATDFIFGQRAPAWFEKCDIKVMAANLGYITASGRDSS SNPANYVFNSCSIAAAAGNNVPSGAYYLGRPWRQFARVTFQKTSMTNVINPAGWQIWN NGDERTSNVEFGEYANTGAGASGTRASFGKKLDSPVKIESVLGSRYTRQGYYDSSYM NEUTE1DRAFT_118292 MPSFTSKSLLAVLAGAASVAAHGHVSNIVINGEYYRGFDSSLNY MANPPAVVGWKANNQDNGFVGPDAFSSADIICHKDATNAKGHAVVKAGDKISIQWETW PESHKGPVIDYLANCGTSGCETVDKTSLEFFKIDEVGLVDGQTWGSDQLIANNNSWLV EIPPTIAPGFYVLRHEIIALHSAGQENGAQNYPQCINIQVTGSGTEKPAGVKGTALYK ADDAGILVNIYQTLSSYSIPGPALIEGAVSVVQSHSAVTATATAITSLGDAPAATAAP AATTAPAAAPAVTTTAAAAATTKPATTAAAAQPTTSAKSGCQKRRAARRAAALARRHA RDVAFLD NEUTE1DRAFT_124901 MNKLAIAALDAKTRELKQRLQAIMQERSSFPPATSEHLAAASRP LSTTTVASTPRPPQVEAPKPRFVPHTVPQVPTETSISADESDIAALIRSFSDHVDPTA DIIPRPASSTAQQNQSSVEAQPVQATPAPVSNPSSVRLPKPAEAVITAISTSDEEGEI PSDTEEPVKAGMAHERSQEQSKHQVAQKPDKTPRSGPNSTSNKKQLPQKGPGKPQTGT GKALRTNNRPESQNAQTKNQPTATLLTPEKALERALELNPDLREWLALTNYHDAETRT KKIERYRKLKALAAEKERIEAEHAAQRARLEAEQRKLLEEEGLDFGLIATPVVETAPA IINKVSEVPEVVAPKREREPSVDMTDAPPEKKHRPEENGPRPMDIDNQYDDRYRERGR PEYPIKRAPSWSPRRYRAPSPRRDHRPSRPTSRDREYQHSRFSPRPRSRDRSEYNKYD GRLLHKHDDRSYRDDVNGFLDDHGGDKAPRAQSPRRPRDLEHVEHFDTGKKGDTRFFV LKSFNNENLDKAMDDAIWVTQTSNEEKFTKAYETCKNVIFFFSVNKSKAFQGYALMTS LPSADINKASWMKNIHWQTSPPFRLRWLSKVAVPFSRIGYLKNPLNENLSVLIAKDGQ EVEEDCGRALLREMESYAEWESNKTAFPSGSRQQPQHARRESDSASGFNPASWWKNKK KPHNNNSNWTNQRTNKRGEEYHDRFPAR NEUTE1DRAFT_69606 MAITSLSSSLLESLLASLTLGRVTALVFLLTIVSFAIDSTRKPT YPKSLPRVGFTGGIGATVRNWMNLGNYHNWVEEGYNKYGKHGRSFVTPSSPSQPDEIV VPREQVAWMLEQPETVASTQESHKDVLFGTYNFFNVDDHFPTKVMHKHLARNLVTLLP DIQQEVAECIDIAFGTDTENWTTLNLWEALLAIVPRVMNRLAVGLPLCRNQAFLSSQV GFADDVFRNCLILTLFPTVLHPLVGRLVTLPNWYHFHQGYRLLKPVIEQRLRDYERFE SGESQTPPEESLITWLIRQAKADNLPHEQTPYMIAMRLLPIEFAAIHTTVITLHALFL DLLASDPSKDYLSILREETTAVLQSEPNGIWTKNGLARLYRTDSAIRESMRLSTFSTG LTHRKVVAKEGITNPFEGWHAPYGAILMANMSVQHDADIYEDPKTYDALRFSRVREEY EARSQEEVEKGKDNTEEALRIKRLGVVTTSSEFLPFSHGRHACPGRFFVAHEVKMMLA HILQNYDIKPIAERPRPTWVAHTIIPALDVKIEVKRRQRKN NEUTE1DRAFT_124903 MSTFNGLLAEFPDIRGEHMASNPSLFVYCSAATREILLRLETVA CRINYAQGILEARQLSYKHLRNLLKPLPLDTPVELELEPGNHIQVTLLDANHCPGAVM FLFEGQGKAALYTGDIRSEPWHVNAIARSPSMVQYAYGLKTLDTIYLDTSFIEDIEFP TKAQGISELLDKISRYPPNTIFHFQAWTYGYEDVWVALSKALESRVHVDEYKMGIYQS LLAKDSGNKRGFGVLHHLSPEAPALVGFMRGNSYHPGCLTLDENVRLHSCEKGNYCKT VRENPIVQIHPVLTHLRNGEDLPELGIGGGGDDLEHDEEQEHLSEVQIQMVTKFIRWL EKSAEKVELLPLESFASGSKIEIGLELLTSGADNKTALLNFLQDLINKSKSQIALERS MLAAHNAAEGSLPSLITFPYSRHSSYAELCHLVDVFKPKDVWPNTVNPREWLEQGITI EHLFGKHCSGNSFRHDKLMEEMFGMREQPILISDDSQSTASHPASSRHTPSSPAPQVV DSWDGTTIAPNLNLEGSFSQISVQSEASFDMRTHAFYLMLDNAMNNAGHDIGLISTRD HHTNKEVELGET NEUTE1DRAFT_118293 MRLPSLTLLVSTWAWACCALVIDTASLGLDNNQIAQRADPSLAG YLGVFFLGADPYVYFYLSNGNNPISFKALNKGSPIFKPTKGTGGVRDPTIVPGGGSEA GKKWYIIGTDLNIGKTTWDAAQRTGSKGIFVWESTDLINWVNERLVIVEDNTAGMVWA PEAIWDPAKGQYLVHWASKFYSSSDPSHTGTPSSTKIRYAYTSDFRTFSTPQTYIDRS PTNIIDLTILPLNNSSSSSYLRFMKDESLKTVFVETSTTGLFGSWTRVGGASAIIESG VEGPAAYWDNTIPGKVHLLLDFYGSDGYRPYESTTPERNSGGWTASSRTGWPTGLRHG SVLPVDGTVWERLNARWG NEUTE1DRAFT_140997 MSPVHELKIRQQPKNARVAIGKEKDRKPIDPPPIVQLDIHPMRH QIHNPYLILVCKLVAPESTENDDANHQQPEPKDNDLIGSLCSSPYVLKDTNNAQGLFF IFQDVSVRREGTYRLEFNLFELKPLTRECIALARTTSDKFVVFPHRYFPGMAESTFLT RSFSDQGVRIRLRKDSRSVSTRKRGANALGVGAGSGDVVGRLRTGSTIGLGGQQYSPI TISHHSQSHGSGGSHDELSSTSTSPINRINTTLASHHPHDLIPGIGHLDRSQHHRGSL ASQTSSSLLDSPSNTTMLNSPTVTGVGGFGTSTATTLGGGGNYSFAGVAAAHQYSYPG TALSLTTGTTADDRYYDYNRAGKRPRLLHGTGVDDLLDHSYGRDPYGRDPYDHHHRVV GGSVTLPPHTQAYATMDATHGYGHGRHHDYYGVTAAGPYFGSAM NEUTE1DRAFT_118294 MQAYRLTNCWLIRPIAQFVEPVRITVVHFARSHSDIVRRGLDPE TWRLACLRSRRR NEUTE1DRAFT_104142 MACFWNLLPTFPFPSFPFRQERKGPDIGDSSRFHVILAREKREL IHCRPGRAGHQLQTLSANGPFGICAAFAQLSFRGFAGGFRRSG NEUTE1DRAFT_69616 MPSKPTGTPSSKKPKPLFILPKSHFDKAKSSEDPFAKRPPTPYY PPARQSEGESSGVGDEKEKDQKEDIKDAKKQN NEUTE1DRAFT_88299 MQGFNMGKYVPPDAEGVYSGNQLSKKHPLGHRASKLATQGILTV RFELPFAVWCDHCQPHPTIIGQGVRFNAAKKKVGNYYSTPIWSFTIKHAQCGGKIEIR TDPKMTRYVVVSGGRARDTGTDEESLVKLGLEGSAGGFEIQTEKERQEQRDAAFGKLE KTIADRERAEEAKVRIDELLEAQEKAWEDPYARNQKLRKAFRVGRKERETEAERTEDL KERMGLGIELLPGTEEDERRARLIEFGGVPDIGLGRDDVVQKALARPLFGDGKNSKTE EKKGGKKKDASKGKLKSEIAATKMREALVSEIVGHTRAAKDPFLDWGSRESTPKPRGA LIPGLKRKRAAGEETPDPPPVGAASSMTGPLAEGRDAAETKSRKQTTEGGSTTQTSKP GLVAYDSDSD NEUTE1DRAFT_88303 MTTTAPSPKSSALAHPHKTILESFILWKLFLLLIAVGSTLVNDR AYDTSADLLLVGNAEPLSVNDGNDGVAELLSNFGKRLVTRFTSWDAIYFVSAAKRGYV YEQEWAFGTGLVVCVRGVLKALQTLGLPLPLATSPTALAEATTALLLSNASHLLASFV LYHLTLLLPLSGFNSSPLKRRKLALLTSLLHIFSPAGLFLSAPYAESSCALYSFLGWW FYAQSCLSDQDGTTAVSGHIEKGDRGSVTLKGDLHLLLAGLSFGLATLFRSNGILNGL PFAWEVLGILSGFVISTSGGRGRGRVPLLKTLRRLIALGLGGIFVAAGSIVPQTVAWL RYCPSGSLWLLNKFLSSGLEVEISEISGGSGSAHGYGSSGVGAGVNAGAGGGLEELVA REEAREWCAAVVPSIYTFVQKHYWNVGFLRYWTLPNIPLFLLAAPMLAILVKSALDQL SQQSSTAKVPLAINSDAKSTSSPSSDTPSSGSIKSDEAVIPPHPDGESPTTAQQQRMQ ILMRSAAAEQVLLAVLAVSTYHVQIITRISSGYPLWYWWLAQQLIGDGDMQQQQQQQK KKKLGKGIVVFMVMYAAIQGVLFTSFLPPA NEUTE1DRAFT_118297 MANILDSEAGTELFKHYETEYQLVQADLVQKLDQISELTGEPRK AALSTAERALEEAGELLDQMNMEKQNVPSSSRTAINKRLRDYRSDVDKYRRKLQTLAT DRSALFGGRYTDNPGSSSGDRHFEQRQQLLSGTDRLDRSTQRLKASQALAAETEAIGA STLADLHRQREVIEHTTTILYESEGYVDRSVKTLKGMARRMATNRIITIAIITVLVLL IIAVIVSKFR NEUTE1DRAFT_88306 MFLHSGASMHGHEYSNRPSSSHSSHKLRPPLLFSALSPPKSRVT TTTTALPKKPVLRQRPASEYIPRSRTPEPLVRFLEPDVVEPQTPEMQHDDHHVFSDSE MSETAASVDGHSESGRRPRRRRTTSRKSTSTTYYLGYPTPKKLGNLKVMHTVLPRLLL QLKAVSPDGHARPMLEVFPSSRIAGLVITPRLAKRFPGIFGVKRQLGYDDLVLMKRDD DESEREGSESGDSDETFEKSKLLAVYSPVKHSEETEIVLGDGSIWTAKALPNGSYDFV HVDECGHVTTARWARRSAGKTPPTSPADTPAPPPEYPRFTFSILNPLSRRHPVMATLT HCTLVVQDTYTTVSSSYGRFPPRPLGRAVSVNALSRGGSSSCPSSPQTSSPEDSEADS GIGITQPEPEPERTVRIIDEATKSLISTTALWIVLRSGWSPNYVPSTHNHSSPCSDDK SPTSPTTGNEKTRRRHTWSRPMASDSLCSSKGSTPPISRQPSDAETPRLQQPATPAQS AKPKRHSMPAQPIKKAISERGTSPAPSASNVRLPGPRRATSTGANFMRHRLLSEAQSD QELGSPISEKVRHGLQGMCPLRNRSADPLVGGGLLHSQHHYFGHQRDDSMASTYCAPI QEIRPSMDMRPTTAKVVEEEKKAREVPKLVVDTTFAVHKGGFISLGLARDDGPR NEUTE1DRAFT_124911 MASLGTHTQKQPQSRLPTRTPLSSISQPILPIGRTRFFKHPLCC CFRIPSHDMHRHDRDSGDANEKGNRNTGKEKDKCDEMAGKKAGPNMGFDEKNPNLCST CRKVDFNSLFGSHDCEIIVSQGYKMKVIDETHQPSCRCGQKIIPKPSQLISSRSGYSG LLGKLDSHVPACSFCAFLYQCQARLNLIPTNQKQWYCPILRVVDSGGRTLTRNKRVGG GLLGRKLDPNKVDLNLIREWLTLCRSTHPHCEKVDADRIPGLLVVDCITDHVVPLPLK QDAANQVANYVTLSYLWGTAEATDGPVVQSINRDGGNGLALPTQIPLVISDAIRVVKQ LGYRYLWVDRYCIPQEDAAVKHTQILNMGRIYSNLTLTIIAAAGDGPEYGLPGVSSRP RLAQVGVQISDEISLVLYEPPTDHITNSKWNTRGWTYQEGLLSKRRLVFTDLMVYFQC HEMHGDEVLSLPIRESGEDCDEIRPFSPKELDIGMVFPKVTDWDNPLTAWERISEYGL RELGYDSDALNAISGVMEICLRIRLQKDGDLHHLSHLKGVLLGLLDGYLKKRSLEKEI AQNFSFLSWDAGANFLCLVNRLLWG NEUTE1DRAFT_148971 MSLVYPSSTPDWNNHQVIHRNTLPPRSHFFLYPDSEKALTRDVS LAKAQLLSGEWKFNLSKSPLVGPVGFQHFDSFTDPDWKPIHVPGMWQLQGFGKGPHYT NINYPFPVNTPHVPIDENECGRYVTHFQLAPEDKDHQLRLRFEGVDSAFTVWLNGKNV GYSQGSRNPTEFDITTYVNLEGDNVLAVEVYQRCDGTYIEDQDQWWLSGIFRDVWIHK FPQKSHFKDVKILPTLDNEYKDAKLSVTVELSSPEEFTFRLLRPSGGEVIQGTISGEQ STVMEFNIKDPLKWTAETPNLYTLVLSMPDCALTERVGFRRVELLDGVFSVNGNPVKL RGVNRHEHHPDFGRAVPYEWLKRDLILMKQHNINAIRTSHYINDPRLYELADELGLWI LDEADLECHGIFVVGGDGNKMLSDNPEWKEAYLDRARQMVMRDYNRPSIIIWSLGNES GYGSNHRAMYDYIKSVDTSRPIHYEGDWNAHSADIFSRMYTSVDDMERYAREPSWDKP FVMCEFAHAMGNGPGALKEYIELFYKWPRLMGGFVWEWANHGLRTKTEEGEEYMAYGG DFGDEPNDSNFVLDGLCFSNHTPTPGLLEYKKAIEPVQTLKLENGNQVRIINRYDFVT LDHLKCLYYYSDDETDWVEKREVKIPKGVKPHEQALITISDLLDAEALQAAGRHDCYV TLEFSLAKATNWAEPNHILATGQLPLTPPHNPFANLPAATNAHFDLLSPTLLSITVPG SNSTTYEFDLSIGALTSWKRSPSSANILTTPLTLCLYRAQTDNDHGCDFGRNWTSSRL NMAKHHLLSTSWDPSASPQTVTIKGRFAPPVLNWALETTTIYSFLEGGKVKVKMHGKP VGNWLPRAWARLGLEVGLKDVHFASWQGRGPGESYRDKKESQLMGSWTSTIDELWTEY EFPQEGGNRTDVRSVEFRTEGWEMVLGARFVEPNGENTSFQASRYTVMDVEAAKHPFE LHKKRREDVIVHLDWMHHGLGTGSCGPETRPEYTLWADREYEVEMVLY NEUTE1DRAFT_88314 MKSISVIQLLLAAAAAPVRAAASWKNVNIGGGGGFVPGFVFHPT EKGVAYARTDIGGLYRLNADDSWTAITDSITTDDKWNNWGIDAVALDPQDPDKVYAAT GMYTNSWDPNNGTLIRSSDRGATWSSTELPFKVGGNMPGRGMGERLAVDPKNSKIIYF GARSGHGLYKSTDGGVTFSKVSSFTAVGDYVVDPSDTTGLNNDKQGIAFVTFDSTSAT TNGATSRIFVGSATNSTSSVWVSNDAGATWSAVAGQPGTYFPHKCKLQPTEKALYLTY SDGTGPYDGTAGAVYRYDITNGTWKDITPVSGSDLAYGFGGLGVDMKNPGTIMVASLN LWWPDAQIYRSTDSGATWSPIWEWDGYPNMNQYYGLTTPNAPWIETGFLSQDTKHLGW MIESLEINPLDSDHWLYATGLTVYGGHDLTKWDTVHNVTIQSLAVGIEEMAVLGLASA PGGSELLAAVGDDCGFTFKASSDLGTSPKTPWMTPQWASSADVDYAGNKPANVVRIGS GSGAQQVAVSSDGGASWHAHNGTDTTKSSGTVAYSADADTIVWSSGTSGVVRSQNQGT FTAVASLPSGAVIASDKRNNTVFYAGSTTGTFYRSTDTGATFTAVSDALGSAEAVRDI IAHPTVAGELYVSTDAGIFRSTDFGVSFTKLSGLTNTQSISLGVGSASGSWNLYAFGT GANGNKLYASADAGATWTDVQGAQGFGSIAVASSKVLGSGNVAGQVYVGTNGRGVLYA QVSVSGTVPDATSSSSAPVKTSSTAAAAPSSSAAVKTSSTSYAAPPTTATLTLSSVKT TSTVGAELAQHWYQCGGVGWTGPTACVSPYKCEKQNDYYSQCV NEUTE1DRAFT_88316 MALSSSSSPSEAVSRRQSLSIGCAPKKQLNNIEVLSLMRQQREH PSPLREWSPVHPGIQLRVNLLNKLISDLERTHLRLQQEAQKTQQASQIVQDFAAWPSI APEYAAIRAANPWLAKQAAKYARPVIEKEYTQAIAFLQAKQRREQLPEGDKLKMISGE ERTPSPASSPEEHRQLRKKASSQDLRSSLITHQGSPAPRRPRTPPEKEGGGRPKTPER PTPTVTRRPKKTPSPRQRPPPVNEIKKLRLSPHDSSKSSSSKTRSTPSGLSPSPMTNH LSKPKASPLACLESMGIVLTPEQRLEFAQRAEQLEAMAYLELRWHRLHYAMTEIQGFC VRGLAERESERIAKMMYPIRRRRSTATGGSGVSALRGLLAGLDEDDDLTLFGSDDDDD EFENELCHYEDEWEAESEDSDLDDECDSGENDDSDEDNFPGSAVAYNNRKYGGRGTIS TSTTPDLFARAGAQSRLSMSVDIEQQQQQQHLPLNPHHPPLPDTPPVISSIGNDIALP NIEAGPEEPIALTPPATPKPAPRKINLNPRNVTLPPSNPRASTLNLNLGLGGGNLRNK PNPALAKEIDTLLPCEKENLPSTPLKTLNINRSNARLLGGTGLLTPTASGQSNGGKKV GIVDLGIKSRPPVLRKLGVMSAKEVDGGGKGDAGGRKEDATKENQPPAVGNGNGGAGA PGGGSSSSRPGLLRPGLGRRFSYHAGGGRVLG NEUTE1DRAFT_148974 MTASKPRTSPISPRPKSNHAHRPAPLSEFQNLGNGILFFDPAAE TSPPNHDGNHNHDDNHFHTPQLIVLCTWLGGATPRRIAKYTSGYRRLFPASPILVIQT VIADFTVRSEQAIRRNLAPARDVIRHILLTRDRSNSLLHAGGAYNAPAENESHGTGTG RTGILLHIFSNGGCHLSLQLAHSYFSSFGHSLPVTLQILDSCPGTFSIAQTYGAAVHS VPTHHPWVVQSMERWGLWGAVVLVAGIQHGLPRAIGENVVRKKLLGGVGVDFEGMRGG VLDDELFGGQGKKERTGRLYLYSKADEVVGWRDVERHVRLARKLVVKKKKMMMKEGGK DDEQQGLVRAERFEKASHCALVMEDEERYWRAVRESWEGQMERRRMRRESREDEECEP GKVVLGATDEQGGEGGVGEEPGTRSGVEEGVEARAAEATKSRRGRTSKL NEUTE1DRAFT_49946 MIANQESLGKAINSAGLHEPVNFRWRVTGYYTPDLLESHLERLT KATEKYLKDCPWPAKELEYGSLRVKSMPLCSREERNKAPLDKNGRAYFDMTVHESSVS FKLVELHESLYDCLFPRRGEKYPVTKGSGFRCQLPIGGFEGPNHIFSINELWLTLAQN LLRLKAVSTESAEELSHVRNGPNAVQTIVHHLLDTYLTLWKTFKHTLAAAKDEDASPK MIKNVVTNAEIMLSSLNRLVNNIERHVGKPVPGCKSRFFLKRWIKNYFRTAESPTVCL DTDITGLDVDRSKCMLHRKLRRYEAALCNMIATVRLLDPSTCKKFEQVVDSTANTPDK ADFLHMYAGTRKGMPNLTRGRLLDDIKGFMEGVEDTHRKLVDHLEVIEQEIGWSIDPN SRVSWFHSAELKEKCYDSDSIDSTD NEUTE1DRAFT_124916 MNDVPFLIPPTRFLFQPAHRPKHDIGTLASPGRRGLLELAQRDL TCASDETTCTQGSWCCGNGSTCSLDNGAFFCCPPEAGQDGCARVCATGDFQCGNVCCA DGQTCMGADSGSPYCVNPSTTSNTLMSSVPLPTSPTTTALISSTVAQTTPTLMTTPSS STSTTSDTTIPISSSMSSSQAAAAPTTVSPSPDREGGIPMAAQISIGVIVPVFCIFLV FGLWMVLFRRSKSRRLSMGDAPGEFPPRFHVVAPSDLDRPSSYYPTTPPPAYTKSATT LDSTVPAASSAATSVYGNESLSPEQGMEMSTLSVPLSPSPRLPPLDQRLSVMDVRLSV ASTTVGTPEHEDQAGHWPETDGTHPGSPVDPSRATVYYPRHTVDQSVDHNGVRPGTAL NEUTE1DRAFT_69638 MGNFCSTCFGGRRSDDYDEEDEAQHLFDENNMHYGSFDQQHMMN QEDPQETEREIAALQGVVERTSNNMVDIYDMVPHDKPMQDAPVPYGFAGQRYNALLSK LSTHDDMASVARVDWGTPEDDSMEMLRKASLPTIPIKAEGGEALVGNFTDAAAAMR NEUTE1DRAFT_69643 MCYFEQTLWSCGYWKWGNFRQQCNKEYRTGETCGLKLVYETNFI PSPCKICEQITKKDRRLRKMAEDIERWTREGNRRATIEKTQADMLGVRGQIQELWRGH EEKQRSIC NEUTE1DRAFT_104157 MALKPTLAGYPSAMWADTELSALVLVPLAEKILTGLSACFSLMG NLAQPSPVLEVPSKRLGNRGPRVCKVHEEWREQGNMYEVHAYIHPYMVHTYVRTMWES ATRANEDRLYRRWKEQRKR NEUTE1DRAFT_118301 MSRKLARKLASIHLARRLAARPSAEQLVERCVLPAECVPCGTRK TVMVAPALVAKKRAVERERVKDGLRGWIGEVWMGRVKEREGKVRKEEEETGVGRVWRL RRFWEGVSRGRV NEUTE1DRAFT_148978 MPGQTPTTTIACEQTKETTVSPAGTTATTTTTVIEETTTAATAT ATEPAQPAAAAESATTAVAEEKKIEETKVEEGNKEEKKSTSER NEUTE1DRAFT_88327 MADVRALLRQQRAARRIEHPHAAYTDAGKLVCVLCREHIKTEAL WDGHIRNTAHRQRLQALQQQQQQQTEAQDESTDQSHKRKFDFQDDDEATTPVSTDETD RASKRTRPNTLSPEPGPAPPTSNPAEVTKPPTLTRQQSFTPTAGIELAIPSRPATPAD ATTTPKSAGGPLRPFSQLSEQQKSQPSTSQSTFTTTSAPTPTSADAPQAPQAPAVDDD LWASFEADLLATPSNKPPAAAALTGGEAVISAAPVSAAQAAAAKSHDEEQSLRRAAKD MEIEDEREEATRALETEFEVMEELEARARRLRERREALRAGRKLGGAGQGAETGAGVG QGEGMIDTPMGGGDKDDVGEGVAKTKSAAAAAVLAALGKENAAGTTKGKVVVEEDEED EDDEDDEDDWDGFRFRA NEUTE1DRAFT_16401 MADTEDDNSALASFFPDPPLFWKAFTPSNLQAYADIKQQYASQH GIPVEDVVRVPDLPADSDLIYLQPPAEPKDGTWRLYGEPQSLEESLQPLESAGIEPPG GAPSTQTHDTLHLHLKRLSKSLLLNFLELLGIMSLDPAAGSQKAADLRTLFLNFHHVL NEYRPHQAREQLIQLMQERLDQTRAETAANRAVAEKARRVLEGLGSVEIPAVDVNVAG TGTGGGEGDG NEUTE1DRAFT_88328 MLEPEPRRSVRATKGQHKALEQLDQIDIPKKRGKKAAKKAVPEP EEPEEEIIRCVCGATEQDEDSGEPWIACDMCGAWQHNICMGMSQYSEDLPKEYFCELC KPENHQELLNGMKNGERPWEARRKAFEEEKAEKRRRGNKKKSKRVSDHKEESAQVSQK PKPSPVPEPKKDAKSAAGQKRKTTEPVPEKEPKQKVRKVTETQAPSLPSYKAPDDIAG KISELPELRQGAAKLLSKSLVHSIGVAEKKGVSIPSEGVSATAERLAIQIERAVHDSH QPTAYSGQMRTLSYNLKTNQDLTTGLLGGTLTPTMLASMTTEELATKELQKETAEMKA RAEKQSIMITEDVPRIRKTHKGEEVIGDESFNLSTDVSSAPVRRPPQDTAEPPKKRVK EEGPEKAAQGAASKRSSRDLTVDTQKSPSTGNFDINKVFSSVRSPTQSHHRRPSAMST STGPGVDADVDRLLDDGTQSPPYSPKEEILDPDVVWKGNLVMNTIADFQVTAKHIGGA KLKESIGLEWDKLMPKTLTVCGRIDEQQAIVYLCSLRYSLPTDVVVVNLQPTSAASKP QFQRLVDYFVSKKRYGVIGDRGVANVRDTYLVPVLPGTGQYPEFMLNLADNHIPETRT EPMLLAVFVYRNDPDTIMRLHGTNDYNQVVANQSTKVHTSFANTPAPSSPALANLPPG HRPSLSTPAFSPTSPQSTFPNYPTPRHSSTPSQVPPQQQPQPTPPAQHSVSQMQHPAV DQVQKQGEAIAREVLGSLITSPTVAFLLPQAHKMSRKEWEVIKKIYERDPKARDDLPY LSNVLERETHHPPHHQPQHQSQHHQQQQQQQQHPPKHQAQHPPQHTPQHQPQHQSSAQ QPQAAYPSQPASHHPQPPQHQQHQPPQPQHAQPHPQAQPQQAHPQHHQQHFTPPVRTT PIPPPPIPPVTSGSGGPIKQTPIPPPPIPPQAATAATGPPSA NEUTE1DRAFT_148981 MARRYDSRTTIFSPEGRLYQVEYALEAISHAGTAIGILAKDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMTADANILINYARQAAQRYLLTYN EDIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGWDPQRQFQLYLSNPSGNYGGWK ATSAGANNASAQSLLKQDYKEDCTLKEACAMAVKVLSKTMDSTKLSPEKIEFATVGQT KDGKIYHRLWSADEITALLKEHDLAKDDNTEDK NEUTE1DRAFT_141018 MASRTRAGSLGSIESKHGLVGSVSRWWTRSYTSDWVAFSLLLVG YIYIAAFVEPFHRLFTINDIRISFPHAEVERVPLAHLFGYVLFLPLALLTLTNYLLSS PRHIHHLTTLGFLTSIILTTFLTDLIKNMVGRPRPDLIDRCQPDPSTPPNKLVSVEVC TQTDHHTLHDGWRSFPSGHSSFAFSGLGYLALFWCGQFRVFASSSPLSSSPGIMEGME KVLVRRDLLKALLCLSPLLGALMIAISRCMDYRHDVEDVCVGAVMGWVITYWSYRRYW PRLSSVRCEEPYSGMTGERDGDGVQSSGRYGRVRDEEEGVIGGGFQRNVGTFDLTAPV ELGPLESPR NEUTE1DRAFT_104162 MSPSLLSLALLVWALPVQVHSIPHQPPQNGNFYPPKLPPAPCGD LASTVTVTASSVETVTITSSTLSFPSPSSLQKAVVTVTVTEAGLRVPPFAVWSQPAEI QTIQTVTVTAYPGPQQQPQGQPQPSWGPDAGAGVGWDHELPNHDDEDPAWGPDRGPLV QTVTVTEPAGSASAPASTMDSISVVTVTRPAPVFNHPHNGNGWPHLEDGWRYSDWASH PKPSISVIGDGLSLDTVTVTMSGGATTSTTPSDPWAEHSVPPTWPKPQWPHFDPHPHP PYHPDPRPNWQPNTTVTVPVEVESESLPYHSWGMGKGWGNPWNWGNRNQEDPDEAQKI TSNEGQEKNNDSDSDSDSGKEDQQKENKKEGGTLLDIDLDLDLDLGLGLDLGLELNLG NEUTE1DRAFT_132169 MSSPTPTQKTIADRPPRSKQRVSMTWAEEDDPSSKTRTLTLSEQ YVETKTVTTTTTTQRRFSPIQIREPQMLDPKEYPLAAQPTPMFLKNFSSTYTIPQGSQ NNGQIWSPVGGFGVDGNSSQHRVENIDYIEEPTVESGGSLTPSLIQRRPQPKRLQRSS GRFESPNNSPLPRSTARLGVLTSDTELRRALAKGPRLQRSPRTDTDYLATPDEAERDS GDFDHDAPALQLVNTTEAETSQSTTYDDAESQAGSETQIVFSAVATPPITDVEFQPAA DYDEPLQQTVRGLHASHAISAVAAQDASLPSPRLSPTLAAAQLQLGPLDDEGADDEVT ASINDFGRSGPSQWLDETQRTGDADAEMVDDSLVLSPGRCDPTISPSQLDGAYHHTLM NPDMMIDSFDAMPTQMKSWMIYQLLRRCPRKTLQAVADVVNPALKCDFFRRLPTELSL HILSYLDHRDLCRAAQVSKQWRNIVDSNETGWKELFDRDGFQLAPGELQKAIAQGWGW QDPVGALEGEVDLSQHSKLTSSESELFKSAVKSEKSDKADAGPTPRTRSSKRKRHAGH TNTERSKRRVSFQDFRERFHQTHRSEGPMSAANAAALAVPDPQIGLPSLRRLHLYKSL YRRHYMIRQNWTSGKVRPSHVAFAAHPRHVITCLQFDEDKIITGSDDTLIHVYDTKTG KLRTKLEGHEGGVWALQYVGNTLVSGSTDRSVRVWDIQKGICTQTFYGHTSTVRCLQI LMPTETGEVKNGEPVMMPEKPLIITGSRDSQLRVWRLPEPGSRRYIMNGPAANEENCP YFVRILSGHAHSVRSISAHGDTLVSGSYDSTVRVWRISTGQQLHVLSGHNQKVYSVVL DHKRNRCISGSMDSFVKIWDLDTGACLYTLEGHSLLVGLLDLRDEKLVSAAADSTLRI WDPENGKCKHTLMAHTGAITCFQHDGRKVISGSEKTVKMWDVQTGECMQDLLTDLSGV WQVKFDERRCVAAVQRGNLTYVEILDFGAVRDGAPPEELGKQKLRINDNASGHESMDL NLAFIQSTCQRNAAPSK NEUTE1DRAFT_132170 MAAGTVARPKVLYSCWRREHGSGTFKDSLGQPRVSHVSAIHQGL AVMRRKKGFGAWRSIVCSCGLVSVPFVTTALLVRLSFIAWVRWMQYALRARLRIVSYI SRLPSDSELVVLHLRVRVKTAVKYVYTSLQSDSTLGYRCKSVPPKGRNPTIPPGRFDI NRPGHNPDQTPASTARASAVIPVSQSRSSSPPGRPGA NEUTE1DRAFT_49553 MPNPQTYGARGLRKRDIQLCKTVFVAAISQILYARRAFPSNFFH VLPLSDLVSKTYEEIMASGSGIPTFDREILREQSTTVFLHQDVDYDLKRFLGILTHDI FPILETENLARFRISFLRSTTWDENCLIEYYTLVFKYPPDGKCGIDIWRAGIGEQHIS DTNFKLWNLGDYLNRLPSLKGPLYWAMAFHANELPDIPTIGFWKFDRTEFDDANLKLQ QKEGYRYDRIASLEIEPLRSDSQQIESIPVVPVSQSTNNHKPSSPSVQLDTTPQELEA PKPRKQANTKRKAVQEQLRAINTRQRTLRAQETEKVNPPSKAKETKAGHKASPVQNRG SQGKTTAKGLQKKATRPSAKKPNAKAKQVTKTAQKPASTQKQAPTPGRPSTQKRKPKR PLQIYEDVTSNLPETQEVARESQTQQSQLSGTRSSKRLAANDGGPVGGNVSNTRAKRV KATHPPPPPPPPPARMSSTPDAQVVSDFLESIDEDHLLDTPPDAQRGPPSFAVTPAFL RSDPVPENMENATAGDEDIGDSLISDPNNRYPPTDMSFDFQPLLTSQTERMFPGILDS EDEDSPIACIISRSSQSLDGSR NEUTE1DRAFT_118303 MSLQVPYHTKANGAAAGGATKAVILVGGASRGTRFRPLSLDVPK PLFDVAGHPIIWHCLTAIAKVPSIHEVYLIGYYDESVFRDFIKDAVHEFPQLTIKYLR EYQALGTAGGLYHFRDIILKGRPERLFVLNSDVCCSFPLGEMLRLFEEKDAEAVILGT RVAEDAATNFGCIVSDAHTRRVLHYVEKPESHISNLINCGVYLFATEAIFPSIRTAIK RRTDRPNRLIRYPSAENLESSFFAQQAADEDDEESEKRSEVIRLEQDILSDMADSKQF FVYETQDFWRQIKTAGSAIPANALYLQNAWQSGSLELAQPQPNHIIAPVFIHPTARVD PTAKLGPNVSIGPRAVVGAGARVKESIVLEDSEIKHDACVLYSIIGWNSRVGAWARVE GTPTPVTSHTTSIIKNGVKVQAITILGKECAVGDEVRVQNCVCLPFKELKRDVANEVI M NEUTE1DRAFT_88343 MRRANQHARIKREPGFQQPMPNSGLARIDEDDNSTVAHSEVERR MQRSDAPSVDTRLAPGASVIGDMDVDGEDEDDECMLMEVKTKTEVVEQDLPTPRSFVN MDRSFIGPGQALKDFGQELKDINDALGELQARGIQHVVSLPELVLVGDQSSGKSSLMS AIAGLSLPRSSGTCTRCPIHIRISRADEWSCRVFLKEDYAFVPRNHPITLQDVTDRDR FPPWVKLDPSRQTRKEFKTIRDRFDAEEIETLLRCAQVAILNPSTPYRFFVPRLKNES GQSDAARREHLDRIKEKEAHSEAQFSPNTVALEVKGPDLADLNFYDLPGVFITAKRAE DRFLERVVRNLTCEYISRQNAIILWAVPMNQDAENSFAFKLIQEMNAEDRCVGVMTKA DLLPRETNGFSSWLSMLNDKAHHTGLGYFITSRQGSDLDEQNKFEEAFFNRTADSATM GQWPEIFEKYRDRCGIEKLKEFLSITLGREFSKVLPEVKQKVYNRLLRIEEQLEKYPN PPPNPEMEIMRSLAEFATNVKTRVLQQEFMSQWDCLFAEPFKKRILGCKPKFNVKEPD VITIDDNSPMTTPAPLGQTPRKRSMVHDIAMTPSKRRGQIKVEDSDRMIMSPSTSATM RGTPGPGNFPFSPGPGGGPPPRSKNLMELRTIIRRSAIPGQPGLVSASVYEPLYTEAA RTWGPHLENFVNHTIQFLQNEVMKILDNAFSNLKNRAVYRESVEHMKHFMEKHKEEVR NQLNLIYNLETQRIFTKDEDALQRNKAAEKRILERHRHFLRMAVRTGESPAIRKMEEL TEEELVQEQAKMAKELKVIGPDPYDQEITVAAYVRGYYLTAASRFIDIVAIHIMSGLL PRVASVIDTYLHEKLGLYSRTFGSGPEIFMRLMSEGPETERKRGELRVEKERLDQAME IIVNLENKEQMATATAANSQVTTQMMSQGHGGQRPELSIRTNANGGVATPVSERAPTP IGTYEA NEUTE1DRAFT_124925 MAPSSRSVLLQGAFLLPFLVSSIAAFPYNTNSNANSKAVDANLN LLKPRSHFPTLFKRQQNTTTNSPAAADIDDDDDSDSSITANPPSNPGNRLGCYRDDSP TSRLLPTSFTASDTLTLDSCAIFCGSSSPNFGVEYGRECWCASSTSGFSPTSPSTVAA KVDDAQCSFPCAGDRIEVYTNALYRPPRVANVRGFDYLGCYRESSPRLLPNSLLGDDT LTAEKCAAYCTDLSFPYFGVEYGRECWCGVSLPDAAQQVPETECSMTCAGAGDEICGA GNRINVYGRQMVMPADVGDYAYQGCYTDSPVPSEKSLTGTVMYDAEMTLDECRLSCQE DGYPYFGTEYGSQCFCGTKLYDGNDSDNEGDYPARAFLVPDRECSMRCGGDATNATTC GDANRLSVYWNGLVSGARNLGPLDPEFASLQNWNYRACMRDDVVQRTLTGPTLRRNDM TVETCVAFCNTGGFLYAGLEFQSECYCGNELNGGDDVEEVDDSQCEQLCAGSETEYCG GPNKLSLYQRAVLLGPDEM NEUTE1DRAFT_141026 MSARPSKRAKTGHSPLRVTRIQPHRQCANRPPRFWRFLELPAEV RNMIYSQLLTIPTAADVAGVWESQPRLDLSLLYVDKQISREAAFVLYSKNTFVFLEPC DEHRDDSPDAQDCARHRSCNWLRLIGPNNALSVRNLHIRIRAERSSRPDSYYSDLILA LSGLAPNLTRLAVIAEKHAMQTRPVTIPAGPAGSLTGGHQQVLEMHWNRQWDPNHVTP INTWTLYQMRFGLRKFPNLMNLVLAGFEQPGQFFIPMCHLINRNRRCRIHVVRRTVAS RSCRKHPNFSTLWNELPVLDQKGRPIPKPEKKKGGGGACGSGGGNLTADDAQATTTTG QSSVAGQQNAAAAAGGGQNAAAAAQNQEEDDGDAASDDGWQDIEALASDSGAEEDDEG EEDDMII NEUTE1DRAFT_104169 MTLPSQPFTCLLGQVKSPRDRPSGSPPAEPLTALFDNINTHLMM DSDSALDSEVEVDVVIVGAGLSGLRAAVELHKAGLTIAVLEESNRAGGQCCTTFSRHT TRITFIGDTHTEMLSLAKDFKIDLTKQHKEGLDLQQRYDGFQDSPQVSETIPALETAV WPPEEYQLSFRSLIEDPAIQKFYRRISDLSETWHGPDALFLDAVSFLELVEANFFHSK AVHQEAHFLTSYLLSADPARVSALYVLDHIASGGGLANLYFHPDSPGGGAHHFRVPQG PRAFITSLADLLPEGCIHLSTMATTITQQTTPISKIYYPFHPCKVETSPPSDSDSTAS TTTKTFYAKKVLLATPPALYSPCWSHLPEVLTFHPPLPPHKLASINRHSGRYNNYGIF TTVTFYFSQPWWREAGLSGSMDCRVTRDLDGPISWVRDTSEDKGGGKINTNKKVWSLT CWCAGENAYEVWKWYDKTYPVDDEKPEEIKGGWKASPVWMHLLRVFKERMDALEMEIP LPRKGSLNWKEEEEKEREREEGAGPPITYNVRWWMGMGVTPPRELPSDGEELEELLRT WEYDGPGKGDATMRDPFRNVHFAGTETAEEWRGFMEGAARSGLRGAKEIIQALKKRNF KDGEERWILEMKFAVANSGWKPPTPHPGTTGNAG NEUTE1DRAFT_141028 MIPFTPFATAARQVFAFLFLLLTTLTPLVASHAMMTFPIPYASP QQGNGPINGASFPCHGGAGASLQLPSSGYNVFPLGSKQPLRLIGTAVHGGGSCQISIT YDNPPTPQSKFKVIKSIEGGCIARSEAGNRPGASAVQVNPDSYEYSIPDDIPAGNGTI AWTWFNKMGYREMYMACGPVQLTGEEKPGAKETFEKLPDILVANLDNGCGTPENRDIL FPNPGRNVEKANGATDAFAAPTGQGCQKPTGGALGGGTLGREAATPTPTPAPYPVANG TATPMIERETGATGTAGTAAFPAAVSVTGAPEVNINGSTSFPAGVSRGITKPSLDDDR VPVATTPPTYTSNTIPPSTLLTSTIPISPKSIIKKRSDGTLPETVPPTGACPAYQDGW YICHLPRNQNYGFVYYRCASGRWTGAMHVPAGTQCTIRGDKYNAWVRQAPERELTLEM SAENDDDPLVIIPVTVTKATPNAPMRPTAKL NEUTE1DRAFT_132174 MSRLGSHPVEKATYRRETSSMAHQTSICVPEPMLHLLISLLSMA MMLNHRSSHDDSGLLAACYAAQRLFTMRFLSRASGVADEVLGECMAATKLVASRTFGF CHESTGVVDEEAIRKRDTDRYQPPSEASRHRRQDSISRRALDNPYSPKVSATSTLIND TSYSTTLPKQPSLPLSVEILALTKPTIEKLLQDSGSVGASIGVLRHGQQHFVNLGTQG LEVATLPEKDTVYLISSMSKPIISTAVGIMMDDFSRYQLKFDTPVSAVLSELEGSPGI LKTYTNQELTIGDLLDLRSSFQWMTNLWESPNGDIPWKTIVPVTSLLQHLPANDTYTS QEGFVYNRNYSNECFALLAMIIERKTGHSWTEFVREKVFEPLGMHNTFVGLTEAERNS KPQIFAKSHSVQVTGVMPELLQCTDAHGVVCRTKIAECFDRHYGHIPQSVEILPSQAS GAGPVGPPPVAAAAGIMSTTSDLLKFYSKIMDVCNQRQQQQNSKLLSYQDHLSSPLER GINHLFSYIDRRLSSNPFSSTCTYSGGWNTVTVPMTPEERNASPRPRWPGADGDNSRR LESAINSKVHGDNLDYTFFVTKPELNVPNRNTDIVTPPITEPEKDNKHLALYHGGNMV GSTSFCWLLPDHETAVVVLCNTRGFLLDAANLSGMLLAECLFLAESDSDSHHDTGSIV KTVRRICEDTKKMARAIQIDYLYNLARYEHTLASRFRELALPPHAGKPELERFRGRYE LTQDVFITITREERGLILRLYGTGYGYLLRELHEMVDDEGLEKTMTFAQPMAELIPSG VGGTNRLKVGDFVVVFKRETMAGEWKGFEWNFARDKTTWKQGDTSPYFWRRMTEKGTW V NEUTE1DRAFT_141030 MARRTSHRMGPSDVVILVMGMTGSGKSQFVSKLTGENAGVGHTL TAGTVSLDLYSCVENGQRIFVADTPGFNDTQISDVEILKDIAFFLGQLHLRNVRLGSI LYLHSITDNRVSGLALRTFNMLRALCGPQALNCAALVTTKWGALRSPKDDLAACDREK ELMYTSNFWGSMRQHGSQSYRWLGSEASARSIVREVIQTSCQRTFPPVLQIQRELIDE KRPLDSTSAGREMMARYEDRCQKVKQELDDLRSELLSASGLTREELNEGEAEVNRLMD ELSRSETCQHGLRVSQRDLFDQKTGEYKQLYQGIWEEVEQENQLISEIGRRLSAFEQR LSYLEPKTDSTKGPATAENPPVPPRAGTWATVRRFLKRNVLPLLGVLAGVGVVAAGAA VINPPLIMAGAQLLALSFAGVQWHTDQGVDGPDLIAGVEGVIRACPPTPITAGFRMHT NEUTE1DRAFT_124927 MSTISESQHATKFDPRPTDIIVAVMGMTGSGKSTFISHCMGVDL GIVGHGLQGYSDVLKAIGTWMGDTYSKDIKLNGIIYLHRITDPRMVGSAKKNLIIFKK LCGQDALKNVTLATTMWEAVPQSDGERREHELLETQDFWGYMRSNGAKVERHYNNRES ALQILSKFFTEEQVVTAMQEELVNGKKKLSDTEAGMELNSEYRKLEEKLNRQLQDRMD ELEEAQKKKDYESTVFLKECRKQLNDQLAQVSQQREDLNVTLAKMLATSTFPIVRAPG GFDSSARRPSYTGNAGQAEAGRLLNPYLDPRASGNTPSIRVRRSVVPSLSSKVEFCQA IMTERKAVVPLTQTMILASLNAWQIRDSGVCDDGRRDEPSIVHQH NEUTE1DRAFT_124928 MSTTTRDIAGRFPALRSLSSSQLLPTTAPVLARHNSTTATSQMP LERKAIEIEKRIAAIPLERYRNFCIVAHIDHGKSTLSDRLLEHTGTITAGDGNKQVLD KLDVERERGITVKAQTCTMIYKHRDGLDYLLHLVDTPGHVDFRAEVTRSYSSCSGALL LVDASQGVQAQTVANFYLAFAQGLSLVPVVNKIDMASADVPRVLEQLETVFELDTSTA VKVSAKTGQGVGEILPAVISNVPAPVGDAKKPLRMLLVDSWYDTFKGVVLLVRLFDGT LKQGDKVYSFATGNEYIVGEVGIQYPDAVPQKVLRAGQVGYVFFNPGMKRIQDAKLGD TFTNVGCEDTVEPLPGFEEPKPMVFVAAFPTNQDDYGRLADSIAHLVLNDRSVTLQKD YSEALGAGWRLGFLGSLHCSVFQDRLRQEHGASIIITEPAVPTKIIWSTGEELIVTNP AEFPDPDDHRIRSATLYEPFVNATVTLPEEYVGRCIEICENARGVQKSLEFFTATQVI LKYELPAASLVDDLFGKLKGATKGYATLDYEDAGWRQAQLVKLNLLVNKKAVDAVARI VHVSQVERLGRQWVTKFKEHVDRQMFEVIIQAAAGRRIVARETIKPFRKDVLAKLHAS DITRRRKLLEKQKAGRKRLRAVGNVIIDQSAFQKFLSK NEUTE1DRAFT_148990 MPLPHFTIAPPPPAPPAPATSTSTSSKIPTSSNNPGSSASATDL SSARTPPATVTTFAGSASYTSSPSLRTRLPDRDFISPGLRNPYPQGQRPSRPPPPPPR QQQQQQQHSERFGTVTVPTAAEHNQSTFSPFPPDRLPPKVPTDSRPSQDLLESFNEPL LHGPASPDSTKGLKPPSHKRGHSRSGSSSSIGDRLRNFNRWSVSSASSKGSNGVGSSW RIGWDSGKEREDSPGQKSHKRRPSTSEISPRSVSHLRGRSDSPLRHPIPPLPSLPRIS TGPSLVEAFRHQASEIGKQSPVPPRRYYLRPPPDDNAAFWDGAPQIPEDTPGSLPRSH QAAGLLPPAELAPDRMMPQYTQNGDPRGQSRGRSHGAKSSTDSTASNRNRDRQRHRSD KKAMLSEALSKANTAVQLDNGQDFEAARRAYTEACHLLQEVLQRTSVEVDRRKLEAIH QTYVGRIEELNEILGDSLDEKALPEEPESYDERGYMRAQAYNGEVSDDEPMLSTYTRE RSRTREPSLSVQTQFRRQPPSGRPPAPPTLTLQTPGGSNGPTSYLSEQYSLQSSFSKA RFEKAPMDNAYMPPPLLPRRPLSPAQPPPPPPAPEKDVPRQQVFRPDYSMSGAQATSR NYKTNGGHQRDPSHESISWLDPIEESGGSSASSVHSRSSSTGIRRKHIRAASGDTEAE FDAALDDAIEAAYDEGFEPEDQYYTDGHDAVTGSSYPRDQVQDEGMDALELANERERK LRLQQHLEDEEYRKRGWTGHDDFYDEGHDSEEEERFLEEMTRGYQIEDFAFGRNNKQS IPRESDSSGVTNRTWNSSTGSNQNTSTTLLSTVSESPTHPEPKGPLPPLPPPPAGALP QLPDRPPGTSGSGASNRSVRQRRLSGQNLKQLKIETTKLAQPGPTTAGPAFPPQPARS HNYIAQQRQALSAGPHRNANPLAARRVVSPSMGEGGAPPLPSHLQDDYPPRAGSPSVG RPSLKKTFSSSSLRSAHRKLSVSHNDDVFDMSPGTPVSNPFGISGSTTRLPSIPSMPT PIAGSFRERADTVVGTAGMYLFDAEFHSANDPGSPNGTLADAPAPLEPCPSDVLLRPF WLMRCLYQTLCHPRGGYISNKLFVPRDVWRVKGVKLKYVEEKISQCDLMTAALQKLAR VDTCDADAVLEEMQALETVIESVEKFLVKKLGASEVGPHATSFKDPVHAEDGSLPRSA SVSAKTSAFSWRRLRSKNSSANLTSAGKGSSGAGGTSGNVASTPIEGAGKDIICPSLP MTTHPTNRPMKRDVGNVLFQGPNANYMSSLARLFDAAQLVDQIARQVEDPGLRHADKT QVGLELSTRHAAEFFALYICRFVLSDLTLMLDKFLKRGSEWVLV NEUTE1DRAFT_132178 MSPSCTTSALKRPPYPTEPHPPPSPGATGHGAPHTTSHSTGHNG PTSSATCWRRGNSSSGNPTRNEQRVVAVRQSVSIQSAAEGDKRRFCGLPFVLPSNNIP RQPARATPTLTPIGHLREREDRPENQETPPQPIEDQQSRHSVTNAVRVVITESRVSTA ERRRRKDTGVTRAREHVEMSEVPGGEGKGEWKGKGKRPHQADHYNQEPPSPALNQPTQ QQQNRQYPSYQSPPPPPRPPSQHSQSSTLQSPLQSPSPLPFPLSSSLPNLSALASKPT PKPAPVPERAQTNPTQLPTGLASPSPTRTTTRLPTPASPLPLPPRPLTQPTRSKTAPS VPGDVSCFRHTHQSNEPFRDGKATEAAASEQEQQQPSTSVASASSSSTHPSSLYVYTP VSESAVSTSSYVTAATGLEDLPSNDPTFARHVANNDPEGETMLSGDHINSGQDSAGLS VDSDADSAMGDITGALSTSSLRSSIYNYIEEHGRTFHRYKQGNLQHAVFMRMLKGKFG LAPVTNPQSVLDIGTGTGIWAIEFAIQNPAAHVVGTDLSPIQPEYVPPNCHFEIDDAE DEWIFSQQFDYVHVRLLFHAFNSHKEVMMSAFRQLNPGGWMEWQDYYPHIQSVDGSIA GTALERWSRMYIEGGQRLGRDMLAPRRYKQWMEEAGFINVVEEKLVIPGNPWPKGKEQ KVTGVWQMTNFLEGLHAMSMTIFTKGLGMSPEAVEVFLVDVRKDIRNLRIHFYFLTNH RYPTTHDQSGHHGRRLHKQRDDARLHSNSRRVEHGWGI NEUTE1DRAFT_88359 MKASLMMLLAPLAAMAAPTPDNLEARQASQSIDALMKAKGKLYF GACTDQGRLTSGKNAAILQQNFGQVTPENSMKWDSIQPQRGQYNFGQADYLVNWATAN NKTIRGHTFLWHSQLASWVNNIRDKSQLTTVLQEHITTVMTRYKGKIYGYDVANEIFN EDGSLRSSIFYQVLGEDMVSIAFKAARAADPNAKLYINDYNLDSPNYAKVTTGMVQHV NKWVAAGVPIDGIGTQGHISAGGGNNLAAAIKALAAANVKEVAVTELDIQGNNAGDYA TITKGCLSEPKCVGITVWGVRDPDSWRPNGNPLLFDANWNPKAAYNSIVQALQ NEUTE1DRAFT_50117 MKVFARIGTIALAALYLQQCSAQYINEQYTDPVNKITLSTWRPD PGSNSGGGDAATYAFGLVLPPDALTKDANEYIGLLRCDVGDAASPGWCGVSHGQSGQM TQSLLLMAWASKGQVFTSFRYASGYNVPGLYTGNATLTQISATVNSTQFELIYRCQDC FAWNQGGSKGSVSTSSGLLVLGRAAAKGNLQNPTCPDKAIPGFHDNGFGQYGAPLEKV PHTSYSAWASLATKTTTADCSGASDPAPTGSEPPAEPTSTAEPVPVCTPAPSKTYDYI IVGAGAGGIPIADKLSEAGKSVLLIEKGPPSTGRWNGTMKPEWLQATNLTRFDVPGLC NQIWVDSAGIACTDTDQMAGCVLGGGTAVNAGLWWKPHPQDWDYNFPEGWKSRDTVPA TNRVFGRIPGTWHPSQNGKLYRQEGFNVLANGLSKSGWKEVIPNDAYNQKNHTFGHST FMFAKGERGGPLATYLVTAAARKQFTLWTNVAVRRAVRNGSRVTGVELECLTDGGLSG TVNVTPNTGRVIFAAGTFGSAKLLLRSGIGPTDQLEIVKGSTDGPTFISKDQWIDLPV GYNLMDHLNTDLIITHPDVVFYDFYGAWNTPIEGDKSAYLQNRSGILAQAAPNIGPLM WDELKGSDNIVRTLQWTARVEGSDQYTTSKHAMTLSQYLGRGVVSRGRMAISSGLDTN VAEHPYLHNDVDKQTVIQGIKNLQAALNVIPNISWVLPPPDTTVESFINNIIVSPSNR RSNHWMGTAKLGKDDGRAGGSAVVDLNTKVYGTDNLFVVDASIFPGMTTGNPSAMIVI ASEHAAQKILALKPVPSLPGGNGKGKWRR NEUTE1DRAFT_124933 MPQAVTEASPDLAIALDVPNREFNPGEPITGRVYRHSHVVSSEA LVSIKLYGRTKVRVDYTTNSGNSNHHHTVRSRFELLGSGPAFYNTIFRGPIHIPPDGS KPESWPFSFSLPFGPVNPQSICEGAHNKQKHSFLPIDPPDQITSHPLPFTFYTQIGSR YYNGIEAYVEYVLEAEFFEQHTSRFSSSPSAKKTATAVLPITIRPPPIPPLHPDEWRL GRWVKREGVRTQRLIPEFADSEELSFKQKTLKLFHSSKVPKYSFDVLVITPGVIQLGS VDPVPFRVAAVPRLGQADETSDEVKELERTPTVSVVSLRMVVKARTEVKVGNSRIWDR YTDKTDKVVEWSWMWKEGEEGMVLPVWDRRMGSGAGVGAGLEGEEKKEKIALGEKGQF MDEKAVLKEGMSPAAGGVGGGDGGESSSGGGGPVSGPTGEPPARDIGAELGLRFNPTE LAYNGGPAKLRALLYPEFMTYNIRRTHELKWEMVLAVAKETVKVSNEQVVRFVAPWT NEUTE1DRAFT_50089 MDSEPAIIETAPVTDLVLVVGPGTERIPVESAILKAASPVFASM FSPPWLESKSKEIPLPEDDSDAMRFITLTLSYHNEHELVTKPRTPQEILQIAIAADKY DLRTALKFVLDFLFREASKTCPVAKNEDGSQTAMAGEDIVYLCASAYALDRCDYFSRF ALDMMCYHRLSFFELMNDELISSILPSKFFERFIITYNKPGNGSILHRGMKTLQELLA EDKSFIRGHCLERSRAHSNDMQTNLSLSTTVQTAYEEFDAILCVYKLKNKDGCDAKHA RLTAAGPTN NEUTE1DRAFT_48831 MEDHRESSDMKGDPNREISATGDIILVVGASDSDDPQLRLRVQS TILREASTVFRTMLSPPWIESQDISAKNPKEIQLPEDEPKAMEVVCLALHFRSNLINP HWLEEQGGQRMLDVAILIDKYDLKASMRLITDTWFRQSMGCIMEESIYRMAAAWILEE EEFFAQYSWIVMITYTGPYAKLLSQGLLREKLPPQIFRNQKPICSVLSIIDDLMPLAE EQKIFPKSWCTEVKQMHNDKVMDTRLGRYFDWSGSTICSRLPEWMERETSLCLRCLRE DESRADYTYCRNNLLCEHSKTRQLGSTGSAMNLLGKRNTQDDDRDGRALA NEUTE1DRAFT_26171 MLSKSPSTMVKAGYKKIQDTCKMAHSRGQQWAWVDTCCIDKSSS AELTESINSMYRWYQNAYECYAYLVDFAPGSLPQYELEKCRWFTRGFTLQELIAPRTV IFFDRDWNVIGGKRDKGILGTIVRTTGISDTILSGSWPVGDFAIAERMLWASSRETTR PEDKAYCLLGIFEVNMPLIYGEGDNAFRRLQEEIIKRSNDLSIFAWGTPLNFESTKEE SVTERRYLGLLARSPADFGAT NEUTE1DRAFT_141041 MDENEQSRKDTFQALADALRVGDLLTILRLCDSSEPPISFTTTS ISPHLPIGRSPAEPVHCRPLGVASMFSHPEVVSQLLSIPEVLPHIDDPVFNLCKCPFQ PVDPHALADYGVSDDTGHWTALHLAICQGKDNVHNYGPRITPPDREPSLQIVKDLIKA GASLTVSADPSQQAKITILHTAALKGRDDVLSYVLSPDFPRIEEVDINARDHEGRTPL HYAALRYSSSSFLDDDKGDDDEEDDLPCIKLLLSAGANLEIRADHSQTPFTTALNFGC LSAAKHLLLKWGAKHQFRFLCLHTNRLCYPLQVLAYSYETFFPNSTPAPFPGQLGPVT RPTWEAQRVDLLDTIMGLSPPDRASTLIDCGCVESINIDDEGEIQVLHPLTIAAGDGS NPATAVSFFLNHGADPNALSGYTHGRWITAMHEAILSIPRWPTLMLLKFHPDYDAESG RIYAIGPDASVVGEANVPPPRIDTPTQLHQWTAILKCVVLAMAGARIRTPNSDGHTVL DLAILRSELHESVNPFDHDLRLQYRYCTYKFVGALLFSLIRPYKKDPSPQPLLDAEDM RYLAEKLREVIVRNPVLAYEMVAAGVDLAVLKEQRHEVWNEAMTARMNRTLTQGRVDP FYAMDFVDLLVNEANEDLELAVIIAHMKSMLL NEUTE1DRAFT_148996 MKYSAALTLGGALASVQAQWLDTESVSWPELEALGGGNRPWGGI DIGDTSIMPLEYILGELNAITGDYPYNYYYPYAYYPPPLTIPRPIPGYPVNTVGYLLP TTTIQFNQLNRQADCSALGRRIEYLTDPTVPEIVTDTRTQVTFTFPLDVLDKNCQFIF FLGNTSTINGSGRFTLLQNETPTTSCPTEDPPTIPETDQAVIATYQAKLADLAVVVDP TGNAYLVGPNTACPIPGTVLTLELRGLDDSSDVNEPTTDAIAWNGIFSGPAIKYTTPP SDTTNTNSQ NEUTE1DRAFT_148997 MRREVFFALQAAFLLLIVLSLYLGHDQLSQISPSFLKPNHWIHV NGTQASVSAIASPTTTTNATTTVANPTATTPADNVPIPTVLSAANRTAYVAAIMNPDE KNLPRLECPTFNPSRYEYLAVQDDEGEADVSGIQYFFALDLRECLHLLPRLIGSIVEA IRFLGPQSCALSIIEGNSHDGTAEVLAALRPELDALTTTYYFKSSDINPKQGDRITKL AELRNLALQPMLDPNNSKLYPAEANTTVIFLNDVAACTDDILELVHQRRFLGADMTCA MDWTYAGQDPTFYDVWIARTLAGGDSFFDIPADGNWNSAWNIFWNEPTARERYLAHQP FQVFSCWNGATAFTAKPFLEKKVTFRAPRKGECMQGEPQLLCKDFWWHGYGKIAVVPT VNLEYSDEKGKKIKEAKGFTSHLVGAEGHRDDAIQWQASPPEKVKCMPDYAHQFFEEW NKTQPGV NEUTE1DRAFT_124936 MVSSLPDFPQSSSVTDRDHHHNNSKDPGNDYCSTALLMLSNRDL GAFTYIACGKAPITDAYMAYATDAIITYVPTNTQGISTSTTGAPTSTSSLASQTAAAI ASSSSLTSTAPSASGPAEPNGGSDSNNNLGAIVGGVVGSLAVLCGSVVAIVWLLRRNR KEKALAMSSPSSTVERDMVDQTGSSDASVLNEIKYPDVYGSERGLGAPTYAVQELEPT EYRGWKPPQELSSMRSPRELSNTWVESMPVELPAGSFHWKGGSGHGNVI NEUTE1DRAFT_50435 MDNTNLPSQPPNSPAESRGSISSEDAPSGALVFLVGPAQEEILV DVTGLREALSVFAELLAPHAMEMQGISYPGFGFASPLPREIALPDDDAFAMKAIIYAL AFPGRFIRPATPADPAPGPSVREFLHLAVAIKKYKLQDNWFVPWPRWFEAAIDKVKAN GTAQEMVWLVAAAWQLGQRRRFAELGLDLMVKHEGRAGSYFRFCERDGVVQRALPLKF WCLIGERAARLHKRVVDLVRSRARDDGCGCGGRAEVNSAWASVYDEFGWVAAVPLSEL LGAAELLAEQEAASVAEECDCMGDRDLGRAAVKEELEKFKREAKICVECVLMDEGSHS VENSVYH NEUTE1DRAFT_27414 MPSPTSASNALTNTGDIPLINLSPDGNVIITINVSNEDDPSSQS ESDQPHSQPLIRLLVNDTIIRSASEKFSATLLSPLELERQHQSKLLSHPSDVWPQPPT PPKEVVLPIPDDNMDPDALRTILCVLHLRNDLLNEVPKARELLHIALLADRYD NEUTE1DRAFT_50189 MDLVAAAWLFRNRSAFAKLTVELAMRHQESFTWFLEDRVLEQAI PQKFWILLIERNARLRSNLLELIYILGQENEADGKPTPACNHPWHSIDDYQQLYKRFG SGRVRPSSNGYPPIDLVLGIETVNKHERMCPGCVCGNRELEPQAVQEKLERVKKEVRI CLECVEKPVELVCSHLD NEUTE1DRAFT_104188 MPSMSMPQQASTPRGPSSSGCPLLSSVDLANNRFFGQLGGSGSE EDGTSWWELGQGTCQVGDDTNNYDSNNYDSNEYGNSLERLGFDWVPPYLPCEGSGLNL DEHFPDLSANTQNICDAIYNASQDPNGLVSQHLDFCPPLQQIRTLTGNDADVSAWDSG PVPRPIIQGLPLMQLDWPSSPLVDMPMQYWNLQYTSSSTLPTNGDQLAANLQLGQNIS SCMSPYGWQTTSSTITAHTPDMAWQTSTGSNSSTSPISWNSDQFVCDYPSCKVTKPSQ KELKRHQKSHKKPHVCDEPGCQFAFAAPAQLERHRKTHRRGNLDASEKYQCPTCSRTY TRNMSRGFVGSCWTRVELGHIQDRAGLSIFHALAEAEESQWLWQQYQITTDVEKPALG MACWGDRCVRRPAKGFYPFPAL NEUTE1DRAFT_148999 MISNVLTVATLAGAAMAACPLSVQIVGADHHVAQVSVTNTGAEP ITVFKGNTVLSEHATKDLVVTDASGKALPFEGAYVNYKRSGVSPDMFQTLQPGESVTA SVNAARTYRLSGVKSANISALQGFKYVTGTTAPDSLKDLSFCEASSDSYTITPDQSKA ADDHISKRLAGAPASRIARRSVTYHSCSTSQTNSLKTSVSDAISMAGAAYTAAGSAAY YFTTWFRSTSQESKVRSIYNDVKNVQTTSPAISCADTYSGCSDGSALLYTIPSANTIV PCPNNGFWGFPEFASTCADDDYDRAGSILHEMTHLYGTDDYAYGQTAAKQLSAAQAAA NADSYEIYAGSVRLGGCSS NEUTE1DRAFT_118309 MKIICYAIHHRPDMIPFVDVNGKQILQAARTIDKYDLGTAMQLV TDKWLRQQLRAKSVEDLLYMAAAATVLKNYEAFSKLTWLAMIIHEGSYLRFQNNDQLR ELFPPGMFFLLLERTFLIRGRLSKACMKQGHWILATAVTPLSIK NEUTE1DRAFT_49508 MWPSPKAAYLLLLARSALVGASPVELEERQSCPSIHVFGARETT VSPGFGTAGVVVNLILQAYPGATSEAIVYPACGGQSSCGGVQYGDSARQGTDAVATAV NSLNARCPDTQIVLVGYSQGGQIMDNAVCGGPDSGAGITTSTPGINASALNQVKAVIM MGNPRYRAGLSYNVGTCTAYGFDPRPAGYTCASASKLQNYCDSPDPYCCTGNDAATHQ GYGSKYGQQALAFVKSKLSSGGGGGGSTPTNPSNPSTPTTPSTGNCAAKWGQCGGQGW TGATCCQSGSTCQAANQWYSQCV NEUTE1DRAFT_124939 MSTHTTPHLDRKVLLALVPLASLAASHEGNPNAHGPVNFSEIAE SITAATTSTTQPTSAIETSRSSSHSTHLTPSLLHGIIPSVTTTASTSPSPLGADQTRT QTVWANVAQVTEVVTIVKTLTPDHETTSPTSTTNAITTSTASKSTSTSTSTDTDPLVI IPVTATVTDLINYNTYTAPASLPPLPPLLPPPGPRVSASSKSSSSPSISDDGPLEIIP VTGTVTDLVDYETVMVPSATATTVVVIPVSSSSTTKSQDVGQMLGQIQGMGEQSSRVV VHPTVSVGDRLTVVVSGGDGRTTITRASPMASAGSAMKGEGGGGKFCKEE NEUTE1DRAFT_69695 MVGRRPRTVNSATDWHGTMPSRQPSSIRRRYRDDDEETGLHSNI HDDRAKVTSFSGFKDARHLAIAEQRRIDLKQRVLDGMKGLSSWEEHCRKSDAELKAIK NKNIRRYYESQNETLDSWVEVDALVMAVADDVIDSMNPDADRDGIAERRVPLADSKGA VEAFLPPEHIEKRRRDERNAKWAINTNVIANVFMLIGKLVSLRFSPSLSLAASTADSA LDLFCTLIIYSTNRIVSWRLRALRLKYPVGRRRLEPIGILVFSVIMVVSFIQILQESV KKLLPGGDRDVAPLPPVAIGAMAANAIIKGIIGLICRPIKTTQVQALVQDCKTDVYFN TASLLFPLIGVAAQIWWLDPLGATLLALYVICDWAETCIENISRLTGSSVDDALQKKL MYLAFRFSPVVAGFKSLTAYHAGDGVWVELDILLDESTSLPLAHDIAETLQYCYESLQ EVDRAFVTVDYSTLGPTGHNECD NEUTE1DRAFT_132189 MRTSLFALAASLAALASAKEKAVDMKLKAELYDSGARHEHIMAL KHQTWAELDAAGVYDSTQYKKFPSFKLEYVPCTKGYASYVPNDPKYTFRCNNLDLYDF KTHAELGSSSGRGAGSWGWTSPKGREFVAIAQEDGTAFAEVTKKGKLVYLGRLPQYTT AQPSLWREIKGYKSYILIGSEAVNHGVQIFDLAKLQDVDPASPKVFTNENDLDGWWTE GLPVGRSHNVVTNEEKKYGVAVGFQPRTGPLRAGLVFFDLTDPKNPKTLGGSGEDGYV HDAQCIVYRGPDIKYYGKDICYGYDEDSLTIFDVSDKQNIRIISNTSYEGASYTHQGW VLDPNWQQYLILDDEYDEYDAAGLGADGYPITYIWDISSLENPKQTGHYKGLRRGIDH NQFIKDGFSYQSNYGLGLSILDLRSVPLDPTGKRIKEVAYFDVHPEDDSAPGGGNVTF TGTWSHYPFFPSGYIVINTMDRGAFVVKRTPASWWPF NEUTE1DRAFT_141053 MRALPTLTSAKRALLPALLASIALVLPQTSTHLPPSLIHSSLPT LDSKAQVKNDQREYISTATIFSTIIVPTATELTTILTVITNAPAPSLSASTTTSSLGT SISSTSNCIVEGGANRICITVVPIWPTNTLAQAQAVEVKRQAQTQTPSISYSSTAVTV IEMLTVIVAPHTTYTLTGFVPFLTTSTSSAPIPTTTTTTTATSGITTSTSVTCPSPSW LIDGHCVYNTPGWNPAPQIPTGTDDWAGRPRPPWETGTRAV NEUTE1DRAFT_113216 MADHEPQGNQPKREGFQLNVNDALTPDPGKEGLFKVENNPFAFT PGHLAKLLNPKSLDAFYALGGLEGLEKGLHTNRNTGLSADEKHVDGPIAFKDVAPPGT PQYGQHGDNEPFASGKHDASVPEPLPLDHKAGSNYADRRRVYRENRLPEKKSKTLLEL AWTTYNDKVLILLTIAAVVSLALGLYQTFGGKHEPGEAKVEWVEGVAIMVAIIIVVLV GTLNDWQMERQFNQLNKKHNDRTVKVIRSGKSVEISVFDVMVGDVMHLFAGDLIPVDG IFISGHGVKCDESSATGESDLLKKTPADEVFAALKDIADGKPPREDIHKLDPFIISGS KVNEGTGTFLVTAVGVYSSYGQISMAMQTEQEDTPLQQKLNVLADWIAKFGGGAALIL FIVLFIKFCVQLPHNHDSPDQKGQTFLRLFITSVTVVVVAVPEGLPLAVTLALAFATT RMMKDNNLVRVLKACETMGNATTVCSDKTGTLTQNKMTVVATTLGKSLSFGGTDKPLE EPDSDKEKINNGANGSEAPNTVPNVPVAEFIRELSKTTKKILNQANAVNSTAFEGDED GEKTFIGSKTEVALLTFCRDHLGAAPVEEERKNADVVQVVPFDSKYKLMATVVRLHNG KFRAYVKGASEILLERCNTVIANPSEDELRTVELTDADRKMFLHTISSYAGQTLRTIG SSYRDFDNWPPPELSGHGELTADEFAKVHHDMTLVAIFGIKDPLRPQVIDAIQDCRRA GVYVRMVTGDNLLTGKAIAKECGIYKPQEGGMAMEGPAFRRLSEDKLKEVVPHLQVLA RSSPEDKRILVHTLKELGETVAVTGDGTNDAPALKMADIGFAMGIAGTEVAKEAASII LMDDNFASIVKGISWGRAVNDAVKKFLQFQLTVNITAVALTFISAVSNDEEQSVLNAV QLLWVNLIMDTFAALALATDPPSHTVLDRKPDRKSAPLITIRMWKTIIGQAIAQLAIT LCLYFGGRSLLGYNMSDPTESKRHSTFVFNTFVWLQIFNELNNRRLDNKLNIFEGITR NYFFWAINAIMIGGQVLIIFVGGEAFKITRLNGKEWGMSIGLGAISIPWGALIRKFPD RWAEAMMPHVHIPIPKIFKRKKKEGEDQEKQPVDRPPLPPGRVHTGLRGQRARVHSSR LGRSWS NEUTE1DRAFT_88381 MDNIEKQPLGAAGVSFPAGLDDFRAARDRCAHACRRFNSMPEDA TPDVRTSLFLDIIRPARNRKEDGAITHDMTFRDPTLKALTPFVKPPFFVDYGLRLRVG GSTFINRGCFIMDTPVADVTIGENCNIGPHCTLVSVGHPIHPEARESQRSSIGKPITI GNGVWIGANVTILGGVTIGDGAVIGAGSVVTKSVPPLHLAIGVPARFRPLAEVPRKLD AGSTVDSLKEALACGRSSSPLSSQQQQPSFTTTTTAGSYHHHHHQQQNRLTQSQAEEL DRLAKLCVNPALMRAATNESHYHFSSHQSMLTELRYTAGAQQLKLRKTETISPSDMPR VDMTQFAWQHHSQSHSSSSSSSSSQQCSSSGGGSKETGGSSVGSSVHGGGGHGVGRRR VSRIVRAELTAIATVTVVALLLIVGIFFAGVLLGAKRITIVVDAVPEELRKFGGVGGV NLVRGL NEUTE1DRAFT_113218 MPVAQVLACIYCGSQLCSTACYPTHKDQYTCHVWRCPGRNPVAP VQLPSAATSHAVQTHGSGTSRPAPIYTNMPSTTLTYNNYLPTNPQFEVSPPARHSVVA GTFRGGPHEHSPYQGMWHVQSGRGSKTTRNPRPPSAVINDSPNPSGQQTQEQSNQTFR ASQPPQTERATGPETSTSGDPSVTNWTPDFRRSFETGIAMGTGMGLMFAMGLPLARPQ ETRQGRRGERDSAAGSHSSHSLCNIANAMIDTRSDDASDDAQGRPVERSELPANRPDK EEARVDRKLNVN NEUTE1DRAFT_69706 MGGAQITIPNPPPLPSHLPDNVLNLAVQIERQNLPDNVRESLRA FQRAGCYIAASMIFLRDNVLLQDKLQVSHIKPRLLGHWGTCPGLILVWSHLNVLIRNH DLDMIYVIGPGHGAPAALASLWLEGSLERFYPGAYDRNATGLRNLITRFSVPGGFPSH INAETPGAIHEGGELGYALSVSFGAVMDNPDLIVTCVVGDGEAETGPTATAWHAIKYI DPKESGAVIPILHVNGFKISERTIFGCMDDKEIACLFSGYGYQVRIVEDLKNIDDDLQ SSLEWALAEIKKIQKAAREDNKPIVKPRWPMIVLRTPKGWTGPKEVEGQIIEGSFHSH QVPLPKAGSDEKQLQALDEWLSSYRISELLDDEGKPTEAITRVLPKAEKRLGQLKITY DPYIPLKLIDWKQFGVEKGIDESCMKSAGKFLDKLFQENPKTLRLFSPDELESNKLNA ILDHTQRDFQWDEFSRAHGGRVIEVLSEHNCQGFMQGYTLTGRTALFPSYESFLGIVH TMMVQYSKFVKIAREVPWRGDLASINYIETSTWARQEHNGFSHQNPSFIGAVLNLKAE AARVYLPPDANCFLSTVHHVMDSKNKTNLIIGSKQPTAVYLSPAEAAEHCRQGASIWH FASSPDPNSSAGESVQDPDVVLVGIGVEVTFEVIKAAELLRSIAPTLKIRVVNVTDLM ILIEESKHPHALAKSKFIEMFTADRPVLFNYHGYPTELQGLLFGREKAERMDVEGYQE EGSTTTPFDMMLRNRVSRFDVASWALKRGAERNAEVKKDLEGLLGDVDRRVKEVREFI GNEGKDPDDMYQVPKFE NEUTE1DRAFT_141058 MSLQNTDWANQTSSAPILDARVLASKIRDSDGKPVIDPDNARHG EVDQGTLPTIKDVNRYSPKNESKKPSSPKPKEGSKSPFHSEATKKSKCASMKGVSIDD PRPTFKRYKLVL NEUTE1DRAFT_149006 MPSQQYQPSVRSVGSGISEVEGANHKAWSVDLEKGGTRAEGGAE KGIDGISLDPYPNLDYINPMEEPASYVNMQVQDAPEGYPMLARFISSHEDGYIFREFR CLQSRTLLHMQDELRALEVQLHRMDQYDATHRAIFLRTREMDDDRLKVRGRLMEEIAE KLKTYGELLIMSNDLANFDRPSRFDIQSLENFFRAKEPLFRHERYIGCQSDMITLKAG RDDAWLDRVIMQLLVKYNCAPLRYIFANAHTKTHADSKHAALNLFSIARVHFLKMVIL ILLMLCLLCLPLVPLYSWTQEGSGPADGGTNSAAVTGQTLAKIMGLIVACAFAFGVVL SVCTRAKKHEIFGSCAAYMAVLIVFMTST NEUTE1DRAFT_149007 MSAIKLSTSALRPIAARRIVPAATARTTAPAFTQIRSVSSNGDE LGGVKGSEPATKPNRYNVRAGTITAVGVVVALGYMFYRKGDKKGTAPLGASQ NEUTE1DRAFT_88388 MSTSPKKGYLVVFSRPTHPSFSTSHNTFNKWYNEVHIPDILRLG RPDGFTSAFRYTRAGFNPSDPSSTADKDFEVTYPKNEKWLYLAMYPVPDVVKASRPDS GLYKAPLVHEMLPGGGNAMEVAKWELGFWEVVGEKERKNNKLTTTTDSSKDTDVILVP VDSSDIPGYDIPGAHPSALLDYSRKGWEGSDPVRSRLLKYTAPAYDCDGTGIKQYLAV HEVEPNTEQTLKPEFKTVKYKLYKKFGV NEUTE1DRAFT_124948 MPPKRGAPKGAANKLVPDQERKKYNDYYYFYRRGEPERRRNKVK LASQPVNLRLHHGVVPDEIFPWRTNEGLQRYDEYYAYLAEQKDQMQIEQKESWDSKDN ESEESESEDSDDQEKKEKAETEKWETARGLTKDMYEKGAGLHFCKILGAGGMGIICVF VSYDENQTPTFWTVKRDIGGYDEVAREKETTRTLLRAPHIVQVFHPYKEKPQDTDDDE PLARRPKKRARTERSQAEVRKESRATRAGQRVASIARKAGTAARQPPIGPTDQVEQVP KSMVMEYVARGSLYEWLEGLGKLKAGTEPDLRIPNRVLWDMMACFMKMCLAMEYPPLI FFPNLPGPDPVAEDFVWNIDDRDDGNMVPELNPGPHNGYVNKPAGTSLVHFDIDPQNV LIGDFDSESREGKHRVNPTHPHFERMTDTHDGTPMFKLIDFGLAKDMSVDDACRRNLK DLWAHRLCGKPGFYLPEQFTREWEAIVESPDAQQARVAGQYSWKSNLWQLALIMHIVI TFRWNPTNTIHPLRDADLIYGPPNDLPLKRQRKRSYPTYGTHLLDPQYDHVPIRLRHL VARCLCEIPDDRPSFEEIRAEIQWAWDHESAEEKEAAKQWSRTHFEKPPPPVIPPWKK VESWAKQKTILRFDQYLEKYPPK NEUTE1DRAFT_69711 MSGNNSGNSNTSSSYLAQQFQVTDQHLQQQQQPSQQQQQSPQQQ NASRTPQRQPRVETPIHPPPIPPQRSTQQQQQQPQQPQQQQPQQSPQPPQSQQSPQQP QQQQLPNLFAPHTQSQLQQLALQTSAPLYHQILPTQKPRTPEEILRDQQLSGNAYWGA QANYPNQHQWLQNAKSPEADGQQQHQAQTHHQQQQQQQQPQQQQPQQQPHSSLAAAQF NPPQKNLTPEQQAWLERKAQEREQQRAEQEAKSRPQRSKGMTRQQHQQFRQQQQQQQQ DKFYAHDPLNHPAFQVDHHQQQHSQGTNGNGTPDETMLDEEAAEEHEDEDEDEEDETM NDVSSNNDTPQPNGGGSDGTANPADGQNLIPDANGNLPGSADYKAPLVQPITPLRLPS VRPNLMLGPYDTREQAMSSVMEYAISQGYMLVQSGCAKAKNPGGKYAKGSEVVRVDLM CDRGGTCKNSGTGIRKRPTHKIGCPAKMKLVCKKRHASKWFIEVRCEEHNHDLDPNNM DSIASYRRYRRLQAGGRALETQSERYARMKKPKVMPPVPPPKFHQVGGGGVVGNPVFP LGPPSGPLHMAALKGQNKILEILINKGGDINAHDSTGRTPLHCAIEGERMDTVTLLVN KGADVTKLDLKGTSPLHAAVSKGMEDAVVLFIEKGADPNR NEUTE1DRAFT_48996 MAGFKLSAGVGLLGLAARSLAVPAPIYSEPTTTFSVPKITAVVE PVTDVTSHGTYTGPSPLTTGALSTSVLAPSVPALPPGPDAYKYPSDGQLHAPQPAPYT PAGGVGTNGSAPVYRVLSDFDYQSIALALHQEWIELDLFHWGLATFSVEDFEEAGLTA EDRHLIEFMADQEVGHATLLSNILGPSAPNQCTYNYPATNVHEFIDFCQKLTRYGESG VYGFLNHLNAHDVGQLLLQSITTEARQQMIFRQFEGLFPMPEWFEVGIPQSWAWSLLA PYISSCPENNTRLIWQNYPALNILNQPNPFRINGSYVWNETTDGGYANTASYQDIDES DDCTKSTDVGASCAPGITRNRSMPLSYPGRQVFLEWDEPGKPIGPNNSYVTDTQAGAP KFAAWVSQLNVTYSPLENIQGNSAYTVQPDISSFDGNPAINGTIFLVLTDEDLYVTPY NLTQLNPHVYAVGMYQSG NEUTE1DRAFT_88391 MSRLLTRLPRTIPPTAPLQLTLGSSRITITRGIVTDLETGGTRK AKQTAGKGFVSVGGQQDRGMPTSDKGDEPMPPKYSTSTGHTILPSLKQSVQQTMEHPE EWEGIHAEHICAVEEANSANAEKWEASRAGRDAERDVTEAWGSEMASDSTSTNAGRSS RTKGSSKRAAAAEGSSSKKPAPKKEASSSTTTSRKKSQPKETSPKKMRGKESTTAGAE SSTNSPDYASPMDAHHSQPLPHSTMDTATGQQPIPPAPDTNFSSSSSSSAAGAAAGAN TISEDSIPHLALHPNLSLGTTLSSISGSFTLEPQPRPAPTAIKPFATSSTAWISWTFI QSPQSNINVRGASRFARSHYSTSSSSSASTSTSTSQNITMATGLSGQQQQQQKKKEKP KTQAQLDEEVRMRMEENVAGEGGAAGVEYEDGKAVGMKRGVRENMFRYI NEUTE1DRAFT_96229 MTYTQQPPANNTMTVVEEPEPAHVSASTKPGDIEMAQPGVAQPM DPMRPHDDSTIGLRGGDRGGMCPGRFCFCIPCPIPCDCCFIPL NEUTE1DRAFT_88395 MADPLSIAGLVTGVVSLGIQLHNDLKIFLDIVKNRDEDIAKLTR QAATMAQALDAIDRSLQNSKQANPGVVDSATIIPLLDTSRQELLLLQQEVASLTKTSK GQKLKGSGVVDFARATTQKLKYPRQRAKIEKLEEDLDQANTTLQLSLDAFGLYESLVH LLIIAILLTFRSELSSIKSNTEAMVHGLTHVQKTLPDVEACVSKLSEKLMATNQTATQ GIQNIEAILNLAISSHQSNLEQIGQAQSNEFSQIKQLIQDLLLRMDANASTGSKDIEV NRNLACLVSKPSALKVLCDEYSSQQPQSECPSDANAWSACTCQRRRIATRRSVDWGPF RLFAESKAELAHHESCQWAQFNTSKRSKVISLAYRGFTRLLRHAVVINFRLNHGAGGF SFGPGIVHYPVMDERVYAPFRLVYYVKEAMQVLTRSCDWLSRVNPTLNSQMEIRFSAL RHKVLEAFSMHISRACSNGSIVPRAVNIQGETLLHYVSSLIKSYAVWTGFTGKRNPWT PSMVAPVHEVFARLIMCNVPTTVYDNGNRLAHLTVANYVPDTETDTLASMTSILKLFI LRDMDIDPSEARDRVDTFQPRLNFLKPLPEAWIFGKAFGCNQLGIAVLQQNISYVRSL ISRNPSLAFERDLNRRTPLHYAVLWKDGLSILLEQVPFEDVEGDLAWDIFQYALSTYK MNHRELCSEECHCSKCIELFLDHSWYFAHSSSWGPYHGTLRLVVGELPLAAQKKLLLY LKRSRQEILNIMDLPTGTIRRIIDHLQKIAATFLSNTKRAKIQAAIDSFDIYRETKDA DMADAAWQLGFREVNYHAVTDLPPLAYIPYDTPLRLYSPESSRRRGDYVLWLLNHDAD PFQPLQDTEGHILPILAAHQAIHCITYQNWVCSYDLMGLKCRLKRPSKAVYEVACRLC SEPITDSCLCACSANGCTPFLILSRNFLADFPSFNSTLHGLSHPNSFAWYAYEFKRIW TMLYEKDGFVCQYEGQFHEALRLFTFISLGIRHTCCKKDGHHDDGDTEEIREEDSELV NMLETLMEEWGNVRFESAKNFGRFLQDNWVIRLNEVFKDLRQIDQSEEHRARLQSLGV QLHAPKPPIVKVDITELPVRIKVRRERLVWCKESFGLDLLDTVVEGGDTSYYDRVLKD AYQDDILDLVSWDEEGELGKRDCFL NEUTE1DRAFT_118315 MYTSLLSGLDPHLDITLTLNDCQFQKIFVINLPERTDRRDAMTL AAALTNLQITWAKGVPGTDVPDKVIPGEDWEKSILRGNKGSWRAHMNVLNTIIDHNLT SALILEDDADWDIRLKSQLQVFARAAQPFTVNTHQAATVTDPDKIINVPITSIPSLPN QASSSPYGNNWDALWLGHCGTNLPTSTTLTTAPTNTSLLRVTIFNDLTVPNPQYLKAH PFALQDQLAELYPPHTRVVHPSSGTI NEUTE1DRAFT_118316 MCSKQQPLSAMFSFSRSAAWSCLLVALHFTLALAAKSLTNTILI LARDTYSGTSAAEGLQGYGIPYQIVLVPQAGITLPALTTGTDQGNYGGIIVVSELSYQ YSDGWRSAITAAQWETIYTYQTNFGVRLVRLDVFPSTDLGVDLAVAGAGCCNTGVEQL ISFSDVSDFPTANVKTGAGVSTQGLWHYPAKIVNSTIAKPIATFAPSSDGTFSTASTA AIINTFGTRQQMVWFTSWATDWALASNYLQHGFIHWMTRGLFVGKRKIHLSTQVDDMG LETEIYHPAGNNFRIRISDLETHATWQSNINTRLPAGSNYFIEMGHNGNGDFIEGLPK ATSDTMCNPSEAVDYESPPDTPLDFKKPLGTGLTLWKSTFVNYTWSLQCALLDDITTW FFNHINTFASVSHTFTHEELNNATYSDANKEIYFNQAWLNQIGFGASSMFSPLGLIPP AITGLLNGDAIKAFLDNGIRYVVGDNTRPILRNPENSFWPAITNVATNGYDGLVIIPR WATTIYYNCDTQECTLQEWIDTSAGAAPFSNLLDDARRVNVRYLLGLHPDPYMFHQAN LRSGDVDQITVGSVSGKLSLLQIWVETITQEMVRLTNWPIVSLKHDDIGRLFVDRMTL DKCNPNMQYNYSADGTKIVSVTVTATNNQCSVPIPVTVPASASGSATVDALGNEPKIY WTTLSGSPVTLTLSSQISI NEUTE1DRAFT_118317 MADTPKSGQSEEHQAKPVVDEAGLKSAGTAPPRRRSRKILYIAI AAAVVVVLGLALGLGLGLGLKDHGGRDENEPSTPSPSEPPVTPNGTVWQPPVGAKWQI ILNSVLDVPSANAKTSQTLTPDVPVWDFDMFLHRNTTVIANLHSLGKRAICYFSAGSY EPYTPDNTSFVAADLGKTMDGWPDEKWLDIRRTSVRNIMLKRLDIAAAMNCDAVDPDN VDGYQNANGLGLTKQDTIDFVRFLGKEASKRGLAMGLKNAGEVIEDVLDVVQFSVNEQ CAQYNECDTFEKFTAAGKPVFHIEYPSGAPKSVKTQDSRKLCGATGADKFSTVLKGMD LDAWVEYCDGNVAETKVANTSSDDGDDGSDDE NEUTE1DRAFT_124956 MSTILIPLYIYPSFSSSHESSGWAPLFRALTTALTKNPYGQREV EEVERDVKAYLGWLEFAERGEWVTSSSGGSPTLHRIALPIASNYAPANTGLWQHCTIT SESPIQQPGKGISHGARRAADHGYQWLLKIAGAWTPPCPHLRVVRTVSPTGRSPGHAP EPGTSLTQWSISADNSPLPIRSPRTNNSPVLGYYAEGRMNTRTVRLEHTCVSSGFRAL CGGVLMLTGLKVGGQCLSEKQPRFRISQICFFAIRLDGIFVDEVPIDAQYLDYLQRIT DSVRSGFAEVAYRRSHCDNINSSDNTSLSAPSSSTTSSTTGLGIVIHNPGIFPSVDSS DAFFSPRLVDYVVVFENCLAAWWDSGDYVNANLALLTPEKRAKAIAVAHTCGCGPKSQ AGGSSGGRPQQPDSEGHHAADAEKTEGSEKEAIKKNVQNFLDEVVTQHKLAGHFATSA TDYATWCSGWEGYVEVACDLGGSNMLTGEASEEGLHG NEUTE1DRAFT_104214 MKFSLSIVLAAFALQSTALLVPMDALSSNLGSGLAPGAAEILPL EVRAGKSNSRANGKGKQKHKHAHGVRPDFRKQCKSLPSNCPPFLNKKAMCECKAAATV ASYLESERGCPKPSQKVLEPHDNHPGVWPDVGSRMKLN NEUTE1DRAFT_132202 MSSTSSSRIDLRDHVQDQDLSEEYLSSSSRDYSAGSSPLTTPAL SDEEFDFDVPSEDALLAPTQAPADGSEKYIMVIGGLGYIGSHTTLELLREGHNVIVVD NLSNSYKTVLKNVRMLAAHHCKVNSVPMPRLRFFRIDYRSKAMHVLLERYSNLVMTVD ATSGKQVVSYQSRIAGVIHFAAYKSVVESIQQPLRYYRNNVCGLVNLLQELERFNIRN FIFSSSATVYGSKANAGLPLKEEDLIHHQVKTQNEAGETTIVEPTIEGLSCPYARTKY FGEAILADVAEADPDWRIVALRYFNPVGCDPSGVLGESPRGEPTNLFPVLTQVLKGDR SNLNVFGSDWPTRDGTAIRDFIHVLDVARGHIAALNWNSKKGNGFSTFNLGSGTGTTV LEAVRSLEQAAGREIPLALVDRRPGDVGMCVASTERAAKELGWSTRESITKCAADLWN YVSKVALRS NEUTE1DRAFT_149019 MASIFSPPGGAGDPVLQICHGNNDTDRLVYCNKILSSEERLQTS WQWGTLIVGIAGLALPVLLSVILTIYHRLCQSISDSYTKRKRKSPPERKQAGSPPSRP EFKIPSSTKAFQFLDGGVSSGAAAPQPLVGGTVYISPLPSCTDPTPFQPLQATPTQDI TCLGLVDAKDVSPADVAAWETHISDFILSDDVSGVVLKVTDLAKEDEETAARLFARLH QRAVPIVLNLGLDEKEEEEEESKFVNSVDFSSLVGIIVENACILPNGERRDYFRSEKL RELMNKCAKERLERPHFFVAFHDLWDQQPSVAVVCRGEKVASHFEAIYQHGPRHVASG LKMTQPPVSGFEYLRKPETSELQTAWLQQKKKVYVGDDNELLDQTSRLDVDRIASIVP CVKKLLQPVAQTTEKQEWWSDEPELSFPPPYREVAPARDEFWECDHRGEALSALGCIP LTASATDMQYEAILATQTHLRDLNMLQRLRPAEVDKLVMAYRNFQLETAHPHLFAPLV EGLVEGKVCVYKGLDTGFKVPDGEAHFWGISVPRSEDGDVDIFISRSNPSDVATILHV WLAHSGVSRVERYEEELRLENNMGSDLPLPTSIQTAINMCSPSEVLFFLQQLQVSRLT HPFRIVMEAYCRAVLLDESSTIAWNDAHCRLYLEGKVSMRDLLERRLAEFARQGATAL PSADGLVAVAEKIEQRVRESLYSGNVDALNAIRNPLTYALDPMNQYHDNKFVDVNTDL IALMFFTTVRKLALEDVYLEATDHCPLFSQADQAAVFAELWVLGSQCEAFFGMHPRAL GKIIYDQYTAYLRKNPPPTPSDDGDRNGHMTVYSKPKPTQREPGDEYEDPARRGFRDR MKLSYFREKLADFGALSIFCMPAIMDILLLTFVGRGLFMTAYMGEDNLLAACYGLLIA LLISAGVTGWVGSIGNYYLCNFAYTNMVFFHAQRLSGGFVLTLLVGLVGFIAFTVKTS VYAGLVFFAYLVLLATYLNILGIMATMHQEGSPLTSGRSVLWRTIPLLLISPALSSFV NGKDLAIYLSVGYGFLALLIFQYRKLCHEWMGWLSNIPKFTEADVHAWYEHRMSQSSV ASPSSEKINADETKKLALQAFRDAVKKQQGRIFGAAPDALVHKVVEGLPYINWILRMD GPPEEVVDVFSPPWFAQLSEAQKKRLQMSQGLKEHSVFFLFRQARYDIGQNVGLFLVC LLDRWVSIVMSGSGNPNVFSNFTSRYAICFAILYFCLSIMVLDVTIKKYWQFSYDLPK GILSSDAELFALRQKWQQTRRIKYIGALLTLATRLLATFGLTTILVWLFVKETQILAL YYLYCLGYTGVMIFQFNRCFTTSVRAHVLSILTSAVIGFIVGCLLYLMPEGKIVSVDV IGLCVASVFAAVSTSYWAIRKPKQMDLKEDGANGDKPNTWKQHKIGHSDNSFTGKKPA QVKDLPGDLLLAKQETPLARSVSETLRDGQSNFPGGNSGTVSWREDVLNAALAMWLDE KICVTVCPRSVFLDAGLSECVSFSELKDDELHITTGFLPEEEVHLATWQPLLACMIGE AILYHTARGIIGLDTAKAVQAEHILSGADRSFTVSKRIAFQLATEDRQGLYRIREQTN QELMKHLCLETNIDSEWVSLPRPVQETILSRIQGMSASQSRDFPAWMEEKGVDLRCSN FHVKLCLELYLMAGERVMMYNSAFNNTSTSTLCYLPEPDSESSTQETSPLLENGKKSK HMHWTHKILFYVPINFVKWVAIISGAGSNIERELWYSLRKMPRARKVLMWLILAIWQM CFQIRDTWIFMILIFHHKSLVQIWRLARKGTPRTLYKNRIVVVMRRQALTGFAKLNEY GKLMLNIYEGHLKEVPEEEKTQPVATAVYDHTYRLITKSVKKGKEEVLSSFTYGEGNK SRRPTEKYVVEGTTVKRCHYDERRRISHGIIKFGDVEYSFRYFYKSSPKGSQDVLKAE YELKADHPFVLTVYWGTPPKEKVEECNWTPSDRVGQVDRSTYGRTFTTVITYPHRRDP VETTYMEENGRQITVDRPPRIFEHEDVLLQRPTDVSFENDDLFIYHRRSYVERMAKNL KQTHSFASRLNPMSWFSMRKKSIYRPVPTWWLRTELWDIWRKSGTLDAVTACWMDELI LREEPLLHRYWSARNSGQLSQARMILDADIDQISAAIEIEKHVGELCMLPIKTADLYA MGLGKDANQITTRPQDCFNDSENRISVIFNDIGCWPESPGGVSNCRRDLVNGHTTIRN HVLAESANEYGIPRFQVERNVQSLKVVPLWGLDGRVPNHGLIENLLEMQVEDKIVRTD IDRDVVDTFIPLLRLFVKGARSRHISKADMYRYSNAILDMFQYFEHKDYNKTWNSKEV AAAWAEAWLIKYDDPDITDPEDNLAVQQPTMSDFRDSLAIFSSYFFIYAVQTPEDCPK VFQSTHHGISSMFGVFLKYQRGATFGIWDHAILWRECCLNLSPAQSTLPIPVQSMVLA GIGLAMKLAYFHADVILPCTSFFNPIWETSLGTDTNRIGHEKKFARKIDPIVNGVSNM DAFKPVDEVRTTTPTVVMLSNVQFIKDIRTAIRAADVIVNQYGFKEYRLFIYGAKDRE PEYAINMTKLIEGCKLTEHVILKGFGKPQEILKDAWLFMNSSLSEGLPLAVGEAALAG VPVVATAVGATALVLTDPDNPSVAYGEVVPPNDPVGLARAQISMLAMAGPWAKFAGDV DKRGSVLPSLMLPDTLTPTDVAFLTKRMHEKTDARRKLGMLTREVVLKGFHGERYLRE HEQMYWVQWHMAKMRRDPGVMRRRALVRRSELMRFSGQGTTTQTGDYDGDASTVIYGG SEAALDDEYREDRNSRRSRSSYQGQRQGRRLSKPQPQQNVRNLSRLTVATVGGGSEAG PSGLSSGRASMVSQREVV NEUTE1DRAFT_124960 MVRTRAQRGQPLTGNRPQGIRKSAPQKRRRLRDPDPTDTPQDQA GSDQLKVPSSPTGGPRKRKDRQISVERKDQKPLPAIADPPKHTSDEQSRKRRRTSLDQ QDPSHHSLRSARNSPPLSRPLEPPAVPDLPVSPAPVPVPEEAASGDTDERQYIIDYWR REGSWPRKYFEQDDYTRKDFDKLMDSTTRVQSLLFPCYDPVARLRFAEKDAPASLSRK RSGSNSSTPTSMPPPSMPSPSTTMASSDWRPREEKSAPYRSVQYQSVLETKGSYMKTS PLGITDESRNLCRTLLDTEQPAPKNTLFDDDIFESVCESLANKNEATVFLDILRLIVP SAVHYALRAKHLEHLNYLTESVNEGWNRCFPLTGIRPQPDYSVGFQRDAFTQDQIDKL MPFTGDMGYRSLFKATYHMYFPFLTCEMKCGAAALDIADRQNAHSMTLAVRAIVELFR IVKREDEVNRQILAFSVSHDHQSVRIYGHYPVITDKDTKYYRHPIHKFYFTALDGKEK WTAYRFIKNIYDIWVPDHFKRICSAIDQLLVPDSGNSSPSEATGLSQNLGNLMQSEQP MATSSQNLGNLMQSEQPMATSSQNLGNPMQSEQPIATPPHNLRNLVQSEQPTAPPSQD VGNPVQSEQPTAPPSQDVGNTLQSEQPTATPDTSRTGPKAAKRRKGQVKT NEUTE1DRAFT_118320 MAALDIRPSLEDARKLFDQTCQASATSTASPRPTLLPLCISLPA DLLTPSAIYLKLSAGATAEYSFLLESATGSTETVGRYSFIGANPRKVLETGPGYQDVG DPMKALEQELSQDKVLSIPSLRLPKLTGGAVGYISYDCIKYFEPRTVKGRELKDNLHI PEALFMLYDTMVAFDHFSSAFTVVTHVRLPEDPSGDFEAAYNAACDSIRSTLEVINQP ETPLPPKDSSTPAADQTFASNVGRHGYETFVTELKKNIVKGDIIQAVPSQRFSRSTSL HPFNIYRTLRTLNPSPYLFFLSCSDFHIVGASPECLMKTDGYADLPADTRFGYSAAEA RSRPRIVNHAIAGTIKRGLNSEEDDELAAVLLASTKDRAEHVMLVDLARNDVNRVCHP STVKVDRLMRIDRFSHVQHITSEVSGLLRPECTRWDALRSIFPAGTVSGAPKIRAMEL IYDLEKEKRGIYAGAAGWFAYDVIRVEGGEGDAPITTFLSEGQMDTCIAIRTMLVKNK VAYLQAGGGIVFDSEKTEEWMETMNKLAANLRCIELAEKYYGESTGSKSVQEIIEEER KKGDEQYKLQTMSAGA NEUTE1DRAFT_149022 MAPLPLPRIPASTGEKLEDFVFKRVVYPALQGIEAIHPTRTFSP ITRRQDAPPTATVTFVQVDNDNDNDPDDAQTLSGGAIAGIVIGSIVGLLLLIWIIRSC FNLGAPPTHGKRNSKAWYDGVRDEYPPRHVGGVRHSRSRSRHSHSRHRGHSRHRSHSR RSSSMREVPVVVAYPTHVYDDRRGRSSRRSRSRSVSVSRY NEUTE1DRAFT_49031 SSAIRRYGGSFYGISSANQTLIGYEDVDRLFSSQMNHALSIEWH GYGLFLRFFGFPDTPTLRKKVESTFKPWHSPIERVFNNDAGATAAFERGNLPKIVSEF VSFSQEKEKMKRWELRAATKVIKQGEAVEANLSALIMDFGACFTIPPIFGHDLLDRNP HLLEDIWKFDHVVPLLFINMPTWVPFKVMKEGIEARKRLLAGVEAFSRRVTQFQQGEK VDDGADMSDVSDVVLERNTALERNKWSYQERAASELLVLFASNTNTQPVLFWLILYIY STPGLVNTLRREVAPFITLSDSRHNKKDITAIDTPGLSRECQLLKSIILETLRLAFGA VSTRFVKRPITVQDGNHAHKLYPGTFLSVPHSFSQRDPSLYPDPDKFVPDRFLEMDPA SGKLVAKYGKLRPWGAGASMCRGRVFAEKELMAIGAALVMLWDITPAGGGEWTVPEMV GGPGPAKPKEEVRVVIQRRVFGGISSE NEUTE1DRAFT_149024 MADTIKKNIAVVVGGYSGEYDVSISTGNAIYANVDRARYNLYKI VIPRNPKAGWYHLTDDDKQIPVDRNDFSVTLSTGEKIQFDLAYISIHGNPGENGVLQG YFDMLGLPYTSCGFYTSALTANKGHCNPVVRSFGIVEVAKSRLLHRNQISALDPEALL FDFELPVFVKPASGGSSVATTKVKSREQVVQAVEDAMREDGNGQVLVEEFIEGREFNC GVIRDAEGWLHVFPITEVIPHGDSEYFDYNAKYNGSSSKVTPAEVETQVSDRIKDVST MLYDLLDCRGVVRFDYRYNPELTALYFLEVNTIPGQTPESIVPQQARAAGISTADLYH MVIECALAAASR NEUTE1DRAFT_118321 MSTTLHNKPIPALGRLWSMFGTGSWHPSNPTPKLKSDDDDVDSR SPESLTQKPLLPAGSLMGNVSAMIRIIWTFTKNDLFTFSLQCVLFGVALSFAKDARTA PDPPTLKQALSRLPGSCLFQFMSQLLVNLDNQREPASVVEDSINKPWRPIPSGMITPD QTRRLLLCLVPLYLALGYALGVWNIALLIVIAVFIYNDLGGSGELLVRDIVLGISYII YQMGPLRIALGSGEYRADMAGDLVSPRGYAWAAMSGLLVVTTVPIQDIKDQEGDRQRA NRKTMPLIIGDAATRYYLAVTIPFWAVVGTLFWGTPVWYAVPLVAYGLYISWRLLAKR NLVDDEASWRNWTRWQLLAYALPAVSALTE NEUTE1DRAFT_104222 MATTPYDTIIFDLGDVLFDWDEPQDVASLVALPKGAMRKMMNST TWHDLDRGLLPAEEAYKIMGDELHIEASLVRPAIDAAACSLRVNEQWAELVEKLAAKP GLKVYAMSNCNISKEHFEYIRTLPFPWEAFTRVFTSAAAGMRKPDLCFYQYVINETGC DPSRTVFLDDRTENIVAARSLGIRGEIVTDNERTKEGRIYRFLKNVVLGEPIALAEAF LHSQSGKLDSVYSEEGVVFKDNFAQLLIWGLTDMEDIIYLLWPDGTRWKGSSRCSSTD SAIVLNDKTREGKKSRPLQDGLWNYFTEKPVGTTETFPADVDTTSIAYLTIPQEHLHR VADPKLVLDAMIFNVNVDGIMQVYFTNDRLRIYAIVSVNMLRFFVKYGGDDLDLDIDP RLAATVNFVINCLVNNAVVNGTRHYTTTESFLYFVSLFFDELRTKAERNPTKNANTRQ TLQRHIYRLLVQSLGKPANPLALAMRVRACQVFNIGRDLLKWEMDELLVLQEEDGGWP AGHFCKAGKTGAVIASQTTPMAWRLLKDYEREAFIFSFSFPVHTLDCVGSTIASLTNR RLFASTSATGIMSRTHWDDGLHAVNGTDAPEAVLPGQQVPKEPVSTRWTATYPQYSPL VRPNSPEKQDQEQSGHFLPQSNASSPGLKRKICGLRRSTFFLIVALLAVIVVAAVGGG VGGSIAVKKAKSSPPSSTAFDCAAVARSGSTITLGSKVWKFGVKCNLTFEGNVNLAAS IAYSFEECLHSCAQTNWLLRNETEACTGTTCG NEUTE1DRAFT_50284 MPLEFYYYRCWPVTLFERRKAESGSVGTAPLSWYPYNDKVLSVL TAQPL NEUTE1DRAFT_124965 MDPRTSGQPHPAALTVATGVALTSLVQYLASRATELQSKPSTYV PQLGNVAATRKPTGSLRLWCPAVCVAALSFCKAEFGAVVCLFPLATPLLLKAQEHFQL RTGASRYGTAKEGALPHSILNTFWGSLLAAAVAVYSLAAWDVKAAAMAVVPFAVLVGL FFSLMSQMGEQGRLKTFHLELEDIIKPLSLRIVGFLIVLQFVDSWVFGFPSIDGVWTV FLGVAKAFSWYFAIQSTRYTPESWRIVTVIATFGLVAARDPYTQPSNIQALANVVASL VALAQSISMLPKHTEQRALLWSFAFVPLFPYLANLVAIRAVESAAQQLTFQHPGQHPV AQLMEKAKADFDGMLSRQSKTYEAAVEEYKRRYKVEPPPGFRGWYDFAVKSQSPIIDD FDTIYHSVSPFWKLSGQEVVNLIHEAYYTRYSELWQCTFHGATAKTECRHPYRNFDRH LTLLFDKVLGDLAGVLPDIKFVVNHLDEPRVVLPRTSPSDGWRFSLLDMSHKPIWKEL TAPCSSSSSEPNDTTNGIDTFGLPFVTSTASVLDICANPSYADTYGLFTSSVSMRLLV GLVPVLSTGAPSTMSDILYPSAAYIESGFIYDPTRDIPWEQKSNNLYWAGSTTGGYSS DFSSSSADSQWKSFHRQRFVALAQNLNRQRHTYLRSLNGAITRVTSPFLNSRLFSVFF TRFQFCSPATCRAQRSFFRTKSYADKDEALSSRLVFDLDGNGISGRYYKLLASNSAVL KQTLLREWHDDRLVPWVHYFPIQPLDSAFDNGSSFPLGNVILIPGHRLTIPSQHYHHP EPHIPDPYSSPRRSSSNPLILDTYLFDRPKQHKWKRSLRKKFAWLKTKSNSKLHIRNL DTIIPKPEGYPIPTIRATRKFPIDDFSDSEEEDLAAESSSSSLLDESSSSVVYDVPPS CQTQDSSYSTSTSTLASASQTETETSPETDHGNVPERPEPEVLNTSGRFSSFRRSTCT ASTRDSYVTAASYLDSDSVGDKHEDEDEDKDEDDPGPGPGLDSDESIRSDTSATSYFE SQDSQSDTSTSTTSASSISC NEUTE1DRAFT_118322 MQFFTVLLSAIAFFAGAEACKCLAFGGGVDSNASHQCCDQQHGS WRNGNDCAASSISEHLSNFRTCCKSRGSQTSDCDYPHKRAVAADTDVVVEDGIKIATV DGVALTIVERAKRAIPTHF NEUTE1DRAFT_50259 MRSSTVLQTGLVAALPFAVHAASGSGQSTRYWDCCKPSCSWSGK ASVNRPVLACDANNNPLSDASVKSGCDGGSAYTCANNSPWAVNDQLAYGFAATKLSGG TESSWCCACYALTFTSGPVAGKTLVVQSTSTGGDLGSNHFDINMPGGGVGLFDGCTRQ FGGLPGAQYGGISSRSQCDSFPAALKPGCQWRFDWFQNADNPNFTFKQVQCPSELTSR TGCKRNDDSQFPVFTAPSGGGTNPSTPTTPPSSGGGSGCTADKYAQCGGSGWSGCTNC PSGSTCKTINDYYHQCA NEUTE1DRAFT_124967 MAAYAKDGSQSYGGYGDTYDNDDNYENLSTFDDEDDGSDPNAIT PEDSWEVISSYFDLKGLVSQQIDSFNEFTTDTVQSLIDEYADLTLDHPSPGDDEGREI ILRRYDVHFGEIIISRPTLTEATGETISLLPYECRDRNLTYSAPIYCKVTKRARIAVS EKKPLNQLTDDEHDHMARTGEHPTALRWIEEESAPPEPPKDDKINPENLKGYLFLGKI PIMVKSKICHLYMEDDESLFTLNECPYDQGGYFIINGSEKVLIAQERSAANIVQVFKK PPGGSVSYQAEIRSALEKGSRLISSLQMRLHTKADQNKGRLANTVSVTLPYVKEEVSL AIVFRALGVVSDEDILNHICYDRRDSQMLEALRPCIEEAFCIQDREVALDYIGKRGNG STGINRNGRIKAAKEMLQKELLPHISQAEGCETRKAFFLGYMVHKLLQCVLGRRDTDD RDHFGKKRLDLAGPLLAKLFRGIVRRLTQDLMGYMKRCVDTNKHFSLALGIKASTLTN GLKYSLATGNWGDQKKAMSSTAGVSQVLNRYTFSSTLSHLRRTNTPIGRDGKLAKPRQ LHNTHWGLVCPAETPEGQACGLVKNLSLMCFVSVGTLADPIIEFMIARNMEVLEEYEP LRYPNATKVFVNGTWVGVHQDPKHLVTLVQNLRRKNIISFEVSLVRDIRDREFKIFSD AGRVMRPLFVVEQEDNSESGVEKGQLILTKEHIRRLEQDKELGKYHEEYFGWQGLLES GAIEYLDAEEEETAMICMTPEDLDTYRQHKFKGREFEDDAANRGNSRIKTKFNPTTHM YTHCEIHPSMLLGICASIIPFPDHNQSPRNTYQSAMGKQAMGFFLTNYSRRMDTMANI LYYPQKPLATTRSMEYLKFRELPAGQNAIVAIACYSGYNQEDSVIMNQSSIDRGIFRS LFFRSYTDCEKRVGINIVEQFEKPDRSNTLRPKHGTYDKLENDGIIAPGIRVTGDDII IGKTSPINPDNQELGQRTAQHVKRDASTPLRSTESGIVDSVAFTTNQDGLRYVKVRVR TTKIPQIGDKFASRHGQKGTIGVTYRQEDMPFTAEGITPDIIINPHAIPSRMTIAHLI ECLLSKVGALKGMEGDATPFTDVTVDSVSNLLREHGYQSRGFEIMYHGHTGKKLRAQV FFGPTYYQRLRHMVDDKIHARARGPVQIMTRQPVEGRARDGGLRFGEMERDCMIAHGA AAFLKERLFEVSDAFRVHICEICGLMTPIANLTKQSFECRPCKNKTKIAQVHMPYAAK LLFQELMSMNIASRLFTSRSGISVR NEUTE1DRAFT_118324 MCNTIIVTRCQSAFEQRCNNTSVIQFMTAVPQGLEPQTSALKEA AELSPVNRQDRHIGARQSTRQSQEDNRAIWLSVRLQAHPLVFGKGTAR NEUTE1DRAFT_28458 MSRASKLTLLGTSAFALGTVALVHWQQQAEKEAMHAGVIRDIEQ QRIKRERQLDFEMQRELENEYKKLQTVRDVTDQMDHPVPTK NEUTE1DRAFT_118325 MSAQKSAGIQLLLDAEREATKIVQKAREYRTKRVREARDEAKKE IEAYKAQKEAEFKKFEAEHTQGNQAAQEEANREAEARIREIKEAGNKNREQVIKDLLH AVFTPSPEAMAAH NEUTE1DRAFT_149032 MNVDELFQKAGVPSKRKLDPIRDPNEIYKSAKLSSNGSRHAQVE DGDDIEAGPAPPPEDGEDDGDYGPSAPPVEDDGDDEEGRFFGGGITAQEKEILDFMDS NTAAPADDLLTTDKIDLSWLKKTALNFEKRITRNAELRARHEDDPSKFIDSEADLDAS IKALSILSEHPELYADFARLGCVSSLVSLLAHENTDIAIDAVEIINELTDEDVAASDE QFSSLTDALLEADLLGLLVSNFSRLDEQQEADRTGVYHALSIIENLCSRRETADQIGK HTELLEWLLSRAKKSESPIVSQNKQYAAEILAIMVQSSPANRRRLASDELNAVDTLLT LIAPYRRRDPERGSFEEEYMENLFECLTCLVDDPLGKSKFVEAEGVELCLLILSSADI KGKLSKPACLRLLDHAASFSSEVCLKIVEAGGLKTLFTLFMSGDKSSDKDNKKKNKGK TTTLSKQDIEHLLGIFASMLRHLPAATATATADDSTTGAERIRLLAKFVEKNYAKTSK LIRLRREYAARVNQVEEAIKAEEDLDEDEAFSRRMDAGLFCLQTIDVILAWLIAEDTG CRAKIRELLKDRDEDFGTLARTLREQMAGVDGETEEGRDTGEMLKALLEFLE NEUTE1DRAFT_69762 MRRTIETALLSFGNYAKEHNIPIIANAGWQENSDKPCDTGSSIE ELSKEFPEVDFSRVDQVWPEKNRNSEKAKKYWYTKESIMQRGEDVLKEIEAKVWPEME DGKAVVAVSHSGFLRAGVTGWWFNNGDYRIFEFEEREVKEGRPTLKQITGVKGGMGES FETPAGLGGDLPEAAVGGLSVGLP NEUTE1DRAFT_141091 MSTSQLSMEDFKRTLAALGSLIFASVRNTLCQACHPITLRLDEI LNSTDKSDFHPGTRDSTGNIRLATIDHVFSSYRECSFCSLVLRLMLRAKERHLYHAMR SIPLVEDTLINIDTIREAFKSLESTTVSKTSSMASSSSSLSDDFEIFDPEELILQISV DAVCDYGAMSLWLPLRDSEDVDDNEYQLFLHPEDYQTIMADAQKLEATLMIPKPLDRD TRDMDLMKRCLENCRKNHDRCNQSAIPDTEALQAAPSRLIDVVDMRLVPTSPGINGHV NLQQVEYVALSYVWGRDPFFTLQSPNLSTLCEKDAFRDPAIKLPQTILDAIEVTKFLG YRYIWIDSLCIEQDSTEDTVTQIGSMHIIYAQASLTIVAAVGDNAQHSILHIDPNQLL NKYHTIGGLRFCLNRPEFKEVVASSTWATRGWTLQEITCSDRFIFFTPERTYFSCAQG NWNEDLPLDESVSPEQYQRYLRDEDPRGLGLDMEVGGDAQKASATFVSMVEKLSTRNF THDKDILKASLGDNPPTVLRRRIVSPKERWQQDLAIAEKTKLVFRGTICSFPIRLRKR DHTLQASGQVHFCSIFASLEASEDMDNDELIGEIRIDSGTLQTFFPDEFLPAASNMGI ATNAELLAFARLDFSLEHVQNVVYLNRYSRRGNFSPEFLALVDANPDMVLVMWLFRQP GKPHLMSRVAVGYVVRSRWDEAVKERGQTQEWIRLY NEUTE1DRAFT_88442 MEQEAFELSRDIDEQKEKIRRLQSNFGDHANYALRFYATHRWKN IRKPKLGLLQPRMAQLKSTLQLIIAVIQLEVLSRNGSATRGESRLNEMWPGMTGGGDA ATGICFLAYNMALTGRVPRTGQEIPVELDFAQLTTSRIMNIDPRHWAPPPDSLPANAP ICPHCYRDTRAENGHPPDGTIWNRNKSIPNITNHRYRHRERPLPPLPPPESLESLDRQ EPTASRPQYRAPSPPPHPHIPPLFHPNPPLVEIREQPSGSELHHLPANDDSTTEPGSE NQYLSEPPLYRPRSRSPRPRQSTTVEQVLVWHNNGSWVPQLVRFDPNLDIRNRHGANG AEIPYGGLISEDYVDSLSLTESVEWYRREFVFPYDDEHRAVRGIGTVDIEWKKQPGLD DWDELVVERPRVKCIVCREMPCEEELVLKLHD NEUTE1DRAFT_141093 MEVIEAITAAHGVAKLAYLLASTIYDVAKVAKDSGAVFQQLDTE VNSLKVFVSLVEQVESNITSRCGQNPDPNAVETSQALWPFGLLKEECDELTTLIEPQL QKIHQYRSDMANDSEFGRQLFTAMKIRFRLVPKIHDLYPRIERLKTSLLLATATIQTL QNEAGAGQL NEUTE1DRAFT_104235 MRFLPLLGGLLPLLGLVGAAPSCNHECNRACWTSRFNVNTDYEN EWPVTWVTRKFPTCSHETPQFDFQITEVDEWVGPDGHIKKGAMLINGGYPGPLIKADW GDRIEVTVTNRLKTNGTSMHWHGFHQLNSNNQDGVAGVTECPIAPGTSKVYSFLATQH GTSWYHSHISSQYANGIVGPIQINGPSSYPYDTDLGVFPISDWYYDSAEHIVDRMMDP NDPFLKGVPGSPPPSNNILFNGMNIAPNGTGGEYARLKFTKNKRHRLRLVNTSADNTF VVSIVGHNMTVIETDFVPVEPYPVHQLYLTVGQRYDVVIKADQEVDNYWINATLSSTP LCGVSLNPYPAAIVSYEGADESRLPTNHGLPAIDQFCEDDVSSKPLTSRTAPKADFSD TKVDTLSVTLDVKEVNKGISKVLWSVDGSAIDVQWDKPTLEYVVEGNFTFPRQANIIQ LPEAVKWSFWVIQNNSPSPHPMHLHGHDFLILGRSRFPANPLVDPGHPILFDPVIDGP HLNFDNPTRRDTTVVPSFGWLIIAFEAGRNPGSWVFHCHIPWHMSQGLSVQFLERVDR INDGAIPNLMPDLRDRCDEWRKYADNDPEFRMKKVDSGI NEUTE1DRAFT_141095 MSRRSQTSSRTTDSDLSSLPKLQEPVETSTSPEELVNNWENLLN HARMRKDFVKLGFDLSFPFEYAFPDWATHFNFEVYYLDPATAKRNATRYFNDLRPHID AALSIIEEADGDLLRIERRWELTTKFWSGELSKEDEEKCQPAPSAIKKANGRTKMKKD GPYKTGSWQIEKYLTNS NEUTE1DRAFT_49364 MSPTSFLPYSDPKTLGFLDLPLEIRIFIYRDVVLQPDTIELSRR RPVFECLQEADTTAILSLNQQTRAEALDVFYSENTFRIVVADEENEDLLSMLPQAVDR LPIRRLELTHPVIASSLSNTMLYRPDVDNKTWMSVLSNLRSLCIIVVEPETLPVHEEV VIREEGEGRNSMISLRDVKSFYSQLVAQSLESSRSTLVSLLTLRI NEUTE1DRAFT_48399 DSGCPSCPAPQRPLRQCPWRQNIRLFHRSLDGSKVITFQPGFRM IVDNPIIRNESSANQYRQLTFTCLNALDTRTGETKSIPT NEUTE1DRAFT_48623 MASNATEANNRLDSSSRALSRPEILNTSRKTHPARNIVSNHRTF SSSLLINSIANRHSQTEMAWSSRLLRDNVVAEALAVVQALQLAHQRLRTLPPGPGGPK LATVHIFTGALHVFRDIVLTNNAWSANRSLTPHAKLLRTIQVMMFEQSQKLGDIPDLE VDLHLYWLTAESSLESQRAAKRVALQCRLLDGEQNMFTLDGEARLAAEMPPSVYLDVE HALIQKSKDHKEKSNEKSAAAGVPRDIICSVGYHDSWYGQSYDPCPEPYVSSFSDPED FYDSDLEVHESIEISPSPSRPSNPVSYTSGPPPMGGPPDMVSNIPFPGTNKPLWWRDL GPARPTIEQTSQIKDSTPSIEQDDVPGTEKATVTRTEATAEEEDDWMEEHVLEQCIRF MQERRMRGHREEPVLERWQEVCLKELRLMAPGNPIVRAHEAKRVRINRLLDQIHAMRV NISQRQKLVSEKDKEVRHLARDQPFFFGASFS NEUTE1DRAFT_113254 MQYSRRHPRDMDTSLDRRMKSRPDSLSIATLPNTYSRVLDSKHF CGEIIIEKDRAKAIETACRAHRVVSTSTNITLAIFTDGSRRGLNGAYAVTFKRYAPGT EEHGSEVAAGWPVFRQSINGCELLAIKHAIKVAISELATLERQLPPKTKSKVQVKIFN DGIFTLEHLAELRETMPKESDHISSIYRWSKRLRERFAGRVSPLEVKLHCKADEVAGI VSETTMPLLIVGGEERPCRHWDSVIQKLSRELPAPPYQATSRVAEGGDAEGQDGDYDL FPCQADINGPGNNLEVLEGSNDYEVHGNDNHLQPQPNEERQDISFEHWFNQCQDIQRW NQLSAEFQGCYQQWYTLFLREQ NEUTE1DRAFT_27386 VPSRRDLLKTRHAWSLSVRNELERELEIEREHRHNYEQRSRVEN GQQELKLVNGQRRKREDDSEEDEATEKRFTKRRNVDVDTEKRPSQPLSTSHQSHAPSQ PTARPVHAHKFALTDNGPWYESIYNEVYKKVYSEIYDEAYSKAYNLAYNDAFKDG NEUTE1DRAFT_141100 MQSLFPEPRNEERRSGQRDWIARRARPTPEHVELFKLLFTSTLT ATVFIPFAGDEMSRNQVIARAEAAQCRPIATPIDISLGFFVSASCVEGHELKGAYSVV FRQMSPGTSDHGEVFKMGWNQPFVTDEHAANSLAISQAVRIAGQTLRAIPVGPHGRAP GKVTVNVFTPSNQALRDIINPVETAPEDPRRAVRARISSLVLEEVQKLSDIPGMRVEL VLYWVPAKSGVTSQKEAGKIAMKCRKYGKNMIFVNDEERPIAELPKSVSPLIADVLIR ERLRVNRERRANGMASSATTTLRKAARATAEPAMNQDGPVLSPAETPKTQLQEPHPDH SEPRDPSPAPDGQDLTTEGKEQADEGCLDPLEEQALVDRQLLIETHAHNIRYCRERAL PGRSTYRTQQLWENAAESFCFELEKLVPDHPLTRVPRRSLLDFIFSSP NEUTE1DRAFT_49160 MMVIQKFVAAVALFGSSAVASPLLDVSASVSLNWTSVHSVSDGP TKFWYSSIDHSTPVVRGFAPDLDGDVNYAVFKAVKSGDGASIQTAINSGTNGAKRHGL WFASQPRVVYIPPGTYEISETIFMNTDTILMGDATDVRTMASPMQAEPPVIKASSNFS GNQTLISGQDPATGISGELSFAVSLKNLILDTTNIPGDQAFTALWWGVAQGAQLQNVK IRMAPAIGGEGHSGIRLGRGSTLGISDVRIEYGQNGIWYNGHQQAVFKSIYFFQNAVG MFIDGGATISIVNPTFDGCGLGVYHVAGNPWIGLIDATSINSGTTLKTTDWPNYLVEN LRVIDGKSENAVEGPGDFVLAAKPYIAQLSYANTVGHDPIYGPIEAAQLNRPSSLAPG PDGRYAYLPAPNDAELSVRDFLNVKDPLQNGGHLVFGDNTRDESSTLNAILGLAARQN KIAYFPFGKYRVDSTLFVPSGSRIVGEAWATITGYGPFFTDSAHPQPIIKVGNPGDVG TAHIQDMRFTVSDVLPGAIILQFNLAGAQPGDVAIWNSLVTVGGTRGAKALTDKCVNP ETDEPCKAAFLGIHLASTSSVYLENVWNWVADHIAEEPISPGGSNIAGKGGVLVEATK GTWLHALGSEHWWLYQLNLRKASNVLVTMLQSETNYDQGDNAVQVVPHPWTPDVEGWG DPDFGWCAGQENEKRCRMGFANYINGGSDIRTYASASWAFFSGPGYQGCAGQYQCQRY MHWVEETPANLQAFGLCSKDTWATLRLENGTEIVTNEGFTGSWSGSGGDVGRYTPEAS NEUTE1DRAFT_69765 MKAYFEYLVAALPLLGLTTSQQVGKQTTETHPKLSWKKCTGKAN CNTVNAEVVIDSNWRWLHDSSGKNCYDGNKWTSACSSATDCASKCQLDGANYGTTYGA STSGDALTLKFVTKHEYGTNIGSRFYLMNGASKYQMFTLMNNEFAFDVDLSTIECGLN AALYFVAMEEDGGMASYSSNKAGAKYGTGYCDAQCARDLKFVGGKANIEGWTPSTNDA NAGVGPYGGCCAEIDVWESNAHSFAFTPHACKTNKYHVCERDNCGGTYSEDRFAGLCD ANGCDYNPYRMGNTDFYGKGKTVDTSKKFTVVSRFEENKLSQFFVQNGQKIEIPGPKW DGIPSDNANITPEFCSAQFQAFGDRDRFAEVGGFAQLNSALRMPMVLVMSIWDDHYAN MLWLDSVYPPEKEGQPGAARGDCSQSSGVPAEVESQYANSKVVYSNIRFGPVGSTVNV NEUTE1DRAFT_50053 MPTANCLLKAALWQKLILAFIWYLGQVWIKDVEVEPDSSDYGRA DAKEGKWRF NEUTE1DRAFT_104242 MSNESERSRVRDEVVPAFGHASQGSNALKRRKKGSPYYFRGAVR IAHNINAAVSEAIDALEFVSTAQKKQLCIFVDGSFDRPTHNGGYAIVYNGFSLGPNTS SDDRPVAKGWTAIRTKDSHTCEGLSIAQSLREAIEELTILEEHVATRHISTAGARDPV EVSVKIFSDSQTVLKAMKAGKFPKKMKHTGHAIITFSEGLREKFRGPGCKLKVDLVVH WIPGHDASFPLHQNGADGVYEEVKAARRYKEERLLRWREREQEEWAEVPEAQNHEVLQ PQQTGVEENQAGQPPQQTDPHTQQPASQQPNQQEWYNQVQTYYDQYAGYWEQLGRWQD WQRAHGHQAGQWLWDHGANQWIWQWDNHNPGETGNGQPPT NEUTE1DRAFT_104243 MNAQSGLTMHNYKQDGLFAAIVVGFVTSSLSTGFRFWARRRTVK VWRRDDWFMLAGLLWSWGVIAAILHGLTVGLGEEAFTTDHDKLWLPRTNSAMLMFQNW GVFCVKASILFFNMNLFKGRISTKFVWTVFGITFINSFTGFFIAFLQEIAACPRADKQ CFGESKFVVPLTTGIISTLCDIVIYIMPIPILVRLGVNRRTKLGLCCVFLLGLLCIAT SFARWAAMLQNHPKDDVTMPSSIGISLWTFVELSAGITCGNLPVLAPMFGCVGPRRGR GTELRKNLSVAAKDRNALTFAPLFHWKRYRVECFVGASGQRCKHNASGSATASH NEUTE1DRAFT_104244 MNTWKIPRKLFDEIQKPGSFPKFSFFEEAQRLHIGFRWSSAPGS YFILLGSMFSVNTLRYGGINEPISQFRGVFSHRGVLTPMWNGDVMKSGEDLFGCWEGS PLRLVVMMLNQCEKHLERSIQQQAEKVEEIQSLLSSQEAKSSGSPGLHTRHRDLTTSI FTLRNSCRNLMEICSGFVTAFKASLSSKHVGDNRLGNLRSLRDGIERIHSRLKFQLNR IHYLENIHIQSTKSMAALKQLMLLNKSR NEUTE1DRAFT_141106 MPFNATFDWVPRGLTFTPTTLDPDLHIHSGGPNDPDTTTIPSRY RIQTITPNPSYLTPALWIESMINILSQPSKSLILLESRNSPQEPGFAAPEDVIQSTIK ALNLKEEQTERVIGKTGSLTDYFFSESPSSDSSIELAFRWTSISGCYVIFMGRFIPKV ALGWERKEANRMVGIVSYRGLFPISAGKGEDEKKKEKKEKKNVIMDSDLGVALGKHAE QLGENPMSVFTVLLQLCESHLDEEIHELGVRIEGTPMEDFAQVVKEMAPEICMLRKAC NDLLAICGGCLSAIKIWSQNVKMTGTQMCAEGLRRDVEGIKALIELRLEKLNYVDGVC SRMMMGGDSGRRTRFMEC NEUTE1DRAFT_49582 MGRQETIPIIATEKLHPSVNALWHLIEDNREVRSLFTQMLQQIP NGPLYDKNPTGGPQFHNWMGLLCAFNNQLTQGPVWLYDTPGQQGLIGFPFNALLNWSM STPAGLLAFHRPNVNAHFHDILTAYGKFLSSPASVAVLNSSATGWLSPTALDALAKTD TSDDPRPQFHDLFVSDPLHPTYGFSSWDAFFTRHFRPGIRPIASPFDDGIMVNACEST PIALERGVKLHDEFWVKGQPYSLKEKAKKKELEQAMRFEGGTIYQGFLGALSYHRWHA PVSGVVEKVKKIQGTYFAGCPGLASREYLHDGEERKLDPSAPDRSQRYLCQVGTRALV YIRARDRRLGTVVFVAVGMAEVSSCEVTVKEGDMVEKGEEIGMFHYGGSTHCLIFEPG VRLKFTDAAEKVEGKRRGHNLPVNGYLAEVLSE NEUTE1DRAFT_141108 MADTEQSNKSGNPDSDVSKQGRAGDTMKSGSKPTSKFSGQIFGP GISDKATKDKAISTAGYALQKELHPTPNHIWMAFFTGASCTKTTNPTGAYSVVFNQDK KLAKMAWYMSSSSEVVNEKRLAGLAIAQALYIANKRLRAFPDDEERPKKATVKVFTYC VETLKNIDDSREIIEDGREATLEVVKLIEDLSYSLCNIVGARVRLYLQWVPADYGKMV CARDFAKCCRRKSGRKNMYFVGNEKRDDGLIPEGVSGFIERDTAEEDRHDLMEQPGKA VAIAETLTASTKKEGQSGVHQVAILGDQEMDERRAMVSEEASNEEQASINQQILADCY QQQVYSLRQRGNKDVTN NEUTE1DRAFT_141109 MEKSKKRAWGKDPGLCEDRGDPAKRTKRVDRTGFRGNLHYVSNR NDNPRRRQQVIDTVEREIAVWSPPYHIQLVLFCDASVDHKDLPALPGGYAVVFNEHCP GHFDHGRKVVMGWHMPHIIDNMIGEAIAIAQAIQVAGEKLRAAHLASKYTRAATVKVF TDGNQVLEHIDGRAAIKGFRQETYRKAIRLVCEQSHELGCIPGLDVKLDLFWVPGHAG VVDNDTADKAAKQILRNPRHCNIITVDRNRRSIASMPAAVFLPLQQDLEEETRRTMSS SFHHHNRHCQPSRHAHLGRDYPPFPSSALSEKAAGGLASTSTLLSPESGYTGDTVAVA TVKKMAAAADTIPVVAYIASAAEDTSGATKDTTAAEKEQVSAAGEEVAALDVPGDDGL TQLEVDIQLLGEMEEGLKRELREDVKVDILKQFRLVIENKAESG NEUTE1DRAFT_113266 MKFSSFFLFTSALSAYAAPTGFAPGDSNSLAARHPDSPGVIASP SPTIRAGARRSVPLRRDPRAVVFDDGSNLEPSVIGKRKHRSGAAGSAASTTASTTASS SGNTASSGATAGGATAGGATKGGATKGGADKGGADKGGADKGGASKGGAAAGGGATAA GGGGAAAGGGAAAGGGATAGGATAGGGAATKGGASKGGDSKGGASKGGAAAGGGGAAA GGATAGAGAASKGGASTGGAAAGGGGAKGGASKGGAAAGAASGKGGASGGSAAGGGAA AGGATAGGGAATKGGATKGGATKGGASKGGATAGGGAAAGGATAGGGAATKGGATKGG ASKGGAAAGGGAAAGGATAGGGAATNGGASKGGAAAGGGAAAGGAAAGGGGGDSSSKG GASKGGAAAGGGASGSAGAP NEUTE1DRAFT_118326 MMAPPTNTTASSEDLATDILAALDKSQPLLSSDAFPSATFVDLK AALDRLASREMVKYETIERQEALLEPEAEQIAANGSHEARVFEALRKAVEGLSVKELE EAIGDKNVVKIGQGKAFKEKWISKTKDGKFTAVADKINDTTQEQIKTVQQTKTHPDPK ILADLKKRKLIRTQNIFSFKIHKGPKFALRIPEEATDLTADMIASGAWKTATFKPYNF KALGATQNGGALHPLNKVRQELRNIFFEMGFEEMPTDKFVESGFWNFDALFVPQQHPA RDLQDTFYISDPKAADFPRADSPDDTKDYQAYWDNVKAVHQDGKYGSIGYRYPWSGDE SLRLVLRTHTTAISANMLHKLAATKGPDGRPLPARYFSIDRVFRNETVDATHLAEFHQ VEGVIADYGLTLGGLMEFMEIFFGKMGLHDLQFKPAYNPYTEPSMEIFAYHKGLGKLV EIGNSGMFRAEMLESMGLPKDMRVFGWGLSLERPTMIKYGISNIRELLGHKVDLEFVQ GSPAVLLGQE NEUTE1DRAFT_88449 MTSGTPMSNLAVNNLFNVNGIVAVITGGGTGIGLMMARALAANG AKRVYILGRRADVLSAAVRANPEGGIIVAIICDVTDKDALQKCVDKITNDVGYINLFV ANSGVLGPANKWDTSLPLSEVRKNLFTNYQMEEMTSALHTNVTGAFFSMVAFLELLEA GNKNAVEKGGFGKPVVAGSNVPSVQSQIIVTASIAGFSRKTVSTPAYGSSKAAMIYLT KQASSALAPYGIRANALAPGLFPSELAAGLIGNRDPGTESFESPHFIPVRKFGGEEEM AGTVLYLASRAGSFCNGLILVNDGGRLSVMPSSY NEUTE1DRAFT_88452 MGEKGIIEHPELLKLGEPLPPGNPHVSRSQVNSLLFIEQTDHFF TQGISVHLPTWEDTLGWAKRDPRVVDTMKTGYPRFFIPRVIERLADRLLEHFTRKDKH ALIVSTGHHALMCRQFFRQKVDPNSSKVTFPILIAHWDGTITPVREHEHLPITIKAQP LPPLGQEDIFLVPYPVDMFPSAKSFWQHTGFGISSRRATYWMECAPFFQSTPPTSSDH LPEPPTPITFDETTTTAALSLLRTHLAKSYSTPFLPVSPSDIFLYPTGMSSIAALSTA IKSLVLSTPSSTPNPSDPPRIPSVALFGFLYVDTLKLLSSLLGFSLHLFPYSTPLSSL SESLEGDLQIDLLVTEFPGNPLMQSPDVSGLYKLSRRYGFALVVDDTVGGTGANVNVL GKCDAVVSSMTKMVSGGCDVMGGCLVLNPRAEGGRYKVLKEMEEERAWFLADIAVMER NSRNFVHRVRKASANAEWLVHNLLRPHTSVQEVYYPKGSPTEDIYEQFRSPEEEGGYG FLVSIKFLTPAKARAFYDAMAVAKGPSLGTNFTLCCAYTLLAHYRELEWAAEFGVVED LVRISVGLEDKEWLGERVSRALKAAGECDE NEUTE1DRAFT_104251 MSNIFFWLPMEDQAKVYAMMWPGNWKKKKKEKKNSSSSASQSKD ASGSGQKKKASSSSSGSKDGKMETEVETVEYKDT NEUTE1DRAFT_141115 MPPGRVVVLVPLLADTRPCTATSSPGTPHYGKYLEMGWFMPGLE DTTIGEGIGIAQAFEVVIRQLQKCSPSEIGRRVTVSILSDAYDVIMMIDGRATPRSAR KDSHTRVMKLVRQKSEELKLIPGFDVTVHLRWVPGHVGVEGNERADVIAVKARRLRRN VFLVDSVEEEHPDDDDDDDDDDTRADQALIERQFEEDLQQWENFCHQEIVDKVFIHLQ LDEEGQRWSAYKHQQQLLQEPDLFCLAIEEDMDDELMQAAVDRQLREESEVALSRSLT SSLIAPLLLTAS NEUTE1DRAFT_69779 MAPTTFPDRTTHGPSTDAEGNLSDQSTATGISSPSRASSEKSSP HVTAAPPLTDGATSSVAPDAEKQQQPPTTAAWNAGPPPPNGGLAAWLQVVSVFFIFFN TWGLLNTFGIFQTYYESSESIFQPPGSVSSSNISWIGSIQSFMVLLGGLVSGPLYDRG YLKYLIYVGAFGVVFGHMMLSLVSEFWQALLAQGFVVGLGAGMMFTPGVTVLQSYFST KIGLATGLAAAGSSFGGVVYPIVFYRLIDRIGYGWSVRVIGFIALATLMVPVVFLKQR TKSGKVRALVDVSAFTDGPYVLFTFGTFVGFIGLYVMLFYLSFFGLNTGATDPAMAFY IVPILNAASMFGRTLPNWLSDKVGPFNILIPGALVCGALTLAMMGVKGLGAIIVVAIL FGFFSGVFIALPTVCYIALTKDKSRLGSRIGLGYAFLGLATLIGGPGTGAILQQTGHN GHNNWTGIWVFGGVMLLAASGIFMVLRSWKFGFKLTTKA NEUTE1DRAFT_113272 MEPDKSICKGRPKKQTIGIQVCRAYEQSDTSMRSVCQRRKCCIP SLIFTITSGPKLFSNFSSLGARDIDASTAPRSVLRLRPPTPSSDSVLRLRTVRAVLSH PPNA NEUTE1DRAFT_113273 MFAPFTSTKTTETGTSTSTTGQTQVNQPSSSPTSTSENSSGNNH LYMSKGKTWSLTSGSGSGSGSASGSGSSSGEKCDVFIARLSYTPITTLSIAGTLSKNS TLELPGKMSSSNSDTNSYLSPPSSTSTTTLYEKTPSSSSPQNSTTLGNNPIAPQHSVP TAP NEUTE1DRAFT_104253 MSSSNTDTSSTTTSGEHTFSTSTTTQTNSSTTTSSSSSSTSTTS KTTDSSGYSYIERLRIEGQAQYWLGPEKKSS NEUTE1DRAFT_48329 MYPYRRAPGDQGEHVIWRSNTADIPDDVIDPQLLAESNQSDAAD QPSLPQQVPQLLAETSQSNTVEQTSVYQHPHLDVHQYNPQVELQPNRHVSLPPGDGSI YQQQQLDLHHPNAFSNPHVNPQVISRFNSQSNPEYSPQGPSLSRDGSIHHHQAFNPSS LAYSPPQDGRVFFQQAASSPSLPRHSNRMSQGDVRASPLARTPHRNQDRSVRANVQSP TKSKPRAFSRGFGQGPMSEADEGPFRFQDEPDSSPLTSLTATPARPSRPDNGDMEMDL DDWEPGREAQVGIPSSSPPQNNVTPKRPRQGQEEDLQGREGQFQRPRRYNIDLLLDSV TIPPVADMEIEQGVAEAASHADVESASHDPSLQRPESQENARPRAVSMEIVTPPRGSI LRRFGPGTGLSFPSGVPYSTRYSDYIPPPEDFWRPLFAARPVLPGRDLAVERGRSLSA DGRTRTDNVSGPQDGESSRHGQHLNSQTEGVRHHMRTAIPGPSATASTAPDNPQPTTS GHGPASPLQGSLQDTSVKEVVEVTDTIHTSTHRRGPHRHHLHPLAPKPPIEDKDTSPQ PPHRDITCTQQHTQSNDQTQQPRFTNMAQFQPLLALPPPIQHPLLLSPFPPRIPGTFT PALLSLAMNPPRSLQLGTTDSLQPYLRACGMPVLYNDMYPRALPISVRREPFNRTVFV EARYLSVIGLLYPRAEFIVSRIAEGVGNQKVEPNSGVMMDKWHVYKAVRMSGEEMQKL GVCVWSEKVCGEWEPVVEEPEAREVKRVKRMEVVDEDEDEDEDEEEEEEESDNAVVVS GRAKGSEKEGKENVRMTVEEELDEECEDMEIIDAEEVQTSKTTNRKERAKEVQVADKK DKGKEILQENNDSGNAATPSASLGSATAPAPPRRSTRVQSRQASVEETASTKSENTTT APSNLSGKTIITRKLRAIQLDETGATITPNPNPARPTKTPKPRLSTAATTATTTTTKP PITPFPNPNPTPSHRTSSTPYMITLHQRDYPLGGVARIQCTPQARQVIESIQNAFGVQ HPYEVWRHKDYTVHDPDTGEITQRYIKVMRGYAGDENTDDGLMRLFLDEMDQRTKEEA KKRKEKGKEKDGEEEDGKDMAAMEERVAWKERYLALKRRGKRAVARAKERRREGEDMD MDYDEWLEERHEQEEELIEKWFAEREGLVEEEELARKTVEFALFEYGGGDGPAPGKP NEUTE1DRAFT_113275 MEDIFRPWTLAGCFMTPELFPPLLYYVRHGTSHTLFGPNGDILT KNPDKSLNISSRDEFEMLVRGHLNWQTRGPSFFISTFFNKREAWRWGLEREPMAVLYT IDTRRLPVPWPVVCAGVHTQNTLDADFLFLSHIPSQAIIRIDTVRPHLPQGLAPGDYS NPPTPPTLGFVPPPPPYVEPTPGLHVWFASPPQIASPPQYSAPQHFSSPPYTTPGRYI TPVPPVEPPPQVPSVNETYATSEPDNADGPEPDPLPASSSTSPPRTPPLQIVAGQLVE FPPQLSPQLQDETAVSELPVSSVTEPPIASDLEPGVEPQPELMPRQLVESPPQLSPQL QDETTVSELPVSSGTEPPIASDPEPGTEPQPELGPEVDSEVTAAPEPEIPDRVPGTPN GVPRIPNGVPGLPNGVPGTFVQSLPIRVAQSNPGTLAGTRLGINFFATDYMEIPESPR SEQAVNSDPEAPATNGIHINGVAGTPNGVPGTPVQSLPVLVVQSNPGTPTGTRFGINF FATDYSETPSPESPRSEQAVNSNPEASVPLTNGFHINFFAED NEUTE1DRAFT_118328 MHIIGQYPYRLCLIALEVKNQCPESIRYVLLYCQCKFALPRCCH ERQRASREAGCIQNGLKGKLKSAKMKQSVNVADN NEUTE1DRAFT_124979 MARRPRHGRPWTSYSLPYDDIPKYLYFVEHAGSQSFEDNNGTFW AADGCTTGRRGLVRLQNLIDQHVNWYNRSATRFISAFSNYKHARNWAMQRYGPVTIHT INTCLVCEDCPPIFYAPRFTDNCWETSEYLFLNYIPGHSIIRSRTL NEUTE1DRAFT_49755 HWVAHDQEDPQLPEFLFHIQHADSQATYSDSEISIKAADTAFGL SSVSDASELLGHAIRRQDWTNRRPSRFISTFEDAEQARQWGYLRNGPVYMYIINPRLL PRSAGKCVFWLSNSPYEYLILDQIPRDAIVASEKIRPRTCIKLITSSFVPGLASQLIT NHVNWACRVPSCLISTFGSFDHAYNWGMQRHRPVTIHQIDTNQMHPQEPIFRACHFTP NCFDSEYLFLHDIAGYSITRRYSLPGSTPSNGR NEUTE1DRAFT_50025 MKHEDLDKWAVPHDQLPRRLFFVRHEYSQCGSEDEWNSDMEGDG PASELGMMGGLTAACPKAIICCLHEITNIVDLYRKMKRHLKWTSSRPSCFVSTFGNFH DAVLWGGQLPGIVRIYSIDTTKLPPIAFVHVFRPSDFNMFWRKDEYLFLHQIPERGIE CFVVLPDYLKTTREY NEUTE1DRAFT_149041 MSTTTTSAAPTGTEQPQETVSAPVQTTTTTTVVTTTIEPAQAAA AAAEKKEEVQEVKKDSKDTTTKQLPPGTLVLNAFMLCIALGIKNAYNEKGKDEFLFYK GIPGSCVESSWDPWGYCPPQIGSHPPMRRGFLSSWVDIVKEAEIAQTIYQVQTSSTSW TTNSSFSASPAAAAAATAASARETLDGLMREEGRLRQELEELEERQQKSIVELNNLNN DADADADAVYDPNAVDVDDVCDLAGQMEKSPEPNTSDTIDDADVNNPTGADGQMKMLD AETNLDAQSRTIPEVDTAGNTVTTMTEATNTGGSAPAGDATGP NEUTE1DRAFT_69785 MAETNTTIPTVAGPISEVRSSQDVKGPPAYANAGRDSLNGGDIH EEYHGLVLPTEEEKKTLRRVAGKMPAACYYLCAVEFAERASYYGCFQVYKNFIRAPLP KGSTTGAAVLDKNDTAGALGKGSVTATAMTEAFKFLSYALPIFFGWLADTKYGRFRMI CQGVAICGVAHIIMIISALPPVLTSGNAIGPFALSLYMLSIGAAQFKPNISPTVMDQS PHKVAHVIEQDGERVIVDPEESLNSVMLWFYLLINIGACFGIPTTYLAKLVGYWAAYL IPTILYLLLPPLLWYLNPRLVKQPPGGSDLGNVFRVLGDCFAHGGLRSIGRKGFWEAG KPSVRAAAGSTKVYGYDDQFVEDVRRTFQACGIFLFLPIYYINDGALGAAANALSAGM RTNGVPNDLLDNLNSVTIVLLVPTMNHVIYPLMRRKGIRWGPISRMTFGFALCTIGSI GFPLLQHYVYKTSPCGNYATTCQENSPEGVDGLSSVSYGYYAIPIIITAASEIFVNVT GYTIAYSRSPKNMKGLVSSINLFMTAISAAIGLATSSAIQDPYLVWAFAGPTIAGGVF TIVFWFMFRHLNNEEFVINTDFGDMVRDSDNSSDEEANKHGVKGQDTITQVPAPQPVT LNEKN NEUTE1DRAFT_88466 MAWYSSGGSNAELVENLWRNGLIKEERVKEAFLKVDRAHYAPTS PYSDSPQPIGHAATISAPHMHATAIEHLLPSLLPSPSRPAPRVLDIGSGSGYLTHVLA ELVGSEGGTVVGLEHIPALRDLGARNMAKSAEGRDFLETGRVRFRVGDGRKGWRETTM GEDKDEGKWDAIHVGAAAKEIHKELVDQLRSPGRMFVPVDDDEMGLGQHVWLVQKGED GEVSKRRLFGVRYVPLGDPPRA NEUTE1DRAFT_69791 MSARYERVNAHADDDDDHHDDTPTPTNPTSMTRPSIPNSPPPSF HSRASSIHNSQRPVNPDLADAFGADEDDDDSDDDADDRQRLMRRNSSPTEASGAAGST ETTSGGSSRPGPERQTTQLPTTSYTTTAGGSATQTSGRVYGGGIQNEGVFSNLTAKPE RNNGAEKEELPPSYEQAAADAAPPYWETTILAPGLGGPDDVYIEGMPVGSIVSFIWNG MISMSFQLVGFLLTYLLHSTHAAKNGSRAGLGITLIQFGFMMKGTQESGAEGDGYTAP PDPNSHDFDPGAVDDNRGGDNWGSVDSSDWVAYILMLVGWFILIKSVSDYMKVRRHEM LVLQSPDRGLGIPIIATGEAPERVV NEUTE1DRAFT_124984 MSSAATKDDNARAHPAVKGPSALRSILAGATAGAVEIVAKTRTQ LNRRLAEGQKLPWPPFGAQWYAGCTTLIIGNSLKAGIRFVAFDQYKKLLSDSNGKLSG PGTVLAGFGAGVTESLLAVTPTESIKTTLIDDRKSAKPRLRGFLDAVPVIYRERGIRG FFQGFVPTTARQAANSATRFSTYTALKQLAESYTAPGEKLGAVGTFAMGGIAGLITVY VTQPLDTIKTRMQSIEAKQLYGNSFRCASMIFKQEGVLTFWSGALPRLARLILSGGIV FTMYEKSMDLFDRLDPERKYI NEUTE1DRAFT_141126 MEELNDNPPGMPSSPFPVPYKDLPLKLQASRHFLQSCFMSAFGD RRHAKNRGRQRYGPVTLYEIETQLLLSPIVFNAVKLCDLLDISFFAGNVSGGQIGVPV NTEDDVDGLANIFNDA NEUTE1DRAFT_149046 MSQPFWHTVPSPEDTEPIPNLKLPPKLWHVQHFQSRSINLKDGG FQARNPFLDIRTCDALKMAAGRHFRWGTRDWDSCFLSAFDDQVHADNWAKLWCLEKPV LVYELDTAKLPAGTTLFQSTALCRSLDIRHPWMEDEWIFYQQIPASCIARRYYPWSNY ERIFQSPINLTVLAEVLVGAKWLPPRNTDGPRPPSPAETEEDQDDLDALTGRMNGLEL ENDASISEGDACEDDESIQEAVKINPADDAPNGIASAPEEDVDANPIVCAPNHSDSIR QEGTDSRLTDDTPKTNNAATEDTDPTPTLDTPSTPEMGTDTQLSGETIVAEDSTQGEE VINSVSKDDSSRANSGGPFQQKIICQVNKEISGTKSSFSVMVQFEIRAAEVV NEUTE1DRAFT_104264 MSSKPSSDIAPSAPNTGGAGIYGTFGPSGSSGSSGSSGSSGSSG SSGSSGSAGSSGTGPAPSILHIPSILRRSNAAKGSEEVDVEVVFVDPVELDVVLLELV VVDEVVLLFEEELPCEVEDVMVSEVEVFEEDMLAVLSPL NEUTE1DRAFT_113286 MSSNNPSHTWDKEPKVSSVTTTCTAGSSGFGTSGSFSSTSGEIG GTAPAPGTITVTTTITIAFTPANSTKPAFMSSLQLGFHSRNSVGVHVAPTPTTPVLPS KSAVATAAVVPLPSLAVPQAVPPQAVVLPRLDRAQAPSSGSTHVWETIETTTEVIETY TETEWGGKGSSGKSGKR NEUTE1DRAFT_113287 MKFLAGLSLLASVASAMSVDLTQRGGPLDVKIEVVNNTNVKASI TNTGKEHLKVLKTGSILDNAAVEKTQIFSPSGPVAFDGLRLRIATSALPEDAFQLIAP GETVETSWDSAEVHDLSQGGSFDVKVAGILQYAHVNSTELKGTLPYSSNIVTASVDGA AASQARRVFHEKRSIVQSDCTGSRGSATRTAMSNCRALAASASQVASSGSASKMTEYF KSSSSSTRSTVAGVFARVANECGSTTSGYARYYCSDVYNACSSGVLAYTLPSSSFMVN CPLYFSALSSLSSQCHAQDQATTTLHEVTHLSQIKGTSDQSGCYGYSCVRSLSASQNL NHADTYALFANSIYVGC NEUTE1DRAFT_104266 MSSQSPIPLSLSISQPSPSLSPPTVLLTLANTSPDIPVTLLTWS SPLDSLALQLGLIQVSSPSDPSNKIDFPQLMINRAMPPPEDALVTLGPGEEKSQEVVL REPIVDGDKLKELAEEGKVGVRVVCGKDKEEDEESGVVVWVGKKREEVSPEEVEMLGR GKEAKRWKVESEVFGMKVDGA NEUTE1DRAFT_124987 MTQINTEPYRSMQTSGSTEPVWTHKLPWSQIPEFLSLDKDLETD IAIIGAGIAGISTAYELVKRGKQVTLIEARNVLSGETGRTSGHLTNDLDDGFLEIAKK HGEDGAKQAAASHAWARDRIGEIAEELNIECEYRRLPAYDISQFTVGEKKHEDELEEL KEEAEYQRKIGLETRFDPNLTVRGWTSSIDQRGGMVADNQATFHPTKYLVGVLNWLKT QPNFQCYARTRVMDIKEKGIELLGIGHKTVEIQTEGGHTIKAENAIEATCVPLQKLSV IIQLEFYRSYCIAVRVPKGSVEDCLLYDNAEAYKYVRLTACDEKDDYLVVGGCDHKVG QEEETPRFDELEKWTRERFPQAGSTDYKWSGQIFEPVDYMGYIGKNQGCDKIYIVTGD SGDGLTHGVLAGRLLADEIDGVRNPWAGLYSPKRVGSMLKSLPSMVSHDLQINTQYKR VVQTDIEDIEDLPRGCGGVLNKGTKKPLAVYKDENGNVKQFSAFCPHLKGVVCWNHVE KSFDCPVHGSRFSKEGICVMGPSKAGLAPADEAGEKEQVAAAA NEUTE1DRAFT_132225 MSTTASAGLPASTPQFHPGYDTCTEVTAICRVEYTTLGYYPNQG VNIFLAIGFGLCAIITLVIGIWKRTWGYSIAITAGCILEKKNASRALLSLNPWNGSAF KTQIVSIILGPTLICIGLYLTLKHIIASLSPSLSPFRPRFYPLFFVPADVSCLVIQAI GGGIAASAGKDNYTLLQHGNRVIIAGIVLQVVVLGAFGILSSIFLLRAKKYFRGVQEQ TTMEKQTWQDKKTRMFLWAMAGGYATLLIRCIYRIAEMAGGWGNEIMQDEPSFVVLES FMVLIACVLFTAFAPGWWFPWMSHSEKVNPGLRAERAAREGGGGGQEIGETSSGNEKV VSV NEUTE1DRAFT_132226 MTDSPSGIGPIRRAHRKSRTGCTACKARKIKCDEHHPSCINCIS HGLQCPFLSMKANLPPRTRPRPQSSQTPSPITQSQQFQSPSPLSPAHHPHVEPPPSLS SSSSSPHSTPINPIYYPNPAINNPIIENNDDNDDDPLPTLHLLLLHNFTFSTYLTLTT DPTVANFWRTSVVNLAFSLSSSSPSSSYLLRVLLSVSALHLAFQQSCPQKRDFYTAQG ILLHQKATREAMRRMLPVIEREEDELGLYLFANLTIYVALASPRGRRGDGRVYVLDQH EQRRHAESNGLSNGDRRGGPEGTGLGSEAGMGMGIGTAGGGLNFPDWAFLVNGPKSLS NFIMNNEAHREFLKPFLAYGGRRWKEARGESSDSSCSASPSSTATPNPSSAGTPTEQK RTTSSRSGIPKPPGPLAHLRELISKDTANPYLHTYLFAIDELELSLTHLTCSSSTSPS TTTATSSPSTMTTTTPTLTTCAAAAADDSPNSNSNSNPNTQGGGDVLDAMLWLWAVSD SLVPLLKIPTQEAVAIFAHFGILLKHHERQWWLQGWGDHLIMRAKDILDEEHAGWIRW PLEVLEGDHHPS NEUTE1DRAFT_141134 MNPNRPLGIKSEPAEAPNMTTIPQAIPQVAPAAQSPFTAATIAA SESITVATTSAATTAPTIAAKAPPAKKATAAPRKPAAAKATAKTTPKAPPKATPKATP KTAAAKATPTSNTQAEAEVTPPTTTGTGTARPRGRPKKNANATDAATTAAAANDNTNI TDAAKATTSSPPPAAPSSPIIVATANRPVTATATANRPSPSPSPSPGPGPASATAATR QTTNTNTRGRGRGRGTGRGRGTGRGRGRGGASTSRASSSTTTPTRKRTASAASIADAD ADTDPDDDDDDADAYRRASKQRKVASTEGIAATLRAFEASRAGSASALASGAGSGSGS GSKQVVRAAASGGAGGSGGKGGAAGPGTSVGKKGWSVDGQEINRRHKENDLHLLETGE FSDATIHCLKKKWKVHKMRLSTRSAWFREAFAGSDGAGQISEINLHEQNAEDIETMLR FMYADSLDDNLTRPSSLASPITTYVTLYNLGHTFRIPLLCRDSITLLGQYLDTKLLLL CTYSVPASGRSGVIDHSDPLLSPQSYANDLFSGIFQAYSGVSESTKLHSLLASFLWAG RDRLFRLPGLRQVVDQCPMLGTDVFKVQLGDNSSSFIPPTTIKLTNVPGGGGGGGDVE ELKAKHFGPTCSRLDHTRKTQHPDRCEACEEVFDEERWAKRVYNPFKVVVRPAAWCRR CVEGKTNPNAPGGGKGGKGGIGGRFDLGVGGPVVVDGDGEGAEGGNGESHRRKGVLED VVPMWRLRVGGDEE NEUTE1DRAFT_88488 MSVANDDEPSYIDYETFLDPGFSPAAFANTLVLATNNPNDAPLD LSTPLSRVLFDIQEIDSHIDVLTTRSAIPLLTHTKEQTEASGRIVGELDTQIKALNDS YKQLEKEVIQKHAEAEEVRLVASRLWETLKLGRAVGRALQLGRQLEVQHAELTGSAST TVTTSSASYGIERVAVIRTLRDNIYLPTERSVRESAERIIREFSMGSASGTLTFAQSE ETRARTVSGLTTLYLLSPTTLAKNEKWSPTLMLQSLESYLRTALQSSTASLSRALATL PSLERTLADVTARCQNVVALEAVLEATKIPSHPLVQGQQATPKTGGNMLQPLLAYLET GSLASYFWRTMASNLAPRVQEIMNKGGVSARTLRTNRQSVGEAIKECVVKGCVLPSAV AGAASGDRGRNDEMKDGEKSGRWEREVAVMVGSVVNNIGR NEUTE1DRAFT_124991 MTIDIRDILAWPGGDNSTDTVLSGVHLNLTALENWNYTLYSNGT LSNGTKCYLTFEPYAPALIFPNGTFVNATQCWHPVYPIGARAGTGLGFAVAFGLGLVL TLVALNKHGKLHLPSEKRFHPIGRRWQWYWAIWTCATALISLFTNIDVDRYYLPEIPI VLTCFFWYLMQIGAIACTWEAVRHWGSWMERQFIDPDPFRLRQEGRRYWVELIIPLFA YLFMWLNFFIVVPRSWTKIEHQRYPEQIITDAKPTATDNRFKSAPFFLFTTWLITVFS LWHSIRHYCPRNRGIINRTIGLFKFTPTRFMLIIPLAFIIPLYQAMAAWNFTWSPLNI KGKPEAIYPGGYAPSLLIVLIQAIYGLTSPNEDRELIRQRRERGHILDAEMGIQRKPA WWRRVNEAPAPNMTMRDRIMRNVREIGGGGPTARNLKESIHTRAAESKPRPTPNGPAS PGDVEMVSIPSPEHVVGVTGPIAPGDFAAARQTATRYANMTPSAASRQSELMMDGPRE TVPPPPPYSDRPPAVGETGRNDSVASMSPSINSPPAQIRSMLDV NEUTE1DRAFT_113295 MKSSAFLLLPSIGLGPVKKEEEKDSSGEKVRDVSPGRGPRQGDP SMLGLVGTAVLGPIHPNRKQRDGGQQSSHRLTDRRIQMEANERNSEFGNSWLAGWPKG GKDITEAILARRSDKVKIVDLDYVRYKNEYNEEEIIFTQIRLS NEUTE1DRAFT_49101 SGYDDSGTSEVPASLSGVHFHSTRRSVPSRCSVPEGGGASFRSL AFCSLPFDPAAGRIPLLPDYWADAGQKTAADVSRSYITST NEUTE1DRAFT_69818 MAATFRPVNTPLSPSADSPMVLDSTTITSPTTPRPSTAPSQSSS SRHLDDNNHHPVTTTTTPTRTTPNFGAGALASQQPLPTSPFPDSVQLPDITDRGNSMP RRENSQHSRKSKDSDDMDMDESDGEGAGEDGHASDDEEINADGTKSKKKKSQRFYCTD YPPCNLSFTRSEHLARHIRKHTGERPFQCHCGRRFSRLDNLRQHAQTVHVNEEIPGDS LAATGTRFQRQIRTDRVRQAGGRARAATAGSVMPVVRGHSKSMSTSSIPNISQLGAPY GASDARRRPPPLVMADPRARVSMEYHGPDGAYHRGASPSDFGTPTSATFSTGQNSPRW GPGMVSPNSSHSRSHSMYVAGARTPGRRLSVPSGGNPFQSPHGSNVRGPLFGPSGINT SNNGAFSPSQSTLLASPTVSNASGWSRRDSLSSVADDAWRRRTWHPESREFNNGVSRL REVITPSQFTHAPPPIANPANQSPQPFRLPGIESFDEPHRPLSPPRRLPSPMMMDAPR PAQHQPPHPNEMGFNRPRELSAQWDTSLHRGLTGLNINTQTPPRDGASAWANDVNHAV MSQADRTRAPQGQPGAYQQTVRFDTEVQSVPAPLSRQHQHQQPSGPPPPPYGRGHHHT MSAPSITSQPTKRHGWYHGSSGPAGETIHEGRARVDRIMHPNVAAFQGFPARDREQPP QQQASSPQHHHQGYQQQQQQQYQHQGPPVGHQQQQGGGPPTMERILEKPHPNAHAHGH PTHAHPHQHPHGPPAGGDTASLRRLEALVAVAVGSSEGTTAAAY NEUTE1DRAFT_118336 MSGRDSANCFVTVRYLDVEPGDEVLYVDKFGPPESHPSEQSSRL QTCEGHFGGLITDIGEGSER NEUTE1DRAFT_88499 MAPKILHRFYEPIMLLEALKGPIGEPSSGPSQAMRVDDEDPKQL FQAFVYRLAHVCDREKGGNMVTSIMVLDTSNVLAAEQEVLYVFGVNQATPRHLEHTAQ FLRTVLEKVASAPSKSDREGREAAERELWQTVLRFNRPRVKFYLKSLREKAEECLRQC EVDEKEEDREIANGLQDLLESEDYTVTEMTSEAEYDQKCNTITRKLKSIFASTRLNAI LIARAAAGRTAMAGHASMECWSDLVHVAQRIRAYRASVHSFFKARDEWPHLFQGKIHI VAIPSADLQDTPRRKKSLQAESIVGRMTSNEKLMTIFKRFVQDLQMHNLDTRLVDAYE SNKKLAVHSEVLLHHWLLTDRGKGEISPTMFFNSWPYIGSSKPTCKLCKYYFEESRSG VEVRASHGNLYPKWRLPDVYDFHGPEAVKRRQIMLDRILQRVRTEVFNVIKKKSPLSY KHEDSNTWSATMQLDDRLTQNQTDAGTDAGTRAGTVADWAENSSVIVHRADAEPAPGI ENDVDSIASLDSMQAMEGNVEDLRGLHLHDKVEEVNVHTQTGREQDEDEDDDDDDDNG GGAAL NEUTE1DRAFT_118337 MLVRPSIHARGLALPQRCGGFPMQQHHDQLKGGEYVCDGKALFT LGNEQQNAPDSSFIQQQGQSFFQYPPIIPQEPLIQGCYNPQLTNATLTTAISPETPMA FTTPMNGAWSSGAQYPPPQFLPSPTSLSSPSYPTPSSIYYTRPPSPTSPINHTSSAPS PSPSTSSTFSSRSASSSSSSSRKSPEKKPCEAPVDGRYGKCTYKGHSKELKKHYRTHH KKYAEEIGILLDPFECDDCKTSFVRKDFLARHQRVQRGKTMSACERAMKNKKGKGRV NEUTE1DRAFT_69824 MGSIRTTVAILGLCLFLFFTNVASAEQVIEAPPVNLTEALGSLP HCALNCLVNTVTTVGTCGTLLNPNLADCVCHSQEINDLSAACIHGACTIKESLTAKNI TSHLCHEPIRKNTTIVPVLAVFLGLALFAVVLRVLARVLTRAYFWWDDLCNLFAFCGC IGFSSLNFRSIDHGYGLDIWYVKFDDVTTILRLFYANMLLYTVSRFFVRASIILFYLR VFPKDNKVSRILTYTMAFNVVYNFSFLCAVIFQCTPINNFWLSWSGESQGHCGNVQAL VWSAAGTGIAFDIWLLVLPFPQLFALNLHWKKKVMGCVMFSVGASVMIISLIRLKTIP HFAKAENPTRDIADLCIWSGVEIDVGVITPCLPSLRLLFRKLLPSILGTTNKYELEPV SASASTAMNKSLASKSKPTFHESTKTTMDHGHDGSSIGTKCTHDDDHSQLHGASCESI TELVTDSDGDFKKMGSKV NEUTE1DRAFT_50014 GAGPSGLLLALLLSRHGRSPSSPFGSPGGGGGIPVTILEASHQL DSRPRAAHYGTACIPDLIRAGIIDKIRDRGFVLSTMTWRKPRTFEEVGGFESSGLRGE KGEDMRTHCLVLQDLLEVMLEECVERGVEVCWRHRVVGEKGEEKGAWVEVEVTGEDGE VKERKRFGGEGCIVVGADGANSAVRKGLFGDEFPGFTWDRTIIATNTYYDFDKFGWSD ANFIIDPDNFFMAARISPSTPSHPALYRITYAEVPNLTHAQYLSRQPFKFQSILPGSP TPDQYQLLTLSPYRMHQRCAPSFRVGRVLLVADAAHLCNPWGGLGITGGFVDVGGLYD CLAGIWDDKADEEEMLELYSEKRMEKWKTIIDPVSQENFRRVSGQLALEKDEFLGALR EVKGDEKGVRDVLLGMMAVRYDFTGHYRR NEUTE1DRAFT_113301 MVHKLAFLTGLTASLVSAQQIGTLTPESHPKLPTKRCTLSGGCQ TVSTSIVLDAFQRPLHKIGDPSTACTVGGPLCPDAATCAANCALEGVDYASLGVKTEG DALTLNQWVSDPSNPGQYKTSSPRTYLVAEDGKNYEAVKLLGKEISFDVDVSNLPCGM NGAFYLSEMLMDGGRGELNAAGAEYGTGYCDAQCPKLDFINGEANINKTHGACCNEMD IFEANARAKSFTPHPCSIERVYKCTGDTECGQSQGVCDQWGCTYNEYQKGVHDFYGLA PPAKTIDTTQKFTVTTQFLTDNGREDGVLVEIRRLWYQNGKLIKNAAITVDGKSTDSV STQFCEKTSSWTMQRGGLKTMGEAMGRGMVLIFSIWADESGFMNWLDAGDSGPCSATE GDPKLILQKKPDARVTFSNIKWGEMGSTYASAGKYGVRRVAKGLSA NEUTE1DRAFT_49266 LNCNKKATSDTRPSFKTMGRTGDNSSGSGPEHAGKWQGPRSKLA KMNHRLRVTELPKMASNINGTRLAGNGTKPTVVTSWTMARTSEFCYNRHRI NEUTE1DRAFT_124997 MSRRYPEKKYYDNDRGLPPRPPRPQRDQRDDDNRRERDYPPARN YPNSNYSSSSRNPPDRRNPPPRSDYGGSRNNRQRSRSPPPYPRRSNQIINNHQPGGLR SGRLSPPPRERQNDRSTSGRNSPAPSTSSGNRTPAPARTAQPSRAPSRGPSRAPSRAP SPVRAPPPPTRAPAPAPAQATKPKGFPAPKTKNPRNTFHFLELSLVQDAIIHDSHILQ DLGQCMDECEREDPNTPWEQQEKGKEFLKWFEEYRSSVTTLRPVVPGMRDHALLAMKD MIKKKLTRRKLFTELYPLPSTENDKKDTSDIKPNSQNPEPKPTIDVSTDVICLLRPPP SKRSQYITFAPYHLSSSPTQPSPSNILITTSPALTNLSGSHPFTLSSALPVLQGLKRV AFLWDSASPPNGPGPLPADLAFLYPDNLPSLDTIYILHPEIRPRSEWFWVSPECDTFM GGEGQGSEALFVEVREGDVEGIGTGMRTGMGMGMGNKGDLKGGSMWEVLGEENNGGGK RDVWGRGGGEEVKVSEGVRDALREVKALEGLYNAEGAKGKGRRVKVKLMGCIPIRT NEUTE1DRAFT_141144 MSTHAIERKPVPLSSSEVPPPPPKFEKRVHFAAWTESEGGPSAI PPTIPPIGIISSLRLPSPAPLQRPPTPTEYFDMPYPPNTFDPESDMLPLNIPSTPPIP RPESDLAFRTAPSEIDAEARARNKHIFAAATNLSNGLTQLEVRLGGVDLIPGLRQQAS ISRLECQAFFNFLSPADKEQFFVQQIPIFAARVHALASRTEECNLRIPCVQVLDTRIG VGGGKDGEEPPSWRDMALGAGILAWVRRRRGVYARPGGEGRRAMEDGVGGRVRSEQVA LWEDLPGGLKGLVERPEGSSSEYGDGDGDGETGGEVNGEGRRNGIGSNIKKPGFLTLW HEMLRRDDVVLKKKEELVKMMKDGREKMERGKCRWCGERDAGPNGSGRPGGWLRRLRG R NEUTE1DRAFT_113304 MRLNASLGVLSGIAWTTSGAVVPRNLDYDIDTTCTSTETDQGTV FVTVPGGNSPTAAAPAGSVFTLTVPVGGSDPGAGSPGSFGSPSGSGNNGLLSSTCTTE SSPFGSPFGSAGTLEPVTITITALSTPMSTVTIPVSTITINTPGAGSGAGNDGNGASP GSIVTVTALQASPSAASPGSGSGITITIPGGSGSGSSPAGVTVTVPQISGQAGEVVTL TIPEGPNQSATVVLTVTIPDVADSPGSWSTIVSAPGLNGATSGPLVTVIGGTSAGPQV PTNLPVPGGLLSSTCSDALTPGAGGSGNPGSGNTLTVPLPQLTTIQGGKESYPPFFGG LNPLTITYTIPAEPGMPTPLVETLTVTPNLPYPPGDPGSSYGPTDLTIPLGPFGVHST VSAGDSSGLTLVTYTVPADGNSPGYTGVFTLTPDAGSSPGQGGQNGGSPVTVTVPEAT QPGQNGGSSGENGYPPYGGSAAPAGNGSPDTITLTVPQAGAPTQSFPGQGSGQSGFGS GNQAPTVTLTAPASSTPGSGSGGQGSGPGYGNGQSTCLTLTIPGANSDGQSSLLTVTV PAGSGFPVGGVPSAPYNGPSDPVTVTAFPFPGASGLPGSSSSGSGDEGQSSCLTLTLT GANGQPSLATVTVPVASAFPNGGAPSAPYNGPSGPVTVTVPPTPGSGSSGSGDDDQST CLTLTLTGANGQPSLVTFTVPVSDYPSGAFPSAANTNGPPGVVTVVVPETTDSSGSFP GSGSYGSGGNGPSGVLTVTVPDNSGSGSGPSASDPYGYGGGSDQPGVITVTEPAGSPS APSGSPVVVTYTVPAGPSETESSYAVTYTLQPSSGSGSSPSVSGGPAGYGSGGSNPFG PGSNPTGSSGGLSPITITLGPSDGFATPVVVTYTPDVTGQPSSPSPTPSEIVISESGT LFTITLPGSGSLPFTTSPASSEIVISEFGTLFTITVPATAPAAQPTVASGSANVPGYG SGAGSGAGSLPSGLTVFTITPTSGSPYVVTIPVGGGSGSSPSNILTVTEGPSPIGYGT GSGSGSSPNNGEFTITIDGTPVVVPLPQSNPGGNPATGSGLVTVTEGGNGLATPAAGT VFTLTLSGTPVAVTVPASGNTAPVSQGGILTVTEGLPSGSPSIVTVPAGAGGITAQPS ATPYGGSFPGGEVITVIGPDGHPTVLTIPGGGNPAATQNGPSNVLTITEGGAAPTGGV QPGGNGVGTAFTVTLSSPGNGQGSGPQGSGQGNGPQGYGSPNSGLGPVLTITELPSGA AGGLQPGATGFATAFTFTIPSGSGNGPSGSNNGPSGSDNGSFGSGNGPQGYGSPNAGA SSIFTITQEGVGAAQSNGPILTVSEVVLSETIPIGSGLYSVVAFTTTLGSGSGSGPGS GPSIVTIDPNGSGNGLNSPSTIGGGAFATTLTLAQPSAVIATAPAQGPQVVTVLPSIF TVWPQNTLVTTTSCTTSNGGAFFDPGQNGGFGPSVVTMWPTYSIDTTCTKSSTSYISA SAVAPASSSESSTVLMLSSSTLAVISSAPSLPSPSDSSTVLMLSSSTLAVISSEPTTP SAVAPEPVSTASGEQAIPTLTAEPPSSSNEGQAIPTLTAEPAEPTSAAGGGQPIEPTE PTEPTSTAGGGQPIEPTDSSGGGQSIPVVTPEPSTSSDEQAIPTLSFEAPPESSAGEE VPPPQTEFGLGHIHPRAAHITVIPTPSSVAPDSVSTASVACGSLGDRGGYTFRFDDIP APETLNSNTNSAMVVRHQPVPRPYHRFLFSDDFRVVPPPQSRFVPSSGSQMVQYDGVS KNVAQIGLAQLRNNPCFRFDFLGVSLGCNSTVEPCVFNVKGIQWNGVHDVIQANKTFV VGACKNIDSCVLRHQILDSATALQFTNLTAVNITLTVAGKPVTWWADDFQVAWTENDC TTAACRALVPNTASTPQKPWGVSEEVKAKGLRWSIRR NEUTE1DRAFT_88505 MPSLPDASGGGSRSSPLLWRILKIFLRPFRGPVDRARRAFRAAA YPIQGIYYFLRHPEFYPVFLGRLLPLSIISFLVYLILFTFAFIPQLLFLMIFQGKAGA WFNAIVLVLGEGHVVIQALFEGFFVDEAQVDIFDATLINHGLTDLVAPHRLLFPDAPT SVKMLGKPTTKAEFQPFSLKQIIELIVFLPLNLIPYGGTVAFLMITGSRYGKLSHHRW FQLRGLSKQEMKRERSYWSWEYTWFGTVAMVLQLIPVLSFFFLLTTSAGAALWVAELE QRSRVRVGRPVTASDQATRNQPDEPVYHDGIYHDDPV NEUTE1DRAFT_132235 MDTPPTAFRGNEDERSDQQRKRNRIRFSCTTCREKKLKCNRQSP CDQCIKRNVAATCNFIPYAQNEPRPSPSVSGTTPGSQNGGSRNRRGALQDMTAAARLR HLEHMVQVLKAQMRREEGGGGGGGGADVPVSSSRAISPVPPSPSAQDTQPDAETSAPS KGTAGAMADQTRYVEVIHWEAVYDELTTLTKNLKASDESDQEEEEHWSPRCNVPERQP VSVLFAGGFAPVSPADLFRRMPPKPVCDRLLSIFFQVKDAAWSVFHLPTLWKYYDALW QEDAELTYTDLALFFLLYANSALFCIHTGEEVPGNLGSPMQAYSMLKATGAHALALSD YSTPGKNKLEALYVYFIAEFIGQPDAPLSTSIIFANIVRLAMHMGLHRDPKHYPNMSP FEGEMRRRLWLQFVEVDQIVAFQFGLPSNIHSRFYDTEIPRNLLDEDFDENTKELPPS RPESEMTSVLLNIVKSRTICAFADITAAMCSRNPISYAEVIRLDKQLEDAHNSLPPLL QFRSFAESKDDPIDVVMQRFWMELMYQKARIVLHRRYMGIGRTDKRYAHSQQVCLDAA IKTLRGQFDLYCERQPQGRLASEKNGQFFRASITTHDFLLAGMILCLELSHIRAREKR EASPCGTRPNAVENDVISKDALMQMLETSRQIWQSTRKESTEANRAFKILSKMLCLST GAVFESSPESSGSAYDSTQASTYANSEPATAVMGANPYYYTQPQAPTAMSQTVPVAIP IVVPPTTTISHPEQMQYPLAWQPDLPPPIGPVDLSPYNTMDQFMDPSLTADWNFWDNQ VHNTNADELQIPWNTFFNPQMPGYQ NEUTE1DRAFT_125000 MGSTNTTAPTGGGAEGNNNNLDVFKNDILMLHVPLPPDQAWIDR LENKYPGFKVRWVHRSWQDYGKDDESTAAEEYEGVTMLCSFYPHKAELLPKLKWVQLT SAGADPWLQHPLYLNKEIVFCTANGCHPPQIAEWVIGTYLMLSHHFLPYHDAATQHGQ SQVLRHLTTVDSPGMRMGILGYGAIGRQVARVAQALGMEIYAYTRRPKPTPESRKDDS YHVPGTGDPDGIIPSKWFSGSSREDIDHFLAQDLDILVVSLPLTASTKQIIGRKQFDI LAKKKTFLSNIARGGHVDTEALVEALKEDKIRGAALDVTDPEPLPQGHELLKMPDKCF VTPHVSWQTPFYFERVKAILEENLERWRVNGGPRGLVNLMDRESGY NEUTE1DRAFT_49989 MAPPPASMGHGYSSENQLRSRRRSSASSTRSEHFAAAATSAFAV PTISVPTPTYSSHHRSSSSTSQHLSLPPFRHRRSSSSASQDGYRSPSPGSSRTSAFVL PPGVSISQITPNVFVGNNASSTSIQTLMHYGITSMVSLLSTAEQQLNEDAWNSPALGM LVPKQNRLFVRCEDSPEEDLMGKLAMICKFVEDQIEEGHPEQRHRKQSVEEMLKSVLP ASEVPKGFHTGTADQEQQSKPKQRVRSLIPDEEGNVEGPTLSPQNINPKDTTDFETGG KVLIHCNQGVSRSGAACVAYIMKQQPNLSARKAVRFVQVRRKEVEPSATFLRQLGVWG EECKFDVWEEEEDVGGSTSDEGLNGKEAHGKSGHENKKKKKVPKAPYKKWLDEREEMR RQVKEMGGLVLPQGGIQV NEUTE1DRAFT_104287 MRSPFGTTGLTRSLGLVALALSTTCTGIYIPSARVPLPASLARK DATTITVTTVSTAGTTVTNLEADSSVSVPTAATTPSLRRTRSRRPVTVVETVTATTVM ETVTVTPAASSATNSVEDNNASSGSSDVSSISTEVVTDVVVVSPETVTIIYGGGASST DAGAAPRSVVSSTSDVSSSSADAASTSIEVTTVTVFPEVVSTSTVGVSSSTDEVSDSA STNNAVSTRRTTITLGKGTTTATEAATSVETSTSVERTITIDTAALITLPTSFVTLSR RADNKAAPSSSSSTDGDAISIEAATLTVIPATVYTTFTHKVTLDAPETLSTVFVTAQR TGDAAVSSSGSSSAVAAASVSASASPSTSASASISASASTSADAAPVSSTTSDRPLLT ITRISTSVTATVHVTVVTATVHATVTPAAPTETRSTLQLAQANCLVCQDIFDDNGIVP PEKVPASAAYAVKRNLDSLEEPLNNTAPGRRIPVGKLISLDGGDDIAPLLIPPMLPTS GVPPVSDHADAAITGGGDGVVPHLIPLMLPTGGVVDDHANATVNRDGAVVSRQLVPPM MSGFSVATHITRSSFSPIRLPPVTNNADFHTTRSTHSPIRLAPITNNADHATRPTQTA TPIRLAPINNITTPTGVHQPQPTDLLQPKSFMSHAPLKDAPPRRTAKSRRAETLPLPP PPPSPLLPLPPLNITNLNQAYNPFPKSTIFKTPSLGKL NEUTE1DRAFT_104288 MGRASYASIGEIGPDTEDSLPEYPHTEELRRYGGWMNPYFINFG QSGLETQYPSLPALGMDMSNEEAARQDPMPIPSPPQLDTMFLAERFQQEINDGFKAWQ LASLHQSLPPVSEASITVNPQMWHPIFQKDRWFDLKSPVDITDTSLTAPHWSIDNADV FAELGICVEMANKIFAEAQCTKWLHALLLKDWDPITGTEYQRYNRRTGVEAQRFQAHG DPDAPLTQAARIRLYNETTDLADRIVWSFFDGDDPNAFGFANSRADKFTSAYTMLAKD KRTNEQFVVIRMNARILRTLLQDNNGTNNPAECLRYGEKHIARLQFAVTMIHELAHAL NFAALLKEGDAPDTYEPVYNNEWVAELGYSIENNLFGGTFRYTPEGTENDLVPSTLPG LRQYGLALYTFEDTTWIYSSSNHTIDNHIHWPPGQPISFDRYPIPAFFAASLQLQDFW DVLVGTKGRSALRPPRPFRTPVLDQQEITRVFSVIPNPNNPQNFDAYPEIAARYQDCV DHLQLRKKAWKRWRGISGQNDHFAQAYAYWQRTPYSLAGCRNCVEVFSDAASCHDEKT CTAMINTLQTRIEEWEQRAGPAKSLGFVYRILLHLMRAAIPWRRKAVRFKKKQKNFQT EAPNLWHPSINALVKGTRWRFPDRHVYGDDAGKSWTTLNLYGQKYASHTAYDARVYVI STALRDGRRCWTITPTPIGLLDAIRQLAHELLAALDHEADLRGLETEGWLPFNFTFPD WVMGLEKGGSNQVGDVVEVSEAEEKRRNRNAHRAFLGSAPSSPVSSWASDASDRDDDM SDSDHDSDYDSDDDSDGDSDNDSDIEMGGQEQNQGQGITSRLKMVLDIGKLSGVSKAM KSRGPTRGISKPRGRGFRGRRGGDGGGRGGGNPGAGLIRRRGPLRAGRSQKAWRSVTH DVNDSDGEEEEEEEDDTDPDAMDIEYASLSSLSPPQQNSPIRSNQSLPDNLNFSQLQL NNRHRFVLDEFYKSGLLTKSEAFQLLLAHINFHDSDETWEKLGQLYFEWPAEGGTKWF HTYNGDEALADVKDVWRTKKHWSRGEVADHWRPLKETTGPRWVLVRWRGEEHIYDLGG GGIPEEQDGFLKVFVRNNIATCQDLTVFCHKYKKNPMDLRNLLWPWRCAVLKDYITEP PPRRTLDVFTERELGRHIFKEVGMYIRIRNNVYDISEYVEDHPGGARRLAINAARNVT DIFTEKHVLDQETYSCIIRPSQDTEEETVKDRLSKVLKKFGHLRIGFVIPEVQPDHQL GPRSLVLNGYIYDLGYIEDRNGRPPYRSWLTPSALGTLRQLYGQDITELYNSETRRGQ QLREIFREVSKLKADVIKGKIYRPPTRQITLRELKHHGIKTLATRTQRKRRAAQWAKL VYAGGRTLAQWAHNSIVNNEPALWVNVGGIGGLVYDVSEMARYAKPRIARLARSVSGT MIPSAFANEEEESQAPREEDGADAIREYNAKLIATMLTVVFAARAVGVLVEDPPGMSM KEQEGVAESAGESEDTDWEETWAYAGDGKAYGDVAGEGGDGEQEDNWDTPIDPDLLSS RDRAKLARRQNVTPSPPRSQSTPGSRPSASVPTPGSVLVAPTVDNSAALFRPGGRRLP PQSQTQNNNLARRLDFEAAPAPRPTNTPQIHRKRPRSELSEIIDGMKINFNFQSKSTD TSSSSNDDNDAPPSPTAGKKGRPRVVRPKKRKRPLKRSADKSSSQPQAKRPRLTGQAA EDDAAAKKDHVKGKKKTKTAGAAAKAKADAREKKPVKRGPGGKSQAPASVPLPRGSTP VRPGAGAGAVPSKHVTPGLIGERRSMATVLEGNENESDVGERRGQKRDRSDSLENSQQ WLKEKRNQGKRARQLSPDEPEQEQGGNNEDAGDGQRDRQRPATSQPQGRREAGRGQGQ SQRQPPSGRAQAAALGGDPPSQPSSEGSEGRRPGRRVGSSPHRPSSISSRESTSSDDD GRSPPRQPAGRRPERGPSAPLHSPGAARTDIIRSPGRSIGGRFPPQPQTDDGEVENVV EEEDDDDLFGHEDNLFSIPHEDIDMDHDAQKELEAAAAAAQDEPNPEQEVPQPGVPSP VRPRQPRIKHVNRTPPVREQPVQNRPIPGRGKFVPGQGPSPGPIRRYEPGSDDRDQFT GYREREEDRSSAEGTDKDDNEDHNTEDEDDGEYEDEDGAGRGHSGGSRRSRGSRGSRG SGANRWSEYSGDNMFLSPIRNRHRDVERDATPEQEDNDEDVAMGGVDDVDNREEQLPK TPPPVDNRDPRHPDYLTPHLRPEYTPRQRVYLLELHNNRVNYSPTSRQQFDRQARYEY TVNRPALPGRRPRAPFRTPARFLVKGTPRPILNNEQLEQQMREAIDQAVTGFREMRER VLAHLEDREPVLNRPPPDHTGGKFIPEHGYHGHPPLPRPPQMEDRGEDEEEEDLEEDP ESFAEGEARVRREALERFIRSYRERFRDTLDPRDVERRERMMRAWAEGRQYIIEVEEP DEESPEDKKGKKKGRKGATASRGGRGAGAGAASSAAPEDPFAPAPAPAPAPAPSRTTR ARASAAPVPAAPITRSTRNRPAAHDSDEEAQLVAQLQEEKARREAERQQAAEQAAERR TARAGGSGTAAQPQPESRPAPAPRPAPRPAPQPRVPASALQSSRPAAPAKQAPRPSAP STQAARPASTRPAGAPSQQPSRPSAPVNQAPRPSAPSTQQSRPSGANPGQPRPLGNQP RPTGNQPRPSGSQPRPSGSQPRPPPPPPAPLPRQRRRGDADPDVYQPLPIPPNETATQ RAARIKREKEERKKHRDRKYQAERRARAREAEDAIAAAKKGKKKKQNEEDDYEDEDEE DEEDEEEMTEEQKKRREELRKLNAKRLKDKLYRRQKRAREREEARRAEEEEEDDSDDD EESDKGKKKKKGKAVDKGKGKALDKGKGKATKATKKGKKNDDSSDEDEDSDNSNESYG DEAFNNYRGRAGESSAVGGRREARATRGASRVVHEEEEDEDEEDDDSSDLDSEEQDPS SDDDWEA NEUTE1DRAFT_88512 MTKTEPDEAAAGDHVSGQSNKPLSRPAHALTHQDLAHEIGADPL SGLTPDEAKRRLEEYGKNELGEAEGVQPIKIIIAQIANAMTLVLILAMAVSFGIKSWI EGGVVAFVIGLNVVVGFFQEYSAEKTMDSLRSLSSPTATVVRGGEAMVVPSGEIVPGD LVEVKMGDTLPADIRLIEAKNFETDEALLTGESLPVRKTVESTFDDTTGPGDRLNVAY SSSTVTKGRAKGIVFATGTFTEIGAIASALNKKDSKVRPVKRKPNGHAGPHRYLEAYT LTLGDAIGRFLGVNVGTPLQRKLSKLAMLLFGIAVICAIIVLGANKFNTRQEVIIYAV ATGLSMIPASLVVVLTITMAAGTKRMVERNVIVRNLKSLEALGAVTDICSDKTGTLTQ GKMVARGAWIPGMGTYTVELGDEPVNPTKGDIRFAKQMPSEIDFKSTNAEKSSTGPVT APGELLTSSTTRLQDFLSVCSLANLATVFSKESEETPGTEQWHARGDPTEIAIQVFAS RFDFNRLRLVSGSNPEWQEVAEFPFDSDVKRMSVIMKNTQTQEHWAFTKGAVERVIGA CVNYFDSDGPGAVAKPVTDEFRADILKNMESFASLGLRVLALASRRLPSDGTTWNEET SRSLIESELTFRGLIGLYDPPRPSSASAVHQCHEAGISVHMLTGDHPETAKAIAIEVG ILPPLSSMSRVSSAVAHAMVMTASQFDALSDDEVDALPVLPLVIARCAPSTKVRMIEA LHRRGRFCAMTGDGVNDSPSLRRADVGIAMGLSGSDVAKDASDIVLTDDNFASIVAAI EEGRRIFDNIQKFVLHVLAENIAQAGTLLIGLAFKDASGLSVFPLAPVEIVWIIMITS GLPDMGLGFERAVPDIMARPPQSLKTGIFTLEFIIDMIFYGLWITALCLASFVLRVYA WGNGDLGSGCNERYSDSCETVFRARATTFACLTWFALFLAWELVDMRRSFFRMQPGSK KYFTQWMVDVWRNKFLFWAIVGGFITLFPTLYIPVINHAVFKHTGISWEWGIVFIAAG LFFGGVEGWKWAKRVFLRRRARRGAAKSGGQGKLWKDMDVEEKIFGEYFGSASTDEVS LQDTHTRGNEKENEGATGLRQGEQGRD NEUTE1DRAFT_69836 MEAIRTPGSHVQDSRGRSDSEDSDIDIHGNDQHHDEDDSHEEDE KHAVNNTNTNNNGGGGALSLVKTLSRVLSRPESNFDPGPPPDGGARAWCTVAATHLVV MTTWGTISSFGVFQTYYTSTLNAHSSVVTPSTISWIGSLQVFLLFFIGTFTGRLTDGG YFRHIYLLGTAFIALGMFSTADALDSLTSGNGNGNAKSAVWKLFLAQGIAMGLGNGCL FCPCVATLSTYFSKKRSLAIGMGACGTATGGLIFPSMMRSLLPRVGFAWTVRAMGFIC VGCLLGAFWVIKPRTKPRGMRGALVEWSAFKEGEYSLFVAGSFMCFLGLYFAFYYLAS FCRDIVGLAYEDSLNILLVLNGVGIFGRLVPNYLADRVGPINLFIPFAAVGGICMLCW MAVESEAGLYVWAVFYGAAAGGIQSLFPAGLTSLTTDLRKTGVRMGMVFTCNSFATLA GPPIAGAIITSMGGRYYGAQAFAGSTLLCGTGLLAAARMVKARKAQREGESGGVWKVK V NEUTE1DRAFT_125004 MSNPDFTTYFNSPLFSDAILSYQTHTGDKRHLPVHRLILSLHSP FFASAFTLPFRESSDPDHLITLHDDDPDALVSAIRWCYGHSLYRLDGDDELETQLAYK DLITMKFTAEEERQIAGLLLHLMRVYVVADKYDLGRLREEVVVHYERLAPLVLLHKQG MFEEMVEVVYGLGKGDKLRRRFMGDVAGEVYPVVAGTDSEKERNKKGVKGEKEWELEE VERVVRGCVFKVRTVGLGREWKKEFMRDMKREVRREVKKSQAQSYTMDKRFLSSDEKL LESGLFSDVVVKCGDRTWNLHKNILCSRSIWFEKALTGHFEEAKTGVVNIENFEPAAI DILIRYIYTGICDIPALSPNTKTNFVSCFEIYTVGDYFALVSLTRIALDTLNAEFDAK TAPLQLHYDSGSSASDGNGVSPDWLPELFEAIRLVYSDVPLTDISHPTPGIRATFLSF IHAARFYFLQNEHFNAFLDQVPSFALDVFRAMRNTGDFIAHLPDAHCSLCKSKPTRAE KGYYTHLATEKLKLKASCSTCAGKRDLSAGWTDWSGKLSKATTTTTAATTGTTGSGSG SALG NEUTE1DRAFT_149065 MMRKPPENNIRDRSGISQFGCTITDTGIYNSGGTISVAGWTIKV PQNTQVGFPAAWVPWRDFCADITFMRGFEVTVVGNSIPLHGPTAGQIFISQFSTSFGR GLISSISYDGSIQLSQGPKLRINDPHGVYSVGYTSHPFWTADDENPSISSFSGFPMCV PRNASDPLCPMSNRPTMAAPGSGSKQGTFTAPDPMVMAPFVPGDFVEYAGVWVAGGEM LVYELVATNVQILTPVNFPPFIRMEDVLIGVYSGDVNAEVAQTRFIGYTSAASGTSSL TIHAVTGFDICTGNITTRPVSGGSLIAGQVRNKFRTGITSVAGDVYAREYVISSTPNP VKTKNGILAGQYIQPVTEWIQPELTTPGLPPIPNDFSAMEHLVKGLGRDEDGNVWGPL DPFPQSGVIVSAPAPVVNECPPPLPSPSPTTTPTDDPQPQPTTTVATPATTTTTSTTA TPTPTKDTITIIAATWISSGSGTLTVTCTSSNTNNTAVGMLLDTPVQMGLVMTGSTTQ PGTWTFSSVKIKQVASVTCRSRLGGSATGAVVGKGKRDGDGDGNGEGVERRRWMMGRR GVGRAVRVLEGEHWEGGGGKGERVKG NEUTE1DRAFT_149066 MASFSLIQTLLHLLLLLSAVQLGSVSAGVDKWLSPQYKWTFQFP LPIPPVKAPSKTIVNPITGKNILYYEVEIKEFQSQVYPDRGPATLWGYDGISPGPTFI VEKGTETVVRFVNNARLANSVHLHGSYSRAPFDGWAEDITPPGWYKDYYYPNSQSGRT LWYHDHAIDHTAENAYYGQAGTYILHDPLEDALNLPRGYGTSDIPLILSAKQYTSSGS LFSPADETTSLYGDVIHVNGQPWPFLRVEPRKYRFRFLDASISRSFLLYFERDAKVGT KVGFDVIASDAGLLNAPQRVNELYISMAERYDVVVDFAGSKGENITLKNAREVGADRD YPDTDKVMRFIVSGNQVDDQSNVPAVLRSVPFPKPKSQVDQHFKFERSNGEWKINGVS FADVANRVLARPKRGTVEVWELENSSGGWTHPIHIHLVDFRVKKRVNGKRSVLPYEAQ GLKDVVWLGPGETVTVEAHYAPWDGVYMFHCHNLIHEDHEMMAAFNVSVLQDLGYDET HYIDPMEERWRARQQSVEAFDDSAVEARLKEMAGFRPYDKVEEVESVLEQYWETKTKT AATIPTTTFATVVPTSAGVFTTSFKTTTTSSSSSARTSSTSTKKKD NEUTE1DRAFT_88527 MTQGQQQQQQHRIVIPRPEASRTESSLPGHLRPSVINVPVTPGI SREAYEEQLTTGPGYFERRTDEHDIARSPGTVGLEETGSVDRQLAVGADAGKDFMRRL STAVTDSGAAAIGSQDSLSDIRHRFPNLSLSGNIISATFTIPHLLKYTKASGEKGTTG SWDLKPRRGQSALFDSFSYLSSDDAPWNHTVVAWTGEIEEVKSAQHAGKAPATTVGQP SLNPLSRPVPIDGSVTPPAHPTADGLWIPKEDMHLLEHDLSHNRKIKTVPVWLADDIE GHDDGIRLKDQARWRRYAEHSLYTLFHYKQNEPADGRAERIQWADYYRMNQKFANKIL ENYKPGDIVIVHDYNLMLLPSMLRQRAPHMYIAFFLHSPFPSSEFLRCLPRRKEVLEG VLGSNLVGFQSYSYSRHFASCCTRVLGFPSDTTGVEAYGTRVEVGVFPIGIDAIKVAA AAWKPSATAKYEGLKKMYAGKKLIVGRDKLDSVRGVPQKLMAFERFLELYPEWREKVV LIQVTSPTNIEEEKEDSENKISSRVNELVMKINGMYGSLGDTPVQHYPQYISQDEYFA LLRAADIGLITSVRDGMNTTSMEYIICQRDNAGPLILSEFSGTAGSLKDAIHINPWDL TDVAKQINNALTMSQERRQSIEKSLLEHVTNKNVQFWITGLLRRLISVLANRKDIIAT PLLDRATMLRKYRAANKRLFMFDYDGTLTPIVREPSAAIPSERVINSLKALAADPRNA VWIISGRDQEFLQQHLGHITELGFSAEHGSFMRHPGSTEWENLAEKFDMGWQAEVLEV FQKYTDKTPGSFIERKRCALTWHYRLADPEQGLHMARDCQKELETTVGRKWDVEVMAG KANLEVRPTFINKGEIAKRLVTEYNAELKALAAKSSGSPDKVASEKLEFVLCMGDDFT DEDMFRSLNVLSGPGEDAEIKPENCFTVTVGASTKVTLARWHLLEPEDVIECVALLAG VGGAGAGGVGGALSMGEVNLGALSTVEDHIPDGHTN NEUTE1DRAFT_125008 MSPNNDNNNNKDTKKEGGGGEEPHQYQSGNRTDRPEDEWKFRAP YKIHDKGEDFQVKWRGKCHCGAVQYELSREKPLASKYCHCTTCQRMHGAPFQWAAIFH KSDINFTNGHHDLGWYDPTAKSTTHHLPCKVQCAYCRTPIMDEGRNMILLFPTLIEGI NSPEGKKAFEVQSHMFYPQRVVDIKDGKPKFKGLADETNLVDEETGEEVVGSNPKVKE KRKREEEGKDGEGDGEKGEKREKKDE NEUTE1DRAFT_132243 MADPKFNLSVSTLEIDHNNNNSEDMELPSLFVPTPATESENESS SESQHESGHGNTMRNDGELETDKAAASAATPTPSAPPFDLVEAAEALLADAKKLKATL DSKPTPPCSSPESANHAPLPPSPEEIALRQRIAKLGKKIAFETAPPMDSLKSDWVTIA DVAAWNIFLHWRAFDHIPLTGSISIADLARAINADESLVSRIACMLISTGKLIGSRLD GEGKQGPPTHVSHSRISRLLCTNTSPATAALAIVSFGNGMKGFAKWPEYFSTYDRQEP HPSDNEILVHDSNGIVVTTKRRGAQDGTNKSYIPTPFSLGWGHPALAPWEVKARYPEY ARHFEMAMAAKDKGAQGALGGDLGVGGYRGINSVYPAKKFSLTWLAEVAMRELELYGR LRDTDIYWKPLVVDVGGGLGHFLANLLAKFPEVKPGNCILQDRPEVIAQVAKNIEGGD EKLKGVKTMAHDFFQPQPAEAKGAAVYVVRRVLLDYCDEQAVWILKHLRCALGNLGGR IVIMEGVLLDKPTPENRLVDMVMMNLGGKLRNEEGYRKLCKEAGLKVTGYWVDVEGAS CVVECVKEDS NEUTE1DRAFT_48561 MSTQDQQPATTLRLASGNGPITRSILPHPPRDALPSELPIISLA PLFSPSSSLSSRLEVAKQIHQAATTNGFFYITSHGISSSVIQEAQESAFRFFRSPVSE KEKASMHNSLYKYGWKPPRTQRLNPFESVDHRESFSWRYDPRYDPNVRNIEEEIPDEV KAMIKHDPDDYPWSAVPPEFKAAVIRYFQAVLQLGRILQRAFALSLGLEETAFDEKSR WPDLGMAVNYYPPLSGGVSGEKEEEGDKTKVSIGSHTDFQLFTILGQDEVGGLQVLSR SGQWLNAKPIPGTFVVNFGDYMQRITNDKYVSTVHRVQNYSGKERLSMAFFFGFNLNE TCEVLESCVEEGEEPKYEAVSCWEWTQRRVRMMHDVKGDGS NEUTE1DRAFT_69855 MTASSIPVPPKGVWVPSPTFFTTSSPSPFSATQPAVDYATQTAH TLFLARSGITGVVLLGSTGEAMHLSRSERSHLIKSVRQGLDEAGFQKFPIMAGVLTNG GVEETVQWLDDYKEAGAEFGLVLVPGYFGHGQGVWGEGGEGVVNWFKEVLWGMKNKEM GIVVYNYPGVSNGVVLEPEGYRALAEDPRVVGCKMSHGNISHHLQVSLDPEINHERFR VFSGFGQQLGPIVRYGAAGVIDGMSAYYPKTVVRLYELAQKDDLRPSERAELNKLQYV VSKAEEFVVKYGLKGIKEATYRVAGFGDLEAARVPVVARMREKEWEEGRKKYLMEIEE IEKTL NEUTE1DRAFT_88536 MASRQQTSHMAHVQLMGKSAIGRQVAEPIVVSEEYMQYLTKLIP STPELIKAGFVVSPLTQTELQAKIKCKKCHKRCTKPDRPPRDRKPSHAGEGSSNGSFA NDTTSTASNVGSSHTCRLRSHGELLDEGKQTETTDLDAPPSPSQFRPAVAIDCEMGVS SDFESELIRLSLIDYFSGQILIDRLVRPNVPMQHMNTRYSGVTRQDLQNAIRNRTCIL GGLEEARKEVWKFVGPQTVVVGHSVRNDLSALRWIHKRCVDSLVVEEGVRRKIKAKEE EEEKEKEKMREKQKEERLLEISLHCGPGMAEETRRMMEEEEIKEKEKMKKMRGKRGED GMSLKALTKKKLGRVIQDAGKKGHDSVEDAVAARDLIHHHILGLMQKGKEKKEVESEV KREVESEVKREAEAAFAQVLAEGTRDAEMGSVFAAFP NEUTE1DRAFT_149072 MSDHVDFLYMIPNGSASSSSQRAVGMESGGVEHNSNYSTNLSHT GDAFMTTPVSLLNAHSQRVATSPAPSSSGNALFPFTSTTTPHDLGCPIGSSRIDPALL QTDEDKGSHHLQMQEALALQAWHYQRFLQLCEDVKRIQSRIPNHFFAPSTTSAPAAYE QWTPSFPHGNHSPQTGNHCSTSSNDFPCNDPYPPLDSSNFMTWVSLQKAGNTFTHDSS LLQSQMDRGVVDIQMNGMSPATLSGNSTEFDLFTSIDQSSNGRGTSASETSVPPGAAN APSPSPERQEQSHTPATGPKSPSPSSSDRIPCPISTCGHTSATKRDMQRHIDDKHDDR LEDLTGTGWSLSPEMPCSYSGCTLKFRRKDRLKRHRAAGKHRR NEUTE1DRAFT_113323 MALGTISRIFREVKGQNSYQSEYYVVRQPSHRRRSRARSVERTS LSESRPRKEHHVHEVEIHRKPSRRHGDREKKVRKEYEYRYELFQDDSEAEDNDDLKHV SWAQWPDLHTQLSDHQARQSATEPSFQFQPQTYNPDLHQWISAELALLWSSIDQLHHQ HKERSANYDPCLQQAILASYATFKQEQIQPLLDEIARLERQGKDYEELVKELFGVIKG YQVELRSVWDREEREKKEKEEKADRAKRERGRRHDEEATPRRREWETWRDFSGPTLAG VASGSNPSSPTGSAPPPYDDGQLPPAEIPAPAPAPQTVPIPTVPARPPKELEHPHQPR VRFEEYLHEIPAPRHYTDDEYEYGPRDHRRRERGRTREHEYTYDRPRSSSKETHIHRD RDWQHPHDVRHGQGHRPLDRDHQNDRHHRRHHHDERSERRFDDRLTDWNIPSPNIPAP STHWDLHPRSPRSARDFDAYEPKTRSEPCTRRRHSVSYSPPSQVVDREGDKYPRGWRV VRFAV NEUTE1DRAFT_132246 MSQPQGQTPQQTDDTIMSEHEPPKKLTLLDLPPEILHQVCAHLP LKSIRSFRLTNRFLGEIGACHALPELVFYLHTTDFAALRSIASHPIYPRFVRSLVYGC DLLPSPRLSLKQYIAECRRMEKRRAKMHARHACDEANRPPKPQAEVRESYKEYKRVHQ AQEEIMRDERDYEILREVVGKMTNLKEVMVSSDFEFRVASGTSSSDGGNGPMKSDTLR NCRTPFDKLDCLTQLDGEKGQEGVRHLRAILMAVKEAGIELKSLCAGLIHWSFFNEAL GNFGFHSMGNLLANLTRFELLVLPGPSQDPEDFQRADAIEMNNMSIDVMEEIYRCQGL MRTGVIRNLVKGMPNLEVLSIGFMDHAEDNDFIFPARLQDVVPLNHTFPNLESLKLEG LETERQELTDFVVRHKDSLMRLELRDMRLVTTSWRKLLPELRYELKTELMEEVSIYGM ALGMGEEDGDQELEEWFMGDPEDHGATELATKVQKFFMSPSVSDCPLSRENMEPIPDD DLMDEDILDHPLEGLMPDHELAELILGGMHFPPGGHPFEDPFDDPSFEAPGEWLD NEUTE1DRAFT_88539 ASATLVSLLVPPTAASASAATPTSSAPRRSLNKRIAPIRAQARQ FFFFNNEDVLVDDYGLLCCRCRYRCSGGLTEEEDWKHSALLAAATPLLHHDPDYITTF RAGADLLAKQDSCSTNTKTAAVWPWH NEUTE1DRAFT_104303 MKLTTILLTLGLGLTTAPSTVVLAAPAPESNYYQQANTPDIVDM FVNANYYGDKYTGSAYEGQCVNLPPNFNDNLSSGRARPGYHCTVWPDANCRTNKWNFT FDDRGSGARFPDWINDRASSWRG NEUTE1DRAFT_141169 MHLPLTTLLTTLLTTLAIAAPAPAPAPEAALEPRAQDLIDLWKN KNFLDLKFTGSANPGDCKNLPSNFNDIASSGKAKAGFRCTIWVDANCRGTGFSFNQNP GSASFPDWINDKASSWKCVRD NEUTE1DRAFT_69865 MAPSIKLSTMATSLHRAHGTSALLRGPRLWAPRLSSIHATPTIA NLRASFTTSSPRLFAPNGSAKDEPKPALSTVPKTTGRGPSDPLAAIDKTAQEQRKADW AIMKEMSKYLWPKGSWGDKARVLLAIGLLVGGKVLNVQVPFYFREIVDSLNIDFSTTG GSVTAVAGAMILGYGAARVGAVVSQELRNAVFASVAQKAIRKVARNTFEHLLNLDLSF HLSKQTGGLTRAIDRGTKGISFLLTSMVFHIVPTALEISMVCGILTYNFGWQYAALTA LTMVSYTAFTILTTAWRTKFRRQANAADNKASTIAVDSLINYEAVKYFNNEAYEVGRY DKALAQYEKNSIKVATSLAFLNSGQNIIFSSALTVMMYMGAHGVATGQLTVGDLVLIN QLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVTIKEQPNAKPLALTRGGEIE FKNVTFGYHPESPILRDLSLTIPAGKKVAIVGPSGCGKSTLLRLLFRFYDPQKGAIYI DGQDIRSVTLESLRRAIGVVPQDTPLFNDTVEHNIRYGNLSATPEQVIEAAKAAHIHE KIISWRDGYNTKVGERGLMISGGEKQRLAVSRLILKDPPLLFFDEATSALDTHTEQAL MENINAILKGLGQKGEKKTSLFVAHRLRTIYDSDLIIVLKEGRVAEQGTHRELMERNG VYAQLWRAQEMLMTEEGEVKKGEKEVVEKKTA NEUTE1DRAFT_125014 MSVRVVARIRPLLKKELDKDVIVRAASTDEGKPFTIVKIPNPKN ETEEFSLPSHQGPLPRPRPATKLNAHSSRSHAILRVKVTQTTGDMVLESTASAIDLAG SEDNRRTDNNRDRMIESAAINKSLFVLSQCIDAISRGDKRIPYRESKMTRILSLGQNN GITIMILNLAPMRSYHLDTLSSLNVSSRAKRIEVREIENEVVYKQPHRSHSSTSFSGL TNGVLAPRQPLRPIGLGTQNSYTGSAPALARSSIDRAAASGAVIEKIEKPSKLFNVYA DRASAHKATASMGGGSSSRLAGPPQANTSQIRQPLSLSGGLASRRSMTDTTTASSSST ETSALRSGLIGTGASKLARPTGLPLPGSVSAGVSRQNSSSSGSIQPPQQTSKSVDNTP ILTLSAAQIEAMVEKKVAEILAARAAAAAALAPQTPTPSTPRSDNHHPPGSEQQRPKS SEPRISEEVQRRLEALERRIEEQSMSTSRSSSRRGRGGRDEKSAGLQLLLEARKAKEA GQLEEALGMYEDALGFFPGQRKLVGKIEKLKLKLGKISREDYEVGAQLRDEEAGSRQG NRVGNAIQQSRSASVTSGRRTAMTGISSTDAEVEEEDLDDDMEADVEETPEEAEARRR RLLKSRGRSATLGVGISSRSRQQLYSNTTTDTGSDYDDRSASNSDPEQQSSQEPSPRT KQLLDIVNSRDTELIKSLSGFGQKRAQDLVDHLDDTQGPSGKVRSLGELTTVPGVGVR TVEKAYEGLAVAAELSSKLALKTYYDETETF NEUTE1DRAFT_69871 MRGQSPLVRAARTARLTRTSTTTTTTTPLLLNTRTNNFVCWQCR SIQISAKPATDSRPAPDVFDALPGGPRDTADARFEVIGAPASLLSVTLSASQRLYTRR GTLVSVAGKVENAQSTLSVLSPLPRAFLGVPFLYQRISSTTPITALIGTKSPNTSFSV LHLDGTTDYMVAQRNALLAWTGHTIRVSPRIQHGLAPANWGATHVTGRGLVALAAPGQ IYELTIAEGEELTLHPSHVVAYSVTRNPPLPFRFKSTRLNLQVPAVPHYISNAASRLV PAKVSEFFAQMRDTQFYTILARILYGLKTATRRTIWGDRLFLQFRGPTNILMSSRGVR VSDVLSREDVNEIADTEAGVVGKALGTSRIEKEKAKAKAKAKALEQKKTETPPLVAEI AVAGEKVDFAEEAKIPAEKVIEDVTGEEKEGEAAETKPVKLRDASVGKDAKATLEESK DLNQFVR NEUTE1DRAFT_132250 MTHTSTATAAQSGSITTIKQEDGVSIPIKPEHDSFSLSDLPAFV REDNGMEVIFEDDGMEVIFEDAHLEEEDADVQEEGIAVIKFGPHHGSNHANKFGPNCP RTITKRKPEFQEDEPRTKSDEDSDSDSDTAFESMSLGTRKRQKTKTGRANTTASTTTT TGMRPETTTVLPTKQIIAQIFSSSSPRAHSDIHTYPLPPDQPHRHSYHNPLLLSFPGS SNSCSTGKSTAAARKHRDALLTWFDTYCAARQMPWRKAWVDASPLLCPASGIPSPSIT ITTTSAPASASASKPPTSSFQPTSDSLVGPKVKASPPIPESVLLFPNLAASILRAQAE AEAQKQQAQAEAEARARAHAQAKVEAEAKAKSQKQAQTLQSLRSTIAQRFYETLLSEI LRQIFRETDQEQAIQNITTQYNRWIKAFPTMEDLAKAKEEEVEELSDDIRATRLHKAA RQVCEGKSYRCIETIRLPTTVEKLQNLSGVGPHTAGVVAAVVFGRAEPMVIWDVTRVL ARQLGIRADANKKGTRELIWEAARRLVEQVAWDGVEAKEEAKAKRLGISKKRTRKNMN EGESVVDGEDRRRNRGPPPLSDRPGRWGQALMELGAAVCLAAPKTPLCHLCPIQATCR AYAEGLEIARKEGMVVGNEATKKEQDRQALKKMKVGKLQKQKVVDIEDAACSLCPSPS SLSLSPFSSEVSATKIKKENQDHEIWQPGEDLGDTDSDTEVHYLGTVPKTALPSTKED TLTTQDTTQQTNNLNKDENAISTSFYERNSWLKLPVNFRRSKPIPDYLDLTARELSLL IAPNIPTLLKESKPEKKTTAPRPSKNIDLSTNGFRGMYPPRTRALYS NEUTE1DRAFT_48787 MAETRRRSARIADAKVDPLGHYTAPEHADSDSNSSALSDINESE FDSDVRPNKRLKTAGGKASRVVRKTRSTTVKTVTTKTVTTRTKASATTTASTSTSVTT ATLAITAAKPKATAALIHALIAQIFDCPLPQGNETPCHPSHLHPLTYHNPLLLSTPTA RTNRDDLLTWFDSVSSSRQMPWRKPWIDTTSLVSSSSSGVSPQELKETVAQRAYEVLL SETMLQQTRVSTVIAYYNKWLAALPTMQSLAAAQPEEVLSLWKGLGYYSRATRLHALA QLVCPPPEEGGGDVKSKEVLEVVWEAAKRLVEAVAWDGTDATEEEREGKEPPVSDRPG RWGQGLMELGATVCLPGPAKPKCGLCPVKETCRAYQEGVDIATQKGLMPERKVTARKR VVDIEDSCTLCPPPEAEESDKQESDVRTKKRKKQGEVSAFFDKFIASGMRRKKEAAED SDRTEPSSAAMEVITSHCSKYPYTKAKTKKPREEECLVVAIRRPSDGRYLIHKRPAKG LLAGMWELPSHTLPADLTWNDGSATIKKDKVRIVLKEVLEEVGVRSWRENEEELGTVP WTFSHFKLAMHVWAIDLTDGDEVGSEGVEGNAKTKRWATMEEIEGENMGTGMKKCWEL IKERR NEUTE1DRAFT_141175 MRTFTLFASLLTLISSAVVKAADYGPCPYNENENCWEIMDNTAC FLNPTSTEQIFACISGGKEGGREYRSADAGPDEC NEUTE1DRAFT_104309 MTDILPYRHADGAHGDDMVLWPDEQEIQPLPANVSLLMETTAWF GLNTPQDQGHRAYPDATGETGLYEGGDGDDLLNRNGVIEQPDFNTANNAQVFSPLLSQ AKSPSPSVAARPSEVDMVNIPSTHGRRYTCQPCSFSSNTKRDFKRHQNTRKHQSNATR SMATLDVNIDGQRQD NEUTE1DRAFT_118344 MELSVFIVSILLFLPMRVSVSAASNTPFLFHLFPWFTVFLVYYS WTKSRRKRRREFEAGVEDVDGIERFTSSIITYLSS NEUTE1DRAFT_141177 MDYNHFDLHHPLPVTDNDISNVDNPLLGFADDGYHSSEALSNDL GQSHESTNQELDITSSYPIANSYTYMDSPPFVVEGLSGYNWSQHFPPTTGHFQGLQDE GVMQSFEGVDGNIIPVLNTAAHYDMPSQPSPPAPLHTVNSMSLGPYTIQDLEPSSIDP DSMPSSSRASLTPSPPDNEGRSPNTRGTARYHCTDCGTSSQTKRDHERHLTTKKHQKK TSVGSGSGSGSGAAVPGFHCLAQGCEYSREGGKTFTRDDNLWRHMKTAHPIRSTA NEUTE1DRAFT_118345 MGLSLFDRIQAKIELFRLEQRYTRRRHRRSTFVSNATYVDGEYV YNTPNTTGSSTKSSATNATREMPFSPDVGVSSPKEETPARKVSNRWSTVPGFGSSSTP SKQPSMESVSPEQPNFESRYQQNSWGRSSKRVNFNEADR NEUTE1DRAFT_104313 MDFFDHLQGFCPVHFLVNANDMTFSNNKVDQAQWGCPCPEYSGY GNGALNNAGGLVLAGPSAENNMVVAEHCLVQAPGVIPANLHYPDRNESLGSASDEPAA SQAHNSKLFTCDHCGSISAKTSRDFKRHLQTEKHRKNASRSGPGEFQVEWSPNRGTEF RCPVPPCNKTFPRKDNLWRHIAKMHRISDEDE NEUTE1DRAFT_25303 MTPGPCPTPIRTSLVSTGLSIAMATGWGSRLALRPPSTSFSEGC AFMTTNIINHQRANPPLDMSRMEVYPVNFATSEQSIPGGSDYEWFPNNSLSEVNYMEG LGSTQDTGMTYGGYQGTMFNTMNLDNNNNALHFTTSPHGSFVNNNTHAVHGTHSPMFV GTPNYGHADVFAQPPDFGLSFNLALNPASAIRSSTMPNITPLLTPPITTAATPMTIAD NDHNYGHGHEADYDHDTNHDTPAPKLPGQEASASTSASRRKEKNQARVYRTSYDNHVS HRYTCHPCRFSTDVKRDFARHKETKKHKTKS NEUTE1DRAFT_48800 MDIAALFAVFSETTSQPQSTVAAAATHNVGHKHDKEEVKRQIQE RNRLESSWYRGWMAMRGKYPRFFRGRIIIQDEKDEGGAYALAFQAAQAAGSHVSTARD TQVVIFSDASMDPRKQTGTCAGAGVALRRHDPSLAGAPNGMVEVWAGWPVWVDEADAL NITGAETLALSFAIDAALVELYRLEARLAVEEKTRRQEKEERKAREWKERQARKMAKR HRKLAKKARRKKRDTKRTKERLREKRAERKERRVARREHKRLKRATKSNQRRTKVTVK IFTDSTGALLMLDGQLPITTAGLRMAATAAIEQSMELERRFVNRAAASALMDVGLELH WVPGHSGRKREQHRRADGAARRLHRKADAEARIARDWACWNINNWSRGCGFPVSLKEV NAYVADLNEELPECDEPAVSLPLLFEQIGDMELPQQPLSG NEUTE1DRAFT_141182 MADRIGAPTPNFMLTERDSLPNNRPLGKLCSEWKHCTKPSEIVI LLQAGAANVTGLNSDLGLASHLVLGHMPKAILERSDKYADRVQVFVVGNAIGAGTIAG PKPGHEGDRINIKSWRGRRSKRRRRT NEUTE1DRAFT_125018 MSPTTTTTFHLFPLLPLELRLQIWESTITPRTVLLRVGTRHWRP FTTQAAYSLLPTTSAPALLRTCHESREVGLKAYTQAFSEWDTDRSPGRCSPAAAAAAR YLWVNFALDMIDIGGLGIQFVAMYKRQIRRLKLECDRANTVGRYEFGLLRDQLQTGEY ERLREVEIGVRDDAWFPESWRRDGAFVEGWRLEVRNWEDGRGYTLLMERMIGGGDDDG NCG NEUTE1DRAFT_125019 MSNIDFVQALKTSKAPGASWRLPDVKGLASKPESGLLEDGCHTE ESVFDPDNREKVHPRDFADGGKYRSIIKLAMRYEGMGAGDNRWAIGTGYLVASDTFVT AGHCVFHRGDDGHGLGRLTHMKCFIGYHGKDSASDPSVQFRSAAKVVTTSKYIIDGDR RRDVAFVKVDQPFDGNLNLFKYQDTPLKDSTLLGVIGYPGDKSMNNEKGAEMYELVEQ VAFDLNVSQRNMLEYPISTAGGQSGAPVLKFKFSGSRVVPDAVIGTHCYGGSGNNSAS VIGGQYGNDYKALLAGLPKNGSPLVTDISQGILGVKPVDQVDLDEAEGFLDVLKDIGR VVAPIAQQGLSFASPFLGPLGGPVSAIGGIALGALSKVCEESALDEDQAPSYKLEDGI AQRAVLAEAALQTVLRMERSPANKRIMDNMQAKYKGSRFDSEKANKLGTKLVPLLSQA GLHLAINENLATGRKQVSGVKKVPKPTGPEADGLDAGDPQIAGFINAVTQTEVKQYTS VGKIDDAESEFFDNLSAFIGKAFRASKPALIDGARAVLTTGQKQLDDYLSKKSASPET DLSAPPNPTDKNRPITDAKAAGLLAHRAVLAECALQAVLEAKNGDLQESVILGDSGSA EPESFFDGLLKTVQMMGSAVVKVAPKVLDVALPILLDTVKGHARAESIFDTPVVPPPA PRPNGVKAASGGFASMNTASANGGDGEGGGRDKLLFIQGHFVPNV NEUTE1DRAFT_113339 MPPKVDASEKGPFTAREVDTLVMSFLCMPEMPVVDYVKLANHLG MANHRSASNAWSALKKKISELKPDIPLPPKPTKAKGKAAANGAASSKKANGKAKPAAD VKDEPVSEAESDKSELSSVKSEEIKIDASTEKAADKKKPAVRKTVKKETKIKKEDTPI GDDEEEEETPVKSEQSSDVEDVAVSIEQEHEQQPEPVIIPITKKRGRSAKSTEKEGPA AKKPRTTAAAAKTASPKKTAVPRGRAAQAKAAAAAAKAAEEAASKKIKKEQEDSEMED APSAGEESDRGVKVKTEVREDAGNSSEVEAAEQLKREQEEDCDEGEI NEUTE1DRAFT_149082 MTEPATLLEVVRDCDNFLYPCCPHLQTWSSTTVSRATTVSRAAS AAPTTSPPPAAPSSDLDPYSRALSNLWTFHLPYDPAPHGFLTHEVISNMHWTKHFYLD VGNQAVDLLAPSAEDLLPEDNGSWEVACSRILDEQIEIARQDNVLSKILGPKKKGEQF PIVGAKFDVGVDRSAIGLLGMIGRGAHMTVYSRVRSTPSLEDGDDEQQRELGKDLRFW IPRRDYGKSTYPGKLDQAVAGGVARGETPWECIVREAVEEAGSALSDEFVRANAKAAG TVTWLNISDRRAGPGQEGLINPGVLYVYDLELPDEGRDFRFEPVPGDIDSWAVMDTDE VMTAMKRLEFKPSCAVVMIDFLVRHGVITAENEPDYTEIVSRLHRLLPLPTSSRREGE RERNVV NEUTE1DRAFT_149083 MNETHYGEDPSELAKSYLGQVVPFHLSPGIIILSYIISLCGAIS TLELMNRRTSRKGLYNHLLLIGAAISLGGVSIWCMHYIGNRAIILHHGEVALQIAYRA DLTAASFFVPIIVLLSAFLITANTTIITWWRILLCGALSGIATCGMHYLGSAAIRNYK CSYGTGYVVGAAVIAVFASTAALTLFFVFKAQWTNSWWKRLGCAVILSGSVSGMHWCA AVGTRYRLQNLESVRSMMAHNATIIVVPFLAISSCLVMAGVAFHSSRVRKVYASKAQK ITLAAAIFDDHGRILVTPDGNLPSEEITTTFLQKTQHDVFSTGHPLFHWIYQASRDWY LISKIADKMELHLASLPHSPTSYSHKARMGIEFIDEEGKIIEDYDVVFREMFCLATVD LSTKMNMTLDEAGVLWDEILTTGGGMTSGVHGPDSNASGGFDKRKGSTSTSAAEMSQK TILEELAEKGMIQTGDQGHGSLMFLVKRVQGTHAIDKLEASGHLFADLHQVCRIMNNS MQIRTGKLEEKLRSMERYTQDAMLDPGVHVGLFAIRARVDIQQFDVMVRKEARGLLPS VKLPIERLDSSDLAFLRRHDGMSMSYFLKRYRHSEEIPQQDAKIARILYDAIKDLRSL VDDTLFDEATLVAKVSQVPCKSPDNDSRTFTCSMLTFCMMIPIHTSVNCPQFEFSPLP FFKVRQLAYKNSPYHGAFARSVHREIYPILNNLRTTSTATNKRRGVPAWLSSSWLHSS MAMPILPFWRRPSHRSHDLHPWRHQRKDGMDSSSLVSSSKENVLQPSSHRSVSTHSLD VYSSIISDDNKGGIQIQLQPQSPPRLSPSPSPYPGSAEQQQGQQQQPTEEMMAHPTLH LFPPRDTKPRQLSWGGIMISQDVSISVDDSALAVSSSQHTSPHHHLRSNHRQGNHQHS NSAGGAFDLQMQQHGGKRKRFLSTSGDKHGHHHDRKNQHAKSRPRSGSMSAELTLMSS STTTTSPSHHDHPGTNRRNSYPTNPGALDLHSSPDDHQASEQSVISYSRTHPLPHNTH GGGGLGQGLGQLGPLSHATTLSLSDPLDHSTSASTSSSISHQKQQQKPTSPTKSNFSL AVDNYNKYNGFGMDGVHISGGGGASVGGLRLGAASKVEVKKGEEVVMTFVDDLFSVCV NSSGAGGGAAGGERVGWRR NEUTE1DRAFT_125022 MKFLCLPGAYGSAQNFEVQFGPLAKEFKRRGLGSFAYSQGTHEV AAPPGWEDYFGKPPLYRFLDVSQGDAFETLRRLRHVPRGLNPEDTMRQLQGATVEEDW HQEAWRHALNGVFKTIDEDPEIDAILGYSEGAMVAASTIVEEVERCAREKGRERRIKF AIFIAGAPPLKFEGDKRITAQLYDEVGTCIDIPTLHIFGCDDAFLTSAVALFNVCEPS SAVMYDHGLGHIVPRDAENVARLGTVLSNLIPKVEDQIKRAAHFSRKEKKREEKEAKK NKRRVAAAAEGGQGVGVVRPEMHQRGYSHLSTTSTQGRSSSGPTTSGSITPAEVDFTA GEMRDRVRTVDYGAEIAWKRSGNRMGNGQDCSRRGGRELELGREKETSNGKCLIPATA TTVHLADIQYNQSAYVTSD NEUTE1DRAFT_118346 MDMMWLSEYVGRVTVCDWIAVGAARDSVTAKAFYHTFDGLGGAL SALLLPSSRY NEUTE1DRAFT_48841 MASRDPGARGATAGPAPSPTQQPSGTPDPVAIVGMSCRLPGGVE TPGDFYRMMCRGRSGWSKVPKDRFNQEAYNHPDPDHKGTFNSQGGYFIKQDLSGFDAA FFDVTRREAEAMDPAQRLLMECTYEALESGGIPREHITGTRTGVFIGGNYGEHRISHM RDLDTIPSFDATGNQPAFLSGRLAYYFNLHGPTFTVDTACSSSLHALHLAVQSIRNGE CDAAVVGASHLITQPDVWVSMSMLRLFSDEGRTYAFDHRARSGYARGEGCAVIVLKPV EKAFKDNDHIFSVISHSGVSHNGRTVGIVAPSPDEQEQLLRDVFTAAKIDPREVGFFE AHGTGTKKGDPIEATAIYKAVGRYLSADDPLYIGSSKPNVGHLECASGLVSVIKGVLS LYYGFILPNADFDKENPEIPFKEWNMTVAKQQKPWPAHKKYACVNNFGFSGSNSTCIL AGPPIHRRIELGEHGAYTTPRLFVLSANDEQALRTSIKELGIWLEQHAELYQTTMPRN LAYTLCQRRSHFPWRVAIVESICSGLAGSLNGHDLTPMRASSEQPRLAFIFTGQGAQW YAMGRELLQTHPLYLKAIKRADEALRKVGADFSIYEELTRDKETTKVGQAHISQPICS AVQLALVDLLDSFGIKPGAVTGHSSGEIGAAYAAGALTFEGAMEAAYYRGQMIVELKK AYPELRGSMLAVGSGAEECAPLLQQINTSASEAVVACENSPSSTTISGDESAIDRVAE LFQKKGTFNRKLFVDVAYHSPHMALIADKYLQAVSHIQPPESRSSSTVEFYSSLHARR LSSLSELGPSYWVNNLTQPVRFSTALQHLISGFRPDILLEVGPHAALKGPIMQTIKSL SSPSLGTSPSTSTPSTGTFPPGVGNIAAVATKLTYLSVLDRAKSAAQSALEATGALFT RGFQGLNWFNINHNREEYERPDLVAGLYSYPWTRQSYWAESRITRQHRLKPFPRHDLL GVLADWSSDIEPTWRNVLSGGVLPWLKDYAVQGQGQRRRMVFPVSGFVAMVVEAAWQL HLMRLEGDASKFEVKDLRVEEQLWLEDEEKEYEVLLTIRPGDDTGRRDSFRITSFEES RGWLEHCTGFVKADSTSPVRQPLGLSRPRAQSHPKTVTFGEDTVTSASSVDGQSDSAS GSSSPKPASSSASDSGSIHIDNTTEADVPAAQAQGSTTSDTPACSGHSASAPASLDKK KLEQKLEHARATHPHNLELHSSETIYGHLASSVGAQYPSGFKTLTEVTANENTAAANC VVRETKTEMPLGYETGYRIHPAVLDELLQLPLLSLGAGVQDKSTGTSYLPAAIRHFTI RSRWKKKPGQTFCAHSGVESSNKGRDASFMIEAFTSPGSTTAAISIAGLQFESLEAEK KESGRPRELCFQVRWEEFKTENRPDAGNSDDNRADTKEPEDKSTPNIAIITEIPNPDT SPLIKAVYNQIQKQTGKQARISSIQTITDFTKTSFLVLSELDQPLVSSLNTKNPAAEE QLNQIRKLLTTSSGLIWVTRGATRFPTSPNSNMALGLLRTARSEASAVAAALDLDPES RLSAEQQANLIFQAFSRSVLSSSSAAHDENDPESAELEFAEEQGKLYVPRLEPDPQLN LEISRELGPSIPYTQYFHQDSPELNGLRQLELAPSHGPKGNLHHLHFEDRPETVLKDD EVEILVAASAITLDDVDYIQHDASQHHHIARGCSGTISRVGKGVHEVAVGACVCALAE GRFGTHARAKVTSVVPLNSNITPEVGAGIPVYIARAWRALVTLGRVHKGTKLMIQLSG PTSVAAVEIAKSLGADTWVYVTNDVEADAARKMGFAGERVLDERSIYIRRKLDEATGG TGFEVILTVSGGRNNGGENEAWQCLADFGRLVEIRASGTQSSRPQLGANATFVSIDMV SLTVGRPQEMQETLAAVMQKLEKGEFQPPTKTHVYKVSELSAALEWVKGGMTVYPVVV AAGEKDQVKATYRKSRGIFRRDGTHIIIGGTGGLGRSMAKYMAEHGARNIVLLSRSGG GREMAEQLEKEIACPDLHIRVEKCDASDERQVRELVIDCARELPSICGVIHAAMVLRD VLLESMSFSDFTQVIQPKFAGAWNVHNVLSDTGANLDYFVVLSSAAGILGSRGQGAYA AANTFLDSFVQYRVRNGLPGTSLDLTAVTDAGYLAENAERQDDIMRNFGNETVSEQEV LALLSAAVRGVSPAQVLTGLKLHIQADGSWPYYASDARFTQLKAESLAAAEREGHVPK AATSAGNAFRAAKTDEEAMAIAGQGILQKLSDVLTIDVANLDATRNITSYGLDSLTAI ELRNWIAKELRANLQILELLSSGTVNDLAAIIVQKTRAGT NEUTE1DRAFT_132257 MAARQHVEARSLSTLNSLAANPPQYPHSADVKESLILYISRVPG SRDVILSPFRPQRKNVTIEDINNALYLIHLDLPTDELLVENTRPNETSTSASPRTSGE SARSVIPRKPLPPSARVELPTPRQPSANVPATHNKNNVPNNTGPVPTRSPSVRRTDSV RRPQGLGSERLERAVAAQEPVAGNDGYKPNPMFQPSLVSEPPPRQTPQLPPPAENLAA MRRPFGPRPQTSLTIPEEPAVSPGTTPTSNRPISPTDQALYTPTSNVPRPGDGILSPP TVTRARASSNSKLAATIPFTLTLIRRDPSTNLQWNVGKISSFETNIPTPESAHPDLGE LHDASTPEPPPPIATQLIDIHLETSGYAKYKGMLSRANIEALRPTSPQSFARTLQGLG TSSGSTSGNGNQGDRRSSGSPQKQIMGVTHMEMEEGFHRQVVMAYTKSWTSGLKGAFR RHSRSASTASATAGDLPGHARHGSSSTIGSGDLSPNEHQKPQSPPPSHNRQDSMGGGQ LITQPGPNLRPKGYVFLSPWLGRCEFRTGSTGRSLKCRHILDEHTGGINQLSQFDPAK LAQSVRDAPTIGQSRGDSFSSAITGAKPVSELRFNLPSEPRSPGAGTGTENYPPGHTA GSKRHFRFSKFLGLEPPSSEDEYFDDEDLFDPNALGREDAGGGSRGKRAKLGKLIIHD EGLKMLDLVVAANMGVWWNTWEKRF NEUTE1DRAFT_69888 MLNTTASSAAAVARQLTTRRVIAPSFVSQAIRTYATPAGPPPKG FRIPTPKTWDQEEEHVLDKNGRYFLLTEMFRGMYVAMEQFFRPPYTIYYPFEKGPISP RFRGEHALRRYPSGEERCIACKLCEAVCPAQAITIEAEERADGSRRTTRYDIDMTKCI YCGFCQESCPVDAIVESPNAEYATETREELLYNKEKLLSNGDKWEPELAAAIRADSPY R NEUTE1DRAFT_118347 MFRTAALTAARVARPAVASAVRVGVARPAFVQAVPKVAAFQAVR FYSAGGHLKKDEVFSRIAQVLSGFDKVNDPKNITETAHFANDLGLDSLDTVEVVMAIE EEFSIEIPDKDADQIHSVDKAVEYILSQPDAN NEUTE1DRAFT_88572 MSATNGAAADYRSHSHIRSGSLNINPNPAGPGAAPTMIASPMPG GQLPAAHRFDHSRSPPNTSHVPCKFFRQGACQAGSACPFSHDLSAAAETVCKYFAKGN CKFGPKCANIHVLPDGRRVNYGKGGVTIGAPPVALGARVNPNTYHQPSNSALTNSFLR ADAVPPYSASAYGHHDEQYVPQPGQNGGGNGIPTIDTTYTSNPTTAFGSPRDEDLAAR FGLGVSPVPKGLSVLDAPLPASFDSNGISHAARYGPWPSSVPEKFGLESSSLGMSVTQ DVNTSHTLRALRNSAFGGNDNISQSLFSGDGFANSPPTHSSAAFYGSSLGGEDFGFGR RPMHSSSTRFAKPRLMSQSVPHDRDWDSDLIFDNEEDYVPAELANELLTPAERARRGS STATVRGFDAPLDSTGLDATKFGSPISQSPSRWGPLFQRQKEEEEREKLESNGGSGSL SFMPIAGRSIKHPPSAFGHVGSPLRNSSVASAFVSEDSNRQGSLTGESLSALTQQFQR SKIGEDVSVTSSSPRGLHPNLGRNGASGAGVIGKERGDSLQRHISSNSMSSTSGRFTT PIINEDNEEGEEEEQSEFVFSMEEEEDTTHAGQNQKRNGSPLGNGGSLGNGGSSSSPW GSYAGASGNGLAAGGANGDDSKGQNGQNGVSKVGAIGAVGGK NEUTE1DRAFT_149089 MSTPIPRPPGIPVLGNVFDITPSNTWWSLKALAEKYGEIFQVKI LGKTIVFVASAALAEEICDEQRFQKYVGGPIVEIRATVHDSLFTAFHHEQSWGIHHRI IAPLLTPQAVSGYTDDINLCATEVIQKWVSLGDSNVLEPLVDLNLLNLEATSLTLFSQ KLNCIQAGGHPVIQAMEDAVSEAIMRPTRPGLVNWLLYSSKFKKATKTLRTWAADTVK YRQENPTDKHDMLWAFMNAKDPETGKGLSESEILDEIVTMPIGSATAPCAVTATIYYL LQNPEVVTKAREELDRVIGTGPLKDEHLSQLQYIEGITRETLRLSCAAPGFNIEPIPR QNKADKSPILLQGGKYQVAHDQKLIIVLAGVNRDPAVFEDPLAFKPERMMGDEFDRLP KGVKKWYGNGKRECIGKKWANNFLKIVTARLIHEIDFEVADEGYEFRQDGWFQIRPVA FNVRVTPRVRA NEUTE1DRAFT_88576 MYRLATHTGLRQVPRSIANSVSRPALATPRFIQPVSIRTMMDSP YSAVKVSDRVKHDHAELEKQYRNILAAEDEDTKVRWQNQFIWELARHSIAEEIIVYPA FEKFVPNGLVMAEKDRAEHQRVKNLLYEFEKMTPSSSDFLPTLDKLWDALSDHITEEE RDDLPALEENIDVEYSSKLASSFNTTKHFVPTHSHPSAPDKPPYETAIGLLTTPMDKL IDMFRKFPKEDKKA NEUTE1DRAFT_88581 MDSIAINHTTVAPSGSGTADTVNMLNQLLNTLHSYRPTPQQNLY LIAFLFPSLLLYLITNRYQQQKQKQKQKQQIHGSLSSTPTTTTTSEKQLHKEDREPGK WPPSSYVFPTPPSYPDWDMHKTKPLPYRPFRYGPVYHTTMGLRTVQPENWIELDNQYR KFHADKAARIAERGEKCIMVAPEATDASVELLKELVEYLPARYPSLFRRTAVGIENLW SGESFDISSLTGTGTEGGRKAMEMAARLVQDDLVLMMERPDEQYYLVAGAVLLPGFWR LTDKFGMSLAELHTSGDVPQYQEKLHKGMYNLFRRLRPEQMVGRNNYFIQVDDSLAWS WSIGSEDDETETSWSTAEKNRAIEHHYFRSERQTLRRLPKTGAVCFTIRTYFEPITAV AEEDYVPGRLASAVRSWGDDVARYKGKERYGEVLLEYLDKKHQEQMEQGLDLSREDDC RAYPF NEUTE1DRAFT_49630 MFVFYYICIRKACLEWCHGKGRQNRRVYVRGWKSDNEKGVILEL SNGRGETETRAA NEUTE1DRAFT_49538 MYQCQGVWLRLIEDRVWDTPNLVPAAHDASSDASNLMSSGQTEA SGSTAGPSSTRSGPGSGSGSRLGTTPLTGSGGTSLSFATPIAPGPSPGSEFRPLATFT PATGSASDPVGSSGAAPAFGFGSISGSSPVPGFGRTFPPMSGAAPTSGSGSGSGSAPV FGSSRNLLPVPSTAAASGSGSFLSPPGSAGNPFTPTSSPYSTSPAGVHQAVESGMHTT NQNSRNSSFPASRGAITITPPTPNRQTPMAIQSLTNPPYPTPVEVSGSSSPDLYSTTR PAGQLSLPTILPRTSPHPSAGAPHNPVPQSRSRHPTPLQPSPMPIESSNIPATDPFRY LTQAFTNPKSQFPSCPSNSELGPQLPNLELVYHYTANTYKSLTRGVHEHIWKVQVPKL AFSYPFLMHNLMSTAAFHLACTEQDEEKRQKWGTEGNRHFDLALRGMTEILRKEGVTP ENCHAVFASSSLSFIGALCGKGPLFGPAYNREQEKIQAPMIDELLGVFILVRGIGSIV VAQEDILHEGPVGGLFDGEPSGEDHPSMDRLVHQLKGFYQRVGSITTRRPGFFASPPN LEDTRPPMSNIPKEHQIILGEVTAMIDSIKFALTKGGIPEQEIIAVWPITMSSDFVGL LQKPKVPRQQHEPSQPSTSATGSFTSPPPGGRNPIALALLGYYCCVMKGTECECWFTK GWAEALIKEVIAELEELAEVTMNMTSGSGSGCGSTTPEVQEGDHDQDQTGVDGPVEVW REWVRREWLEDAQWARKWITTKAEEELPKPTFFSGNPMADAMHVMMDAEGQQPGEDAP VMELDTQDQQPGADDLDPVMDTTQDQQQDAPMMDTTSSQDQQPGADAPVTKTRDQQLD VPEPAPAEATSLDVLVAGAQGEQPGVPEAQAATGASEENVDTTATAEEPTRSSIGCRS KKWKSISGAAKKVAL NEUTE1DRAFT_49110 MALTQLAHSASALLPLVLPSIAAILAFAVFQRFFAPNPLSNLPI VGEEYHGYEKKRQAYLTKAKDLYLEGYTKVCLTLGCGCTGKR NEUTE1DRAFT_88584 MHTKYTLIPTHEPIIPHTVKTSLTPSLPRLNPQLSEEVQIAFSQ EIAPLMSSSPSDWAPININSKLLRIVAKVSGRVFIGPELCHDERYLEAAVGYTVSVME AQRAVERMNPWVRPIAAWRLQEVRKLAQMERDATAFLRPVVEARREKQRKGEEKDNDM LQWLMDSADQGQGKQHGKWGEDTTTTRKLARLQLAISFAAIHTTTLVTTHAVYSLAAD PKLQATLREEIQSVLQEHKGVFNTSALQAMKKTDSFLKETMRFHPLGQTSFSRKVLRT FALSNGQVIPKGSTIEVPNYAVSRDPEAYSHPDVFDPLRFYNLRNEAREKGEAEQAAS GQFVSINKEFLTFGYGRHACPGRFFAANEIKMILANLVMTYEMGLVEGETERYRDWDI AAGTIPDPTKDVMFRKL NEUTE1DRAFT_125032 MPLVPTTRQVIPGAGVNIVLKVDQPTGRTVSGTIQDVLTKGNHP RGIKVRLTDGRVGRVQSMNSNPDSGSADMESFNATFDSPSRQPHHRGGSRPDRGGHSQ PPPAQQFGLDAYIKPAKTKGRRGGAGQASTVSDPMPSTTTTTTTTTTTIEPEEESVCP VCADFRGDATAVAHHVAAHFGE NEUTE1DRAFT_113354 MSTSLFWKVGAIYGAAAVGLGAFGAHGLKKRISDPNKIASWSTA AHYQLVHSAVILVASHHPVASALFTAGMTMFSGSIYALTLDTERFRFLGPVTPVGGLF LIAGWLALAFASKGSAVARFPRF NEUTE1DRAFT_149096 MSSETPIKNVTLVGASGNLGRVLLSHLLKTNLHITILQRASSKS TYPSHPNLTITTAPSWSVPELTLALHGQHAVIAAFPLRDLPAHLTLAAAAYASGTVTR FIPADYGSVDARSKRAQDLVPLFGKKVQVRETLEMLSEVSGGRLSWTSLVNGHFFDWG LTNGFLHFYPFGQPPRAHILGSGNEKSSQATLGQVSKAVVSILTGDAGHLEKTRNKVL MLQSFLVSQNEVVDVLEKVTGNKYERQYVDTEEYINERKRVADAGGEGAGEAIEDLVF ALGVVEGDWTNRQEYAMGLLGLEEEDLEAVVRKALEETEEGRKLLAGSGNYL NEUTE1DRAFT_132269 MSQQQNPPYGRRLILDIIKERAHNEPNREWVSVPRSSDPKDGWK ILTYLDAYNGINRIAHKLTRVCGAAAPGSFPTVAYIGPNDVRYLVFALGAVKAGYQAL FISTRNSAEAQVNLFELTNCNILVFDQSYKATVQPWLHEREMTAILALPADEWFPADQ EDFPYNKTFEEAEWDPLMVLHTSGSTGFPKPIVARQGMLAVADQFHNLPPREDGKLMW IVEMSKRAKRLMHPMPLFHAAAMYISMLMIHYWDTPAALGIGERPLSSDLVLDYIEYA DVEGMILPPAILEELSQDEKAIQSLQKLNFVSFGGGNLAPEAGDRLVENNVTLCNLIS ATEFTPFPFYWQYDQKLWRYFNFDTELFGIDWRLHDGESTYEQVIVRKDKHPGLQGFF YTFPDSSEYSTKDLYKRHPTHEDFWIYQGRADNIIVFSNGEKLNPITIEETLQGHPKV MGAVVVGTNRFQPAVIIEPVEHPGTEEGRKALLDEIWPTVVRVNKETVAHGQIGRQYM ALSTPGKPFLRAGKGTVLRPGTINMYKAEIDKIYEDAEKGVATDEVPKLDLSSSDALI VSIEKLFETSLNAPKLEADTDFFTAGVDSMQVITASRLIRAGLAAAGVNIEASALATR VIYGNPTPKRLADYLLSIVNKDSNQGTLDNEHHVMEALVEKYTRDLPTPKQNKPAPAD EGQVVVITGTTGGIGSYLIDICSSSPRVSKIICLNRSEDGKARQTASSSGRGLSTDFS KCEFYHADMSRADLGLGPEVYSRLLSEVDRVIHNQWPVNFNIAVESFEPHIRGCRNLV DFSYKADKNVPIVFVSSIGTVDRWHDEDRIVPEASLDDLSLAAGGYGQSKLVSSLIFD TAAEVSGVPTEVVRVGQVAGPSSEKGYWNKQEWLPSIVASSAYLGVLPDSLGQMTTID WTPIEAIAKLLLEVSGVIDNVPLDKINGYFHGVNPERTSWSALAPAVQEYYGDRIQKI VPLDEWLEALEKSQEKAEDVTRNPGIKLIDTYRTWSEGYKKGTKFVPLDMTRTKEYSK TMREMHAVTPELMKNWCRQWNF NEUTE1DRAFT_149098 MSTKEKDKEIAGSPSLSVERLPETSLWTNSKCLLICALVSLASM QYGLDSACLAAFQAMPGFLKVFGYPDPSAPGGFGIGHTFQQLLFSFLTLGSLLSTLFA GPFSHLYGRRPALLLACFLTAFGTAIQIGTSSAAALYVGRIILGIGNGFLVTFSNIYC AEVAPPHLRGVMVALFSEWVCVGSVLGATVTNATKKWLGKASWRVPLGMLFVVPVMLS AGVWWVVPESPRWEVSRGRHQEGRMALERVRAVREDGKEGQQRLEVEWVEMVKGIEEE KRLASTVGPLDMFRGSDLRRTLLCFGVIATQAGSGSWFYISYSTYFMIVSGLPVDLSF RYSILKTYIGFIGVNVGMYLMRFVMGRRTLMTTGAMVQGMFMLDMAISAITTEAGTWR ARDSLMACSALVNYAHNAFVGVASYPVATELVSTRLRPYTVGAAISLGYLLAWLTSFC SPYFINPENMTWGAKYGFIWAGPNFCCALFFFGCLPELKGRALEEIDELFEQRAPAWK LKSAETSVVSEAIEEVRRRRGEVGEDGGKAATVEIVVEREKDV NEUTE1DRAFT_118353 MELNMVCYTVAIAVACLLTSKPLLLASVFCQRLFLDLTLPWVDN VYLPPAESTYSPLLSQL NEUTE1DRAFT_149100 MHLPTVLSVIFAAAGVTAQLNDLAVAAGLKYFGTAGQEGTITSD TTYRDIINNVHEFGQLTPENGMKWDATEGQPGKFTFTSGDIVAGVAKSNGQLLRCHTL VWYSQLPSWVSNGSWNRDTLQSVIESHISNVMGHYKGQCYAWDVVNEAISDDAQGNYR DSIFFKTFQTDYFPIAFNAAKKADPNAKLYYNDYNLEYNGAKTERALELVKLIKAAGA PIDGVGFQAHMTVGGTPSRTAMATLLKRFTALGVEVAYTELDIAHKNTASSSSVQAQQ ATDYANMVGSCVDVDGCVGVTIWGFIDKYNWIQNGNAAIFDSNFNKKPAYSAISSVLA AAATKAPTTAKNTNAAAAVPTTLRTAIATASACDATATATKATAAPAKSATGTATGAQ QARWAQCGGNGYTGPTACQAPYTCKVQNAWYSQCL NEUTE1DRAFT_125041 MSDPTFKIETPRLLISYLQPDLDSHCDFLVALYNTPEFIANNGK TSVTTRDIARRQLEGRFRAEHARNGYGTYLFSLRNPANPDDLASATPIGTVSLMRGDE PGSYTAPDLGFAILSEYMKKGFTKEAAQGLMEYVERVQGVKDILGLHDPANKASGAVF RSLGFVDVGLRQLLVFGPDVVGQVWIKKGMSEDVSVYGLPKEAPATVEMPEKIVEDGV TESVGAVAV NEUTE1DRAFT_141207 MTTTASNTLAALRLRFLASVAAIPASARPKPSLPSYGVHWGTIK IRGPKSVKTLPNPPMSGPCTGSSSAKLNPKPLPSSTALSRPLYGVLGPRARSKGEACT AKKACVEGSRGLPTSAKLRQSPPL NEUTE1DRAFT_50122 MRASALFALLASSAGLVAAVPPASAAKAAGLRLIKTSPEDKGAW VTEEQKITRYRNKRIGFVDITDITDASVLTALSNPDGAPARSDFSAEAVGYPTTVSHQ TQVNSLLSQVSTTNPKSWLLTLTNFYNRYYKSSYGTQAGTWLYDTIVSVASANSAITV TKFTHSWNQPSIIAKIPGTSSNLIIVSAHYDSTGGSSTARGPGADDNGSGVVVILEAL RVLANAKFAPKDTLEFHFYSAEEGGLLGSAAVFSSYKSAGKSVYAVMNQDMAGYSPSG KISIYTDYVDSSLTAYTRVIATAYTGETTSDRCGYGCSDHASARSNGFPAAYVCDEPI DTATPYLHSSKDAYSTIMWDAILRHAKFTTAFLVEASYL NEUTE1DRAFT_149103 MAHFGLMEELALPVQGVASDEAGEQVVGAEHAANACKEERPRAV GGRRAASELGHTCAADSLSSPEPNPGTNSNRHKYNVPPRLYHSVSDRDADASIEPAHS GHRAMSTRRITGHTSSTRNGHLDADHDAVQAEGEPVPGPRLVLTRTAGSASPTKPTAL DLDGYGAEEDKEGAENTDDLVKVADI NEUTE1DRAFT_48702 MSNPNISAIRRNCATPDLSSLVQETTDNPPPFSATHQNPRFHQR VMVDIIPTGLKTAASQVSEQLQRWHDAHKNKYSSTVLKTLEDLAQQVQELYEARRSGV IRIRSSGDCAKILCILAQLKAMREYMDTVDDMEKDWVWVEYSDAKAPPLDTDTDGEYV VVEKNGEEDVNWGRDIGEQGMIATMQMYLKALTVY NEUTE1DRAFT_48858 MDETVECKPAPAADLRELNEKYNIRHAAPIATKTAAIAKDGSVD AESAGITTDTTVDTPLQLVTKTLDTSDDPTENIYTFRAFVLGLGLSAFGAVLGEIFYF KPQTINVSIVFLIMIAYCLGEATVLIPRWGPVGRFLNPGPFTQKEHVFIVIMASSAAT CALGTEQLAAQSLYYGNQPNAASAIFMLFSSQCIGYGLLGGIRNAFIYPTKFVWPSQL PNAALFQSMHLNKELARKRLRIFWCICVAVMVWEIVPQWMFPLTTGISIFCLANQDSA FFTRLFGGANGNEGMGFLGWCMDWQYIGEKEFTLPLSTLVNQLIGYIGCIALTLGAYY SNLWDAKRFPFMAQSLFTSNGTVYDQTQILGPDNQVDPAALQAYGFPRFATSKALSLL MKNMGITAAVVHVLLWNWHDIKFIFAPFRPSALKQNVQKVRTWWHHGFNSSFWKNFTS DADTPRKEYPGTEGDPHYAAMRAYKEVPSFWYISILAVSILIGLICCYQQKTGLPWWA FLLAVLLAWILTTFFACMYGIVGFYYQPTSAVQMIGAYMVPRRPVANMMFTLYGSNAL VQAIQMLGDLKLAQYAKLPPRATFLAQILGTCAGSVFNWVMMNSIVDNRRDILLSVQG TNIWSGQNVQTYNSQAVAWGGTANEILYHSGRHGTYWMVPMGLFFGIFAPMPFWIGHK YFPQLRLNSINTFLICTWLGWLSVGINSSLLAYFVFGFFAQGYLRRYKPTLFAKWNNI CAAAIAGGCSLIIFILTFAVAGGSGKARDFPQWWGNNMDGNVDRCKYLNGT NEUTE1DRAFT_132276 MSGSTRHSYASNRRVDSIQSVRSVTSDEERRNSRIQASKARQTA VSYDEAYSFALRVAFLNYLLQPRKKRKEYVAVPKAVHRSHSSSVSELMKDFVPSGSSS SMKLPHGFRGQLEKRMTAVLQGTERLPGYNDAAVKRTFGVAYTAFTQRDFQKSIDKDR KVEPLVLIFYSSAIKFHSAGKSPDDYHWRSLVDRHLAMFVRLISSVIKDIGSDRDDLL ARLNTLENKLLTNDQNLSLDKGQESSTFVEVEVPLSYEIKDMPMVLFVAKIFGLPNSQ VQNDIDKNMTTWTEEAALKDFKNYQFRLSANMAGTLRKLDFDVDDAFEDWKRTETQQL AQIFAEILSIRPDLKTGSGSDKPLPLRPMSLYSEDQAFSDLSKMLSNRSSGSFGFDPS SGFSPMSPDDNSSIRSVDEPSYTFMPVDPRPVYKTILQYVMSYDQLHSEEFPFSEESI EFLTELAIRWRIPQFMRHVTLVEVAVRKFLDQEISTMQLDACLDFVKEAQPEPKKPPP INFFGATLFDVEPGRWTMTDFAGYQQSIKDLHDALLRELYTLLIQCYQPNPPSVGVVM SVLTNHVQNDPTYTERPDEMAEYTQHLENGLRQRAAEVYRGFLDANIPSNMEDWNFAH VVKLGQAVVGLAEKIKKRYRKNPEIMGASPFKVLVETIFPSFEEDAQEFIKRVVDMSK IRGEEGQIDVQDGFDLYKELVEIRKMHVESLPGKPFAFHIESLLEDFVWRWIRNAEAR MTDFVEQAIKQDTFQVRTNDPDDIPTDEQRHSVSIIDTFTLFTQTVNQIHQLDWDDEL HHAKFMTALAKAFSAGIGRYCEIVEQHFAKEMDRQTPQEAAASRSAQDRFLQYAKDAW NSKDKVEPFQFYPQANSSGQSFVKLNNIEYAMQALDKLEKTMNVDGCAEAIRRVEGIK PLVRKAGKYVFTIKIVEAEDLKACDPGGYSDPYVVLCDEYQKRLAKTRIIMRNLNPRW DESIDITVSGPLNIIATIWDYDTFGDHDFVGRTSLKLDPVHFSDYLPREFWLDLDTQG RLLLRVSMEGERDDIQFHFGKAFRHLKRTERDMVRKITDKLTSHINHSLSHDTLKSLL SRGIAASMANLWKKRQSTAPTVTPQDVENTLQPLFTYFDENFAIMKQTLTDATMVAVM TRLWKEVLMAIENLLVPPLSDKPSTQKPLTQAEMDIVYRWLELLFNFFNAKDAQTGEV LGVPSDVLKSPKWHELASLNFFYFDSTENLIRTSERMAAANAQRAREQQQATAAAAGA GSRMSAPPQLHSSNNNHSNSLAPPGGMTGAAAIGAFGSLGTIRRGKSIMMSRNLGTMR QAKLEKRKEAQADPSDDMILRILRMRPEAAHYLKERHRQKERMAAAAAAAMIVRQSVN QKFGGGGFDNGGGGLRVNKRQSVVPPVPKLPFGAGTSGGQVIGGGQVWE NEUTE1DRAFT_50469 MNSIRSCASTEMIVDAVQPTHPSYPTVSLCLLFFSDLSQTVLFS GKWPPTLCSVPRTTQAPSSWVIWQRQREELVFLSKLVIAPSIPRASASPWPMPMPPFP LASPVPGDACLELLFRPSQRPFSHFVSEGKMGRSMAGGKSFNN NEUTE1DRAFT_118355 MLSPLPRLAAVALVGVDLANAAYSIATVADIKKTSADIAFDMMQ YYKGNLTGQTPGILPGPPPAGDYYWWEAGAMWGALIDYWRFTGDASYNDVITQALLWQ VGPGQDYMPLNVTASLGNDDQGFWGMTAMTAAENNFPNPPADQPQWLGLAQAVFNTQA NPDRHDKTCNGGLRWQIPPLNNGYNYKNSIANGCFFNLGARLARYTGNKTYADWAETT WDWMRGVGLMDDNYSIHDGAHVETNCTDINNAQFSYNSGVFVLGAAHMYNYTDGSPVW KDRLDKLLNATLVRFFPDNIAFEPACEDQMSCTTDMLSFKGYVHRWLSITTQIAPHTA PVILPVLKTSAEAAVQTCTGEQNGRMCGFSWKKKKYDGSHGVGQQMNVLGAVSSLLIE QPGKAPVTNSTGGTSKGNPNAGSQSDSFTHDKPVTTADKAGAGIVTFLLAASTLGTFV WMGIGK NEUTE1DRAFT_69931 MNTAWVGARSSATPSQLDALARAIRITPIIDHHAHPLLKLEALA KHPLLSITSEAHNGDVVHSAIHSLPHLRAVRQLSGMLNCNNTWEDVVAAIEQKRIDSP LEWTAQCLDGIETLLLDDGLDDGDDAQDMVWHNDYTRSECKRIVRIEKVAADIIKRIG KTCDASPRTGQDVLNDAYDDWIGELDTIINEAIDDPDVVAFKSVICYRTGLQVASTVN EAEARAAFADIISNFALLDFAKLQVAALNDLVVHRTAMLIRDSPSKQKKPIQFHTGLG DNDIILAKSSPAHLQNFIRAYPTVPIVLLHAGYPFMREVGYLATVYEHVYADIGEVFP CVSEDGQEQVLRQILELCPWSKILWSTDGHWFPETYLLAVTQMREVFTNVLTNYVRKG HIGYKAAIDLAQDILFRNSNKLYHLEIDFMELDEEPGAEVGPYASDLELFQLFLKDQP PPDFVRICWNDYTATPRMRMIPFRKFKTLLDQGQPTDISIAKAVFGLIQNDHLVPSIA PIGEYRLHPDFSSLRPGPTEGHVSMYGEFREKSGAPVALCPRSLLQKAVDLGAQNGLT FLLGFEIEFLLLERVEGTDTSATLSLNSLNPFKHRPAEASGRFSTLVTDGHAWSVSRY FADPKVANLLRDIVHALDSMGIYVEQIHAESATGQFELILPPCPPIQAVDTLLHTRDV MFALATAAGYKVTLHPKPFPTACGTASHTHMSISSPGGDKPEVYEPFYAGILKHLRAI TAFTYSNPASFERLKDGAWAGGRWVTWGTQNRETPLRKIEGSHWELKAMDGLANPYLA MAAVLLSGIHGYMEREKLIWGDCEIDPAKLTPNDRAELEVTDMLPASVEEALTALKGD EKLVEFLGPEVVERYCAVKEFEMAFLAEMGEEERRNWIMERY NEUTE1DRAFT_141217 MSDHSNLHSALLTPSAMLASQPGLLPAAEVYPATQIFSSTSPFL PVYVQQPAILPCDRPEPIPIDQYRFDTLSLYNPNDRRSPAEQWRMLPRPIDIKRGMTY ELTFGNAIMLYSWIKGVSKPASRTNMYHDARRKEEELKNGSITSLIASKFNKSLEKET ARLRPMVLAEMQMKNCCFSCLVHNRGKPCHHPYPQRPTEAVNWQGGVQNEHGERLNHV QRGQSSTARSGAQQPTPQATPAIRTVGSMNAVKDRLITNWNRTEGDLLARISSVAFHG IIASGGQETRDLEQVLSWIDGFVMRAKHRFDGIRSLSSAFCTAVLTSSGNTRTPAPSP CLNSLGNIKNFTWALIERLMIGLLRILVPLFTIHPDMGTTEALLMLRIEGCKRGWIPF LTEVFRVAFGGKDGAECRYLCTRAQNRGLWEFWRMVLTGNRWAIDAIADPRTISNGLS TSSLKVYLMPMGWDGKGWEGLVSSRISGRRAWFQMDGVCRMIREMHMQIQTLTLESRR RESQRSQQSGGSSSNHRNSNSNSRPPPPWPNSSQMGPMTIAVNYGQQPQQNGIVYGGM YPSPPGPLPNGYNSHLTPANGYGRASGSGSQKRRREEAEEIVASASAAKWVKIFHNPA TSHNSVTLHTPATSHNSATLHTPATSHNSATLHIPATLHTPAILHTPTTFHDPAHGYV HASGSGSQKRRREEGGQEACASAPAAKRMKPFPNRG NEUTE1DRAFT_141218 MDKPMELLPAHTPQTLEFKVLRTVDISSGAKPRLLNSTAMPAAI KTSRTPNHVPVGIMVDRQVDKTARTMENDMSMITALRRTSCEASTLKPLHSRTLNINH QRSIPI NEUTE1DRAFT_88612 MGNQQLHSRFGTTWQAFVLSFLVFWLSQSPIVHAQQPFMPVETA RALSGSTLEGNVLEKRQGCISNFFSCSGVGAAFSDVCCQYGYTCALDQNNQPACCPVN AICTGTAPAGVAAPSPTAPVSFVANPYFSFPYVATYFPDAEQCSSAVSQCSANYVACS DKLDGLGGQYGVTIVVPGGAGTTVGVNVGTTVGLESASRICSSLSSVACHGLENNMCS MTATTASGFYFGTGAPNRASSRRGFTGLLVAAGVVATVSALL NEUTE1DRAFT_149109 MEPMPEKAKGKGKDVGTPEESAGAGDIGHNGQTGGGDDLLARIA KSATSLPSALFSKGNMAGAVSGLGNGEKGGGSRSAAAVARATENLERVGESSAPLHSA SHGGETIRSAQIQGHVAMQEASFAAFLDTTEPLTAPEGAGVLEGAWNMRGNVPSSTVP ATNNQWTHSFPASTVAEQEARDGQEVVALLRGDLDLDAELAGPEENTILPDDMASLRK ALFGNDDLGSSSSPIAWDHAHALNFIPLSLLGFDSVSTAPSTRDLLGTADVTEGWETW IDQWSRVLTGYHDEIWGDLGALVEEAKKEVKQLEEVKPGERPPEPTALLRLRAILGHL RGA NEUTE1DRAFT_69940 APCNNIHSAPTCFNPVSVGHLSPDLPLPSLRHPLISRLELVKSL LVHHRP NEUTE1DRAFT_88617 MSRRDFLSQPAPENYVAGLGRGATGFTTRSDLGPAREGPSEDQI KAAVAKRSAQLGLTEAKDDDNEDDGRYQDPDNEVGLFAGGIYEKDDEEADRIWKEVDD RMAKRRQKQREAREEAERLEYERKNPKIQQQFAGLKRALETVTDEEWANLPDPKDLTG RTKRARQARMERFYAVPDSVLAAARDSGQFGTTVAEDGTATEGVNKDGTVTDFAKIGA ARDKVLRARLEQQSQSSSVATAGSATSIDPKGYLTSLSSMQGAEQSIGDIEQFRKMLK SAVDSNPKQAASWIAAARLEIAAGKPGAARSLIAKGCEHCPKSEDIWLENIHLNDNRN AKVIAAQAIQANPHSVKLWVEAMKLENDPRSKKKVIRRALDHNQESEALWKEAVNLEE DVEDARILLAKATELIPESLDLWLALARLETPENARKVLNKAVKKLPNSHELWIAAAR LEEQLGEGKKRPVMKNAVKFLAKQNAMPKREEWIAEAEKCEEEGAVITCSNIIEETLG WGLDEDDDRKELWMEDARASINRDKFATARAIYAYAIRVFPNSKSLYTAAIDLERNHG SKEDLWHALEKAAGVDEARLVLARAFKQNPDSEDIWLAAVKLEADNGFIDKARELLKT ARQNAPTDRVWMRSVAFERQQGDNEAALDLVQQALQLFPSKPKLWMMKGQIYEDLGQL GPAREAYSTGVRAVPSSIPLWLLYSRLEEKAGNVVKARSVLDRARQAVPKSPELWTEL IRVERRAGNLNQAKSLMAQALQQMPKSGLLWAERILNLEPRTQRKSLLAEAVKKVEDD PILLVTAARILWAERKLDRAQNWFEKALLLDRDVGDTWAWYYKFLVQHGTEEKRADLV AKCVLVDPRHGEEWTRVAKDPKNAGKKTEEVLKLVAETLF NEUTE1DRAFT_104354 MESLIQNPMSSHIKHSSNDFAGIAIGVVLESDGGSEKNWSGLRQ PGVLLAYTSTLANALMGLAFAQAAVIFFWVQATTPLPVEFRHFSAVISILVTVTSLLR VIDVWQNSTIDLPVTLNISSLWGRKFGSLDVDYTRPIGQFAPGFSDVVRDFLNKAPIR MRGAFGFDVVNCTELKNSSDTCDLGWQTIEPQREHDEYRKNIIFETSVRPIMDDDLIH HPGAISSNRSMIQITTRRKTDTECTGIFLNHTCNLNPGAVMYSPIYAYLQDLDYPTAV NTVFPLWQLGASLFNSTSWMQDSYDHSYSANYEGLVASLSAANGTTVEFGSPCYHYFN DPMDYIIDTYREIAFRMSVRAAAGLSNDYLAGESSSVQQLPDLMQRGVPYTLHSTQVQ YAADRPALVLAVIVSLVGPVAILFLFWGWWKLGRDFSISSLELANALQQQERRTSRSS GENQRLMNNDDALAGDDMAIQDETATEMTQMSCNSVSGSDIDQEQGQHLASVFADCSG NATADELAKHFRQRGQRKNSKDGNENEEEEGEPMIQYGVVESGNGKYLGFAMVSGSQG ATTAVRRSWKGELL NEUTE1DRAFT_88620 MRHPLSLRFFANFTITILLACNIVSAFHVEDLLYTLRSLKDAPS GSAVLKARSSNLTAPASAPASNGTVGSSHPDSTDKKEGGLGLGGLLHNLFGRHDTSTA ITLNIRASNGTVTPAGVSNGTILGGASHPDAATKGDHGSLGLGDLLHKIFNHHSTPTI PGPLAGRSSNSTVLPAAVSNGTVPTGSSHPDAATEKGQGLGLGSLLSKIFGRSKPVPE SVEPEDVDEVDGEVGMLMSLNRRAKKDGENRLSREERRKNREKRRVRRAMSAATESKK EERHVDRSRVFRG NEUTE1DRAFT_88621 MSYYDIDAILTDAEKIPCTFQIDVPDLGYLDNQPGHTLKSGSRV ALPIWLAEMLAIANTGTIDMDDPSQSSKSFITFDLPPALGNDVVQALKADPRSVPLRD QSAHFYALATHMMELSEEPELSAVLRKTFVSRAAEIALHARKVGGAGGKGKGKATKDD NASNLGVGGAGEDFLRGLDEWERKLFRCAHDGTKASKEWMENVKKR NEUTE1DRAFT_96274 MSASLFRATPVARSALRAASAVKPAATFVRGKATLPDLQYDYGA LEPYISSKIMELHHSKHHQTYVNGLNSALTTIAEAESKGDFTKAASVAPLLNFHGGGH LNHTLFWENLAPASREGGGSPDGALGKAITTEFGSFESFVKQMNAALAGIQGSGWAWL AKDKAANGKLALITRANQDPVTGNYVPLLGIDAWEHAYYLQYENRKAEYFEAIWNVIN WKTVAKRFEA NEUTE1DRAFT_113375 MTFAELQRLNADLCPGSIVWFWVLPVESGSVGLWHVVSFQTSKS GACVYQHLPTAAYSNALGPDKKEVPLERFSVNDRVDDGNLTWPRRPYYGSRLEFAPAR SRTVVLSDVQYQDQEYWHPIPEPHQHHEASSFEAMLLRGTGIDDRRQRQHNAKNPTPL ILRASPTAPMPASRIRL NEUTE1DRAFT_69951 MILSNFQAQRPLRVISILAVLGCLWLWLITFHNSSFTSGAISSE VPLHTAPNSEPQQPKTINNDDQNVDHSLPAGSGPDAPTVNTPPPATNQPAEHEKPPVK KTSRVAKVTVAANALDVDVIHRAMQTHERHNREHGYNHYIAQNQAVSSLIENDRAGRP KGAWTKPAYLLSIIVAELEKPEEERLKWVFWFDADTVVMNPYTPLELFLPPENAKGLS NVDLIISSNWDGLNSGVFAFRVSPWSASFLSAVLAYPIYNSERMKTDRFRDQSAFQFL LTDKASPLAQTPMAGRDHWVDVPIRWFNSLPVNNAFFKNGTWLFGKPMVEEQFDKGTN EVYNDGHGGKVQKWKVMQGDMIVHFAGTSYVRDSWMGPWVTRAEQELPEWANKTTKDV LKVEVDKFWNEQNKKLTKERAASAIEEEKKKKEQEKKDKEEKERKEREKKEREAKKKK EKEEQERKAKEEVRVGGH NEUTE1DRAFT_69954 MSTCQQVARPLVRCLRQTGPGAATCSTRSVAVAAVRPFSSTPMR KDEGATTTTTTTSPVDSTTTPPPLPSATEAKATVADTVVGGPELGSRRRRAALATTGN LPFEQLPYQCFQDARKILQQDRAAKIAQIVKETEKIKLIEARDASEFEGGEAAKQTRI KSLRNYIEELKILADINDPEVKRRFEDGRGDMTKPVYRFMAERRWRSMDYKIIAQRIS QFHVVPDLLPAFDPTMDVKLSFRGYQVSPGAILDSRVTEVAPTLRMQVFDKGERLLTV VVIDSDVPDVTHDNFKRRCHFLAANIPWDPSKTVLSLRSVGDRVEGDVGKPWLPPFAQ KGSPYHRLNVFVLEQKPGAKIDGEALKKHLENRENFSLKGFREKFDLEPVGFNLFRSE WDEGTAEVMERHGIPGAEVEFKRQKFASLKPPRKAKGWEAKRQKPKYKSLWKYVKRIA NEUTE1DRAFT_50505 MAGKGLKSLNEAMNALSIASKSCRALPMRQSSILPCRRSMASVA TPPAANITRSVSEPWQPSMSTNTTANLDNIARAEKVLTHDSVTNVPVTVYSFPELEPR SLESYSARHLHLPLRRDILHLAVIYEGDSTRRGMASTKTRYEVHGSHKKMSPQKGTGN ARRGTRQSPLMKGGGKTFGPKPRDFSTKLNKKVYDLAWRTALSYRYKRGELIVTEDGL DLPLPNDFLWLAGGGKLSRELEDGYVRKWVHEFMTSLNWGKEAGRTTFITGDKRPNLF TGFELAGAEGRALELWDVDVKDLLETGRIVIERSALKEMIKDHQSDLVTRVAVQGLRQ KGPKLGEVLVRAPRY NEUTE1DRAFT_149117 MAPPIHPEQPPPAEPIHAGRAFDPWNSVAAGHQRAETRVPTGWR ESRARKLQSQFRASSSGGDRISDSVGAGAEDFDEKRGVLIPKEVRARALNSVADMLRN PGTMATMSQTTSQSRARLQEQGASQNGSQPVEEEETRESGPTQHGQRKEEEGGGGSSN IRKIFDGLNIYVNGSTHPLISDHKLKQILAENGARMSIHLGRRQVTHVILGKPTGRNT GAGGGLAGGKLEKEIRRVGGCGIKYVGVEWVLESIKAGKRLPEARFANLKIAAKRQQS VLSAFSTNKPPA NEUTE1DRAFT_69960 MDKSPNITASASSPSPPKRSQIPTTNPQRTVTHAGQQAHAQAQT PSNPLPTLARESTSSSAATVNPRSDPWPSNNKLTSQTTSATSAAAAPSSSVSRASSVA PSPFASRESSPTRPANRAPTTRNPTAASSRAPSRGPAAGGAAPRSRKNSFQDPSRSTK PGSVSLSTAGSRTLSSATTPTFLPAATDRNVNAPAPPSKSPTANSSAAHPHPTGGQDP LKWPVSSRLRSPPPIVTKPPILGPPRRQDQTPDISPSTALPRQTSSFYASESQSDTDA DDLTPTQPGARTPARAAGGSTSVLETVQEVSPFGSPRVFDQSMEERLGHRLMSEATVP SEAGDFFRQKELAGRSNSIMTESGAEDATPIEAVRRSGSTSAPPLTSRQSSLSVRPPA KGKPGEASQQSMTVEAETVTSIPQVALAPGGGVQPSSLSLRTKPSTETIRPPKKEKKR TARKQPNMTAGTGETTLFILQPRLRHHQATRSVSSATEMCTSPTKYYHGPPPPDEATS RHIFPSRSPEPRSQSFVIHQVSNLLTRHRPASSKADIFEAKVASAIEETNSSDSDETF VYDSNPPDHPRPPRYHSRTPSAASMVSQMNRADMRSIHSAMMNVEQPIGVKRSMKFVN SYNPSINESVGAEDDGKSTTGRSTAGSARGTSGRHHHYGRWGRNGGGNGHASLFAEQS PFSGANSGNNNSRPSSGVNSPRYLSRSGPHAKSSRGTHLSVGYDLDDNATGADDETTP LIQSGTVRSSRSARSRRNGLHSLRSMESAIGFMFATSQPLTDIELISMDHVVASEQEL MLDLTIRAKNPNVVVVVVDAADIEVFAKSPHAGTDSEWYRTHPGDMPPLESHKEEVRI SRSGDLAKILDDPPNDQPPEESSPNMRLGTITGFNSALTFEGSFFHQGLSTSMGEVRL KNPGNVTVGGTERWERIIADDFQLIIKGVLKYTLPLSQRVRTAAISGKTTVKANAAND RDLRKPPEEAKPIEDVGGGSGGRTGGDDNDHDNDVSISFQ NEUTE1DRAFT_149119 MASIPLQVTIPAVAAAAAYANARFLAYYDLELLRAIVPTVLGGA WKRYRDRVNLFYQLENLATAKSSENRVFLRFEDRAYTYAQAYDTVLRYANWLKDRRGV KRGDLVGLDFQNTDTFIFLVLATWAIGASPALLNYNLTGNPLIHCVNKSTARLVLVDP VVAGNVSEDVRSALGGVIFEVVTPELEQEMLAMDSVRPADELRSGFKDKDMAMLIYTS GTTGLPKAAIISWAKAATVANFTFRWLGTNVNDVYYTAMPLYHSTAMLLGFAHTLAAG ATFAMSRKFSTSGFWNDVRKHNATIIQYVGETCRYLLSAPPIIDPVTGEDLDRKHRVR AAFGNGLRPDVWNRFKERFGIETIAEFYGATEGTFATWNKSRNDFSMGAVGRSGSLYN VIFTREVAIVEVDHETELPRRDPKTGFCTRAPRGEPGELLFRLPPGDINSRFQGYYGD EESTSKKVMRDVFSKGDAWFRTGDVLRWDNENRVYFSDRIGDTFRWKSENVSTAEVAQ VVGLHPAVLECNVYGVQVPSHEGRAGCAAVVLKPSCLVQTSGEAARAPRPTDDTVKSL AEHVKRGLPKYALPLFLRVVPEGGLQATGTNKQQKHNLRSEGVDPARTGEDEVFWLRN GTYVKFGVADWKELNGGNVKL NEUTE1DRAFT_104364 MSFGARSRNVPDPPEALSVLRPGAGGGKHASAHVEADSRKQQGC PMVCLVPSLRVSGSMASSASSGEDMVEKAALPAASSCLGQ NEUTE1DRAFT_118365 MASTDRNAPLRLGTIAPNFQADTTTGPIDFHEFIGDNWVILFSH PEDYTPVCTTELGEMARLEPEFKKRGVKLIGLSANTLGSHEGWINDIKDVTGSQVQFP IIADKERKVAYLYDMLDYQDTTNVDEKGIAFTIRSVFVIDPKKTIRTILAYPASTGRN SAEILRIVDSLQTGDKHKVTTPINWVPGDDVIVHPSIKGEEATRLFPNLKAVKPYLRF TPLPKDA NEUTE1DRAFT_69970 MEALKNLEYGIPDWLHRLDELNGQIEQRQQELAQLTESKSSSPG GEPGSPSRKSLRNKGSTESLRPKDEPSAHPNYQENETPNNRPTSPQTPGSPGALQRTT SQVRAAGQAKARATLRKRQRTDSVMSAENADKPPKYRSRSMIIVYYDSYVQSFFEELV KFVSASRNMMRKAKMAAKVAQIKRLAELNTPPDDDESGGDAANGELVPDPKAVNNMDA SAAAPAAGSLDPVQAVLAARRARDYNRMMAQGGGVRANPMAAGFRMNANMGRAGAGQS PQDAGSDVYDELDKGLEFVQSMCEHAAHQFLRDGDCGEEVTKIKQRLAQTKDLAAKEM ERAKREDLGGDGSAELGAGGAAGLMSRGATAGASAIPMGMGARSYRPQSMRRVTSVKS TKSSVKEAAKEATKEGAVVTVVEKGVKRTAPATESEGSTVSGSVKGDAKIEVDEGLED VDDSAANDGIIAADPSADSEPIDLSKLVYRSTRLMR NEUTE1DRAFT_88650 MDPSRRGVVNGAGAAAAAASGSSSSNSSNKHGNSSASGPAPKKS SRMKEVVNYDEKKHLLDLEEDITHPVAHRQLNELEEHVNDLHESWETESIFEEILEDI TEDKFFTDDPEACTPEEAVEYRRLLRLVGPKVFVQRTVDAGVIPIKKLLTAFGIRPPA FLEGAGDDAYFSLLTLAMTREIQKRAKLMKYNTIDDAVELLKRSKNIIVLTGAGISTS LGIPDFRSKGTGLYSKLEHLGLSDPQEVFDINIFRQDPNIFYSVARDILPNTERFSPT HAFIALLQQKGKLLTNYSQNIDNLEAKAGIHPDKLVQCHGSFATATCVKCGYKVPGES IFPEIKAGRIPRCRKCAQGSRTTNNSSRKRKLLRDGTEKKPRRVKPGEYDSNSDSEFD HHNSNNNNNNNNSNSNSHFSSDPYYSSEHGSNTMGCGVMKPDITFFGEALPDEFSTRL TEHDRDLVDLVIVIGTSLKVAPVSEVVPFLPPHIPQIYISRTPVSHVNFDIDLLGDCD VVVSELCKRAGWDLQHEMIPENQVIRTELAEGYNSRHVFTQVEPKPKPTEKEKEREGA MQAKEKEGKKESGGKKEKEKEKEKEKEKEKEKKRK NEUTE1DRAFT_125060 MEDRSSRAAANAPELAPWEHAPYQGIERAPSPHDHPSSLQQLHH PEGQPGLEPAPHSTLEVSPHQVQDDKLSSYEPTSTISSASPYQAYILAKPYGDSQYGN IGSVPGISTVAESTAAGINDKEAGNGDGDDNGKNKRYCCGLGTKRQVAWWLLAIGIFL IVVGVAVGVGVGVGAGKGGGKKDENSPTPTTTTTTTTTAHPSWTHTANLPPPTAYATI TPSTSIICPNNNLTLYAPSNDTVTTSSSHGKGYLLLCDRDYNSLAGAEEITHRESDSI ETCIDRCAEVDNCIGAGWGNYNGKQTCWLKSRLGHPGWTPGWYMAVREDAVLHDGTEG GLTGDTETG NEUTE1DRAFT_88656 MGISSSSSEHSTNVERKNPVVEGIDIERHAVAESHLVNTTVKNI SWKGVTVTVKDRETKEPKNIVDNVEGIVEAACMGPSGSGKTTLLNFLASRPLPSASGG GTTTSGSVLINGQPTSTSTFREITRFVEQEDTLIGSLTVRETLDFSFRLASSSKVLPK RERIARIEGLLDAFGLRGQKDALIGTPIRKGISGGQKRRLVVIASIHQPSTATFNLFD KLMLLSAGKMHYFGPVAGVTEHYEALGHEVPLHVNPAEFLLDLVNIDFASEREEAVKA LDDMQTAWQGSERSKQLTAAVAEAEARGGEQVDTSTAGLGGKPGLIGSTATLLHRSFV KSYRDVVAYGIRAAMYFGLAIMVGTVWVRLDAAQESIIPFINALFFGSAFMSFMAVAY CPAWLEDYFQYVKERRNGLYGPTALIISNFLIGIPYLFGFSLLFSVISYWLVNFQPTA TAFFTWVFWLFCDLLAAESLVVFMSSLFPSFVISLALVAFANGLWMSVDGFMVQPTIL NVFYKYVFHYWDYQKYVFENMMINEFKDRVYTCGKLPEGMTGVNGSNCQCMFQTDLAD QCLIRGQGVLDQYGYKPGKQGRDIGIMFCIIVGWRLAAWVVLKLKK NEUTE1DRAFT_118367 MARGMIISATSRRKELTHLSIPARLGWYLHPLLPLSDPVRVMVK VATRASLAGFVVDEPSAGRLGPFSV NEUTE1DRAFT_141239 MGLEEDGPVRIQDVHAISSFNKIASNQIAVPGVPPRLHPITERL DLQLDYDNKSLGRDYPTYTHHFEPLVRSIEATDPNYDLRQTDIVTNASNLRKMFMIFH NKQQNYERYDLTWRNDTLFLSKWTSDPYLNSSLGHGTGFEQATCIYDDQEDDLLKSSA SHHRVIQYRFGGLQLVVQSEIDAYHCDCHRPSNFDPTLPETLSALTVDEVSQYQRRSS AVSAGSSKPSTHLSVFSSSSLDACHRDSHTTGETPPSSPPPSPCRPLFMDKTPGPCVP GHLSTSPSNPSATLRVLHLGRDIPSHCLVEVKTHKVRNKPLFNAEAQLYFSQIHKLYI AKNDNGRFFPSGSGYNNSVVEEDKSEEIRLWADTEQNQRTLKKVVALLKKIRELARQM EKEKGVRTITLLMKCDGMGMESGREGRERGGVKVTLYERRDSMEEEGTGGCLPGEYLD YLGGDGDGERGEIMGVGGEEGVGRGGGLEDGLEDGMLEDRTLYDWGVGGLGFGR NEUTE1DRAFT_149125 MPSDAQDLQVVLAAQEKRELAPNVSSQVINEMKSSLIFQFDWAQ LLQAAPTSISCMGACFVASSSPNAVVHLEPPKDKGFQYLRYTSVQANLVECGNMGRMA FVSAEKGMGTIQLTSTIINGKINDIVAIIGEPASAKNMLRPQLNALKQGSTTCLDEAK EMDKKFEDWLLYVCEMHAACVQQENTTREAILSNEICVAAEQTRLDYQKSTVEEAEKA FKMMGKQVETASEAFKKASDQFPTGWDIMGQQIVGDLVGAVTNLGNSFAHAFISNLNP IAKVEAGASIVGGFLKPKNDESMPEIPASDPVPPTAAPENATDPAYVEAVRLDHILAI LHVIVSGKNTEGNIDWEQARSGGAGVDSKKNSIGYVQTMLEDAKTRFSQVATTSDPSQ TLSTIIDVSLKVATGINDQVKKSGSMSSEWPAKDSEIVKKWQEDFAAQYPKANTLIAT AKTIPGVASNGIPLMSDSAQRTAQISAKSAQAQALLETAKNRLTTTQQMLTTAQDNYV KSTDMLLEQKNKLGQIQATLTKLTKENVSLAEIKRVLIECIKLIINLKEQVTNLVRFF KAMESLVEMCIRFHVDPFLETVKLIVAADGTDPYKDLKIGNYTYTDFQRSQLYSAAVT MRAYFGVFGDIAKMWVQLSKDSIMPGLRMCDDLSVTVDDQDASEQMKKKIAVLNKWAT DAVDQVQKIAQDKQREIMNGMDSRIQDVAETTSQITPLPASTVKAITDGTEVTKQAAQ TSIKGKSESNPLSRFAIIDD NEUTE1DRAFT_132296 MDDALTVMNLFSTTNMGLVGRSQIDPAILVALFGIAQVIGAPIS TLSTPKQDVNVITLQNKLNTAGTQLREIQDSLNPIVYQCGKDVIDKLEMAMTKLYRLA VFIRGDYDNREPDTTQRDELLKQAVQAFKEVEDRAQGGLEKVGQLHAQVMEIENMVIN PAKQDIDLLLENNDNELKNVQGQINNAEKSFSVLQDSVKKQSQAVSRLHDKISSSQDA KLASDIFFSIITLGIGNAINKGPLDPFNLQRELDEANRLLKDAQRKYNEAANELDKLR VKRMTLDTRLSAAKQTAALIPGVSTLADTTNTNCIMLQHRFGPLKQRSAQLLLSVGKI QSDATVTKALAYSKKEFAVGLLEICRDSLMDQALLDEATMIRDEVMNEYGAAIPDEVQ EMASETSERMSLVTTVPSIRA NEUTE1DRAFT_69987 MSIPVVSIDWLLKTKETNARQPEKDYALDLSSLDAASDASVADA DTASQTNGDDTRGTKRKRSPSPAQDGAKADGEEEDTLKRSKLETKRAMGEGQILKDKT VQIPVDAGAPYGYAVHVDSDGLLTQQNDRCAVWTRWGRVGESGQHALIDCPSLQDALQ TFEKKFKDKSGLLWSNRGDNPKPKKYAFVEVNYKYESDDEEEAGGGAATKEEKEDQKP LKCTLHPSVAKLMELIFNQQLMDNTMAALKYDRNKLPLGKLSKATIMRGFQALKNLSE LFADPTKASQYGLPYQQAVEHLSNTYYSVIPHAFGRERPPVIATDEQLKQEIELLENL SDMKEASNIMRIDKTQFDVHPLDRQYQGLKMQEMTPLDRASTEFAQLADYLVETRGNT HGLNYQVEEIFRIERQGEFGRFDQSDYADGKMKLKKNRKLLWHGSRSTNFGGILSQGL RIAPPEAPVNGYMFGKGVYLADMSSKSAGYCCAYLSNGTALLLLCEAELGDPIQELTG ADYNAGEHAKQNGMLSTWGKGGTGPLKWKDAGVVNPALQGVMMPDTSVKPGNTNVPGC SLLYNEYIAYDVAQIRLRYLFRVKMA NEUTE1DRAFT_113391 MCAVRDSNSGPFTAGWTSGHPNGLETPDVTSRSAEPARVGLHFF IQNPRHLLVFFHFSSSKCSNTSPYLPSPHPQHNATYTQVVKPTSTVRSDGILLRLDEI TRDGGGAAAAISVKPECCPLFMSSVWNLGHANGRPPGHPATSESAPGCLCAAPDLRCF SQERRPVSPCLSPGFSLQLFFVKGFELYSFQLQDPTLVRSTQSFSPSTAPADFYESYT DPAILPSWLQQQQKQAQASKVVAPQCTRHHWLIATSIDHRLFIDPMKTPLESILLALD Q NEUTE1DRAFT_149128 MNEDFQEFRNAFVRLAGECNRPAETWKQEFNWKLPTDIQNALLF GYGEDTVDFTAFVRMAKKCVENSQRLWKSKHKDNKGKDKDKGKDNKNTSSKARGSSSS SSSAAKGSGSQRPPNMSKEEARKLLEENKCFVCKQTGHRFRDCPNKRNNSNRINKLPS RD NEUTE1DRAFT_27095 FKDKTSITANLTSTTTDETPDRPPQTDLNPAPLRPGPKLRKRCG SNTVICSGKHGADVPVCETLVRGVFPVQSEMIPEDNDHRSICLELRALLWLRELDGSG PGCGLPIQFKSAMNSIPVLRRVRSRCTTNKPVQTVDIHPQIRRLGRFCGFRNSLVGYC LRRGVAYALSEQTTTDNRQFLMGHTKASSYWLYHPQTSTIDFPAMFRGLSQRLLEATM PRRSSRTRLTYGSDEGQRSTRWQRRVQLFEDGPDNDDSKDEDSQHEVGQDVFVAIRRH NVTTQRSKRGVHRIKYPVTSAYRDVLEELKQAQGSETNTADVLMKWFKICHGIDDFAP GEESLPGQYKCRFCYQDLTQVHKAHGHVHSCAKTNELGIQK NEUTE1DRAFT_50540 MTLAQKNTQEKKTYNTWDSLVVTDPTTSQAIGSLSMGERTGSRV FYHLWSYVLALVV NEUTE1DRAFT_149131 MATNVNAEALKESPIPDAIEKHAASKQTVDPYNVQGEVGEDGVV KAIDYNKLIEEFGTKKIDQALLDRLERVTGKKPHRFLRRGIVFSHRDLELILDRYEKG EPFYLYTGRGPSSDSVHVGHTIPFEFTKWLQDTFDVPLVIMMTDDEKYLFSDKRTIEE VEGYCSNNAKDIIAVGFDPNKTFIFSDFQYMGGAFYKNVVRLSKHITLNQARAIFGFN DSTNIGRIHFGSIQGASSWASSFPHIFGEDESKTVAIPCLIPCAIDQDPYFRMTRDVS ARLKYKNSNISYAKPALIHSRFLDALQGPGTKMSASVDESAIFMRDTPNQIKNKINKY AFSGGKVTVEEHREKGGDTNVDVAYQYLRFFLEDDEELEKIRVAYESGEMLTGDLKAI CIKELQTYVAAFQERRAKVDDEAVKLFMTTRPLKWNGNPRAPVVVPQVQNADGEAAAE GGDGKLTKNQLKKLEKQKQIEAKKAQKAKEKEEKDKAAAQP NEUTE1DRAFT_141250 MPNILENRMALQFRIFSLIRSGADVLGGQFICHHQHGHVLIRFS RIDQPTLSYHIEHPPPHETGSLEIMFTEELGHLLGGNVDHGLGCRCSRGTYRGSVAGA EFGRSGQRQLRKPCQP NEUTE1DRAFT_149132 MPTINVDKYRLFEELGEQFTPDTFQQLCFEFGIELDKDTEEDET RPKDQAPELAIEIPANRYDMLCFEGIAMYLNIFRGKQTTPNFKLLDIPEDKMQKITVS PETEKVRPYVAGAILRNLKFTPERYESFIGLQDKLHQNLARQRTLVSIGTHDLDTIQG PFTYEALAPKDINFVPLNQTKKMNGQELMEFYESDKHLGRYLHIIRDKPVYPVIFDAN KTVCSLPPIINSDHSKITLDTKNVFIEITATDQTKLDIVCNIMVAMFSKYCDEPFTIE PVKIVSEHNGTTRVTPSLHTRVMDVEVDYLNQCCGLQESPESLCKLLGKMAYTAVPDK EDAKLIKVSVPPTRADVLHACDVMEDVAIAYGYNNLPRTSPNQASTIGKPLMINKVSD IVRNECAMAGWIEVMPLILCSHEENFEWLNRVDDGQTAVKLANPKSVEYQVVRTSLLP GLLKTLRENKSVRLPLKITEVADIALKDETKERKARNERHFAAAFYGKTSGFEVVHGL LDRILSMLRVSFVTHEEDLHGKSIDYKVAENPSEEKGNGYFIKEIDEPTFFQGRAAAI YLRLGGETRRIGEFGVLHPTVLEKFDLRYPVSVLEVNLEVFL NEUTE1DRAFT_50546 GGGEDGRRFGLRFQHDACSCTASRHAEHCRRGVDLVAKTIEMGD NGVAKEG NEUTE1DRAFT_113398 MAEAENYEDDLFDDLYNDDDAPAASKPAAAPASAPTTAQTSATE QPSQQQQQQQQQQEQQQQAAAVQQSAADNYGGSNDYSQQGYYNGGNGQYGNDEEEDED EDDIDFNLGSGPSTTVARHEDDNNQNNNNNNSQSQSQYHAPPPTQAQHKGPNAKEDGT WRPRTARLAGRERKDWAAQGPDEHSRVLVSDTLTYSGAYG NEUTE1DRAFT_113399 MFSSSSSGKKASPQAMMSLRQQEMVQVDRSDSGSPFWRAPQKDA PLKKTTTRDSGKVVGAAYSHADMLKFDSLNLSNAGSRPRTPPPSSSALGRTTSNSTAS PHIKGVTSPPFQNYMNFITSTNEDWAADDADDVYAYEDDGDDDFGLPSLSSMKRRSRR IAAQTEQGTSRGTATNTLTDSTGRRYSDSADIAIERPASTYPMPKKTEGKILRPQYRD ILRDPANALHLINHPSVPLNASQKEIDAVNSRITRINKFKKILQASTIPLNDLRALAW SGVPEEVRAMTWQLLLSYLPTSSERRVAILERKRKEYLDGVRQAFERAGGAPPPSTGK GGGGNRGLDEAIWHQISIDVPRTNPHIELYGYEATQRSLERILYVWAVRHPASGYVQG INDLVTPFWQVFLGTYITDPDIERGMDPGQLPRAVLDAVEADTFWCLTKLLDGIQDHY IVAQPGIQRQVAALRDLTQRIDAGLAKHLEEENVEFIQFSFRWMNCLLMREISVKNTI RMWDTYM NEUTE1DRAFT_149134 MTNSPTSSSSAAEDIAENTADEEDQEDYCKGGYHPVTIGEKFKD GKYTVVRKLGWGHFSTVWLSRDNTTGKHVALKVVRSAAHYTETAIDEIKLLNKIVQAN PNHPGRRHVVSLLDSFEHKGPNGTHVCMVFEVLGENLLGLIKRWNHRGIPMALVKQIT KQVLLGLDYLHRECGIIHTDLKPENVLIEIGDVEQTVKRVVKDEPNDKENTRNSRRRR RTLITGSQPLPSPLNASFNQGSMFPSPAPQSLGQMLAEGAKSQEGSPFHNKNGEDDQS RREKSADLLSKEVSGISLDKTATPPATSGDKRNLDDMQFDIISVKIADLGNACWVNHH FTNDIQTRQYRSPEVILGAKWGASTDVWSMAAMVFELITGDYLFDPQSGTKYGKDDDH IAQIIELLGAFPKSLCLSGKWSQEIFNRKGELRNIHRLRHWALPDVLREKYHFKAEEA QRIADFLMPMLELIPERRANAGGMAGHSWLEDTPGMKGIKIDKVEVGSCGEGIEGWAS EVRKR NEUTE1DRAFT_96287 MNAQRSCGLTHINLSLSWAQGHREFGISHNKHDTAVTATASCIS AEAPQRG NEUTE1DRAFT_149135 MYQYNNPIPNHVLWPGWVDPHATLMAEPTGMQLCPYQASEEDLD KKGKRSPPDSIAFIASNGIGVPRRIGLALAAAAADPYACLTSPLIRPAYPRLAPLDLP DFLLRDHHHHLRLPASETTESWDMVVIGPVSSGFCYAMGWLERIEGPDAIRAWFRKRM GHRYFSWSAWQEAPILDGRATYEIEASVAGMRYIVLLCGNRETALAAERMFRYTDLTV GVKDPKCPWGGMPDQRGKKRLVTSFQVEWEGYEDWWEPRRWDENGDPIPPDSEKESEL RDVVYPRIKRTEPEERVFCWPMRLGKPYGPYWQSI NEUTE1DRAFT_149136 MATKSSRPPPTTIYRTRTTRAEIKSAADLMLQNAIAQAAPPSPA PALAPGTTFSTASTAISSPDDVPDPFKPFSQSDASTLLQELTIDERKASAATPRRSTA GMFKAVVSTDLLFLIDTTRSMGSYMEAAKRQVRSIVDEIGKTFFNEAEIRMAVVSYKD HQFNPNVQFLDFTTSVDQVHSFLAGLSIAPWYGDRPEDVLGGIRQAVNASWKNPTRCM IHIGDAPSHGRNLHDMSDTADRFPNPGTEPHGLTYGPLLKQMISKRINYVFLRINNTT DRMVFTFSNEYAAALADTALLKTNKYYSTLSTNVKRNPSGALLFREVELGITLSALQR LVVNAVTASATRTATRTASRIRKPGNDSSPGSKLPGIGEEEDDEDDQEDANDPSALPI ETVSPQWEESDWFEETLRMQGFSLNVNHGANTLNEMMERDNNDGLSVLSLTVHKRRLP FAKGNLRMASYARTESSTNPYVVKSFIKKAPQLAYLVEEMRCQALCKAFALEFNALLV DSPRHSIDFIVTACFKGKSELKSGDEFISIEPYIGLDYVKYNGNASWVNDSKDPSNEA AQAFSHFTFERSRGAFLVSDLQGFNGLLTDPAVHTRDEDRFPLSETNLGVEGFKFFFS THECNDICRKLGLKSSGWMIVSGSYDFRSDWPSLPDTLCCSNKLCGKILRRADIKVTE KYPGYQWCGMCFPQLESTTEMEVCRAPAPYHQFELSRFFHESQGQKIPRMCPEHDNEQ NRFSMFMAMPATPAMLGGWAGTGSDFSMLSSMAAPSKPAASRTMQADASLLALWDKLE SATVNLEDD NEUTE1DRAFT_50558 SSSELIRFIGGPSVGDIRSRLVRLIPNPSNNQEKAALKALFEYR LPGDPSKARIEWLDIVEARHLLWAYISSPKRELIRSILNTLNLEIVKRTRPTSTFNFA EASIGNMFLTGARIFSGSFESAIYLLSMICSIPPNVSVLPAINSNFTHHISAGLEDGT TIAGQVAISHPSAPTALPDDHLTVPSTAGSSTAASVTTSSFSATTTPTRPTFPSLPSR PGARARSQTETEDASLPGSLPSLRTQNISFSKSDSDEADQLSARIERVWYINPYGHEI WPNANPKVIDALAGTTMVVYSIGSLWTSIVPSLVLRGVGEALAGDEEEEEEDFNKDGE GEQGQGQAETMMMERRTTTNTTKRVLVLNATIDRETGPKSKPMTATDFVRAVAEAGEQ SRRRRLLRKYVTHLIYLDGQEVGGQLARTGTAPQVDVKELEGLGIKCVRVEGRVQEDG KEVRYDEGGLGEALEGILDE NEUTE1DRAFT_149138 MSGATPSRSADPLKPRPDETTSLVRKPPSLQSASTQVEEAEASN LPEYKLWLSELWLLTKNAIPVIFAYTLQNSLQTGSILIVGRLSPEALATAAFSYMFAM STAWLIALGGSTALDTLASSSYTGSSNKHDLGILLQRGLAVLSAMYAVMALIWTFSEP LFRVLGQEEYICVQSAMFLRRLIPGGLGYIWFECMKKFLQAQEVYRPGTYVLLITSPL NALLNYFFIHKLGLGLHGAPLATGISYWCSFFLLIAYATFVRGHECWGGLSPRRALSN IGPFIRLALLGIIHVGTEWWAFEIVALAAGQLGTIALAAQSVIMTADQIINTIPFGLG VAASSRVGNLLGARKAKEASRASHCAVVLSIVAGALILTVLMSVKDVFGRLFNDDERV IRLVADVMPFVALFQIADGLNGSCGGVLRGTGRQWVGAAVNLVSYYGGALPTGIYLAF HGWGLRGLWIGQCVALYLVGVLEWLIVGMSNWENEVKRAISRLDDGGFGDSSAHVYVY GAIGEGQADEALGVRHREGRESV NEUTE1DRAFT_50563 SFNINNFANSFKYYKYINIILKNKFGAIYIDFPNFYNIFFNWIT GFKIILEAVFNKYIKGSELFFYNS NEUTE1DRAFT_141263 MVPGKALSSSQDSLWLCSITARRVSTLFVRKSDVKGAAGRPALQ DDSYL NEUTE1DRAFT_132309 MSFLNLNLNLTYQNIHFRHPSSSSSLPIPLPPLPPGISRSFIPT PHGDLEILHTTTTSSSSQQPALFFIHGGMGSASVWLEYLSFFSQQQQQRQNIPCYAIS MRGHGGSWYPSYLRMVYGTTKGDLTGDVVSGIRWAVDRERGQRKQQQLGRGVIKGQGK EVGKDVDVDHVERKEEVEMVLIGHSSGGGLAQYILSAGLLGGLSVKVKGLVLMGAVPG FG NEUTE1DRAFT_149140 MSIDIHTTTQQGVRIAVEGCGHGTLNAIYAATEKSAKERGWDGV DLLIIGGDFQAVRNAADLNAMSVPKKYRELGDFHEYYSGVRKAPYLTIFVAGNHEAAS HLWELYYGGWVCPNIYYMGAANVLRLGPLRIAGMSGIWKGYNYRKAHHERLPFNDDDV KSFYHVREIDVRKLLQLQTQVDIGISHDWPRAIEKHGNTKHLWNMKPDFERESADGSL GNQGAEYVMDRLRPPYWFSAHLHCKYSAIKTYDPPKETTSEGGETAKLEETVVPQPQK QQPAPAPANPDEIDLDMEDKPAPVPQQPAAPVTATSNPDEIDLDEDEVTPTAPSQPAP TSAAPAAAVTEEDPTEALRSLLPASFSNPNPQSSYNAQPHGGNSNKPKPGQPVPPTIT NTTVRFLSLDKCLPGRKFLQLAEIEPINPSTEELQRPLRLSYDPEWLSITLAFHPLFR SAVGKHCPSDPNAPIPVDLGEAGYKPSIEEARKWVDENITGDKLLVPEDFVQTAPPID LVNRPETGNMTDEMPVEYTNPHTAEFCRLLGVENYWDASEEEREGRRAQGPRPMAERF GGRGGGHRGGGRGGGGGGGGGWRGGRGGRGGGGGRGGGGGRGRGRGRGGW NEUTE1DRAFT_70014 MYIENRTAGKAAQDLEPYLGDGPHCLKNSQQLLDHLKNEYYDHN RKEKAILEFNELKFKMNEDFQEFRNAFVRLAGECNRPAETWKQEFNWKLPTDIQNALL FGYGEDTVDFTAFVRMAKKCVENSQRLWKSKHKDNKGKDKDKGKDNKNTSSKARGSSS SSSSAAKGSGSQRPPNMSKEEAR NEUTE1DRAFT_149142 MEVLLSGRDGLDWILRRSSPSVSVYIIFTGPTPPQPNPRVVSLP FFHLPHTERAAGLLAGFKEEKCRDYRMFGSAMRTVNLPVLIIAQLE NEUTE1DRAFT_141268 MSSAGPPYIFLVGWVPALTVIDRGTLATRHPVPHILLGVVIPTI GMGPEIR NEUTE1DRAFT_141271 MDLDGLPALRFWGGKLEIARNIKKLDVRNWQESQTLAEGQLLIV IDSALNLLAPVPLPGLTDAAKAR NEUTE1DRAFT_50575 MSSPPDLPPPKAQDTEPGVLSPTPSASQPRHDGPKRLVDMYIKP RKTIVNKLMFTPPFDAVEIGRTVQFGCYPKRELKEPGLEDGGRNSPILTTNRALDMVR SPDAMHWYIFANSNVVWDIMTKPVSNREYHTSGSEVMPFGPANSAALTSDYQKPLIPR YATAVTELPPDPTNDPYARVWRKSAVLEEVEPWKDKVAQTAENVDPDEIPLSPPSVYL KSPSSSRRSSTGEVHASNGQPLRENKDIKQLSQITVEEKDLDTEDVETQSQSGDEVMH IDADNASDSGGSPMDLDEEVS NEUTE1DRAFT_149143 YPTLEEARAAIDGAHETKLLDQTIQVDFAFVRPPPGKTGGGGGR GGGGGGGGGGRNGPGNRNRGGRSRSRSPVGRDE NEUTE1DRAFT_113409 QQQQQQQQQQQQQGQAQREASEPISVMNEPITPEASFGSPPLMA ANPTSSSASYYPSPEDHAMSFASVHHHAGYHQPQVYQGESRYGHHNGWEHAQGVAVPA TGMMYGHYA NEUTE1DRAFT_18726 IKTKFEVNADHFGNNRQKFGHITNRLAGKAAQALLPYLDSDHPD RLTTSDDLLKYLWEEYHDHSAYEKALAEFNDLEMKYGERFQIFKNTFQRLAGQCRRPR DQWKSDLRRKITKELRQA NEUTE1DRAFT_50581 MSGSIVSTTTTLPTPAHSVNGSSLANDMSFTDIVMGENSPQKRK RTSDDVGDREQKKVHIEDRKLGIDDLHLDVGEKYLFCRSRKAPFTCTQKKKKQQQQQR RRRRQWHMQEKRSRSLWLMLPQRRALSLEHQPPRPHLSEDLFEMYGLADLAAEYARIK DGQKNALRKTYKGHIKKLGVQGHFDSVKTDEKDPERLEYLMGCPQEEWNAHFVRGKEI TRGLSSDMKSKISRAVTMSRGAVPSTLWNNSVLGDIAASSMKAHLNQPPSARPTAPNT PLAYGGPAMQRVKPQTPGFQDNRPRRNIKKRGYGDSSFEGYGEGFEDDGGLETGYSTG EGDMASGLKRRKKVLIGSNVPG NEUTE1DRAFT_141280 MERHRGRERERGGVGAGIPEGNASDSGVPLGGLVADKKASSETK LSSTSDFALG NEUTE1DRAFT_27870 GSTEAPIKADQVIPVLLLTEEELNGTPDQIGQLLQYYVDTDDVC SPSFTDILVEKPSKNGNISLFTERKDDSSCSSKATYRLQKTSLHTSSTDNPEAQDDDN LPSGPYFLSGPNLHQAYRLYPDTQDAFIYGMIPNDVHNPSGFQAVSFLASDSMSKTIP VPSRHYTRLTTRGEKRPSPIRGKRITLTDGLSLLGTQTTLSCKAYVDTYPPATETDAY VQRLLEEGAESSRNNVKKILYLDYAKDQKSEYAMDVFVNALERHLNVDRTTVNLDEEW EKYQVKSGIYFSLSKTAQFLYDLNHYHEYAKFRKDYQTKIHSSRGLVDLEAQVLWSSA RDHGSLDGCLCLRITQNPGEWLGDEQTYSPVVASVIGPR NEUTE1DRAFT_149149 MEGGRAFAGTKTQPNKGALFMDHNEATTWIRRKPSDLANQACQG HFSGLVFPQALTAR NEUTE1DRAFT_132316 MPLFSRHAEPEPAPAPVYQEPAPKRHSLFGGSHHRSPSPGSPTY SSASDRNRSTSRDSGHGTQRKGSLLSRTFGNGTSTSHDVDPSIMQARERVMMAEAAER DADRALMAARESVRQAREHVRALELEAQEEARRAKIKQYHAKEVSKRGKQLGHYNIHT HAEKEF NEUTE1DRAFT_125078 MASRSRSRSADRYDDNRSRSPTPRRHRSLTPRSARDYSREPSPA LRDRDASFDRERGRSPPRRNGGGGGGRSLSPPPARARSYSRGGDSRSRSRSLTRSPSP LQPVRSTKIVVERLTKNINENHLREIFGQFGEIEDLDLPLNRTSRTNRGTAYILYVHE AGAEAAIAHMHEAQLDGAVINVSIVLPRRKFSPSPPTARRGANYDPRQPPPGSRGGGR GGRNRSPGPRGGGRHAHRSDTYRPRSLSRSRSRSRSPVAASGGNRRYRSRSRSWSSRS RSPSPRGGRGGRGGGGRGGRNDVDDRGRRSPSRASYDSYDRRSRSRSRSRDWDRR NEUTE1DRAFT_149152 MTVPIMVADGAAPPVSQADVTSILDTVFNAKTSQASIDAAYGLC EVLLQSYGYRGLELYGVLSELKKAAADKKSGLKREGAQNLLGALFERFPPRQPASESL LTSPEAGLVACALDALADKGAVVREAAQYGLDALYNNLSPEALVVGLLPALIAYLEKS TGKWQGTIGAYQLIEKISNKAQITIGTTKEEAAEQDVLREAMGTKLARLIPIVEGGML DMKNEVAKQAVKTMTALTTLLSNDDVAPRIPLLIETMQHPSPATVQKAIHALSMTTFV AIVTAPVLALLTPFLERSLSNPGTPQEVLRQTVVITENLTKLVHDPIEARTFLPKLQP GIKSVVDRASLPEVREIATRALAVMDKAMGSDQDKVIERTHAEDVGTVLDAEVKKAGG LIGDPVVYQTVRKYVGEMVAEDVNHRHCNRIAARTGPYLSFLVADPVAVGEAVQKHYV DEDAIKYGVPEKEDDGEIEIVNADFSLAYGGMLLLQHTNLRLLKGHRYGLCGRNGAGK STLMKAIASGKLEGFPSQDVLRTCYVEHNQGEDADISILDFMVKDPTIASEGRERISA VLEEFGFTSGPEGRQSQKVGSLSGGWKMKLALARAMLQKADVLLLDEPTNHLDVANIK WLEEYLKSHTDITSLIVSHDSGFLDNVTTDIYHYEPNKKLGHYKGNLAAFVNVRPEAK AYYTLSASNVQFKFPPPGILSGVKSQTRAIIRMTDVSFTYPNAPKPSLSGVTCQLSLS SRVAIIGPNGAGKSTLIKLLTGEVIPTAGKVEKHPNLRIGYIKQHALEHVEMHLEKTP NQYLQWRYQHGDDREVHMKQTRQLTEADKEQMDKFVDVGDGKGKRQVEALVGRQKYKK TFQYEVKWRGFLPKHNTMISRETLLELGFQKLIQEFDDHESSREGLGYRELQPAVISK HFEDLGLDPEIANHNEIGSLSGGQKVKVVIAGAMWNNPHMLVLDEPTNFLDRDSLGGL AVAIRDFKGGVVMISHNEEFVGALASETWNVVDGRVTHKGQNSAALDRFEDSKPASAV TSGLNTPMGMSEPSTAVNSGVEDNSLGVTGESMTFKAKKKKKMTKKDLKEREARRRLR HIEWLNSPKGTPHPKDTDDEDE NEUTE1DRAFT_104390 MVVQAPMLQEPSLVPRVRGNVGMSVKDGPNPGKMMIFNTSRFLV LIWQEIGAAAVSRKVVASRERNDQAIVVVEPLMTDGALFTRRGLPRSSRNQLFLLRAA PEISKRNADDAKPMGLWLYGPTRASFGSPGTRKICPEPQPPQRH NEUTE1DRAFT_118376 MDNDHREHLDPRASGRHNFDSGVESRASQRSNEGSNENPFASPI PTRPPSSFDTSSNSGGIGAHHEALPGGQRYFHSRRVRKGEVEKPWAEKKDPKEKWVTI IPLVGIFIGLAISGFLIWDGIRSVVKHKYCLVLDEDWSQGIRDSVWQHEVQLGGFGNG EFQQTTKDNAYIEDGKLVIKATLQDDKLLLSDSKVDLLASGDCTSTVYRDCVAATNTT VGNSSIVPPVLSARLNTRLGASIKYGRVEVTARLPEGDWLWPAIWMMPVNSTYGQWPQ SGEIDLAESRGNNYTYPQGGNDIISSTLHWGPSSLSDAFWRTNVKRQALHTTFANKFH TFGLEWSEKYLFTYVDSRLLQVLYVNFNKPLWQRGNFPQVDENGTRTTDPWGYTGRLN TPFDQKFYLILNVAVGGTNGWFQDQSNGKPWVDSSPNAKKDFWLAKDQWFPTWKSPQM EVEKVVMWQQCDGHEEL NEUTE1DRAFT_88708 MGIGSYFKAKKPEPAGQQHAASTPSRGRQPSTGNTQAGQDDGDI LAPPQILYGSRSRSATRSMMSSTSSVILEDIKHEVMVNYLYQQQCSYLWVANGSGEIE GVLLRKSRGQYMACPPALGNSPFAMACAALNVQCAMTVNSRVIKTFLQWSPDAVDVPL LNGLRVQILPTIEDLPRARKHQFAAFIASEGLLVVWDDDALHLIPRAKEIESELMQLV WKTGEPGEMDEKANPIVGATEIDEESGEPRPEARPVHLLNTYLVSITMAVVTVSLGAA WRQLAIEVMVDGDYVRLALVALAPVQIFFTLFFAQVIIGCLAQIFGPIKQLSVNSRFY SAKPPPRLQTAVLPHVTVQCPVYKEGLSGVIAPTVKSIKHAMSTYELQGGSANMFIND DGLQLLSEEDRQARIDFYADHSIGWVARPRHGENGFQRRGKFKKASNMNYALMISCKV EEKLAQVPRHSEWSQHDEAQAYERALKDVLEENGRAWADGNIRMGDYILLIDSDTRVP SDCLLDAVSEMEQSPDVGIMQFSSGVMQVVHTYFENGITFFTNLIYTAIRYTVSNGDV APFVGHNAILRWSAIQQVSYEDEDGYEKFWSESHVSEDFDMSLRLQCNDYIIRLAAWA GDGFKEGVSLTVYDELARWEKYAYGCNELLFYPIRKWIWKGPFTPLFRRFLFSNIRFT SKITIISYIGTYYAIGAAWILTSVNYFLMGWYNGFLDKYYVDSWQVWFSIILVFNGLG NVALAVMRYRVGERSILGSILENFKWTLMLAIFLGGLSLHVSQALLAHMFEIDMTWGA TSKEAEFSNFFIEVPKVLKKFKFSMLFSIGFIIGMVILATAPFIPHSWHITDFVAILP MATVAASHLLLPLALNPALMTFSW NEUTE1DRAFT_141290 MCHKTTTIFACSCVLRNHRSCSHSRNLVAANADNVGQGNIASSS FEYLYDHINQPANANTASEGDSNKDDLNAASQDSSAAPTITVAVSTPPLSPSGTATPQ ALNAGASPFSPASSPLTSTSSAGATIGSSTIRSPSSVEVDTPSASIPTTPSFSISPNP TTNLWASASAGSPASFSSENFDTIDTPIAPAHSSNFTFFGHSASASGSASSSNNNNNN LTNTGHPSENYGMIYSPIASTSSLSLPFYGHSTSGTGSASSNNNNNNSNNTNIGQDYP FPAPTNGTLTPSALLFAMAAFASQQALKPNLEELIKNTLDEIRSSDTRDALPSGFYPR HFGSAQRHIHWNETEIKYVDCAGFLSTHLTELGTRGMTKEERNRECENCPTHFEFEDK VEVELGLCEECEGGHGIDMETAALMRKMTAGQGQGQTHHNHDHSQSQSYNLLPDYNLP DPALDGQQHHAHGNVQTHRVYAYHSQQQQRGVDGDGQMTLPTMGFMSWSDQLGPGVVQ TGGRMHVDHQQGSHHHNQPSNMLVGGHQQHQQHQEMEEVENEDAVSSLHRELGYRGDY SEYPEPLRRRILGVLRSTYPSPSPARTEQSAEPEEPEYQPENDDGMALNGGRPL NEUTE1DRAFT_113419 MCYKKTTIFACRCFLRDTSACPHSACLAEGEFERSRMEQLAAEM FARPLILPSMSSSALPPGMAPPPGFAPPPPFSPNSASSASSSPGPGNTATSTNTNTGN TINASAPEFSAEHEHDRFPSPTAPAQESEPPRIIDGLFYERKMGQVQRAVHWDVWRTE WFPCAAYHSTHLDTIINSDEEYMNCPEYFGNEDKEVKMLGLCEECRDVHADALRGMHQ TQNGFGSNLEGGNRGDGQGQGQGRGQVQNHGQERQVEAEDEMEQGIRDMMETLNRWMD ME NEUTE1DRAFT_88709 MAGGADTTVKIETATALNQGVGYGIVIGLGALFALGMIFVTFIL KRYNRELQTSEMFNTAGRTVKSGLVGSAVVSSWTWAATLLQSSGVCYRYGVSGPLWYA SGATVQILLFATLAIELKRRAPNAHTYLEVIRARFGTLPHIVFMIFGLMTNILVSLML IVGGSATINALTGMHTIAAIYLLPVGVVAYTLVGGLKATILTDWIHTFILLLIIIVFA LSAYASSEVLGSPSAVYDLLVKAAAAHPVDGNHEGSYLTMRSREGAIFFVINIVGNFG TVFLDNGYYNKAIAASPVHALPGYILGGLCWFAIPWLTATTMGLSGLALESSPRFPTY PNRMPEADVSAGLVLPYAAVALLGKGGAAATLLIVFMAVTSATSSQLIAVSSIIVYDL YRTYIKPEASGKRLIYMSHVVVCAYALFIASFSVGLWYAGISMGYLYVMMGVIISSAV LPAALVLTWSGLNKWAAALSPVLGLCVALVAWLVTAKKECGEMSVACTGSNMPMLAGN VAALLSPVVFVPVLTLVFGKAKYDWKSMMAISRGDDHDVAGEAGVDLEEVPGGREESE REMKEEQKKLRRASKISKTMTAVLTLALLILWPMPLYGTGYIFSKPFFTGWVVVGIIW IFLSFIGVGLFPIYEGRETLVRTCKYIWWDITGKGVKAIHADQARHAGEVVVTEGKTP GDETPEEKSVKGEKVREGIDSS NEUTE1DRAFT_52178 MPPTSISLPSHVARPSSTKTSSVTVTSIPSPDTEPNLCSFCSQI DFPLLRYPTTTDLRSLNDGNPAPQDLSPFKRNPYARVEPTWSLGLLSRIHKSSASCDL CHALCTVLDQQPQVRATLTEMGVQDPLVLGTLALCGRLSAPDGTSWLEHDDKHGGLKE RDCFFLRRLGLLFRPADKDEDVNEPGMPKKIESWYSTMDIFQTMNTAIPRGFAEDKKG VLMGDEEKPETVWFAGRKRPEMIDIGLIKQWLNECLENHKDVCGISEEVDEDDDPELV EQMRRLGCIVTKYNLYDVDMSALKFASLSYVWGVTPQKLTLLIENEYELHRPNSLVGK VSETISDAIHLTEKLGLQYLWVDALCIIQNSEDDKVMQLGNIANVYAHSLFTIMAAAG DDSNFGLPGIRTPRDTVQEVVPVIAPSENNPGMSLITALSPTHQSHEHPTRKTIWASR GWTLQERALSRRAIVIMKSHVLWSCSRSHYSEESCCETATLGSLAWFGLQESDPILNS SERTWYTEDVPEEQVWYKFQRLVQDYSNRNLKFQGDALDAFSAVVEQVRRMTGENFLW GMPSGRFELCLCWEPYRRGLKRREELSTLEMTSFKRHVPFPTWSWLGWSGAICLKVQD RELEVGLNPKVVCFVLRNHPLRVFPVRRISVDNGPERANSWAIPNINDSKYSVTLDDI YDNLPSMTPEVLLDIPDDQLIFFWTESARFKLSELKVQGPSVLQENPLQVKEYTKYYQ EIIDDDGQVVGRTHPCDPKAQDIGVDEKGDGKCEFIRIANNYLPFCDPEKLAMQVRRG TGKYNRDVRYRVNLAEIKKEAWKQVYEKRVLVALG NEUTE1DRAFT_70036 MPAATNDHILTLSCPDKPGIVHAVTGVFAQQGHNILDLQQFSDP VSEKFFMRVHFGPTPTPSTEHLIEPFNKLATDYQMEYKIRPVAQKTRVLIMVSKIGHC LNDLLFRAKTGQLPIDIPLIVSNHPTFEPLAQSYGIEFHHLPVTKDTKAQQESQVLEL AKQHGIELIVLARYMQVLSPTLCEAMSGRIINIHHSFLPSFKGAKPYHQAYERGVKII GATAHFVTADLDEGPIIEQRVTRVDHGMGPERLVDEGSNVESQVLAAAVKWYAEQRLF LNNGKTVVFS NEUTE1DRAFT_70039 MVQPSAAANTAAGASSSSSRPQTPRTPRTPRAPRPQRTTSSGSF HAGAPFPQFNSPFNDHGRRTSVATIRPIRRQSTHKYHTFPTQPPKTPSSPPRNDPWSA YAQQRRDGEHGHDDGSISETSQGEGDAVTPLPWKQLGLLALLSLAEQTALNSIGPYLP TMVASFDEIPDGDEGLYVGLLASAFALAQLVTNLFWGYLSDRIGRKPVMLTGSLLLMG CFVCFGFCKTYVQLIMVHVAMGLLNGNAAVVPTALGEVTDRTNQTRAFTWLPIIYSLG SITGPALGGLLVGTVGADKYPFLGPNLMSAGFLFVAVLVLAIWFRETLEKDEEEDASD YTTMFKKARTLLAGCLGRNNKSNHRNDESDALLGEHGDATSAKDIASDQKSAFRQLAN RTTLILLCTYLVFQLTNISYNSLYPIFASAPPPNGRNLGPSTIGLSLSLAGLATIAFQ AFVFQPLKARAGNMGTYRYSLLGMAISMALMPWIGYKDQKDTWFGIGSGKAWLYSELG VILLLKNICAVGGLSSVMLLITNSAPSHESLGTLNGIAQTLSAAGRSVGPFISGGLFT LTNHVQPKGEAIAWGVFAGVALLGWFGTLMIRGRELESADWQGDEEDEGHDEEAAHDS DR NEUTE1DRAFT_50693 MARFALTALAFLLLEVLAVNAGDDNNDNSPYYGGDDNYPVYGGD DGDCDNNPYNFTFLADSGVFGPPLEVVHAYFGEWPNGVSVSSTGRIFSNFPAIVLGNV NNGTPGVFAVAELTSPSTEEAYPSEDINTPPCPGGAVNLTDPENPVGCGSPDHFISVQ SVQVDTEDRLWILDTGRPIYTLPDGSVILVNSSYGGPKLVGVDLSTDLVFQTILFPED VVFPDTSYIDDFRIDLNASLSNTTGEGVAYITDTSLWGDNAIIVVDLGTGDSWRRLEG DPSVVAEPNFLPFIWGQPLYFTEDPANPPDGNLTTTTPAYLPAGVNGLTLSADGETLF YADLAGRDLYSVPTALLRERDDAVEAELAAAVVNYGNTGFSDGMETDPETGFIYKGSI EANAVVAFDADTGMTQTVVRDPRLGWVDSLSVAELEDEETGETQSWLYISSDQFDRSW WFWAPGAHSGDIQERPFGLFRVPLPLPDPDCEFAGASNY NEUTE1DRAFT_70042 MTITLRPATEADAPSIARIATAAFADSLSPLIFPRSQLATATVT EADLTADEITWRTSMYIKRMREGKPCVVAVQVDESTGEEKEILGSAHWQKPGPEGPEV LGVEVRPATYDKDMFAKVVERFTAKDKETLGPRGHEDYWYAILISVDPKQHRRGIGRK LLNWGLEYARKEGRDAYLTATDAGVPLYKVCGFQSMETYDCYGVPLTSMVWRLDKNQQ NEUTE1DRAFT_104400 MNKKKSNQTTKWGTACAQCAAAKAKCSRTSETRGSKCDRCEGLL KTCTKRIQGPRKQRQSRTTRFASSSLYVDTDGSLSPPSTTSTTDFTSTSIGNLVADIS LQQAPLRSATSSPLLSITLFPPPAPGTSVCSPSSPTADEDAVQTFLTKIQPSFPFILV PNNVDSQALAVERPVLMSAIRLAAATTFTATTNVSESTLLSQVYQFVNHVSSMVMLRG ESTLEILMAAVMVLGRWRWWCEQHRGGFDSLLGIAKGLVKDLGLNRNPRQTQEKEERV TDIEKRLLLGVWYLRSCSHQQPSRLTCSNASKIWKCRASLPTKCSFKPSKSNILRREY KN NEUTE1DRAFT_32966 YLNNILIYFKIFIEYKKYIKKVLNILYEYKFSINIEKSEFYVRE TVFFKYLILENEIRIELNKIKVIRNWLIPKNAIKVYGFLGFINFYYILIRDYRKIVNL LYEFTRKE NEUTE1DRAFT_149160 MQNFREIRPASIPTNGGHDAEGVAAQPALQPRDHTEQQQQQRHT HNLPHVVAPGFSVAAGNTGLPISVQGPQRLESIVLPRRKRSVVQACRDCRKTKAKCGG QRPKCNNCKAKGRQCGYDGEEGQSRYKAMKSRLALLEKTMQQLRKATAEQAVRLIEEL KQTPDDFFTPMAAVDEDENMNTPTGTSPSTVTTSVGNISTASGSRHSSGQSMTGLAHQ EQEEASPFRDRASISTEPPILSPTPWQLWVDNSSTAVNPSPGGASSSSDGRRQSFPSA AIQIPIPDLETTNAAINEYFIRIDQLFQPFSRDELKSLHRIASGLDDSTLNSAPMDRK RQQQIALSCLTAVASLGSQCLMDAQTDSRVQEQEAFTNIARHYLDVVIEHAPLDAVRV CALLAGTVIMNKPGAYRMNHDAAQKQAQLVEAQQAQRHHQTLAAAAASSSSSSSSSLQ AQLALSPPVLPHKETIGHGRSWRTLVFLASWLSSAHGYIIGSDLLVERQLSPEAEPPY PENADLVEITEIALTRLCYIKSFILRLRASNRDLTPHSIRHVLHSLRHWYHTLPSAIQ LNFHDLSRFSPAGSPFPQTTSGVSSGSTSGLLETHRSIHHIHILYSDSITLLYRWLIS QSITCRLHGNHEGHHALDTPLRELLLEHADDAIRVAGLSAVVLRKMIHNDEEILMPSW TAGFQAYTSCAVLLWSVVGKMINSIGLYPSQTTSRGTGGETNSMDEREYQDRYGWHGE LERVRDCLVILELCARLGGGRDGAERWWRRMRAFLAVVESSVTYRLWEQQQQHRQQQQ QQRPPPLALEPQDRSYLLTLPSPHATMNSSGKPVAVDYSLARVSVSLIMLLHQSFGAP GSQKLEGVGDEEFAAGDWGQHSSRRGSATGADENENGNGSANLLSGLLDLESEQASIL EWLGWNLPVSGGIGLNGNGDVPVYPVISGGYQGMNQDDRRGSGGARTI NEUTE1DRAFT_132325 MSSALDVGRPKTNPSAAPSSTTSPTSSSSSSSIQNYRPRAAILG AGISGLSASISLRRAGWSCTIFERSLFSNETGAAITLPPNASLCLSRWGFDFNKAEPV PNWSIRFARADTLEVVKSEDYKGFGEDLGVGGEKGHRGSWGVHRADLHWGLRELVGAQ RVKGAISKSKKKTRETEGKVDGGHGTKRVVDGGPVRIELGREVVGLDCEEGAIEFRDG TKLEGWDLVVVADGAHSKLLPSILPSSSTPSSYQAQPTSRSIYRFLLPLTPSSPFLTN PSLRPHFLPHSQGGPLPGFLSWHDPIKDVLWVSYPCRGGRVLNNAIVHDTPPQPSGEA GESSPPGRGWSTAVGKEEVLKVLSGFHGAVRALVDLAYGEEGEEKEGEENGRGTGEKG IKVHHLFKRPALNSFVRGKAVVIGDAAHVMMPTHAAGGAIAIESAATMEVLFRDFPSD PPQLEEFVKRRLQLFDQLRIPRCNLTMLASNAGPKWLNVEGVEEEARRYYKGPLPHKD TLPWTKEFREVLFCYDAFEAAEEEVRKEEEAVGAASRSEAMGSELKAGNM NEUTE1DRAFT_55374 MKFLNLITFTARSLWSFAALGKPHLNDFPVPDRQILGALARRQH QHLGLDESHEPLHIRQTTTGRCGANYGRCPDSLCCSEYGYCGDTVDHCYPGFDCQPAY GVCGWPRPVATTTTTTTSTTVRPTSSTSIITSITSIRSSTSTTGSSSSSSSFVPIPTG IITTNGQCGNSTICPGITDAGWGPCCSRFFWCGSGPEYCGAGCQSAFGLCEDDSGSPG TTSTSTSTSTTSTTTSTRTSTSTSAGPTFTLPPGQVPSTDGRCGNGQNCLGSAYGRCC SQFGWCGDGDQFCPYIVGCQPEFGYCDPQPATF NEUTE1DRAFT_132326 MPATTTSAPSQTPGQTPVVNITAAHIIKCANPKSVDHLPSPFRL GPLDHFAHTAVPTDLVYVYEKPDFLQARDFIPIARLHDAISTLLNYYPHLTGRLAVDP SNGVRSITKLGTGVSLFEASCDQPLSPSPDQDHIEMTNLPGAGEALIPPSFPTEAMLA TTAHWDPSFDEEAGIQRGPLLLIQRTQFKCGGVAIGYRISHAVCAAEGCIHLYQDLCE IYRKLSRGEAGILDRLPHIISFGADRITTPNEEAKNHAMEHPPLGYLVSSQPDEALGG DADKHMKSTVSSDTAVQEHPIAIADTYLHYSPFELANLKIKATNPNDPDSWVSTFEAL LAHLWQQTHQARVRAASDQAHRMPTGDPKSVPTPSFWTNINFSHSNRLDLAGQSKGMG KSHYFPNAVLPVFLTVAEDDTIDLYDAPLWQVAKLVHEAIRHPETASTEYALAVTNWM CDLPDKRLAKVDFNLFARWCFVNTDWSKYELYGERTALDRVEAVLAAPPFTAISRLDG FTTFVQARERGGIDVRLTMNKAASGYLEG NEUTE1DRAFT_149163 MGAHAPVVSSTSVVEIDKTPPPSQQTTFLLSPPSEKKAGLHPVS VSITPIPPPTPTTKYTLSHTHSHQSSTLTAVGRGSSRGIMAPFANMSHPLRTNLVFGI GEFVGTFLFLFFAFAGTQVANTAPANAAKLPSTSNLLYIAFAFGFSLMVNVWAFFRVT GGAFNPAVTLALVLVGGFPAVRAAIVIVAQILGATAAAGLVQVIFPGPLAVETTLGGG ATVAQGFFIELFLTCELVFVILMMAVEKHRATFAAPVAIGLSLFLSQLVGVYFTGGSL NPVRSLGPAIVNRHFPGYHWIYWLGPVLGALLACGFYKLLQALRYHDINPGADGDGRD DLEGARHAHQDGLKHTPTNLTQPLANGETAALHNQPAQVPREEL NEUTE1DRAFT_149164 MSLSSPEILTAYDAVRSDRSPENWLLLSNASPTTQTLSLKATGS GGIPELLSHLSPTEVQYAYVRIQYANDAESVRVKFALIIWIGEQTKVMRKARVSIESG EVKRVLAHHSITVDASEVRDIDEEEIVRRLRKAGGADYNGGRG NEUTE1DRAFT_149165 MAAHDPSKQGLLGSTSTEHHADYSSDSDDNVNINTTPKRPTPRQ KQQRTRRTRPTNPALPTFQPLPTRKSWLARRSRYCLIFAAFGLILFVILLVGGGLGYK AALQEPPYGLSPPWYPSPKGGIAGTWYESYQKAAKLVSKMTLAEKVNITTGVGWQMGL AVGTTAPAVLVGFPALQLQDGPLGIRNADNITAFPAGITVGATWNRQLMYARGKAHAI EARAKGVNAILGPCVGPLGRMPAGGRNWEGFGSDPYLQGIAGAGTIKGIQSEGVMATV KHFIANEQEHFRQPWEWGLPNAISSNVDDRTLHELYAWPFGDAVKAGVASVMCSYNMV NNSYACGNSKLLNGILKDELGFQGFVMSDWLAQRAGVSTALAGLDMTMPGDGLRWANG KSLWGKELSKAVMNGSVPVERIDDMATRVVAAWYQMGQDNEEKWPRDKGPNFSSWTSE QMGVVSPGSPTEQQTVVVNQFVDVQANHSVIARQVAAEGTVLLKNEGPVLPLSRAGLR KRQDANNTPDRVKIGIFGEDAGPLPGGPNSCPDRGCNQGTLGSGWGSGAVEFPYLVTP VEALRKQFDSSKVELHEHLSNQLPFSLSEKAVIDDLDLCLVFVNADAGEGFKAWENVR GDRPDLFLQKNGDALIQEVAARCGGGFSDVVVVIHAVGPVVMERWIDLPQIKAVVFAN LPGEESGNALVDVLFGHVNPSGHLPFTIGKSLEDYGPGGQVLYLPNGVVPQQNFSEGL YVDYRWFDKKGITPRFEFGYGLSYTPFELGNATVKTIRPKSALPFPRLDALVEPPTYS TDIPPVEEVLWPEGDKEIRRLDKYIYPYLSADEAHNAVASRKGGKKYPYPDGYDTPAP LSQAGGDEGGNPELWAVYAQVTVDVRNTGKVAGSVVPQLYLSYPDSPKESANGTVEVD FPVKVLRGFDKVYLEAGKSAKVEFNLTRRDLSYWDVEVQNWVMIMEGEYTFHSGDSDW QPPLHLTPSRQSSPGLDREAVHTLQEWPPQHAQQPPASASRSSSSSLQYSLQDTPPFD FDFSCDLLTDYDFLHQQQQQDFNWADPLLFPDQQDLTSLAFPISTTTTTTTTCDTAPT STTVSPFAPTLPLSVTPSPLLADTNDIFQQQGWADPSPSAPPQPPMHLSLDHHHLSSD DPYAAASLSHVTDTLSTTMGLTMPSGRNTTTLGMDLYRTASNNSGSSSNNMGYSQLNA ATSSSSRDHSTTPPTSQSSGSTSPTASTSHHGHGGQGHLYPGLTLPSPVDASSKPKRG RPPGPKKRALSPSVAAEAELTDSEDILIKRQRNNIAAKKYRQKKIDRIQELEEEVDQI KKERDELRLMLAKRDAEVGMLREMLVMAKQGR NEUTE1DRAFT_88736 MLGHQSEEAYRRSAANTLPNELHHRASRDDDEKPEETNPELSTS SISHHGDGDGDGAWGERDVGGPVDREAAMQEFQELQLGLSRLSRERSTASRPSSRPAS RASRASRATSGGRPDGLFKRLSVAVSNAMGDGKPQDQDDSEDEGDNRDVSSSERNSGD DFHLEQFMRDGHLEKRNEAGESTKKVVHYGGISAEEVAKKYRGEVVYNGEDDIHLPTL TVEQTLKFSLLNKTKKRLRGDVELIITALLKMFAMSHTRHTIVGDAYVRGVSGGERKR VSIQESLATKSSVVCWDNSTRGLDASSALDYARSLRIMTDVSDRTTITTLYQAGEGIY ELMDKVLVIDEGRMLYQGPASKARQYFIDLGFHAPPRQTTPDFLTSICDPNSRQFRPG WEDRCPKTAEELEKAFLASEAYQDVLADVRDYESHLQETNHADTHAFKESVVSQKSRR VSKESNYTVSFFKQVAACTRREAWLAWGDKQELRTKFFIIIGCALVVSSLFYDSPLDT SGAFMRGGTTFYSIIFLGWLQMGELMKAVSGRAVVERHKAYAFYRPSAVNLARAILDF PLLLIQVIVFSLIVYFMTGLDRDPGKFFTYVLLIFTTTYCLTALYRMFAAISPSIDDA VRFAGLALNLIVMYCGYVIAKPVMLGQKIWFGWLYYVSPVGYSFEAVLTNDFHGRQMQ CSPGMLVPQGPGVQQQNQGCTLPGGMPGDDTVNGDAYLAQQFQYTRGHLWRNWAVIIA FSVLYLLVTVLATEKLSFVGGGGGALVFKKTSKAKKNLTLGNQGQHDEEKGSSRGSST DDIGKKTPQGPSRKDMDGTIEKSQKVFTWENVTYTVPTPQGPKRLLNNVTGYVKPGVM VALMGASGAGKTTLLNTLSQRQTVGVVTGDMLVDSKPLGTEFQRSTGFVEQMDLHDES TTIREALEFSALLRQSRDTPRAEKLAYVDSIINLLELEEIQDAIIASLGVEQKKRLTI GVELAAKPSLLLFLDEPTSGLDSQSAMSIVRFLRKLCAAGQAIICTIHQPSSDLIQEF DKILALNPGGNVFYFGPVGENGSSVVNYFAARGVECPPGKNVAEFLLETAAKPHKRKG EDGKSRRIVWATEWKESEEYRQVLTEISQIKKARALANQLTLANDQAQPVQHEFAAPI PTQAWLLTKRMFIRQWRDPSYLYGRLFICLIMGIFNGFTYWKISSPHYSRPLSLTDLQ NTLFTAFLIIMIPSTVLNAVLPKFYMSRSLWEAREHPSRIYGWVAYCTAEILSEIPGS VVAGTIYFLAWYLPTGLPRGAGSTESESAVYVWLMSVAFMVFIASWGQWICAFAPSFT VITNVLPFFLVIFSLFNGVVVPWAQMNVAWKWWLYYINPATYWIGGNMAAVLRGQTVH CADNEMAVFRPPVGESCQSFAGTWLSDVGKGYLTTIGVGNDGGFECGYCPYADGEEYL ASLNVKSSQMWRNFGIFAAFCVSNWALVYFFIYTVRVKGWSFGFGFVASVVGAVVSTV KESVEGLFGKKEEKEEKK NEUTE1DRAFT_149167 MVGTHNLQASKLFNVDGWACLITGGGTGIGLMNAQALAANGARV YITGRRYGVLVNAAKEHSPKEGNGKIIPIGPCDVTNKESLESLVHKFSSLEPHLNLLV CNAGISGPKADPSVSSSAKDIAHELWTKENFSDWSSVFTTNVSSVYFTTIAFLPLLQA CSAAHPEGHNHMAGNVIVISSMSGIMRNAQKHFAYNASKGATVHLTKLMSTEFEKTGV RVNSIAPGYFPSEMTTGESDEKNKSELSDDKVKGHGHKVPAQRAGHDEEMAMAVLFLA KDRYVNGSVICVDGGVLGVVPGN NEUTE1DRAFT_132332 MVWSAPYIGSRPVTILGGGVLGRRIACSYVAGGFNVHIRDPSPQ ARDDALKYIDDNKEFYTTFCPNGKPAPYGTYTASADIETAVKDAWLVIEAVPEKIELK IDTFGQLDKYAPKDCILGSNSSSFRSGLMLDLVSDERRKMVCNVHYTMPPMVRTVELM TDGYTHQEIFPFLSDVLRLCGMLPATARKESTGFIFNRIWAAVKREILTTLAEGVSDA AEIDALWAHMFQTSVVPCQMMDRVGLDTVAFIEDNYINERKLDGSLTVDWLRNHYITQ GKLGLKTPEQGGLYPPSTVVAAAGTTTAEKQMPPIFVLDVGLGANSPAYPSLAAISTN GKILRLDAPNQPPKALVTGLPLPDGIDVDPSANRMYWTNMGADVTAKDGSLMSATLDG TDKKVLLGDGILTTPKQLVLVKDQESGTEKLYFCDREGCSVHRCNVDGSEHEVLVQNP GGVGDLMDWCVGITVDLKQRKLYWTQKGPAKSNLGRIFRANMDYDFLAAGETASNRSD IEVVFDKLPEPIDLEMESETGVLYWTDRGEHPRGCSLNCAKVSGNGEGDMKEVKILAR RFHEPIGLKLDLARKQIFVCDLGGSVYAVDVETGKKTVVHRDEGSYTGLVTLPGV NEUTE1DRAFT_104412 MAVTFTLDDVRKHNSKDDVWMVIHNKVYNVTTYLEDHPGGSIIL REVAGTDATEQFVEIGHSVEATDILKELYVGDLAEEEHAEEVEIFRPTYEKVAMEAAV KVEKATVSKVRKSVRRTIAMSSVIASVGAVGLAKGWWTGPEWALAAVTTAQKPINQLA DFIRSKFAQNGSSSKLFWWGVGIATVVELSLATAATTWALSKFEAQREFTHFPRSRPA KKERKVAILKIPTNSTSGPAVKPPVKVMDPADWRKFKLVRKVLVSPNVYHLVFALPHP TDVLGLPTGQHVALRALIDGKSVSRSYTPVSNNSDLGRVELLIKVYDQGLMTKHLERM EIGDQIEMRGPKGAMQYVPNSYAKEIGMIAGGTGITPMYQLIRAICEDESDKTKISLL YANNTEADILLREELDGFVKAFPEKLSVQYVLGQADENWTGLRGFVTADMIKDFLPPA ADTTKMLLCGPPPMVAAMSKNLVSLGFTAPGTLSKATDQVFLF NEUTE1DRAFT_28699 MVEFRGPCLVFVRYVGQVAAITNRMLQKSNCQAFRVATKGGDET RRYPSSVDRLLFSSTNAAQGDSPS NEUTE1DRAFT_141310 MSSQTFFLVGDEPSTARGIVVDPKWKLEELKRAVGLAHHIAVPT GITFHFDADDEQELKTVEDVLRASGPVACRIDGNPVRDPQGPEGLPIVGSYYEIFPDH LGNHYRLFRKYGHVIKTTNMGRTNYLTDDPAVALVALAESAYFTKKITEEHPLFGIKD NSAIFIGDTETENWRLAHKFLPPAMGPKAVRHYTSLMQECVRGSFKIFDELDSRGESW NVYQFMVKLASQTVGKFAMGFDYHHFDAVDTPLHSLVTNIVKLLALNKKVTARGSWYQ QLPFGDPARLREIRKITYGQLAETIESAPKSGIENLPLNEAATKASCVADYLLNAVDE KGEHFPKGLILSNMLVVTGAGYTTTSSLLSWCIYCIVTYPKMQDRLLQELIDHGINSE TDWDPDLAHSLPFLDAFLKETQRLHNASFQPGRTTKTDVVLPGGFRLPENVIMIPALY AIHTNPEHWHDPFRFDPDRWDTEEVKSRHRGAYMPFATGPRSCIGFNFALLEIKVLLS ELVYRYKFAREGFEAIEYDPEFQLIRPLNLYVTAKRRTEWPAKSSA NEUTE1DRAFT_125096 MDPRSARPSTTTPGASNPFPSPSPSLSVAHIPGAGVPTIPVDVV SSDGINGYNTALVTELLRHKRKARDVKSCFPCRHRKVRCDGGLPCSNCVKRDHVSLCR RVTTTPGASSSVTTKSTVTKRISSSVAATSPRSVGGGDPYNAGSNGFATFDPTTTGQQ QQQQQQHNGYLDNSSPDVGVNGCILERLENIERQIQALKSDLVQRRSNRAYQNAGNQQ PYAQSDAQTPSVSQYSEHQHHHHQQPDTPDLLPRLDSLVATTTTTYGNHHSASHDNRK PSSTQARYGATPPAISQLEATSLFRGKHFVEEATGATIFLGRRADPPLSLGCFQDPAP LFSPGGDAGLNGQGGAGGVRHHQVQLTPRTYPFTNLWTPEAKLGDVCRTLPCQSDIIR YWQAFETYVYPFYPILMAPDEFRASIYAFLSRQPMMSRAQQQEAEGGMGNGNGMAGGP TMSWIEENTDPSWLALLFGVLACGTQFSEDTAEERRMIIWQDTFLSLTYDRPPVATST ILHNDMLGTHRHLASSRSSSVNPDPTKYTFTETVFRVCLVVLDWTREGTATAQSPTAL FGTEKDRRYSQIHSLLHFKRQFELVLQDAVQYLRDDSHCKTRQEHLERLGLQIHGASA LCRMYRSCVNSLREDRGQQGGYDAVGIQGQEEEQLTSDYAMYATEAVRGFLDMYQLSP TVCRSWPFVHNAVSSAVMLREILVGGGPGGSSTPTSSHQQHQQQQQGSGMQALREEWT RRSEPLVQKLIAVLEKEERERNTRWTGLAL NEUTE1DRAFT_70070 MSGYDDDFENRGARRAHGGPYTAKHPVPTVQGYREHRAEIKAQE EQDAAQLQPDANGNANEPQSKTRRAYDAVKAIHKNEDQQGDQDGNPYPTTNRNEPDAP VEDPGTQQQGVYGGQPNSEENNNTQHGNGQQKQERQKKDQEKSATETVAATVDPKEKR KVMKKQQPQAHGREVTDPVTHLPITIHDMTDKNLGSVPENFPSVGSDHRTFTGPDAGN KSQDHLQDETVEVGQAHQGMHKLFPPPDFEETKQQMMETYRKALTAGLTGLSVVGMLG LGCVSLLGFGSESSKTSVWSYLSLLLFLSLAGAGAYVLIQGLSGWLEKRISSIFEEET WAADRHAEKTISKDGAPLPESAAWLNGLLASVWPLINPDLFASIADMLEDVMQASLPK LVRMVSVDDIGQGSEAFRILGIKWLPTGAAGQSVDDQGRLKDAGDNDREVKGEGETQG GGGGEMVQEGMEAEEGDFVNMELAFAYRPRSSGKSITSKAKNAHLYLKFYLPGDLAVP VWVELKGFVGIMRVRLQLTPDPPFFDLCTLTFLGQPRVNLSCVPLSKHLPNLMDIPLI SSFVQSAVDAALAEYVAPKSLTLDIKDMLVGDDFKKDTIARGVVAVYIKKARGFKCGD GGFGPIEGSSDPYVTVSWGKVGKPMFSTRIIIDEQEPVWNEWAYVLVSPDEINAQENL RIQIWDSDKHTADDDLGRVEVSLKELMHSEETKNKMHNREDRLMASDPDETMPGTISW AVGYFAKAHIQQSQVEKQTFDPSIRSLDSLKQNVHDTTKSKLREASLSKDMSEEKHQQ EAQDLKAKEDQMIISSPPLPTMPSGILSIQIHNITGLEITSLNEDRNRSTGADEVKED AAEQSDSLPDSYCTIILNQQKIYRTRTKPKNAKPFFNAGTERFVKDWTTCEVIISVRD SREGEEDPLLGVVYLPLRKVFEHRSQVMETFPLAGGIGNGRMRVSMVWRGVEMGEQVM TKELRGWDMGTVEVKGGVKWVGGGVGDDTEGRTGELERLSGCKLKLKTKIGKGKMDPL EGKKGVWVPKRRHVGGHGQQRQPGDGISQGSIFLGVVKRYQSMLVLQYKDKHSLLPDS NPALAVLWLPSIPDEEDVTVRLPVWKGGKKQLKRASTCADYNGLEEGEKPLGEVEFTV RFWRGLSGYHRKYASKPRNSDVKAVMEVLDTVCDEKMHEDDDDGAGGSWSETDSSDSG SDSDSSLSDDEYNTRHNIHPPRHRDTPQEAATRKALRKHGDNTAASSSSSSESDTEDL QDTSDDHHNPVSKATHILKRKISVKLDGDKIGSDHDDGKRGVVAQVQDYKQHRKQLHR KHRGVMQWKAARTLDWLGGKVKKGKGKVMELGEHGDKDTGIETEV NEUTE1DRAFT_149171 MADHHDASPRKRKRAPIEELQSKKPKLNLPPRPPRTRFPLTRDA LRELNRRNKEAARSAPDSKPKRPGSPVPRPAAAADLPARSTSDLERFARHGGPDLSDL RGCHVPAVIPPMSSSVSTLRRRVRGSGRSRSRTATDSATGTTPTSTSSARCGPYDHAF QAHLLNFDVYLHPQRVRQRLPAPDNLDEIRRALAQGPRRSLSPSRSLRTEFEEFELAH ACATNERMVTEHVIPKIQGKIGDIRCMGMEVPFNNLDHLTDGSIADAKPDYYYGALLE QLDERVRTELAGSIIPSTQLEQPILPNFFLEVKGPVGSEVIVQRQACYNGALGARGMQ HLISYGASELVYDNKAYTLTCTYQTGTLKMYTAHVIPPAKPGGRSRYVTTLVTGYSLE GGPDMYRAALTAYRNGRDWAERQRNEAIEHANMKAQVALAGVGTDVGAPAPLDDDDGT PGPTPPGSNNAASSASPPNVDNTTSAPPPSDNDTETSSPPPPGGGDKGTLSSATNEVS GLRPRRERARASQQDKTPTTRVTRGSKSRIASSPANQSDSSVNNSVSPRPPPASKVNK RRRKGSLRRSRR NEUTE1DRAFT_88752 MIQQRRLRAPKLGGGQASMMSSNINLLNTIIGAGTLAMPHAMSK FGVTLGVILIVWCGLTSAFGLYLQSRCTRYLDRGSSSFFALSQITYPNAAVVFDAAIA IKCFGVGVSYMIIIGDLMPAVAAAFGAGNTGWDFLADRRFWITVFFVVFLIPLSFPKK LDSLKYTSLVALFSIGYLIILVVYHYAVDDHSERGPIRLVTWEGPVAALSSLPVMIFA YTCHQNMFSIVNEIKDNSPASLVGVIGSSIGSAASVYVLVAITGYLTFGNEIQGNIVS MYPQSLASTIAKAAIVFLVTFSVPLQLHPCRASIDAVLRWRPGRSSRTQNVYSPPGSG NQPLLPSGGAPGAALDSHGAPVVAMSELRFALITSVILILSYFTAINVSSLDRVLAYV GSTGSTAISFILPGLFYYKISDPESIYHQRLTKEDDDAEYSSSSSSSASDGDEEEGSP GPSGSGAGMRDSIASLRSNTSVGSRLARTLKRKWRWDLEHLETGLLRKMALGLSIYGV IVMVVCLGMNIVLGHGGH NEUTE1DRAFT_149173 MDVSQETSSLDKTSLATISLLESRLRRIEHILYGPSDPPTQAPS SSATASLAQLEHRFNLLIRRFRVYAELLKIHKANPTLFHTSPSAEQKLPPTDLPPAAI LATVLSYASQFPQTASALVAAAPDNTIESAIPDSKLSAELVSLIPRMKGLEAMQLAQE AEIAELRERSEAVMKAWYEKRVLGYGKFVAEGEARVEKCELRVRRAERVREMDAVIE NEUTE1DRAFT_88758 MEHGRNSYRRKGIDMGNIIGDPFALATTSIATLSWIIILFGSIF GFRDQNDGSNGNPVIVWPTYSWFTLVFNFFLILGIFIVIASDSAQTYHVAIVGYLAVG LVGSTSSINNLIYSGVASMEATAAGYILLSMVTIIWIFYFGSAPSAVPRAYIDSFALT KESTLPAHHMSRQTMNHNGLSSPNAYGSYNMRPETSASGLQPPQMYTGQLNGLENPAR QSQIPQGFSSNNIPKPQGEGEIVPPTEYPYRAKAIFSYEANPDDANEISFSKHEVLEI SDVSGRWWQARKENGETGIAPSNYLILL NEUTE1DRAFT_17061 LHSSLNLLSSSLSLLDSSTSDFPRLSSVLKTVRHYELIPQPTLQ AAEASLRDEIGPFIELLLERVDKELERKGRRVETLKARCELNGGRLSNYNREQKSGAG GGIQKKGGGTKEAGSGSGGKKLNGEAALRAKVVRQRKEALKYSVERLEMEVAQKEREL RMRLEQ NEUTE1DRAFT_88763 MTADGTIARGVIQTWVIANLTRSVKGMEEPQRVSEGDIPIAKET SEKEPSNVDNNADVKNAAKPMKDGQNDNNKSDRGAPRIIMAYIPASAPASPPAPGRNN PEASNGGGRPETPNLTAKLNQAIDEYRAREERVGELGQPDEEGYKGDTYSQGEHQGCC DHDHENQFAAHDEQYSPLHLQQGYNGHSSSVNAPGCWTPYHPASSAPLYMQPPFGPPF QQQQQQQQQYAVPYYPPVSQPYSFSFPSPHYFSNPHDYRYFPNPHHHQHFSTTIPPPT HPFAPPARPSHFQSIPPHAHPFPPHHPQSQDPNVLELTQRLHNIQAQLNGLDAAHEVE IWRHGKYNFHRRRAKQLRKIKREKVEEMVTVVRELRALGVEGYGRRHHQPQPQGQQET WTQEMMGWTTAPGWGYGAGFEPGWGGHQMQEQWLGGGYGYGHGHGHGHGQSKVEVEVE HNGKNGRCGCDEDGAHVDSPPTDSAVESMACECPCGCTTVDGEAVSVGEMPGRTRARA LSLDGMREQVEVVEAYEKQHGDGSENKQGESAEKNSSCARAGSWSDAEVVISEELRGT WRKKMRE NEUTE1DRAFT_70085 MCIEHITHDCPACGKDYLVYVEFCKDCHPPLIRCPNGTIRKRLD MNDGGCPSPTCPNSRHGGCILM NEUTE1DRAFT_88765 MALKTLSAKAAAALDRELMSTGAFSIDQLMELAGLSVSQVVARV HPTKQGRRVLVAVGPGNNGGDGLVAARHLFHYGYQPAIYYPKRPKNDLYQRLVKQCED LEIPFVDDFFAALESTDHVVDAIFGFSFSGEVREPFPAVINAMAETKVPVTSVDAPSS WDINEGPPKSGVGSNFHPNVLVSLTAPKPLVKYFKGRHFVGGRFVAPSIAKKYDFDVP KYEGIDQIVEITDNQVKI NEUTE1DRAFT_96299 MVLKLRLARFGRTNAPFYNIVVAHARTARNSKPLEVIGTYDPVP KKDTYDTTGKLHKDIKLDVTRAKYWIGVGAQPTDTVWRLLSYVGILDPKYKKPQPVQG QKTKA NEUTE1DRAFT_118383 MEEDFISRGTHYGFCPQSRYICLAVIVGWAEGCDSCWDFRSTDA TSEKPSQPPRQLDVGSFFLGRNVCLLWRSHEKIVAWKQE NEUTE1DRAFT_132341 MLLPRYLASAIALLASSALAQVTTDCQPLNKTCPADPALGMDYS WTFNSTPKAWAWETTAGTVDFDMDQGAAFTISKQGDSPTLRSKFYFFWGRTEIWMKAA QGRGIVSSVMFLSDDLDEIDWEFVGGEPNNVQTNTYGKGVIESSIPGKHDVPGNAQED FHNYTTIWTRDYLDFYVDGNKVRTLLPAQANNTQYYPQTPMRLSFGIWAGGDPRLSKG TQDWAGGQTDYGAGPYTMHVKSVQVTDFSEGQEYVYGDRSGAWESIKVVEGNSTIKEM ITAPPKQSVSDKWNGMSSTTKTIIYACCAGVGALLLFAAVFVCIRTRRRGARQAALAE AQYQRDRLELEQLQKAGIDPDSFREQAAEYHADEMKKGGMATTTTYSVPPSPPLDGSN NTSSDGQFNTVSAVGAGAAAGAALGATGAVAAASLSQSPRVASPAPAPGQQQQQFNSF DNNMNRVASPALAPNQQQQQFNFFDNNMNMDRVASPAPSIPALTPTIPNVTGGYQNQA HSPVFPPNRSFTSPVPGPYNPQGPMYGGDMNRVGSPAPGGSGGLVHPQPQRSFTAGPT APGFASPQSASFDFNNNNHGGYASSPQASTFAGAYGQQPAGSGLGIQSGGGAAAGAGY GQANGGGVGQVPDFGLPGQNGFAEGRDSYWGDTRDDDHHDQGHMNNGGYR NEUTE1DRAFT_51513 MPDFDFEAAKESLAGYITLFGEAAGESDGSLPWTIFLHATQKVN TVAQSLQERLEECRTEFDQIKSHREEIAARERAVEQQEANAQQTLQQAEDKDRETQEK LNQLAENRRQHQDHELQLNTRQMELDSQKEQQGRELQALNEEKAVVAREKQDVARNQN TLGELRKEIEERVRAQIRLDDQLADFQRVTSVLEQQFPSILEQQLAPALQKLDNVSAR VQAIEGLMERTSHLQDRLESAQNTATQTTSIIEQQLATALNQLESVSGQVQAIQRVVE DLLKEQLEPALDKLQNVPAGLQAIERIISGIGRLDDRLVSTENTTRMTEKEHDRMIME LRAEIITAVYLREDVRELRQAVDDIRKVPEL NEUTE1DRAFT_141323 MSDTLTEDKVLLRIKYLWPISSTHEWARIPNVLDILIINQPDAE LYKWLEELEKSNAEMENELIDRLASALDGQKRLYKYTKDLHEKTVGDFTNDLNKTDKG FEEWKAVVLNSLEQKVGRLERQNRALDSELTDANQSLSRAREDVQRLKVKTINK NEUTE1DRAFT_141324 MLSGPSIHRIGLAPIDAFIRRPQPMQQALLIWRACTANDPVQED DVVAAEVPTDDNTLTRLMLVADIPPIVAATTVSHLAVTPGTSTLHHEFINAQGKNSFI HACTASPEVQATMPTITKTKVVVECEPVEVITHDIEPSVENTTGLIKPTHSRTDSTCS VSSTTSNSSTQTTDSVWSSSTTTTAVSGTSDTQELFPKNGTKVTSPVLIHGDLECFAI PTLQLPPVTPIVIVTSPEATITVIHDHQQPDQNTEDDNDPIIDFIENNLDDITKDQWT ELMYAYASQLREAKRRRRRDMTDCREVFQLADLTLPPTSPSQWQPKGNFETPEKDEDF SSFHLDTLPPFEEEIEVAVQSQTAHGHCEVGTEMKTSLVSTTASIFPKSSITRTPLRK FKVRVRKSESSSRKFCIRSHNRLQRENAVQSCHVLPPIIITEPEEPAGDLTHHQKATQ SLYLEVPELKKTRQDSFTYSLVEPSLIPDEYTGPNFAPCSPEGKEKWLNKWAEKGYAT RRRATMWTIDVPTAKEAMRVEDNQVFLPPVTTETPRARCVDNYGEDYGGTSKTPESRA SSKLDQWSEEKEETFDDLYGWENEGRVTEQDRQEEQKVHQDEGIKNRASNLGIGKPTS TTQAPMKISPIDYHEQTALVSARCQKLGPDKFDRWCEEYATPISEAIWDETSTDLEDF VETNSEPAQQLEETVDTPRRHSFPRDKSPRRYMRTRRSLERKEDVLTRLRQMRCRLKR WAERRDNMRYDGDFVFNFENRE NEUTE1DRAFT_149180 MANQGPTLDDYYNLGSHHRPISTTSAETQLWFDRGLIWSFGFNH EESVKCFERAVAADANCAIAYWGIAYSLGPNYNKPWSVFDEDELQKTIDRAWEVLELA RQKAAAPGSTCTPAEHALIDALQYRYPKRAAKPKKDSALEHGYAQAMAKVYQQFSSDL DVAALYVDALMNLTPWKLWDQTTGKPAKGAQTLEAKRVVEQSLAQDPYHPGLLHLYIH LMEMSSHPEVALRLADNLRGLIPDAGHLNHMPTHLDVICGDYRRAISSNSQAIVADEK WVSKEGHDGALNFYALYRCHNYHFRIYAAMFAGQYQVALETVTQLEAALPESLLRVKS PPMADWLEGFLAMRVHVLVRFGRWQDIVALVPPQDTELYCTTVAMIHYAKGVALANSN RAREAERHRQLFAEAVKRVPPSRTVFNNTCRDILAIAAAMLDGELEFRRGNVELGFEC LRDAIKLDDNLPYDEPWGWMQPTRHAYGALLLEQGRVQEAATVYRADLGLDNTLPRSL QHRNNVWALHGYHECLVKLGRKEEARVLQNQLELAVACADVPIRSSCFCRSRL NEUTE1DRAFT_141326 MSQPINHPLQRRNAFRRVPDIPRKHLSSGFAYLPESLQVNRLNH PVPQGTTTSTTPSNISTSSQVKSPGPCAPSDPSNSSTEVLISSDASGSNDSRHISTTP RRYSWVTDNYVSDLDSDEEDDPIMAPRRSVSPDVSPSVSDIDQNLNVPPDCTSEHTTC DMDYYSPCWHCSDSHYGKCEAQKAYEELARRYWQGNDNDEQLRQTDTRDFAAEANANG RAGRDAAALRADVRISRFKEGKESVVGPAKPLESWKMWRRKKKTREWKGKEKVEGTGR DFLEGRINMNGELVGNARGSGVAERKPKTKNKKGTRRFVERFFGELVIIAASCGCCCI GRYW NEUTE1DRAFT_113453 MFSLYCSVVRSLQTSTPSANMTAHISTPSMSQNRIQAGYRKPTV EDFDEKEEEVRVESRTDVAASDEYDLSEEEDYFASKFDLSTRGSDTSGQDSEQDEPKE EEKNRKDEKRRIMKEQAEQFLRAAPAGISRRVRGLMEKLARGEEVEDSEEEEDSEDEE SDDEESDVGMDKWEAKAKMAKEVNRRHDEELIKYWLAKLTKKDPVYSEEEVEIRDRIR IKRGETRREAKLIMCR NEUTE1DRAFT_113454 MKSYLLSLFSLLGLSTMASALAVLPPTARYEQASLQATNHNVHA SHEVNTASGFKTFSDEHTSALTVIRTVVVTTVQATKHFRGTFYNLIAATHPERHPHFI RRAKRDLNHQEDSKLGLSEAVEKTRRTVVDIEKAISGFVEGLGKGKTAPENGDGHAKR DLNDMDETWMSWTKMTGDPKWMEANDKVREAY NEUTE1DRAFT_104430 MRAQLLSRALLAALFGICVALNIGTESQAIDRDDSSLGIPATTT IHHRDDFSLPTRRGRGRREINRIETDSEQPKLVPHQADNVAKMEDTSDGTTEPTCPPH KVCLAPGILAVIIIFSCLGSLLFIRVPIFAILFCTVWNSFWDH NEUTE1DRAFT_113455 MKPQVLLSLVFSSLVAFSAASVIKPMTPRDQPAFINDLNTRKPT VQTVDHPITTTAIRYVVRRQDNQPQAGAPDPGSGGAEAGPGTGGQQQPEKPKPTHDPL PTLAPSDGDSGGGGNGGQIGAIIGGVFAGIIGLILVVCVIKGRRARMEAQEEDDEEYE MRRHRRRGRR NEUTE1DRAFT_113456 MCSVTIRQSQKTRRQAFTLAATRESPGLGLRHRRTGQRVLESPR SINGVSRYKYNDHPSTNDTTTTRTSVPSLIRSISGRNQPRKRPSPKPTMKSRILISFV LIALFGISTAFAVPNWMRVPRSVTALSDSADGTPTTVSHPTTVPVHPHAVTFTSPAEG TSSDTKRSPKVPTTKDNGINSWGGFTRRKDESSNTNTDTRESKNPTSNGDGKHQAIYR RGVAGNPGAIIGIVLGCLAVVGVMIWFGVCYHNGTVVN NEUTE1DRAFT_52860 MHPQLLFRLRLLLGVLLGVSAALVLPNRNPISRDTPELTKIGSS NDASPKSTTIYFPDSISPNVQTASTAPSTVESSGQVSSKNNKRGEAHGTLIPHITKAQ IARDDTKQPGSIYRRDGGGSNMTVIYIIVPILAVGFLIGGCICWRKFQGH NEUTE1DRAFT_113458 MVTISGEWTVGNIIVVALIVVCILALGYTFGKMAAQRRIQNQQA TPNDNSNPSFLSRLCGCCGDGCVNIDIPLDLSGDTGGGGGGGDGGGGGAPGGGGGGGG GGGADGGGGGHGGGGH NEUTE1DRAFT_125110 MHLQIFLQVLLLVSSSTALSADASTIPSSYSSSPTSIDKPNLNP RLSWDDIVNGAKSLYSQAMATPTSTTIEVPSSTLSTSPTAATHGYQEESDGYNNNKDI PDKPSENIPSTPGNALRSSPLLVGIWPSRPAFWRRHSASIHQFLEPEGAHLEIPAPET RVPKHDLKSNVKNHAPLPNTVKRSKDTPLPTRRQQKGSAVEAKSSIAPDEEPRAWYSL SSRSADVDNVDSHLTTRASTPDSAKDPDYNKTNGYWVPMEPVYYVLMGMGIVLAIHLV YLLVRCLVGGKDTVKYLKTWQGTVKKHWARKAERRTAKNEVVAPA NEUTE1DRAFT_149182 MALTTTFRTSLQLVVYLGLVWACGMVTLSTALTSSSNTYVGIYS PIDSPTATISCLLGAIYTTWNTLAMCCPTQYPACEFLTRCEGGTKYGYNGGIDYCDQT YPDCYTMTIFDHPRPTNSWVNIGCASQWSANTIYRELEASTTSTSTTPTTTPSVSTSE PSLASAVTTPTSNSPFLTAAPIDPKPSTSKAWIAGPVAGGIVALLLLGALFFWWRRRK SAQERNNTPSMAQTGAAGGYFPEYVGSPHGNNHTPQLHGPSSPPAAPYHPSNSPPQYS SHSPGPHDAFNTSPYKYPASGTSNTTSWQGSPRPVSELDSTGRQHQHTIAEAP NEUTE1DRAFT_52694 MSNSSWNIGEVIALSLGVVLIFALCFGFRIWWGRRRDKGRKQQG RDGVDVEALHGEISHDARSEGRDDRPTVVELVPVDSNDGGQSHAQGGGDSEGQQGGGH AGGHVGGGHGESGQGNGGRGGDNGGGGGGGGGNGGAGGTS NEUTE1DRAFT_149183 MYLPLLLLFSVLCQVTLARTPTNPLLWSRQPCSIIDMPYHSGMR GRNGIYWGWNPDVENGDNITMINRATGHQATTVSYFSQINSPSGFDGHQLLRHLDEVK GTGAALIASVMPNGLSFNEVTPAIAADIANVVRQFTDQGVEVWLRFAHEMNWYVRPGT YRGNSTQFVKAWRTVYAAVKDIEGCMMFWCPNNAKTMDLYEEWWPGPEYVDIVGMDIY ASKTTANFRNIYGGFYEAYAKKYNKHFVIPETATKPDDVALKQSWLKALASDDLSAYP CLKSISWFELRKSFDYRVVMGQGNEAVQESLKNFR NEUTE1DRAFT_113463 MSCSDTNTSLGGSYCSTTRGSGSSTNFGNSSSSQGVVTVSPGVG SGGNTTCERELFIELFVVEAVETRGAHLDTRCVESFSAGKSPVTRPESTCTQTSRDNK GSLFRASHSSTVIHPEPQLMREQLDTCRVYFSCCGTRSSSSGSGSGTGSTSNTGSGSG TSSGTGGNTGGTTGTTGGGYGSSSSTTTVQHPSPSTTAVSSGTDRS NEUTE1DRAFT_53067 MPATTHHNNDRIVDSNNNVDDTTHAPNDGSRGYPKPIGPVKPPP KPTREQEQEGGCETSDISCPGMKRE NEUTE1DRAFT_132345 MQFTTSILAVLAALPLMVSADLSTTTMTSTATLTKTVTLTHPQV TTITSIRGNSTVVIATTNTHFSVPTLSPVIAITTSSDAPVATASVAPTQSTNGAVGGM GGLSHAAVAMVAGAVAAVML NEUTE1DRAFT_88779 MEASTTPKPLPNRPDQKMPPLPKGSRIQKRPLPAPLSHRLSSSN TSRSSTIPTDIDGYRPPPRAPHTLIIKVTSNAPFMSLVKRVRKGLESRSSLSRQQSTK GLPLTARIAALDTTSGGSKEGGSGNGLGPVEDALDDVVLVATGRAIQKAVEVGCFFTR ERDLMVLLRTRTVRGVDDIVRVEEEDGGGEDGSEKEEGSGARVRALSVRMGTERLSDH VFTGSSVAMLQPTDMPCLVPALYHKNHRTRVLWSSQCNRDGGLADCVRLSEPISQGGF SEDVSGMR NEUTE1DRAFT_52004 MAVDICEGWELIDSPFVRPPPRQKRELDWLVQDLRETLTNVKHG LEDCYALLAPIDPGSTLVLSTPRNEIVKGHITRVGTRIVKGTIHLRLRTLPQQTLTIN PDHPIHLAPLVTLHTLLTHSLDLLTMTLSFTYPTESDSASAKSRSPSSSSSSPQLSSA AFLSSQLRLLSQSISESIAILKGPPLVTAADPSWTTRSIASSHFDPPLTAATASNHGL GATSIPPISFHLSIQESSIVLWLRSLEAADAPVGFGTKLAFAIGTARRLEHDEADRVF GFCCGGEGHTGPGGSPGTKLTALGHTLGLARRNLAAVMGEELED NEUTE1DRAFT_70105 MLSRQALRALRPPCGVVRPFSSTPTILSKTPCLADIKPNGVEAF NAKQKLFREQLAEQKRQQEAKAAQLAAAEAAAHEPPRKAGPLTNLIYGTKEGRELDAR LEASFSQVLARGKYVHSITFDQVKPECVDEYVGLVGEWYPKWAQDPENRVHLVGSWRG EVGDVDTFVHIWEYQRYTGLHSSLSSLSSHPSNAHSSFSKRLAPLLSKRHTSLMQEFS FWPTTPPRQLGGIFELRSYTLHPGNLLEWETHWRRGLKARREVMEGVGAWFVQIGDLN TVHHLWQFADLEERRRQREESWAIKGWAETVHKTVPLIQTMKSRILVPMPWSPVA NEUTE1DRAFT_96308 MSWFGVTPLKKFPAPVLGPMAPFFAAGLVIAYGINSLQGALMQS DEWKNDPRNIYAKNKAATH NEUTE1DRAFT_132349 MADSVQSTPVESSASTPAPSDTANANVPAQQNNKKQNNKKQNKP PHPNAVNAKPKPVKREVRILMLHGYTQSGPLFRAKTRALEKLLIKALAPLNLVPTLIY PTAPNRLSVSDIPGYEPSTSDSGPGGDKELSDSWAWFRREEATWNYKLINEGFERLAE TMRGVNLEGREVVDGLVSTTPQVDGEGKEITEERKELKGVVRSEVIDGVIGFSQGAAM AAMLTAAMEHLAPGQPRPVLTPDHEGWVKQIREANKGQPLKFCVSYSGFFALPPELGW LWEPKVKTPTLHVLGSLDTVVEESRSRRLIEACEEPVVVVHPGGHYVPVSKEWVAPLV GFIRGCLEKHQKTEEEGEKKVEESI NEUTE1DRAFT_70112 MSSTEHHKTQQQKDLNALAEEAERDLNSWYAKTGHRPAGVEDEA PGINDLEATKKFPDTEIRYGEDLCTNRSWDKKIPEPEGGDRDDKGHLLHGHVYEGVGG PEDKTAHIYQHSPGRIDEEIVKGWGLDPKELIDETFDHSRPDLLPPDQVRAHGYENTE GKPRHHDQDILEYGRKAAQRNIYGDEDELELNRRKPLPKGPRGGHHWKAHGHGAEEEE WEPDMAADMGEIPPKSVVETSHNLR NEUTE1DRAFT_141345 MGKVVQWRSVKGQVQVQKTEGWKDALVTMIKHEAGLDNKNVAIV FYEGNAPADQSEEANRVLPLTQRHVQTNSVSIEDSGTDQWPWP NEUTE1DRAFT_104444 MYKGQFAKWRWAKYNKSGNTNATKPTKSRSMRRKGVTSSGSGSY RSNGHVSKPGCQSNFISQPAQMIRLYFQSEPTYLVESTLLAYASYIARWADPERDTPW KMDGPRLRLHIASDEISAEDHATVSSNSILQNIALAIRHLRCNNPQGTTKGGVLLRHA FLQIEEAITSADEGDIQAIWDCCLAVPQLLILHNASSPSTKQPPSSSSQSPHRHQPPH DILPIYTRFLHHLTTLKYAQTGCTSHPLHQISSSLHHLVTTSDFVSLHLFITRAWKLW INTCRQLRGETDHVTIHLKRGYVILMDPEHAIVKNLVSDFGGLVRREMERWGEGKTTE RILELEGLLGRMYLPLFDAGVVVGPGAGQGGTGIGAGQRARGMLGGLVERLEEKYRVR NGNRGGTSMMGVVADAGSVGSGSGISNMVTTAPRPPHEWRDLAPGHLGQGQPPFPIPM QNWEYLDRYLFFSAHHFLASIADHEGDVSTAIALRRKILVMNGGGLPGVGLSDNSQQQ LPFGIGMGMGTGISGMGMGLGRGLGTGLGLGMTVGPLGPVGSMAVAAGMGMGLRSLAT TRGRDQFWVQTSRKIEAYLRDMGKWEEAEDIRGLLSDREREMEMERREVVVPTPYGVP GAVRNSVPLPLPLSSAADGGRRSLYAPHIHGLNNTGFGRGLPLPVPVPIPRSVLVTIQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQDVHHGGNRGFVVDAENLPLPLPLSLPTDEQ GGLEFDGFHHGHQFERVHASLDLEQHLDLDLDLDLNSAGVSGSRSGSGSTSAGAGAAG ASAGGGGGHGSGSGGLGSSDFHHDHGLHDNVNVDEDSSSRSSSNSNSKRHRVGADGQT YGQTYWDREGTMEYLWMLIKSGKGAERKGKERNGRRKSEVGSGCRMGVNICTEERA NEUTE1DRAFT_149191 MRLKATVLAALASVASAAYWMEETQHQGVASFNPDKSYQVFRNV KDYGAKGDGVTDDTIAINNAISSGNRCGGGPFCNGTTTTPAVVYFPAGTYLVTTNIIN YYYTQIIGDPTSMPVIKGSPDFQSYGGIGLIDSDPYLNSGTLQFRATNVFFRQIRNLI FDTTDVPGTVAGLHWPSSQATSIQNCVFKLSSRPEDDHTGIFIEEGSGGMLADLVFYG GRQGAQFGNQQYTMRNLTFYGSKTAIMQLWNWGWTYKSLNIYDCEVGINMSSNAVGSV TLLDSRFVNVQTAMTTFRGATSINSTGSLVIENVDYINVPIVLQGPEGPILLGNPSGL VHDAGYARGNTYTPNGPREYEDRDRAYFRQPTTLKQDGKFYERSKPQYETYPTWAFLS ARSYGAVGDGVTDDTAALNHLFQTAGLTLGSVAYLDAGYYKVTDTVHISGGVRVVGEA MAAVILGAGPKFSDINNPQPVLQIGNMGEAGYVEVSDIIVSTQGGTAGAVLIQYNLNP PAGSSSTLAEMPPSGLWDVHTRIGGFAGSNLQLTDCPKTPDAVVHADANCIAAWLSFH VTKRAGNLYMENNWFWVADHDIEDFNNTQISIFAGRGVLIEGERVWLVGTASEHHTLY QYQLLNATDVFMGQAQTETPYYQPNPPAPLPFTRIDTTLGDPDFHTDCQSVQYGANTT ISSDGSLTLGGNPKCEMAWGLRILGSQNVVVFGAGLYSFFNNYNVDCSTLRSGENCQA RIFWAGPLNLGSGFSTNVPPREVGSGKTGKFVMSSKPPSHRNKSSESSGDAADPWVQV YNLNTVGSVSMITRDGKDLAGWNQNLATFANTLALFHY NEUTE1DRAFT_118393 CQLPIRSCSASFYQRRSLHPFAPLWLETPAFQARLVSQSRQGQP RPTPQLLSLVRAPATCPPTPLPPHG NEUTE1DRAFT_118394 MRLAASLPVTVLLAAAKVTMATPSPSITAAPTASNDLLNIATSE KPSVFTTIACSPNGNTEEAGEYVGHNCKVYEYRLKELESGAGFAVPFLGSLWGMAAAA VIAMLF NEUTE1DRAFT_125121 MLGRELHDEYWCNHEFISMKMRRECRSREEGKTRRCVTGDKVIV SGDNDHNNGSQPQQFNPAGNRTLLNPSTHYNPQPHHHHPSNSKHLNPQPSTITLLPIS RSTGTHSSTASPGLITSPMTPINATTCTRVAASTSTVPSSMSTMASVTAVMLGVLVLV VFVEISHFNVGID NEUTE1DRAFT_70117 MRLPTSPLLLPISFLLLLLRGTSAVLSSSLSSESTATTTAITIP EGPGANPTAVNPTNVNPFLSTPTNVTFDDIIEHRRGRNHTHNNHTSDGTSGSVPPGTA LVAMLGTFGMAMLVRLGIASAVAFVLSVVEVMIEWVGVVVSLVTSWWMGVPW NEUTE1DRAFT_104450 MEVSDAAQTRSPYHENRAPETSGSESSRDKTPSQNTTERMANIA KKRRLDEAGEAQVILGLTEADVDGRARTEATEYGAVSGHAMAPSKPSPMSHPNAQEKP THILQSIEVDVIEQQDVVGLPTIEDEVPFANMEDFEATNVTTRKRSPRSPSPIEDPRL PQWKAETRSFKGNMFCHHQSPGTAIETAKAAHNGDLFVDGAPQFTIFCDGSSENTRFA QFRGDKGGYGVVFRDPYETVGHNGVDLAPNRLKPEFFGKEKEKDWDVEDFVILNWLNR KVYSADHAEISALSQGLDEIFSDPASCQSRINKGILVGDDFVSGGKNLMSDDSNATSG KKRQRTEVTFYDKHTNPFVRAIVWQSHYLFERGCTIEIHWMPRNCTFAAFLADHVAGL WRLENAEFSQSNLAREERDGIMDKLSEEVNEIVRERSTPPPNSRPSKKRKVKRPPSKN KRLTKNMKRAQKKPKDSKKSRSANNLNARSFPFRPSPSPYGQPSSSQWVPSNPFPPAP TPSVSEPFLRKDHYPSAEHRVPTLDTFPTWLFRDPTPPRMVRAPTPGRYWEIEDENEI EVGIVRNEEDRLREMDSEDEKKEEEQDNCSGKDDEKESKE NEUTE1DRAFT_141351 MTMDFPDQSKISAERACTSPLGINGVPQIIFFCNGSRMCERDRE SEASSGGYRVVLRNPWASNVNSQEGAATGFTAASVPFGLESKSGLGLKSWSYTLVEQH RPESVVIELSTDSQERWHRLNRGLNH NEUTE1DRAFT_23363 SPTPSPDPRIALWKDERRSFAGFITINQDKATAARTAHMASQTS LFISGVPQLKFFGDGSIKNGSSSSRPEHGWTTGGYRVAFRNPFEDTVQFVSRDENPIR FLEHPSVNAYQQGIDNDYVLEEEGEIYDGPSRLVDFTILCFGVKKAFSIPQVELAAVS QCLETAIRLYDEHHPPTSVVTIFSDSTCVINRIKRGVLACRRGTKLTLWNKFFNPLVQ TIIWQSHYLRDRGCELELAWNPRCSALGPSIADAAATSWKEWGEPEETKWSQGNLSLD VRDGIMDKLNETTNE NEUTE1DRAFT_54653 RHNRVFAGVIFQEYPEVAAPVALSASHGPLEIEGVSQLSLFCDG SIKNIRGQGWKKGGYRVAFRDPFLGTTPFVSQTNPARFFEEPTIREHQQAFSSEPQED ENRGDFAQVTDFTILEFATRTLSVPHVELAAISQSLEVGIKLQDQHQPDSMKISVTDS AQAVERLEYGILPLDSDEESLFRWSTNPLERAIVWQFHYLYDHGCTLDIRWHPRCCAL GPALADAAASSWKFWEESLFCQVNLPAEERDGILEKLHQWTDDVINWEPEEQPEESLW EEDGEEKLGVENACEEDQSDLRGMDSCQHVMAEERINNILIGERRVI NEUTE1DRAFT_141354 MSPTKRERTASTSPSSDGHIDKRPRVEDEDASTAKPSSNTLIDQ RPPLVPESETSETDSETALTEDYESDNHDKIEYDRDYGEINDEHMGVVSWGWADTHFL HELNPTSQISNEQEADTSYSDTRISLWQQEDRCYNPTNKLR NEUTE1DRAFT_51016 MSTPNATQDGGYAVVFRDPYGADKAATTSSSDSAIARIDLPGSQ EQDGISVGDFTIRHWLSHRTFGAAHVEMAALAQALEEVIKRNDQHRPDKSTVKIFTDS DTALARIDRGILNLETNTFANSLKRKRENKAFFEEHTNPFVRLIVWQSHYLSDRGCTI EMNWMPRNTTLGHSLADHMAGKWKNWRGKDPGDAFNQNYLPRDERDGIMDKLHEEVSA IERARTYDVLDPEPEEEPKPKQAQPPKKERRIKLATGAKKRSTTERIALLDSPSDYIP LDSESEEKLASQSQPQKKKQKVEGTIKAQKRSTTDFILLDSDTDEEPQRPKKRRRVRR ARVKKTSAEAHTAPDGSSDYTPLDSDSEQKPKPKPQPPKKQQETKGATTVKQRSTSDF ILLDSDSEEQPKPKQPQTPKRRKKIRGAIPVKKGASMQQVEEDRLLNGEDNATSADGL LHGEDHAFAFDLGNLPVYPNEEHAIHDPDSGHGTPSCLLCACQDNDYP NEUTE1DRAFT_113480 MSSSEVKPKRGPPSHTPSPRSDGKDPKRPKLDGHPVIDLTGDSE DDDAPPALRQRLLTSSLQSPLPAPDVQGDANVDDRAPAAGASAGDHQEPFADNEAGEL LAVRPATPVMRNSPPQPAPIVQPPRSSSPELTAIEVVDLTGGDYEERQRSPRSPTPSL DYRIALWTQETREFAGRLILEPPEHARFSAQEACRGPLWVDNVPRIIIFCDGSSKLTP LMTWEGTNGGYGVVLRDPWAAANEENGQVQEAFEVCSWSIQKMYSSSQAELAAITQSI DTSLRLREKHRRAPKFEVRIFTDSKECWHRLRRGLNQRPERFSFRHTEPILRAVVWLS HRLKIMGGDLEVRWNPRRCAIGPELADDAAGVHYRDVDPEVFNQRNVRLLERDGILGM VHEEISAIVRERVTPPPMPFPLPDWFGER NEUTE1DRAFT_104452 MTLPKRARSPEFIADADGNVYKRALEFVKDPSEQTQHVSKTVAN PKDTVADRCPTVSGPTHTDPRPPSCALPALPPPELQGLAMPGEARSRSIDRTSRVDNV TPTRKLPGRSPSPSGDVRIPLWRNETRAFKCTCELPPRIIGKELAESAHNAELWVDGK PQFNLFVDGSHKPYEPKTKDHRRPEYHSWGYGGYGVVFRNPYHGKGSAEFDHNHNDNA KSKSHTKEDEDELGPGHFSKRSWRSYRVLSIDHAELAAIFQGLATFLTLVRRHRPPSG TSVCVFTDSSDSVRRLRNKRALADDEPVSLRNALTMPLVRAIIWLSHCISDEGCEIKL QWLPRCCVRGHKLADRAAGSWRRKKAVFYQKNKPLWRRDGTLDAVHEDLMKVVKRIEA GEQALLPHCGTERD NEUTE1DRAFT_70119 MLIVQDLKTGKQTWHSTKYRFTDSPNKPRRLRPGLIVMKFGEQL RSSIIREYQWYYIDYDGLKADLKHPSGPNGEWTEEDEKRFVSKLEAELDKVHTKQQVK AMEISRRIAVSEREVKDVVNRLQERGLNEEGPTEEEFMLLEEDLSDIIAEVHDLAKFV QVNYTGFYKIIKKHDKMTGWRLKPVFDARLKAKPFYKENYDAAVVKLSKLYDLVRTRG NPVKGDSSAGGSQGSFVRHTTKYWVHPDNVTELKLIILKHLPVLVFNPSKEFEEADSA ISSIYYDNPETFELYEGRLKKTEGAEAIRLRWYGGMQTETIFVERKTHREDWTGEKSV KARFALKEKLVNPYLRGELLPSAIFEKARKEGKKSEKAIAEDERLAAEIQYSVLKKKL KPVVRSFYHRTAFQLPADARVRISLDTELTMIREDNLDGVQRSGDNWRRTDIGIDWPF PQLPPSDICRFPYAVLEVKLQTQLGQEPPEWVRQLISSHLVEAVPKFSKFIHGTASLF PDRIHLLPYWFPQMDVDIRKPPTHDFGIKRLESSTRTSTTDVDEDDDEDSDDENATDN QDGQNGEASAQGLARNRRARGGVAATDIEDQTVDQASNENTYLYDSEDEPDEDRLEEA RRVGGWTYYSTLLQTRANAAARVTWSVLKALVPRPRASQVPRNANLESLLGSGEIHQK RIKAPKGKKIYVPVRVEPKVYFAAERTFLGWLEYSIYIGTIAVTLLNFGASDKGGRGS SIIAAGVFTLLAFLSLWYAVVIYLYRSHAIRTRKAARYYDKWGPSILCAALFVAVVLN FVYEGKGRGVW NEUTE1DRAFT_125124 MSDNDSISVRISDDCPPEIQRMLTAAWAHDVDTVKKLIDTPEVA RGQDPKTGESPLHAAIRSCGAPSEDDTPEDLEKAKATVSELLMWGAIWNDVDNNNETP GCVAARLNRPELYELCVSAGVRAEMLFGLMDGYEALDSDDEDDEEMAEGEEAQAEDGE EAPELVAAEEATQTAEEETPAVFQPPAVNLEEQVTSDKYLRSTVAYSDGKLVDDAGNG VMMAWETDIMRRSVDALLPNKEPGKRILNIGFGMGIIDGMFAETKPAVHHIIEAHPEV LEYISTPESKFDSTWEESGPAPGAYRVWEGKWQQIGLQLLEEGHVYDAIYFDTFGEDY GQLRMFFTEYIPGLLDSNGIFGFFNGLGADRQICYDVYTKVAEMHLADAGLDVEWKEI PVDMKELAEADKDGWEGVKRRYWTLDTYRLPVCTFLG NEUTE1DRAFT_149196 MTLSTKASLGAFFDPSHLTNASPNVILLATFSLLIVYYITSSII AWYRLRHFDGPWLGKFSYLWIFKIIHSGQMGEAAHAAQDKYGGVEPGYPSTVRIGPSD LITTELDLIRRMSGARSRYTRSDWYKLNRLDPYDDNMFSTTSTTYHDKLKSKMAPGYA GRDNTGVEKDIAHVISKLVDKIRVKYAAPKGSTSPAGREMLEFGQMAQYFTLDVICKT AFQVEFDHITKEEDVFGYIYMVHNLVYGNAMGATIPIIGKILSNPLFLKIFGPTTKDA RGMGLIMKVAREIVAKRFAPDAKDQQDMLGSFIRRGLTQRQCETESIFQILAGSDTTA TGIRSGLLYLCSNPRAYTRLQREIDERIASGLISSPCITNAESLAFPYLQAVIYESLR MRPPFDGLPFKIVPPEGDYTRDGRFIPGGTKITATFGAMQRNKEVFGVDSDIWRPERW LEEEGCDAEHRREMKSVVEMVFGYGRWQCAGKMVAMLELNKIFCQLMRNFDFQLANPT QPWKSRNYHIFFHDDFYLTVTDRHSKTEDEKSG NEUTE1DRAFT_113485 MPQHGKKQVHQENVGHQPHHLRHEGEQEPGYTDLALEEGHDLIY RAEEEAHMHDDEKNMPKSASQTGTSGPGMMTKDMKAKMMMPEGSATSENMPQGGSSSM GMGSSGMGSSEMGSSGMGSGMGTGQQQQQQSSGSGSSGGGGGGMGHKMTEEMKKGMEG VGKKMEGMKEGMKEGMGMGGGSKK NEUTE1DRAFT_70125 MGIFNKKPVAQAVDLNQIQEEAPQFERVDWKKDPGLRKLYFYAF ILCIASATTGYDGMFFNSVQNFETWIKYFGDPRGSELGLLGALYQIGSIGSIPFVPLL TDNFGRKTPIIIGCVIMIVGAVLQATAKNLDTFMGGRTMLGFGNSLAQIASPMLLTEL AHPQHRARLTTIYNCLWNVGALVVSWLAFGTNYINNDWSWRIPALLQAFPSIIQLLGI WWVPESPRFLIAKDKHDEALHILAKYHANGDPNHPTVQFEFREIKETIRLEMESTKNS SYLDFFKTRGNRYRLAILLSLGFFSQWSGNAIISNYSSKLYETAGVKDSTAKLGLSAG QTGLALIVSVTMALLVDKLGRRLFFLASTGGMCGTFVIWTLTAGLYGEHRLKGADKAM IFFIWLFGIFYSLAWSGLLVGYAIEILPYRLRGKGLMVMNMSVQCALTLNTYANPVAF DYFGPDHSWKLYLIYTCWIAAEFVFVFFMYVETKGPTLEELAKVIDGDEADVAHIDIH QVEKEVEIHEHEGKSAA NEUTE1DRAFT_88806 MAGPSRPPDKKQASISSFFTPRNTSPLVNLSQNASKKPPPAESK SSKSTSSRKRPEPQTDDSEDEAPRNAKRRRSNGPSATTDTEDAVASLKLSASSRTERY ALDSSRPSQDEGEKQEDAAERKKKEELHRKFVKKLGHPDSMFSYRQRDTESAAVEGEG EEGEDDEEEPAPKTTAKKKGAKTGKLTPMELQFLEIKRKHMDTLLIVEVGYKFRFFGE DARIAARELSIVCIPGKFRYDEHPSEAHLDRFASASIPVHRLPVHAKRLVAAGYKVGV VRQIETAALKKAGDNRNAPFVRKLTNVYTKGTYIDETGELDQPGETTGASSGGYLLCL TETPAKGMGTDEKVNVGIIAVQPATGDIIYDEFEDGFMRREIETRLLHISPCEFLIVG DLSKATDKLIQHLSGSSTNVFGDKSRVERVPKSKTMAAEAYSHVTDFYAGKAKDSDER SAALLNKVLKLPEAVMICLSAMITHLTEYGLQHIFDLTKYFQSFSTRQHMLINGTTLE SLEVYRNATDHSEKGSLLWALDKTHTRFGQRLLRKWIGRPLLDQQHLEERVSAVEELL NNQSTANVDKLINMLKSIKADLERSLIRIYYGKCTRPELLSTLQTLQKISFEYARVKS PADTGFSSPLLTSAIMTLPSISPMVTAHLSKINAEAARKDDKYAFFLEQHETEDISEH KLGIAAVEQDLDEHRSEAAKDLGKKVPVNYVTVAGIEYLIEVANTDLKRVPASWAKIS GTKKVSRFHTPTVLRLIAERDQHKESLASACDQAFSDLLAQIAGEYQPLRDAVSSLST LDCLLSLSTVAALPGYTKPTFLPSSHPSFLSITEGRHPIAEHLLPNGYIPFTMSLGTL PALAQLITGPNMGGKSSYTRAVALLVLLAQIGSFVPATSMALTLSDAIFTRMGARDNL FKGESTFMVEVSETAAILRQATPRSLVVLDELGRGTSTHDGRAIAGAVLEYVVRDVGC LMLFVTHYQDLAGVAEGLTVGEGEEKKRGVECVHMRFAKGAGADKDEDEEITFLYDLA PGVAHRSYGLNVARLARIPRKVLEVAARKSRELEEEVRAKRVKGAMALVGGVLYGGSA PGDQEEKLEQLVGLVEQL NEUTE1DRAFT_104459 MAVGRIFLLLPSFVPLSLLSRASPYLSTPDLRFRYHCCSPSRLS FSADIRDHQEKCPKLEDDTRHPDSVQNAFCTLDISIESVKKTDTVLSTPSVARQKTVP NERDEILFSDSPLAADTSQNDIASTRQTEQPPMHCHPLPPTPFNPFLPPYQAPADLPA TTARPRADGSPEPSGDLRIPLWQNETRAFKGEFIYPRKSQGLATRLASEAQGAELMVD GKPQFNLFVDGSYKPHHENRGAPEHRRFGHGGYGVVFRNPYHGKGPAEFDHGNHANDY FTEGTQVEDNLSTKDFNIRSWHSHRVLSSLHAELAAISQGMETVISLLKRHHPLSASV TIFSDSKTAMNRLSRRPHPDGEFAITTTDALTMPLVRAIVWQSHFLFERGCELKLQWL PRCSVLAHTLADEIAGWWRKADAVFYQRDRPPWRRDGILDALHHEALVKVIERIEAEA LLLRPSSVCGSVSQKTKARKQARRERRERFKSRFSRENNMLLENFCGSAVQRSFSGDS LLELPPIWERSGAFSMVNEDMAKVMKGRPSEDE NEUTE1DRAFT_70133 MTVKPITTPLGHSLPPHTPHALTVHLPGWDTFIRLRDGDQEIGK QLRSMYPRFSPLSCVKELGIRLLTHLSFAYPESGISPTTHGCLPFTTPDAFSLAAAHC ISHHRADEHRYSDSDVSKVVFRVVEFWGVRLYLVIYPLPKAKGIMGVWTNPGIGISTR LAEWTATGSDFISGTPIKEDIPEPTYLPESDAHEKLRERIVALCQRIPRDVELASRLT TKDVFLYTTGMAAVFRLQEALFKAAGRRGPVVALGAVFHSTFHLFEELEGTTTTKGEE EEVEGFKHFGECEDSEKVMDQLEEYAKGLKQKGRKVGYVFVEFPSNPLLVSVDLGRLR KIADDYDFPVVVDDTVGSFCNLDVLPVADVVVSSLTKTFSGYADVMAGSVLLSPSSRY YSLLSSTLTTTHHNALSPPDAAHLLSNSSSYLARSKTHNLNAQKLASLLHAYSTTHQS PRVISRVLYPSIPSTTSSTTGTAYYQQYMRSGTAPAPTGPPYDEMEGNDSFTPGFGCL LSIDFPSKRVAKAFYDHLHVHQGPHLGAHLTITLPFNDLLWGAEERERKYHAGYGAVP EQVRVSVGLEEWEELREVFEEALKYAEEEAVKEAAC NEUTE1DRAFT_70135 MAPRKNAQSTAAEISLVHLQNCFVNLPPSLASLLSNVNTPAQNV IVELNYRVQSTPDAIASGAPASVNKNIFVGWTGMPSKRKVNPMVGSHGIDRTRSTSSR DQEIPLVEIDTTLAGTLGLKEGQKVMATVHFDPPMATTVNIEPLTPDDWEMIELHGTF LEDNLLFQVRAIPNPVYAPGGVPSIPHPLTLHLSQTSKANIKVISIDPPLPPDAPCAK IAPDAEIIVAPKVRSKTRNSKDNRSVGGNSKKSGKSSASTSRRKKEDKKPPVFMRGID RKHLQEWFDDEKPTDDLSVWVDRDLLTTGDLKGAKHVSVTVVRPAGLQPTTDAPVQPE QVDPASAPSTKIVAHLRGWDDPPDGQVAALSSPLAAALGSQGMVGGVVKLEVASSPLG KKSVDKDGVSRASLTKINIYPFASPKTVASAGLKFGGQSKAEKEEAAKQVNHIYGPEG NGLLSGPITDGQVLALYDGLDCPRGWEGGIIKFEPAPVTQASGKKPLSWVMGSDWKVP ISVQAPIGKPAWLTEGEGNLALETSDSLLVGIDSLLEKLQLHLTHMSSVLLTGGQGSG KTSVAQSVARTLRSTQLYHTTYFPCTKLVNDESRISNIKETLNHLFMAASWGARLGGK AVVVLDDLDKLCPSEQELQVGNDNARSRQISEAICSMVKQYCGRDSNVVLLATCQGKD SLHNVIVGGHVAREIVDLSAPDKETRRRIMEALTKKDAVPAEKTTGEIADGDLDSRPP TRDGSTTGGEDGDGWMEGSNHFPPKKASKKSSGFVLDADLDFLDIAGQTDGYMPGDLI LLISRARNEALSRCVGEALDKDVSTIHLARADFDAAISGFTPASLRNVTLQSSSTTFA SIGGLQETRQILLETLEYPTRYAPIFAQCPLRLRSGLLLYGYPGCGKTLLASAVAGEC GLNFISVKGPEILNKYIGASEKSVRDLFERASAAKPCVLFFDEFDSIAPKRGHDSTGV TDRVVNQLLTQMDGAEGLSGVYVLAATSRPDLIDPALLRPGRLDKSLLCDFPNLEDRL DIIKALTHKVRVAEEVWSSEKDLLELGKRTDGFTGADLQALVSNAQLEAIHDALADMG TGMVSHARRGVETKSSGRNDFVQFRYGDDVDGTVAKNTSAPRTRAAELAEKAAISAKL ETLKMMQKKAARQAAASNQMNGTDGNKAVNGKAGSGKEDTGQQVVVNWKHLYKALDAT RASISVKERKRLERIYHEFVVGRSGEMRDGQASNEIGGRSSLM NEUTE1DRAFT_88816 MALLRYPAPVDYDAQMSAFENFLDDFKTSPQDSITHALGNIDIN EDDLSDEYDFMDEDDDDAQEQRRSERARRRAPRHKYKELLQELADRKINEIVIDLDDL QSWEEDVNEGLKLVESVERNTKHYVEVLSRAVDKLMPQPSNDITFKDDVLDVLMANRQ QRNRTLTEAAENLRDPDMLNDTYPAQLTRRYTLVFKPRTMTADGPQKALSVRQVRGDH LGHLITIRGIATRVSDVKPIVQVGAYTCDRCGCEIFQPVTDKQYAPLTLCPSKDCKEN QAKGQLYPSSRASKFLPFQEIKIQELAEQVPIGQIPRTLTVLAYGSLVRNVHPGDIVD ISGIFLPTPYTGFKAMRAGLLTDTYLEAHHIVQHKKAYTEMQIDPSLLRRIAKFQQTG NTYEYLAKSIAPEIYGHLDVKKALLLLLVGGVTKEVGDGMKIRGDINICLMGDPGVAK SQLLKYISKVAPRGVYTSGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCID EFDKMDDNDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPLYGRYNTRLSAVE NINLPAALLSRFDIMFLLLDTPTRDTDAQLAKHVAYVHMHNRHPDISGTESSVFSPEE VRAYVAKAREYRPVVPQAVSEYMVKTYVRLRAQQKRAEKKNLNFGHTTPRTLLGVVRL AQALARLRFSNTVTQDDVDEALRLVEASKESLAQDDRNAGNKRAMNASSRIYNLVKGL ADSGACRPDDGLDNEEEEEDEFGVEMSLRKVKERVIAKGFTEQQWLSALDEYTDLDVW QTAGNGTRLVFITAGGEREGSEDI NEUTE1DRAFT_70140 MSASNCLRCLVRPSAVALVPRQMLQVGGGSLTFTATAATKGAST ANTGGRQNANRPQKLRFKKTKKKNVVSSGKPPQPGERKAYRKKIVLSNNNALPVPGLE TLRPNDLAKQDNVGSVKALPEDVVDALRAMEAFKPTQCWGIFRQPSVLIRQETVDLTK KMKAAGADGKTIRMVIEGNRITGKSLLLLQAMTHAFMNDWVVLHIPEAQELTTAVTEY APIENSPLWTQPTYTLKLLQSFKRANEKVLSRMNTVYSHADLPQIIPVNSPLLQLINS AKEADGAWTVFQALWRELNAENVPGRPPILFSLDGLAHIMKVSDYRNPAFELIHSHDL ALVKLFTDCLSGAHVMPNGGAVLGATTRGNSPRSASMELAIAQREAEKAGEKEEVPKR DPYSKKYDDRVEAVMKSVEILRLKGVSKVEARGLLEYWAASGMLKKRVDESMVSEKWT LSGNGVVGEMERASLLTMKA NEUTE1DRAFT_33363 TTTTKLPVTVDKPTPYTFDLGLLLANDPNPLLLDRSTPLEPQLA TIARDGCQSLINQLLTACPISSTPAGVLLSLPPPQTPLPREKPVPTPKAETKWSQFAK RRGIKPKTREQRRNLQYDETTGEWGRKWGYKGANKAGEDAPIIEVKKSQEEKRMAEGK SVRGDKRREIRERVKRNERKMRANERRESGRK NEUTE1DRAFT_88826 MARKGVPQPLTLAESGTLGKETSPRLGDTTQQQHHHHHQQQAPS SSAVSATGSGGGSLASGRSTPQASRSPRSPRSPFKIGPQKVEYPGEPPRTSSPPTEQP VEAVAISQDQRYQRQKSPDVPPEDHSRVTTSTSAPSQSSDHRGHKHSRNDEDKSSKSS FFFSFGKSSRLSERAISPQLLEPQTEGIRTRSHKEKEKENHQYSREPVYAPARAGEPD KVNQSLSLKEAGGSLFNGLKESRAAGFLSKKLFGGSKEDKFAPKEPVIDDEHYVLKVI NLPLVEQTRLTRISKRLEDSRDKTEFWMPAFPWRAIDYLNYKGSDVEGLYRVPGSGPQ IKKWQRKFDEELDVDLFEQPDLYDINIIGSMLKAWLRELPDELFPKAAQERVAKECAG AEKVPELLREELSNLSPFKYYLLFAITCHLSLLLAHSDKNKMDFRNLCICFQPCMKID AFCFKFLVCDWRDCWKGCKNEAKYIEEEYALFDQPPPRSYRSKRETELREREEKEDRE RAIHRDREREQRTQSQVQASYQQQGGQVIPPAHRNGNVQQSPAPPQQVQRLRKKNTAQ ETTQTAVVDTGSTVSTTITLVSDRDTSHGRGTNHPNQRQLQPGELPALSPIKPLSPMG F NEUTE1DRAFT_113495 MVQVGWGWAEDVMEMCGGCCGGQAEEDRRKDAQKPGNGYMLLLL LDDDDDDDDDDEAMACKGSEIYSSLTMYVPMRFRSVKTEQPGYVRRGNKGIVDVRSRL NDGTVLEEGIGRSKGLGCAAITIQSELMEMVYRVKFLFGGFRAKLDDGMSTWSENGSV PAAAAAAAATATATAAAAGSSTMRVGGEGRWSGVVDFGQDTTKPTLTGWMGEVGRLWS ELGSLAWSFCSAILESSPVPLLESDEEPKGAGPGGAGFNLMLVLDLTEGHKFQKGNLK RQAKLRIQQQQPKQLKVTKQGQFLTRTLAWIGPSLFPSFVPLCKLNAMMGQLLISISL VRDSP NEUTE1DRAFT_88828 MTQSFTSLPQELQLTTSAHVKYIQSLDSRKDEYDYWLTEHLRLN GLYWGLTALHLLGHPEALPRAETIDFVLSCQHENGGFGAAPGHDAHMLSTVSAVQILA MVDAFDDLETRGRGKAQVGKYIASLQNRQTGTFAGDEWGEEDTRFLYGAFNALSLLGL LHLVDVDKAVDHIAACANFDGGYGVSPGAESHSGQIFTCVAALTIAGRKELIDVDRLG RWLSERQIAGGGLNGRPEKKEDVCYSWWVLSSLEMIGKTHWIDKEKLTSFILSSQDTD KGGISDRPGDMVDVWHTCFGIAGLSLLDYPGLEPVDEVYCMPKSTIKRVLGR NEUTE1DRAFT_141371 MAAVAPMDMEETKFDPTSISLKCTLCPKQPLFSDVSHLLTHCSS KSHLSHRFKTEIRARHNDAARETIQQYLKWEETSGIHALLEERLEAKENKKPAKRGRP AGTANRPKAFQNRDDLVKNEPAGEQLDHTPVLAHWITDPNNASFQFHNLRHGHSYLDP PAFQSPLLKCPRSDYSAPDTPENMFASKYTRWPSETATSDSILPSSEVTSEITEFDEE DDESSKLKGIRYPGMGLFDSANEQQKRKRNQRKDESVLKLMEEASTTIEQKEVIYNED WTFQRERDVYASPSDYEGSPDRELEEAENHKKKRNRRAPTLTNAKPRATRSSARNKAV AKAKSTREAALSIEQDESISQISGHSHGAMDSYDVFHDPPQRSPHRTGSPMGSFFELR QRPALKMLPSNGPLPSNAQHGSSGPKPLNGSQLSYFSPRDSMANSYSGLPSNGLLNNG LPSNGFFSSQQHPSYSGLNPLSISGRPSYMQSFNYNGYANESTRAPNPSAFQPINPMA RSMSTAMPYSSSYANPYPADPALERPPSEFDV NEUTE1DRAFT_104468 MARLSGLQKEVLGLYRKCLRECRKKPEATRAHFKAFARTEFEKN IKVDKRDFSAIEFLLRKGSRQLEMYASPGVKDIR NEUTE1DRAFT_70153 MASFTRLVRFLARDGRTYYGDAILPQGVSDIRAAKQARLITGDI FGSYTVTDQVADIRLLLSPLAPEDVRTVRFLGLNYEQHAREANMPIPTYPVLFYKPVT SLSGPTDPIPISRMAQEGEGLDYECELVAIIGKRCRDVSEDQALDYVLGYAVGNDVSH RDWQIKRGGGQWSLGKGFDGWAPFGPGIVSKDLIKDPQTLKISTKLNGKKVQESSTAD MIFSVKKTIAFLSRGTTLLPGDVIWTGTPQGVGMGRKPQLWLKNGDVVEVELEKVGTC KNKVEFEASGPSSKL NEUTE1DRAFT_113500 MDTGETTSFCPFGLLLVALDNSSCLPDLITAPKTQQSEYHAIAE NDVSLCTNEARTGWRKGSRPVNIPAPGYVWVLHGNATERGDDIRRGLDRPADQHHKSV ISSTTADATSKVPEFLNLGDSMGDTWTERSKPPEFLNLQQPNERYHGFPGHRTFETTH GPPEFLNLQQGSAWWS NEUTE1DRAFT_113501 MQCFITAHEAMVSEKSLAGAKKRTTEAFMEAGLLVQELNVVLGL LWQQQQQCNPGSDGIPGACWLPQFGPCKPGPKLPAIPEQPDEDLKFIHRTTQEIGLSD PNYKVQGYFFRNIERKTAPVRSTSPPPAYAAVDSRNFSAIEE NEUTE1DRAFT_70154 MASSRKRPRASDADRQDRVQERKEDKDNTRAECPFQVHIVDPVV AEKKKNKKRRRTVGGKAESIDDEDTDPADKIHSQPSPFHPSGKFKTYPNMDVHYKVEP AKDWTDMTRYNSFVLNNVKYYAENFIYVANDLSIKKKDPKQGEKGPNDEATAPIQRRD TEWVARILEIRARDEHHVFARVYWMYWPDELPAKTRDRKRIVEGRQPYHGTGELIASN HMDIINVVSVTEPAIVKHWFEENDEETQDSLYWRQAYDVRSQELSTVELVCGCNTPAN PDKLLVGCSSESCKKWLHEECIKDQALRATYERLGTDKPHIPVKEEKVDKKEEDEDDQ KEESKAKTEANGDTQQQQQERGESVDVKAEKDTTRTTNGSNNSNGNRALKADSEDDAK PAPSEDTPDAEAAEDESTASGLALRSRRTRTASAKASRTTTPAPGSTTTTTTTIIKSE EGRKKPGRPRKKALVPPPPPPPPGGARAKVTNKERPWEGLFAVTLEMNGTPYLEFTDL RADVVARGGAKTWTEPITCLVCGVLVN NEUTE1DRAFT_88834 MTPRHNNVNHVYNGDIQWDESLRPPPAPRLFSRSSYASYASKAS KRSFYSYTSSCFEADDERSDTLSFADWITTTMSGRMSPANAGDNSDSYPYGDDTDGDS SSGLPPPRYPGDDTRPTSQKELAGWYAYAFAAEVYVICGSFIPILLESLARENGVLLS DRSKPCGSSSDKHTTSAEGQCVVYVLGMEINTASFAMYTFSVSVLLQALLVVSISCAA DHGNFRKKLLLAFAWIGSACVMAYIFISKSTYLIGALLAIISNTSFGASFVLLNSFLP LLVRHHPEIDQVGDYGSPGYATTEEGDDEDDEYQEDSTRNSTTALLGSRRYDEGEPLS RVQTTEELTSRELELSTQISAKGIGIGYIAGLFLQCVAIAILITLKNTTWSQRIVLCV IGAWWVIFTIPAAMWLRPRPGPPLPTKSNTGGIRALFHYTIYAWKSLFRTIHLARRLV DIVLFLAGWFLLSDAIATTSSTAILFAKTQLHMEPWALGMINVISTASGILGAFSWSF ISRKFRLKAHQTILACIALFELIPLYGLMGYLPFVQAWGVGGLQQPWEMYPLAAIYGF VLGGLSGYCRSLYGELIPPGSEAAFYALYAITDKGSSVFGPAIVGAIIDASGEIRPAF WFLAAIVGTPALFIWFINVERGRTEGEALAEIIEGFKLNGQNRLNGNGADALAEDRRG SDASRAILGRYDDEDEE NEUTE1DRAFT_118398 MAGPEVSHGRGGAGNINPDDTKYVDGEVVRAGSEGSHGDGAFST GRGGAANIGDAGVTPHRRVDKDIIPDAAIRHSQETENYHTGRGGAGNERHVSPAGTGN GSSHKAAAVVDRGTAGGIPGVSGDHHHHHHGTHERQHSLADKLKWKIFGKPKGHETEV SHVGDGPGH NEUTE1DRAFT_104474 MSTRLCAVCNGIFTGLLVDKSYMPHHQSVASLRRSAEEGCYICN LVSAMLEFDKVSDDEGPFRWTLYTNSEERRHHFQQYKTYGSLDIEAKNATYVLNLMDQ AVSNAPIRSVDPGASLTDQAVIGTARSWLENCRQNHASCEPVDPAFNPTRLLYIHDAS SVQLIETEKEAKTHAYVAFSHCWGNYDDWAKESAMMKDVYANSSLNLCASAAGDSSEA SFQHRDRGIIVPLEIEPHWTGYLHGNTWEKRKLGPLPSYLHRIKFKLVNSNMNNSEIT NSPLNLRAWVVQERVLSRRHLFMTCNQLWWECPDMFACEVFPGMFPDFEYIEDARVQY YALNGPGHHSVYGSRMERLWESLVMRYSRCDLTYLYDKLPALSGLAQIFSVARGPDFS LDLNSYLAGIWRPHLPKALCWYTKSNEKSGQIRRYRPHPYRAPSWSWASVEGPVEVKG SREPVCRVVDVKVVREDEQYKAGTVKGGILHLESHLIGPLTHDGSHSGGFTTTTPLDS RLRATFNTMLFQTYWDEGDGDSRDQFISYLDPLPHTVSENGIVKGTSAVRKRVLLKDL VRQRCIRLFIIPLLVDHSMGQFTGLILCQIVRNPGLEGVDEGAVFQRVGYFIYHEIKW GTLDLIPKDRNFSNQKLPRRTEQNT NEUTE1DRAFT_54233 MPTSLDTINNSISIIDGSSSSSLHARSFRNSAPYADPHAQDPLQ LVSSDDLCQNRTFEDGDYYCSRVDQVIYTNVARDGEYRQVAYMNDETGECRFAAVNHT FSGELTPFNEPVALIFRGPIHLKQLGIYTPDGTTYSRIGYYNAAQQTTKGISFLGNRG GEGSGVVSKTFGASLSFINPHATGGAASPQVLANEVITGEFVVMTDQDCDASCGYVRP GSVAKKGFPGTSRIFLLEFSMPHTGGADMPAIWLENARVPLTQQYGACSCWTSGCGEW DVFEILHAGYERAETTFHLDPPVGDANWFQRPVDQNAPIKVVAVWFDPVDGGTASVKV LGRSDGVEFGGQLSAGEVGEVKKRSDGVVSETNYAVRRGQA NEUTE1DRAFT_70166 MGVNGLWTVLQPCARPTNLSTLNRKRLAIDASIWIYQFLKAVRD KEGNALRNSHVVGFFRRICKLLWYGIKPVFVFDGGAPALKRATIQARRRRREGRRDDA TRTAGKLLAVQMHRMAEEEEERRRKRAEAREGKKTAAAAAAAAEEEEQEVLPDMDKVV YADELGMSIQERQKSRRFHKQDAYHLPDLDGGIDAMGKPDDPRIMSVEELEEYARQFE NGEDINLYDFSKIDFDGEFFKSLPPADRYNILNAARLRSRLRMGLSKEQLDVMFPNRM DFSRFQIERVKERNHLTQRLMYEMGMTGLDLTLAVNATRVAGDQNREYILVKNEGAEG GYALGVVSKEKDKGQMTNPIDVDELEFQFQGKGEEEEDSEEDVDFEDVPIEGLNRLPK LSRAAGYRARGIANTRRQFYESRRSEEAEDEDEDDEALFVDNRQDAVYGEEDAMQEAD EEEDLNKAIAMSLQNQHGIGMQPEEKEDEDMEFEDVTPPEWTQKAVEAPKPIVSTSGR MVAHIVNNRASAAVPRRRESTDSSDSEGDIRAAMAAARRKQAKVPAPRPVVENRPAPA NTPFKGPLPFEKLNWKQLLGGNRPKAQPQKEKVSEPPKPVETGEMEPQSDDDAGGFEK EPKDAPRPLPPWLANNDEDIRDTVRKQREQDRQMAEEDEDLAREEQARIRKQREDEIL MIESSDESDGDLEILDAPPSPKKPTQMPGTSLSSLDDEVSQEPVETSRRSPSPNEEEG DDIVFEDVTLPSQTMTLSFGPPATQAEEDAQIEADLFKDVLPPPAQQERVEGLAAVPD DTTTDIVPDPDAPALSEFEDDFSDPEEQELLASLAQEAEEHARFATQLNHKTFQENQA AYEQELKALRTQQRKDRRDADEVTQIMITECQALLRFFGIPYITAPMEAEAQCAELVR LGLVDGIVTDDSDTFLFGGTRVYKNMFNGNKFVECYLSSDIERDLSLSRDQLIALAQL LGSDYTEGLSGVGPVTAVEILSEFPPEPTPISSLTTFKEWWTKIQSSPQPDPALLSTP FRRKFRKAQATKLFLPVGFPNPAVFDAYLHPEVDSTPEPFQWGVPDLEGLRQYLMQTI GWSQERTDEVLVPVIRDLNKREREGTQSNITRFFEGGVGSGTVRNLGGGGEEAFAPRR NEVKGSKRMVEAVGRLKARNNKGSGGGGLSLALPVMAMGPLNGEEEEGGNKKRKRGKG KGKGKKAAAVEEEEEEQEDVDGEKEDDGPEGDEDDDDVVGSSSKLKRGAAGGRGRGRG RGKRARA NEUTE1DRAFT_88845 MSEDPNTTFLPEDRDDATDWLNSLLNKNLRVSVTDGRMFWGQFK CVDAESNIILHSAYEYRFPTSSQAYESATSSSSAGKVKVDLTSRHLGLVVIPGQYITK IELEEFASQVRARNRGFGQGFHPGWRDGGIS NEUTE1DRAFT_132375 MPVPDPFSLADRFTDESSKDASSSSSDDEDDGVVVRANAPTEGI TFNGSIHYREDLNPSLGRGRGLLWKRPTIIPRQPSPVQVIIRKSSSPAKTTTTTTTST SNHCGTGTKTNNTRTGSGSDSDSGDSSSSSSSGTSRSKETINDRETTRPPSTQQPKPT IKTMFKPTSTQPVPEKPPAQVHDEEEPKRPYPPLLKPVFGPARKTAGSTAPTRVPEGG HMISASQGQSSKSTVGSIFRPPSQTAPKAAPIQLQNSQSRYSQSQPQSQNPSSSISSQ PPLRTALQTAPGQGQRPSPPQPPVSSSVFKPPHQPPRQPSYQAPPGHVQRETKIPPPN VGRNIFNAPSKSFFRPASQPTSGQVIDPQPRMQQPYPSNNVSKAPPQSLFRPAGQPHR SGLLLSQPTPSRLSQPQSSGARISQSQPAGPDMPQPLASVTLPPQPQQQPQPQSRPQP QPQPQPQPQMLTSEFISPPVASLSSKVNSQEAPATQPPEAPNLTNLTVQDIESKLQSF IATVGEDHARYVEYLLDEAEQMAPEPKHLSDFDAFADMPALSAPATTSDTASASDDGV ETMAFKIKLHHGDNGKPRAPTKAFKCPVVKIKTDKEVVPKYRFHHTEIKKNILVPNTM LTFVPHLRDVDPDSVDERDYINWLNELEKLDTQSGFKTENRQQKNHKRVRDEFTATLS MYIEPWLKQLGLDVVCGRPTLIRYMLSQEENKAHITQQQKDVLLNTYKDDAILSPKAV EAARIFTLAFNNVFGNNTDPERSITLRDVLLLEKRETVVDEKRAKETPPPANPQRDQS DSNGLLPKVEASLSSYAVLGCNVCFSHDCEHGDIDAHNYHRTFSLDSVGGVIRALKRK WADQVASMGGDEEAVAAASKKALHLPCHNACYRHYDVGPAAAPVTPWANSEISVLEDM FVSVGHSQTLKAQCVVASILGRKCWEVYRKIKELDLSLPQVSPPRPKTGPKGGPLNKV KPLPWYDRRKKCLMGDWQDQTATHEHSIREITEPCHHDGPCTKENEACPCANASPRPL LCDRFCQCTVDECALKFTGCACHSTGKTCIQRQKEGKPCICIMLNRECDPVVCKGCGA KERADPDNAHDETLHSTGCQNVSLQRGASKTVLLGKSQLEGCGYGLFTAEDISQDEFV IEYTGELITHDEGVRREARRGEGFGSQGTSSYLFTLLEHEGIWVDAAMYGNLSRYINH ASENDKKACNITPKIIYVNNEYRIKFTALRDIKAGEELFFNYGDNFPNLTKKLLQDQD GDGENDTATKSKGKRGSSSLAQGTARKATTKASTTAKGKAKTQGRARGARKTAVMEIP PSDDYEDQTWLKDPLPLYDEYDEDDDSYLPVGRKRRKRGGKRAGAGRKKKTPSPEEGE NEGGDQGSAGEDEAEAEVEADEDGDADDPSNPQTRNRRARAVSEISDSQAERDEDMDM DDMESEDSDAPLSPSRVTARRRRQLRTTAAPTTTTTTSAAINNNNNNNNNNTSRATSA SVSASAYTTAASTSNSTPAPSQPGDGGGDGDDDDEAEGNQEEEEEEGGQEKKRSNRGG ARPGAGRKPRKTGRQQAASGSASVSASTSASESTTATGSHAGSHWDRLKSSIAFGSGS GSGSGSSSGSAQLANPHSGSLPANPSGVSPSKSKSKSKKRKAPEADIYSMDEHSSSGS GSDAELFSASESRGNHASSKKKKQKTTSTSTTAANRTRTTRSSRATTTKAIPSPATTT MKIKPLGVTVTRSPGGRGTAARHTSMHNAAAEAQLRAEQSLRDEAAAAAAAAGTAAAG AIREAQQGQGQEMQMQSSTGLYHTAANFQPFTSDNDEDDGEEEDVASVGSGGEEEEEE EEEEEEDEEGRARGGEPPAPGSNGDNHEQAPCEWCDNYVCDVDGDTTTTTGSSSDDEA DRIAWENWMNLPPTPRDEEGHAGRAPGDYDSDGAAVPGHDASNAPGPESGSANEGGES DDNGDDNDNSEESEEEEEEEEEEDQPPVKRLRPRRPHQASPPAKSTANMAGAPVVGGK VLRQRSNQSQSQSQSQKSKITRQSTTATGTRSTERKITRSTTGTTSTRKPSNPNPDPN TNPKPKASGPSKETRSSSSAAAAAAAAVASSSAAAAPGQIQNPTRGSSSSLKRKASGR AGSTGGGDMEGASHRKRQRPLRYRNEEELKTETG NEUTE1DRAFT_55853 MHRTYSMRASRAPTASQIQNPPPPPSSTKSGRLFGRGGIGGLGH ALRRNAAGAFGPDLAKKLSQLVKMEKNVMRSLEMVAKERMEVAQQLSLWGEACDEDVS DVTDKLGVLLYEIGELEDQYVDRYDQYRVTMKSIRNIEASVQPSRDSRSTLLLLLSQL LSLFTTNTPRGKQKITDQIAQLKYKEPNSPRIVVLEQELVRAEAESLVAEAQLSNITR EKIKAAYTYQFDALREHCEKVAIIAGYGKHLLELIDDTPVTPGETRPAYDGYEASKAI IQDCEDSLTNWVTQNAAVSAKLSTRSRTLSQRRRNNIKARTEGGAGQGHDLSGQDAPL NDRDSWVPANQHKEVADYEVSEEEEDDDEDEEDDIHASGSHSMLDGESEQHQRGRNTE PVAA NEUTE1DRAFT_149216 MKSFRAANALLRTNSAASNAISRAAAPLVTASGSPACQCLLTTP RTTLPQTPLTVRPFSTTPIRPGKKDKKPKRGGSNEEAEEPPARGGKKDKKGSSKNSSS SPAEESSSSSNDPKDPNRPIPSPETPYDLSDLTYAFDRADKHYLDALKTFRSGSRFSA DSIGSLPIFPDKKDTSLSYPLRDLATVAQVSGSGRKWSILCFDESSVKPIMSAVQKSP DFNQQPQRSEENPLELTITVEPERAEDLQKRVKELCQLWRDKLRAETHKREAVHKKWK QQEKILDDDVKALKTKVQKLQDERMKAVAAREKEVCNAVMARK NEUTE1DRAFT_118402 MNRQVVTSTLGRRGVASTLLNAQQQRPFSSTTTRCAAEDDKKPA AAVTPRAPGPISASRQKSEAAVGKLTQLRGSFTSLTNDNSFHKTLPAGARDARRLAAA PIAGKGTTKDAIAPLGGGGGGASGAPKVINVRSLKGTLGSRGSNIPGAVAPGAALRPR FAAGPNGARPRFGATPGAGTPAGAARRPPFGARRARPAGDKKRGSGEKRPRGDDYDAP PTEEEKAFLRGLEQGKVTEYVPKLTPDTLLGYGPPLATDASLGKVESAMRTMRILGGG LPFNDQSGVTSDPTAIKHRYVHEKKPVFFSSVEEKEWVKESLDKFAVSEGPEKKTKLK ILETSVLGKYEEPKYVESLSETVKMVEKYHGGTFSYAPSDAEKFKKKLNQLLAAGLPR AAPAPAQKKA NEUTE1DRAFT_149218 MTQNASLTHTRLPTKLRHNFVLNPITALAFYQSPNSRIFLLAAE DTYLKIYDVATSRLVSQIKVFYSQPIHGLYVSPSPSPSTTPKPAGTTTGQDEDDVEDE DEEPRVLIWGANSVAVISQGTVDQLVKGHGGSGVELREEKAGDWIYDGILFPAERKRG AEGKEGGKRRVQGALVTAHNEVVPLLIGGDGEVTFGPLTSPSRPILYSANLCLLDDET VLVAGGTVFGEIVVWKYFLDGSGEGRGKKFEVLYVFTGHEGSIFGVSISPEISLSNGG QKIRLLASCSDDRTVRIWDITNSPTRGTSILTDGSGENDHSKRCTLAEARETGFGGSN NSEVKVENQNDKARCLAVAMGHVSRIWQVRFTGRTQHGDGSPIEIHSFGEDATRQRWE LTLDQAKWKEALERGYSGEQDIAGSFGMLKNCGIVSCHNGKNMWSTAVSGGDGLPTLI ATGGADGKITMAGDQAVGNGEQAYRDIDVTLTVEDVMRVAEGVEEDSTAPVEGQKKNT VKNGFQRYAFLSENTLLATTSSGRLLHGTIGEGLTWKNVHLSETVLADLKSYYVVKSP VQGTAILGSSSGKVYLFLEDGHQVKELHTFPGKISDILLIEGAQETLHKDQPGAWTVI ITVLGLDHALLMTFDPSTSSVTVDPRKIYLSSDDVSPFIATAAAFCGSKLILGSRVGA VAVYKSTPDAYTLDYSRKDARTKDAVTCIVPLPGSSTSFLTGCRDGRYRIYTLTSTSE SATLHLQHEISPPLGMIEGAHFTPSSSGLDLIIHGFRGKNFVVWSESTRQELTTVECG GGHRAFDVVFPSSSSSSSSSGGSPGPLRFIFTKASALRFYSQSSPPLRTLKEGGHGRE LRAVAASVSSSSGEVGRYVATAAEDTTIRLWQYRDSSAPAGKEKTERGFKPLAILEKH SAGIQAMKWFGESHLLSSAGNEEFFVWRITRLESEYETLAVVCESKYPDRSADGDLRI VDFDVQRYGSQGEEKMLISLALSNSTLKSYLYSTETQQWKLLAQGRYTGACLTQIRHL RVADAGKDIQVLTASTDGHVAVWSTTLGSATETETEKAEYQMVTLAKVHQSSIKGLDL SSSSSGQSWLVITGGDDNAVHFTNLQYSADDKTYKVLSRSRVKDAHAAGVTGICTVRE EEGEAVEVASVSNDQRVKLWRAVWQEGSEQPVKVTLLDNQYSSVADAGDVEVIKEGRV MVGGVGMEVWDFGG NEUTE1DRAFT_70182 MGVGRVVCVALPFMLTVASLVALLVGGLAGVADKSMYMFDVNLS NFSISLADAGDLLNLNESSILKAGAQQLFGRDVEAITIDGLTKRDDSNDGLTNLTASL LGFYDIYDISLWGYCTTTHNGTRECTKAKFNWAQDALNGTQHDINSLLTLTGQNITLP KEVTDAFKTYVTASKWAQIVFIIALVALVVEIVFGIFANCSRVVSCLTWLVAGIAAVA VCGFAALATGISVIVVGAVETVAGKYNAEGEFNKRFMVAIWVAAAFALASAFFWMFTI CCCAPSSHGGHSSRSSRRSVGMENEKLIGSPYQPVGGFHAHGANGKPSQGFLGGGGYA SQPQQQYAKRDMAYEPYSHQRI NEUTE1DRAFT_113518 MTQVRQGKFRRRMGWGGNDGTESENHATLFLTSGKQIGLTRAIR NGDGSSQRLLRLDGRTVKLSIPHVHPWKRLQIIFPFPGHVHMLDAGFPPPPHSFDTSR VSPRKTIDTCTKELNLVSIDVHVVTQPLNNVFHITCMNQESAYFYMFCICDDDDDDDD DDDDDDLTITRAQPLPGAPPLGTANVLTGEHGPVPDQMSA NEUTE1DRAFT_70184 MGNSSSKDAGASSKNRGDDDLKSYPSFSKSDTKDSSRSFRGLRS KIPGGSKTDSPRNSTILSSEEVAEKMNALSGKNGRPSVHTRPSRRDMSPSPASPSTSS PSSPSLDTATLASPTGEPLPPPSPVHNATGGHHDVRAAQESGEVDHISDQPPSGGGGA NSNQQPGQSILVKRENTINPVYDTPNQDPQNEQAVSGVAMSDIKDIDLDDFIKRLLDA AYAGKVTKSVCLKNAEIVAICHRARELFLSQPALLELDAPVKIVGDVHGQYTDLIRMF EMCGFPPNSNYLFLGDYVDRGKQSLETILLLMCYKLKYPENFFLLRGNHECANVTRVY GFYDECKRRCNVKIWKTFVDTFNCLPIAAIVAGKIFCVHGGLSPALGHMDDIRNIARP TDVPDYGLLNDLLWSDPADMDQDWEANERGVSYCFGKKVISDFLATHDFDLVCRAHMV VEDGYEFYTDRILVTIFSAPNYCGEFDNWGAVMAVSTELLCSFELLKPLDSAALKTHI KKGRHRRNNMLNSPPAHFNPQSV NEUTE1DRAFT_113520 MPVSRDVTVLNSASLSFIGGNCTRQQGHDWSLLPPTWDLKEIDT KREGRQFAYVPTLTAWSEWPKCFNASFRLNNPPNEKYNYYQFASQSTCQSACQLYTQL SLKDSETKSEITLVRSLL NEUTE1DRAFT_52239 LTHGNATSLRVLDDSLTFGSPPSPNRTDAITPPTNPTKCFTTAR DSFLCNNTAMLWLLLIFTTIPNNCGSQKPNSETNS NEUTE1DRAFT_88865 MTSLSSIAVGGGPFERDTDPNASPSSSIDSNTTPDTDFTPMGSP FQEAVVPKNKRLLAKKKLANLTQEEKISLLTAADFWRTKSIPEKGIPAVKTSDGPNGA RGGIFVGGTKAALFPCGISLAATWNKALLREVGRHLAEEAKARQANILLAPTVCMHRH PLGGRNFESFSEDPLLTGKLAAQYIKGLQERGVAATIKHFVGNEQETNRLTVNSVIAE RPLREIYLRPFEIAVREAKPWAVMSSYNLVNGVHADMNTHTLKEVLRNEWKFDGAVMS DWGGVNSIAESIKAGCDIEFPHSKKWRYEKVMEALNKGELSQADIDRAAENVLTLVER TKGSDLTPEAAEREDDREETRELIREAGIQGLTLLKNEGSILPINPKTTKVAVIGPNA NRAIAGGGGSASLNPYYTTLPLESIRKVAEKPVTYAQGCHIYKWLPVASPYCSDKTGK PGVTIEWFKGDKFKGEPVVIQRRTNTDLFLWDSAPLAQTGPEWSAIATTYLTPKHSGK HTISYMSVGPGKLYINGKLSLNLWDWTEEGEAMFDGSVDYLVELEMVANRPVELRVEM TNELRPLSKQKQMGMTHRYGGCRIGFKEADQIDYLQQAIAAASSADVAIVIVGLDAEW ESEGYDRQTMDLPYNGSQDRLIEAVVAANPRTIVVNQSGSPVTMPWADRVPAILQAWY QGQEAGNALADVLFGLRNPSGKLPCTFPRRLEDTPAYHNWPGENLEVIYGEGLYIGYR HYDRTKIAPLFPFGHGLSYTKFEYGRPSLSSRVLRENGVIELCVAVSNVGEYDGAETV QVYVRDEKSKLPRPEKELVAFEKVALEKGETKHLRMELDKYAVGYYDTDKKGWVVEEG RFVVLVGSSAGDIKYSVPFEVKQTFTWVF NEUTE1DRAFT_70190 MSNLRQPASVSYRGRDDCPTLCSVTGPDPGTWPAYHSLSQVAHC KETVFYHFSIYDDVDDGSSGHRIYACTSYGALQKPGADMNQMKANADPTHTPTNVTLE FGGWNETAPHRGVDLRSLSRSVRRALAAGYTSDNKQSLVLFVQTVTGTAGLYLGKNVN IQSTAPEALIAFENALYTSNDTGGSKAIQLCGHDYDGDHVVGFIATSNTSFTPVQQAI RSWKNATCLSFDTVRNIAATVDFTTPLVLPSNATTNSTYSASTALRAIRGTHLQAHAE CRTIQVASGDSCAKLATKCGISPSDFTKYNPDSKLCSSLMPLQHVCCSSGTLPDFTPK KNSDGSCASYAVVQDDTCSAIAAAHSLTVDQIESFNKQTWGWSGCSRLMAQAVICLSD GTPPMPAPLANAVCGPQKPGTKKPDNMDDIQKLNPCPLNACCDVWGQCGITAEFCTDT NTGAPGTAKPNTNGCISNCGTNVVKGKPPAQWISLGYYEAYSLGGRSCLYQDDVRQID TNKYSHIHFAFGDITPDFKVSAGDVISTYEFEAFRSMTNVHRVISFGGWAFSTDAATY NIFRTGVTAANRLTLATNIANFVKDKGLDGVDIDWEYPGEPDIPGPPPGADDEGTNYL AFLVVLKNLLPGKTVSIAAPASYWYLKQFPIAQISKVVDYIVLMCYDLHGQWDYGNKW SQEGCANGNCLRSHVNLTETKTALAMITKAGVDSGKVVVGVSSYGRSFNMADAGCYSK DCFFTGSATASDASKGPCTDTAGYISNAEINDIIVNNASRVSQNFIDSSSNSRIVVYD NNQWVAFMDDSIRAARTAIYKGLGMGGTSNWATDLEKYHDAPSISKDWRAFRLSIKRG DNPYALGDRHGNWTDLKCTDPAIQNAEHIPPQERWNMLDGSDAWRDIMKVWTEYQEPA GTKFTVGVSYTIHGPPIADCGSLALSNNCEQTVQCSSNFEGGGSGAVGYEIWNSMVII HEARIYATYVTALYQAAAISLDPVLKDFENQFAPIPKKFDDSWLGILLACVGLVGTVG VSAFFNTALKSLPYWRANSVSYDNWKDTAKALVSFSTSIAGTLTGTKGSNAWTAEKQD AFSDYMGNAVSAWANVTELSLKQLFNGEPENVELLTSLVANGHFIDGFASGGGSGIRD ALDTTVDSPLMTEVRASISKAFFAFAIPSIWSVSGTTAFIMDSGFDCGTVDPMGEYLD KDTQHKTAGCYNGKLYYLAYPSGPAEDCSGGDEAVVCRPNTFSAPPGIDTLDGTRFGG VKVSDLITGAVRTYIQNGNKNGGEQIDPTHGGSLDSLMNQDVTTPGFIRIPVCSASIA FAAWSDTSVSSDVDNYPCYIKPSPDYCEASSFVDQTSDASPSTSDCMGIVHNIQGTQG QWTVENGQQHQLVQYGACKFGIQGLNKHGNGWFHVGAQDIVDIITDSVNKFGGSGKVG AKGLMDCKGNINSQDVEWGLY NEUTE1DRAFT_104487 MSAFLSAVLLWAMQVGAATFPATVEVDLIFPRNDTYAPSILFPI VFAFQNAALASSLDPSLDLLLWDSTFSHANDSTLNLKSTNFSNEPTFVYTYISTLNTT ADGAPTSYMLSWELGARNCSHGGLPFIGGGFRSLGVTFTIANGAPKPDLVGATTNSAL CTNASHFAFNLTGTLDVYPAQYDGRNSCAVLSDVQPLVDGDPCAVHVDSATASSISAA LTATACADAVSPLVSCPSKQNAAAADTKTGFPAMLSGFVAALVAMQLL NEUTE1DRAFT_113524 MGFASSLLMLGVVPQLLVAAHVLQARQSVSCDFATAANNGDTCA SFAAAWGITEAAFAKLNPGVSCPGNLIAGSERSHHDQGRYYVCVGVKGGSSPPPTTTK PASSAPTPQMPNTISNCKKFHKVVSGDGCRAIEQAAGISASDFAKWNPYVDAACDNLW LGYYVCIGV NEUTE1DRAFT_125152 MAPSYSSNGGYGYSSSSFQPKHRRSDSTSFLDDLRAFLAHSSRS AWRFWRERGRFIAWAALINAGRRLRMNLTYNRLFSFPHLLVAAWIVVLLWGERWVFHS AVEECEWEKWERWPAGANPHRLVLVADPQLIDPHSYPGRPWPLNPLTMTIVDNYIRRS YTQMQSQLDPDTIFFLGDLFDGGREWKTAHGDFRDPEWGPHPKDEQKYLKSWNKKYNE FYWLKEYARFGEIFFTPWLEAGQKPENEHKRRKLIASLPGNHDLGFGAEIKLPVRNRF EAYFGEGNRVDVVGNHTFVSVDTVSMSAASLSEIARQDVQPIYKPTEHFLNQVKWTKQ KAVEKELRYLRGEVPEVKLHHRIEELNQANFKDQPHLVHDENSQKIPDLPTILLTHVP LYRPPGTPCGPKREHWPPTPPPKGQTEPVFPDHRNAISMYRGYQYQNVLDEKQSISLI EKTGNVIHAFSGDDHDYCEVVHAPNQGSVREITVKSISMAMGVPTPGFQMVSLYNPLD PVTGKPLAGDGQPTMQTHLCLLPNQINTYLHYVGLGIFCVILLAIRAFLVPVLNLPRF ALDSDSDSSHHHPSGISILPVFKAKQEDYDEYSLPSAGYSASSKYGSSGTSGERRTRT ASVSSSSLKSSNNFRNGHHNEKSGGSSGRSRKSSVYSNSNKSVKGGWSWGGKHGHHHE PRIEIPGENEEDLYYGGAFTSSSSSKWRARQSRKKLKPKTAMGVVVTELWTTVWRVAW MVVVVFGWLAWKG NEUTE1DRAFT_149225 MPSLFSRLKHKDGAKSKKKGADLDSFTEQLPKGPQWTDAWTRTS VEPEEVVELIILSTEELKSRALDIPFFLLPFRPTSDPSAVRTFVRHFFDKQNGGPAIR GEALQQELRMTEPMVISGVIKWCWSRLEGGVVGWDAYELFKVGEQDSNMARDSFKTFI PLSVDNRARTEIIFQFFDLLSAVAAHGKMNGFGGRKLSRMAAWWAFEHDDGGQGFEGG YKSWLKAADATSHLFFAYLRCLAPLPTLGAISTLPLSLQKLLQETEYPPQRPALLQSS THKVAMIVETVSPTPFALLRRANHFQYREEDKALREWSEYDDPVQALTEECRRVLKAV SAANQSQAVSSTKHSTSLRDASWSRFEDMGFSGSIEEDSTDDETTLAAKREKAIKAQI AANADMGRPTTPSWADFLSSGFDDKSHGSHNILLPPDKILPPIETTVRQKSSESHKPR FEDALQPGELASITRFDLDDAFWWVWMSSLAPEETLERKAAFGRCAVIETLIRAGRWL VMEEIVKGAAPEVVEGAYIAEKKGLFSWTRRNKNLTRRKSTSGKNALEKPDGNLKASH TTGSLGVSKTSVGPDQQAKIQAAAYQLQMQEKQKSQPQIVQRRGRNDAEVMAEKTNSV FTLQPSILNAASPAVQWANKYDQDRDAIREAYLADSNAGRGTYGSSYASSLGQSSTME ISQAAPELPPKSAAQMAVQSPRSDSHPEYIGTPTTVKSGKGQMMERAVEAPHEVHPAE RHSNVSRETPLATPTRESKSMDLVRKSVDFMRETMASPEYVDDKKSKKLHKEPKTSGG GFRKLFGRAKRSSKIADNTPDQLNAMIAANNQNAQHRKPVPQQPKPATPEKPPMQREE TQFVTPREEVTDPLPATHAAEPVQAEPTYEQPQRDVEETSQVNSFEATPPQEHVNQFS QGPLRDQPAFVPDDDDASEEIVEEQQAAAVPAPMSVPAPTPVHIQEQKPMRRERPISE MTVSETSGSSIPNSPEPAPVSPTPSNPQDRWAQIRKNAAERAAQRLKEEKMNGNGQPL DGARTLQPPRARIELDGYSSTEETIESRVARIKARVAELTNNAEQNGGIPPPARRNIP VYTRR NEUTE1DRAFT_88874 MSSLKRKAGSSQPGPSSDAKKPKQNGNIMSFFGLSNSNSSATTT ATPDPVTVTKKFNKEKWVASLTPEQRDLLQLEIDTLDESWLAHLKEEIVTKEFLDLKR FLKNEWETKTIFPPKEDIYSWSRHTPLPTVRVLILGQDPYHNHNQAHGLSFSVRPPTP APPSLRNIFIGLSRDYPSFIPPPGKSGLLTPWATRGVLLLNTCLTVRAHEANSHANRG WERFTQKVIDLVNERQKKGVVFMAWGAPAGKRMAKVDGKRHLVLRSVHPSPLSAHRGF FDAGHFKKGNEWLEQRYGKEGRVDWSLVEGKSIFADVEGNSNGEKEGKDGEKEEEEDE FEGGEIFDEEGLKEVEAVVGKVVTEEDGKEEMKTDLVVKKKNTGEEGGDENAVPVPEE EQTEKMEED NEUTE1DRAFT_104492 MQSTGDTLRCWDPETGGEYKILSNAWSCAENFETCDCPPLKADP DIAGQGVTASLFISAIITALNAIKIAKVCYDLALCLGDQQLVTSIALLIAAIKKLHID RSLSVYHFTYVIDIAFLSSAAYTYTTITHWSMKDMDEPKDDDDAFDTQDPKPLLTWER LRNGLPWTLRLLLMYTLDISLLYSSWAAAREDWDETQGCPALCVMENSPFGGEGRKWM VVNYLQILSSDISGIFDNISFFTGRIWPWWVLYLRPKLIDERGLPATLRSREQHTEQA GLVTRLWSWFLMKVKKRSPAGLRISYRNVDPQMDAPPTVLEDGRPSRVPTTIQGHSMN QLRVPEGQSCSATVVFTGRWILRPVKAVLSRLWLLKNSKSCQLLENTVWFCLNFYWAV ECRRLGQREMDEEEYEAEQAMGFGQIVPVFLLILFVLQVFDSLKGNSHQDAIPGQQPR LGILKVVEDPSAMWHDQPFAMFLDVGFVGLRASFRTHVHLKGVYQVIAFRTSEFIRCW QEADDGNHTRVYYNITNDQWNCAMHYGHCDSCPKLQGDPDIAGIGVFVAFMVSVSLTA LLGIIFPILSAALRPRATVIHDCLDILLEKVVRKTILKRLGIKKAERWALVCYNSVFS LGDQQLTTALALLVATLKKLYSDQSFSVYHLKLVMNLACLSNCVYAYAIISLRIKMDL QQPTDRAEGSPAVAPRRVKNKPPMVNWSMRLRSVLMFTVTALLLYTGWIAARVDGMEP NCPALCFKNVPAKGQAVKPVLQLFDSVSETKVETDVQDQVERGTQTESRTEPEVENGP EGRAVTASNMA NEUTE1DRAFT_88877 MASILEGAHSSAGHDFDRIIDHRHDSSSSASSASPTPSAFPTVA QDDTAPNEPVEITAAQKMLSATSGSLLTGLLVTPLDVVRVRWQSQSFTRPSPTAHTVT DFAKLAGSQISNPAFRPANLGVTACCREVFFANNSEGCIVTAGPRIGSGAITASAIPC AVEETKQRNFNSTLDGLRKIARNEGFTSLWRGLSPTLLMAIPANIIYFTGYEWLRFNP SSPIQQTVKEEYAALVAGAGARILAATAVGPIELFRTRMQASPGSTTGSHLTHTFRGI KDMVYAHGYRSLWRGLTLTLWRDVPFSGMYWWGYETIRGKLTDAREARSRGRGRTLDL DSEERHRVRRRSQSRENHAETFTDSFIAGALSGAFASVATMPFDVGKTRTQVYRDTGA ATKAAIAVTMEKSAVRPEERNMARLLWHIFSTEGVAGLFRGWIPRTLKVAPACAIMIS SYEVGKRAFRGMNERAAMKEREELAQQGQQQEQSDEEA NEUTE1DRAFT_125157 MAADLDQFTDTKSLQGGGLTGLERMMELESKYQIDQSHHNGSLS PPTPSSPSSLNQQEPSTPIAARRLSAQQNYLMLKAVRRSLVIPPLSITIPTGLTEGKY EFTRERDYQRERKEESQVQPGVIAEPLHKQVKFLAPDEDEEEEEEANMSDQSSICQSP SWEHYGERKKQKKEDAERRKKEKEHAEKEAKAAKKRLAARLSKAPPPTMPRCPTQENP RIATLTIPERSMSDTLLTNKHLLPSSHLVTTQNVDTSLSADDLQRHTRPYHTLASSGM SKASLNQSERQDHLLLQHTIRYESLEQRPQPRQTQSDSLGVINSSPGQVPSPRREVFP PSSSRTPMLRATRPATSHNRSNSLIQGASRLFKGKEDEPRDSNAGPQLQDSPSVSEDD TRGRSRDGFFRHKRVQSASRAIAGVADGQLSSTSSIGPSSRSSSQTTQARRSSLSQDA RSVAMKLVGIRTISATKPDRPANKKQTKDTDYFNFMEHAYSAAILSSMATGGKPTPAP EAPTPPRSQGSFGEASVTLTNASSSLKGSSVAGSDADSHTKKNRSLKDAARAALGMST GHSATPDVVRPPIQAPPYLRFRARMSAQPSVASTPNAIPPVVQQMSSGSPQAIARENE TLSKHTPDTTPDNVVRTTEVALPQVSASEGSSSSSAFDEASPLPSPTTTPDTSRPQSS KDLPLVADDFTSSPTSVEERQDDDRTLRQCSEDSASQASTTPRDDSEIRDRNEGLTVG EDWIKSGLLIDLDFDTSPINTNANGEVKANHGAKRSNAGTESFSSTDSSRPPSRCKDN SQRQLIMEERDETRPQLRMSKSLSDPDIRVTGASMVAHATMSSTEDTIIVPPRSPERT RTTGLFGAEMTGHEQKLHQAFSWEKGDEESYQTVSMAEVAAREKAEVILETEQRFEMT GEEPKSKRRQKLTCSRMSGQAGDPRSQQPRAVSGERQQSGYRYPSSSEPSPMHDSFAS ASSSSAAGGSSRAKFTTLAAGFASSPDLSGSSSRQTEDWPEVPPMSQMVSQFPSPPST CRSPTPLVSPLSPPPSSTSFASTASRSASTPPVSILKQPRPAMPAIPTSMPILSALPK HMLHQSNHSTPNLPPPASRPSTLALAHGPTAEEKEAAARRAAAGGASSQPFAKILVQC CSCQFFLDMPSKVYECIARPDAVVEDRALGVSGAIMTMVKCPWCAHKMSRECCRGYTA MVTLVERYH NEUTE1DRAFT_141403 MPPLFSYQLYQMSTSILNLARSSASCPQDIIVVSMNHGQQHGQR GFSQPRTTLNGAQKHRASPFSPPLMAHPDDRQTSPDAALFLAMASAL NEUTE1DRAFT_52085 MPPPEDQHPPNPSSSNYSQTSSLASSRPSTPNSQPAFPRLSSSS SYSQPSEPPQPSQPPQLQPQHDHDHEQPHPPSPRTTTRNANAGTSTIRTSAATGAPSR PSHQAGPPHRAAAPPPTLREKIFHALPPHTGPLNVGFMELELPVREPRTFSHIKRNGE FALKLDTVLFAVYYPCEGPEEKPKEKAIMTRDRIRRCRGSGNWAGWDNAAGRKQDEED EAGGKKGNVGLTRPTWLPRPRLSTCKGYANFANMPKAPVASYFALTSMFTKLPAWRNA KLSDGPPLAGPEEMGEEALNRGFSDTSELTLVWGPRPVPDAEELRRRRAKYPVIIFSH GLGGSRTVYSTICGELASYGFIVVAMEHRDGSGARTYVNQEGSSPDLCSQNLDRRSYS EREKEDGSAVKTKRRRKKNGKKKPYYMVDYLFPLDNAQDTRPHNPAGVDTELRLAQIE MRCAEIQEAYHILGMINDGQGAEVARRNLRKKGNKGSSSKGLIGIDWEDWTGRLFLQN VTMMGHSFGGATTAQVLRLREDFTWISQGILLDAWGPAMPEADSEEHKIQKPILAIGS EAFMHWKDNFERVENICLEAREAGVPSWMTTIRGSVHLAAADFAVLYPNWMSLLMKSL VNPKRAIQLHVESALEFLRMTLPEQHHAKFSKAWPFEEGLLTAMEGAANEVSFDFRPN EKWVAARLKIPHEFKLRTRNWAKRRTQPSRDIPRDRSGKPLAGLVDWGAGNEIWVHIS PDKEEVPDVSEEAE NEUTE1DRAFT_113532 MRYSLISAIATLGSVVMAQPVVRSVTSPQVCDSLKVLKEKAQKL QVSCQGTEWDAHSIAIGHGAFSIIAGNGDMLLAGTKTLSDLEGTNPFTAEECTAITDS FKEFSAAQQVYLNLLAGKSVVLTKAPLATVGPSVAVSLTNLKDVYTKLDTKLISLCAS KAEELTSEAKTFEATLDSAISKYGSVGKIPILPN NEUTE1DRAFT_96323 MSPYDLLKPPFVTCLISLKASIACGENYVESLPQACPPNTQKEM FSQILYSVAATKLL NEUTE1DRAFT_88881 MTRSRKTPILLLDGTGVVPSRIARRLAASSADKHPLLVASILGE DPNGVKFNLYDSNTWENPFARAQELFGSDNEMESDGMIHSIYLTAPNSPPSSTHQASL LMQFVDYARLKHGTRRFVLQSASAMEPGGFSLGRVHAYLRELGQRGEAEWAVLRPTWC QQDFEQQCHVKSIKEENRLYSATSRGKIPWVSADDIAAVAVEALTNKDAPNTEYLVLG PELLGYDDIASILSSVLGRKIVHVDLSSHDLERRQQSFGCSEEHSRLMSSLDTAIKYG TENRTNDVVLSMTGNKPKSFREYAESAKHLWV NEUTE1DRAFT_70206 MTQPPSHPQPSSPLSPLSPSDSPPPPAYGDSLDLDHISLSRAGF QAGAALTSDGRINISISEKNHRLSQLLAPALRNQLSGHPPSPITTTTGGPLPPPYIPT SLGGHPGQTPPPKLNVVIQIVGSRGDVQPFVALGQTLKQTYGHRVRVATHPVFQKFVE ESGLEFFSIGGDPAELMAFMVKHPGLMPGFDAIKTGEVTKRRKGVMEVLMGCWRSCFE AGDGTGPPPREWKKGDRNAEGVPVGQDGWTVSSSSSSGTGGKPFVADAIIANPPSFAH IHCAEKLGIPLHMMFTMPWSPTRAFAHPLANIEASNADVNITNYISYALVEMMTWQGL GDVINRFREKVLDLEPLSLLWAPGLLTRLRIPTTYCWSPALIPKPNDWAQEISVAGFY FLDLATNYTPEPDLAAFLAAGPPPVYIGFGSIVVDDPDALTRLILRAVAKSGVRALIS KGWGGIGLTEDITQADWAPRPDQYFMLGNCPHDWLFNRVSAVVHHGGAGTSAAGIKAG KPTVVVPFFGDQPFWGAMIAKSGAGPKPIPNKELTAENLAAAIQEALKPETLARAKEL GNKIKEEKGADEGGKTFHQFLDVDALRCSLSPSRVATWRVRRTKVRLSAFAAEVLVRE GLLQYSDLKLFRAKEHITDGQPWDPITAVTAALVEDLGTMAMSVADFPRGIFKAKEAR RARNLSGGNGQALGSETPADGAHGASSPQAHGALSPESQPGSSYFPPTAGDTAEGASR SASDVKGKGKSVPSGTHSRNDSFRGSFNQRSTSGGGGPSTPGGTANMSGAASPQLNPE AFSYKSARDATSSVGRLVDTGMKMPMNVCLGLARGFRNAPRLYNDDTVRPQEKVTDFA SGVRVATREFGFGMYDGLSGLVTQPMRGAEKEGTKGLLKGFAKGIGGVFLKPGAAIWS IPAYTMQGLNAEVRKLFSGGGWMNHIMTARAQQGREEMEHATREEVKDVVARWQEKRW EDVAKGGVQGKGKGVVSPGTPAGGAGGSSGQGQARSGVAAVVGASNDAELERAIQASI QQTSNGDPQEDARVEAAIRLSLAQMQHQMASQAQQPPQHDGTVPARYSDLKSPPGGWS QSATGPPPPSSADVASPAAQPPAYSADYQQHPPPPEKSSLAQAMIDEYFTGISDEEYQ ALIEEAVQQSIRAQMNQPSTMSAEEEKDLLKAIEASKSTYTFGDVPPPPPAYQSSGFT SIATPSIPNNGEAGGGPEEEEEEMRKALEESERMDRERREQEEREKQEEAIVLEWVKR QSLAEERFRGGSQRGLGGGEGSGGGGGGGGGSGGGQGQVQRQTQAQTQEQGHVPRQYQ PAQVQSESRGQELEGNFEADEHHGPIQMEDRDYDEEEEFRRALEESMRISGQGDSRGQ NEUTE1DRAFT_88888 MKLISVLDWGLYLLIPTLILLHLVIAPYTKVEESFNIQATHDVL VYGTPLQNAHHRLSQTYDHFTFPGAVPRTFAGPVVLAGLGQPIVNLAGFDHAQTIVRG MLGLANAAAILLFARNFRRAYGRSAARWYLLLQASQFHVIFYASRTLPNMFAFGLTTT AAALLLPNPQSPELIHRRHRSAISLLIMAGVIFRSEVALLLFTTIFHLLFVVPSTSLE RIIPHFIVAVIVSLIMTVPLDSYFWQKPLWPELWGFYFNAVQGNSSEWGTSPWWYYFV SAIPRLLVNPLTWGLMIPVAWKQPAVRPAVKGLLVPSLLFVAIYSLQPHKEARFIFYV VPSLTGAAALGADWIARRSIKAGKTAAVLTWGVLLVSIAVSFVASTGMLLISSLNYPG GEALAYLRDTVQAEVAASSSSSAVVPVHADVLSCMTGVTLFGTATGYASAVPSKGKIV RKSPGNSVVLALDKTENESVLVQEAFWKRFDYVLAEDTKKVRGDDWETVGMVKGYGGI EVSLNGHAEEDKVPEDVPVVGKSVSVERWKNRVKAITGGKWVGPRMVPRIYILRRVKD ARRARETVDV NEUTE1DRAFT_88890 MATADPFDSALDLLRRLNPKHTAEHLNNLITLAPDLTEDLLSSV DQPLTVKRCKQTGRDYLLCDYNRDGDSYRSPWSNQFDPPLEGGNQGGSGGDGEGDGGE GGAAGSIMPGERVRKMEIKANEAFDVYRELYYEGGVSSVYFWNLDDGFAGVVLLKKSS PTNPSSSGVWDSIHVFEASERGRTSNYRLTSTVILSLATKGNALGEVDLSGNMTRQVE QDLPVENDESHIANIGRLVEDMELKMRNLLQEVYFGKAKDVVGDLRSVGSLSEGQRDR DAQMEIIGSMRKA NEUTE1DRAFT_104501 MEVQKSKESWSLMVSPWDMDVGGRRRTSLCSSRPESRLRTGCKG GDYLDAKKNQRKRTPTGVENRGTDRDRQRRTWKG NEUTE1DRAFT_88893 MAEKPSVLIIGGLGYIGRFLALHIHKNNLASEVRIVDKVLPQLA WLAPEFEEACAGPKFMQADASREQSLQRIFDRSNGKQWDYVFNCGGETRYSQEEEVYK LRSLELSLAVGREAAKRGVKCFIELSTGMVYKPDSAPSKEQDKLKPWSKIAVFKLQAE EELAKIPGLNLAIVRLSHVYGPYASQWVATALCMARVYQALGSEMKWLWTKNLCTNTV HIHDVTRALWQVAEWYAAGKAKWDAAKMGPTPTFNVVDKGRTTQGIMADLIGDVFGIK TGFQGTIISNFAKIHLHDVVEDVNDELLGPWAGLLEDAGITKPGPLTPFMEAELLKDT DLSMDGSRLEELLGFKYEKPQINKELLQEVIESYKRMKWWP NEUTE1DRAFT_70219 MAAPANKTIGDLSGKWVMNKSLSSDVDPGLKLQNMSYLTRKLIT SVTLTLDIKQFSAPPSPPADADSAPVVIHIEIDQTGTGGMKGTSEKRCLDNVFRDHKD WLFGHVKGQTRWVSSAEEVEDAFLKSGWLEGEEEKTGPNGETHVLSYVESYTDGWTAT QVWGFKTIEGVRRHVRNVVIAKGSERVELQLVYDYLS NEUTE1DRAFT_118410 MTDIMAQPMTTEGSPSIPELQQPFVSKWASRYRGATVEDLDPPA ALSLNPSDPISLALLSAFERDYTHLTIVDSQTRALLGYISIPQLQARLERGEVKPEDE VRTAMMRFRRKDAAKYQVITMETPLEELEAFFAGVATAGQKQDFAVITDENRRFVLGV ATVADLEEFVKRRPA NEUTE1DRAFT_88901 MPSVYPGLDILQNTFGSSYKPKTNGATPLQARTDLYPAYSIVDD AKSKAKKLSAEAAHEFDVASQKAQAKTGHIELYSSQYYAACTFGGLMACGITHTAVTP LDLVKTRRQIDSKLYKGNFQAWGHILRHEGVRGIYTGWSPTFFGYSAQGAFKYGWYEY FKKKYSDLAGPENAHKYKTALYLSASASAEFLADIALCPFEAIKVRMQGTIPSQYKGT FDGFSQITAKEGWGGLYKGLYPLWGRQIPYTMMKFASFETIVEMIYNRLPGAKSDYGK AAQTGVSFVAGYAAGILCAIVSHPADVMVSKLNAYRKPGEGMGAVTSRIYKDIGFKGL WNGLPVRIVMIGTLTGLQWMIYDYFKVFMGLPTTGGAAPPAEKPAQHS NEUTE1DRAFT_51192 MTTISLGDVIISDIVVQYDLGRVLADRFARKDTAHDNLGRPNKT IRNILANLGGSRVQEQIEQRAGIFLKHLQGKANTKASRNRRRSHANYQYPGAVNDRLF QPWYRHKHRSGSGCVICEGCLSDEDPACGESLKLSCEELGCDISCLIPREHIQSTLDD PDSESPESIYFHVGAIGSGDAVIRSGKRRDQIAAEAGVIAFEIEGAGVWDELPCIVIK SACDYADSHKNKEWQNYAAATAASVAKAVIERYIAPAMVTNSMQSSDSDLGGRQETQW GGGGTGGGTGGTQFNGSISGSNFVVGNHTSGGGTTSISFA NEUTE1DRAFT_125166 MNWAAAVSGALKHPHPSGPDYIDRPIITDWLQQKLNKSPSRAAL VGLGGIGKSKLAIRYAEQVCKESPDTYIFWVNSATKDTFVQGFRRISEKLGLPNEYGV GTDMLRQVFNWLRDGENGPWVMILDNADDYFVFRNERTNSDVPPAIDSGVLDSFLPQT GNGRILITSRSQDTARILALGLDNIRVVREMEEDQARLLFSRKLGDLGENDEISRKVV LALNCIPLCISQAAAYIHKLRPRMTCAKYLEKFNGRNFVFLSSRDNKVEIKTWKITFN QIRDERQSAADLLSLMSFFQPQGIPEWVLQKHYSEMDNENSSLDIGHNGEDEFENDLI LLRDYSLVTVAQDTKAFQMHSLVQSFTRSWLEASHEDHKWRRCFRQLMITNYPKNRPE NWGACGELTPHVEPFVNTTACQLKGVDEAIHFVELLRKIGEYVDDMVMNEMALRLVNK AEQLAVQRLGQHHEQVYRISTTKAKILVYSGSVAAAEEAALRAYRGFTSLLGLDNPDT RYAREIYALSLSTAGRLDEAQAIFVEVLESQKRVAGADVMGSSLTLHGLASIAGRKGD HKEAERLYRLAYQSNCSTHGLTSPLTVVSLNGIAVVLVEQGRWAKAEPIIDEAVERLH KVMGPDHPNTLEAGILQASKLVYQKRFKEAEDLLCRVWKARKRVLGLEHPSTMRTLNG YRDILTRQGPEHPDTIDNLKWVGYLDMKIGNFEEADDVLHQVLELQKRVLGPEHHKLL DTLSHLGFISNYLRRFEDAEGFFRQHRQLEQQIRGPTHPDTLTILERVWLLVRGMLSV NEUTE1DRAFT_118412 MGRGSRICCQKAPRDLLMSSEATMALQAQRRNGYNVSEDDDAAS LDEWFDAVHICWSRGYKEDGVVGVANFLCYWLVSRNRSRIPEL NEUTE1DRAFT_104508 MFSSLILSGALLAIFQTIPTTLAFPTFNSNSPIPSSYDIPSSDY TIQDDLPPPSFNITTATEEEEDPATNAGGGILEAHAVNKNSREVIRVDCDIGGGSSSS STKWGYALAKYVAAGVKHLKEVSGTPSDGPGPGNCGRAPRVGKELSSYGEIAWAAQEV QKRCYSQGQSTGTPANINNNGGYLKGAVYMRDQWSVIVRSDHDNC NEUTE1DRAFT_88906 MSFQTLAEKVAFFEVLDALSETISDDEKFDTQEQEHRARSKAFF SSKTKPKPKSKPKIPTIPQTQPPAATLSTPLSKTSSSSRVDIIKATPGTQDLRKTALK NTRDTPNVSDTSLIVTETPQPSTSSARPAPPSLQRSATLPIPSTARLVSSPHQQSPSG TSSTRKRKRQAEANAVPEADQIFRTLMFFYIPNDNVAPARRLRISRAQQYGAKWVRSL REATHVIVDKLLAYHDIQSVLEATPTTSAPVIVNEDYPIDCIQFRTILNADQARYHIP GRPQPASPTLLAQATDTHAPSQISNRSLQVKVSTAKRRKQAPSSQDKTQSQSEESVPL AESIDVQEKGAKPVAKEQIVEDLADDDYIPEPRPPIITSLLSGGPRLTSSLPKISPHR QDDSPEDDPKDELSGFIELLHQYKDLPLDGPLEDDAQSVVSCQEEIISESEIEEDSAD ERTQQRRSQRTRRPTQKKIASEDRFACNKGGTKDKAAEAQNPNARTIEVLQSMCDYYS RVSDQWRTTAYRKAIATLKRQNTRITTADEAHHLPSIGKRLAEKIEEIVTTDSLRRLE YAQASPLDHVLATFLKIYDVGNGRANKWISQGFRTLDDLKQKANLTHNQRIGVDYYED LNTRIPRSEVTAIGDYIKKEAAQIDPAVELLIGGSYRRGAESSRDVDFIITKKNTTSS ADLVPFFERLLKVLTDKGCVVATLSALHANRKGKDGPGNFLLVPETEYGAALIYFTGN DIFNRSMRLLASKKGMRLNQRGLYKEVMRGRGRVKVTEGQLVEGRDERRIFEILGVKW REPEERWC NEUTE1DRAFT_149239 MPSPSNNSISSQSSANLSSKLPPLPASPSLSQSIGMMGTGEEAL NAYHLPRPLPLWLNPTAAKHIVKGNFMTLSARPKTVEQGEWIAHQVVEHYRNLWNFVR VVYEKEEDGKSICNSTTCPRMSAGTNHSYTWLNSRFEPIELPAYEYITLMQRWISGKI DDTKIFPTEASGVSFAHNPQITTTALLTGPDDWIGKRSGFPKEFFNICQTIFLQMFRV YAHLYWAHFTEPFYHLNLEKQLNSCFSHFVLTATALDMLKPAELEPMQPLIDLWAANG TFPPESKAYEYANLKVGTRLMQMAGLA NEUTE1DRAFT_70233 MAANDQMDIEVAEQKLKSMDHAEQHYFNRYNHHGIHEEMLKDEV RTKSYMHSIVQNKHLFKDKIVLDVGCGTGILSMFAAKAGAKHVIGVDMSTIIFKAREI VKVNGLSDKITLIQGKMEEIELPFPKVDIIISEWMGYFLLYESMLDTVLYARDRYLAP GGLIFPDKATIFIAGIEDGDYKDEKIGFWDNVYGFDYSPLKETALSEPLVDTVDVKTV VTDPVNILTLDLYTCTTADLAFKSNFELVARRDDFIHALVAWFDIDFTACHKPIRFST GPHTQYTHWKQTVFYLKDVLTVQQGEKVQCSLHNRPNQKNKRDLDITIDYKFENQDPT RQAEGRCLYKMC NEUTE1DRAFT_118413 MAHHDERILAAAQKHAIVPLEKGQLYKYGTAGFRMKADLLDGVT FRVGLLASLRSRKLNSQTIGVMITASHNPAVDNGVKIVDPMGEMLEQDWEHLATNLVN APTPEDLVQYYNQLATDLKIDLSAPAKVIYGRDTRPSGHTLVTALAAALEATETEQVD YKILTTPQLHYLVRATNTEGTPTSYGEVSEVGYYKKMADAFVRALKGRRINGPLIVDC ANGVGGPKLAEFLKHVPQDKVNFEVKIVNDDVLRPEVLNLESGADFVKTKQRAPPKPA PQPGVRSCSLDGDADRLIYYWIDPDTGFFMLDGDRISSLAASFIGDLVESAGLKDELR IGVVQTAYANGASTNYIRSHLKLPVMCTPTGVKHLHHVAQSFDIGVYFEANGHGTVLF SPDALRAFKTTEPQSPAQQDALTTLAALSDLINQTVGDAISDMLLVEVILAHKNWSLR DWAMTYNDLPNRLVRVVVGNKDLFQTTDAERRLSHPEGAQEQIDAAVKKYKDARAFAR ASGTENACRVYAEAATNSEATELAKQVAQIIERFGGNSQ NEUTE1DRAFT_88920 MAGDTGANPLFLGWVKEWWDTAREHNTKGAPTYKKAYNSLKACP LTFQHPSELQVLNGFGPTISQRLTDRLKQYCEENGLPMPKHPKRKRTLELESALAAAG AAQDEQPSPPKRARTARPYVPKLNSGAYALLMALSELGPKEFMDKTTLIAKAQPYSEH SFTVPTMANKSYTAWDSIKTLEDKELVYIRGRPSKRFSLTDDGWEVVNRMKEAQNLVD GVGGSANTSRNSIASGSGTSNPNRSENVNPNRQDSGVKRESRYTPLDLKPFVSTTATP DRPLQPKPHTANSEYSIIIDSDDEDPKYDEEDRKPIIRETTNRDYIDLVADGDSVPDE SSLPHFTPIRLAPGSFTVELVLDTREVQAKNNRDHIQEELSKLGVRPIMRSLELGDVL WIAKCKQPGWLNRLGAEGDEVVLDYIVERKRLDDLIGSIKDGRFREQKYRLKRSGMKN VVYIIENFNIDMDIRRQYQDAMDTAMASIQVVNGYFLKKTDTIAESIRYLAAVTYMLK EIYESKPLFVIPTQVLTAKNYLPLVKHLREKEPSRGYYISYPAFASLVSKSEMMTLKD VFIKMLMCIRRLSGEKAIEIQKVWKTPFQLVKAFEACGSDENGKKKQKVLVMSMLSHL VDRRSVDKGLSERIADVWGFL NEUTE1DRAFT_88924 MFTGIVEEIGEVTTYTPNDPLSKNGTTLTITLLPSSSSSSSSGL LSDCHLGDSIAVNGVCLTVTSFTLTPSASFTVGIAPETLRLTNLGSLRSGSRVNLERA VRADTRMGGHFVQGHVDTVAEIIKITPDGEALTFRFRPKNKEVLRYVVYKGFIALDGT SLTVTAVNDEEEWWEVMLIAYTQERVVVAGKKVGETVNVEVDMTAKYVEKSLRGYLEG LLGSASAEEQGQGEEKKKGRGLLEKVVEGIVKQGFS NEUTE1DRAFT_125174 MLLQPITNPSKYVRYIVLLLSIWAFIYLFSPFNLRLDKNNPSYD DDNEANKGSSLSRQNICRPYSWKPYQPRQPSDPPRKIYDLVLVTTELDLLEVRLNTTW GAVDYYVLVESAKTFTGRNKPLLLQHALDSSSRFDAYKSKIIYHEAEYPEDFDPRPLT SGKASASASASESVSSSPWQDFHLNAMFDQVFPSLAAEPPTGNPSTHNPSLNSSSSIS SRRPQTNDILLLSLASEIPRPQTLALLKECIFPARLTLSSKMHYYSFQFVRRPPWFSR THEYGPGWTCQFCFPTLTEFLLINDGVNGMDQHHHRLGAGPFGEIDEMERDRIVRYVR EGKDLWADVHEQQGGKKWVFEEVVNNTDVPSFLLESPEGKEGGRLRFLMERGGRSGGF RDYYL NEUTE1DRAFT_125176 MKFSMIPVAGALFVAGVNAKVSGCIAVAVKAIPNCAQTCFIDNA PSIGCDGFDFACQCEKQAAFFAAIESCVADSCETSQFQPVIDGAAEVCACAIPGNSPH TVSGSVVPPKGTVSSIVPCPTTIGSVIPSGIASAYPTLSEGYPIASEMPGASSTSAKP PAATGGAGRSAQIGLGAGIAVAFALL NEUTE1DRAFT_125177 MGSTNVYSDEEINVLITGFGPFKKEYPLNPSWEIASRLPAILPP LRAKQPSTQKPPLIPRVNLHVHPDPIRVSYKTVRALIPKLWAPTFDSSSSPSPTSPSS TTALAEPTSEAPFSEQDAAAITTTEEEKPTTKYDFAIHIGMAGPQPRWAIERLAHRDG YALRDVDGEFLRDKENQLKDDWVWKGCPAELRTDLDLDVILEKWRGYAPPGLVGKSQG GEECEDEKEGKNKGEGGKGAGGGGGAKWYGNDIKGGNKGEKLVISEDPGRYLCDFIYY SSLAELYKRKAEKKVVFLHVPCEVGKDGEYVRIGQELMLGLARSVAEWLVEERKRKGD LR NEUTE1DRAFT_88936 MTDFSQKKTSSPAAPGVPFYTPAQVPAAGTPLPSTPGDVPTLFT PLKIRGVELQNRFAVAPMCTYSADDGHMTDWHLVHLGSFALRGVPLTIFEATGVLPNG RITPECSGLWQDSQIAPLKRIVDYIHSQGQKAGIQLAHAGRKASTKAPWHYQRGKSEL AGPEQGGWPENVWAPSAISYNEETFPFPKEMTVEQIQELVEAWKASAQRALKAGFDLI EIHAAHGYLISEFLSPISNQRTDQYGGSFENRTRVLREIISAVRSVIPEDMPLFVRVS ATEWMEYTGQPSWDLQQTIELAKILPDLGVDLLDVSSGGNNKDQKINVHTYYQIDMAE QIRAAVHEAGKQLLVGAVGLVTSAEIAKETVQEKEDGRVTIQRENGAKTRADMVLVAR QFLKEPEFVLTVADELGVDVKAPVQYLRGPLSSRPKKLTTVP NEUTE1DRAFT_132408 MASKNGPVLPQPGKENILITSALPYVNNVPHLGNIIGSVLSADV FARFCRARGLPTLYICGSDEYGTATETKALSEGVDPATLCAKYHALHKDVYDWFRISF DKFGRTPTDEHTGITQEIFAQLWKNGFIEERETTQAFCAVPEHNTFLADRYVEGECSI CHDLGARGDQCDACGNLLDPMQPDKNAAGEDESKGTGWLINPRCKLDGSTPERRKTKH LYIRLDELNKEITAWLDRVENGWSANCVAITRSWINNGLKPRGITRDLKWGVPIPKGL EGLSDEEYANKVFYVWFDACIGYASITKCYTDEGNLEGRNWEKWWKNPDNVTLYQFMG KDNVPFHTIIFPGTQLGTKEKWTQVNHLSTTEYLNYEGGKFSKSKGVGVFGNNARDTG IDPDVWRYYLLSRRPESGDSEFKWEEFIDSNNNDLLKNLGNLCQRVVKFCQAKLDAKV PEYPKTPIASLDEYKEEINKLLKEYNEQVKGTKLRAGLATVLSISAVGNKLLQDNKLS NQLLTEEPERCNAVINFALNLLHLIAGVLSPYMPGTAQSILRQVGLKAAEGETDIPVV IPDTWTADALKAGEPIGTPELLFSQIPAAKLEEWREAYGGEELRKQKAIEAEKAAAKK LQREKEKEKKKAKKEKERLAKEQAAKEAAAGETKELPLR NEUTE1DRAFT_88941 MSPKINTRRSSRSRLGSEMVQVLVGKEQRDFRMHKKLLCTTSVF FRNHIETAQQESKTTKSESEDSVLWLPEESPEVFELFIVWLYDRRAFQAYVDSAVEKA SRDRYSPTSSPSRISPARHSPSRMGTTRHAETTDSERRALRWNLVRLHLFAEVIDLPA LQDDSMDAIQDLYLRCDWDMSPGFITFLYGDCSLENAVRLRKWAVAMLAWTLHGGDGT SSEFEKLFAVFPKLKADYGMHFNKMAASKADLRIKNPQLRLPMNNLRSEERLFGFRQC SFHSHRSAVGEGVCPHLVTGLEDSDDMETGSTLMGEDIISDEEDEEKLEGEAGSSDSE VIITPVCDMETSFLDLS NEUTE1DRAFT_118418 MKFTTTTALLLATGITTIAAMPWSTKNSAKTPSQVFEEDITLRI EVVPKTNNKHHHQQQQQSTKKNDFARLLKNGDDDDEKPEICWMACFSESPNCPEGWHS KQHGDCWTCCRSMGEDSFDL NEUTE1DRAFT_52990 MKDSELLHLFDIDGSGMLVETSDMDKSERHGWCALSYVWGGDVP LKTTKATQLAHKKRIPLDTLPQTMKDAVYVCRGMAIRYLWIDALCIIQDDQEDLREEV SHMPKVYQYAALTISAASSRSIYDGFLYRRGYWSHTFPAIDIRVATDSGESIRLFVYV IMYSHAFYKRKEPILQRAWTHDTYRLSSSRLWIDMVIEYSKREASFASDKLRALSAVA RVYHLETGKEYLAGLWKEDIPAALCWASGPPPGESEAGQFVTSQRPREYRAPSWSWAS VDTPVHYWGHRCNCAPVKPRPIQVLSAGIIPTYPNGEFDSIASGFLVLHGPIRAWALP TPIKLREFKTKDPTEWWWSIWVEEVNAYVYPDAIEDGHEMLDTLWFLLLAERDNNYHP DPGAREPSTTYYGLVLKEAIGHPNTFSRIGYFRTEAMFDADCDCSNRDEVYTSAMFFN YFEDRNITLI NEUTE1DRAFT_113559 MATKVLDAKPHNAKTTFTDCRGGYNENVHPFIFVCDHCPSTNCP SRSVSNPRELSSLGNFKQSQNVYPQIHEHSSTSTAVQHRSRNTDCVGVYGLKMSNSGQ DRISPKAIKELFERGIGSRETCKNRYIYPEFYDKIRWEEVKSYSPAYQDKLREFVGNP SRLLPISSERKSAEGKAQKEGTRRKLPTETPQTLDSDCDPSAFDITVEGDQNHCSRVN MACGAFAFSSLRTDLGELAREHKGPTLNRRAVNGRPTKRLQILPHKGGERNRKKLEWK TDKKAIETLGPRHHL NEUTE1DRAFT_70265 MGLIGGISPGGSIALGIIVGLISTSVQSLGLTLQRKSHILEDEK GPYDVRRPPYRRRRWQVGMGMFIISNVIGSSVQISVLPLPVLSTLQASGLVFNSICAT LILGEPFTRWSLWGTLLVCSGAVLIAIFGAIPSPAHKLSELLELLGRGPFVAWMVFQG FLVLGIAIATDVVSHFTKLMQHSRFRLARGFAYGCISGILSAHSLLVAKSAVELLVKT IVDGDNQFVHWQSWVILLALVTLALSQLYYLHRGLKLVSTSVLYPLIFCIYNIIAILD GLIYFDQTELISPLQACLITLGTVILLAGVLALSWRLHDEQHTPSVGQSTLAPGMGLV EDTEDENDEEALLLRSDIEDEEERIGVPSPRLYSYNTFSASQSRLGDGTSQDGGPVVV RAQPKRQRSLAGQSIRTLPKNRWTECAEIWDELEDRDTPPDHSSTSSPAFLSSSRRRS TTLPVTNPNEGTSLLHPRRREVSDSYQFAPRAATDLASARRNFGRRRRSTGFPGFIPR KPSATTVPRKPSGGGGLQDLVSGFLRARWWKNKPKTTLGVPGLREEAIVAIHEEEGEG LLRDYHGQGQSQGQAQGSGSGSGTQSERVSPRNGNARPGPKASSLDGAQGHERSTTTG GTRNNGRRKVDDAPV NEUTE1DRAFT_149253 MSQVHALSDDQVGQELRKMTAFIKQEAEEKAREIQIKADEEFAI EKSKLVRQETDAIDSAYAKKFKQAQMSQQITRSTMANKTRLRVLGARQELLDEIFEAA SAQLGQATHDLGRYKDILRDLILEGFYAMNEPELVIRARQADYDAVREAAGWASAEYK HKTDKDVRATIDAENPVPEGSAGGIIIVGGNGKIDIDNTFEARLTLLKDSALPAMRKA LFGENPNRKFFD NEUTE1DRAFT_88950 MESKNDGPEEANGIASWLGHPSQLETVTSYRQRRRADHQFDTMS KNAAITSFFKPAPRDSQDSQGSSQVPPSSIPRSPIRAAMSPFKMPGTPGTGFKSSLPI RSPVPPPYSPLALLSSPPKPRSALKPVRDRNAVIGASDEEEDGDSDFISSDDDLPDLF AEPKTEVPMSIRANGSPTKGPASKRVASAKFVISPINFNKKHKFDMKAILKHTEADKK IAESEKRVEALMAEDEEEDNKRAEPLAVKKEGTTLHDDMLDMLSEAEDSQEETKKEKL LRAVKRTEATSQRKQWYFFDENRGHNVVDDLSIGFRNKFPQHAATGVWKILAAQKGRT DLLERGVPYHLQCKLRNLPDEIFVWIVDELPFVKTRRLREANLRLLGICLEQAGRLLT PDRITQLFRTIGTPKRCLETPSPSEDGTEQTNPDPGRPYNIDRDWTPLRTVLRILSQT AHGLSIDSLTRSTTLLLRLGMDALIRRNPDLHSTFRHTLINLVEAVPSSSFDAFCLSC CSTLYALTTDSSLLSDALRALPYQTPKLVNLRRRLALAFLFASASTSLLPSPPSLSSS SSSSSSKIPSPIQEFSLHDPSQTFSFPLLLSYFDISPHFQTTRSSSSADYFVLSAQTD LLRISIGDGNPPPPPPFSSSYAVAHHDPSPLPFPKSTTSSSASTTNPETKSHNRQIDL LTRRIRTLWSNIHDQSGSTAEISRLEAKVKLKDLERKLEWAVRTKPVSRTNIFGIRSA GGGGGAAGGGGSGILGGGVGGYGEDVDSEEEREREQKREREGVEQKMFMRRFLGKTTA GAAKTEA NEUTE1DRAFT_149255 MTPRPADEDEGGAEPTPITSTQRSRWATRKLTVKSSGLKRLSLK NRNNNGNALGIEKKRASGHSGKSDSTAGQSDTPPPTAGGDAGKDDADGQHSEPRTIFC GLPLADEFKDDEGHPTQQYPRNKIRTAKYTPLSFVPKNLWFQFHNIANIFFLFVVILV IFPIFGGVNPGLNAVPLIVIICVTAIKDAVEDYRRTVLDNVLNNAPVHKLHGIPNVNV EADNVSLWRRFKKANSRFFGALWHKTEGLWKKEDDNPILKNHTASHDEPDPRMSMESR TSRARRSLNVVRDEVEMTPVPSPLPHQPNEMDFPGEGSSRQMDNKYALQEIKGDLVNR NLPISGKARFAKDAWKGLVVGDFVRIYNDDEIPADIIILATSDPDGACYVETKNLDGE TNLKVRSALRCGRGMKHARDCERAQFIIESEPPQPNLYKYNGAVRWLQELPNDEDGDP IPMSEPISIDNMLLRGCNLRNTEWALGVVVFTGHDTKIMMNAGVTPSKRARIARELNI NVVYNFTILLIMCLIAAIANGVAWAKTDASSYWFEWGSIGGTSGLTGFITFWAAVIVF QNLVPISLYISLEIVRTLQAYFIYSDINMYYEPIDAPCIPKSWNISDDVGQIEYIFSD KTGTLTQNVMEFKKATINGQPYGEAFTEAQIGMSKRSGGGDIDSEIARIKDEIEQAKA RTLHGLREIHNNPYLHDEDLTFVAPDFVEDLAGKNGPEQQKANEHFMLALALCHTVVA EKQPGDSPKMIFKAQSPDEAALVATARDMGFTVLGMSDGGVNVNVMGKDMHYPVLNII EFNSSRKRMSAIVRMPDGKIKLFCKGADSIIYARLKRGEQKELRRETAEHLEMFAVEG LRTLCIAEKELTEQEYYEWKKEHDVAATALENREEKLEEVADKIEQDLTLLGGTAIED RLQDGVPDAIELLGNAGIKLWVLTGDKVETAINIGFSCNLLNNDMDLVRLQVSEDEAG VQQEAEYLRLAEEELDRGLAKFGMTGSDEELKQAKKDHEAPAPTHGLVVDGFTLRWVL SDTLKQKFLLLCKQCKSVLCCRVSPAQKAAVVSMVKNGLDVMTLSIGDGANDVAMIQE ADVGVGIAGEEGRQAVMSSDYAIGQFRFLTRLVLVHGRWSYRRLAETISNFFYKNMVW TWAIFWFQIFCDFDISYIFDYTYILMFNLFFTSIPVILMGVLDQDVSDTVSLAVPQLY RRGIERLEWTQTKFWAYMADGIYQSVMSFFIPFIFCILTPAASGNGLDVQERTRLGCY IAHPAVLTINMYILMNTYRWDWVMLLVVFLSDIFIFFWTGIYTATSYSGQFYQAAPQV YAEFTFWMAFIITPTICLLPRLVTKCIQKQMFPYDVDIIRERISTGEYKAVEVPVVAP VEDEALKGTSSGSSESSSSRAKSKSSKHSKHTHYASVDEDRRPIYPPSVNTHHTRAQN GSDGTNYTRHSLDTNQIEDEPPMGGGRPSIDRARPSYDRLRRSMDRERIRQSLEQSND FTSAARLSRIESTHSAQNLPTPGAQRRFNLTTVRKRGLSVFSKQSEDEVPHPGVEDED KRDERRDSQQ NEUTE1DRAFT_88956 MAAEIPRSLLVQLRTALEDTPVGSYIPESSWALVWSALAALAVW YMASREDQPIRYTIPPANFPKEENILENPSIKVSGTSAIQCYAPATGQFLGFVNPSTP EGIDRAIDQAHAAQTEWAKTTFRQRRAVLRSLLQYVLDNQEEICRVACLDSGKTMVDA QLGEILVTAEKLQWTIKHGEKALRPESRPTNLLMAYKRNTVHYEPLGVVAALVSWNYP FHNLIGPVISALFAGNGIVVKVSEQTAWSSQWFTSVIRGALVAHGHNPALVQTVVCWP QTANHITSHPKISHITFIGSQPVCKKVAESASKALIPVLAELGGKDASIILASAPKSD LPRIVNTLMRGTFQASGQNCIGIERIVVAPQHYDTLLSMLTPRVRALRLGPTADVGAM ISDTAFARLEGLVADAAKQGARLLAGGKRYAHPEYPSGHYFVPTLLVDVTPDMAIAQE ECFGPIMVVLRAASSSAEDILAVANAPDFGLGSSVFGSEWDSTLHEVVRGLKAGMVAV NDFGATYAVQLPFGGVAGSGYGRFAGEEGLRGLCNIKAVCEDRFGWLGVRTAIPRPMQ YPVPDQERSWRFARGVVEVGYGMGLGRKVGGVVGILSNS NEUTE1DRAFT_53388 KQIQSVFRTIGRAIMGVVNGIGSILMAIINGVIGVIDVIVGFLT CNYCGSRRGRMRRRSGGMGRRRHAGGTAAI NEUTE1DRAFT_125187 MSASSAPQPVKLSLPLVYQQKLFEELRKEDELVILARGLGLMRL ITNLLHSYDAAGNNLIVVVNADDRENAWIGEALAEHAAISMSPKARGLTVVNTDFTSV GTREKMYAQGGIFSITSRILVVDLLTNLLNPETITGMLVLHADRIVATSLEAFILRIY RQKNKVGFLKAFSDNPDPFTVGFSPLATMMRNMFLRKASLWPRFHVQVAQSLEGKKKA EVIELEVPMTDSMREIQTAIMECVEISIHELKKENTGLEMEDWNLDSALTRNFDRMVR RQLEPNWHRVSWKTKQIAGDLTVLRGMLQSILALDAVSFLQQLDTIHAAHKPAPGTTR QTESPWLFSDAAQTIFETARQRVYSSKQKAGPNSTIESLKPVLEEQPKWAVLADVLEE IDRDLYFEPAVRDDSNGTILIMCADTDTCRQLRDYLQTMHIRPRTAKKVEEVYDPEED KPSGAFLMRRKLRNYLNWKREFAQVNATLFSENQKALSGAVDPRLPQARGRGGAPANK RRRVRGGGGGVGSNPSRHENGSIVQHFEKPNEVADLMSEIQITEDDAGGQAAEEIITF ATADPLEDMDDYYQLYDMQDLVVIHAYEGDQDEHILEEVKPKYIIMYEPDASFIRRVE VYRSSHNDRNVRVYFLYYGGSVEEQRYLSSVRREKDAFTKLIRERASMSIVMTTDSHG VEDPESAFLRTINTRIAGGGKLAKATAQPPRVVVDVREFRSSLPSLLHGRSMVIVPCM LTVGDYILSPNICVERKSVSDLISSFKDGRLYAQCETMFQHYRNPMLLIEFDQNKSFT LEPFADLSGSLRSVNPENAGANDLQSKIVLLTLAFPKLRIIWSSSPYETAEIFERLKA LEEEPDPVAAVRAGLGEGESPEDGVNEGKGAVNGGSTFNMEAQEMLGKVPGVTPKNIR SITAEAENVREVANMEVEELGRLVGREAAGKIVEFFKKDVLEDYSG NEUTE1DRAFT_118421 MAGGDDLSKKEVNILPHDTQKDEQKNPNNPLNKLADSAKATFEQ ASKAMPGPAISQNIGGEEGTKEERRAKAEELNK NEUTE1DRAFT_125189 MPSILSDDDKETVKRCVPKQTNKIHAVAVARLYVAYPNPSKWTY TGLQGAVVLANDLVGNTYWIKMVDISPGNRGVIWDQEIFDTWSYNQDRVFFHTFELEE CLAGLSFVDEKEAKQFLKKMNDREKNASKATTKTPFGGASQAHHHKHHGLFGGLFGGH KHASPTATPPESPRAAAPAGRMRAGSTNGINGYKPPSEFATLDAFDPLWREHFGDDLK AQGLDDDFIRENQDFIIEFLKQEQAKQGIHTSTPPPPPPPSAPPNGPAMRAPPPPPPA AAPRSVSESITPSTSRRGPVPPPPPPARRSGKLDTENHQEPAPPPRFAVPPPIADAGK FAHSDPPRHTPSAPGPPPPPRPPKTPLEDQDPSQRFSVPPPFTGQRSVPPPPPSRSSV PPPPPPRNSTAQPPLPPKAPGPAPPLPPASSRPPPMLPTRSPAPPQAPPLPTSNAPPP PPLPATQAPPPPPLPATSAPPPPPPAPPAPPAPPLPAAHAPPPPPPMPPMPAPSGGAP PPPPPPPPGGMGGVPPPPPPPPPGGMPPPPAAALPPVDGSRSAVLDGIKTAGGIRALK KVDRTQIRDRSGAAIPGGSDSGPASSGLPPAGAAPGGGGGMADALALALQKRKEKVSK SDDEDDGDDWD NEUTE1DRAFT_118422 MPKNKGKGGKNRRRGKNENDNEKRELTFKEEGQEYAQVIKMLGN GRLEAMCFDGVKRLGLIRGKLRKKIWINNGDIILVSLREYQDEKGDVILKYSADEARS LKAYGELPDTAKINETDTFGPNEDGDCGFEFDEDRDSEDEEGAEAGGKAVDIDDI NEUTE1DRAFT_125191 MADFRSSWAALLHNYHIICPWMWVADFGPNSLSMLSAVDWPSSM SLPSIPKRATSAGLVVDLASTIKTRWRPLRPLMLRGCDQRRGKSMKAKIPEDAAQGYG YTQLNWSPYSEPVLSKRVKALSDALNIGTYYDVKAVKGQDLANAPFMVSTATKHCYTR QSVMKYFGVGEHPLTDMFLHHYTSKKHRPLWLFVYNGDADLRPVVKTVCVNRLREAVH KALKANGYGQWGDRLPGFDDTSVLHGTIHVRISHGKEFIQMSDQEIHDALDKLMKKHI IKQLQVPQWPMQAQSRRVRVGEQSAQNRGYSDQPQQRGSGQPSAGSYRNTRETAPTDM RAGNRVSEPRAKDGIIKGDGSSSRVQQLAERFRTRHQNPGSN NEUTE1DRAFT_149262 MLVSLTVGKVDAGVTVLLTPDKRLIEFPSILLPPDISSGSIVDV TVARNVASEDEAERAFRALQDKIFNALGAAEPKAPNLRCRNATQTSVVLEWDPIDLAT ADLISLALYRNGQKAGNIPKPTAMHSTKISGLAVDTEYTFHLVLRTTAGTLASDKVVV RTHKMTDLSGITITTGILPPALRESLARSVDRIGAKLVDTVRIDTTHFVTTEGRGVQW EKANELNIPVVRPEWVEACERNGRILGVTKFYLDAVRVGMGPSAADMGGSPPMQHNRS VSSAFSHKELPPQPSPPVPQQQQQQQQQQQTSPPPTNGVRSPDVNKPTPPPPAEPEPE PAPEPVLVPEQKQEEELQPVALEPSVEATTTEVQQEDDTGSEKGGDQSDIASEPEDKA IQDDEPKVLDHAKEEQGLPVRLASPVEDAEVSKEGEDEDEDKNDSLNPKGGKSSDGAS FQDVAL NEUTE1DRAFT_88970 MSKPWILLCPSSRGIGHALTRHLLRTTTLPILATTRTRDPEETK AALLKDFSADSSSSSVSSRLHLLPLDVCHEDSILACAQKASSLFPPETHHLHLAFALP GILHPEKSAAQVDYDLALDTYRVNCLGPLMLMKHFGSFLPRKRTEIIHNEKGLPKHAT WINMSARVGSVSDNKSGGWYSYRSSKAAVNSLTKSFDHQLFSRSGGKAICVGYHPGTV KTDLSKGFWESSVKSGRKFWESPEEAAGNMAGVVAALEESQRGRIWDWKGEEILP NEUTE1DRAFT_55148 MTSLPAPNSGSGSVSGANGHKHRPVDNTSNSNDHGLQPPRGLCL SEPPISPAVTTGTASSGNFTDIHMRQLIRPKRFRVLSNASSILSDIFNHPDAHEDELK TKIKDANDRDREALFRMNESLRSTPPPPPPPPPPPEPLPTYKDQGNADDKKHRGGLWR LFKRKSSKSTKKRVKPAPPKIVVPERPAADRATTTTHITEDGHRQTVISIPAQRTFNL GPVPRSPGFGFLSPKSPITGTPIRPPRPSIGLETLVEQQESNERQIPVLANTPVIPPI NDGVGISPLLPAEGFNRLQRVSGFIAPSAEPLPPQTQKASVDVDDGPDSSSSPKLTSC QDHTLPKFFSPTSSFHLSVCLSTAQSSLDRRSKMSFGFKLPDHPDRTEESLDREVGSG ENLAEVSEPSSSDTSHYRLGTATGRDSVGSADVSSFSWVATPSDKLRTLPDQQLRQQP SLWSYKSFTKRKPRKRNDSLDGESVKTDGNQSAAFHTPPDLPAEYSTEPDAEASSSAL EKVEAVQLPPLRFSTVMIVADLKPLSCRSSISLGPSQPEGTNRQDGELEQASDIVLTD SLQVPSSVVDSKTPQTTPLPAPSSNLNKSLQLRRTSQIICPPLRLYSSSNNCFSRTGV PCSGSHIDLLQQCEEFRHSKKKELDILRNRLRQLENRNSWLLTTVTKQLPGGYEYQVD DYDDMEERGRHHQHNIITTSTVSASNRGSITSQHTFGNTTIDDSVTGTTDFSSSNLTT GSQLTSPAQHLQHPRSNASPATTSNSSSSTSSSSTNTSLSAQPTLTPVRSPTPHSKPT LVAGSNNTCSTITSGGGRAFPLVTSHKDTSTARSTYTVISQPTQPPPAPLPAARRVRS LPRDPRLGSFSSRSRSVDNKHDYKHNRTNHSHAHASGPANSCSISNGIIDGDKKNTNG VNIGSGSESGSGSGSGSDSRSTNASRGPAPFPPSLTYNGLLPDTAARRREKREYRKKQ EEIMRRLADTPLRGVQQTMTLHSSSSSSSLGAVGYGGHNGNSRHHVHDGRDPLTRLRD LRENVGMAISGIGIGLAVTSDEDGYIYDGHGVTYQYHEDDALGYDGNGSGYGAYGHDS YGGYDRDGYGVSSGDLRHATGRGEGEDREGRQEGEAQDGREAKAERQYTLLNSSSLSR HHHHHYYHRRNRDQRNNSPPPFLPPSWPSLPSLPSLPSLSGPSRSSLETEEWFPSLYR AHTQQTEKQSEDTSSDYEPANSDNTTNTKSIKRALRRTTTSAASNGTEGNKNRNGWDT LEPLMRELTGNGGGNEPSGAIGTAVSTSGIDDDEQMINRTVHEGHDGEARQLVDNNGD IGFGPMDEKDLEEETETMSRIRAYRASLCAELRALEASVNLLHETR NEUTE1DRAFT_88973 MSKVLLAYVVADGLFLLMGIFMIAFSVIVQNIQFEVPTEGQQAA RNLLYQRFPLTAGIVNAVFIFVTFLFTIPGIITPARGWLKLGGWMTTVCGIFSLIIGL YLWIMTLKTKADFAPFYFSQPPEIQELMQSAFKCCGYFNSTSPAFITDDICSSPAAAA LMRPCSTPITSFANVLIDNIFTAVFGMVGIDVVLIMATACLLKERRERERFRHIDEKS GAIGF NEUTE1DRAFT_51023 SRGRLLSRSLALANPDKQNPPGIPLVPCLAGPINSTGKGSMVFS LRRMASR NEUTE1DRAFT_113573 MGWLQSGLGCRRTLQTIEIAILDASVLPDALRESTVDSRFSLFS DSCHFVFHYSSDPRTTGESVDRIPPPPPPPPPLSVRVQYANRSLERHALYIADHSGTS KIARKRLGNINKSSRYCTAHPASSVRSKSGSQTATRSQSVFIWYALCVRREQSTDRPA LDVAPADETPAPGPQRQTKKFPRKQHSGLENMAAPPVDRAIIAEKKGTFQPRTCALCA LGKLSETNLSGSGCTKHEQGLRSDAQGNGGLKRGGAVGGVSFDSYKHLHVRFGLDPRH TTDSATRRFYIFGEGHRAGDGRDYRGFPSRLQCPCVLHSIPSITVGILFQFANGALAS EWWCSKEWSLFYQAIPVQVKSEFHGSIVE NEUTE1DRAFT_70297 MADITDQHEQTSPTELDDAHAVGTGNVNNNEPRGVKRARPATAD DDDDDDDKGGRERRKIEIKFISDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVV SETGLVYTFTTPKLQPLVTKAEGKNLIQACLNAPEPASAENGVDDSNAVDSPEEPSSA HLPPQQGRPGMPPQGHMPPNYMPAVGAMDAQQAQALAYTNYVQQQRGGQYMPQPGLQQ HAGHQA NEUTE1DRAFT_113575 MSISRDQSIRTDLEKLYRQISTRFSLLNFFFDDPLSSTDMENPK WKDEGEECKRLSDRFEMWARTYRLGAAGQLGTEHPNWSTKTPFAVPDLTSLSWKDDPD RPSENCSDSSSDDGKQRRPSRVIPDEKRTEDDIKEQYERLLLKIAHQLYEVERFNFGR GDDVQALYTAHLDAEGQIDQEEKDPNREVYKPLYKGENDMTIVNKLFNSIRETPVVAP PRPDWESHLKELVPDTASTVTDGPR NEUTE1DRAFT_52820 MGSGTSSGASGHNMMAVFQNSMTTSLFSAAWTPHSTGAYAGTCI FLIVFSAILRGLLALRNWLEYRWIDAEMKRRYVVVAGRGTLAERVSNDSLAKPMVLSS SGVEENVMVVQKHGAEGRPFRLSVDPIRAVLDTVIAGVGYLLMLAVMTMNVGYFISVL GGVFIGSLICGRYATYFGH NEUTE1DRAFT_88982 MSGSHPPYPDELARRRRRLVEKGWQMEEEGPKAGDERKNGGLHH IYSHRNSLANGHKSVQGTVSCPRLLLRIALAHGGTFSLHWQHGGFTNLQARRFNNLQR RLDGWSASSAHLQALIA NEUTE1DRAFT_88983 MLSSQNPAVTQPPTAGHGSSLPSLHDFGNFRTSSSQNSYYPSAT QQSQSSTQSSYYPSPSTPQQGSYPGFQGVPTSQLSPTSYSPSTSQGNAPRALGSISSS GSSGSGLHNMHQPGTPLAMVGMSGMHYGTHHPMPQYHRYGAGHDQLGPRQGDRPYKCD QCTQGFNRNHDLKRHKRIHLATKPYPCGNCEKSFSRKDALKRHRLVKGCGKNDQVNGN NTKPGTAGDNNTRPPGDYSVGSRTSPMERIDENASGDGAVARLAS NEUTE1DRAFT_113578 MASVETLLQGVTISGPIEEHQRKILTPQALSFVALLHRSFNQTR KNLLERRQLRQAEIDRGVLPDFLPETKHIRENPTWKGAPPAPGLVDRRVEITGPTDRK MVVNALNSDVYTYMADFEDSSAPTWANMVNGQVNLYDAIRRQIDFKQGPKEYKLRTDR TLPTLIVRPRGWHLEEKHVTIDGEPVSGSLFDFGLYFFHNAKELVQRGFGPYFYLPKM ESHLEARLWNDAFNLAQDYVGIPRGTIRGTVLIETILAAFEMDEIIYELREHSSGLNC GRWDYIFSTIKKFRNHSSFVLPDRSCVTMTVPFMDAYVKLLIQTCHKRGVHAMGGMAA QIPIKDDKAANDKAMEGVRADKLREARAGHDGTWVAHPALASIALEVFNKHMPTPNQL FNRREDVKIGQQDLLNMNVPGSITEEGIRKNLNIGLGYMEAWIRGVGCVPINYLMEDA ATAEVSRSQLWQWVKHGVMTAEGKRVDKSYALKLLKEQTDELASKAPQGNKFNLAAQY FATQVTGEDYADFLTSLLYNEITSAGNSLPASKL NEUTE1DRAFT_113579 MLRSMRTAGRLAKPRAAAAVASTCLSGTARVASNVASSSSSKAA ISFSVAPKTSARYFSSSSAMAKNTRIETDAFGEIEVEDDKYWGAQTQRSLSNFKINQP QDRMPPPIIKAFGILKGAAAKVNTRYGLDPKIAEAIQQAAKEVADLKLLDHFPLVVWQ TGSGTQSNMNANEVISNRAIEILGGVKGSKKPVHPNDHVNRSASSNDTFPTVMHIAAV LEVEGELLPALKSLRDALQAKVDEFEAKKIIKIGRTHLQDATPLTLAQEFSGYVAQLD FGIKRVEESLPDLRLLAQGGTAVGTGINTYIGFAEAIAEEVTKMTGTEFKTAPNKFEA LAAHDALVHAHGALNTLAASLTKIAQDIRYLGSGPRCGLGELNLPENEPGSSIMPGKV NPTQCEALTMVCAQVMGNHVATTIGGMNGQFELNVYKPLIIRNFLHSVRLLADGMRSF EKNLVHGLTANEERIAKIMKESLMLVTCLNPKIGYDMASKVAKNAHKKGLTLKQSALE LGALTEEEFDALVKPELMIGPQPYKG NEUTE1DRAFT_104548 MDKRTGSRVSVRQPLGDATNRANIAAMQTSSRPKLEANAKSWKN TNRCKSNPPINTDIPLVQHHIVTIPSPVAVPDVPSMSRLRHPSSLQQMNTQASDARRV SQFSNVSSNASITRLQKTHIGPWQLGKTLGKGASARVRLARHRTTHQLVAIKIVAKST AHVTQATSMANLDRVDYRKPSMSTDGVRRMPLAIEREVAILKLIQHPNIIKLHDIWEN RQDIYLVTEYVEKGDLFDFINWNGSLNEEEAIFYYRQIMSALEYCHSFNICHRDLKPE NILLKADGQIKIADFGMAALHQEPTHHLKTACGSPHYAAPELLKHQPYKGSAVDIWAM GVILFAMLAGRLPFDDSDMDTMLQRARKGYYKMPNELSREAKDLIRKILVVNPLQRIT MKQMWKHPLIKKYDYVDDYQQSDGQPQDVLRNADIGPIPEKEVDPQILRQLKAMWHAY SEAQIKEKLGQNKPNDQKVFYWLLYRHRHAQLENYNNDIPISKSDFHHLKPPNWGKRI STCEFTQSSRNGHGRSISKFTVISNVAEVNDSGTVRSYDPYRASQVLRNCASEASHAR IIVHRNPSEPGTRSNTSNIAHSYNSYTSFGGSFRRRPRMNSQRTNTTGRLRSSMGSMG SLRSLNGTPRVRANNRSRRGVDFSSIRARDRLQARIRENVRAAPSSAAGSSVVDRRDS CRQQQRQKAAIIHSADNTLKSRDETLIWDEELQQLGYRIAMDCDEAFRSSLVGSESSV AVSGSPLDYLARAENTIRVVNSPTAGPVPMPEPLNVRKVSKATPAASCESNYRKTALS NIPPDFKENYPPGTQQEEQGVGDNTVNAGAPAKKRVPSWFRRSSKENTSFATTANTAA IHNDSTIISDIHQQLDGLESQSSARPDPYPNKKKAFSLAFWKGSKSENSSPTGKNDLS STFDISTSKEGGGKKHKSSKSESRVVSGATSQSWGEGEGVGRQIEVQQNWLARLFRVK PATRHLCFSMPRRRARQEVAILLREWRQFGIKDVEVDKERNIIFARVGAQNVHNVKEV SFAIEIMMVIEHGKRNQRTQLSIARFTQEKGAASSFHKVVDAINVEFRNRGLLVTDKR KTQMMIKTLNS NEUTE1DRAFT_125203 MAFELMSLPAAGQPNLTDDDMFSSFNLRGGDGSHNIDGNRPQAR SRRRRDPTASASPQPNGQSSSGFQPGAIVRVKLKDFVTYNEAEFYLGPSLNMVIGPNG TGKSSLVCAICLGLGFPSSVLGRATAVGEFVKHGKDEARIEVELQGKPGEDNYVVGLL IIRETNKTRFTINHEQATHKEVRQLMKSLRIQIDNLCQFLPQEKVAEFAGLTPVELLE KTLQAAAPEEMIAWQSELKDHYRVQAEAQRSADESGEEIKRLEERQAALQTDVERLRE KEQYEVAIAKLKKLKLVVAYNEAREQFHVEKRKKKEAERRLNQLQRDSAPSLEAVNKK QEYVEGIKAAVETRTARLRDAEKDADNAVRGIEAAESKVKNLAGQLEAEQGAFAARRQ ELGKIRKKITELEAKHKQNPRDFDPAEWNRRIREQEHVIRDKEQEIAEVNDQVTTLKS QGREINRTIRTAEEKIAALDSHQGQLLSQLQQANRDAAQIWEWLQENQNVFEKEVFGP PMLTCSVKDPRYSNLIQAFLQESDFLCFTSQTRKDHKTLSDQVINKMGLSATLRCCEA DVDSFRRPTSQAELNAFGLDGFIIDCLEGPSPVLAMLCSEKKLHSTAVGLADISEEQY HKITLDGRIRFFAAGKQSYMSNRRVEYGPNAVSTRVMQVRPGKFWTDKPVDDSVKREL ERQRDEARAEREELKQTHDELVGRMDVLRNEIITIKDKLEQIRAEKNELQREHSIWQA LPDKIESEKRSEQDKRQELIETQAQLADLEKQHDRAVLETAKAVLQHQAKLSGIREAY QALQEAKVLLIEAQSDFEVLKEKNVEIIKNLEDEKRALSEISRQIAEIRQRATEAKAA AEEALSEEERSGGEFFALAKATTLEQVESDLRAQETLADGIEANNPHALKEYQDWAQK IEREQANHERRVAQLADVNAKIETIRSQWEPRLDELVSRINDAFSYNFEQISCAGEVG VHKDEDFEKWAIEIKVRFRAGEALQRLDQHRQSGGERAVSTIFYLMSLQSMAQSPFRV VDEINQGMDPRNERMVHERMVEIACGERTSQYFLITPKLLSGLRYDRRMRVHTIISGE HVDPEGTVKMNFGKFAAIQRGLLNRTIPFASQVARDDASQASSMVEASQA NEUTE1DRAFT_52202 MHERQRQHDASDPRSCQMSVRVDGSRIDGRLRSGEQARWISHRT LRSNSSTVAGHYIRVLEEQAVSMVFWCAALSAERGKHLRLPNRHDGVVRSGQGLALDD RGGP NEUTE1DRAFT_118430 MRHRRHPERFPLTYHIDTSSAASSLIELSTDITEDPSSSLNFSS SAEHLGFHCMSSSEATLHYFAIQRTTPIYPSQQGIPQRTRPL NEUTE1DRAFT_28767 SSSVSPSGPLRLQVRRRRGIAVLASPSQPVSVNVVYGKTQNPHL TSAHVVCYVHAMPRRTMPRLGSGKAD NEUTE1DRAFT_125205 MAASTTTPTATTRPFFTMNPTTTEHDFRFPRRPGDSMAGTGLGG AAMSSSSANNNNQHHHPMSAFNHHHHNAAGSARGRDSYGRPSSSNTSNGFVANINHQS SSHNNISKNIPPPTSDYHNQSASNGAAAYDLLRSSAFPPFQDGLAGMTQSPDEMQKQD PLATQVWKYFAKTKLALPNQERMENLTWRMMAKPLQTYRRQMETDRTHRFSESAPQKS TSGIARLRKSSEQTQSQGSDLMNLDDFINGENISTPAGLSLAPSPETSSKMADDRTAH HSTASAIPIKARKDQQSQHMIPQSVPAALHHPRMQTEFGYLPRHLRKTSIDETSKRNP NRKRPADFSPHVSAVTPSYVTNGLDADTDLHDYSLDHTSHDGLPPQTAHSSVPYALDT VGLDADNFITSAGPFQQNFSFSPSTSPMVSHDPFTAMFGPNNSSMHSGPINGNNFYSP PASAFQSTASTPHPMNEGGDNFYFGVDMRRARQQPYQPGNHGMGNAMAHQFPYAGNGN MMFPASSAGQDPTPSFTAPNSFSGHIDPTQVFHNEQAVRSPGISVLQDSLFTFGAESD GDEEDGGAFADRNLSISHDFSSQGMEEPAFDSPSMGWDPSLPGNFSTQAARYPGGPPR KQVTIGATTTDYVDNTGEWDGSGLPRSQSQSFRQNDLRKGKMSRTASTPGLSARMNPF ERLAQSASHSPPADVGRSSGLSSVPASRPSSPPPGAKQGSTTNLQGAAGNSTDTPTTC TNCFTQTTPLWRRNPDGQPLCNACGLFLKLHGVVRPLSLKTDVIKKRNRGSGASLPVG GTSTRSKKNASMSAAARKNSTLSITSNANNQPPAQVATPPAQQQVRASSVNESESPAS GPASGGNTAGSTPTSYHGSTGSTSGAVGGKSVIPIASAPPKSAPGPGAGSMSRRDTIS SKRQRRHSKSAGSDQPVSAGAVSSSGMDVDSPANSTGSNETMPTFNPGGAFSGLPPTT QSSLGFGNGYINTPRPMVGPGGMMGMPNGQAGQMMGASSSSGPGSGPSRTGAEWEWLT MSL NEUTE1DRAFT_141456 MTNSSFPRGPPGADNKTSELTLDLEQTSPNNSKSVPITEPPPTA SISRGSTTDPTPKDIKPKMPETAASRKAQVVPLLDGHDDPEPSSSNASSSRPSDENHH HQSSSIDSLTPLTPRVIPPSYHHHEDPNFAEDDTLMTGKYALRSWLTVFGAWLAIFSS FGLLTVLSICQSYLSGENEQVASISDGTFAWVFSLYFVVSLGLGMYVGPLSDRFGARY MVLSGTVFLGVGLVVLACRSETWAILLAFAILSGLTSTFLFIPSLVTIHQFFGRSPEY YTFATSLATTAGPTAGIVFPYATRNLFHEVSWPWTIRTLGLIYFFLAVIANFLIRSPP SVRSPAPSVPTSPTLSSPGKKGKKPGSADSTTSMAGGPSSSTSASATPSLTATTSGKP SSTIHSSLTPFFFSPSYTLLFLSLLLIHLSIYTTTTYLSATSLYSQGYSFSSTFRTTT LVVNISSIFGRLLAGLLSSGFPFFPSSSTTHLKSKSKTGGRGLGPFNTTILFSLLATL TLLAILLPPLLHPGRTGMSPSRFTAFAVLFGLSSGAVLGVEPVLVSSLVCATATTNKN ENKNKNNNRNDGFGQFFGSLYTLISLASMAGIPIGAHLVTACRGRYWGLVVFVGVTFG VGMVGLVFVRRSVVLEMSSVMKGSEAEVAAAAAAAVVGEGDDGLRRFQVRKLLSVKGW WKEKKTWREMGGRVRRSWAGWGLERI NEUTE1DRAFT_88996 MPKEKNYNPVQAQRKADKAKEIKKGNDMLTITSHCTGKAQQQAR RNEQLAKRNPEKIQQQIDDLKKIKETDGKLSSHQEQVLEALEKDLKAVKKAREALGDK APTFGHGPRRDGALGKRRREDEESSDSDVSEDVKRIPMPRDTPPPIPKDVLDEWYAKR RAKRAANANHEPLGQGRGHQQQQEDQKMEKPKTPVVEVKTVYEAKPMVRDLRKEAVSA FVPTNVRLKLEKGKGKGGLMEPEEADELERAGYLKTSQPAEQSAHGLEVEKSAGPRGV TMEEAEEED NEUTE1DRAFT_88997 MSSYLTNFFPSGGKQDGTRPQTPMRPGTSSFVNPVSTPQGSPSK RTLPPGANELPAAFESMKLSTPTKLATALDSPIKLGRPQSVATPLSPGKSNLKDVGDD TFEDLTGNGSAVSVVHKQVDKNLPKPYPVPTPRRKERDQNQENTPPVVTRDPRDPRDP ATEPTYQRSHAALSRQELYQTRERASPTVKRFNTSRGLTPEERELLNKPNVKRLVNVT QLYFLDYYFDLLTYVGQRQNRLNAFKAEFPPPPETDEETYKQMWHKYAGRERANLRKR RVRLRQGDFQILTQVGQGGYGQVFLAQKKDTKEVCALKVMSKKLLFKLDEVRHVLTER DILTTAKSDWLVRLLYSFQDDRNIYLAMEYVPGGDFRTLLNNTGVLSNRHARFYIAEM FCAVDALHQLGYIHRDLKPENFLVDSTGHVKLTDFGLAAGFLAPAKIESMRIRLEKAS ETSVPFGKPMDQRTVAERREGYRTMRDRDTNYAKSIVGSPDYMAPEVLRGEEYDYTVD YWSLGCMLFEALTGFPPFAGSTADETWRNLKHWKEVLKRPVWEDPNYFLSNRTWSFIT TCINSRSRRFNNIKDIYAHHYFAEVDWAILRETKAPFVPELDSETDAGYFDDFSNEAD MAKYKEVHEKQQALEGLAERGEEMNKSLFVGFTFRHRKPATDDGASPRKPIPFEESNN FGTML NEUTE1DRAFT_89000 MERHQLRPQILTYTSLVLLGMLGRTNAWWGAGAPECAQPCLSSA WSAASTTSWPAPTDWCASDSSSDIQSRKDAASSCLVSACSATPTVHSSYSSLSSSLCA QYSSCSSAGSTGVYTVSLPAFTGAWDGGHDGGPIGGWWQGGGHGGPTGTGTGTGQWGP HHGWAGTATWTGGVYTVTGCEWDGSPWAGGPWGWGHGYGHGDYGNGQANGPWGIWGAG WTLTSTGTETVTAVQTITRDATGGDGSVTKVMELTTSTGLATVGYAVNEESKEEATTV LGVVQAAASTTGSGGSSGAARVGGAGAGMGVKVVAAVLGGVVVVAGLL NEUTE1DRAFT_132434 MEHQLGEQCRLFPASFWLAPYPEVDLEVNVKELEAEAGKDCHFC QFLAAWRMKGDISEFCSYKIRKLDWSMPLGGPRGLIYFGLSLEIRDPWPQFLDEGEDL HKLIEWRRQNTSQKCGTLFSPVRLSAHFGEAATRSYPYQLRFDVTDSLIWLRDTEKKS PGRSSSASCEAMEYSVALMKMWISRCEQKHGDACRAALTAQDFIPTRLLDLEAFKRGK PFTYRDDIKLVNLRLDTIGHNDRQPSYLTLSHCWGPPEKRPATTTRASLVANMNRILF SSLPKTFQDAVEITRRLGQRYLWIDSLCIIQDDEADWAHEASLMAKVYTHSYCTLAAL SSSDSSQGLNMVRPDFLLNHEIRGNQHDKYRVQAWKGTRSGWSGEYYTSRDRSPLRYR AWTLQERELSTRTIHFGHQQLLWECMEGKACTQHPWPLFDRGQMTLRKAMASTSEIVV YQPKEMRMKWQNLVEEYSGRSLTIPTDKLIALSGIAQSYQVLFPNAKYVAGLWSTHMP QCLLWASPDRSARRASEYIAPSWSWASLNSSSSIKFLPELFFQPDNGFPEVEDMKGSP KHNDPYSALTEATLTLRNALLVQFDSEIKRLDEVSRFSGGPEEEDKNLGVLTKYDVVA GLAYLDDADELLHGTGELVCLVIGIEDVGGDTRKTPVEHHYAGEWEFCLEGLLLRRVV QDDASTCTYRRVGIARYIPEHFRAGLEPGRITLI NEUTE1DRAFT_89002 MPPPRRGADLTLWDCFQIADQHRVGPFAHQYYSPNYVEEYDWNQ FDPDYFSGPEFQSLPLEEQFCHFPRGIVENVDNYLDGRALNKMLAYMGESRDDRYVSW LVDHQREAWRVAKRFNLRLESRRSQLERELGEGEVERIRGAMQAARERKKVRERERRE KKLREGRRELAMQRAEEQQKKLREEEEIRKQAKAKEMRVRRELVGDLNSGASTLRKQI ATFAKGDESVTIGECEALIAQIGRFIRLFTRRLKAHVESQKGEVSWRVVWAAVGGVIS VLELIYYDDVTEIQRNSYVDWRNLNVLFHVATSGAREEWGSLLRSLLFQTGINHIMQW VRTRDDIHERLRAVLVNMDRHGMSGAREMASEI NEUTE1DRAFT_54577 MTSPVVPCKRRRASSAGSGANQFASEQLAALPKPIKMGPESHIA TEDLRFILSYLPLSMVIDILTSAASDDQSQAWKIAERFNEFIIPRKLECMRNNDVEGL NSLPGPFARSAPLRHLSTATVSLMLSHLPPFAVLDMLASAVPSDRSLGWTIARRFNDH VIRRKWELTKLVEDEAARGMPETPARKTVSIYRGRDLYIIDVQDVVDRLNSPAWNGND STREFSDEEMEWINKYVLPLGVCQSNRE NEUTE1DRAFT_18495 MKTIDDSDEDPSSFWGKMEYARVKPFAAQFYSPDYIEKYPFDSF DPSYANKLDLSFAALPPAEQFLRLPKGIVENTDGYLSEGDLEKMLT NEUTE1DRAFT_118432 MSAEEDLIDYSDDELNNESAAPTNGKKAEAVPAGQTVDTKKGSY VGIHSTGFRDFLLKPELLRAIADCGFEHPSEVQQTCIPQAMLGGDIICQAKSGLGKTA VFVLTTLQQVEPVAGECSVLVMCHTRELAFQIRNEYNRFSKYMPDIKTGVFYGGTPIQ KDAEVLKNKETHPHIIVGTPGRLNALVRDKHLRLGSVRMFVLDECDKMLDQIDMRRDV QEIFRATPQQKQVMMFSATLSDEIKPICRKFMQNPTEHYVDEDTKLTLHGLQQYYIPL EEREKNRKLNELLDELQFNQVIIFVKSTLRATELDKLLRECNFPSIAVHSGVSQEERI RRYKEFKEFNKRICVATDVFGRGIDIERINLAINYDLPADADSYLHRVGRAGRFGTKG LAISFVTTDQDKEVLKAIEKRFEVALPEFPKEGIDASTYMAS NEUTE1DRAFT_70327 MAKGGGKNNGGVQNRAIYSRVSFLQQAAVFLSRQSQSQHQQQQQ SLPSSTSSALAPLVPPPTSLEGMSRRLATDLRSVSLKTRIRLSPAVKRTICKYCDSVL IDGSTCTAFIENRSKGGRKPWADILVRRCHACKKERRYPIEAKRTKRKTERGISEEDA AGGDAMEVDGPPVAGKGKGQKQQGQKQKQKQPQGQTEVEVGEQKG NEUTE1DRAFT_125214 MASHRNTIFGTQISSGAGHGGGGNGGNGYGGGRQSMHAPGASSL STSSGQNGAAVKARQISQLHAQLTQLSNNLADTENLLRMTSVQAECMRGLGSWHGGLF MAASKVLGEESVQSVQAQQVVEQQQGGGQGGQGGQGGQQR NEUTE1DRAFT_89010 MAPMTPRLKILSVGGNSVSAFLSWRLQATNACDVTLVWKTGFEH VAQYGISFKSPVFGNERFKPRHVVRTPEEAATRREGGAFDYVILCIKALPDVYDLASV IDSVVTPQHTCILVNTTHSLGLESAIEERFPTNVVLSLVCGAELTQLGGSEFEHKSST EVWVGAANKGSNIPASIQEDMAQALAMTLSTGQVDCKVSSNIRQQQFERVIGPIAFHP LTVLFETPNYGQLLDKVGVAKLISDVIDELLAVAEAHGCKFPPDFKKNTIDEFGRTTA ENMMWQDYVARRPMEVETYLGSPIRLAQEVGVSVPRIETLYALLHNTNIANRNKPKAD ALAPPVQPASPSGSPLPRGPPGTPRAVANGMANGNGLPRMRPRGSSQLGPLPPGMRRP TMNGGGPPPNGYPRPPTSGSRQPSRRGSMEGADLEEFSHLVLYDDIPEAGEGGYSPHD LALRERELQLRQRELALREQELRLRRAAAGGGPPPGSRRGPPPPRFNGGFDDDDDDDD YYDPASAPPVPLIDPDNFDMMSVTSKKTRKAPSDVHQLRKNPESGAPVSRSSRFKPKF GRNRSSHIVAEIPGLHDDILDDPLMSFTSNRYGEVDRRAIHAESRTNSLTAARLDELQ YTQGPPPLGGMPRRASQSPGNPYSPSMRGGSGRPSPPNGYSAPYMNGGGRPSPPDGMR QPMPRYPPGHGNAVVPQHVEQHVGVSALHPPETMMSKNVRSLTGSASASAASGDSTHQ LESSEPSAHSSQSSLGPRPPIGVR NEUTE1DRAFT_132440 MACVLLASCIVVIALLSRTFLFLLPSFVLCHHQSLEAPKAQRLP FTTPWLALAPTPQPRTGPPQPPGAGLAIPVRTPSDPRNQPAKRDGLTRRSDTKPDSDQ PPRQGATPIAHPAIHPRASIHHTTTDHDQHCSTIPSAVRSLALLASPLPGAIVTSHRL HWLQMLLFGYKDLLHPTLHCSPIYLPRYETRHIHYARSLHAVHKVEQGTSRNRRSSRL IQIKVPSSISWLWPSTIDPDLCLVTAKKALISAAQHPGSPIPSYNRDYSLSLLRLLLL VTALLLYAVTIIVVAPAVADCCCRRCVVTTVPVKLSDAVSLARSFEAR NEUTE1DRAFT_52647 MRDDRWSRGADDDNGDDDLDTVHRFTSPSPHKHHDHRHVASAAR STFTREPPRRHAMLYTSRADLDRSNGQFE NEUTE1DRAFT_141470 MSQFTTGDVAFLLEWHRFNEGKQAMLERLNPAQYMKGACGHPVI VLEKTASHALVTTVSAHGAAPWNNWQAPWTSGRHYARGADHFRSFAGTQRPNSRREFL RLSKGAWPKPKSSFVHVENVLLVPLFALGDFTKPKCWERDGTILHVSPTSMHDLIMHI QVANPKWLGRLEFLAVKKPKAIMTKQHPPVPPPPLLPSPPPPPPAAMPAPPPQPASES GWTEVVKKKKKR NEUTE1DRAFT_141471 MSKFNPLEHLIKALETVTATANEPDIAPAPTPAARYVAGGPTFI EVAVPCATQQQLLTGFTFTGALRAPADPVRTQRALNKTPCPSRPLEDFEAAPSMTECG LECHFSVGQRN NEUTE1DRAFT_149282 MVHSKQPITWRIVVIDMPTAGTNHGSGLEGQEVAVVSGVSDSPK TRPNGLRDWSVKEGTAQELGKEGHHYPWFDGLTFHCLQSHKPSTSGGGGGGGGGGGGG GGGDGDDAVYAPHPPPLLQPKPLGRATRQG NEUTE1DRAFT_70335 MNFSSERRVHPRCSSLLRNASYPTTTSTSYASVDSLFSVSSLIS VPSNTTLSQDSDDSRSSASDTEQSYRTFSFPTQFSNLSQTSISSVESLCEPSVKHVSH WQKSCAEQAKGNRLLRQNPRRTNRSATSRTGHPPSLVRQDDRKVNFVDTVVDAATLTV EAIWPLSSACPRNGNGANPGLSLRQFIQETLKRSRSSYSTLTVALYYLILIKPHIPAC DFTMEQPRDCLENPGLQCGRRMFLAALILASKYLQDRNYSAKAWSKISGLNVKEINQN EMAFLLAVNWKLHIPDHLYERWTECMAKLNLSLPPCSGSAAQQIYQQQCANYKTAVRQ LTPELDNVEEVASWFQQRSPVVVPTVARSLYTPPFERSSPFESKAECRARIPAPMATP AVMEPSPFAVQAECRMAPALGLLPSPRPTPQLRAMTSTPAVSAVSCLLAKGSSMGYAM HQASHATAAQVSDRWPSATSPPYLTRRSSLANSVSTASSPESMISDSSQLSRSSSFSV TSVESAPSSDSDAQARYQYGKQDGEKYGLQPVIVSAHETYGGNTLTLSPESCYMDPAG QNLNDYKVAALALQDLRRQGSDLPARAGMKRARAPSTDKPLQDNVRDLLSGMDSVRPS RQMPVRSFSEESYKRLCFSVEDARPAISSLHPAMGGIGGPGMWDGILC NEUTE1DRAFT_118435 MISASSGRLANSGHGRQAHGTSFMNIMDLKEHISLQLQPGAGRK GSAYEQTQQRNLGLGLSDNGFHSRTTKPTKSNGLPGS NEUTE1DRAFT_132442 MMADSIVTSPEGVSGLSAEAQQPLVPTSTRRYGLGEMSNGDCDA NLAEDPKAHRPMERRATGNGRFPIRVNGTSVSSSSTITSTVAVVRPADSKPPPIPQRS HFRGVSQSPSLVNKKLGLIPLALQRSKGAGSRSSSVPPRFSRGLKPNGAHVTRTQLGR AMSLAEEERPVANIAAGNTIQPVSRVLIRSQSRGASRVGNSPVFTNPFRRESATLGTP SSVWELAVLPVRRDASQSRDPLLEVARGVLYSISQVHSAVQSMSNFVVNHGAGSNLEA ALEKASFHFHELEQAIHALDLMTGTRGVDCPNQWSLQQALSRLVSAYSQICGELSGHI DMFVDIGDERYLRTFLMLVYHSIMELRATMGSPPAPDIDAAATLKPRRRTPWSTILTP FRRGSTRNHTPLSSFKSPKPPGGLESARGGVNMQVRTDLPYPGPGGAPTRAIAVATTD SAPTFTSAKSIGITTASTRVAKLDTQPIPITPEPPPTATSFSLPSFSSLTPSHMSNNA APDPTDAPFDVLFLKLKSATSQIFHTIPPLNSLFAHYHHQVHSSPALREAAKAWTVLL TSGVKVQKQTEALRERLSTLRVGDPALFSPPSSARGNQTRSGSGHGSASVSFWDVCEG LYTAWAEFGGLLKTVLVKSKASAAEGARKETAELNSKLSLPPETLKQLGNISRGLKDA AELSVIVRRQIHVQQQQQQQQQQQQVQPVVPPVTRSQGQDKPMVRMRERDRARERSIS PRTRDISTVRAGTPAARRLQQMQMEMQSLPPLPMTPQSAALGPAFRATKPSSFGSFSG NEUTE1DRAFT_89019 MKPIALSALFTAAAAVAVPGEHESKPSQPSRPRIPTSYESAVMA RRILALTPLGTLATIFPAANSSSIMTEEEKRNPKLQENRPAEVAGMPHGLMEYISDCE YATNDVGNPTLLAISIETSFKNIAAGSNVSLAVQWTPPPPPPPHHRWPPHGPPPHGPK KPPHGPPPHGPKKPPHHGDDDHDEDHDHKKHKDHKDPKDEKHHKDKKHKDHKKKRPHH PPPPPPPPRRRRPFSPAALPRFSLLGYVEKIEGGDDRSQEGSIGAQLASCFTKVHPDA KWWLPGNRIHESHFVRLVVTHVYWIGGFGDRAYIGWIPVDEWKRVTKEEWEGIRLPGE WEGHHGRPHHPPPKHEKPEDGGDEGSDSDGEDEGEKQQQQQQQQAEKEKGWVVVQDGK NEGYGVEEDL NEUTE1DRAFT_118436 MGKVHGSLARAGKVKSQTPKVEKQEKKKTPKGRAKKRLTYTRRF VNVTMTGGKRKMNPNPGS NEUTE1DRAFT_70340 MMSQIPGETPPPNLATLAEDHYFSANPPPKDHEKHVKQAKDFID FHAKEGRRLVLITSGGTTVPLEKQTVRFIDNFSAGTRGATSAEYFLEAGYAVLFLHRQ FSLLPFSRHYSHAKDCFLDFLREGPDGSVVARDKDASKMLQVLRKYHDARDNNMLLAI PFLSISDYLHELRSIAQLMKPLGPNALLYLAAAVSDFFVPPDRMSEHKIQSTDAADLK KKLSSSTATSAAPSANPSARATPAPPGSVGSRNGSGGTGVVDEETFDNFDSSPTVPRS KRLVVDLDPVPKFLKNLVEGWSPEGMIVSFKLETDPSILTLKAKYSLNRYQHHLVIGN LLSTRKWEVVFVAPNRKDRWIRVPMHRRVGSSSTSSGNPAATTTTTTTTDKDEPLDPK SLPEGEPEIEIESLIIPAVKELHSEYIDTFERERRGRSGTPGA NEUTE1DRAFT_89024 MPPALRPAAPSRSLLRYLRAQSEGLSFAPTCRAAAERHPALQCR HVCTAGGSTRPPRQPSSSTTTRSLSTATPPKRTQLRAGLVDLEAILPKSLRKQRTTKS LLALPPPAGSLRFSSNQSSDCDSKRPKLREWLFGNGEKKGPPDTRLNDDDIRVALEEE SGSIFQRRALTAKAAMDPRLRCTEVDENGNVVMVDGELKKSELIAKYGLLPRDLRKID SSNLPHILIRPSAILLNLLHLKVLIKHDCVLLFDVYGSKSSYPQSAFMYDLQGKLQQK QSSGANSLPYEFRALEAVLMSVTSELEADFEAVRDPVIRILSELEDDIDREKLRVLLV LSKRVSTFEQKAKLVRDAIEELLEADDDLASMYLTEKTHDLYRGEDDHTEIELLLESY NKICDEVVEEASNLVSSIRNTEEIIRAILDANRNSLMLLDLKFSVGTLGLAMGTFLAS WYGMNLENFIEETNWGFAMVTSVSTVASLIVCWYGLVKLRKVQRVKMGDLHNRNAPNH WFRDESTDVLLDPSNRERLRRINSMKSAQQKRSTSKKWF NEUTE1DRAFT_104573 MKLTPIKIRGRGRKQPWQPPNPERFRRKYLDGDEDEFDEETGVI PSQVDKNGVRRRRRVKTRKPAALIEQLPPEILERIFSMSENLNFPRSSLRIGYMLSAR TFLMQLIVDAFSPTWDMWFGCPRKDIQSYRGYEKDGERMPGNPDFQTAILSSKWFSLS LILHAQQVWIRRHGSAFRPFIHTENWLMLGPTRMELDRDLISGPRPGEIQDYDMDDVR DVYPHHPHHRLHFDGDFVDDSGAPSSSQSNTKLDVETCFEADWSWFTTILYKSDPQGV IYSLENHALRTGYWDIHPATKIPNHLLANPVASWDSVKLLYWLVRGGATLSSEQTWEL TKLGYERLMSHPDHPSTTITSSYTPHHSTLPTSRPYIPHANLPPDARRDDLDEDQERE QMSLIALRLFSILRVFGPNHWPHFLMIEKLEEISVERQTRTAYSDSQKPAWKLWLRAQ SVLRHWMQQRGEEHED NEUTE1DRAFT_89026 MADHGWGAAPAVTEAEAGPWGTADLKSALSDTNGHSGPAPVEQA VPPKLEGWVEATPYHYDEFANRETEWDGQARIYEWDGEEGDIGPELPELEVQLFGPVE ERGRRGIDFSAIAELQLVQEGPTRVEPIDSFRAAGLHPAMLRNVELAGYDLPTPIQRY CVPAISQGHDVIAIAQTGSGKTAAYMIPIINKLMGKAKKLAAPRPNPVTYQSGIDQPC RAEPLVVVVCPSRELAVQIFNEARKFCYRSMLRPCVIYGGGPMREQMEQIAKGCDILV ASPGRLIDFMDRPDILSLRRVRYMVIDEADEMLHDDWKDELDQILSGGDQEEGNIKYM LFSATFPKAARDLATTHLADNHVRLRVGRAGSTHSNIKQDVIWVEPYLKKQACLDLLN TVPPGRTIIFVNNKRAADELDDFLFNKGVPCVSMHSDRTQREREAAMRAFRAGRSPVL ITTAVTARGIDVRNVLHVINFDLPSTMYGGIEEYVHRIGRTGRIGHRGLATSFYTERD EDIASVLTRTLLETGQEIPDFLQQYIPEDTENLHFEADSDFEEEVGGGDDANGDDAGD GWGGGGDADDAGDNAGGDGWGAGN NEUTE1DRAFT_132447 MGSSNKKKKEKKKDFNKAKLKVGKAKAKAANFTDTSFKSKSIVV NQHTLAALDGVDLVGLFKQHLNQAINSKSDKLRQEALVQLTKDLSSKPIFNPVGVPNL LTKLLPLITDSVANVRTNFLKLLRALPPSDVAPHVEKILMYIRGGMTHLSTEIRSDTL SVLDWLIEVCPDETVSCPGGWLKTMNSFSSMLGWNPSVASTLSVKGWTSATKTSLNKV SKKNGEAQAKQITTLAKFLEAGFRPETPLPYDEQRYWDSIYRMPTTPNPFAYLNLWGA QRDEDGEMYPDRISRQQVFERKWRAAIKTGVMGAKQEGGVIGRAASVLDKVLRTAEEG GKKVVEEPKIEEVEEVKA NEUTE1DRAFT_149291 MSDPTSPSASAHLEETLGRLSKKPGVKGAIVLDRASGAILKTSG QISSIRKAKSQPQQPEQSEQQLLTDQQGPLSPSFPPGTATDTTTTDPAPAPGTAVTTT GEEAVAAPATVSTGTGTDGEVPGAGAGAAGTGAGNSSSGSSGSAVSAYDQNVVELAAM VWSFVSNAGEFVQELDEEDELKLLRLRTKKQELVIVPDAKYLLVVFHDTPPA NEUTE1DRAFT_70355 MATLSDSDIEKLFSGAPQYFDRAEGHYTGAPHPSVAFPWDEELG IRDLTDHTQIEDRAWTCASAWPHITRDVQPDRSTAQRASEKKRCAHFYPRCRERPSML SMGGLEKGSMGFQAALELNVADALKEDQWGFESLASRPHAIVEARQRMLTSRDRLRHM DETLIFEQLIKNGKRYSEQHPHQLRLSSELYNELFLHILHPPTKVIDHRDPYSLSVQI AALVKVLAAPNVWIDFSLVEWRIRLGQLLWGTPDEDQVDDGASIKTADSVSEVTEERY WLLLQILLACELLVRLDAITEGDELGIESINPAEIHKFEKDANTTVKWSLHLARAWLE NIEIVKTDPPSPEQEKPIGWLATLTKRMSIARDHGIHHHKDHHGPVYAIRGRHVERQV HGLRHFATMLRWPDIAVYGSKISENCRAATEGTQLNTPLASPLSKAESHLSSYFTFPG HDSHRPAAPKREPSRRRMISAALHSAGWLSKSYVSGLMLPGDGICHFLMATLLENDPE AMARLGTMANLCGGFVYSGKSFWSTSCIVGRVLAAGKGSAECMGWISSDITPQGLGDG WVNVEVEEPAEDALGINQKARIWAKMAVEKDSNVLGDADPSSVLPADFIIPFENVYRD KTPPTLLIELDALKLWAPADSVQTTPAEDNAATPFSELSRPAQIRTYPAEISFTVTDL DTYKTHQHTYSLAKDVNFVTAHPCAPSSHVKILRSPSSPTIQQVDLSGRGAAGKAVSV VGHPLHKSYTYTALHLSELMAKKDFSLEALLDDYSSAAHRPSLTPPSAKSAAKFLVID CITGFQALPQEHEIPLSPAVSRKGSYSFPTPRRSSTNNSTNNINYNNHPSPDSSPITA PEAECASPTTLDGVKEGGEGGGVNMESASKKMHSETRRRQFGSDMEILVRAFCAEKGW NALISRRRRGCLACAIREAGALGWKVIIRVD NEUTE1DRAFT_70359 MTTPVEPATRKRVLKVIFISLLLDLISFTFILPLFPKLLEFYRN VEAPLDPSAPPSKTLLSSVLGYLNAYKASFARPIDSRYDIVLLGGALGSLFSLLQAIA SPIIGHFSDRYGRRTALLLSMTGNILSVLLWVMATDFRTFLASRIVGGLSEGNVQLAT AIATDISDPSKRGSTMALIGACFSIAFTFGPALGAWLSSFSTVAANPFATAAGVSLTL IVVETLYLYFCLPETLPALTQKTESTGTQVSSTKSEEKKPATAPTTKPTAVQRTNSHF LLNFTHFSFLLFFSGMEFSLPFMTYDLFAYDSAKNGRLLGFVGLIASLLQGGVTRRLP PLLSVRIGVIACLLAFIMLGRITSVLGLYGAAALLATTSATVVTGLNALSSFESHEGE RGGKLGMLRSWGQLGRGLGPVLFTSIYWWAGREVAYGIGALGMSWVALLVMYALKTPP GSERVRKESQVAEQEKKEL NEUTE1DRAFT_125228 MKTATFALACAASVSAHYGDVSSIFDSWSAGTVSYAPTTAPTTT ATGGPDKGDGGQWTTSTVYTTAVHTITSCPPAVTLCPAHSTVVTTVTIPVSTTVCPVS SGSPPPPPPPASSGSPPPPPQSSVPPASSGGPPAPPPPPPSPPASSGAPSAPPASSGA PPAPPASSGAPPAPPASSGSPSPPPPPHPPASTGAPPAPPASTPPSPSNPASSAAPPP PHNSGPSATEPTGSGSSSGGGGGGAPPTATTSPGPVVTAGASIERAHHAGGALAVLAA LIALL NEUTE1DRAFT_132452 MSSPTPLSTTPSPLDAEIESLKAKVTTLKSHLRIQTSTLISSPS VSSLLSNPPSSSHLKPKGLTPTILKSLRHHASLQKAHNQQSLYRTCATITTFRVQDPD PNAVDGGAVLGLRIEVFARGRFMRPYYVLMNRPWSDGTKNGKGNSSSNSSSSSSKEKE KSRSSRKATTSGGRYANWLRVHRHTVPPCIPISGLVARYLPTPPSSRPDKEEEEEEQG GVREQNLVKFARSLRRELVRYHHRLATITDLRRAILAQDQTEQQEEKGPNKIQDVIPA DAEAKQITVEWADGQTGRLVMGDDGEIVQVVALNGGGAGGGGGQGNGNGSGRDREVVR ELVGSAKRVEDVVRRLGSGTKERRNAMSKADSSQVRLPLFISTQNSCDHFMHSLQSFS ASVSGAPALELTLDLPLRQSKVHQSCRHSVHHMPCTPSLLQGFKNVATYPSALQSPEM GGERHGPVVEGDTALQVQQTSLVDRGLVTDQRSWGLEDVGGLDWCGVV NEUTE1DRAFT_132453 MGTKTRGTKSPTQNGLSQKASKKGAGKKGNNKTQNIKDSDSDPD TVASGLHKMNNNIELMRRNKGYDTSSEAITANIIGRESFSLDDPVPDKSDAVNNHGFF ELPKQDQRNFGLLVLLYFLQGVPLGLATGSVPFLLKSKLSYAEIGVFSLASYPYSLKL LWSPIVDAIWSPKVGRRKSWILPIQLLSGIGMLYLGSRVEGLMDTMGKEGGPTVWGFT WWWFFLVLMCATQDIAVDGWALTLLTPGNVSYASTAQTVGLTAGQFLSYTVFLAFHSK EFANRWFRTEALDHGLMSLGGYLTFWGWAYIAVTIGLGLMKREERTKNEDGVWDVYKI MWGVLNLKNVQTIIIIHLIAKIGFQANDAVTNLKLLDKGFGTENMALTVLIDFPFEIG LGYYAGKWSQEFTPMRLWCWGFMGRLVAAILAQFTVVMFPAEGVTSWYMLVVIAEHIF STFTNTIMFVAVSAFHARISDPVIGGTYMTLLATVCNLGGTFPRFFVLRLVDYFTTAT CVPTSKTATFSQPFSCAIQEDKTRCLANGGTCNMIQDGYYIVNLLCVAIGVVTFTMFI RPKVIHLQDLPLKAWRLASSGVAASKR NEUTE1DRAFT_89045 MLPSFHHPKALLGKVVLLSLLSSATSAFNPLPPIPDTLLTQQQV PLHLNPPFDIREATISSIHNALFTGLTTCHALISSYLARIEAFNPFLHAILSLNPHAL DLASTIDAHLTFNNSSSLVNQPLLCIPVLLKDNFNTNEMPTTAGSLALAQNTPLHDAP TVSAFKKAGAIILGKTNMHEFALEGISVSSLGGQAINPYDSARTPGGSSGGTGAALAA NLGVLGTGTDTVNSLRSPASANSLWSWRPTRGLVSREGVVPVGWTQDAVGGMARGVED LGVLMSVLSLGGDKGGGDNTTVLVPPEIKGRDYSKALYGGLGKLNGLRLGVLNGFFNH TASEETTPVNEVMAKVLRRLEVAGVQLVNITESVYDTVAIAAKLDVQTFEFREGLDAY LEKTTYTVGDGNGTGPRSFSDVYTLGKKQFVVLPSQYDYIRNAFNRSTASPEYFVRQY GIQQLKTALARTFSTNNLDAVIYPEQKNLVVKIGSPSQSGRNGILAALTGSPVITVPV GFSNATETAPLGVPIGMEILGRPWTDDLLLGIAKHVGEALGPVRRMPVGGGLDKVVEV QGGGYEKVPVVNTDNGNIPGVYPLGVY NEUTE1DRAFT_104586 MASTTAFLTRLTTITFSSLTQPLLIMFLLSLLTLYTVYIAIYRL YLSPLADIPGPRLAALTKWYEFYYEVILHGQYTFKIIELHKQYGPIIRINPWEVHIAD PDFHRVLLPTNTNRRRHRTPFFTKQFGADESIVATNDHDLHKLRRSAVGPFFSTQNTR ALQPVIEERVDALLARLREHGKTKKDIPLNMMYAYSATSYVEDPDFRAEITNGILTGS NYGKIFQHFPFLVPFLASIPPGMLAAISPFYRTFLHLRACITAQIGEIEKSLRSEEGK NAHLDIPHPTIFHSFVNTEALPPIEKSVPRIAQEGQVLLREAIPDDANEPVDLARLEQ LPYLRAVIKESMRLSVGASGRITRVAPDETLRFKPSKLCLQCYPDQLKTTEDKEKEWL LPPGTEISMTSYQITTNPEIFPDPHAFVPERWLGKENEMRLDKYMTVFGHGARVCLGM QLAYAEMYLMLSKMWRVWEGGPQVGGGEDEDGKEDVEEKKGRRDGRTVGSGEKNHG NEUTE1DRAFT_149300 MKKPTQHLRTTTTTTAILFLVLSSYATVFAASPDSPTLEDTLHA ELDFAFNLPRQVSTGQELDSFFHTANFLGEASPQPIVFSGNPSRPFEVNGETFPDFAT AASRVCDNQKNACADTANDENKKTSFGVSDCDKQRGIPKTSSLKSSPRTPPPAAVAQD GIKNYFRHPSGSPTSPALSSASTAQGEASPELPPLPVPRLPLRVQGLLRTPPTISVNE DEVDGSRWGSPYPPNLRIENSSSDDEEDDDDSESERDPIHTLALQTRFLRPAPPTQQD NTSESRSSFISGAATVLANRARRLVHGITEDWIRQHTAHGSEREKLHWLSDGTGDSEN SSLSDSFSGDEDTAWLGDHLLTPRADRRRSSRRSSRQRQESQGALKKQSSTDTLRQSR LVSRQASKSDMASPNERASPPDVTMASDRAPSVSPFPERTPSTSQSVGATKPEDASSM NIPKGEPVAPSTPSKPAPKRTSTLAVSPRMKKKVPWKGKSVMILIPKDDERGQPGKAP IPLSESEVQARMRSWQQQGHDISGFDLEPPKTANQESTSQSRGSWPDFDDLIQERQER SWKVLLPDLNAWKRYVDELTEAKLRALGVSLGDDEPPQPSVSPATSMSRQPSVANYPP LPFSPPLPTSSAASGGGLPGFPFHGLGPSPTSPGLPAGMSPASFTSKYNPRASISIPS PHAWSQQMMMQQHGHRMGSPSLANLNAMMSPSSPFSPDGGLFSPMGHMGHMSHHRHQS LQLPMFQHPPQHQLQHQFAPPPRASPRLQDLHEIVEEEPAQDSVRAPEAGLLPHHNPG DDLQREIDEAEYHLEEQMRSQLENDQDYSPHREEHTIPPVNPHARDSSVNFAPQQPQF GASSDGLVLHHPRPHSRGHSLSQKYFTEEDISNSGGGFRPSLQPIDGPAAEDDEIETN PSNLGTPIQALEFQKMMHQHTLSNASSSWSTGKPAVGPAPKHASHGSKSSLSKLNVEA PEFKYNPNSTFTPQFTPQVATFSAATSHFAASAPTTGAINPTASVFSPTQSEFGLKFR PDAPAFTPNSFATPTANPAVESDAKPESSAVDAGDQEKAEIVSSAEESKAIPILPPNK EAQTEEERYEAEGQFDGVTFTKLDHHNVELDSVAPAASETAESSTPVAESRPNKDEEE DLPIEEKSFDESTHGHPDMTLSSTMASETTDTQATVSPLEQAADPMSLNWTVSELKDP NPFSDDAATHGHKKSLSATASAFVPGISTWPMEVTDVATGSSQQPPQQTPVVEVAEVD HTEKVPAEPDVVTVPSKPTKGLAASRWAPKPPPPRREGSKKKESMSITTTAEPSFDDI DAVMRHLSANPDMGIKKPTDSGGQTQWHQPNPTHPIPLEAVADSSSYRQAEDHSRSPS PAPRKYTGLPLQPSQPIPTTELEDPFLDPPTSAQLIEGPVQRLNGSEDVPVSDWNGDF TEDEQEKLESRVTFFNGQVNEVVGGILDSRLGPLEQTLDTIKHSLAAISRRAVSNRRD VRSASAEVRDSDADDEDDDLPTTRRSISPRRDRKMEQIKVAVMEALASQQRTRPTSSS AKSTFGDRPDILQALEELKAQITQPKVSSVGSDDIKKIVEEVVHNRLEPAVDQAKEAQ VTDLQARVLELEERLRSQEAKVETEIAARRAAEDRASDLTRELQSAATKIEVEMMNKS ALNQRIADLENHSHQFEEQAEKEQKGRRAAEDKLAEVQRQLKLTTEEESRLKKEVDEK DHKIRAIEAANNKVAMRLTQLEAGTEHTQKSRSEAQNRINMLDNDLRTARQEARHWRS EADRVSELAKRRDADLSKALDENKALHKLIDTLGTQVQENERVRDSWRTKFLALQDEM AHAARQITEENARRAKKEQTLIARQEVLDAKLQAEARTRERIETELERLEMNERQGMR AVAECKRLELLLAEMRTENHKLQQSALRFKAEFQEARESAAREITRTRNAMQAEVEQA NHQVNAVRRELEDELNRLRSQMDQVKLDADTAKAQHDMLLEEAQNSKKTELDELMRKH QNEVEDLQARYERQLSNTTEDAQRTEKNLLDRLSIETSKAQHLQDKLLHVEEKLEIAK EAARAAAQAAKASVAGTPADPAVSKSTPNDVPPSERISPQALRESIMVLQEQLQAREL RIEELEQQLEKADPEAETKISKRDDEIIWLRELLAVRHSDLQDIIGALSSDNYDKDAV RDAAIRLKANLQMEEQERERAMNGGSAINLPNIAATIREAATPRVAQAVGPLAAAWGN WRKGKDLPAFGSLSSVLSASPAQSRNVTPSKPASGFLGGNPTPLTSGSAGLRKASHAS VSTGRSTAEAQQQPQPTAFSATGRRFTPQELSKRALRQNQQQQRSRGPSTASMHIQQP QQVAEEETSERELLEVSISPSPDPSTLSFAQAQQHRRNISSPMMAPPMTRSGGMYDSD ASPVEDFDDDGFFEDD NEUTE1DRAFT_118446 MQLSRLLLVGLSALSVAEASYIRKGVNVPHHNNVGPLVRRADSD SSSADLPVDTSVEVPTPETTSTKAAPTTTTSADPPAETTTTSKSVSVPAATTTSPPAQ TTTKPSNNDQATVTANPNGEVASSSKEAATTSSSSAGGSGGSSNNDDDNSKSSTSVKP IIKTITQIITTTNEQGVATTVTSEALTTSTPSPSLASGQDNKDGDMSSQTRNTVIGVV VGIGGAIILAGLGIVGWRIYGRKKQSEEADNLMDYNDAGKPEVGGSMVGRTPFQSTLE SYHAPTHVNQASNF NEUTE1DRAFT_132459 MHKSLVAAAVLAATARAHALTEAKVNVYLGQKGDARLRDHCDQA NFDYVTIGAHCDATYYTNGTTSGQMNGKCSIVASDIKHCQDKGKKVLLSLDGVEHMGS RFSLSSEAKAEEFASFLWGAFGPYDAKWTGPRPFDYAGHRVSVDGFNLDGELKLNGAG EGAYAAMAKKLRELYNGNGELLLTAAPGCSLDDVKLKAIFDNAQFDALFIQFYNNPSC EAGSASGFNYLQWEQAIAAGMSKEAKLFIGLAGASDAAGSGYIEPLEAAALINTYKTR SSFGGAMVLDAFRGQTLANGMTFLDVINTVVSSAEAVDLSSEFCEDESALPKVPSVTE GSAGGFFTVADPSAITSGPVVLPSGGSSEDEVCEDENVIPKVPSVTDGAHEVNPTIVD TSIITSVPVALPSGDRSEIIGGSPATEDDVCEGEIMTEDPLRSGVVPTGIMPTGALPS GVLPSGDRSEIIGAIPSGSVPLGSAPSGLVPSGSIPLGSAPSGLVPSGTVPSGDRSDI IGAIPSGSIPLGSAPSGLVPSGAVPLGSAPSGLVPSGAVPSGDRSEIIGSPADEDDVC EGEDPVRSGLVPSGAVPSGAVPSGAVPSGDRSDIIGAIPSGSIPLGSAPSGLVPSGAV PSGDRSEIIGAIPSGSIPLGSVPSGAAPSGDRSEIIGAIPSGSIPLGSAPSGAVPSGL VPSGIVPSGAVPSGDRSEIIGSPADEDDYCEGEITPEDPVRSGLVPSGIVPTGAVPTG LVPSGAVIGSTVPGGVIPSGVAPGGILPSGVVSSVGSKVTGPIDGDNVALPSVGLPSG AIASGVVPSGVVPSGVVSSIGSKVTGPIDGDNVALPSVGLPSGDLPSGVVPSGVVPSG VLSSVGSKVTGPINGHNVAVPSAGVPSGVVPSGVLSNVGSKVTGPIDGDNVVPTGILP SGSVPSGVVSSVVSMVTADPINGDKIADPSAVTAPAEWTTSTIYATTTSTITSCAPEV TDCPAKIGQVTTVTVPIGVTVCPVTATETAARAPTGIFTSVPVESIPAGFTTSTVYST TTSTIASCAPEMTDCAGKIGQVTTVIVPIGVTVCPVTATETAARAPTGIMTSVPVESI PAGYTTSTVYSTATSTIASCAPEMTDCAGKIGQVTTIIVPVGVTVCPITEAFPPATSV PAAPAAVPTGAASVPAVSAVPTGGAGVPAVSAPVVPSGVADVPAVSAPAVPTGGAGVP AVSAPVVPTGGAGVPAVSAPVVPSGVAGVPAVSAPAVPSGGAGVPAVSAPAVPSGGAD VPAAPSNGAPGAGVNKVATSSIVSVSSMPFTTITVAKPAASAPGAPGAPGAGVPAESA AVPAGGAPAVPSAVNAPVVPSGAVPTGTGVSVAPSSAPSTYSMPAPPAQTEPATGSEP SEVPVTAGAGRNVVAMGVPALMAALVLAL NEUTE1DRAFT_53397 MASLGSAKVPGPIEFISGNKGSWIRYTTSESKKSPKELLLISVL QKTAIVLQIVDPFHLMGGVSLHIVAQGSGH NEUTE1DRAFT_70375 MATIEPRLMHLSTVLNTQQADSPSPIQLGPITAPVNSNSLYLPT LPRDAGQQPQQQQQQQQQQRTDKQLPTLPPIHTLSNESMTKQTPRIDLFASDSAARHP PSSHSLQLLLSSNSPETSSTPLSLRRPAGDHPDALQDAYNKKRQRALAAKDDYVQLPQ PLKKQKSTQEVVLQQVVTVPPILNGLHEPPPNPNASRFPPILSGVVDHEPPRISGYMH DLSPPTHVPASATTTPPAEWSITSPPPTDGDKTSGGAKAKRRAAKPRRKWSDEETNNL LLGVSRHGVGKWTTILEDPDYKFNDRTAGDLKDRFRTCCPEELRGGSGKRSPAADKST SGEPSTHMSSRHKNGLSLDALLSNPEAGPSDKDRGDSDSAPAKQRKSRAHRKKMEDLV ELGIRGPFKKSHRRERRPFTDLDDKEILAGLKKHGPAWTKIQRDPEYHLQSRQPTDLR DRVRNKYPQIYASIEKTNPRDKDHQARGSAEASTSSISLLEPSIHPTISKTLEPTIAR SIESQVSRQSSREELPKWLPNTTCEPTETLPGLASVFADLPDGPLSSSYFGGPPDMDI SRLLLNDAQDPSSASERLRYGQGGMGGGAPVISGGQDGLSGGSAHRRAL NEUTE1DRAFT_118448 MGRGPKKHQKRLSAPSHWLLDKLSGVYAPRPSAGPHKLRECMPL IVFVRNRLKYALNYRETKAIMMQRLIKVDGKVRTDITYPAGFMDVITIEKTGENFRLI YDTKGRFTVHRIQDEEAKYKLGKVKRVQLGKGGIPFLVTHDARTIRYPDPLIKVNDTV KINLETGKIEDFVKFDTGAIAMVTGGRNMGRVGVITHRERHDGGFNIIHVKDALDNTF ATRESNVFVIGSEKPWISLPKGKGVKLSIAEERDRRRANALAH NEUTE1DRAFT_104593 MVTKPSQARQRPLVSKMAGTTHILVSTTSQGLPHGSRQFMRKEM RNGEGPVVRRALMSMSIQSNHSPYAKAN NEUTE1DRAFT_89060 MSTTYLMKAARPIRRAVAGPGVSGSTCSIAFRQRFTTSAVRSNI SGESGGSSNGKARQTRWASTWPPVSGAIVIATTAGLLGWSLSEFRHNGFPGTMLMDSI YPALDYASLHEMEQAIAEIRREIEGEDIISTDPDDLHAHGYSEWSSSNPEGLPVAVAY PRSTEHVSTIARICHKYRVPIIPYSGGSSLEGNFSAPYGGISVDFAFMDKIVQFNKDD MDIVVQPSIGWQDLNEQLAKMASGLFFPIDPGPSAKIGGMIGTNCSGTNAVKYGTMKD WVINLTVVLADGSVIKTRRRPRKSSAGYNLNSLFVGSEGTLGLVTEATLKLAVVPEEL SVAVVTFPTIRDAAAAAAEVMQRGIPVAAMEIMDEVQMKVVNLGGATAPRVWKEMPTL FFKFAGTKAGVQENIDLVQRITKTNKGSNFEFAKDAREQKLLWSARKESLWSMLALRK EGEEVWSTDVAVPFSRLADIIEVSKKEMDDLGLFASILGHIGDGNFHESIIYNRQKKE ERDKVEVCVKNMVKRALEMEGTCTGEHSIGWGKKESLLWEVGPDTLGVMKSIKLALDP KWIMNPGKIFDRRS NEUTE1DRAFT_149305 MSGIPNWVEQAQHAILVSPDRSPRDQDARPRHPSRRRSSQQRHR ENAPTPRPPSRPSSRPLSRPSSNSPQPRPRAVRQFSRGKPASFASLPSLTQPVFISRE WKCARTAAIRVKDVPPGAKLQDLHDLFSEYGHISYLELDEDRQDVSDRGRRALIRFEP PPLSTDFLCRGICRLRIGLVDHWLPLETVDPKREDRKERTIKTQLGNTCPQTLYVFPS TLSFGLLVQPAVFMKKQSVQTLSSDSLLRLEFDFKRMRLVIKFSLHYQRESSGFRRQN EHYKLHIKFGVIKELCRTMVGEEHRQALVMTLRDPPVAYRKKDVSKTFGEDRLTWSEN DLWERVVDISPGLDASRNPVSLAENHQYIELGRWLTYWIELDRQSTRVWDQVQQHLLD WNLRTKLTVFPEPLPNQKPKVWDFLDDRYGHEIQQVSSRSWGNDFSLLAAPPRISLPF DVRYQLEVCISQGIINEHNIDRPFLEKLMEFCDNDNSFGKDRARLILEYVADEYAGKR IFDPMELFKDNAALTYFPTSFMIPNHCAWVRRVTITPTRIYFSTPCVEPTNRVIRQWR HAQDYFIRIQFTDEVLEGRIKSGEAELPLFLRVYRVLEKGVAMGPWHWKFLAFGNSQI REAGAFMFCEQSNLTCDMMRAWMGRFSHIKVIAKYAARLGQCFSTTRLVPGIPAPRII TIPDVEKDGFCFTDGIGKISPLLAKIVAHDWSIDPPPSAYQFRMGGCKGVLVTWPDVK GMEVHIRKSQEKFVAEFNGLEVVRCSQFSTATLNRQIIAVLSSLGVPDQVFVDMMEQQ LSDFNAAMEDKQKATAILKTFIDENHMTPIIAEMLAYGFMESQEPFVRTLLQLWRSWS IKTLKEKARLNVEKSAFVLGCVDETGTLKGHMKVTEDWKDVPSEKLPQIFLQIPDDVN GGYRVITGTCVVGRNPSLHPGDIRVVEAVDVPALRHLRDVVVFPLAGDRDVPSMCSGG DLDGDDFFVIWDPLLIPKERSHPPMTSEPIAGKELATEPTVNNLITFFVLYMKYNNLP LIAHAHLATADAEVEGVKSPKCLELASLHSMAVDYVKTGVAAEFPRRLDPKTWPHFME KNRHTYHSVTALGKLYDMVKRETFDMKENYQLPFDNRILKHTKCRALRDETLTKARRI KSQYDTAMRRVMCQLEITTEFEVWTAFVMSKPRVGSDYKLQDNVGRESSVLKQHFKDQ CKNEAGGDLLSFVSAMYRVTYEEVRIALFEAKQPHVRPDGRLGTRKITPKTMPLVSFP WLFWDKLGELARAGAVLQRRLDDGSEDMDLLSDVPLVFQRRRGRHNASGSSDFMDEHG DPLSYTRTSDGKIIHYGQILNLFSHDDEDGDERNDARDRNGSSEDSTHVSNSSKSSSN LSPVAEEDLLTFESPPASPGVTPASPQVDLLGPTLTLTKAELAIGLAGPVATTYTPPP TDEETVICRGHSNRTSHLSASSSSLDPQPLIEETSGENEDLLLDIYSASPPRAGGAGL ASAVGSDVPVKGGPPPVWMEQVIRMGHRIPTPPLDSIAIPNVIGVPQFESPLTGTVVA SAIQDPFVSPSPAVAAPATGGGGGGGGYIGSGGPINKELVMGLDGGVKEEDGEETEEE AEEVELEIDEDPVAVRFAQMAAL NEUTE1DRAFT_141497 MSTTIPTYRYQYRPSFSQPSGFGFSLSPPRNTFLPHHHLESPTN IFLPTSDSSKDKLIIRQVGGPGDNHVQWVSRSDIIPYPDSASDKEFPSFATDSGESRV RAGNQKVNSRDSNVANDNEKSRTKTSKSYTSPIHGIVHTANWANDVGDRLWLRSDQPI IEGRRSVPVVNLDKGVSGEICISSVTWHPQKQKRVKLFGINALSSITFIGAEYRQRQR RGDGEPFRMCITQGQNEGNEEEGIIEMISLKELDSTCCFEDVKFKSTKSLLPDPQHIK PIIPIGGQNETHGIITSRSSTKGPVVLGEGNSKSKSMDAADDDDDDDNLRHASFASST KGKVALDLVARSLSIFNFNAAMLDLAALSNQGMGMDWFNGNTVDEPPEASDESDEEDE DEEEEKVWSDCESQYSGRESVIEDEVGDQYERSRVKDSGGGGDV NEUTE1DRAFT_118451 MHEHSGCLLGLITRHFLFPCLADIMRFGVLAYEALKRPKRGLRS SWFLLTFPDTLELVDTQTG NEUTE1DRAFT_125241 MPIISNLISKLVWADGQPPQSPQQQQQQQSTPAAPVATTTTSTT QTPVSASTNASPSSSSNAARYPPAQQWEERPYPPIFSERSLKQLGLFFGGATFFALSV AVSRRAVARHLKASKMEIFAPNMIAGMARPGNINSKAPRDPMVAVEALNLATLNVISF ATMAAGGTCWAFDLLSVEDLRRKARRTLYGGTEANLDEEAEREVAEWVAKTLGIDLEK AEEEAKGKMFGEEAVKKP NEUTE1DRAFT_149307 MPKPKKAPATNGKSAQASTASATAPPASSASPSWPPFKPSLPIT DLTFDTLVDDKVVVLRNFFPKSLCRDYVSFLSTLPLITTPGKPKRGMAVRVNDRFQID DQKFADRLWYETGLKEAVLGGGLEHLWGGEVIGLNPNVRIYRYTKGQFFDAHYDDSNK ITLTLPPSDDIPSPNRQQVPAKTTWTLLLYLTSASEGCTGGETVFYPHDRQVAKEAIA VAPETGMLLLHKHGNDCMLHEGREVTAGEKWIIRTDLCVARGGR NEUTE1DRAFT_132466 MSCIPFRRTAKKKKEKPSFMRRMTTMKIASPSQPTSALFMASLL SSAQVFNATHKPMYIHDAFKKHAVRVWKEARGSDKLLTHEKLLRFFEITQGVTTTALP VKDVYTFEDFFWAWSSNKAAWNAVRPLNPTEIDLTYPISNYFISSSHNTYLEGNQLSS KSSAEAYKAVLRNGCRCIEIDVWNGAPSRTPSKSPNGEHRRHMSNVSAMSRTSVRHGS SLSVDQPGLYTMRTPRDSGTSLDPKELSDRMDRSTSSSSGSVKRGEPIVHHHGTMTTT VPFREVCKAVREEAFVTNHLPIIVSLEVGADREQQEMMVEIMKEEWAGLLLEEPFEDC DRLKQQPRLEQLLDKILIKVKRLDDCNGEIQEAQRGRSLNVSSLRSKPPICEMLAALA IYTRSEHFDDVNSLSSTTPSHIFSVNEDKFLDMIAQDKARLKIMDHNRQYFMRIYPKG LRFDSSNPDPTIPWRRGVQMVAMNWQNTDEGTMLNDAMFADTNGWILKPTGFLKDQDE IPTKTVDLRITVLAGQSIPVPEGRQAKKLRTRVKVELHVENPDKARDLTRITQPQSTE NPDWERNPYPLEFLGVSGVVEELSFVRFKVEEPSSSGFGNELLAWACIRLDRLQRGYR CLDLYHPLTRRPLRRHGGHSASQLFIRVEKNVRQGFPTNRTHTETAVGSK NEUTE1DRAFT_125244 MARCQAGQVEARQAATVETFPGTKSRPVLAFLGCGLVIVLMHKT VEPLSRRSERRRPSDDGFLSSLVSMTEPHPVYGLAVSRPKNSRTITVHPSSLDPYCRQ RNPASSPAQRERVRLHATSARNAYIDLPSACSCPPPQHHHHHHQQQQQQQQQQQQQQQ QQQQRTTTTTTDIDHSDNLQAHLNPYASTTLNTSTLLHSTSYNPIILL NEUTE1DRAFT_149310 MTMTTEQNGIDILCDAAGSDLLLSSLDYATNTASSTSSASASAN HPHPPPIAIIPAAVPPSSTLPPGLPDTPPLHGHHQQQQTAGPPRAKRPKTESLPSSAP ASTSNHVCQICKRVYERADHLTRHLRSHENARQYQCTRCPKRFNRADLLTRHETTHDR DNDGKGRAIIRRSDRAAEACLNCAMSKAKCDDMKPCTRCRLKNLVCETSNKKTPQYRT ADGTDAGSVFTPSENGTPIAASASMTPVDGTMSSSYGGFNGGYGMPPAKQSTSYGHPQ HHPPQHHLQHPYPPAHHQPHQDVGAINHLHLIAGPMMNALPEDLLYPVHSNPTNPWFQ DIDFTSWDINFDGFSVPQIDSYSQSPQSTTDTATTSSRPTAHRKTSHRDPARRHAAFK RSPWLWEPADKQDYVRKETEAGLRNLDEDAVTAIAQSRLPSRPSTLMKKIKIDSDTRD RLFAIVLSQINDPHRVPSFPSLEVLNFLLQAHLVHDEYQCDSWIHSPSLNPAEMLPEL LGAIVANGASFIAVPAIWQFGLSMQEIVRTRISSLFESQNSNTRKLECLQSFALHLAI GMWSGFKRKTELAEAFLQPLLIMMRRAGVYTAAVYTQADLPSVLPQASDTPEVLERKW RTFINRESYKRLALHMFLHDVQTSVTLQTTPLLSFTELCFSLPSSRDLWKAPSAKAWR DLHLMKRAPPPDRPMPRLNEIMHCPGLLDDFVDFVDIELVNTAVLHSFWGQISAYRYG MRLYQEPSTVASMSGNYGHYSQRNFSNHREGLKAMHRELYSDAQDFAASVVYVHKSQL YTPVSHRRYPVPFPAPEGSHATSPAPNVSSPVSIANSNMPITFEMFMVALHVDLNQLQ SYAGKAGEEETRRASAYFLHQDPYSGTGGEGNGRGANGGGWVRSTDARYAVWHAGQVF RAARALPPTGLSGFNAMAVYFASLTLWVYGLLGGPNPGSPSLPSLFWGSGEHEMGRGG PGVSGGFGMGHHVQQPFNGGGMEDPRRSSVTVPLPSGHGYQMQTPHQQQQQHPPSQTI PSQQPPPPPHQYQQPPDVPFAILDGEETREIRTFLQTGRGIPALTISQQPPHPSGPSN TMSILNPVVESLLANPSLPLQTACSIFRENFPSLSHSESEPLPPLVESLGTLLKDLGR GSTHGGSRAGSSAGDDEEDLERDDEDDEEQDGDRDEGRGGTASRERREDGEGERRRWE NKTTGVGAGMKEEDRRKERGN NEUTE1DRAFT_89076 MTSPPSLSRKEAESQVRSWGFSHVFTWTDGGGAHYAPHKHSSLT THLILSGSLTIAYPGSEDKKEKTTHGPGERLDVDAGQVHEVWIGKEGCTYVIGE NEUTE1DRAFT_89077 MCPSANVSEGNSEEVKAKLGGRKGSLRLMQEKAARRMSLFRSKS SQGNPHKEKGASTVRPMSRNCTTATSPRSNSVTFESAAAAGYLQPHQESWAHPPQTRP TWNYDTTTYASQRLINLAEEPAFVHTTAVSERATTMTEHSWNGQDYFEYNGAPARKHS WNGGDHFEYNGAPDRKHSWNGGDHFEYDGAPIRRADSDLSLYVPVRRRSILQTPGVAT RRTSTRDGLTHSQSFRYSHPQTPQISRQQSIESFREGILSMPPPGLDPLALQRVVTPC EDEYQSIGAFKLGTLRITNGTASPLSPELEGKGQRVDYFSRVQSSLDSRAEASQFLQV KIPEPRVVKPANNVLAALSQNSTQPEYSSNEVLDVRLDPNAKSQPSTSPSSDAATTVA RTDSGFMSIFDESLNTKPLAKADSGYSSNVSLRSLHTKSKISDREKNKSDEIQISPVK VQDFGLDIPLSVSPPSMTPESEAPPSVPAKDTPPVSPLEGGQMFENLRKSLSLRGQQA KAGLLTPKSSQKSEKRPSLSGSSPKSPELSSLTPASTKSSKSDRSNSAHSISSTSQKP SRLQRFLSGARRPTSSLPTHSRHAPEEICVPAISKDVEHEFRDHGRRFPMTARRLALK PRSSMDTLKTIFSVGSVEASLEATTAKPTVTTVPEAETGELAATKGVSWRKSIKKSMV RKPVRTSKDDMREGREEEQPGVYDSILPPKSGKVYGSTVKSSSGSEALKEAEMAGSRL PCDRTMSLTVSLERTLNMQMVGAHSNLAGLPTELPPASLPSPVIFRTASMTFDHKTTH NQPPVSMQTRRSSLRVPQPHLRPQSSTSSLRHEVSREDVHSYPSVQSSRRPSRESIHS YPVHEQAAQNYSAHEELGTIGQDYLGTSAAPPQMDPRRLAAFRQRQNTLPPTYRVPDW NPPTRSDISRQSSMTSSNGTSHHNSSNGIQRPSSAQPRHSSYIQRAQTLRHRPSHDAY QQLRLQNANPPDMSSVYGVLANEPWSNPNMDYRGTQGHVVPDSTYPSYVPRQTGHHRK RSMSMHTSHGPNPPYRILHSYNSPAYRNAPIWG NEUTE1DRAFT_149312 MKSSLLVLTPATAALAFPDCGQPCIAPALDLSGCATLACLCERT HELQTMFECFMANCNAQEYHPAITRISNDCINHGQAQYAVPMSYISGSSKVASPSVDR MPYSLNPTLRLFTTNPTSIKENCPDGSGNGNGNGGSGLGAGGLPPGFPFGPAGSGAGA YDNGAGNGAGNGGNGGNGNGGNGAGSGLGGLGAGAGAYGNGAGNGAGNGAGNGAGNGA GNGAGNGFGGNGFGGNGLEGNGNGGLGNSAGNSAGNGAGNGAGNGAGNGAGNGLGVNG IGGPGNGAGGLGDGSGAYGNGAGAGNGAGNGGNGNGNGNGNGNGAGNGGNGAGAGAGA FGSGAGNGAGNGGLGNGAGNGLGNGGSGVNNGNGGMGDCSGMGGGAGGPGGGAGGLGN GAGGPGNGAGGLGNGAGGLGYGSGGPGGGTSNGNGNGNIGAGNSNGNSAGNGNNNGNG SGNGNGAGSGAPCPDGNGNGNGIGNGNGNNGAGNGGNGNGNGGNGSNGGGGAGGPLPS PAQMQGPLVQTIFVIGTPSPEAIVPGSGAGNGGGNGNGNGSGSGNSNGSGAGNGNGGN GNGNGNGNGNGNGSGNGSGNGSGNGNGAGNGGNGGNGNGNGNDAGAGNGGAPAPTPCP TGSGPSSFLVLTITVPQPARVVEKKQYWNANVMAYGGPDDGSGAAPGSGGSGVAPGNG NGGSGSAPGSGGSGVAPGNGNGGSGVAPGNGGMGPGNGGSGVAPGNGNGGSGSAPGNG GSGSAPGSGGSGVAPGNGNGGSGVAPANGAPPAQVTHSLADTNTPSVGLLLIPVTVMI GWGSLLLFV NEUTE1DRAFT_149313 MATEMINRKRKADDEGGAKKKKRSKKAREDEGDLDVEAGLNRAF ERMDGQLLADHIAQKVTRFGTDLSSVELSDLYISANAIKDTTSFQKPRNKDNLPDFLE EFSENPAKLSEAPKSNGSPHTLVVAGAGLRAADLVRSLRKFQTKGNSVAKLFAKHFKV EEQVSFLKKSRTGIAVGTPQRLIDLIENESLSLDSLKRIVVDASHIDQKKRGIADMRE TMMPLIKLLTRKELQDRYSAEEKHVDLIFY NEUTE1DRAFT_89080 MAPQTEIPVAANVLGTIGTVFWCVQLIPQIWTNYRTKSTTGLPG TMMFLWALCGVPFGVKWPTWKATLLALIVACVFAGVEAALILTLRPLYEAGNETPVRV IGIIAAILLAAGLLPPYGEMYKRHGRVVGINWIFLSMDWSGAFFSLMAVVTQNTFDVL GGVLYIICAFLELGIFASHLIWLARTRSIRKKLKNDGKTFDDLLGEYEKRGESWKWAE RDLNLGRLKFWARKDKKREEEEGEGEIEQRDLEKQDVGDSAADAVGQQRDGALDSKMA MAEAQNQNGNAEDTDASTPTMVDGENRAVDRA NEUTE1DRAFT_118454 MMRTYGFQPCREFHIVVLGTGGVGKSCLTAQFVHNEWIESYDPT IEDSYRTQVAVDGRQVILEILDTAGTEQFVAMRDLYMKAGQGFLLVFSITSQASLDEL ATLREEIIRIKDDENIPIVMVGNKADLLDQRAVDRAKAFTISQQWNAPYYEASARTRT NVDEVFIDLCRQMLRRDDSGQLDDDDGARNGSGYGYGQGKQEDGHGPMKKKRRRKRKG DHKCIIM NEUTE1DRAFT_55647 MYPSSGRQSRLSVWLRFTVLLLAWLSCIPRVGLDATVELTMAIA PAGPRRLLNSIS NEUTE1DRAFT_89086 MDRQPQLGSARRAREQAEPREGPSIRRVEAGDNEPQIARPIRVR TAGAGMQQQQQRSRTRRRPPPAGLQTREGGIGIAISRPIPVVPQWPLASQTAVPLTGQ GQDPYRPPVGKSQPPVRPPRPSRVPSILDSSRVQDPTPVSGFQYRGPQQGGMDQQQEI LSTVPETTPSLSRSSTLSSLGTIPDFPLPGQMPAPPPPPRRSVILGPPPSSRRGASSF YSNASLVSPIPEESPGTQSRSHTSFASSAAIPDNWGTVSPGLSPQYPSTDHEEHVMGI HHDHGNAPPGYEEDAEDSRLVRNASVGKRAKPTLVAATSPRVGKGARGWAGENQGTDS GPTTPGTPFRDPFATGTGYIENSSSSSTKTPQNKTPIGSAITTDAILDAYNSASSYDP TSSSKERMPGGRTYSRFSAIRRPPNLDMDAVRKAQARGSLTSLSELIRRATRLEQSLE NGKRPASRIEDQLYDEFGHEKDMSENEKHQSGFSDMLAAFPPPAQPRIPPRRFRDSLR DQIQSWPLALTRSPHNHRGDGSDQPPTDPSNGAQRANKRPRRRICRLPVWGFILLLSA IVLLTVAAVVIPLEFLVLQKHKGNGSAQQQLQQCQQQVTCENGGTNIVSQGFCSCICR NGFTGFNCNATATSGCSAMTWPGLDGNGATVGAAIPRLVADAYRNSSIPLSGSEIVAK FNGEGLSCDAENALVTFDSRALRQGDARSQVVSLDTTNDTNGNGNGGADVNAANVIVD GVEITTISILVGQLTTITLQAPLPATSVPAASTRSGSGSGSGSGDGSSFTTITTTGTT SASSTRTIATTMTMASQAPGANFAVTEEILDFARVAVLYILQEEDLNRAEEAQTAIQK VFSQVSAAIINAGNKPVSLDTAKNVTVGNGNSVDFVGFRVDVGNGLVGGESHPTRLRR AEGVLGWNFLQ NEUTE1DRAFT_149317 MIPKQLTTVAAFSATLLSASGGVSAFLIPPELSDTDIAFAQEVA SEINNPPSATGLDPITAAVSQHQLIELACPGCPVLIKDRHHNKHHPKVLTDKPNHLEL DFKIDHSAGTAGGDRLLVNGFELFPNADPLRAELWAGQVLEGDGNAPKPLRDDKDWVE KMEEEEDDQNDHDDFNDHEKQQQQEPQQQQDDDHKKQHKNRKHKNRKHRNRKPKTLPQ NLGFGLRTSLPQVSSDPAEQATAAANQEVIDLNLQIVEVGNAFVSGIPSVEIKLLRDS VTQKLMIGNIETAASNEDSVVPVPFMGVIPEDQMMQEQECDNFLCRLLEGFREKVKEG KEAWGKKMGGCHGDDDMEEHGMMMPAKEEEGSEREMPVVEIDVERIDITEGQDGTWEI VASPVQDDVEQEQKIKNLLDEVPQQESEEHGHHHGGMHRYEHSWGQLLKSITTHVLLP VLVGIIAGVSVSFIGMAVGTLIVALYRFFYRRGGCNGQKCRRRGGAGCKRAQRHGKDE AEAPVEEKAGLLTAQEPEAEVEAPPAYEDAKVAEEGEVKK NEUTE1DRAFT_55569 MSSPGAGSFSTLSSYASSAPDHQGQHAIGLPLPATAQPTTTRTT TTLPPVSPSSANATNFLTNNSTPSNNINSNNNNPNLLGPRTATINFNLLPELETGLDI NRLNYLCQEQQSITTTSSEKPEEEEEPELGWKDAYEVMIKVPLQGLAAWARRWRRART ERGQGMV NEUTE1DRAFT_89091 MAASGSIFQCLLRTNAASTPRRQFTTSSTRRQTQQPGDDPNFTS ILDNPPELVRTGRRHGKGLIILAIIPITALFLGTWQVYRLKWKTDLIAKCEDRIIRDP LPLPPRVDPAAIADFDYRRVYAEGVYRHDQEMLIGPRMRDGEQGYMVVTPLERGDDPS SKVLVNRGWVSKKFADQRSRPDSVKQGEKVRVEGMLREPWKKNMFTPDNRPDIGEFYF PDVKQMAELTGSQPVWIEQTIVPDIITVYDYEARGIPIGRVAEVNLRNNHAQYIFTWY GLAAATSIMFWLVVKKPANQITKRVRMNKSW NEUTE1DRAFT_55620 MADPNNPQPARTPSKPSFSSQIPVRATPGLSVVPALPRAYTPGP NARAYTPGARTPRGLLLSAHNTPNPNATPHVRAAYRAIDSRRAAILTPHHARRRSLRE ARETPRNFLLQLGKVLAPKSERIPSSSSSPASALSGSAAAGGRQEQEEGDTSEELTFD ISTRSRYGDEDEEEEELPKRPRLSLGLGAGDGEGDDWEDDDELPQPHRSAGLEDLTEN FTVQSIELPRRVDPEKDQQRMRDSMGRLSDFPQLQQQQQSDGIDSGFFPPAAIDEDDF GNAEDRIPDEFSRLDSDETRRRETIGGEGGMGLLLGAGGRDSDFHIEVPVGMDESTFM IASPTRQFEMGQAAEAAATSGQGGGFAELMDRRSDSYDNNDDDGNDVGPAYDDYDNDD GMGMDLDNNQDDQGQAGSDEEMTRLSGYTPTAARVSLSASAAREKLGLFREEGGERGG FSKIKATKKISKHGIEYTSLPPAMVKRLAQTFAKTSGAKGNISPEALKAIMQASDWFF EQLGDDLSAYAKHAHRKTIDMSDMLTLMKRYVLVLLL NEUTE1DRAFT_118459 MAAIGGVQSIKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFD NYSASVMVDGKPVSLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSLVSPPSFDNVKSK WHPEIQHHAPGIPIILVGTKLDLREDPDTIQSLSQKRMAPITFEMGVNCAKEIGARKY LECSALTQRNLKSVFDEAIRAVLYRVDTTQEKKKSKCTIL NEUTE1DRAFT_149321 MTATTTRFPVRPGPGTVTWEFSNSLIQSMVIFPKEMTPATAVVR GAFWQLVRLLPSLYDRKPINMGKSTKDSKKRSRTAEEDVNSIAVVKKSKLDESGNAEV TKVETEAPKVKKEKKEKKEKKSKTETAEEPKEEKKEKKDKKKDKKEKKDKAAATEESK TEEKTEESTDKKGKKKDKKKNKDKTEEKKTEDGGEEATNEEEQSQANGAKGARFIIFV GNMPYSITADNIKEHFASVHPISVRLLTHRDNPTKSKGTAFVEFGRFDHMKTALEKFH HSEMLDDKGVPRKINVELSAGGGGKTAHRQDKIKEKNRKLNEERLNRQQNQEKAKQEK AAAKANGGDGDAAAADGAAAPAPERNDEDAIHPSRRARVAYDGNPGGGDFDEEDSYGG GGGGGGFGGRGRGRGGGRGRGSGRGGGGRGRGGGRGGGRGSYKKW NEUTE1DRAFT_125257 MAKRKREAAAKEKVKKRNTREKSQIKALNMEAYEELLIWAKDKG INLNGVEPRRIPGRGMGIVATKPLEEDETILTVPSTTLRTYETVHPSISRALPKDISI HGLLAADLAFDPSPSVKYRQWNTVCPSREDIWDYLPLTWDHQLREFLPRKALELLLKQ EAKFEKDWSMVQQSKLLSSGPGQKENKSLEEEECGTLNKGITRDDYLYAWLLVNTRTF YHETPKTKKFNKDDRMVLQPVADLFNHTSYDPSTEEKEGNKKTCSVAFSPTAFTITTT RPYAAGEEVYICYGNHSNDFLLIEYGFLFDENVWDEVCIDDAILPLLDQAQTHSQSQQ QQQQPPRKVTRRLNERGKKEPKSQASQQQPPKSPKEILEETGFLGNYNLDPRNPTGCY RTQVALRALSALADSPGPQGEKKFRAFIDYGQDPWEESPEEQAKLNALLAQALKRWSS DVIEKKIRDGLDKLEWREGHEGVVGRECHRGLLSMRWMQVKRMVDRALKELEG NEUTE1DRAFT_89102 MEATALRRSAALVARASSPNAIRPAVCAAISSTSPTPPTQIQTQ QTRQFSALNRPPPNYPGHVPLTRLERFGLFVGSGLISLADPRRGDLIASFAEATATPY FIYRLRDAMLSHPTGRRILRQRPRITSQSLNIPYLRSLPPNTVGRTYIDWLDREGVSP DTRSAVRYIDDEECAYVMQRYRECHDFYHALTGLPIVREGEVALKAFEFANTLLPMTG FSVFAAFTMKKSEQKRFRKIYFPWAVKNGLRAKEVINVFWEEELERDVNDLRRELGVE PPPDLREIRKREREEKKRRKEMERMLSGRGTEDVIQKAEKEAEVVAERVKEMKNEVVE KVGEVVGSSAMRG NEUTE1DRAFT_132481 MDDSELEKIRKARLEQLKAQGGGGGGPKAGGPSGAGGQGGQEAS RQQEAEARKAMLNQILMPEAADRLGRIRLVKEERATDIENRLMMLAQTGQLRSKVTEE QLKELLNAVADNKEAEKIVVTRRKGWGLDDDDDDLFDL NEUTE1DRAFT_125260 MRIWMRLLDEESGPTQLRTVQVALFWSQILRSTWMRPALHSVLR RGFCTTTIIPYHELPVSSVKAANLYSFTGSRPHLRVFGRIPCRHTRWCPSNTSVGRGA SASGFRSIQPEVQSRLFVASSSPLRDVLFRGTHVQVPPYPRQQTRQASSEADARSATL SATPDAPLPKNFDAHVPTKTESKPVVIGGSEPEAAKERYRNPTKEFFDRLNEGELDEQ KLAQTIRREGEKKPWTDLFKPSDLIHVMGLNIQGRYIAHTLAGAETIPPPIYMINRLN LLKRWVKAGKCLKLHRQKEVIVRDRIKAQFTPPTHGYDPRKQKDEHISNLIVTVPAGH TVEALGQIKHRLDHNSTIVLIQEGLGVAEAICKAYFPIESQRPVFILGHMTTGLGLGD ETFEFGEVRPGRLFLTVYTPQSDNIGPAAPVVIKKHPPTLYQRRGSHLIKLLTSIPDL NATGHRTDDFLQLKLPAVAFRAVADPLATILDSTYDKIPENPHARQLMDKLLSEVADV VSHLPELKGLEQFRVANTLPFMRSEVFHRLKRKGTSDSPMRRLTARGLDTDIGYVTGY FVMRGKTLGLSVNALDSIWSAVKAKQKVLAERRKREIPFEVPYQPKLVPHVPEPYTHY PDRLWPMPLKNILNKIR NEUTE1DRAFT_125262 MDVPDITPSLEKLNVDLDQLEAALKPVLGDVGDVSSKLPLLDKA KLYVMVTYAIESILFSSLRLNGVDAKEHAIFTELTRVRQYFEKIQNIENPPQEREQTV NKEAAARFIRSDLADDEAIKQKLTELIAKEKAKAEAKEEKKRAVDSSSEPTAKRPKTK GNKKWGPSSELSTRLSGPRPARRPAYPHSFNDHRRHHNHTHPLPQTAKTSVALIYATQ QQPHQQEDKMPRQSRGSARPSVPARKPVAPTNQQQQRPASTYAPPTAAPHAPPAAAAA PVSQGPGLFGQMASTAAGVAIGSSIGHAIGGMFSGGGSSAAPEAAAAPVQAQAAAAQN SSWGNNCSEATKSFTQCMDQHQGNMQICGWYLEQLKACQAAASQY NEUTE1DRAFT_70431 MFFTGTLQEGIAAAIQQTRSLVCFVTDDNTESQQWETEFLKDEA ISPLLESRAVVLKLVAGSTEEGYLAQLFPLPKKPTVVVLRGGQLKEYIAAGVTKEEFL RRLGAALGEQQAVSTAANTGSASVEEPETVQNQEQASPAAAPSDPAPQPSAGETTAPA TSSTEDTISQQPPTDITTTTETTETTSSSSPTTTTLTTNTTQQARIQAILTERAARLA AQKKQQEEAARAAARARAAAEDPNSPARQAADALRKKQQEAREERQRILKAIEDDKAA RKARQSEKQREKEALAATKESGGKDEDEKGEGLPFAPASPMLPRGNQPVKSTGHCALQ VRLTDGSTIRSRFSAEKDTVREVREWVEATVAESLTVKKGRYTFKVLLTPQPSRRIEQ AEEGKTLGELGLAPSSTLILVPAAGESGSGGRVRFAAAQADEGGNIFQRLIGFLSAFF STVVAFFSTLFSVSGPPNAGPTPEEVASEVRGEQHQATRAERASGRSLGGGGAGAAVN RGAGSRIKGFGDERRDEERKRNDQQFYNGNSTNFEPRHDDDDN NEUTE1DRAFT_132485 MAEEEFEIDVYADAGNDQSGEQQGDGDHHAYDDNGDHAENGDGQ HHDYHEDHNGEDSGAHDNQDQTSQNQAPQQRQQGVKRKDAPGSDERPVDPGATTALMI SDLHWWTTDDDIRGWVRQANCESELKDITFSEHKVNGKSKGQAYVEFTSQQAASATKH VIDNLSSEVGQQPGQKRHSVIYSSPIVNPFRTLPKDTPNRAVKEIRDRAPNGPNNFDN RGQSNFIPNNNFSGGFRGGRGGFNGPRGGMNPNFNRNFQGNNMAFNNNNAGFNNPMAG SGYGGGFGGGGYQRGGMMGSNMRGGPNMRGRGGMNNNMMGGMPMPMHGMNPMAGGMNP GMGMMNPGMGGFPGMQPFNAGFFNAGGQSEGWGQNAHPAKRPRQE NEUTE1DRAFT_149330 MSSSPITQPPEGGNVSDAAFESSHRSVPGNVESGESNAEHHEHG EPDDAGYMYHPAQEHADSAEGEHDDYDDEDEHDDEDDEDDEDYFDGSGGLEFELVIDE LAPGAHDDGEDDDDDLNGAGNALERRILQIIRGGGGRAHLGSQQLLALIQGHHMGDID DDDSYWEPPRRMRPGYVPAFPKVPSEQGQKLMESGVFGAFDLREPDSKKKRLTRRLLD RELGLGDRTEQKTNLALMAQQMIPSSKPEMVIHYDDPVCCGQFSDDGNFFYACVKDFK VRMYDTSNPYNWKHYKTVRYPFGQWTLTDADLSPDNKWLAYTSLTSQVCFAPTDPNDS GDPYTLDLAGGMVRQGWRDAFPIFSIRFSGDGRTLVAGTGADSIVVYDIERREPLYNV EGHDNDVNAVCFADKQSPHILYSGSDDCTIKVWDTRSMSSRREAGAFVGHMEGLTYID SKGDGRYILSNGKDQSMKLWDLRMAMSTARVEELDATRPTARRRYQFDYRFAEYHDYM WQPHPHDNSLVTFRGHKVQRTLIRCHFSPPGSTNSRYVYSGSYDGFVYVWNLDATIAA KIDVKSVTEGLRIPGYSPATTRREWMTLVRDVGWHPNAPLLVASSWNGPTMNAGTATL HSYNENDSDEAEPGMGLSVNEKIQPDEGLFNWRGLRGFVDDDDDDDDDFF NEUTE1DRAFT_54861 MATEPSQPQALTTQDNGDRHNVPIISDLELGDAVHTPVTLISNL SKKHLPSTTNPSPPSASSSDITKNKRLVIVGDTHGRPTALRSLLDKISFDNTTDHLIL AGDLVTKGPDSKGLVQFARDIGASAVRGNHDDKVLEAAKWLGRIKQGKKGWEKTENEG DSVREDDEEMSEEEEAKDSVEISGRRRRKKPKGVKPEHIAVARSLTLSQLHWLASRPI ILRVGHLSGAKTAPWNAKEVVVVHGGLIPSLPLEKQDPWAVMNVRSLVYKRSTSSTSD DNTDNQDEKKNNDGSIDTAITHNRITTIPLDTREGEPWSRAWNRYQNLISSESNRVVV IYGHDARSGLQVDKHITIDPGKSPIPISARHISGTGDQTVIFTAVGDVKMEIETGYEG SDDDNDDDDDDDSAPSTISMTTNTMVTNAPMTAAAASPDTAAATETGTVEKRSPDGGK DLEVESTRKKPKKFKGIRYAYGLDSGCGHGRKLSALVLGVNESGDDIVHWIAQVKCE NEUTE1DRAFT_118465 MKGAPIGALFIYTCIDKYIGVKRVRVPVRKIHASSSYKVELAQT NANLWRGGLHSVTHDPKTEEAIVQTIENFPRHWLYSG NEUTE1DRAFT_70442 MPSSCKEIRAALAQCLQESECVMVHRNSAADCLRPPLVDTLPTQ CQQFKRAFGDCRRGMIDMRKRFRGNQPITFQKLQETEQSGEGYQLYAGKSAFAGGKGV TDGNNSAEPDWRELENQKFREQAAAAANKK NEUTE1DRAFT_70443 MLPKAAKMPVPFLGRLDVTEYVALIGSFFLVGFEALIRVLTLAL PNTLITLFYRLSRRLFNRWTTPAQKRAEERRQPVSEAVRNASDFVDLCALFGYTAEEH VVQTKDGYLLGLHRLAYKKGEEDTKVNRGPNSIKKRVVYLHHGLLMNSEVWVCLTDEQ RCLPFVLVERGFDVWFGNNRGNKYSKKSVHCSPMSQRFWNFSIDEFAFHDIPDSISYI LETTGQPSLSYIGFSQGTAQAFASLSIHPKLNDQVNVFIALAPAMSPAGLSNGIVDAL VKASPQVLFLLFGRRSILSSATMWQSILYPPIFAKLIDMGLSFLFNWKTQNISTSQKL AAYPHLYSFTSTKSVVHWFQIIRTKSFQMFDDDVQPPLMLSTSSKYTKVAKYPTRNIK TPIVLVYGGIDSLVDIKVMLRELPTQTVATEIPHYEHLDFLWARDVDAQVFQHVFDAL ESFTGAEHTKEEYERYRSARHVSLSASNSLRRFKPNKNGDSTAVDSDVSTAVAGSVHD GEEQFIDADERQERLDQVKAPHQARESPLTTSVGSLSSTPGSKRGGNILRRPNSRPTS PMYPGSLDTRFENGSRAESLSDRSPDSEYVGALPSVTPPKRTGAGASQMSLNSLRAGK GISLGASESP NEUTE1DRAFT_149334 MASQLPPFPRFVFTKFEPLSLLSGFLPAILSPDWFTHEQLSSSS PLIAYPTASRTTTRQLGNMYFLAFLVGVGVLYSSSEIRVVKNYLIALLVADLGHMMIT AEALGWEGTMDVSGWNAMTWGNLGVTMFLFLTRSAYLLGLFGPDMPRVVKGAKKTE NEUTE1DRAFT_89133 MASKQEDLQRRPVYLYDIDADILNTIALKSDADIIAEPVDKTAK QTTDTTATEPTASGSQASCSLCSLKFDNVQEQRSHLKTDFHHYNLKQKLNGLSPVTEA KFEELVNNLDESISGSDSSDSEDEEEGQDKDSSMLSALLKKQANIVGKMAKEGGDDDE NDGDKVKSSNGSQPLLWFSSPKLPENSYYGVYKAMFTQEELKKEDAIVDAIKSRQLAP ITMGKPPKDANDIPPNYTGKHIFMCMIGGGHFAAMVVSLNPRKTKHGTTGPLNKEAVV LVHKTFHRYTTRRKQGGSQSANDNAKGAAHSAGANLRRYNEQALVEDVRGLLAEWKGL IDTSDLLFIRATGLTNRRTLFGPYDGQVLRANDPRIRGFPFMTRRATQNELMRAFIEL TRLKVRQIVPEAAAPATESPKRPKSPKPAAASKPKLSEEEETLLLHTQQIQALVKRSK VPALLSYLSTNDISLKDFRFFPADHHTPTPLHLAASQNSAPLVTGLLTRAGANPTIPN GDGRTAFELAGDRATRDAFRVARSELGEGAWGWEAAKVPVALSRDEALKRTEREKNEA KEKEDQRRKAEEERLKKEGPKVDATKNGKKAGGSVLAAAMKLTPQERREEEAKGLTPE MKMRLERERRARAAEERIRRLQGGQ NEUTE1DRAFT_70452 MCLEKRKLYTVCGHQSSGIRLCHRQREIPNDLEASGPSTPSGSD TGAALASKIYLCSRLTRLIFPATQPVSCRPPHPYFTEIRFGFCFRCQAYYRSAGFAPS ESDTRDDQDVGEGIQKLEDIRWKTIMWAEEGRKKREWRGELEEREKDWEKEEQAEEEE VGERRDVQSGTGFQHDAATEADEANQITNRALVASYHNVLTRLAASTSEYQSNLSRSH SGETDLTRVVKGEHNKDEHERFHVNENIVAEQDDTANITDGLQPESSAFSVEDLYICD YHQLSEILEERSSQFTKSRPSKELSSKPSSGSTSGLTAAGQSPVVKKDTHLSPGGQAI INFSMPLTGSSRLTKSNLARLRETHALEEEENTPNGYTKAPVANAGIGANIRPQNTAA TPFSVAAGINVTNSLGYSNTLSTSPSQALCTPSRHGQEQTSTTSQVTPSVAPVQFSSP SIAPSTTPAANPVTTHSIQSWENFTISTPSQSIQSSWRKSSSSSRATEAGETGGGGGR RRTTRNITQLGLNQLVLTTTTNSSPNPSLSPNHAGGNTPRLLRRTPRLQALNEDIYLR PGPEQSDDGDDGGSGLGASVFAELSAGIVGK NEUTE1DRAFT_70453 MKYSASLLAFAASAAATFPWHNAPSFTCPQNTDNKCTEQQKSGF IFDDLSTGPFSQYMDFNFNGWVAGAGFGGRFGKRTFGGISGVCGSDHSKAPSFSAGGE FSLGSIHITPEFDCDLEFHYGMPDGSTCKHRNQCSKSGTNVVNKQCGGATNVTIVYPP QPEKPKPSCSVIVSTISFDCSTKQSTVPPKTKTTKAASTTSAAATASVPTVPAEITST SAAPVASSSAPAESVPGSQTSTAPAEITSAPSIPVESSPAKPSESAPISEVVSAPPVT STIVTSFDSTSTVFITEIQTITSCAPTVTNCPANHVTTTVVTIATSTTVSAVTETRTT VVSAISSAAPVASSEGPSEIVISTSIGDIISAPAGSTSSAAAVQSSAVASSAVVSSAV ESSTGVVVPTSAGSVASSSAAAVDTTSSAAPVSTAPVEPLPCPGVVPSCLNTFLFETG CTDNSDAACFCPNALFVKNVYECIYAHGESDSIISDAITFFQGICAPYVNTNPGIATG VPTYVTTTAAPTSVVPVLTTITVNLTTVVPCTNEAGSTIASSSTTVIVDTTMTVPQIH FTSTTDDVAIVPAPTGIPLVTETEAVPVVSATATETGAVGVSTSAAAPVNSAPAVTSA PSVPIGTAVGTGGFVRPTSSVISPPIVVAGSGRVSAGLGLAVAVVLGALAL NEUTE1DRAFT_104632 MLTRSIRRSSQCWMQHGEMEIGQEVVDACLVNDWSLQSQHVIGL LTGSLETKVGFMIEHPVSGSGLTDLETQEEGLVGLAEIL NEUTE1DRAFT_89140 MEGLPTPPNEEHLKTQAPKRTHSNSKVVKPVHRGGSKRTSAHGH PQSPSIDSHSHSHSVGDGRHKRVWKACERCRMKKTKCDGEFPCKRCKDDGLVCTAGTR KKTEYKQLPRGYAEVLENTQFALIATVHKLYAMVRSGQQWDLGEPELNDRGQPVIHNI ASKLGCIRPNSDMDLPVHSIFPEDEAGLAELARQLEEQQKANAANNSSSNNKQDRDSS RTDRASSSEVDHSDFEDYRKTFNNGTAVTMSPASLSYGDFDIATATPTDSFPSQSPVV PPTTFPATWLSRPTSMDFSAPDNLSYLSMEMLNQGLYESNFGTIKPHVLSCPNPEVMM GMGDPMMYSGYDADLRM NEUTE1DRAFT_70457 MSLQVDERSRGGRSRSPADRRREDEDRRPRSHVRTSSANIVEAG VYPPANDNYRDVDPALAYEQLANYPPSAGNRGGDYYHSSYHASAPSLGRPRERSPSRE RVRDQSRLRAQSRDRRPDPRESSDWGKNINRERPGEFAGMYLPPKYATTVVSADSTGS PHGSRDSFHGHGRAPSTSGRDRDSSRERQQRKKDRLEDDLAYGKRPETPPSPGYHRPR PSSYHAGVGRPDDKYGEPIGRHSGRTHSPNISISSAYDLRSDDRKGGRIDAPSVVTIE PPHVSGSGYPSSPGRGRHEDDGKLPLKSAMRPGREKSPLPPTNRMSLLSVNGHNPHMS NGNLSVANAPASPMLESYHGTYQSMSPMPSPLMLPTQPGPNGSSYSFVEPLSPLYGSS SSDDEYHRKGGGSGGGEKKGHARTHSRRARFHDPIDDAARLANALKGESRAPDTPVLI EILPGLTHEQVMDLRGEYKRLVKTGAERRGVNIAKHIRARLKDEDPTLMKACYTVALG RWESEAYWANFWYHGDKTRRELLIESLMGRTNEEICKIKAGFSDKKYGDSLVKCMKME LKEDKFKKAVLMVLEEKRQEDVDRFGHPVRLDLELVADDVRTLREAIKSERGGESAML AIVVMRSETHLKEVMRVYKETYKGANFARDALKKSGNLVGEVLAHILNGVINKPVRDA MLLNHALTLSKRDELRRELLISRLVRFHWDAGHMSRVKKAYHEHYGQDLQEAVKEATS GEWGEFCGQLCVSRMPDDVKKIERRPTDDF NEUTE1DRAFT_125274 MKSTTFLTTIAMAHMALCVPVPWMAGDNILLSPNRNVPSSAASG RDPKSDKAFVLATSHLAPGPILQKAERSFNSLWDNWKKKHGSGPALIVDNARKDIPVL VITELGELGVGIPSSSQPPSKQASNPKQKPVSVSSGNEKANNVSVTEMGELSIGIPSP VPSPPSPSSSSSSSSLSSSPQPSNGTSPCPFYVEKPRDHDDVLVIFLVSAFLLVVVVV ETWGPVCRSVRNMFSSKRKGAIQLAEETETKPKSFRDNSVLVKSESG NEUTE1DRAFT_89145 MAPPSGLHQTALSSDFETPFPPLVRSSTAPVAFKSHRADPSHLA PEDAYSPVSPPRRTSLYNLRPRLIRRKEGSRSRSRRRQRRFQKLLWVKQSYPDNYTDQ ATFLEKLQRNPRVKPYDFWPLVADSTVIVQQVCSVIIFVVCFVGIFQERVSPVSVVGF GSFATFIGWLLWDWWVGEESLGAQRAMDGTTHSHRGRDPRPVRRQDSLGGPTRNASIV SLPGAGAGAGTGAATSTPASALPSTAPSPRLDQHLHIPRFSIGPTDSRSASIEPSTAA ASPAGSRAQSVADFHEAHYGHHRQRSSISSPIRGGGVLPGNSRLQLRLSTIKSAVLIY FTLLGLSPILKSLTRSTSSDSIWAISFWLLAINIFFFDYSINNNNPFTQATITNPHSN QTGAQHKFPIASLSTNAALMASTVLASRLPSTGQVFSLTLFSIEVFGLFPVFRRYARH RSFRYHVILTVLLLLGAGAGVGIIIGAPWVCECWQTWLKHSLLGMIVSCLIAAVAMGG CSWWLIGLQKYKNEIYGPWDPARPIIISRRYYDDE NEUTE1DRAFT_149342 MSNSLEQLKATGTVVVCDSGDFATMAKYKPQDATTNPSLILAAT KKPEYGALLDAAIADAKKGPGSPEEKVDAALDYLLVQFGKKILEIVPGKVSTEVDAAL SFDTKASVDKALHIIKLYEAEGISKDRVLIKIASTWEGIKAAEILQRDHGINCNLTLM FSLTQAIAAAEAGAFLISPFVGRILDWFKAHTGKNYSKEEDPGVASVKTIFNYYKKFG YKTIVMGASFRNIGEITELAGCDYLTISPNLLEELLNSDAPVPQKLNAADAASLSIEK KTYINSEPTFRFDFNEDQMAVEKLREGISKFAADAVTLKDIIKQKLAE NEUTE1DRAFT_104638 MTWTRHMASVKLAVLAGLARNGKWSEKCVEGGSGLVFEHIETGR TRNWIDRNCPCST NEUTE1DRAFT_70467 MSSFFAQVKSVLSGDTLILANPKNPALERQFSLAYVTAPRLSKD GDEPYAFQSREFLRELTLGKPIKCTVLYTIPNSGREYGIAQLQDGTELPEAAVKAGWL KVREDAGRKDDSEETLDKIDKLRELETQAKDEQKGLHAGKDGFIAVQNDLGGPDFLNQ WKGKTVDAVIEKVISGDRLLTRLLLGDKKHYQVMTLIAGIRTPSAARTNPSTGQTQPA EEYGEEAKRFVETRLLQRKLKVKIVGASPQGQLVATILHPNGNIAEFLLQDGLARCND FHSVFLGPDMAALRAAEKKAQSAQLRLHKGHVAKATAGGKDLDVTVTKIVGADTILVR NKAGEEKRLSLSSIRGPRAGEPSEAPWREEAKEFLRKKIIGKHVRVSIDGSKAATDDF EARDVATVTRDGKNIGLMLVQEGYATVIRHRKDDTDRASNYDELLAAQETAKEEKKGI WSGKSPKIKQYTDMSESAQKAKLQLSTLSRQKKVPGIVDYCKSGSRFTVLIPREGVKI TLVLAGIRAPRAGRTPKEEGEPFGNEALELANRRCNQRDCEIDVHDIDKVGGFIGDLY IGRESFAKLLVEEGLASVHQYSAEKSGNAAELNAAEKRAKEARKGLWKDWDPSQDAAE EEEAAQAAPEVELTIREKRNDYRDIVITNVDANGKLKIQEVGQGTAALTTLMNEFKKY HSNPANKKSLPDAPKTGELVAAQFSADGEWYRARVRSNDRSAKVAEVVYIDYGNSEKQ PWSKLRPLDAQFGVQKLKAQAIDASLSFVQLPSATHYLDEAINIIYELTEGRQLVGSF DYIDNKEGLSYITIYDPKDAKDPDSSLNREILSTGYAMVPMKLKAWERSPVFEKTLKS YRKAQEQAKDTRLGMWEYGDITED NEUTE1DRAFT_89155 MVKAVAVIRGDSNVKGTVIFEQESESAPTTITYDISGNDPNAKR GFHIHTFGDNTNGCTSAGPHFNPHGTTHGDRTAEVRHVGDLGNIETDAQGNAKGTVTD NLVKLIGPESVIGRTVVVHAGTDDLGKGGNEESLKTGNAGPRPACGVIGISQ NEUTE1DRAFT_113665 MAGDNGNSNNNKGSSKGQSSNAQGDNSSGGKSSANPNVTEFSKI NPGQPMSDYEYLKPWGGKKGFMLSYGIKPTPEGFEEARDLIDQMREESGFGKSPYRE NEUTE1DRAFT_104642 MSFRGDESRRYGHVPPAQYPVAGAAQDNSNPPYPPQRRMSFNTG DDAAMFDPSAARQQPAIYTGGVPVVSRAEEELFLGSPTSQDAPAAQNRLSYKSQHIAM AGYQHQYQAQTSAPPPAPAHSTYNPQAFARTQSTSLPYHPAPASRYGAPTSPTYAPTP TTYTPPAYNPAAYANTNTATPQRHSSVASVASSGYNGYTYGYTSPGIPQSGFGQSPQS STAPSIPSYEPARSPQYPPSSATATSPQYDQSYPPANAYNTQQYYGSYPVNASGMAYN TMTSQAPYPTAHVQMPAGPSYASADHAAFSGRNSRSDSQVSAPSPPPHSQAPGGLTRH PTNAPLPSRPMDDLPEHHSWGPNGQSNELQTQDALFGDIISEVEPRQYRGVNGNISED DQGLHRYSSTASTNVGSAAAVHRYPSNASTVNRNDIPDTYPNTYDYDDDESDPEGAAG LLAMQEDMDDRRFGFGGISFPTYMEPPAAQQQTSPTHPIQPPGHQRELPPPPEEQGTD SDFGGMDLGLYGGGYAGNLHYGNEVGSPPATSAHFDQGPRPLPYPQNTGSEYAPFSQA AVDYGGTGGLQAPQTHRLSFDEGDEHVSIHSRHSGSDSPTKEEYPDMFYHPGISNRPL PAVPPLGESRPVLSVVPPSRVASQQGYNLDVNQRLTVSQDGYDSGTPTSLAAYDMITL PGRKRKFIPSKLTATEIKRCAEPWALSSVTAWIREMADGEVDLKRKSIEEGIVKLFCH KVPTMNVADAESLSATVVDSMFASGLLIPDEEWVRFGTGHMTGVLWQLTGHGCYAPKL HEAEESAPKLNDNGIPVRCYSHHCGRTLKKANLDHLLSDDPVVQDWATFHGVKPEDCE KKSKKEIERQNVLHEIVTSEEEYMAQLDVVRLLYRDQLRVHQPPVLPNSRRDKFLEAV FGKVDTVQQINKDHLLAQLKYRQQEQAPFIVGFSDLFREWIRKARPIYIEYCSSYPNS EYLIRKESNRNILFREFLGHVQNHRRSKRLGWTTFVKAPITRLQRYSLLLSTVLKNTI EDSEEKQNLIKAIDEIRSVTKECDDRVAEMTKKVSLLELQQQLVLRPGFQSVLNLDHL GRELLKQGELQRQGSKGVRWVDTQALLFDHYFILAKAVSTKDGRNEKKYDVSKEPIPM PLLFLESMHDEPVTKQKGLAAPLTRTAATAGSGTQLNKIASNGGDRPGLEHSATSSSM GSLTTVTRLTSSGVDDGKIIYPFRIKHLGHEIYTLYASSALDRSEWCKAIVDAKTRHA EALHAQNAEPFRLRVLSDGAFAYDSYSSFGRQPGVPISGTPLDRAIRGMEEVYGKGRG PPPVCRAQVNCATAFKSFGKSIIAIGTDYGVYISEASNPRGWTRSVQINKVTQIAVLE DFSVCILISDKSLISYPLDVIAPVSNFPSPVHDNPRRAPQRLAKDVTFFATARMKDRT LLFYKRKEGMHNTFKVLEPVFQKATEKKSRIFGSRKFGSGATESFRDYDEFYIPAECY SLNLFQSYIAVASAKGFELLTLDKKVTQSIPRDLNLPAIANIASRIKDQRPLGMFKLN DQEFLLTYEDCAVYVDKHGEISRTLIMEYSGKQKKAKAATMFGQYLLLFNEDYVEVRN AENGRLRQIIAGRDVRCLDYGFRGPTGSGQQHAQPHTLLQAGVLQDTKGTVKICMSHP EVPGGQIVLEMLLNDGHAEKA NEUTE1DRAFT_125280 MDLVLPQGIIINATEVYTQLASLSVVSSEVLWHYWNAYTVISKK LGGDPTHLRLENFWWHVWGSNRRKLSGPTLARLYQDISTGPTDVPLVVPKRSREMLER SKALLEQINANGQDPASTQQLEENRVEGRDVTVTMKAPTPSSSRPPPPHPILKKHSAP VPGPRHTARFASPPRAGEDVSITKGVPEPSAMPPPPLPTARKTKTTAESPTTMRPPPL PSPAKPEKPAAQLPIAPAGGKASKPVAARKKVVANTKLAKRRPVIPRRTSSQSSTATN TQQRKVPVTADQSHQSTRSPTVEEEPFQESPPSITVASPTSTLVESPLEVPVPKVAEV PASTSVDHSSRSSQGGSVLSQDEQRAPSAKAAGKRPARSTRRPSSGGILPVTIGSQER RPLFVSSGFMPARSPSLPRSERNIPTTQEATRRNSVEKKPRRAPVAGFVADHDSGSDT PSPARTRTTRVTEESIVGSPRPPLRVPLFSQRMSGELPYSSPHAMSHRLSGEIPNVQA LTEEPTTQVSDTTVQGQFDSDVIATSSLVEARDIPDFVSRRSRPSPSLLHEAFSPTPP STTPTIPFGRSKSQLTLLLAKDNHRKVKETR NEUTE1DRAFT_149347 MATRAVPRALRASNIFSTATRPTIPILSSSQRAFHISATRLQKK KKNTTTTTTPTPGAGAFARTDDSITVEYPEDSSQLPSSQPVDSGIGRAGQNVFPTLAT FSLQGKVGVVTGGARGLGLVMGQGIVVSGGDLAIVDLNKEEATKQAQNIVETFKKDYP SAKKIPKVTAHYADVSDPASVDACIAEIVAEHGKIDNLVTSAGFTENFEAVNYPVDRM RKLWGVNVDGTYLFATAIARHLMGRNSPGSLVFIGSMSGSIVNVPQPQTPYNASKAAV RHLAASFAVEWAKAGIRVNCISPGYMLTALTKKILDENPDLKEKWTSLIPQGQMGNPE DLMGPVTFLLSDASRYVTGADLRVDGGYTCT NEUTE1DRAFT_70482 MGEAMARLEELTRKVHQGGPQKAREKHLLRKKMLPRDRITALID PGTTFLELSPLAGHELYPEADVPAGGIITGVGVVEGVTCVIVANDSTVKGGTYYPITV KKHLRAQEVAKENNLPCIYLVDSGGANLPHQSDVFPDREHFGRIFFNQARMSSQGIPQ IAVVMGPCTAGGAYVPAMSDESIIVQEQGHIFLAGPPLVKAATGEVVSPEELGGGAMH SSVSGVTDYLAVDDAHAIVLARRSIANLNWPRGSSTGAVTPADFEQKFEEPLYSADEL LGIASTNLRKPLPIHEVIARIVDGSAFAEFKRDYGTTLVTGFAKIYGHRVGIVANNGI LFSSSALKGAHFIELCAQRGIPLVFLQNISGFMVGRDAEREGIAKNGAKLVTAVACAD VPKFTVVVGGSYGAGNYGMCGRAYSPRFLWMWPNARIGVMGSEQLAKVMETVGTKADP ELQDRIERESDAVFSSARLWDDGVIPPQHTRRYLGLGLRAALGGRNEQAPRGTKFGVF RM NEUTE1DRAFT_125284 MWQALGDAGLLGITADEAYGGLSMGYQAHCIVMEELSRASGSIA LSYAAHSQLCVNQLSLNGNAEQKEKYLPGLISGEKVGALAMSESGSGSDVVSMRTTAK KVEGGYKLNGSKMWITNGPDADVIVVYAKTEPDAGSKGITAFIVETKKDGVKAEGFEC LRKLDKMGMRGSNTGELVFENVYVPEENVLGKVNGGVRVLMEGLDLERLVLSAGPLGL MQAALDVALPYAHSRKQFGTPIAHFQLLQGKLADMYTKLQASRAYTYATAKTVDEQGI IKTQDCAGAILYAAERATEVALDAIQILGGMGYMEEMPVSRIMRDAKLYEIGAGTSEV RRMVIGRAFNKDSFIALLP NEUTE1DRAFT_54069 MAIDLQPWLDGIDASMVFHPANAFVISCGTVTLDLGRGKVLLIW NRNLSIFQLPKGRRNIDEPMLNAALRETYEETGVRAAPLELNIATRATPPTVDEGADG PPQKSPQITEGHLSTEFVGTCLYPDPQSDTKALKAVFFFVATADSTATRDHGTQETYE KLEASWVLISEVDQKLRFASEVAVVKKAIADVRKSGYTINNA NEUTE1DRAFT_118472 MSTMQACHGHNEACCNIPPVVTSGYSPKGSFTEYDGLKTYVTGP DDATKGIVVIYDIFGYFDQTIQGADILATSDDTKYKVFMPDWFKGEPCPIEWYPPDTD EKKKNLGAFFSKNPPHGVAEKLPAFVKTLSAKHPNIKSWGIIGYCWGGKVVSLITKSE NNPFSIGAECHPAMVDPEEAKGIKVPLILLASKEEPEDKVKEFEQNLSVPKHVETFKD QVHGWMAARGDLKDERVKSEYVRGYKTVLEFFGKNWK NEUTE1DRAFT_89174 MSGIITGKVAAGATAAVTSAVENVARTATSLTAGGGFVPREVYE SSTQITRSYYLGHHASALNKMRQTISNVGLIIECRDFRVPICSWNPLLERSLAASAAG ERSRIIVYTKHDLGPPSGNFSKETSIEGYTNGRYQSGKDMVKTLKKYHKNSYTTKDVM FLGTSAGARSRNDAPNNDKHLLESIKRIAREADSLTGMRAMVVGMPNSGKSTLLNRLR AKGMGLPKAAQTGATPGVTRKIGTPVRIIAGESADDPSSAGLGEGVFIMDTPGVFIPY VSDPEDMLRLALVGCVKDGVIPSVTVADYLLYHLNLVDPKLYTRKFDLGKPTNDVHEF LRAVATRTGKLRKGSELNLENAADWVVQAWRRGDLGRFALERVTEETLAQAVEKAREP TVSISQARKREKEQRKVAQQLKWAGITAPPGGSVA NEUTE1DRAFT_70492 MLEKPEAKAPWLATVSNRFRKGGKLQPFRLLRQDIHNLRQRYVS DWTVFNQLVVASAVYVFFTNILPGITFANDLFVLTGESWGTIEVVFSTGLCGLIFSLF SAQPLTILGVTGPFSVLAENMYKLCTNNFHVPFLPVMAWSLIHSAWMHYLLAIFNAHD YTMQYVTDFSADIFSLLNSVIYFHKASLELKRNHDNVPFDAFLYSIIGAFGTCLMAIF LSTALEWKPLFGRIIRMGLAEYAAAISIIFFIGVPYMGELANLDHERLSIQKTFRPTS PARDTFFVRFWEVPLEWVFISIIPGAIITVLFYFDHEISSIICTVERYGVKKPGGYAW DIVLLGTTTALCGILGIPPANGLLPQAPLHSESLRHWVEVDDDDDEDSDTPLTTTSNN PPQSATATATTSPSPSTSSSSTTLSSPNIDDAPRKKKLVPRVHEQRYSPLLQSAMILV FISPPFQHLLGFTPTSVLAGLFMYMGYQSLSVNPILPRFFEMLSPPSELPPLPNPQIS RWAVHGYTLTQIVLTGIIFGVTLTVAAPGFPVIIIILVPVRLWIMNRIWGRETLRFVD GWACRPGKPEDSEEEKAARGEGERSGGDENVSASANVGEDDVERGLAMTSTGIISEGV REKQR NEUTE1DRAFT_113675 MAGSDPRRSSRARTSQSQSQISSSTSSTSGRGERSTRYFNKAVS PQKSSSSGSLSSEAPDETITADDSFGTRRRTRGQQEERERANNKSEQVEMAHGDDDAQ DEDEAVRCICGYEDYPGPPPFDEDSKHGLKDGMDIDPIFATDVTDDAAGFFVQCDICK VWQHGACVGIMTEESSPDEYFCEECRKEFHKIFTASNGQRYSHYLPLKRPSRTTSRSA SLNKDGTRSPPKDKPEGRNGRGTTTSSASKRRSTMNSRGADYDEAEALRRAIEASKED AASEQPETTTRRTKRGRSDSEEKSENKRQRTSSRSASPSLDKTTEDSDDAGTTTRNGA KSRSRGGAAGRTLRTEKTTEKEERERQRAEAANKRKGRAERRRADGTKATTLAKASEI DTDTDSDPSDELPLAARAAVNKTPATTTPVAAATAVTTTTTTTTTTMATPAAAEEPAE QEEQPPAVPEPPPASQSTPEDPPAAATPTAKIEKKRSHKKKGRNQYTRDDNEPSPARS QSGEAPAEVPPPPKPSKAEEKATGHGKHGKNKGGMNSKITMTDMKRRAAALLDFISRT QVELAGESPSDDDKTNGNSVAPQLATDCEKPGSATGMLGVNCSTPAAVMTSEGQLGKD FKDLSCVEMMDSLTRRLVKWQQEYTV NEUTE1DRAFT_141550 MSSADTVSVSPAYRSERRIHPLPKRSLRDRLTPEAAESIQYPPA PSISTSLFPSLYSIRDDEPDQTPTPPRERLTEAAPRQSRRDGPVAESGGYTTASRQGI PERGHSLLDDGISDPSNRKGHQSPRTENEERSVNSQATLSAAPAIDGYDSFENTNNKK KRKIPTAGDAALGGVHVGIDAGFGTTSVQASESHGEATSSSSASQYGSGGYMPGLQNV PGPGRGRYGRPRNGRSPLRPLSDSNTNWLGRGGKLRSVPWSTSSAENQGIISSAIAKA EKLNPPHAGSESTSLLQNSLSPKRPASAQFTFTAPGPNALSWPGSDRRINMPTPSAAR QGQENWQRAAPGGQAANAHALSSGTGTAAKDAQGKSGAGGQGQQGPAPKTTRRSAAKE YAAAAKQRRRETQLRNKRHPPKPEDIWICHFCEYESIFGHPPEALVRQYEIKARKQRQ LEQQRKAQWERLKKGKHKGKKNSKLPTKGHDGVQDAHQPSGGHGGPMNCDYSQGTQSE EYFDDDDYEEDDYDPDEDLPPENGLEAERHERVPDHVARVSTVPDGGGT NEUTE1DRAFT_132511 MFGSSRRHRPPNPPMTAATANPNAASAAAAVFKRNEAQQASNLS AAAAAAALRARPITPTNVAQVQTKRTVRRSASVASTRTNPDTHGRPSLRRTGSSSSMT ERTFRSPSPHHRPDSRNSGRRQSQSIEDLPPVPELPRNVATTVGTAGTGAPQKSAHRK TQSLGVERVPSQKPGTDDGPSWFGPARVGDLSSVRRTDPAMASPPSSPPQVAMHQEDR PESRASSINFSYPARVRVGSPPVSPADTRSTTDFPAQDSQSAVQPQRSRGSLLLEAGT PSSTRPRASSASAADQALVYDPNSRRMIRRSDLLAVEHAIVAASQQPTRSKKKKHPPK AGTHLAQGTVGRTKSNIPTSDPTGNTGTATTTRTAPQVQVQAPVQQSPPVQDSRRETE EQLPSRFAVASPATAPRPTQQPQEEDWESEEEEEEEEEEGAFTDHHHPTTSTSMVVTT DGRPMVRRLPSIVREEPELEESESDETNTSRISSALDSIPSRQRIVSHIRSQSEQQPI QSSSQASQLPIRAASVSQKKNQPTRASSISPARQAHFGPVQDTLTVRHSPPPRSVSPR KSALKQPSPVRGPSPSDLSSEASWSTDRPVQRKKSVRVSFDDETQPAIAELAPKERSV SPPLASPTGIRRWANADLSATLDDEEATMKPRPALPSFGSVRNVRKPQLQEPKEGERP LRPIGDVAYAAPYTTPLPLSPVAESFGQSSDYAIGGMLQREREERVRSPANISRFREP LPPVVTLVEGNGYASDDSDGSTSLASEMTGSLYDYPSFMEPPQQPRRNSLSSPQTSPV KTAFQNRNAPAIDSGFVAQEEARRRNSAPNVPIISLTQPTPQESQNEAPNFFVEVPGG FPEDSDTSKSSATGVEQAIGQQTTGTQSQPASESESDNSSVYADAYEDLSEAEGEGYQ SLNAVLDFPGANDPRQHEVHDNPSPPDPPRSLVPALSTATTAIGSYSGESPQEDDWER AKAYWRSLTAERRAQLEREAKEDAGIEGDREETSVPGEKPRRKKSIEKRTLERHALAV QMARQMMLEQQQQQQQQQQQPQQPPQEEQVRKKKAKGKAKETAASAPARVTAERSYQI KPGTKWEESEVPVVPAVSTMTMRTTMRSTPLQSKAAPVKDGPRFRKSLRSEPPADRRA SCPDPAPVPATPQPTKREHRAASDAMLPAPRASTIRLANTHQLHIKRRDSTSSDSSFK RARASSAGQGLSAGGFPKSLRPNSPTAGSVDSRGNGTKLLTSLRATTPTNSNSRLDTP PSSRGTMGSAMGMGVGMRTTLRDSVANSGGRRSPGGMKMPTLGKKGGASGKKRTSIGS KFSSRFGDSSDEEDAGIASRFRSRFDESSDEDEVVPPVPVVSSGLRGSASAPVAAASR SMARGSMRDRQVSIAEEEEGGYNYRDGASNGDGNARGSVGSLGGHQKLSKTARLGSAI APAQAGYDNDAAAGDEELRRTRSGRGQILPTSQTASNVGISDAPAAATEPHRPKRGLM SVFSRKKHHHQHVQESADAIARPEAPVESAVRRDTKLERSKAELKGLRNQGQVDQDRQ VDVEERLQTPATIDTNNTSDVSPKSHHPRLHKRGSRMPSFSLPGRSEKAPSPVQEIMN EGGIPMPGFIRRSGTATAAATGGSLGTRSISGGSGHPNGPFAVGVGPRRASTATALSA AGTAGNERIPPVRSETPTGKKKKFGALRRMFGRSDNGSG NEUTE1DRAFT_125291 MASGATGGSQLSAFCAVASFLWLGHSIESHQLIEQPRVSSILVL LTAGAFSYVASHFWQWLPGSNGRFAAIIFRLELFHRVTADLQCSSPGVEAFLPLVILF YELLPGRRARVGPAKDAEEEDDMGRTTWDDIGDWVAESKQSLTISIVFISLGAYLASS QDMRSSYICTSFDNSTLVRSLQWLGLLLDGVIVILLWRVLAWARTNKSRLISVSVVFV ASAVGSGLLYTSLRMFLPSVPLSHHFKGIDALFVFDVVTDGFAVAVLFVSASLLTTEK TPLSLVGIFTFISGLVVGWGKLKLTGGAENTMHGVAYLAVLLISLGTSIFVYANNIQR VVLIHRSILVALMFISLIAATIVAVSNNRNDYLFEHPIETMIFKARTNADRYLTHAVA SNSLPVAVNEYKARYGGRDPPPKFDEWYKFAVDNKSPIIDDFDQVVHDIRPFLGISPS KIKEDIQRVAKEPGIALLRVANGTASHSLSKDSEHAMVMDELAKMVNKFAQHLPDMEL PINLDERPRVLTPWEDVRRFTEKAKQKGFGKLLSKREDEEEGKAPSQPLDLSSSATTS VRTFQEMTALTCPPGTATRAGIHWDVRDFCLSCVRPHSAGTGIFLHDFAASQSICHQS DLFHLHSFFFTPPSVPPLRDLVPVFSRSKLSSYSDILIPIPTLDEVLHKANTQPDTSD FDLKTPALYWRGAQAEQVSDEMLHGGQQERLVHLVSDNSSTSTTLMLIPQNEVRSRAA YERVSTSLLNFLLPFDIGFSSVSPTTAAASSSEFTTTTRPPHSNPLSSNQYILTLDPP FSSSPSSSPSPSGSTTTTFLAALKSTNVPFTSTLFTHWYSSRLQPYLHFIPLDIRLHS LHATLAYFVGLKGKASASDRLGNTKGAMINGREVRQKSRTDEAKYIAEQGMKWAEKAV RREDEEVYLFRVLVEWGRVVQEERDDLKFVMAETSKETKQTKEMKG NEUTE1DRAFT_149357 MTNLQTGPTTRGLQRFAIPAVCGLIIFLGYYSQYLFNTSADLAP GPLTRRESITFNILLVCLWLTYYQACTVDPGQYKFPPKEKEEDNNNKRGGRGPQKAKW CKKCDAPKPPRAHHCRHCARCIPRMDHHCPWTGNCVSLQTFPHFLRFLVYTNAALVYF ARLLWTRLYYGLWDQRHVPAYLGPSVGALLGCTMLSIAWFATQFALMVLLVTTVRSWM LGKTMIEEWEAERHETLLARSYDGDDYWGADGHGGFVPVKVEFPYDNGFWSNMAQAMG TNNFLRWFLPVGGGGPKISNDTPWKGTGWEYEENGFNDRVGMWPPPDPEKLRRERAGA GGKWPGARENLSTEKSEVDYYRSSEDMKTAFKRRQQEDLRRRQQRRQHSSEEDEIMAE LEEDEGYEERSRTRSPPQDGRAWMNSEGDTLWDYGVDVDEEENYGYPGRGVSESLPLV KTATGYNDGQGDEDEDVPLAELIRRRKVKSNGVHE NEUTE1DRAFT_89193 MLSWYKAQLAARPLLTQAVTTSILFGVGDVAAQQLVDRRGLSNH DLTRTGRMVLYGGAVFGPAATTWFRFLQKRVVVPGSTNKTILARVAADQGLFAPTFIG IFLGSMAVLEGTDVKEKLQKNYWEALSTNWMVWPFVQMVNFKVVPLDHRVLFVNVISI GWNCYLSWLNGQ NEUTE1DRAFT_89196 MTEQYLICTSCGTQHPFTDRSSLKTCFICDDPRQFVPPSGQSFT TLSSLRSSTSPKYKNEFHPYKYSSPYEKFKAAKAGSGEPKEATIDESKQAELISIVTT PKFGIGQRAILVRTPSGKNVLWDCVAYLDDETVQKIKQLGGVDAMVISHPHFYTTHLE WARAFGDCPVYLAADDKKWRARLDEDGVQREITEEETRITNKEGEDLRVVAVKLGGHF PGSLVLHTPHSGRLLTADTIFTTPSGLSNWEVNALGEPRSRPKDTNSYSFMWSIPNMI PLSADEIARMWGILKKYDFKSTHGLILGQDIEDVNVKKRVLESVQIQLKMMGVKEHTI FSEELS NEUTE1DRAFT_149360 MEHPSAAASTLTRHRIGVALFSCAAAASLGYFCYRIYNPSLPEP DPSRRVRRSNAVRHRRRSAPDLDRDRDRDRDRRRSDVSQDSATARALEGNPDTSNANA EPADAQTVADDQALQVADDDWWNDNGPGDGYANHRAGQNIVNLLFRVSEDNARRSSYV HRGCQCNACGIVPIRGIRYRCANCADFDLCETCESQDLHIKTHVFYKIKVPAPRLGPR QLQPVWYPGNPDNCVRVLNRAAIAKLSRSTGLERPELEALWEQWTFLANTEWKEDPDG LNLAMDRKTFERTLVPSGSNRHNAPNLLYDRMFAFYDTNNDDLIGFSEFLHATTYRKS KHRLLSIFNGYDIDGDGYVDRRDFLRLFRAYYVLFKEMHKDMLEGLDDQVMSSNEVKQ LISSRHPVSSFFGREGAIPRSDSDRPLYGKVFTSNGDVRIMDGRTSAVNEDKPDTADR EAVLESIFQQENRDSESLFAAILDEYNNQEANGMPRESLRYFAALLNPPARVEDLPSL LTGRRREGDDLLFVTNPDEDHDGTSEEEDGHRDRARAHQDDSDQNIIRGEGFVMRMND DADARYRRYARAERNVRKKLIERYKRRQFYLDEEEGALPPDYWDPEEDILANLNGVSE SSKPVSPRSPPSPKVRFAEDVDDYDTQSNPSTSSRSIPERWGGMEIPGAEKDAGKEVF YQVVQQAFNELLDRLFKFKEDIAIRCAETKAVRDKYRPLLESIEKGMVQHADGSMIQK AAEEMLFFRPSEDINDRDGEKPISEQNLQELLAASGYSIDETSPAEAEPTEEPQHETG IQEPINGAETAEGVHHNEPSASTSSYCDPTLPQFRPNSMSETMPRTSVCEETQDHSSS KGKANEADAAAIPSAPSSKTTAEGNHDASQEPQDPSNIPINTLVQWRFLDLAEKEAKE RGGWGRLNYEEFETVWKLEEEHGNRLDYLGTWIDFCIPYH NEUTE1DRAFT_141557 MAQGCQHCTTDTCHRYFTVFGDGVVKVRTGTQHHAMPCIRLKDH LGSGNKQPMGRFQDIPI NEUTE1DRAFT_89200 MVTSRHNDETFFVESDDQPAPRVNVRDAAKLIARNKQEMIANNL SRLACDEYLEDIMQHIRHMEDETLPDANLIDMQREIQWFMRPYLIDFLIEAHAAFSLL PETLFLTVNLLDRYCSKRVVYKQHYQLVGCAALLIAAKYGDKKDRVPQINELNNMCCG LYDAGMFTQMEMHVLNTLDWNIGHPTVDFFTQLIVAEERDSRDVEHMAAYICEIALYH RDFVSTKPSIMARVSLVLARAILGKPEVNDGEWDQVENATLLALSQHLHQPSVTLSRK YSSAYLSKVSGKLADFLAQQAAINRRGGAPPSSPGELTPNSSKASNVYSTPHKGLGAV PGAADGYMTPPITPDAASFAQNGTMMKEYPAPRCPVTPTPQPNSHSQSYPPAAQHQTS ASSTSSSTKAPSYFETAPSSQVAY NEUTE1DRAFT_118477 MARLAPTTVGYQGGKSGQVNQTDRQTDIWIEWMDGSNQMGRHNG ALKVLQVTTIWTTGLTRGDGNGRRRERRSVIS NEUTE1DRAFT_132518 MALPKRIVKETERLMAEPVPGISAVPHEDNLRYFDVEIHGPSQS PYEGGVFKLELFLPDDYPMTPPKIRFLTKIYHPNVDKLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLAPDVAKTWKENPSVAVATAREWTQQYALPSQ NEUTE1DRAFT_70523 MPSVFDSPPKKAKTIKSAFDSESFDADLTIHVDGLVGSATISPS GRDVALASPEGLAIIDLDSPWNPPRRLSSHGLPWLVVDVQWSPFAARDYWVASTANHR CLVWNLNMRDDSPSGAIEHSLQAHSRAITDINFSAHHPDLLASCSVDGYVHHWDLRRP RQPALSFCDWFAGATQVKYSRQDPHVLASAHDRWLHIWDDRKTVEPLKTICAHTSKIY GIDWNRTDAPCLVTCSLDKTIKFWNYEKTDEPERVIRTDFPVWRARHTPFGNGLLAMP QNEPGNLYLYDRRTAPEAPSASEPVAMFSGHGNHKVKEFLWRTRGSITSEGVDEREFQ LVSWGEDNELRLQKVEPDILESVGYVKGKTVVKNLALTRKGAAYKTFRAVDDTVHRDR RTATMSDPRPGSSGQYRKSALSYGLNSHLRWVGPTWRGSPMKAKVNGGKSMDKSQLQI GWMKGISMTKRKSESDGPGRGSIKDSGLFSHGAYDDDWAEPESIQDEFIHVSNRLPNV KWENIDMDALILNASLKGPWGFNDEGIFIKVKVDIPTSYPTSKAPKFYVEKTSFMPEE THEKIERELQILGNQFLERKQNCLEVAFSYLLGDVDLENSTTLFKNVRDLNDEEIEAL ADESSSDEEDSDVQARGSVSMSQDLTASTELDPTATLAPTQRPIAVPTPRKCGARFSN DGRLVCFFPSKEQQALALFSISNSDAYRDRQKGEPNFAGFGRLTQDSPPPRRRYNDDD SGSDDMSDGSEDTESSSSSDSEPSTMHKISLWHQPGGRSLKKTWSTNDSLRSSGGGTG AGTGTGTGISRRRLPARPKNIIALHDLRSLLPSQKQFAQEYAIFGDGAEVCMHNAMVA EKYGYHDLVHIWHYAAMLLRNDIPLEIHEQQNRKESVLVIARDASARVRDDEYAQHFG WDSNLSGRVKWGYHPLAQSLIADLFNHFEKVADIQMLAMLACIFGDSSAEDGVAYAGS HLPQPETPLPMKAPSFSLEYFPTDPALWHINYKSQASSAITTPRTVHTPAIYSESPGI EDVVALLEPTPNSFSAGETPPKMSRDHLREGDQTQSLSTSPSNRLFTRSNTAVSAAFS LPKTLAGMVSGSPPDPPPRKRPSPAEAIFNNLAPSVTWGTSTVFGGTAPETPGTARTS LSDDEGRNHDMTVLVPVAVSVVPENQGLFDDDGWLNTPLLDPSRNHVYSYYRYAYAEM LQMWGQPLSRLEIMKFNVLKGDKTSSSGCYSDVTHSIDGYYDHETAENTAANSASQNP AFGGRKEQLQALIASGRGLDVTGICRIHEEQLDPVEYTRPTKGKYGGAVGTCHRCVKA GNKKEQKQLRCVYCLEPIAALYPPCLNCGCAFHEACLAEWHEMGEEECPAGDDCCCVE DASTAHVERWSALQAAVAAMNKMRSAASGSLHQQVDRDKEKSSGNQSGISRRSSIKTV LTGVASVATGGTGSRPRRRSVPTDLAKFMRGGRSDPNAYRPNDDNDDDYDEDDDDLPT IAITSSNGGSSDRSRSAHPTNRRAVSTASPSGITTNTIPHQFGRRRGGSSASHLAATG GYSSGYGHRSSNLSHFYIAADDNSGDSADSNNEGTATTQFPASNSNRTSQTLLSGNDI DREEWESVASSRGIPSLSNDPLHPSHPSHHSRSGSRSGNSSRSASGGSDTGGKDTITT SSSSLTTTPRVGDSGSENSKRPPHHERTPSGRGFTALKPPPYGDEPISAARLSLGNRL KKHIAGAASAAASSGSSASGGSGSGGNGGNAGNDSGGGGETTGVAGGSGGGTSSLLGG GAQRPSLLRKKSTGVAVWRGGN NEUTE1DRAFT_149364 MGDWHRGRSRCSCQGRRSTSDYASSPRNGDLNGRAITAATPHTR CNSNVFQVQSPSLPSSDIPPSPPPPRFTAHRTLLPGITKRSKDKAARAERSAGGDKSS SAKPKKATFDTTKKKEIGVSDLTLLSKVSNEAINENLKKRFEGREIYTYIGHVLVSVN PFRDLGIYTDQVLESYKGKNRLEMPPHVFAIAESAYYNMKAYSENQCVIISGESGAGK TEAAKRIMQYIANVSGGGSGDIQQIKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLQ IHFNAQGEPIGADITNYLLEKSRVVGQIANERNFHIFYQFTKGASQQYREMYGIQKPE TYLYTSKAKCFDVDGIDDLAEYQDTLNAMKIIGLSQQEQDNIFRMLSAILWAGNLVFK EGDDGYAAVSDQSVVDFLAYLLEVDPAQLVHALTIRILTPRPGEVIESPANVPQATAT RDALAMAIYYNLFDWIVERINLSLKARQATTNSIGILDIYGFEIFEKNSFEQLCINYV NEKLQQIFIQLTLKAEQDEYAREQIKWTPIKYFDNKIVCDLIESTRPPGIFSAMKDAT KTAHADPAASDRTFMQSINGMSNPHLTPRQGAFIVKHYAGDVTYSVDGITDKNKDLLL KGVQNLFQASQNQFVHTLFPQQVDLDNRRQPPSAGDRIRTSANALVDTLMKCQPSYIR TIKPNENKSPTEYNEPNVLHQVKYLGLQENVRIRRAGFAYRQSFEKFVDRFFLLSPAT SYAGEYTWTGSYEAATKQILKDTSIPQEEWQLGVTKAFIKSPETLFALEHMRDRYWHN MATRIQRMWRAYLAYRAEAAIRIQRIWRKKRVGAEYLQLREEGHKVLGGRKERRRMSI LGSRRFLGDYLGINATTGPGAQIRNAIGIGSNEKAVFSCRGELLEHKFGRSSKPSPRI LVVTNSKFYIVAQVLNQGHVQIMAEKAFPLASIKFIGASTARDDWFSLGVGSQQEPDP LLNCVLKTEMFTQMKRVMPGSFNLKIGDAIEYAKKPGKMQLVKVLKDAPTSQDFYKSS TVHTQPGEPPNSVSRPQPKAKPVPPRPITKGKLIKPGGPGGRPARNANPNRTAQPRPG GGPSIAASNPLASSATAASSRPVPAHPGAASTTAAAKSLPSHTRQQSSTSTVRPPPPP PPAPAAKPKIMAKVLYDFAGTRENELSIKAGDIIEIVQKENNGWWLAKTPEGQAWVPA AYVEEQAPAPPVVAPRPPPPPPPAANGGGRVAPQPPAKRPVAGRKPAPAPAVASLQNR DSGMSLNGANGSGSDASRSSTPTPSIAGSLADALKARKQAMAKRDDDEDDW NEUTE1DRAFT_132521 MSLLVLLKKQLTPTKLLFHVLFWTFHWGIFAYGWWKQASDARLA GLNKLQYSVWFSRGAGLVLSVDAMLILLPVCRTIMRFIRPKIRFIPLDENIWMHRQLA YAMLLFTVIHTSSHYVNFYNVEKTQIRPVSAVQIHYAQPGGITGHVMLLCMLLMYTTA HHRIRQQSFETFWYTHHLFIPFFLALYTHTVGCFVRDTASSFSPFDGKQYWEHCIGYL GWRWELWTGGFYLLERLYREIRAIRETKITRVVRHPYDVVEIQFHKPSFKYKAGQWLF LQMPQVSKYQWHPFTITSCPYDPYVSVHIRQVGDFTRELANSIGAGPAQAKLYDGVDP NGMYEVALMNGDHLPPLRIDGPYGAPAEDVFENEIAVLIGTGIGVTPWASILKNIWHL RNGPNPPTRLRRVEFIWVCKDTSSFEWFQILLSSLEQQSSEAARIPGSSGIEFLKIHT YLTQKLDMDTTQNIVLNSVGAEVDPLTELKARTNFGRPNFQRFFESMRNGILDRTYLN GLEGNMRTTVGVYFCGPSAAARDIQKAAKTATTREVNFRFWKEHF NEUTE1DRAFT_70531 MAVGPVPTPEQVSELKDKYTNAGQGQVFTFYDSLSSEEQAQLYK QLAGFDPLYINKIAAKALTPQSSDSEKPTLEPLPDSARASTLDSDKQTQDEWWNRGLQ LIADNKVAVVLMAGGQGTRLGSSAPKGCFDIGLPSHKSLFQIQAERIARLQVLASERR EQAGSPVVPWYVMTSGPTRKATEDFFKTNNYFGLSPDQVIIFEQGVLPCISNDGKILL ESKSRVAVAPDGNGGIYNALVDAKVLDDMARRGIEHVHAYCVDNCLVKVADPVFIGYC ASQNVDIGTKVVRKRNATEPVGLILLKNGKPDVVEYSEIDDAVAAEEDPAQPGVLRFR AANIVNHYYSFRFLKSIPEWASNLPHHIARKKIPYADLESGETVKPEKPNGIKLEQFV FDVFPLIELSKFACMEVKREDEFSPLKNARGTGEDDPDTSRHDIMAQGRRWLEAAGAK FAEGVEDGVEVSPLVSYCGEGLQSYADRKVVAVDRIE NEUTE1DRAFT_70534 MEDAIREKITTHLAPRTLQIHNDSHLHAHHSAMRGVTSRETHFR VVISSDAFKGKMQPARHRLVYGLLKDEMAKEGGIHALQLRTMTLEEEDKRREKEEEAE ERARTCKD NEUTE1DRAFT_118482 EDAGQRGDGAGGNGASGEAEDGAAQHRSRSRSGIGRETAELGGF IWVAELCAFGAVGGEARVAFVERRIWLVGTHTVVQVGQWC NEUTE1DRAFT_70536 MASTLPKLPVFEAISRHDPESTVVVHSNSGRTFKYGELLGDVWK TRNRLYETAGKEDLDGERIAFLVENSYDYVVTLLACLAAKSIAVPLSPAFPAPELQYI LNHSEALLLLSSAKFTNKAQEVLKTELDSEPTLLELTKFQGGSQHEQVTLDSKSGPGE AGMMLYTSGTTNRPKGVLIPQSVMTAQARSLIHAWEYTPADHLLHVLPLHHIHGTINA IFTPLFAGSSIEFMFPFNPDAVWKRFAAPFLPDTSDKKKITFFTVVPTVYSRLLTTHK NLPDDLQTATRKAIAPSNLRLAISGSAALPTPIKKAWHELSSGNVLLERYGMTEVGMA LSCGLETADRVDGSVGWPLPGVEARLVDIENNTIIEPGQERDPETGRERAGEIQLRGP SIFREYWRNPEATAKEFVTDEKDVAGSKGRWFKTGDVAVRRPAPEGAGKSDLKSQKDW ARGDMYFILGRKSADIIKSGGEKVSALEVEREMLSLPQVAECAVLAVPSGKWGQKVGA VVILDKEHVPEGKWTPLEMRRALKDRLAAYKIPQVLKIVDKIPRNAMGKINKKNLVKE VFADEFSGDEL NEUTE1DRAFT_132525 MSTSTPKYGERLLKSQGWRGKGYSLHPTDNTIGLSNPLRISRNT DGRGIGQSTHYTSDQWWLAAFDEKLKGLDTSKDGKVVQTTTQSKLDAVTANIGGGKYT GAGGLYASFVRGEGLAGTVESESGRSTPTDREDVAGLKGGVKKRESSNKKKAEKEEKK RRKAMEKLKKKEEKKAAKKAAKKEEKRKRNATETKEERKARKKERRAKKEEKRRKRTS EKEKEKKG NEUTE1DRAFT_70540 MANSKFEYVKQFEQPDSLLPNTWIVVRLDGRGFTKFSTKYAFEK PNDKRALDLMNAAARSVMSELPDITIAYGVSDEYSFVFHKSCTLFERRASKLVSTIVS TFTAYYIHHWPTYFVDGPPLSPPLPSFDGRAVCYPSVQNLRDYMSWRQVDCHINNLYN TTFWALINQGGMDGTAAELMLKGTFSADKNEILFKKFGINYNNEPEMFKKGSVIFRNY ELVEPGTKRVGEEAEEMSSAVPEVKSKSQVEKDKKARTKAKIVVEHLDIIRDEFWERR PWLLSGKPGKVPKEP NEUTE1DRAFT_51014 MNLLLAEDYLLQDYPEHITNTIRSGHSTCVRFNRTGDFLASGRV DGTVVIWDLETMGVARKLRGHSKNITSLSWSRCGRYLLSACQGWKAILWDLQDGSKYC EVRFRAPVYGAELHPMHHHQFAAALFEEQPMLVDVKESAQGANPVEVRHILPSVEKRS DDGADTPTKEKHAKEDARHMTTAIVYTATGEHLLAGTTKGRLNIIDATTHKIIYSEKI AGGVITTLRLTESGKELLVNAQDRTIRTFKVPDLTSADLDPDTIQIPLEHKFQDLVNR LSWNHAAFSSTGEYVAASTFNNHELYIWERGHGSLVRMLEGPKEEQGVIEWHPHKPLL AACGLETGRINIWSVTSPQRWSALAPDFVEVEENVEYIEKEDEFDIHPHEEIQKRRLD AEDEDVDVLGGGGAGGGDVAPFRMPVLFNLGESDSEEEFVNVGLGTLRRKSPDDQDDG APASEGRPPAKKTVTQRTRTRKR NEUTE1DRAFT_70543 MANLYFAHSSAPLRTIKEIQFGLLSPEEIKGMSVAHIVYPETMD ETRTKPRDGGLNDPLLGSVDRQFKCKTCGENMSECPGHFGHIELARPVYHPGFIKRVK KMLEIVCHNCSKVLADRNDKEFAAAMRIRDPKVRFNRVWAVCKKKRRCENEVVKKSDD DEFNPLADAKGELPGHGGCGNDHPTVRQQALTLYAQFDTKDEEGVKSKEKKIITPDMA LNILHNMTESDMIDIGINISQARPEWMIITVLPVPPPPVRPSISMDGTGTGMRNEDDL TYKLGDIIRANGNVRQAIQEGSPAHIINDFENLLQYHVATYMDNDIAGQPQALQKSGR PVKAIRARLKGKEGRLRGNLMGKRVDFSARTVITGDANISLDEVGVPRSIARTLTYPE TVTPYNIGKLTQYVQNGPNEHPGAKYVIRSDGSRVDLRHQRRTGPLQLEYGWKVERHL IDGDYIIFNRQPSLHKESMMGHRVKVMPYSTFRLNLSVTSPYNADFDGDEMNLHVPQT EETRAEVKELCMVPLNIVSPQRNGPLMGIVQDTLAGAYKLCRRDVFLTKEEVMNIMLW VPEWDGIIPVPAILKPRPRWTGKQIISMVVPKIINLKNIDEDDGECPLKDKGILIQQG EVIFGLMTKKIVGAASGGIVHLCYNELGPSGAMDFLNGCQRVVNYWLLHNGHSIGIGD TIPDAATIAKVQTHIDDAKAEVKQLTDMATNNQLEPLPGMNIRETFENKVSKALNTAR DKAGTSTESSLKDINNAVTMALSGSKGSSINISQMTALVGQQIVEGKRIPFGFKYRTL PHFTKDDYSPEARGFVENSYLRGLTPSEFFFHAMAGREGLIDTAVKTAETGYIQRRLV KALEDSAAQYDGTVRNSLGDIIQFVYGEDGLDGIAIENQPVDHFTISNKAFDKRYRLD VMDEEVSSSELEALEYGKELASDPTVQELLDQEYEQLSVDRHMLREIQRTKGNNDTKM QLPLNIIRIIDTAKKLFKVDETARSDLTPKDVIPTVKAMLDRMIVVRGDDPISKEADY NATILFKAQLRSRLAFKRLAVQDRINKLAFNHILGELENRWSRSMVSPGEMVGVLAAQ SIGEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNVASNIKTPSMMVYLDSKAATQE EAKKMRSAVEHTSLRSVTAVTEIYYDPDITSTNIPEDYDLVESYFLIPDQSGDEPDPI ENQSRWLLRITLDRQKMLDKGLRVEDVAHRIKEVYKKDVAVVFSDNNAEEMVIRIRVI KADDDKDEDGNKIIEDDVMLKRLEKHLLDGCTLRGVEGIERAFLNKGVKLIEAKDGSM KHSKVDDECQEWYLDTQGTSLREVLTVEGVDTKRTTTNDLWQVVDVFGIEGARAALMH ELTAVLAFDGSYVNHRHLALLCDVMTYRGSIAAVTRHGINRADTGALMRCSFEETVEI LLEAAAVGELDDCRGISENVMLGQMAPMGTGAFDVFLDPKMLETVISDNSRMGLMPGM TVKGSQLDGAATPYDTGSPMADSGFLGSYSPTMGNFSPIQGAGSDSPTNNGFGTDYGG VGGYGSGTSYSSATSPRATSPFTPTSPFSYGGYSPSSPAAGYSPSSPLLDSSGRFASS PQFSPSSPSFSPTSPMLRPGSPTSPSYSPTSPSYSPASPAAARYSPTSPAQQFSPTSP SYSPTSPSYSPASPAFQATSPSYSPASPTWSPTSPDAYSPTSPSFQRSPGQQLSPTSP TGYSPTSPQYSPRTPGRNNSGGNGDQYSPTSPSND NEUTE1DRAFT_125308 MSPTTTPTLPKGYVLHAGYPPISSYRHLRSASGLTPRSVAQAAP VPSNSWYGCYIMHDDNKPVAMGRIIGDGGWYFHIADMATLPEHQRKGLGDVVLKELLA YIARHAPCDEKEGEYPYITLFADGPGRRLYEKNGFKETAPRSTGMVLSYDRGLVVTPE DEGRAAAEDGRE NEUTE1DRAFT_32005 WCALSYVWGGDQPVKTTKETLAAHYDGIPFDALPKTIQDAVRVC RGLAIPYLWIDALCIIQGDEQDLRRELGKMPEIYQHATVTISAAWSTKADDGFLYRRG YWYQFCPPIKLQEKEKRAKTTMEGYAYEKRVWESDPINSRAWTYQEYALSQRVLLYRS TLLEWSCRTVSDSYGLLEPSTLAGKADHHYQPDLQLDPDPEPFFALSSSHQSWSDIVM EYSSRHLSFASDKLRALSAIAQVYHRETGKEYLAGLWREDLPLALCWFAMPQTSYNST TNASENDNLDSEPSPEKILQKRPTGNRGPSWSWASID NEUTE1DRAFT_132530 MSSPILNIATWSPAFLASKQKIPRRSLRVHCDQQELLDREDAWD SDGFANVPAEILQEVKTQYIRSIASTHARKTPSPTLPQSTEFIRQDGGQDEPSTPKPT GTGSEEGTPIPWSPSPEHHIRPVNDGEAKDTSPRLEPLPENNSTPPQQAIVTPDLPSR ALPERPSSQKRPEHRPSSPPVFKVKARVPFAPEFLPSSSVASEGGIEFEVPKAVTDVL PPVNKGALPVHPEHTPPSAQIIPASYVGHTTPSRPPPEKRRRLTKSITTIYSDYRSIA EPERSSAAFTRAETEGPSITRLFEHIADSPHHPEERSADGGSHLLKTTEQLAQHLASS DEKIPPNGPPSQVPYTAYKVAYPDYKASLGDFVRAVLCVHDLRKRSAIMEFLYDDFVR YYCDDYLQYTREAEGKVPVRAAIELYNDQVSRPHYQKGVLTKENINDVLDKYPKMVRS INETLDFTTPAIEENGGSSKLQVPVPLPSTTSHLPKPRLVAKSITQALVGSPATELAS DPIHPPSPIPTKRLRPFIQTIDRSVPRRLSNRPLELEAPLVDEPIPGSDAAPIEIGSA SSSSSSSLSPPPSPPPVLPQTPTHSQGGPSSPTTKVIQPQEQPGVSSSSREASAAFER PRLDTSPAPPPPSSAKIISQQASISPPPPRRFAKVDPGSLGHPITHQPPRQGEDIHPN SQIVPGTPAPSAPAPHFTAALSPSRPGPLITQIPANTDLPLLSQLSNADSIPGPSVPS ASLGLVPSSATSSKVLFSTATTDTNNNNNNNNNSDNVLPPPFRPATSMTTTHLNPLST QISNADSIPDPSLPPRLQRQRKSSLTSSNLSRLAHITSSSKRFLQKKQAVGAGAPSSS RSGSGSASTSVVMSSNVASSGGIVKDKGKVKKVKGKGKDMGPGGRDLRSEYFVNFVRE KKMREAAEKAEKAAASSSHPVPGSSNGKQAAG NEUTE1DRAFT_104676 MDITPMVASAGLLQTSLIWVAYAVAVALVFFVAVITVFTWQTPY DRSKLVTTVAIVSLTALLATVFLLPVDIALVSSTASASRGTKKDWATPERIHGILKTL KIVYYSLYSFDALLCLVVIPFAYFWYEEHDEVLEEEGRETWSTRFWQALKYTIAFIIL VIILFLVGFFVPTAAQDHGRHLDLDYFKRLLTNNNGEKALSFGLGLLMTLGVLLYVLY TGTGLALLPVSLIKSAPAISAPELSAMTAAELEHNRELQRQIEMRNAGRIVAMSQKDR RELDLLLREERTLVRRQRLAAEASGEGQSTIMRIWTKTQAVFRPLKLFGGILLLCLSV ILWISMLITAIDKAANSVCKSHCGYILGHINVFQPVNWVFVKAAKAFPIDYILMAFLI LFLFSSSITGIASVGIRFLWVRIFQLKKGRTAPQALLIATVMQALIILAINYAVVNLL APQYAMYGTQTFCQALSLDPGAPPDCRNHRDMIRPCSESLTDPLAKDVCTPTVMSTFL NRIVLNWPVFGAIDFWAQFAFLTVFLLVFVTSLIRTPRLNLTEIDEEAQADEEEGLLA STSRRFGATWQDITGRAKRTVGGHPNGQGYGTSGTNGTTSSR NEUTE1DRAFT_104678 MRPLLVYTSFSLSQRLRTPRNKSAGHPIHPTPTFPVSFNPSVTV TVTIAHPLVVPIPLPKPLPPPTRPQNFLLPSAYIPPPPPPPNPNTT NEUTE1DRAFT_132532 MASDRPANDLDQSLLDRLNALKSTSVTLDTSSKLGPLNPASTTT NDTAQVKPSLAIGSTPSVSKDDALTERLRVLRNASSTSVPSSASRGSGQASTASPKPE PDTRMKGHDSSQPLPKRGQTPVSRNLNQESSQDEDTKTQIQTQILSSAWGLEEVDDQA LDELLEGLQDDLNLQEDVPAEEEDEEDIDLHAIANNNNYDDDDGIIENDEHKVQKLLS ELAPKPNNNSFADDHDDDDSDGEAMTKETNRVLNQVQDEINLSGRPTDDDNDDDPFSL PSPPSGTRTPTFTTTSPKQEPSLTLPTVPSSTLSSPASSGSCKQVTDDIPSLTARLSH LRSTPIKTDAFGLPSVPTTTLILPADDSSSSFLFPPPPPPASAKYQTKSTKRWVGRNE SYTDEDARRWCKVCLEDATIRCEGCEDDGYCVRCWREMHVGPAAGWEERGTSVV NEUTE1DRAFT_149377 MKIDLAILVSALCANPALALVASTSDLARREPAEPYQIVDRDVD AVSQELWKRRGGGGGGGRGGGGGGSSGGGSSGGGSTGGSSSGSGRGGTGSSGNSGSGS SRSSGVSQPGSSSYKGPSSGSSSQEDFRYYGGASSRRKPNNKPKQRSSGPKTSPTYNG GGATKYGSGPKPAYGGGRYYGGGAVQPYQSGMRSPSGIRPFALGAGIGLLAVWPAVWL YGAYMYPYHNLYTYHNQTSDQDETRNVTCACDPYNVCGCDENTNTTYIDSLIGNGSYS SLNHSLITVATVDGTDYLLINGTLPNGTTASGGTDDDDGTTTSGGTNGGESSAAGLRR LLEHAGWWPVVATVAATVFAV NEUTE1DRAFT_125315 MTRLTTKAFSALKTTIVPNSASRLSPRLTTALFQHQARAINQTI ITPLSHTRSLHQTPSRPLPASEPFKLDRTKRLPEFFSLQDKVILISGGGRGVGLTQAE AVLEAGARVHVVDILPDPSTDPDSPFSAVAKRATEELGSSITYHRVDVRNQQALNEVV QGIAKKEGRMDGLIAAAGIQQETSALEYSREDADKMMSVNVTGVFMTAQAVARVMVEN GWPGSMVLIGSMSGTVANRGLICPAYNASKAAVLQLGRNLASEWGEHGIRVNTLSPGY IVTAMTAGLFEAFPERRTAWPDANMLKRLSYPEEYRGAAIFLLSDASSFMTGADLRID GGHCAW NEUTE1DRAFT_89249 MALTYQQSKLVRDTIPALKEHGERITSSFYKTMLTDHPELNNYF NSVNQKNGRQPRALTAVILGFASNINHLSELVPKFERMCNKHCSLGIQPEHYEVVGKY LIQAFGEVLGPAMTPEVQTAWTKAYWMLAKMLIGREAQLYRDFESWPSWRPFKIDRIV NECDDLYTFYLVPQDGKKLPKFLPGQYVSVQIQVPGGNRQSRQYSLSEAWREDYYRIT IRRDEGTVYSNSVSKSFFNPGIVSNYLIDQTTVGSILQVSHPAGEFFLDVHNTSTVPL VLISAGVGVTPMVSIANTVMESQTSRQIAWIHGCRKHIPFEDHIKTLRKRNSNFQTKI FKTVINSTDRPGETYDYNERMDLAKLKPEELHLQHGGTEYFICGPEQFMINQKLYLMQ QGVSASRIKCEIFTTGDLVTKQG NEUTE1DRAFT_113704 MEKPRRRVRAQHRQRHSETTPDSAQPLFNKDPTRHDNTTTNNYG DSRYGYARRVRIARATSIICVNNGMVYLRTQQRRRREEKKSVNIGGGKHQHWDKEGIG ISTG NEUTE1DRAFT_132537 MGAGSSKVARKLPKNATAASLAAASRSGVAAAPRAPPPRVNGGQ EAPSQSQMDSASSNTYEEAAATSTQDQFHHGQQPPTRTSSSSKTSSFPSPTGSGSPLD AIGDPAFAARLRQLSATVQPNPIHSPSSTVSVHPQPQLQSQHLPPSSSSSSSQFQSHQ FPSRRLSPSQSQSQSQSHAQQQPPQKENIPTLLSPSFPALQSNATLTALDARKVLERK YEEEMDKFGRNDKSFQGRQFLSVQMVKDVFELRKRGASEEEIERRLGLAKGVVRGVEG GGVVRAVAQV NEUTE1DRAFT_118486 MITLVETDCKHVLKRQLFACLWYGTLPAQTAFRSTLGTNASTTL QGRVTEMLESFPTW NEUTE1DRAFT_149383 MESPEFSAGMRNQGPNGGPPKRKRSIMESSPASTGDNDREERDD GSPETKGGRRLPGVKRACNECRQQKLRCDVVQEPFSSCSRCNRLHLECKIESNFKRVG KRSKHAEMEKEIERLRRAVQSAKSQGFVVDEDDDNNTPGNATHSQLHSPVINSHYSHT RNPSLMGSDEAVSSLLHLKRGGSYAMPRIVRELEDFRITEDDEGVLFNDYFHYYHPFL PFLNPHQTPDQYYQQYPLLYWAIVAVASRRYGHDRSFLTKLSSPLTRLLWTTIGEVPQ SYFAVKALCLLCTWPLPTSTSSSDPTHILSGVLMKTATGLGLHRPNHMNDFSRVPIEL NKEGLHDRIKTWAVANIVAQAVGTGYGQPATTLYDWTLATRQGDTSPASLGPELEARL QIERFCDKVSKEMYSNASDPRGVAGDEHRAMLMRVYRRDYNELAASVLSKGVSPVVNL HLKAAGLHLRLAGFFDSNNSPNYLDDLMGLWRATTSFLDFILDGDPSCHDHYSYQYRD QYMLKYASNFIQQMLVAAGFSLLKLLRSFFAKIIDFDRGRELFHRTIQAIRTTSVIQN DLYWRLAELMVQIWNGAGRENPNAYRPDGTDPVVDDSLQLKVRCRHSMSLVFDSIWHW REEYQARGRGTLDALKQPTNPDSANESSASSTHLDSTLAPPSHGIPNLGLAAAASNGS ITPGGGSTTMGGNQQLMGMNGFDTLDVWDPQHWMLDGLLDFNYTFVPPIEGA NEUTE1DRAFT_149384 MANDSRPTQQGFVPPDSHPHHPQTPASNTGLFSPKTPHTYSSTA ASLMHIQEDMYHAYPLSYPRMVLEPVPQYVPLVILILGYSLGAKEACSYELLAFQY NEUTE1DRAFT_125320 MNLVGQKDQSSPFTNSLEDDRLGPASKDHYIATSSICTPFEHLQ FHLDMTNAQYLMPSTHQQPKRLRVHGLTLPDTAACVSGPHTAAYSPPELAPFTVSGIS SFNYPATVDTTLLTPISGSESPPLDQMSSPKMEHYHSSQSAMPLNEVPTPPNTGRLTQ QPHLTVHGSPMHHRMASNGQAPLLTHPNPTQYRPPSTPKIVGFEELRGDPALFLPLYP AHTPTIAPSKRKRQEKSKSSKPGKTSSISKESPHPGYIDGPAANQDEKGVEEELTLHD DAPDDDKFLFQLRKEHISEKGKGMWEEMKAKYSEKHQGNWEKAALQMKVSRAVAKFGV WPEQEIQRLKEAFFQDEEKRYQRLIAHMKEKGGCKVWDWKPQHISAMLIKLGLEDAKV EEKTGIRRRKKLEKRKHTSPALHGGTAYSTPHFQHQNMVAGWSEGSVGLGLRHVFPDN HSQQQPLMNTISTSQQPSHGQSFYELTSDEKFPQLTSEQLYETIDQMYNVAEDEMSSP AFTDVADSDERAGNAATSSAHTSRPPTRDALNVYRKPSTAKLAQQACEQLLQGRQQ NEUTE1DRAFT_70571 MTREPLRPRLRPRSSPWLLALALLAFVVLLPSAGAMRPSRVAEL RREVVDMFYHGFDNYMRIAFPEDELRPVTCAPLTRDAENPGNVEVNDVLGNYSLTLID SLSTLAIFASAPPDDRGTGPKALRDFQDGVAALVEQYGDGRPGPSGQGLRARGFDIDS KVQVFETVIRGVGGLLSAHLFAVGALPINGYEPLGPEHDPLSPPPIHWPNGLTYDGQL LRLALDLGTRILPAFYTKTGMPYPRVNLRHGIPFYKNSPLHGTFSGDHIVDGPPEITE TCSAGAGSLVLEFTVLSRLTGDPRFEQLAKRAFWAVWYRKSHIGLLGAGVDAEQGHWV GPYSVVGAGADSFFEYALKSYILLSGHELPNRTAVEPKPKDNWLDPNTLFVPLTDVEN SPDAFLQAWHHAHAAIKRHLYSDRDHPHYENVNLWTGSLATNWVDSLGAFYAGLLVLA GELEEAIETSLLYTAIWARYAALPERWSITHKDIEGGLGWWPLRPEFIESTYYIYQAT KDPWYLYVGEMVMRDIQRRCTTQCGWAGLQNVLTGERSDRMESFFLGETTQYLYLLFD ESHPLNHLDAGFVFTTEGHPLVLPKAKPRTRSRASGQKELIVYHDPGFTNTCPAPPSV TPLTGSAIAARDDIYHAAKMLDLHLLQPKNFLGLPAEGSKPSGQHTSSRKPITESDQF TPFPWSLPPEMVPQNGTCRKINQPVEMLLEFSSNAQELIGGSAFNYLMGTQNLERLTM DRIRVQTLSGLRLTMRQEEDSDGEWRVSKVNGVLLGRDEFIVMNRAILGEVSDPRFNL VRDPVNVKLVHLHYVDLGQDETHKDKAKGQLHPESVKTDPEVSQEEQEKEIEEQQTET ANTTSEDPASSSLGSYVKSLLANLAASLDDLLDGIPLEAAVTALPSILPSIPSISSIH GGSTKRIKYPLNVFINSTAVTATGIGAAPLPPPPPRPSSPYISSGNNLAHPRPYPPFG PVPRDMIPYTTIYAAGQLCTSSSSDDSIPRTHQILLLRRGGCSFSEKLANIPAFPPSP TSLQLVIVVSDGQDEDASYAAYYSGQTYSSSQGVGGGGLARPLLDQVQKTPGGLVRRN PIPMIMVGGGEMTYETLKRAKGVAVGRRWFLESQGRRVRNVIVDEGDAGGVA NEUTE1DRAFT_55736 MAALRSFPDFPIPGINFIDILPLFQDVNTHEALLVALQLQIELS HQKPDVIVGLDARGFLFGPSLALRMGASFVPVRKQGKMPGPCVTAAYEKEYGTDFFQM QDGAIKPGQKVLIVDDIIATGGSAAAAGELVKKLGGETIGYLFILEIAFLKGREKLGG VPVTTLLETDE NEUTE1DRAFT_125324 MGVFGFFSKPKENALEAHLSEYHRQQRPGPTPHQQRESRRRLGG TSSGGVPTWSNPSSRPPSRSASRLGRPRKACHAHNNSNGSNKGPSTASIAAALAAQQP GPLPNFFSFEQGSESAQGRYQNESTPLLGRFRAMPGGPGRRRESDDQTGLLSAGWRGS VHVGYGALMAAATAEDGEYHDNSSEDEDDSEGDGISDYGFFGAGALYGTTLTRRVMMK KLNRARRRFYYTWVQPKASEIRRVVEHWWSRWFVLVVLPAVLAVAWCAIPFPQYPIPD DDDNDDGRDPTTPLVPGEQPGRKTPGHGAARVQVNFWFFLFVYYSFYNLTALIWITKV FNLYSLNWWPQSLGFPLTVFAIGVISLVAPIPLYLIPELRWLIVHNTAWISWTFVIMA MPVTIAFCILMTNERHLKLRHSLSETQRIFTSSWWAGESGNNSRRESMRRPGLIGAFD TGGAELGFEEGLNRRPSVPVSIRRRWLPASFVRFVWFCLALFIGLLAYVIGEAYAEIY LRTLPHNNLETIVYVYGWVSTVYLLDGLTGWILGGNEGERVGSYPLSFIFKLYFMLTY QTYVRALYARLRSPQQFVMLQVLSSTTLIIVTPMLMSRPVHWFLTITNLSGQSYGSYQ KICIRNVFIRFVAENASMLAFLGSVVILHFGPNKDVYPYFAFDGEPDDTSDFDFDLTF YASSITWACELAAGFIVSGLIRLIYGVNVVTEGKLDFAVWPELLPTSVAVVLHVLQNM LFSIARLQFH NEUTE1DRAFT_54310 MPSTKTVNVSESTPGDSNGTTSPSQIIPSTDRASDLSRIDPAVS LSTSSTEDTPKDSAVATDTSPAMPPLDAENVPLPDDEYDEDMLEVTPPADHEATTPKS DNVELANHEPTDLNTTNGDQDDTHPENINALAISFISSSSAPTSPKTPKRQTESFSDN GDHHESTASSLSSTESCPKTPTKEGSSTYPFVVEDETKSLSLDNLDLTSPNWMENIAN FLEKIEHLEPDTEEILEPSTMGFVEGEEYDGPTIALPDTTEYHPYSNNFQIRLSRYGG FGTFATRDLKMGEVILIEKPLLRTPRDSFYTEFLKLSEEDQAKFMQLYTPPGEYSSQD GSDCNHIRAILKANSFAINPYSNDIISVYNVTSRLNHACRSVANVLFDFDFQDPESIT LTISKPVKAGSELFISYGGSPLSLYERYGFRCCCGGCEGVTDQDIAIMKKTKDIEKYL WSGGLGGSIP NEUTE1DRAFT_132543 MQLPILAVALLVASTSVIAAPAHRQSQLNKQAIPQLSTQEQIQS GNHFSDGLRGFVKRWNLFNNQEVNDQEEHIKHRFTYPINRAPADVRADTDSAAGPPAT APPASAPPASAPPASAPPASAPPSSAPPASPPPVSAPPVSAPPVSVPPVSVPPAPPGT EPPVSPPVPTPTGPIVTGTGGPIAGGNSSAAGVFPNSTSIAPLPTPSNATLTAPLLTS AAPSIPAVTPSATPVAPPVSSSSVAVVVPSITSPIPGISSASAVVVPPSATSNSAAGP VVITPGVGGAASPTTTQTVLITADPPAANGAPGVIVVSITQAAGDPVSVPAPTTAAAT NFITIANSQSFASTTTVIIDALVSQPAGFSVFSGISGFPDNSATAIAPSIATAQAAAP TVVVIDIVPAPSSAAAGGQAATSNGSNGSVANSIAGGGSLAPIIVTTVTAPVILPTAP PTPVIVTVTNSNNAANLPAQTAVASTVTITLGSGGGGGGSGGGGSGSGPETTTVTAAQ APQAPAPSIIFVTVTASPVTANAPSNTGAGAGGSGGSGSSWSWTTTFPGFTWSWTSSG STTGFATAAPSASAPAAPGSGSGSGAAGGGGEVGKGDRTGWPFPSATEVVTAVATSLV AVPSGNPDNEKGGLYLAQ NEUTE1DRAFT_113713 MAVGWSSDGEKVKRAFHSMLLSRGRAKSLEPEPVKVQGPKACPD THETINSVHVRLSANLNGYETGSLSDVGLKAVLDDDNYMFVHAVSGARDDPGNGSSLG DYTRGAALDHGRLLQLRDQSSLLCIVHSTHPLNTPTNHMSGGRVVPPAGMGRGSSKAS CLHGVVILCFLLHPPIKPSRCM NEUTE1DRAFT_149390 MLYLNKRSPSEELASVHNPISPTATSTWHHSLDDQKMTEVVPFP QPQHLYPFGGSSHRLLAPAPEQKSPYFSPDLYREMPVYPVGYQTTSHLPRSPPEARSA SVSSISSSPSHGTPDMSSSRTMSPVTDSNSDIMASPPVLTRTYNPPSSYQHTHHTSQA LPSSLIPAPSQYMHIQVAPPPPMSLYPSMPALSQRTTTYPPSSSYDYHQHMGHPYPSQ QSMHSYQVPIHNYQPVYSYTSAQAYPSHSAGAILPSFAPAPGSSMMSLPHTQRHDKAP IERLLKDKHDIVMMIQSHLDYFDVTKAQMASRWFRDHFDPSKCPEEDKIRCVMFAEIN FKRFWPNNTSSGGRGGDKDDGKHPGSFGCYHCFKVKSPENFELFRWKNDLEDAPESSN NNNNNKTNANDQKNSASSRKQQQQQQQQQQQQQQQQQQQQQNQEGSPKQNPPLSPSTS SHTSTSSFSLTSNPHYDPSVTRSSLAAAAASTKGQTGNTRRGPSSSSSGTYSCSDQSP RIQETWGIRRFCIDCGIKKKFYRPGVLIELHKEKNAVWVCDCWVTHKRPQELDCKVCK KILPLSTPNRRRC NEUTE1DRAFT_104694 MTTSMGVLMYADPESGRSSSNFPLISNLAASWMLEGSPRCEWRQ SFKFLLPDITNGGVAASPLVEPSIAVGQRARTAWRQWIVQTMFPNSRDQASLSIGLPT DRISRFQTVGSWEP NEUTE1DRAFT_89265 MDPWAAGDGVSESGTRRHVPISSIREPSEHLSPRTSHRIDTQQP EERRDMTFASHPDKDEVHEAFTDIDLGPELEKQGVPHRPSQRALGLVILLRYYDNQLV PELGVGVQFDSVLIVMVTIFRLSLMGFVGSCLSQGAWLWVSEISQQRYSHDALLSDFS VFDGSSRGIRGSLRLLWRMKLKHLGCIGALIIILSHWFETFSQQMVLFEQRPRILNRG VDSGNTLDVPMDPAAEFNIFKVAPSNGTSHPITSTNRAYFSVFDMLSLVQSEDQGLSV TGTECALWFCVQSFKLLVYDGTHNETIVGNWSTTERAHVVGGNLHGAGYSFIGLPDYL NADNTTTYSVSNEAITALRSFMADITSGVVRADTNMLDYSSDWVEAMWNATNNLEAWM ESFTRAMTAEFRINGRLSNGLGGTKVYAGSATQLAPFIRVQWWWMFYPGCMLLISFYY FVRTVVISARHGVSAWKGDALPMLFVRVDDNIHAHVGDGMDVPGGLMDRVGHIRIAMY RDEDGQWRFSVPATTSIFAYTPTRRRLAGKPTDPGYSCPTEKLPRRVDAAVNGSTSSF PIEEGARPIRLSQPSLRLPPAEQPTISTGRLQPGQTNPIRESQGLRDAHPELG NEUTE1DRAFT_52511 MRSFVFFSLGIGGVVAHGIPHSFSSSSSSFWVSSNNRGHLTSLD DPTEDDIDIVTGSQFHGLKTFASLPYPSCLTRNTLSCRLSPDDQEPAMGRQELESEVN ALEDSITTRVTAPRFQLTRCNGWLLADEDALHEWATIVDCGDATLTWLDNTVAFKHLD KAHRVISGRPTANDTVATVPRILTLGGDHSTTLSALRSTFQRWGQVSVIHFDSHIDTW SPDVLGGISDYAGLNHGTFLHIAHEEGLIRNTSIHAGTRGPIIMHSDRDNDKRCGFAV ITARDIDKHGSDWIISTIKARVGNSRVYISVDIDVLDPAFAPGTGTAEPGGWSTRELL TIIDGLRGLSVVGADVVEVSPVYDNTGETTVLAAAQVGLSLISLMVARPVSD NEUTE1DRAFT_89270 MASSSHRPFKLPLGPLSRSNNGDHSSESDYPGGRRDSMAALSIS SHVNQEQLAHTLDRIHNTASQSGVLTTFNDFAPPPPPTVPVAEKKGTTGDLVQQGLSG LYSRLKEVVGVAGKPSAQEAESSTNTQDATPKNLKSPIPNSPNNSSTKISVASPPKGD GSSIKSLFDTKSPFDTKSPFDAKSPFEARHAFDGPASLEIPTAVSTGTSPISPTTIPA DLQSPPQTTRPSLGTLPSLKTGNSSAQTIPRTGRTPQSTAASPTVAPFMGKGSLERDS SRGPAKHLDEPSSWGSSRRSISRHGDGSTSPSIAVRTSVDTTTAALARSRMEDAVSID GGINSPMSPSGPIPDVCISSASSRLSETTQVKRRPAIIDRISQSRVHSHSRSSSMEPG KAQPSPISTSAHSTIYHDSFTHNVKPQRLQSGAMKIPGTTTNEGAPEVVNARLEMMKK QVLSKEFWMKDETTKECFACGSPFTAFRRKHHCRTCGCIFCSKCTSTISGQKFGVQGT LRVCKNCLEVINRRYESGSDDSADEPFLPAIFRPNQTKPPPLALARPQADDEVSIAER TEQANDTRSAKTPMMAIPATRRVGDSNRHSAVLEIDMPQLSRPGSSRSLRSLAAGRPQ SSSHRRLHSKHTFLTRFKSSATDDRAPFRKPASEDLGRKSKLSAFHDDNIIDPELAPY MSDESTDDEQMSSIFATMNSNDVPAASLDPDKTSMGAFMNAANRKHRFRHGEKSISGL SYTSRGHEDTGLPTLSLHTRPTRKRNLSTASVTGHPLRSPRPRSGIFSMMLNPGASAD ALSLWDNPGVVEASALSRRDSYFEGRSSAGGLNPISMNHVKKLFRQLLNDAEIPNPAA WEKALIPILDRCADDVDPDVRNGDDMDIRHWIKLKKIPGGKPGDTAYVHGVVFSKNLA LKTMPRKIENPKIVIITFPIEYQRHQEQHFMSLQPVIEQEKEYLRMVVNRILNLEPRV LLVEKNVSGVALQYLSEAEVAVVYNVKPSVIEAVSRIANIPIISSMDMLSLGAHVGTC QSFEVKTFVNKELKGRKKTYIFISGCPKERGCTIALRGGSTEILSRMKRITEFMVYVV YNLKLESCLMRDEFVFVPEELESPPRSTTKLLSNGSQDPSSATPSAQTGKNSPHSVIH HISEHDQSLEPSQATARPVGSINSAEEHSAAALDTSSSIKEETEEELLRAESETHEGQ GAENLPMPTYYGDMVAKYETRILSASPFVKFTQPYLLMKAREQERRLVYLKRLRDQDI VEELGESEKSEPQPRQFQLIKPEMVHELGQKAPRHIMEVLHAVHDAEYDKALYNYQTQ TRQWENYIQGSLDLFDPYSHQSIVILYSVTCNVTQVPCTEPSVVAFEFYKENPDPLSG MYQDCTLGQYIEDVCESADSICHSNGCDRKMFEHYQTYVHENARITVMVEDKPKWPEN FPEKPHERDGWQDGTGICMWNYCKLCNKHFGLMPMSVSTWKYSFAKYLELSFWSRGLR LHPETGCPHDHKKDHVRFFYYLYRDIAVRIHYDPIDLYEIIVPRSRITWKVDHDLELK NQVFLKAEERWNRFINSVKARLKSIRIDSVLPEKTEHCKAEVERMTKKAQEDQAELIQ DLQDAYMGSKYYEVLPMNGVIRQMAERATEWDVAFTKFEADFLSDKDVRQLTIIQLKK MFDPKSGSSGAELGEKPEITHSHVNASEIEVKEKPSQPTEDEGPSPKTHSPVSSATKP IPVVREEAVEEAGSILDGVEHLDLATASSPTLLRKGDPVLEAAKVTVNEPTPIVAPAA PTQQPTSLSKVNEAPHESSLAEMMEPKRREQHVAMPEATTHAAGGEGSMEGYRPIVPE RTSSRKAGLALSPPLTRAISQPVGVIPKLQSAIPKAKEHKMPEPGDLARATGDGSSAK GDKKFLGMKIRPSAIPRYRHRNSKVFTLARHFEELSREFEKERIKDKRERQAKMSFPR AYLPRSTTKAIVEIYEDVDQAVQEPGTAEEGHPGDKDSKDRRPIEGSFPSDQIQGDSA RLSADHGRTSSIEIPSQEHTHQDGNHEAEGDEEQHESEADSDGEGADSDADRSSYTYD DIPTDVRDLAASIEPSEEIPEELPKHQRKSLMTMLTNFWAERSASGWPTLDYPYNSTD HMFTDSNVIVREDEPSSVVAFAMNSTDYHIQLRNIRRNSRRTQQQQQSQRQQKEQQQE PASQDFEESSDSGMLEIKSGGAGPDLSEAELEQSMLRPFGTHLKFQFMEGSGKFTCKI FFAEQFDALRRKCGVGDRIVESLSRCLKWDSKGGKTKSVFLKTLDDRLVMKSLSPVET SAFLGFAPVYFEFMAQALFHELPSVIAKMLGFFQVIIKNPITNTEVKLDLLLMENLFY DRSPTRTFDLKGSMRNRKIQSTGEQNEVLLDENMVEYIWESPLFAREHSKRLLRASVW NDTLFLARQNVMDYSLMIAVEENKKELVVGMIDCIRTWTWDKRLESWIKDRGFAGGGR NRPTVTSPKEYKSRFREAMARYILQAPNCWHHFGSGLGSTGNPSLQAVGHAPRARFAG DVTPSSGRGSVRGSVRGME NEUTE1DRAFT_89273 MQCYTELTPPTAVTHSVTLQLVPGQGTNLAVAKASLLQIFKTKV VSAEIDTYATLNGTNTSSKAATAGRYDSRLVNDDDGFEASFLGGDNIAARADRANSAK LVLVAEVTLPGTITGLARIKKPSGSSSGGADCLLLSFRDARLSLVEWNVERNTLETVS IHYYEKEELVGSPWVAPLHQYPTLLVADPASRCAALKFSERNLAILPFKQPDEDMDMD NWDEELDGPRPKKDLSGAVANGASTIEDTPYSPSFVLRLSKLEASLLHPVHLAFLHEY RDPTIGVLSSTKTASNSLGHKDHFTYMVFTLDLQQRASTTILAVNGLPQDLFRVVALP APVGGALLVGANELIHIDQSGKSNGIAVNPLTKQTTSFSLVDQSDLDLRLEGCAIDVL AAELGEFLLILNDGRLGLITFRIDGRTVSGLSIKMLAPEAGGSVIQSRVTSLSRMGRS TVFVGSEEGDSVLLGWTRRQGQTQKRKSRIQDADLDLDLDDEDLEDDDDDDLYGEEST SPEQAMSAAKAIKSGDLNFRIHDRLLSIAPIQKMTYGQPVTLPDSEEERNSEGVRSDL QLVCAVGRGKASALAIMNLAIQPKIIGRFEFPEARGFWTVCAKKPIPKTLVGDKGPMN NDYDTSGQYHKFMIVAKVDLDGYETSDVYALTAAGFESLTGTEFEPAAGFTVEAGTMG KDSRILQVLKSEVRCYDGDLGLSQIVPMLDEETGAEPRVRTASIADPFLLLIRDDFSV FIAEMSPKLLELEEVEKEDQILTSTKWLAGCLYTDTSGLSADYAARKGTAKESVAEIL VADLGDTTHKSPYLILRHANDDLTLYQPYRLKATAGQPFSKSLFFQKVPNSTFAKAPE EKPVDDDEPHNAQRFLPMRRCSNISGYSTVFLPGSSPSFILKTAKSSPRVLSLQGSGV QAMSSFHTEGCEHGFIYADTNGIARVTQIPTDSSYAELGLSVKKIPVGVDTQSVAYHP PTQAYVVGCNDVEPFELPKDDDYHKEWARENITFKPMVDRGVLKLLSGITWTVIDTVE MEPCETVLCVETLNLEVSESTNERKQLIAVGTALIKGEDLPTRGRVYVFDIADVIPEP GKPETSKKLKLVAKEDIPRGAVTALSEVGTQGLMLVAQGQKCMVRGLKEDGTLLPVAF MDMNCYVTSVKELPGTGLCLMADAFKGVWFTGYTEEPYKMMLFGKSSTRMEVLNADFL PDGKELYIVASDADGHIHILQFDPEHPKSLQGHLLLHRTTFNTGAHHPTSSLLLPAVY PNPSSLSSNSEENSPHILLLASPTGVLATLRPLQENAYRRLSSLAVQLTNGLPHPAGL NPKGYRLPSPSASASMQLPGVDAGIGRNIVDGKILERFLELGTGKRQEMAGRAGYVVG TGAHGVNPAGSGKMMGGGGGLSLAGVRLNGLHGQEGGMEWEEVRGELGVVLGWSGLGY F NEUTE1DRAFT_118494 MAINLNEDKPQAQAMSYPAEHGQQLKAMFTSPNIYRLSGKTLHF PIAHSGSGVGKSQQYEPS NEUTE1DRAFT_118495 MDANLTVVLPDDAACISLAALTNSGDPTHHLRYLPTASPADGGN ETPLNQPIFDCFAPWIPWQGSRCWRFIDVCLSPP NEUTE1DRAFT_104703 MAVVAAKGGQRASKRGPGLARSDQAKSESSSGPHDATVTVSLIP VVRGRQQFESGCKSVARATGVGDGMDPEGDRCWAAAGD NEUTE1DRAFT_149397 MQPQLPAASSIHKLKHRHIPRSSTTLLSPAMSSQDTRSMSQRLR PRRPSTFLTLAPIISGAEQKPKVASADEAALESPTESVKQVEADSAEAIKRRASSVSS QSSTTGGFRFLRNH NEUTE1DRAFT_125336 MGIDTSRRNPSPRPLSDNERARLEEFIDSIHYSTRYSDSEYEYR HVQLPKAMLKAIPSDYHDKSKGTLKLLWEEEWRAMGITQSLGWEHYEVHEPEPHILLF KRPLNYQPPQC NEUTE1DRAFT_70596 MDNKRKASISNGAHIQDGDDRAAKRTKKEPGPYTDYDLNQEETH ESTTAYGLHFLEMIRRTKDKSGRTVADCFEDLIPREGNEDYYRRIKLPISLKTIERKL HNQDFANLSELEGYFKRMVMNAKEYYNKGSDIFEDAERVRKALSNYMTKTNPAYKRET GYSCTAAPIPGEEDDEESKNASQNGTKRHSSNSSTNGSVKSVRKRESPSVQDTQGEDD VEGEDDDHDDGRDESGDEDNENPRRIVIKRRESGRPAARSTASPVPTFKPGRGGRKKA DHEYENVPYKSLNFQQAQEKIVEELIRKPDGSDPYFLDFINLPPRSFKDYFAVITEPL SLKGLQKLVKGIYGRASPTGVSEFKSWAAFEEKASLLWNNAHYYNEEGSVIYDLATEL KSCFERELDQAKAVVQEPPQPPKIKLKVAPGSETPSVSGPKKITIHVGGSRGSAAASP APPTGQSIDSNYSHGAVNGNRVVPSAVPIIPQDKVRSLSTSVVPSPAVTQAKLEGGVQ QSPVPLPPQAGMNGVAVGPGTMTPAINSQQPGSAVLQNGHVPVVAPPPPPPIWDKTHR APGRGLADALIHSILIRTHPSIPLERRFRLEVPAHPRLAQQNLTVHLPTNHSRLQLIP RLSPILEQQQRQYRLFITINGSMVGRATPLPIPDDPLPVNAIVFDLPLQPGTTVISVT MIAALPKGQKLPSGAECELERISVNAFLPRV NEUTE1DRAFT_104708 MHPQGAHFAPTGLYSPGGFKPPSFGVVPKARSDVRQPTRISSTH VVVEPISTKERPWVPSDGLYQEINKQAMHERKLAIIGVDDRLLKPLPLKKHPFHTLDY IPFARSSLEAMAAIEDGLKGVAKLLKLDGIGVDLSPVVPRQVLSIRESGWRPTQLGFE HIRWPTSEEHKDVEFQSDWYEILWYDIMKRVYRWAEKYFDEQQDVKVSAKSVKDWTNL WKQAGVSDHFVHYASLVARQDNNHPAGWDVLLAKGRYRKFLMVGVLVRLLQEQVFDEL LFGADKGTKRMLDAQDECYIELDGHFRTEMRCRSVRAALDDSILTPGFWPEVDKLAIQ TTALFSPLLRFMDVEFEKRTDPLEFHQDIHDIIAESGYFNIAMRLSRDVFRFNWPLPG DGWSLEVENVDDIPFEISRAWADKHEQDAQKRYHAGLEADRRQKKRRKELGLDGRTTR TTAAMARGTLRLALDHASTKLKSGLAATGVRLVRLRPGFAAPTVDDPPPASEPDYSRS SDPNRPPELYLPSRLAKTQVAIFPAIQRYMNLETFRREPVKKNPSFSRSFSFHTNDIM ASIESHPTYEYYFDKLIPRNPPKKEDSSDEGYTFPTRRRKHLVNPFDRQEDAQAIHTI AKGNAIYYSGVADESHLSALRRNANDPSVGPEKYYIGYPGYLPEHVPTLREWTNLPLT SRHSHSRHSLNNLTSSSRTSSPFFSYFVPSNKILILTRRLLFTTLIYSLLRFWLVPLL LPSFLPFFLALESTALHVFNQAKDGLTTLLTPLVDAVRPLLGHLLHTLTILRNLALAV LKPVLAPVGDLVKKLVLPPLASALRAVGYVYSQLVERGPVGWVWTRLKGAVGWWGDWV KLVDEAVRGGLARVDAWLGGATGATVLPEAWRRSGAGGRGSEGGSLTTTVTTATTATT VTTTMTGAAAAGGHGGGGGGGGNWNPFARRIESGLKTEKTTYTVTTNGGGEVFTVTEK RTAGGGGGLTSGRRTSTVSTVTTGDGTFTLAGAAPPPPLTP NEUTE1DRAFT_70599 MSEQVDLTTIPISPEGGNTNGDAAAAKDDKTVTVFHDKEHFNVK HPLSNRWTLWFTKPSSGKGDNWNDLLKEVITFETVEEFWGVYNNIAPVSELALKSDYH LFKEGVRPEWEDPQNKHGGKWSYQFKEKRNVNIDEIWLHTMLAAIGETLEDEEDGEVM GVVVNVRKAFFRVGVWTRTIGKHIPGRGDGDISGGKGRSPEKGKEILMAIGRRFKEVL QLPPNEALEFSGHTESAHSGSSRARAKMTV NEUTE1DRAFT_132552 MADEVYDGAIGIDLGTTYSCVAIYEGTNVEIIANEQGSFTTPSF VSFTPEERLIGEAAKNQAAMNPKNTVFDVKRLIGRRIDDPTVKKDQESWPFKVVDDGA GNPKVEVDYLNGVHTFSPQEISAMVLTKMKEIAEVKLGKKVEKAVITVPAYFNDNQRQ ATKDAGAIAGLNVLRIINEPTAAAIAYGLGANKSNKERNVLIYDLGGGTFDVSLLNIQ GGVFTVKATAGDTHLGGQDFDTNLLDYCKKEFTRKTKKDLSGDARALRRLRTACERAK RTLSSGAQTTIEIDSLFDGEDFNINVTRARFEDLNAKAFSGTLEPVAQVLKDASIEKS AVDEIVLVGGSTRIPKVQKLLSEFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSA ETSDLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVADNQQTVQFPVYQ GERVNCEDNTSLGEFTLAPIPPMKAGEPVLEVVFEVDVNGILKVTATEKTSGRSANIT ISNSVGKLSSSEIEKMVEEAEKFKSNDEAFSKRFEAKQQLESYISRVEEIVSDPTLSL KLKRGQKEKIEQSLSEAMSQLEIEDSSAEDLKKKELALKRLVTKAMSSR NEUTE1DRAFT_132553 MKPASRLFYLSLFALWSPEAQCKSDESCAISPKAIVSDACASYS TLEQLNRDIKPALEDLTRTTDFFSHYRLNLFNKECPFWNDENGMCGNIACAVETLDNE EDIPEVWRAKELGKLEGPRAKHPGKSVQKEEPKRPLQGKLGEDVGESCVVEYDDECDD RDYCVPDDEGVSSKGDYVSLLRNPERFTGYAGDGAKQVWDAIYRENCFQKSSFPKSAS LGDTYSVPKNPAAQDFRAVMQAAGRQHILEQQREQNPLVPFVTKTGLESEDECLEKRV FYKIVSGMHASISTHLCWDFLNQTTGQWQPNLSCYINRLHKFPERISNLYFNYALLTR AVAKLGPYLSSHEEYTFCTGDPAQDADTRAKVLAVTSKAANTVPGPVFDESIMFKNGE GPSLKEDFRNRFRNISRLMDCVGCDKCRLWGKLQTAGYGTALKVLFEFANNDTSATTS KTDEIPFKLKRTELVALFNTYARLSSSLDAIQKFRAMVEESEEGQQSQSHEQIEGSEN SGAHHIPDRAKKPRHVIVSTPVAADDAEASVTNTATTAVDEAKAAGVTPAPKVEHQQQ VDNNNNNNNNDDDDEFHEFQRQPQQPDTDPNFVYKKRTLKDDFENEFRAVLAAFKLVI KSYFNLPALIYEITITELKRFWQFYVGLPVMPRTWEFRRPSLDEL NEUTE1DRAFT_104714 MESSGRHCRSFGAYGRARSCRQVDFTLQNSRRDTEMNEPKPDLS SPLLTQSASYLLSNLGHRAARYVPVMKRPLVDYESGSGSESECGSSSDRPESSSTDIP PQLKRRRNGDIGTRAPPSAPALAPAPTPIAPLDRSSGTHTIPPKPAPKSKSSLPPISD RFNDLYASNTRAAVSDDPSLHQGRQRQVPHIPGNWPSHVYIDWDPSSADRELLSSLVD KLQAEVAAAAQRYPDLEGVKISTALRDPDLPVDKPLHISLSAPITLTSKNKDAFLDDV TRALRSSGVSPFVVDFSGGVDWYRSEESTRSFLVLRVREVQNTGTTADSSPNPRLTTL IQRCNKTVKEYGQPPIYDSQDMGYRFHVTIAWTHARPSESLKQLTDSIFDDCKTMYSE NMSIREKLRTGSSFRVETVKVKIGNHVTRFELPDKGLALPVKTT NEUTE1DRAFT_104715 MVAAQLSTPRHPRLELKSGRDDGTYNDYDDQVYDKHCHGSMEES PPPDQR NEUTE1DRAFT_89292 MAHQTGRPRASSLKERYPGDMSHRPLAMLERDYRAADRTPNLRN PRRQQPIDIIDTLDHTGPGRGFMYHHDGPYDATLASRNRNKKYSPVEAVKDSNMEALR ATPHEYVQDSLIKHVPLQGTAVIPPGMRDLAGRTMDYQEGTDMMREGATNGNASAYKR WAGYQYHPDDLKGKGEPSYTIEKQLKDHKATPSRLGARSYSYGNYYASKEGESMLEMQ PRSPHYLDVPGSSSSSAGLMGYQQKEGNVAKVRQRSVSNATTTTGTGYGGGGGGYYSN DYEGGESSNGGGLRRSNTTGKTIAQSLKRRLGSLKRK NEUTE1DRAFT_70608 MSELKSPPPGPPPSAPAPAPAPSPAPAVAAAPTVPPPTLPANNT FALAQQRLIARRQARETENAARLAAQRSESQLRSRIAASQSPLIRRLGSSTLSFWDAI SSREGTRPAFRVGQVDAELLDAELVDLLRTQVGDALKYIGGGVSSTLKDEWDAEILLI LRAILFKLTIWDHDATYGAALQNLRYTDARKSGPVLQPPSKLQKSLYGFVTVGGKYLW TKWETYLLDHDEASSYSIEGPNPNIQRLSRLTESIGTFHAFASFASFLVFLLHGRYRT ILDRLLRMRLAPPTSQVSREVSFEYLNRQLVWHAFTEFLLFVLPLVGINRWRRWLART WRRTRSMLTTSSTNDPTQNKGGEFAFLPERTCAICYQDQNSAKSEAELMAAASSSSGV VGSAQTDITNPYEAMPCGCVYCFVCVATRIEREEGEGWTCLRCGELVKECKPWNGDVL SPAEAHAAAANQGGRNVAFAAGVKERPNLNRLSTESSGRRSSSNRVSFDDEVRERRRV SFEDEIRPGEDAASDDGPVRVPERREGQKQSQTARAVGTGVTEGETSGTKADEEEEGE DEEEEGEDEENQGEEEEEEESQSSGDLQTSSDAEDEEDNGEEEEEEEEEGSESGSDSS DSEDYEAEEEELGEDLAF NEUTE1DRAFT_55615 MTPWKLPSAAGRSVGILGAGVLGRRIGACWASAGYQVHIRDPDP RQTNAALQYITSELWRYKPIVDPNTISVHGFQNMEPAVEDSWLVIECVPERLDMKIDL FAELENITQPDAILASNSSSYKSREMTAMVKPETAQRMLNTHYMVPPTIRIVELMTSG STRPEIFPFLLKHFRASGMMPVIAHKESTGFILNRVWAAIKRECLMVLAEGVASPQEL DAVWTEMFIENGSPPCTLMDSVGLDTVSLIEKHYIQERGLQDRGVLSFLQKYIDEGRL GAKSSKGGLYPPNHTVKTANQEQASDDNLHVPSLYFLDIGWNNDPDDVGFYRKGRILV GSADGRSPLKVIAHHLPMPDGIALSQKAGKIFWTNMGTPGQNDGSIMSCNLDGTGARV IIPPGAVHTPKQLSVDQENEVLYFSDREGMRVFRCGVDGSNLQTLVRAGDWRKGIDDP TLWCVGITVSAREGKFYWTQKGPPRGAKGRIYRASIEMPQGFGASNRPDVEVVFDHLP EPVDLAMDEEGSALYWTDRGELPNGNTLNRAALNPDGSFSKHQVLARNLHEAIGLAID QQNRYIYATDLGGAVYRFNMDGSGKQTIYEDQGAFAGIALLEQVVPSSSFFAIEVPGE AAPLNLLELARTLEYAALSTDHTQRQSAGQQLQSWESRPDYYVSLQTVFLDKSINNSV RFLAVILLKNGIDKYWRHTAKHAIQPAEKQFIRSRLLQGSVGEEDKTLALHNALVIAK IVRIDYPNDWPDVIPSIINVTRSARTESALALSGALQVLLRVVKELATARLRRSQTAL QAVTPELVQLLGEIYTERTAAWQQFFARGGTGDEDEADYYMQNSLTALKILRRLVTVG YEHPHTDPMVQGFWSLSQSQFDQFLTGVSSESHVPAPFQDSVGKHLIQFTKLHIDMCD SHPASFPLLPNSIPLVKAYWNLVKQFSNEFEKSGGIRQTGSENHDGSAKHEGPLSEKL ALKGLLLLRSCVSIAHRPMQTFKYKSPEVKNQEREAMELVKDQLLTNNFLLDIVQVTI SRLFIFRQSDLEAWEEDPEGWEAAERNEGQAYEWAVRPCAERLLIDLLTHYKELGRPL LTYCEFATKVDMDIVTKEAAYCALGCAAAVIHEAFDFDRFLKTTLVKDAQIQDSMSKL LRRRIAILLNQWISIKIAEESRPAVYEIFRHLMNPDDPHNDQVVRTTAAREFKGIVDD FGFQGEQFLPFAPDVFNQLMGLLQEVESDETKLTVLDTIRAIVQRMETHITQFGDAIM LTLPKLWESAEKEEYMIKQSILAIMSALVDSMRGDSQRYQPAIIPLLREAMEPESALH LHLIEESVALWKSVTTQSYPPLHPDLVQMVELALPLLEYDSEVANQCLEVVKNYILLA PREILDDRLRRPTLAALVKTLDARSREQSQTGARSIELILRIAENIGGVQGLQVVVQD MLEIGLLNTIFEGLHSAWEASTTHGPNKKVSQINTIKQTDYFMLLARIALGDPTVFLT MLAGIATTAGSTAEQVWEWLGTLWFNNFDCMAEVERQKLSMLALTRLWELPDPMVQEK IVLARLQDFLAMWTSVVTELAASEDDQSLTEQQDFRQSINSTSKEQLAGGSTNPRDYL VWDPNNLPSYEWDTPLDVTERQFALKDPVHRVEAYEFARERLQGLVQRMGGEQQFEAE WAVNVDKDVLEGFRRLGEGR NEUTE1DRAFT_132557 MGDPKPNTQQPQQRMTRARVASSAVTKNARAMTAAARARSATTS TTSATSATTAPSRATAPTASTSLKRKTRTDDDEDDNDHHAKHRPAPAPVTRPVRLPAA ALATTRATRARARNPANGAAKTSRPASSSLASRPASSASTRPPVITARGRPPTKAQEP ASKATRATRSAKPGDDQISSTATSRNTSTTRTRPTSSTATAPTAASKALAKPAIKKTV KFEEPEKENIGPPGGRKKKTGPIAHTGGLRAKPVRTRTGTAVKGSTSTARRGVANKGA TNKPVSRSGQSATPGDAKESIKVEGMKHKPLPLSPKKVNQLVAAAKLADDSEDELAIH ENSPALLQPLLTGRAPIKPPASTTSKKALEKQPEQDPPKDFEAPPALISTTNPESADH NKDASAEPSVLKPHFLPAEHLSMLASPARRPPPSPWKNSIKTPAKRVEGLFAGVPLLA NRPDGQPVAQPAKSGPSLLASPAKRPPGNATVPNGGGVLAGPATISTNTGMMNPPSLF ASPAKRVPMSAVKPQSVTEELAAEAKPSLLASPPVKFGTARRKSQRLEEMAREQEHKE QETDAKDEEHNDQAEAGEEMANEQQIHEQEQEDEEMDSADEEDDDGPSGELGERTMQI LTSSTKAARLLFTGRRRSLRHIEKEAEAAVQDEPETPPEDEHGQEGTQQEEGQEEVQE EVQEEVQEEVQEEESEVEQEKLEEEHEEEHEAEQDQDHEMADQEEEQSAEPEVIEPDD EEDSMELDEVELGNESVEQIVIPETPQPENDDQSYLDIDQSISTTPPESPPQHLLNGH SFGSLRQDVLNPTEENEDGTPAQPPRGSKSNPHPAATPSVNSGHRTPSGRSTTKRMRF DNSSSGLGFTPLAEQLSGWKGGVTPAPPKVKLLKPTATPSKAAANDNAKLGFTPLAEK LSAWHGGVTPAPPKTQAPLKTPASAMNETNLSLGFTPLAKQLSGWNGGVTPAKSNTAA PLEGRFGKRRSSRRLQQVDEQEETLFDVAAMKEAGIFGTSVARQQQQQKSKESSPEKT IDQPSERADAETPADVETLVQQQQEDKNMEVAQEKEQVVEMEQREEVQVDIDSADVHE THVDEDAHKAAEAVKGEEEQEEGDSAETAMADADQAEVDPDAMVDGDDESPILEDIPI TTEDVELAAEAEALARISDVMDTTDGADKDGSQHSISDSLSEASQEYGDENAVPAVAE FTTRPLNVNLFTPADTTNRVTRRASAALAHPTTPVRPQAALREVHTVSKVPLKSAEGA DPAPPRSLRPRKSGRSSLSRVSFADTADVIIEERQEDMETVEEEAEEHEQEQENEPVD VHIQQTPAKTTPAKQTPAQQTTPFKTPLKQTPPQVVAGQNNAPVPSPPKGHEMTTDES GRQRRRSRRISSMGAGASLAEIPEDEAEFGSPIAEEPEVEDEEDDFVPSEPVTPAKSE VEWSAMDTPARTPHKDLNPKLLRGAVVYCDVHTAEGADASGLFIELLGQMGARCVKNW SWNPTTGASGDEPVSGPGQKIGITHVVFKDGSKRTLEKVKESQGVVQCVGVSWVLDCE RENKWLDEADYLIDLSFIPRGGARRRKSMEPKALANLNGTLVPSGSGSSSSATITTKT TTTTTTTTSSFSSSTTTRQTQQQHPTTPGNTTNTGRSKRRSSSLWIRTPPSPDASSSS PGGDNNNRRVSDSILAMSTSTTTRPQEEEEEEEEREWSTFSPIPKTPAPETIARLAAN LSPASSTTTTTDYPGGGGDIDIDIDGGSFLIPADEAGHEAKFLREAMPERDIQGFHER GAMTCPPKPSLSYAVPSTIGSSTTAGNGRRSLFSVSVGSGSAPGFLSQDEMDVDSNSN NNNNNNNGEGPTSAAAAAAAAPLSTMAGTMGLLRGGRDNNNNNTRERNLGVMMRLNAA RRKSLQFAPKVGSPLARSWTGNNNNNNNNNNNGGGGSVGGVGGTAK NEUTE1DRAFT_125348 MSIDLNWDTVTGGPDGQELAHKIRDFIHEKFQAVPLPRFIKSVT VHDFEFGSIPPEIELKDITDPLPDFYEEQPGIDSSEESDSEEEVAYENEGEYLDDPVE QQYGRLRGASASESRRRLTVNSSTGSRNGSGPNSGRVAYLPPHLNPHYSGGSGNNSSQ SLDRDGRYYRDPNTAGLGGPAHGTNHHHADLGSPFLGVSTPGIPGGTSNLNLHYFTSQ FTAGLSGTQTPLAAVAGAAHQRGPSWIADQQQQQQNNILPGAGGGGAGGMGGPVAAAA AAAATTQGLHSSSTPNLRLHFPGVGTGKNAPGPSPLTGTSTPLGTLGTAGGVGGIGRG MGMAGMGSMASMGYPPTAPVLAIPTGPRHKRNPSSQSLNSVGDYSPVAPAPAERQGLF SAAAASPPSSTPSPAVGLGIGGRGIGAAGAGAGALATSGPRLQIPKQGLREKHSVSTL APNSAGTSNNRAGSAILDDDDGFLDGMHDHRDHPAQQLEPEEDEEEEEEGEEERQRFR EPRVEDIQAVFRIKYAGDVKLLLTADILLDYPMPSFVGIPVRLSITGLTFDGVGVVAN IRKRVHFCFLSPEDAVAAVGGEENKAAGSGNGSGIGGSDGAKTKMGGLLQEIRVESEI GQRESGKQSLKNVGKVERFVLEQVRRIFEEEFVYPSFWTFLV NEUTE1DRAFT_89302 MISRQPYAPTPHSYVPNTTLSATINLDEEVKLANTRAERDLQDS LAEIFSIIVTLDELEKAFLKDAIPEADYTEICERSLKQYKSLVADETVAKAFVGLEEF KAKWDLEVPRATERIRVGMPSTAVNASSGPAPATVAASGGTSGTLILEATQDFITFLD ALRLGLLAKDQLHPLLTDVIQSVNKVTDRDFDNRGKIVQWLITLNQMKATEELSEEQA RELELDINSAYQGFKSTLT NEUTE1DRAFT_10784 KNVKQTKKFEKKHLKGVLERRKVVQKIKQKEQIKQKKQAKKSQD DAFIKGPDGGKKPVHVKKNLGTAAAGEMTVDDFFQGGFEILDKKTKDASGKLGKRKRD QEESDAEEEPSADEGSDVDFSDDDISHDEVSDDDEEDDEVVDGAAPTGMTKEAMASLA EKDPEFYKFLKENDPEALEFDENADFSEIDELSGSEGEGEEPKKKKQKKDTKAADEEN GFELTQKMIAKWKAQIEETKSLRAARQVVIAFRCAAHLNEDDDEKPQRYTINNPDAFN DILVLALKHIPEVLAHHVPVKESASGKAYVNTDTKKFKILSQLVKNYAASIIHLLGTL SDEPTLKLTISALEPLLPYLLSFRKLLKVLIKTVVAFWSQSASTEATRITAFLVARRL MVISDKGVRETVLKAVYQGLVQGCRLTNVNTVAGINIMKNSAAELWGLDQTLGYTTAF GFIRQLAIHLRNSIVHNKNNAFRNVYNWQYVHSLDFWSCVLSEHCSPLKEAEAGKESQ LKLLIYPLVQVTLGAMRLIPTALYFPLRFQLIRSLLRLSRATDTYIPLASALLEVLNS AEMKKPPKSSTLKPLDFATAYKAPKSYLRTRVYQDGLGEQVVELLSEYFVLWSRNIAF PEFSLPVVVALKRWLKDSRKKSTGNNNGKIGTQLALLVQKIESNAKFIEDKRNRVDFA PNDRTQVEAFLRDFEWEKTPLGAFVVSQRKLRAEKRKMMEEARKEDEKKRKEEEDEER LGKQAEDEEDSDEEDHDDLAEEDDEEDEE NEUTE1DRAFT_70626 MAPLASEPRQVRVILDLPKRKALYTLVVETTDWMQSQLILKNPN QNPNQTSTSRQQSRSSGPSLLNNLDNDDTGQTGPPLPSRPNAGPRSNSNFSGSDKIGP LRAAALSHFDTWRSSLLHSLKDLLSPADSTQEIDARRQRSDRLAKQRLESPAPGENLI DFGDLLGPRQREVAALQSRYHAIPTRLSAVSQEDREEVVVCLLLMLLSSGKYTAESRT FLVYLCSALELPLSFLNSQEAEVATSLVESSTSPEAREQQMSAAKEAEERKKANQVGR FWKVGLASVAGAAIIGVTGGLAAPAVAGVIGGLMGSVGLGGVASFLGVFWMNGALVGT LFGALGAQMTGEIVDQYAREVEDFAFLPLSGGTNQERLRLTIGINGWLTESLSSLTAP WLALDPSSSNLFALRYEVAAMLNLGNAMKAMVTSAAWTYVKVELLKRTVLATLWSALW PISLLSMASALDNPFSLAKNRSEKAGKILADALINRVQGERPVTLIGYSLGARVIYSC LRTLAERRAFGLVDEVILIGAPVPSDRDAWETMRSVVSGKLWNVCSSNDYLLGFIYRT SSIQLGVAGLQEIKSIEGVENLDLTGTVKGHMRYPDILGKILEKCGVEIKEGFGGEIE AEQDLTREEEIILADMEAQGKLPALVTKKGNQEGGLVDFGEDEHQSHHDPPPKYAVMD PYASSSRPSMEQQQEQTPPLPPRPQPQRTTMAKPTSSLQASSNNPLGYSSPSSSSKPV DDDLSRQFLSGPPSTSTSFALSDLASLSLSPQQ NEUTE1DRAFT_118503 MAARLAFQRRAARATAAALVGSFALVPTVAFAEASESRASAKKP IYDDDFDVVPSTPSFTSAETTKDVSSPAAATLNAPVTTKSSTRSGERTFTDSLIDVLA STNTPRGPTPTDRLAAQIRRARLFLYKQACVAEDAVNAGMSRAFDLEHSFTSTVAGLA PPRESGERLMPGLIYVLVSGMAGSIVARNRNVVLRGATPLAFGLGAAWVVLPVTMNNV SSLVWKYEQKFPAVADAHIRTREGIEKGIYMAKVHAEIAQHKVDEAVTSVRETVEGWV RKGK NEUTE1DRAFT_118504 MYRRWVRSVSGCGWRGDHNYQCVVYEASMCRDCFVVNARADLKV GMGWWYNW NEUTE1DRAFT_149413 MIQPVIKASRPRLWVCSDCLLRRTLSPLLRQQRRRFTGFTAHAP KTLTGAIPVTHKNADTKHDDSLLRSIFDSPETWKQFSGDKHGRNVGLFRNAYLTSPHG FLDFAQVSLGKARALVDKVLNAQSLDEYRAIVRHLDRLSDILCRVLDMADFVRVTHPD QQIQRTASMAWDMMYEYMNQLNTMTGLYDQLVKAMDNPHVSTTWSEEERMVAEVLKLD FAKSAVHFPKDARDKFVHLSSAISQTGTNFIQHMEPKIPYTTVEKSRMMGMDPVEVKR MASMGKVYVQTLSPQASIALRTVRDDHARHQLFMASRTASRRTVHTLEELMLLRGESA KLSGFESYGHLVLHDRMMASTPESVRQFLQALSENTRPQAQQEVADLTAAKRAHKGDD ATLEPWDKDFYAESIRQAIKSRQKREDLSSYFSLGTVMQGLSRIFTRLYGIRFVPREP MPGETWHPDVRRLDVVSDVEGHVAVLYCDLFYRPLKSPNPAHFTLRCSRELSPHEIAE TAHTQTENPHVLIPSFESAEFAANDGMAYSRSQDGAIKQLPTIALVCDFPQQSHNRPA LLSFFQLETLFHEMGHAIHSILARTSFQNVSGTRCATDLAELPSTLMEYFAADPSVLA LFARHYETDNPLPYEWVDNKIREARRFEALDTENQIILAMLDQELHSSKALQGHIDST EIFHSLQRQYSTAPPDPQGTAWQGFFGHLVGYGSTYYSYLFDRVLAQRVWNVVFNSGQ GGAALQRENGERLKENLLKWGGSKDPWKCLAGALKDERLEGGGEKAMKLVGSWGGQRG TKSDQAV NEUTE1DRAFT_52512 MAGPSSEKTSSQETPLAPPPSLPPEKPSSAHQPKSEPLKVKCVK CGSLDALPVRTLSRLTKGAENGRREMLMIIFDGTCVRDIPLCSGCFMHAKGCFAFRSR LHMVKRLTWSTKTNEDAIQVEECFRCFDTEFARHVQRLKDADELINYVRGLEKPSTGK LPVPDSLERLLKERSPQRSCPTPPKDYPVQIPDLLALKKASESYVAALGRTGEASEQR RRITQLQNGLLDDFMRLPPIRYSQGQAATNAAVSDPTPIYCGICEWCRTILARPASPG LTFSDWEFV NEUTE1DRAFT_89316 MPLLSCSVSSASSSTTIAAGTDGYKYIGCYNETTAALSGTGGSG TRALYGGINEVLPGVMTVEKCLGFCKGGMENKGYRFAGLEYSRECWCASQLFSLSSKV ADAECNLPCDGDESQVCGGNLRISVYDLEGDVDSVAAGGKSTRLVLELVLVVGGTVFA RLLW NEUTE1DRAFT_149414 MSEIDPLVLAYSHLHHLPQPSSALHLLKKIASLVKPLMRARGWR VRELGEFYPEQDNLLGLNINRGAKILLRLRYPSDKSLFLPIEQVADTMLHELAHIVHG PHDGKFHALWNQLRDEHEGLAMKGYTGEGFLSEGRRLGGASSNRGQIPMHEARRLARE QAEKRKVQTTPSAGSGQRLGGTRPRPGEDIRRVIVDAVERRNKTLKGCGVKDTGDEGL AEQEIRRIEEQATRNGFRSKAEEDEANEAAIAQALWELVQEDEKKKFGSGYVEPSREF PAGSQGGFLQNKEKGGGLATSSSSAKPPPIPYHTKPTTRPTVSSSSPPAPLPAEPFNE PSTGWVCNICTLHNPDTFLCCDACGTERPPQASTTAAPDPRKQTPGTKSNSSSRSAGK RPSSSVVDLTKSPPCIRTRPSLSSHGSRSHSNSRTVSGSGPSKDKDKAKSILQAQAEA EAARRKLLHETWQCSFCGQRMEKQWWTCSTCGKMKDSSK NEUTE1DRAFT_70639 MKFPIVAVAASVLSTAGLVAGDPHVIQVRAEDLQVRKHGGHSFK ISQIFNQKYQHKVKGKGIRDVAKVYTKFNMKFPDHLREALVRVFGDLGVKIPGNLQAL NDGSFSAGSQGEVDATPVQFDVQYLAPVQIGSPPQTVMMNFDTGSSDLWVFSSETPAK QRNGQKIYNMTQSTTAELVDGHVWRISYGDGSSSSGNVYTDKVSIGGVEVDKQAVEIA TDVSDSFTNDAASSGLVGLAFDSINQVKPRKQKTFFGNAIDDLAMPLFSANLNKAEPG NYNFGFLDQEEFVGPISFVDVNATRGFWEFEASGYTLPASNFTEFNNKTGVFQSLTHT AIADTGTTLLMLPQPIVEDYYGQVAKARSDNAYGGYVFPCNADLPDLILHIGSYKARL TGDLIKYAPADTDDFATAKWCYGGLQSAQGFPFSIYGDIFFKAQFVVFQGGVIDKDDW SKGAKLGFAAKPGTQLPQETETPAVPDVLPINNATSPVGSGHRRTGGGSCRARVK NEUTE1DRAFT_149416 MLRRPLTVLNITAEDIASYEDRALERQKAREMAARTQARQDAMR RGHGHSHGHAQPLQQQQQQQQQQQQPSAGARIFGREHPGVGNVGASGGLGFGTGGGGG GGARISEQYMGMGNDEEESEEEEEEDDAGDESYYAQRHAQRQARMMEQQREAAQRQAA QRLAQQQQQQQQQQQSQVQVEERTTATAQTSTTTTAGRRGDTTGTRAPPATTAAAGGR QPRGHQSRAAVTPTGPTTTVTAVETPSAMPGGGGGGGGRGRRGLRGGTTTTTGTRAGQ QQTPAATGQHDGAQEEEARDETEGEEEETQRLDQRGGGYGGYAGEMDLDINTHIPFIS SSDDNNNMEGMEMLLPPGYHLHGGYGLQVESHEIQFHTPPVAAQSGNQVIATPPPPPP LAVNQPHQHQQQQRAPHPQASSQSQHSHQTTHHNHNQQPQPQPPHQNTRRRLSQSQNI PPPIPPTPVPRTTTTTTTPAQRVAAQQAHQRAAAQQASALRAQRRRMAMEADEQEGLY ARLTREHPSLSVSSTTTAVPAAQGSSGVVTTPEGQVGNAGGGAAAAGGARTAAAQGRR RG NEUTE1DRAFT_89323 MPFITPGQLAFSAMQFLPVPILVLDSLKTVVLANESMGRLLGMP SDATGEGDDLSSTMDQLRGQTLSQVGIDLIQDGMPVWIAWEHFLDQIALEMGVGNSGG KEILKSVLQTDPDGDATPRQAELPPSASTSSPRSRSTSAQPGDGVCDGDAGNTTCRPS PNAVVDVVIVKRDIARSTYHTRARSESSTFHAQAKMIISVWQLSPQQTFFTLTFTNTD SKYVPPVGAKKLAGRRDVLDAAEKKYPYSINDPPSLTSSRDSRSRSPSFPLSPSAVAM SSSPFPPLGPPSHSSITSAPSMLQKITVMKDALLDKTQTPIIAMWKDGSVILPNKPAR RLSYPDPVQGAPHEGFNLLSQWRMWTDDFSRQLETDEYPISLMIKSETPFESFRVGML GPDGERLIFDVEGAVIRDEITGELLAGVITCRDITRIEHEISQIKAADEERFRLICET MPQLVWTAGPDGAHDFYNSRWYSYTGLSVEASLGGGWRTAFHPDDLAEADKRWQNSTR TGEPYVIEYRCRSKDGEWRWFLGRALPLKNKQTGEIEKWFGTCTDVHETMEAKLEAKR TRQQLRSVIAHSRMTMFAVDPNRKVTLLEGSLIWDALSPECGSSSWFIGKDVYEVFNN INQQLPDGQTPAFLQPLESILGGKSPVELQDHKFAGRWYRTGFQPILRRDPLTGNSTE DFIEGVMGFIMDVTELKDRERDIEAQALEKRQLLANEAAAKEASRLKSQFLANMSHEI RTPITGVIGMAELLLDVELDAEQRELTENIFRSANALLTVINDILDFSKIESGRLDIE EVQFSLAVVIQEVGKMLSFTAERKSLAFNYEICSDIGIDLVVMGDPGRVRQIITNLAT NSIKFTHEGHVKLHVSVEQETDDTIEVKFVIQDTGIGIEEEVLKRLFQPFSQGDPSTA RKFGGTGLGLTISKNLLELMKGRMALASKKDEGTTATFWIPFKKPQKAQSITGPLSDR LQSETSVSCNCPAPADQESGALSGEQRSAWRQSSTSLSPTTSQEELSMPDRSKIFILV VEDNEINQQIAIRTIRKLGFQVAAAWNGKEALEYLSKASTGKKRKPDIILMDVQMPLI DGYLCTHLLRHHAPYRSYVRDVPIVAMTASAIQGDREKCKRAGMDDYLSKPVKRTTLE RMLLRWCTTKREELSPITSQCTESGEHCQSAGIPAVGLNEEPSELDLNAYDNVDDHEG SNLATPMGPGRVAEGMSPGGGAEVEQSPTAGYFSSGSGSGHGVPDATKPTGDPGEQTG LGQVEQLLDASSHYPSQPSVADMTTSPASIERREGEALTEENLGKLQEEQNEELQSI NEUTE1DRAFT_89327 MAETYTVPFFINGEEVRTSRTFDVTSPATQKVVHKCSSATDTEV QAAVKAAAQAFKTWRKSHPNQRRDLFHKAAEILEKRKEELGQYVMDETGAPRQWADFN IQVAKDLLMDVAGRCNTLEGSFPVVNDPESGALVLQEPYGVILAVAPWNAPYILGIRS ILYPIAAGNTAIFKATELCPRTMWGLCSVFHEAGLPKGVLNMLVHEPANAPAITSSLI SNPQIKKVNFTGSTAVGRIIGRLAGENLKPVLLELGGKASAIVWEDAELDNAATQCAL GAFLNSGQICMSTERILVHKSVRAEFEKKLVRAVENIFGPPTPAPVLINSLAVAKNKK LLEDALSKGAKLLYGNPDAQEETTTRMRPVIVSGITTDMDIYKTESFGPTVAVYEIET EEEALRIANDTEYGLTSAVFTEDLRRGLRMAKEIETGAVHINSMTVHDEATLPHGGAK ESGYGRFNTNRGLAEWVKSKTVTFKF NEUTE1DRAFT_132569 MGSSVDNRIPVWLDCDPGHDDTFAILLAAYHPAIRILGISTVFG NASLEKTTRNACSILTSISRSHSIPVYIGASHALHRPHLHAPTDIHGESGLDGTKLLP TPLVGPDTSVDAVTAMSTALRSCAPGTAWVVATGSFTNAAQLFLSHPDLVSHIKGLSL MGGAFGTGFTPAILGTVDGVPRVGNWTQFAEFNVLADPEAAHAIFSNKELAGKTTLIP LDLTHMVLTTEQVRDLILYGPEGKEAHPELPQDGSKGKTTLRTMLVELLMFFAKTYAD VFGITEGPPLHDPLAVAAVLTGLVGTPLEEYQIPFWDFSPGTVEKHRERFEVTVVTEG SYEDARVNGAKTGMTVAKPLPEGEEGVRIPRGLDIPLFWKVLEECVSRADEANARGDD VLPN NEUTE1DRAFT_70649 MNNEQFRRLLLANSQKNNNQNGSTSPPSSNTSKSPVAPAPAGNS TPRTLALGSKARSSIPMTPRSVAGFNPRNEFARQLAERNQTLSSSPSSSQQQHPNKKI RTSAPKGSRLAEGYVDRAKQRQAQEEADERERKLKELEERYKKEEIDKEEYERLRVEI IGGGGGLETAHLVKGLDFKLLERVRKGEVDVFGEKKDASESESPEVEENQKETEENEQ RADGEEDGDADDVLEQLESAAVKAKEKEKVQKKGEFATTTLLAGAKKTRNQILAELKA ARAAAKAKQESSLGSRFKKIGPKKQAETRIERNDRGNEIMIIVDEDGNERRKVRRLDP NKTQEEYEKEREALAQGKVLGMDVPEYYKKLEEEKKAAEAAEEDKEPNIFDDVESDYD PLAGLESGSDDESDKEDGEVEDTQKKEKKSLSDMPPPPKPEPAAAGPRNYFKDSKTGL TSQEEYKKPSLDDPSFRAALAKAKAISAAEKSEEERLAAEREARLRKKLLESSRDDED LDMGFGSSRMEDDADLDESSKVKLSEWGEDEDDDGYEGGGKAGLGSSKGKRKRGGGKK GGDKNNFADVMKVIEKNKAKE NEUTE1DRAFT_132571 MVGNHLLDKNSAAVRKNIENHAFNDEGGDEYGASRFGGFADYFQ NKNIKLQNRDAQLRSSAKDKPQIFKGVVIYVLGYTQPSSSDLHDILVQHGAGWLQYLG GKTMATHIICSSIPPKKAAELANYRVVKPAWVVDSVAAGRMLSWTEYRVIDEGPKQRT IKFDAGKMLSSQPRQQTPQGYREQTENSFYTSQLKKFSSPAQPKTPSKIPPIVNDKIE DFEDDMSVDLLQVPPSSKSEPLALAEPDGPSDEQFNTPPYQAPLEAAPEAQPTTPDIA EPENSEPEKPNELHAAKPITSEEHNARLLADPKMRKASTANPDFLKQYYSESRLHHLS TWKAQLKSRMQNLAAEEGPAKKLAKRPAGSRRYIMHVDFDSFFCAVSLKKAPEYRDKP AVVAHGNGKGSEIASCNYPARKFGVKNGMWMKHALELCPDLKILPYDFPGYEEASRQF YDAILGIGGVVQSVSIDEALIDVTDIVMAEAGSSGIGISEGSIWREQEKVDQMASKLR DRIKEQTDCHVSVGIGANILLAKVALRKAKPAGQYQIKPEEALDFLGELNVEDLPGVA HSIGAKLEELGIKFVKDIRQTSKERLISALGPKTGEKLWEYSRGIDRTEVGEQPIRKS VSAEVNWGIRFVNQEEAEEFVRSLSQELERRLLSEGVKGKHLTMKIMRRAADAPLDPP KHLGHGKCDTFNKSVSFGVATNSEEVIGKEAVAILRSYKFSPGDLRGLGIQMTKLEPF KPSAAQQGSQKKLSFGAAAISSAKKRKAEAIDDEPQSPAEHRPFTERDEKDPITADPP TPRKPKVHPALHLARAGEADEKAKTPLNVKGTQFIMPSQLDPAVLAELPEDIRTTIVN QMKAQPSRRTDSTPPQLNSRKALPTHAEIPPDIDVDVFNALPPSMQAEVLASYRRNTP QASPSRPPPSQGGGGGQTLLPQSPRKDRIINRPIDRLRTPTKRGRGRPPAYLKEKERD LKAGLRQASLITAARSPDQGQGQGQGQGGPSDYSRQPSVEIDLPPLDPNVLSELPEEV RREVIEEHARQRRQLQRQAQETATAAQQQQQEYSRHVHLIAVDIESARHYLLRRRQAG NNNNINNINNNTSRFLGNQQNPPTNQPYHDDRQFPSPIAGGGGGRYYSFFGPPPNWGR TNRDLRRIHWPGPPTKTTLKSAALPAGGGQMKVTDAAAVRRMIRAWYVSTAKQGGPSL IDMEALEEYLYKVITLERDMEKARGLIKWLEWCVEGEGEGEGEGSAGGHGDGDGDGDG DGDKLNKEVDDGVRKDSEENDVHGDEDGSNGVEDDDDDDGIRDQIQISEKKKGKMTAK EIYTWPEAVEAIKASVQEAMKERGLKEMDFS NEUTE1DRAFT_70654 MSGLENGDVALANMTNAPDPVAAAEPYAILRQEVELDINFREKS VSGICTFKIYPLVPDLEELVLDTRQCEIDVSNITVDGYKTRAQAHDPYDRMEPPTNAQ IGATQHHIMKKWMAPLLPETRRQAPIVERAEQLNISVPADGSLRIRLRPDALIQDSEP KRILKLKTPKSVSENATGLSDPNEELTVVVPFRSKRIRDGLHFVGVEEGDLRYPHVYT RHSLEPGTASCIFPCLDDPGSRHSWTIKITCPRTLEDAFAPPLATQSALLTALDGSRK RKASDISAHQPGSSFTEEDKLREITVVCSGNLIGEQMHPKDERKKTMIFECVNTAARH IGFAVGPFEHVDLGAEFRTEEADEKLGANAARIHGYCLPGRVDEVRWTCQAVVAAADY FAPEFGRYPYEAYKMCFVEDLVHDTAVANSLSLCSTRLLYPETIIDTDIEVTRKLVHA LASQYVGVHIVPNERSDTWLIIGLQWFMTDLFMRTICGNNWYRFHIKSLSDKLVEADV RRPSLHALGDYLHLGDFELEFMALKAPLVLYILDQRMSKIPGSTGVVRVLSQMVSAAN ISNTDPKATTLSFQDFRRWCEKRSQYVPDELWDQYVFGAGCPRLDIHQKFNKKNLNVD IQILQYQGPTKKSISKDEFWRELQEEIHQVYAGDVPKLFTGPFTVRIHEADGTPYEHY QLITEKDKGGTNLQIAYNTKYKRLKRTKKAMAAAANSNSDKHEIQEDDVVYFNMLGDV LTSQKDMEDWGLQDWASEVQTKMDQESYEWIRLDANMEWLCFMKTDMQEYMYLAQLQQ DRDVVAHQDAMLAFKREKRHAVHSTIETRTVMDRRYYHGVRVMALEDLAKQAHPDLNY IGMVHLILCFRKFFCKKVPIPGREGGYHYPIAPNDFTDKGQYAIQCAIPAVLARTTDL QEKGRCSKRARQFIMEMLLFNDNSENEFSDQFYIAKLLDALTTSVIPQKEITEGYLIK NLDPFDEDDAEFKSFIEKTIEEIDKFRRMDEWTLTYQNIWTTTALHCKMRLMKARVIP ESALDFVQYLQDDNIDLVRIKAFECLVELGMISKPPILKLLLSYMSTDSSPFIRDRLF KVFCRGIAAIAFGENKASTQELEALDDGGLVIDQGDAEIQQRKLDAVRREDIAHALNA LKEELRGNTEMQISIWKAFNSPVIGLQEKRQLLELCSAMFEPEDSLLVTIKYPIVWKA TRDPTIVANAPQILGTPPKKRCVVKFKSEYRTFPKSKDMVAIEAPPPPAPLPAPAPST NLSAARVEIKPPEKKTIVKLQSRPSYIAKPRESPVPEAPPPVRKDSIAMTVPRPSTTA GPRTPLPTSVPSAPAPNTPVAQPGIKTAVPKAASPPPVPAPKPQQSNDVAVPRPSTVN LGKPKIVKPVIKRKSDELLENPSPKKIIKTNSDSFRSDPSRNSSFKTDLPRSESFKKE APRSEAIRGHSFRESAPQLPPPRTSSFSVRPNANGQLSKVVTLPFRKWTKLSARAQRS IDYEQSQREKQMLAASARGISGSLATPVHKRSSAAVGFGSGSAPSSPKSRMQSPAGNG YGSSGSYAEKEQRIKERDRDRERDRDRERDSSKFREKDRNDSDRERYRGGAEREKEKY RERERDRESDREHERPREKERDREKYRERGDRDSDRERDRPREKYREKGTDRGDSDRG GRTTDSDRERNRIDRDRDRDRERERERSDRDRERTDRERERIRDKYRDRADRDSDRDR DSYKDRDRERDRDRDRDRERDRDRGDRGDRGDRDRDRERDRDRGDRDRVLSSGSSLLI KKDRKPLPGSGEKERRPLPTGAPQEKAPHPLKESHSPIHPTPAATTNGGEPKKKIIKL KLKSSGSGLGGGSGSPSTPGAGGGSGSR NEUTE1DRAFT_132573 MATSTSVTRSRRAEGLRHPHSSNTVTYRSHSSPQNHNQHPLANA TSAEQSPSPIKPPNTATTVPQRKKRSLEPRECDPVPPKKTRYEFAVEIPARPSFRQSA SIDSSRDAKPPTPTAPNPKPVVTVTAAPKPPNPSRAPPTTAAKPSTTATKQPSGLTRH QEKVVNGLKHELSRLNPNAADTIKEGGRKLRSQEGTRFKSELAAYFPDYDEVIGNDPK EQHLLNLDTPIVIGSTHGFRPRPIESYPIRGYGDALFTDLYDSQTINFSFLETQHKGK AALKDDPLPDSLYETAHKKAERLERSIRNSEKGRAQHEKDQIIRLLDALQGHDWLRVM GVSGITEGRKKQFEPAREHFIKGCQAILEKFRRWAAEEKRRKRKKDRTSNAESGGKGG KGGKGGKANKPQGGGKNGKRKHEDAKHKVELKDHRKDEDAAKHRVIADSDEEMEDQEQ DTGESDGDPPDESDVDASIAKQLREEAIAAAKKKPSKKGKRPILPPPPPEPEPEPYRE FTSFFKKPYQRDAALNKNRRRGRNVLAWGQPVPDGDEREFALPQDIMDEETLKAHARR KRRDKRGKH NEUTE1DRAFT_132574 MEGEQKTNTSRNTTALELMVFIFSLVTIVCYVILVRYSAMSMSN FTDHEMVILADAKELETWLWSVRYATSALFIVLLPGRLYQLQKRPVSVSSNWRLCLKL VITTLFFIQQLVTGYVFISESAVASPVLVALLPAASLGLVILSHSEHRRSVKPSSLIL LYLLTSCLCDLVQLTIPSLRHLDNHDDRLIIGWQLAAKILVVYVECPAKESVVDEEEE SGHGSLEERASFLSRAFVSWISPVLKEGYRLVLVNEKLPSVDYKLASGNLRASILRTL SEYASSPLASVLFRCLRAPFLTVIVPRLFVIGFRYGQPVLIRYAIEYVQSPETNEDQG YTLVSVAAIVYIGLALSTRAYQQGLNRLQVMIRGALLGLVHEHSLLARHEPYSKGKTV AIASTDVSALEGAPRMLHESWALLVEVVIGSVLLAKQVGWLWPVPHVIIIVCSRVSRY VARNLRSSQATWNTATQGRISATSTMLGSIKTIKMLGLQSIVEKHILGLRQEELDKAK RVRWIMAIYNASANALGMFAPVITIVLFAIIAMVNGTTLDTKTAFPTIAVLALVTHSA NMVMTIVPQAIAAYASFDRIEDYIKSIKAVSRSPRPGQLAEGIEVSIRGLTVKWTPEQ SNPTLENVNLKLSRGMVVACLGPVGSGKTSLARAILGEVPLVKGTVTYATDRIAYCSQ VPWLPNRTIKQVIRGSMARYGDIGNDWYLAVVRACCLDQDLAVLPDGDETMVGVDGMN LSGGQRQRVALARAVYHRCKMVVLDDPFSALDGKTRDQVAQNLLGPKGLFRQLNAAVF WITSSTKNVNLSDNVIILERRITEQGTWEELRDKHQQISDCFREDASNTSDKEQSKPS VVTKTKARSSPTSSTDDLRRSQGDLSLYWYYFSNAGPLNILLMVACTASYSFFITFPQ YWVKWWTQNDELDSASNRDTVYYALGYALLYLMAWISTNGTMLSTVFRIAPTSGLNLH RSLAHTIMHAPLFFFSMADMGVLLNYFSQDIQLVDKTLAQAVMSFAIQVCKMIVQASL LLAAQPIMVVTLPVCAAVVYAIQKVYLRTSRQLRLLELESRAAVNSSLLETVQGIETI RAFGWRTEAAIENIHVVDMSQRPFYLLLCLQRWLNVVLDLLVAGVAVGTIFLAVSLKG TVTGGQIGVALSVIIAANATLLSLVQSWTNLEISLGAISRLKRVEEDTPQEPVSVPCP GLISPNHRPFTGWPSPDLYDNTPGLVRFEGVSASYTSNGPLVLQDLNLDIASGQVVVI CGRTGSGKSSLLLAMLRLINIRDGTLRIGNKDIRQAPLHIIREKAFITVTQDSFHLPD ASLRFNLDPTCLLPNDALVSVLQILGLWLHFSSSSSNNYPYSEHPVIDETSPLLNATT PSPSPSYHPILDTPLSLLPTLSTGHLQLLSLCRAIIKARHMRTIHIAQAAPEEEEYRR DTTTSTDPDFCSSASPSSSDPGFRKPDDIVQPIILLDEITASLDEKTEQMVLRVVREE FVQNKYTVLMVTHRVEAVRGALLGGRSRWVEEDLVVSMEGGKIVGVEKVGGRR NEUTE1DRAFT_70661 MATFVPSSPDERGFDFGPALPLHYHQEQQYQQQQQHHHHHQEQH HHPQLDTNRAALPEVTTLLKFSPPPARSAVVEPVGAAPAVSSARTPPRFSKEYPQLPS LLPPHGLGPSTSDETEETLDQLETDHQFPPLPTIPDQRPTPSPSKGRSQSLAYTPAPI KRKPLSSSASPLATRFSSPGRNYLDIIRDLPRPESRFSRSCSLDSPTLYEFPAKFGPP LHPSRSSAAAHASDLPADPDYSSNNQRSSSTVSDRSVQLQGPCISNTGNLQPTQTLKE SPIISSAQTGGPLSVSPDRHISADSLGVTTRSLDHNLDTYYNDEVAAPYTNATATINT HLQETHDRRNPIVKPNTMSLSSRKSPPPHLNLAPAEDSDHRQRAFPLVSTPGENNNLN RPLPKSPASSQLDANFYGNWATTPQSSTTEFSEDKEDISPIPSPSPHSVRRSTLRTLA DESPRLLRTPSHTNAKTERPLEYCEDYLQTPPPSETSQYQIDEMEDELKAIGAELAAS IRREMDLEDLVDKLQEQVNNGNPNESGRRTSDYFSDSGHSSSKFSDYDQAKEEITVLK RKAEQERAQIRLELTTKLQDERIRRRALDEQIQELSKRASMIDVAKIQNEETSDRIKE LEATCDDLRRKLSEERQTRESLEDLLGDIKGKLENTSNERDKLAYDATRLEQEVQSLK TENEELGKIQEEVDLLKAENAELGKYRDEYDHLQSEHAECGKLGNTEFARLRDEFEQF RAEHTGCDRLQEELQALKSGDSDVVRLQEELHAIKLEHSECSKLHEEVQLLKSANAQL SSQQNELQSLRSESAEIDDLQQELEALRFENTELRKLEQELRTLKSENNELKETGTRM SMVLSRSTSVAGTNTSSIKRAGRPMSLARSNTTKHHVVAAAAEPRGEILADRLKDVEA QRDALHRALRSLLERQEIQNRENAKRIKLLEQERDRLLSSSPRGGYEKEVANLRDEIA VLRRRAEEAIDQKWEVEKGLGGLKMNLDRAEQEITSLRSLLRERDILIPEGFARSSYM SNYSDNDALVPVSSASLEKAYADLQATYSEALVRIKDLEDSTASNEAMQLAIAHLEQS LSTVVTERDMAREDNVAQLAELESLRESEKQHIDAELTLAEQLSDSARRVEELAQQVR AQLAINAALRSRLADTVARGEANQRVSTERIASLQKRLRELEEQVITAQTNAEERVNR HEEELQTLKESHSIHLLRLRDGPAGSAAAMRGLPPRSFPPKSPLSPMFSMSLASKSDA TPRTTRMSSPFLLSSSSSFYSNSHASTYLRPRASGILSSAAAEGNMAEDVTTLKARVA QLEGALMAADSEMQEVVSRMNMAQIEVMTLQEEREEAVRQTRKLQKMMEEERVKVFNE RFKSFAGATEVRA NEUTE1DRAFT_89347 MQPLNPFLSAFAKSPYLAQCSPPQQHILLVPTTDVILDSRDTET GAPLSASVASDEFIGSHVLRILPPKGGPGAGAKEPTQNLREMKGKPKLYSTINGRTIV IKDNYVYSNKGFRALAQANLLHDALWYPDTLELKQFLVYFISRPLVGSWEEVNIPPAL LPPEPTTRPKPIAAASDAPKKKDIRSFHELLNHFPAIARQMQNGLEKLFREFTLVVER PLPPPPSASVIPDPEPINGPITHAVRSVRSNSTGSISFTRNTHGDHLTESIYSQDDED IMRVALETAVTSAIDLFQNVDKQQLSLLGATTDLTGPVVERMIERYLGIAMDGGSRAK RDLISRLGRAVDEFRKMTNASCPQEMMEILLSTAKAATQLALPEPPQTPGPASEKPIL TINADTLVSLLLYVVIKARIKHLQARLSYVRHFIFIDDVDSGEIGYALSTFEAVLAYL DRDSGGLRRASRRNRALWDAVSKGDIVELKKIMEPETYSSDDAYYAVEPRPSSRRASG NEWNFANGGSSLSSTAFSVSERFSEGSGLSHVFPFQDGASDDIDIDPYEAEPLPLPKK VKRVSMDTRSMSLSSSSEISFRSRTASITTTWTGGVEGDTSIERLAQTTDALGESVPM MAIQNGRVEVLEYLLSLSQYFPAHVLLEDQNNAGTTLMSAAVQLGEAKVINMLLNLFL ESTPRDQLRDYLARQDTWGRSAAHYLFHAPFLIKLIGEMLPWRQKDKNGQTPLFALCR SYDHANYSVMVEEGLDFATRTQGDGQPLHLDEHVDVKGNTLLHIINDPKLALQILQHC DVDVNATNEKRFTPLMLASKYGRFDMVRVLFGDPRVDVAARELRGLTAVELAKDDEVR NKIDDLTLFSLIPGSDSRTTGVVRSYFVEDTSIRFVLKSGAPVDRYSYAVTTCRRSLT DFEHLVKLLEIENPSSWIPSLADVRSPTQILSRPSRAVLKDLQIRMDWFLRVLLAHPT FATHEMLWEFFLVPDLQLDMMAERSRLKAEALTEKIHDEMEPVIDLREVEQFVDHARD IVRSVHFSTRSVARRANVVGNVAMAILRALAKPPRTIAKIVSIRREAERNYNSLNRSS RWPLGLLDETRQRMNEEREEKVKNAEREAEMLGKELRYAQQTVAGELAGWRDMHEKMG RRAIRELARGMLILERGRMEGLKRALRKVKAVEAGGLTGLRDSAVDRNSVGSQLTNGG TAADGAVSYLAAPLGSAAGVFYFNFVSFFLFFVWFRHSRQ NEUTE1DRAFT_89349 MSTLATGIPYEFTFPSCELPVTPPSFSFDPSLLEINFNPSHVRS VSHSSSVYSSFESSPSESVSATSTRRTTPVRSRSPIRTHGPLLLPKIRSQDQSIDPSA VAAPAPKRARTMPGPRISRARANTDSFRPVHQRSYTNPDAISFATPLPFTEDNSGIVF APINYVAEPSSVPHSRRASLCSLDHVTIERYGYPTYRQMPTYVPSQTRASLSPSPTPQ PQAQFPQPESFMYQPYAPRAPSPLAAAAPITPDMTPSTTLLSYLTSSNPAPSLVRTIS FPLRDPHTKHFWWDVRQVRPWTNFTAASILSIPGASACLSVPISQALLPTASSPHHRH PETEAALHSIYSAHYLPKLNAALALCSQRPLQLSVPSKSPMAAVATGASSASAAATDN MFIANVAGESATNSVAAIFGGKPTARVVGLVKSFDRFNTGMRVEGNIKRVEYLRGLAH LHHVMREHGCRYGFILTEIELVFVRNGTETTPHFGYLEVGSVQLAATGSEAAVSAENV NEVQMTACLALWGLCMLAGDEPVPGQVHWKAEIGAPAEGTRRKALARDEWMPQPQLAE KREAKRSRGWIWPEDAVGRKELGKRGVRYGAC NEUTE1DRAFT_118506 MPPKKQVVEEKILLGRPGNNLKSGIVGLANVGKSTLFQAITKCN LGNPANFPYATIDPEEARVIVPDERFDWLCEKYQPKSRVPANLTVYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRAFDDAEIIHVEGDVNPTRDLDIISEELRLKDIEFVE KALENQKKKTRQGGQSLQMKQWKEEEATIEKILAHLKDGKEVRKGTWGPKEIEVINPL FLLTAKPVVYLVNLSERDYIRKKNKHLPAIAAWINEHAKGDPIIPLSVSFEERLTRFE TEAEAAEECKKVGAESALPKIIIQMRKALNLGSFFTTGPDEVRQWTIRNGTKAPQAAG VIHTDFEKTFIQAVVYNYNVLRELGGDEAEVKAKGKVMTKGKDYVVEDGDIILFKAGA AKS NEUTE1DRAFT_89354 MSSKKSHKPARPHRSTLAIPKTEILINVYDLLPPGRISSTLWFL GTSLLHSGVVINGREYAYGGHAHRGKTGVYWTAPRTEPPGGTFRCELLHGFTLATPAE IDAIIREASEEFLGTAYNLLTKNCNHFTSHLCQKLTGLPGPAWLNRAASIGVALPCMV PKDWIEVPEYDTADGELVDDVDHGGNGRGNDRELASTTYNIGNGNEREGEGQYRDDEG GAESGKGKGKAAAVATRDSAGRTLPPAERAPGSS NEUTE1DRAFT_132580 MVEGLDLWPLGLAVVIVGALWVYGVNRTMRTVPPTALKLSPISN RWSKEYIRETYERVKKNPVDIKKHLPPKLDRRYIVVGGSGLVGGDIVSHLLARGQSAE SVRIVDFAPLRRPQILGVASKVEVIKTDITSPDSVKAAFSKPWPASVASLPLTVYHTA AVIRPGERSIKTYDRVARVNVGGASNVLAAAKEAGADIFIATSSSSVALKPMKFWRWP LPSLASNYVQVFNEDDFDQPLKAHDDFFGNYARAKAEAERLVCAANQEGFRTGVVRPG NGIFGDVENDVTFSPALKSGSIVSWTPHVIQNWISSRNASIAHLLFEAALNPSVRPVP PACAGRPLLVTDPGPPIAFQDFYTLSSLLSITPITETYPPPVLMLILAYAIEGWANLI INFPVLTKLFGWKEPTGDLAMLQPAVFTVSAYTICDDSRARKSVEEGGIGYKGVTDTL YGFCEQMAVWNGRVERGEAGPLKGMKIAKPVVA NEUTE1DRAFT_149430 MRQNIIFVALSALTAAVAAQSSNSNLTVPVDSVNPTLRNQWCVA QYNTCKILCGMSVSKNDCDPTTLEWNCTCSSGVSPAVEDYEQTVPFLMCEFAFDTCIA NHPNDSQGQDQCETDIRDKCATQTPAAIKVTSSTAAATTSAAKPTQTKDTAVETSAAA ASSTSESFAAPTNAAAFLGNGVAAAAAGVFAAALL NEUTE1DRAFT_113756 MPPKRAANGAAPNQPAAQRQRLAPAAPPPSPPPCPPALAPRSPT IIPVEIKTQLVLAALTTHDDVCAFELFCSDWKICNRQREKPQLEEILRKLRVDVYTPS SQTLGVQAGQGEEQFYGELKSYFAGHSMRRTQCPLFGEGAHGFENKHCEKCGCVPFQK EVLMEGVGAWDTDWEGKLDPEARGWEGGVWTGRLRKGMGYRGNGRDGRRKEVEPWDQM VEEARTRGINAYMTSIRNTRMGRFLAREVKRERTLRSDRLVVLNQRATKWLGRFTQRG LGKRVRSGLEGMITWGDEMVGLLPFIEGFTERFDDNGYLRVATGAPASSAVAAAVKAR PFNQVKKHLSPEPPNQEPWVLYRRIRHLMINSDPYLNLEVGIDFPRYGIIPPTEPPAA TVLANMSGVRDRVYFEHMVPLYVDYQALTNLETLYLDLRHVTKRKFGTTQFQMEEVVQ LARRLEGKNLRLLVIAGLRTGGAYWWGERELNIEEIEGFEIQNPADWRNVNWLLEFRG ALRPGGKLILVDSYFNDFPWLRHWPWTNPLNADGPMPQGHVPMGGFPVFVNNFIDNEF DDNELDDNEDDDNEDDDLTEETSSEETSSEETSSGESSSGESI NEUTE1DRAFT_125372 MAPSKDSKRGSKTPESNINNSNTSNANGGGGNAGRDSRHTGLFL PLFGLRLSPRHKRRIETERDRMLSDPDRHSHLRRGRVTPPAPTVDVELKPLDDRQPNM KFALRPGSAVAKLEQLVRRRYQDNCHPIATAAHFAFYVDGRVLNPEDVLPPTSFKIWY RMSRSSAEVDQWKFSQYKDANNQPIPPELATQLVQAIDTGGATVGELRRKIAAFMSIS DPDRVLLVARGGTRPGQLQGDSWVVSEIKRHWLCCWISYHIARTNAYAVINGLGRRYV YHPESHYFYDGMSVRRWQHHLDTRLFRNVRSRGKTGFNAHWSEIILTLNDTRVSKTTR VCWGKTYEVELSGQAAKIFSAEEAWLLPDEACSVCLDSKNLSLMAYKITSECNHKPTI CNACLSQWIASELETKMWDRIKCPECPKSLEFADVQRNASKSVFRRYDELATRAALGN IPNFRWCKSSKCNSGQIDDVRCVRFKCKACKNSHCIKHDVPWHSGETCEEYDKRNTQK KKDERASEAEITKSSKKCPLCNKAVHKFSGCNHITCICSHEWCYICLAPFQRNEHGFL YCRHKPECTERDPFVDLIDPQNANADPRTFFEAANHGLFPPQFFRNRLRVVRGRAARH HLEAGANEPGVGQMGRNPNRLHPDMFLPPWMQEADRGMFVGVAGIADHVANGNGNDRD PMHDLRVLAQDMRAARRERA NEUTE1DRAFT_125373 MWDLWLDIVVESELMEDDDDEPMFFGPPRPPAWFHWEPGHGEPP VFPAGLLPIGSEFQVDDLLWDGASLILPQGPVPEPRDADDTAGPFWAPAPVTLRQHHF LPREQPTHNEDELFLQTLEDDITTHQVIDPLDNLLLDRPPWAPDFSLPLRASIRDVVD LVDSEPSLPPPGWQTALTLLRPWFHEAPWRLEPATNIPGHIVGREELVLWIDDAVDVL IRRNRTLLQFINFDIRQQMAVAPDAPRP NEUTE1DRAFT_54824 MEPRRKSTKKGRKTYNTRDSLVVTDPTTSLALTGLSMGERTGSR VFQWVWSYVND NEUTE1DRAFT_104750 MARVFAISPQADINTSESHYGQTHTSIMTSLSLETNNDGIRHIR KETTLWPEVKQYLLSRAKSSRDPSTVIPRPMCALCDEAELDIAGIPATDIDLVRFEAA LLPCGHMFCIPCLNEYQDNLPDASPYDAAVYNPVCGRRQLAREYKCPVCRADMHHRKC WCEVGACGLPSSDLLDGGVVESGGQWAPRLLHPGEVTQNEIEALEWVDHNPAVMDKNT RQKLVKLVNAVPTTLPEWKAAVASMDVDEDDDDDNGSSEDEDENDDETDDEDEEDCSS SSDDDAEYPHDIEMVDLTNEVELDCGITHRDKIFKKLSNRPIGIPDDANLNNKTYPIA LWNQVVDPVCYKCRCDILRRYDRAELPLFEIMPVSLRPRLQRIEEEEEEEEETYPRAR NEUTE1DRAFT_70677 MEYPELGTADVQMAMMEMHQAFEEFPPDAHPICPLLSDDDDTDY ALPDLPDANGTTVAEKQKRIVDGFERRRITYGLSLLLVMPEAGKWINEWKERVNFFLT KCDQCARTWHRTRQQFIRAIDQYTSRDNAELLRNLQKNLEAFDEERITRGLKRAKFIL ERDGPMPTTKLVRIELWPCLALFESLCCMAYLNTNKANLEIFNYVFEHVQPKKPLKMV GGAIPTMTYFLFEEYPVHRAFAEAQWQRMLPESLTDEEWEWAVRSGLKSHILGHRGIQ PPARLCRFWSGFLLILRAMSEKSILENLRGMEVQPNVYFLALENLGTNNVPALSMILK ALRTLMERSSKAFWDAYDQVTPSMIVEEIFKSPAFRPFLNQSLEPDMMVEDQDDNGQL IPALAEWVRAFIRSLPLARRSDVCETLLRHLFETLRVDPGAAPEAKATCILAGLVTLR ECLDGYLSLPSFDTGTSLIMVNQLLNRVIQYKEVIIDATRLKAADKYNVGLSQAAITI IQSALALDAKATLMEWDAFVKEKPVQDAVNRDSGALWESFLELIWNGQTDLAKAMLRA TMPLRSIERFIPKRKDQLKNEHAKFNRRFQQQTAAIGKMLGRLSDFNPADLDSFCSDP QSNTIQPIVATLIHGEEAIREAGFELIKAITGEMTPSEAVNKMLEEYFSQFLEAFTGA VNHITNAKDSNNPWSHMQHLLKCCEFVLNSLSDPSVGQLRRKTLTPAEHAIVKGWWDC EWRAVGHSFGSMRLWHVKVDKKVMEDFCRDVMELAEKLLAQDGLMASALSSPQSISAT NGDDGSEGMKLVLEPPRIHSLPLVDMLQLRDKYLIAGIIEITKKLIKRLQDNGMDLPP KTVAYLNNMLKKRKMTDGRTDYPIKTNLTNEQRIELLKALGDDAAIEAQFVGGKPVKQ EVKPVMKQAKLDFSKASLKDHIASLTPAFEKATERRKNALLETMKAEAPAKAPPKIDS KAADKIKEARRLEREAKAKRDAEAIARAKALRAPPKLVQGEGSGLAGVAGVRGKDHAP VLKDEIMVGSSSEDEDESDDDELITLHAAGKKNMTEAERRAHRLLAEKMRGPVKKVKI ERSAKDMRARLIPPMDVLHQAILEWDIFHEGNDPPNGYRCDHVSDTYQDPVSYKQTFF PLLINEAWRSFVTAKDETTSKPFGIKVLSRMTVDKFMEVTASVPVAINKDRQLSEGDI VIISKGQNPLQEPEELHCLSRIWKTTYKKDNVEVVYRLNAKGNQILPALLPGSEFTVV KITNMTTIEREYAALESLQYYDLMDEVLRAEPSPMLSFGEENIKNTMKNWNLNPGQAK AILNAKENDGFTLIQGPPGTGKTKTIVAMVGCLLTGVLKNPSAGVAIGRPGLGAAKNN APAKKLLVCAPSNAAVDELVLRLKNGVKTQNGTTHQIEVVRLGRSDAINSAVKDVTLD ELVKAKLEAQLNKDEGPTDREKLHQEAGEIKQKIAELRPQLEAARTIDDRQLVNKYQR EFDELKRRQAHIGARIDADKASGNTFARETEIKRRQVQQEILDKAQVLCATLSGSGHE MFKNLNVEFETVIIDEAAQCVELSALIPLKYGCSKCILVGDPKQLPPTVLSQSAARYG YDQSLFVRMQKNHEKDVHLLDTQYRMHPEISSFPRAAFYEGLLQDGDDMAKSRLQPWH RSTLLGPYRFFDVRGSQERGPKNQSLVNEEELKVAMQLYRRFKADYGNVDLKGKIGII TPYKAQLYRLRSQFAQRFGDTITDEIEFNTTDAFQGRECEIIIFSCVRASPTGGIGFM TDIRRMNVGLTRARSSLWILGDSRALMQGEFWAKLIEDSKRRDRYTTGNIMGMLSQAG PQLSAAAFEALAITSTDNTRESTPSGIKREVVEVKDEDSDVQMGDRSSFRGSSPPAAA VGGSSAMGSSRPPSGRNTPSHTGNGFGSSPAPQQPQPTQYREGLPVIQETTFTPRVKK RPYEGGGGDQPGKKQHIDPIASRAPKGPRGYNLPPYPAHQQQRQPPAGPSRPGPPTGP SRLGGSGTGYQQQQQQQPQQQQQQQQQRPPPIVKKKAPVDPFIKRKKR NEUTE1DRAFT_89368 MDTSLDNTVEVNTPNDDDKDEEHTERDRRVTRSSSKRPNTETDK NLDSGNGQIIVKTSKKAAKVTATKIATVDRDSPDYRMPVRRGRNNPMGLTPGFSPYPN RQAPTSEACEDVYRILAAMHGKVEQPKEMPKASLEKAGCGEVPCVLDALLRTLISGNT LMAMADRAIRNVAQEYGLREHGSGVGSINWEKVASEPEEKLAQAIKVSGNGNQKAKHI KLILDMVALEMAQLAMENNGTGGKREVAFPETLNLDHMHTVTKDEAMAKLVQYPGIGI KSAACVTLFCLRMPCFAVDTHVHRFCRWLGWVPEKANAEDCFRHCDVKVPDHLKYGLH QLFIRHGQQCFKCRKATRPGTKEWREAPECPLEHLLDRGKGGDHRDG NEUTE1DRAFT_141640 MNDRSKFRFAKIERESGGAIGGAGTGMFRGGITYDIWLGPDLPL TLASVGMDQGIAFLAVSQLQARIKNQSLENPQSPSYGSTAGENDLKA NEUTE1DRAFT_132585 MAEQNPFIIDDEDDVIQIHDEEEVEGEVAEVIDITENDIELSEP DGAFRSGPKEETLPSFSLRDQGFIVRPGMTVELKAPIGRFAISFVRVNSIVRLRQAHV NNVSIRGHGFTRAKEMNGMLPKQLNECCLVASVDTRDPRPWREQAILDINPENVLTTR ELRVTNAPFPRYRDGSADMAIKRQQVKDMGILVSRYSYVEYHQTEKPREWSFLRVEEK EADEGFRLSDDVLVNGWRGSKVPGGSFLPAGQEHGHGHVHNVDDLTSTLPSRGPKQVP SDQKYTAGDTFAGAGGASRGITDAGVHLEFCVDNWEHAVASLNANFQGQDTTIYDIDM HNFIVDKEIRHRVDILHLSPPCQVWSPAHTRPGQNDEKNLAILFSCTHLIEKIRPRLF TVEQTFGILHPRFDNFFQSLVHGFTDHGYSVRWKVVNFSHYGLPQPRRRLIMIGAGPG EKLPPFPSPTHGNGLKPATTARQALAAIDERRRYPLHQPYLQPFPTRKAPWDGDKPLP YTVTCGAAENYHWSGLRQFTPQEYALLQGFPMHHKFAGSYIKKQIGNAFPPIFVKLLY KHLVVCLDKRDNIIRQAQARTEEAPFQTPRKLGNVGRNEEDDGEVTFLSSRKRRRQFA VVELIDNNNSNSSRSKRARLVGNTSPCQQPAAAQAKQKTVIDLDEDISNLDLDQDRDD GRSDTATIRESSVEVDSPRVSPVRRHPAPPSHLLGPGPNPIRGGPSTCTRTGSKASSS QQQTHNMQGETVRRKLFFTAPPPRTEPFSSPSSTSSTSATTTSSAESSNGSSSSSPVV KKENQKGTRKEPMELFDDD NEUTE1DRAFT_149435 MGIADILDEIANASRSRPHVDKVIEPPPQAPESRPCLSRASLPG SARTTYQIAKGTTKSRLEGRGTPGAGGSQTASSSRGNSNKQAPAVASSRYNSPSGCPT QPRAGSGPTRTPRTTSARADPLAKPNRNPGPSDRRSSATSQPPVRKQQTTKPAKPREP TDSTATKKPTTASSSRAAAPSIKAESKQPLQPVDGPVTPPDDPDTTDCESLFGDSVLE SIKERPKPARFRRGKGSQLKKIIGQTKREATFRRQAQAQLQAEAAKRAAEKAKEAEIE AERRAKSARSAGAAREATPSLTPLLAPTVIPAGAKQTLIGEVKLNNDSPLSTLLATSG ADMDPPKHGNYPIILSDALLGKASKETVSGIRYNHKPALSSDIAPSQSRLKRSAKDGS YNLGFDDNGDKYQYKGVRTAADGQYVLVFDPDRNAFVLHQVDSMFNMNIAKTPTDTNA ARQFPPLDVKGTVLDKPAPKQKASTAASKNKEAPAKNAPAGRGRGGKSAQNKQNPATL PPSKPASPPRAGAEGHATKHRKPTSADSEDEDEDDDDGGLTIEYPDGPPPERFKPAVN KYASPALALTRRFSEFAAAYNPDEEEDVNMDAEFESRFNEDADGEGGGYEDDDEEEEE DEDMVDALALPSPINKPAALARTNSYHENPLPPPDRFSFDDGSDMEAEFENVQESAPV NNQANAADGIDDAEIAAMEAELAKDMESDVSEEE NEUTE1DRAFT_89374 MRAHGTEASLLCARLIAALLMTADVIAGYDLDLSSIDSLKHAAR FMAQDMMSFYSGNQPGGIPGLLPQPYYWWEAGALMGSLIDYWYYTGDTQWNDLIQQGL LFQAGPNSDYMPPNQTMTEGNDDQGFWGMTVMSAAESKFQDPPSDKPQWLALAQAVFN TQAARWDTQKCGGGLRWQIFTWNQGYDYKNSISQACFFNIAARLARYTGNQSYADWAD KTWDWMVSSKFMDTQTYYIYDGAHTRNCSEITPYQWTYNSGAFLLGAAAMYNYTTGNT REVWRSRVDGLINGLGVFFTGPQRNIMTEVACEPVNLCDLDQQSFKAYLSRAMAATTK WAPWTYHRIKPLLKSTAIAATSTCIGGGNGRMCGLKWTEHGKWDGTTGVGQQMAAMEL VLANMIEQVAAPVTNHTGGTSAGDPGAGGGDVGKTDPFSIAVPYSPLSTADRVGAWII TAVIILGLVCGCVMLVLDEKSDLTTWQRLSTLHPDVFYACGKNWRPGHIETVRGGYGI EIEGHYDRNRPRFDIDDTCAFQGDCYDGVCGHIADIKEKDTVIEIHKDYADNRHSWHG VPPPPPPSQSSARHSSTLCAGSRSESSGSGIGDKRMPPANKSIISNRLNHLNHRHSVL QKLQTQQHQHQQQLLHENSSHMHVQVPHTPLHHHDYHNHCFHSHIDKTPYSAWRSRHN HPSTQPSYYWDLDNTNGIDPARHVSQLSGMSALPGRVSGLVPDSATGSTPNPKRQLDH SRSEETTGIGVPAEFRARSSHDHRREERGEGLTAGNGTINGNAAIGDRIKNWRLADKE RREARNNRDGGNQFAWDSSESVYWGS NEUTE1DRAFT_89378 MNTHALLPLWDARFKRYGNTHYTLTLGKWVLMTNEPENVKVILG TKMAEWPIDGTRLHASVPVLGRKSVFTTNGAQWREARGMIRPSFVRDQVADLHCFAKH VGNFLNAIPKDGSTFDMQELLLSMTMDSSTDFLLGYSTNSLLEPSPEAKQFLEDFEYT SREAAKKSRLGTLLNLLPNGEFQAAVTRVREYVRAYIRKSQAEKTDKKERDYVFLHEI LKSGADEEHAIDQVLSVIIAGRDTTAAAMTACFYYLARNPEVVKKLRKEIFDVEDEMP TWEQLKNMKYLNMVIKEGLRLFPPASTNSRAPIKDTVLPRGGGPDGKQPILVPKGQVV RWSLYSLHRRKDIWGEDAHEFRPERWDENLRVGWEYIPFSGGPRICLGQQFALTQIEY ALFKFFRAFKSIEPRDDNGPLLLRTNLTVTFAKGCLVSATPDSN NEUTE1DRAFT_149438 MAQDDISDDQSRIVSDQEPEYKFGAVDIGIIVASCVILVSFFVG RFYIRRLQHRRQLDMEFQARQRDLEALYEENGKQRVTSMASYIGSAEPSILKGDITIQ SKINNLSEKQVLKPPLWRYINSKHPRNGSVTLAISLHNRDN NEUTE1DRAFT_125380 MATYPHQASWIHDIATTSLEVGKDGFNDATACGRPSESQPSTGI RNHAYHEEIAQSHINIGEEGPGRLYDDDDGTMSEGYLHADGKFEESVGDQDRLRSPIR GEEKEIENQNPASNHASAGNSPREDGGDASSYSGITPNRSFSKAHRNNSNSAELMLQG VSSRSPTPSQSRQSPERLGYNLGGYFQSCEDCFIAGMCCIHRTAAATIVMPDGRGSLI HDGGHGNGRAARERHEWLVERMKESLAKIDSATHGDGVGSRGGA NEUTE1DRAFT_70696 MGRPPVYFFSHGGPDVQYNTKHPVYPVLQAIGKEIIQKVKPKAV VVFSAHWQATPSEIHLNNAHGKTDLIYDFYGFPDHFYKATFPATGSPQLAAKIQQLLT KADIQSKGLKRGLDHGVFSGFNVAFPPNTDQAITVPLVQVSLFKSEDPDAHYRLGQAV EELRDEGVVIICTGMTVHNLRDMQFTWGDPRPLPYAVSFDDALKEAVEGDAEGRLDRM REVVKRGDARQAHPWMDHLMPIYVAAGAAGKDKGVQTWTLHEGSFAWAQYRFGDVPEE NEUTE1DRAFT_89385 MASLARRPPLLVPAVARHTATVIFIHGLGDTGHGWASAVEQWRR RQRLDEVKFILPHAPSIPITANWGMKMPGWYDIFAIDGSAEALRRNEDEAGILTSQAY FHDLIQKEIDSGIPADRIVIGGFSQGGAMGLFSGLTAKCKLAGIIALSSYLLLSLKFA ELVPKPEFNKETPIFMAHGDADPVVNYKLGTMTRDLLKEMGYKVKFTTYPGMGHSACL EELDAIEDFLTERLPKVADKSEQKSEL NEUTE1DRAFT_70700 MAPKSPPSPTLSQLPPVPSSPTYSYASTANANLSQFNLPLPPPP KQAHAVLTKHDLEASQAAYADLVSSAKAFRLALTSLSTAASAFGSALESCARLKEARA EQIVSAPSDRGGGSPVQGGQGQGGGPPPLLMANSFTSAAQGTTKSTCTADTLLTVAGL QHLVANHQHILSETVYRSFEVPLLHELDKWRGAVEDEEESYASAVAVQSKEIRRLEKE GMKLHKQRRRDVAKFRSHLVELTTKLDGLTTLHGEHSRTLLRESQDTSARIVEASCSL VRAEIDIFESMARKGWTGGGLEDVLEQGQDLFASEDVIADRTVGHSDGRFGGAGGNIV AGGAGDGGIASGGAGEGSAIHSPDNQSTVVYSPRTPPRDKDTESVFSSEGVNRPRGPR PFSPQPQPLALNPKSLFGASDPPSPTAGPRENDEREQDALFSPLGNQSLTLPLPGSHS TADGDDDEDDEALVSPWRDQIPCRFRPPTEPDEEQQQQQQQQQQQQHEIRPRSNQSSS KRSSYSGRLSISLGINRSLTGSSLQRLQHPSAASTSALPSEEHELRDGSWSYSGQPGS AGGLMSFVSASRMDVATAGSSSDDQYHSQDEYTIHGSHEKHGENSHGEKKERDMVGEE ENDITPTITPMTPPTQTAATVTAS NEUTE1DRAFT_89391 MAPLILHNVPDDELYVGDDGIQRPYAMVFPHQDGPRSRRTVHET GSFGKSTRRSRSKTATPARREDATIAAADRVFSNYLANLNPDQNLTAAQRKQSLIPSS LGDENAAPTQLSNKHHPTEVILRGYRSVSHQYAAINHYEELAGRICEDYPRDPPPENR RYKSELRDPLYARRRPLTAEERALVNRVDGGEHWVKVTFESAEAAEAAMIASPQAILG HLVFAEPYDGVPPARDEAVPDNASFISNGVTPAATNNRRASSGQQPRSRVTMTNPYTS YLDSNGNNQSPSSSRTADTGTISDTSSATITSAAMGAVAGAFTHGLDSLAHSTAGGAH HLSSVVDAQDPDSEFCRAIPTVRKAKLLPVDQALLPAPSYTQRVLNHVPLFKWFSGNM IGNEVPRKENGEFDWDRASLYWKLMWWLDARLCLFRGEVLSADKDE NEUTE1DRAFT_125385 MSLRERPGSSSNQDRREPRYHVYVRLPFNRGDFVDPPPVSWDDR KSEALWTIISGVSQTEIDCKSKSSHNAGFMLITLISRARAVSLPRPWRCASASSIDTV RCYRAVQFDVTVEFLLQMVSYLTERHTAQLRAQMRKAASGRGSAAPSPIPGGEPVGYA EGLRRAGSVGGRTSALSMRKEALAPKDDVNTPGIPTAKTSSNPLRPAISRNSSQTTLI PGQNPSLAAAPPTTSNNKIASRLSDPQRRRVSLLSINTKDASSGDAIHETDDNRSYRP PESPSPVASTSPSSDDSDYAEQMQSRYIRRPPRFQSAGQSKASDDDDDELAFLPPTRT RQHQPQQQQHQHQPKFQQRHNSENNSSNPTGSTSTSDGSGALDLYATVTKLPLNYRDH QPSPRNRIGSSGSQNQQQQVGHGHSLSSTKNHPQLSTIQSQTSDSSTSSAAIIPSNPR RLASGELRLGTSGAGGTGGPGARLPGPLSPRRIDMLSREGSEGAPSMGSSFSDLDDAS VTQSALEEALASRMQDGTIGSRMSMIGHTIRSKYLPSKGNRP NEUTE1DRAFT_104764 MSSNEKDKASTGSAAEKALAHNPPPGLEKAEGTQASAAKALDEN HQAPSTSTDFEQHNGDSTVGDIFIQPSVAALLTLSCSAVECPQSIGSSSLSLLLDQCT SSSVSVCSNQLVDIQLTDLLAAVPVFVYRDLGGVDRWVWFITAHLLATAAISPFVGRF SDVFGRRWVALAGSSFIVFGQIMCGVAENMDIFIGGMALTGIGTGINELTALAGTAEL APVAHRGYYIAGMILTIIPLLPSVMYSQIISAFSTWRYISILTGGWALVGLVMTALFY RPPAPTEGLNWKQKLSLMKDMDVVGGFLSIVGLALLEVGLLGGGYQYPWASSRVLVPL ILGFFVLIVFGLWEFNRAKTPMIPRDLGPAPRTLIMTMIITFISGANFFSVLMLWPSE AYNVYGNNPYGVGFRGMPFPFGILTGCVISLYLIARFPGHIKWIVFLTCCIMTIGCGA LASARLDNIHAMYAVLFIAGLGVGGIVVPASTIATIISPRDFIATITALTISIRIVGG VIGYTVYYNVFVQKLVPQLTKLVVEACIKSGITNKEIIGVVIELTGASLVDEIRILPG VTDESWAVIVAAGQEAYVRAYPWVYYCSIAFGGVSILASLGMEDISGLIDRTVMVHM NEUTE1DRAFT_70710 MANLLSSLTTFEEPYPERSEKPPSVNKIAYFHNEARAALRGGRL PEDWKNDLYRCAIRGIRHHEAFARSAEVVKLCKKQPESYFARARNARLIMSNQIPRMK TDGAKPYCFWHPDTPSEKTLRRLAKEYPDMAYLVGRACAVAGYDELYHELGLLPEVSI AEEAREQSAISNNPGCKAIFEHIMKQPVCYAVLDDYTRTVNHENPQCPAFMNGDTAVL STLDVTVGPEDYWTTWPGDYFNLDNEAFPFDITETAHVGEVTTDASYTAAQQGTMPPE HVELFYTPLPLHLPTIVKDPLIIMAAYEGNLDRYLRLRRPEMIMGEYGAVLRGIYHNT TFAKWWSVQPRDGHSYSHTNSENIRAATLARFIMVNDLSNMTATDPDPEENCHEMPGM IWWPLIPAERTLEALAKLRPDMHLQVAMACIAGNYTELWDKLAPEPSIQLWDMASWER DDSVRNHFVDYLRNRASELGLEVPGDLPEVCDYPAHDWCWDAARIRKEPDDRHGPDPS GWLPREITMHQTDDRPEIENIYGAWQQANVAGWELHIASTEEMRAKIPDGEISMWVSD EIDGQARPPTRDTTDTDSLEGSSQEADDDDDDVETSS NEUTE1DRAFT_51009 MITCEFLLFILLFLSSTGVALPTSTTTTNSSSSSGFYLPRPISY PTALIGPREEGPKTFCKNYGPVIDQTSAGSPYSVDCKQISINVGAGGSWKWATGSNPK IIAKYGTCLVGVEGGEGVLWGNWLRTGNEDVVWMIEETLKLPFYKGEKVGSKGTMECT TLTLPHRDFAIKWGVWHT NEUTE1DRAFT_70716 MDSSMTSAFRRAAESSTQAVGGLAKRIAIPLKPNSPPGLVQALT VDPWSKAGKYGLGWTYFSLIIVGLVLFMRIWHFWQDKIRQAIYKQEMEEHVRQLYSLE PEWDRSAALATGMTAAESRRHFFPDEPPLEEKDFKPKAHFSSIGFVNDTLALFRWVFY RPIPDIVWKKHRFTFSSLAVLFCVFVSIAFVTIYCFLQQPLYWQSIRFGSPPVAIRAG MIAVAMTPWIVATSTKANLLTLITGIGPERLNVFHRWLGYLCLFLSLVHMVPFYVQPV WEDGGMEVFEKLFPPGSGIIYGSGIACLVPLCWLCVGSLPFIRRMAYEVFVILHIPVG VIYVGLLFWHTKNFLLSWGYLFASVGIFVVCNIIRLFNLNWAKPWRMAWLIGDEAAIN IMTENAIKVTIPTQMRWKPGQYVYLRMPGISLFENHPFTISSLCSQDFPSEYGENYRD CVLVFRPYGGFTKRVLETAIEKGPFHTYRAFLDGPYGGMRRDLAAFDTCILIAGGSGI TSLMSQLLDLIKRMRDGKAITKKIVVVWSLKRLEAMDWFREELRICREAAPPESVTCK FFVTSAQRQQLNAGIPHGRAPRPLSNLFHDKLDGFVSNIANKRNSALIMAEAQGDPER ERELRAEDEDRITALPQQKYLQPHHFPPPPPGPPPNHRYSVAEDTLRKLEGRDVKDPS LMNPVDSKDGVKKDGEFHFPAIAPRPDKAPHFNYAPPAAKQRYSQWLAQTGGDPESQG RPSYVTMRESEDPDHQQQADRQQEQHEEGVEYNNNNDNPYSGQDASQLPYPIPPPPPG PPPGHNPAVAAAVTAESAPAPQATQPVRPPELAHLRTDNLPAAQRPATRATSTFGPPS GFDFGFPNTPTEFQKSLMRFAFPVPHQIDGGWSVEYGRPDLGYMLKEWATGGPDGRGV LGRRTAVFVCGPASMRVGVANTVARLQAEIWGDDMLEEIFLHTENYAL NEUTE1DRAFT_89407 MSSTTTTTTSTSAGTVILGAGIIGVSTAYYLSLSSSQPSSPSPP SEIHLVDPSPSLFWSASGFAGGFLARNWFAAEVSSLGALSFAEHARLASAHDGRRNWS YAPSTSLGYSAVAVDKGVKKRGDDWLRSGTSRAEAALGDKEKVPVVSVEDLKEKTPAW LRRREGDDVEIIGGEGDTAQLEPERLCRFLLGEAEGRGVKVSKGTEAVEVLRDGEGVI RGVQLKDVMTGEEREVKCSRIIITAGCWSGQVWEKLFGATSETVKRLPVTSLAGHSLV VKSPRWAGAVDGEGCHAVYTSHAAFSPEIFSRVDGQIYFAGLNSAAVPLPSVAEGSTV QTGSIELVKQAARELLGSGDDGEDDLEVVREGLCFRPVTPWGLPIVARVPDEHLGGGI RTKSGAEGGVFLATGHGPWGISMSLGTGIVMSELVQGRPLSADISALAFDDNVVGKAV QVQMS NEUTE1DRAFT_125391 MLPLQEDMRRQAQSWFGPRARRHHMKSLTSRAPRVSKENNDNSR NYYRQNKHRSASIASYTRHNTMSEPKVIIVTGGSKGIGLAVVQDLLKASHKVVLVART PVSELAKDYPGQVTFLAADMTVSDLALISYGKLDGVVVNHGVLTPITRLADASIEDWK SHYDVNVFSALSIVKEAIPHLRATKGKIIFVSSGAAIKAYAAWGAYGSSKAALNSICR HVAVEEPDITAVAVSPGRVDTDMQKELREKGQGVMSDKEYADFVSAFEEGQLNKPEWP AQVIAKLSLDAKSDLSGKYVAWNGPEVAGYRK NEUTE1DRAFT_118511 MFMIVSSNGYQNQTRMSTNAHAFAQRLPINDVALLDPPSSSLSI EVGMPLAL NEUTE1DRAFT_53072 MSDHEFGGNDDLSLPKATVQKIVSEILPSSTGLSFAKEARDLLI DLCVEFISLVSSEANEISEKESKKTIACDHITQALERLGFADYVPAVLEAAAEHKEVQ KGREKKANKFEQSGLTLEELERIQREQFADAAARHT NEUTE1DRAFT_96430 MASFIARRAFSTTVRRMTTGEEALKTESKKNPEILVLGGVMVAA FIGAGYYFARNPTASTAETAVPQTKNSMPWESGSGAGKYQYHPGGDPSAAPKDAPSAV NVVVVPNVTLPKSLHEKYNKWGKEGY NEUTE1DRAFT_89416 MASEEGPSRSASPTNVDNTFPQYPPRAELTVAGPPSLISSRMTD IGTEDGQGSDATPRGGGPSSANPNRRSGFYSDTQSRPETSGTGLSSRGPWAQSVPLRQ QLSGKRGSIAGSIGSAGGRPISAASRSHVPSLTSHGFFRPMSSQKLQAQRGAGRPPTM NRPYVITGEAGARNSVISTGSGRIGAQIAEDAELRMPSRGTEMTEQDTMDRMTANTSP INGFFPTSSVTDSVRPLQRPVDARNINVEMNKDYREESPTTPPRSPTRTSRSFRSSFL MPRMNESGVTGSNREIEGGEKLQSAASSPNIPPQSYERQRARFKRRAKRQNRANLGKN YEYFEGNTVFCLGGRFQNTKQRPINIATGSLIVLPCILFFIFSAPWIWHNISPAIPVT FAYLAYICVSSFLHASASDPGILPRNLHKFPPPEMEDSPTGPPTTDWVLVHSAEASTA AMEVPIKYCKTCQLWRPPRAHHCRLCDNCVETQDHHCVWLNNCVGRRNYRYFFTFVSS ATVLALYLIGACLAQILVYKNQHHISFGHAVNHFRVPFAMVFFGFLTFLYPAALTGYH IFLMARGETTREYLNSHKFPKSDRYRAFTQANWLKNWFVVLCRPRPPTYYGFKVKYNQ GDQRLGSHRRWQQPAVSDSKEGMEMQNVSPQLPQTGFMGPTALRNSNNGSTAEVRT NEUTE1DRAFT_125395 MLPPPTPSFLTRKSKILSQLSVPDVEYTDASPKGSVDVAIRELI DEINHGYEGLVTTSSCAGRVSVYLEGVKRKKDNKASSAGGVEGEGEGEGEGDGEGGGE DGVRASTAATAAAVTASSSGGKGGGEWLFVSHDPLETVDAKTGREYDGDHWMEVFGLT GNGSDQPGSGDGDGDGDSQQEQQRLIHFKFEPMILHILTTSPYHAHLAIQSGMTSGFR ETGAVSILPRLTAPFFSSCHQCHTHHNHLPQQLSSSSSASSSPSSSTTPTPTESVTPN PIVAIRSMGLSFESLIGVQHGSQRQSLVSPEYLSLLVKIANERFEENKKRIARFQEAL RLAFGEGATAGGVEGQKKKKKSGDGESGNAEWEDAEARKQRKREEGLARREEVRRRKE KEEEEKRRKQEEIKEENAGKVDLAEVVLQVPDVL NEUTE1DRAFT_70733 MGSSASKPSAAAPHVWKAPAPAGVSHTLVEQLESSPETDMSRQQ ALELQVQARVAEELKRLRAAEAAKLQETLKSSSSDEQQQQQQENDISRQKVQKEIEAL RAKLDEHRKVRPLPESTETARSEVVRCLREHDRRPLDCWKEVEAFKEEVRRLEKGWVD KVVA NEUTE1DRAFT_132607 MDQEMKQAEQSPSPAPMAMASLPAASPQPQQDVEMHQDQDSPQT PMQLDLSSPVPNTNSQPQQQQQQQQQQQHQPQQLQPQVNQINGQQLTGQIPGQFPLPG QPPSQVNSPVSVGTPGQVPGLALPAPVIKRRAPIACRRCRRMRSKCLHDKAQPPCQAC RDAGLGPADCTFPVRGQPDQDREYRHPRIRADKATKRDPAKVRREILDAPVRSPAPAV PRPIKGYDEWDLLPPLPEIVEAVHKFTAHYFQLGFIHKQHFPERLRTNHRSVSVFFLL SILSISARLTPTLVDRFGGAVQAAEVFMERASEVACRELYRDPDLERCQAFYLLSIAQ QGSSLKQQSSINMAIAMRMATLMGLHREETYRLPENPSEELIMRAESARRTLWMLHSQ DNLHSGPRCPVSLSASDITALLPCNEKDFATGQIPKSRAALQDTPPALENPSLVTDEG RSLFATLIQAHYFWGAIPRRVLSNDKVTDPWEPESEYAIMVNRLAEWERGLPNDHRWS SFLLKGYKQEGQDLAYLGVTMITRLCNIVLRKAYLTDILSDDKSQPERTAFWTEMSRQ LFSNVRDLYEQIDTQYNERSPEEGPGAQMAAFCVYSCGFLACYLCKYPNLCPEPAITR EGPSMVARVLSILAESRNIWPLATRWYEHLERFYTAQSGVIVGNEGTMADSMPTVQQQ QAQAQAHMALVQAQAQAQAQAQAQAQAQAQAQAQAQAQAQTQQAQQVQVQAQQPSQLH STFTAQMQAQQVQQALSEKQESVPQGSPEQAIISPAQPSVPTPAMFIDPSLRVPTSSQ PQEQTPAPTAPQLQDSTSPLQQGQQPQPVAAGVAGRQSTDGLGLLIEAFDTQQAGGVP PTTTAGQGQVPYDPQATPQQYYPQPGTLGVNDGYENELGYYMSDQGQPGAMQSWVGGT NMYGY NEUTE1DRAFT_70739 MEGSSDINTVLTNSLSPDATLRHAAEQQLSQAAQTNFSQYLVTL VQELANEGAQSHIRAAAGIALKNAFSAREFARQAELQAKWLQQTDQDTKTRVKQLTLE TLASSSTQASQASAQVIAAIATIELPRNEWPDLMHALVKNVSEGSEHQKQASLTTIGF ICESQDVDLRNSLVQHSNAILTAVVQGARKEEPNREVRLAAITALGDSLEFVGNNFKH EGERNYIMQVICEATQAEDSRIQQGAYGCLNRIMALYYENMRFYMEKALFGLTILGMK SDDEDVAKLAVEFWSTVCEEEIAIEDDNAQVESSEQMRPFYNFARVATLEVVPVLLQL LTKQDEDAADDEYNISRAAYQCLQLYSQAVGAAIIQPVIQFVEANLRADDWHLRDAAV SAFGAMMDGPEEKLLEPIVKSGMQPLIGMMEDPSLHVRDSTAYALGRITETCSEVIDP AVHLDPLITSLFNGLMSSPRMAASCCWALMNLAERFGGEYGAAQNPITPHFNQCVTNL LAVTAKLDGDATVRTAAYEVLNVFVQNAANDSLPAVASLSDVILQRLEETLPLQSQVV SVEDKITLEDMQTSLCTVLQAIIQRLDKEITPQGDRIMQVLLQLLNTINGKSAVPEGV FAAISGLANAMEEDFAKYMDAFAPFLYNALANQEEPSLCSMAIGLVSDITRSMGERSQ PYCDQFMNYLLNNLRSTALANQFKPAILQCFGDIAGAIGGHFEAYLSVVAVVLQQAAT VTASAEGSYEMFDYVISLREGIMDAWGGIIGAMKGSDKTNVLEPYVQSIFELLNTIAQ DPNRSEALMRAAMGVIGDLADAYPNGQLAEVFRQDWITAMIKETRSNREFQQRTIETA RWAREQVKRQISGTQGMIQT NEUTE1DRAFT_70741 MPMLKDPSTKYKPFPPLNLPDRQWPNKTIVKAPRWLATDLRDGN QSLVDPMNGDQKWRYFQMLTELGYKEIEVSFPSASQTDYDFTRRLIETPGAVPDDVWL QVLSPCREDLIRRTVQSLKGAKKAIIHIYLATSECFRRIVFGFTEDESVELASKCAAL VKSLTKDDPEMAGTEWAFEFSPETFSDTSPEFAVRICEAVKAAWEPTVENPIIFNLPA TVEMATPNIYADQVEYFCRNITEREKVCISLHPHNDRGCAVAAAELAQMAGADRVEGC LFGNGERTGNVDLVTLALNLYTQGVSPNIDFSDLGKVIKTVEECNKIEVHPRAPYGGS LVVCAFSGSHQDAIKKGFSLREKEGKEYADYWQVPYLPLDPKDIGRDYQAVIRVNSQS GKGGTAWIIQQHLHLDMPRGLQVAFSKVVQRIADEKGRELLPTEITDLFKKTYYLDEN PRFNIVDYSINPDRSSSPAPPAPGKTQDTKNLGRVFEGVISIEGHEYNLRGRGNGPIS SLANALKSVGVDLDVQDYKEHAVGRGRDVKAATYIECTAAGQTEKVWGVGIHADVVQS SLIALLSAASNFVGSRVGSPIIPKPVADKEVSGDVNLSVPNGVNGKSIIQALESQADE M NEUTE1DRAFT_104778 MSIPGDRQHFWKVKQWSWMPGVKRNLIYAPLWNKRHNREIRLSS AMNMGTLPSRLHSVLLLLYLASNLAYMFILNWGNENKYSFLAELRGRSGTLAMVNMVP LIILAGRNNPLIGLLQVSFDTYNLLHRWMGRVVVFEVLLHLIAWAIVQVADNGWAGVK EKTFNDRFIGSGMAGTAAMVAILILSLSPVRHAFYETFLNTHIILAFVSFAATWVHCV TATLPGGLPQLPWIIGIFCVWIADRFARMFRLAYCNWSRARGITTAFVEPMPGETCRV TLSLPRYVDVQPGTHAYLRFSGIRSWENHPFSIAWVDHTTEDELLPITEKDIGAAASP SSRLSIKKPVATSVSFVIGAHSGFTRNLYNHAVAGTADRRKPGITIRAAFEGPYAGHH SLDSYGTAVLFCGATGITHQISYLRHLVEGYADGTVATRRVVLIWIVRDFESLEWIRP WMDAILRLPRRKEILHIKLFVTRPKNPREMASASRSVQMFPGRPNIPMLMAKEVHEQQ GAMCVTVCGPGGLADDVRHAVRNCQRERGTVIDFVEESFTW NEUTE1DRAFT_118517 MFYGSIPLFRLIFPSPFAPRGAFVAGLHDISEIVPFPDNKLLGP SAHGLGAWLFDPVRTTPP NEUTE1DRAFT_96436 MSTVARTGESAGKVLSLYRQLLRQGNQFSSYNFREYAKRRTRDA FRENKNVEDPRQVQELVQKGLQNLQMLKRQTVISQFYQQDRLVVEGGLSGKDKEGGKL RQKDTGWD NEUTE1DRAFT_113788 MAPTYHRSAAQTRRGARSGFPEHDDFEGLPVRQWRQEWVNVTPP QPAEATQKNDIWDVELPFGMPKETKLLPTHSQELLRAARSGRLYKRPAPTDDDDENVD IDNIKGDKKESDAAAEGFTVKMWKQTPRNIEGPAESHLAKRHKNTVTLPPKSRLVQIA QGPTVTRATVRRIDAAGNPYEQTITLAEGQQVDGEIISTTVVPAPVAAAEVAAQAAPP APVRRRPPPPKRKAKGPGRGRKKGKLPLPTTTRPEPTSVEAGDAEVKIEGTPVNGIKI EETGDSANQDSEMADSSQIPSDDDDGDDGDEGEEEGDADESMAEGDTPGVDTSISQDQ DQEMGDAGALEVIRPSSTEESEERDAGNEEDTAARSRLGSSLAPPTLGSLASMRLEGS PLKNVMIRSPTEPPESRSDVPGVEGSFFPSTAVDDVKSEVTAAAKEFDTQDNSVSAAF LSEVVVEAEQPVADAVDVTTGTIAMDVDTNSEQQQPAESTPSAEAKVEDLGAALDLPG LRPEAPTSISTSTTSSTAGTTTEMFTTATETASALIESSSVPADAPMEEVDVLREQLS DDAVPTIAEPLLQPPDSPALLPTATTEDEDDGLNLLGSLERELDRQEEVSRAGSAASG AGTGAAAGATTKQESNGGVDNQKDTKAGEEKPLSEPETQGAAGEDGGNTAESDKVGTE ATVDVTDIADAADPPPPPPPHPPSPSPPATAASDEEKPKEATAGTPAESQEAAKQQEQ GQKEDGPASTALSADGDSVLKESEPESVHQVVEPPKQE NEUTE1DRAFT_118519 KQPRFFLEHICQKYLRVTSSISSRAKSSLQPPLFALKLAKLLFT FFPKTELSKITIHYTIPGRVTSFS NEUTE1DRAFT_118520 MASEQNSQTSTRASSPKLDGKFDDNASVDSGFASANSSTANLPL ISLTKPHLDHLNKQLENMEALDILRFCKILFPNLFQTTAFGLTGLVTLDMLSKLAKES PDAAPVDLIFLDTLYHFKETYALVDRVQERYPNIRLHVFKPADVSNVDEFEALYGEKL YETSEELYDWIAKVEPQNRAYQELKVAAVFTGRRRSQGGARGSIPVIELDEERGIVKI NPLVNWSFQQVKAYIDEHQVPYNELLDK NEUTE1DRAFT_89443 MSDKSNTARVQRPSLSSSMRSSSYLQEHQQYRAPNKPEGHYGID TVVEDMNATSIATLPSPIIPPKGVARFKGIPSPEHPPTIVESGLSHELSHPNCTPAPG TRSDKLVATLYYKSTAPRISNAIPSLQQASSPAGSQSPKGRAADSEIPSNMAPTSSLD TFPLEPPTAEPEPLDHLYGSYVSPMCITSFLHLMSSFPLPPGASDLNSAHRCLKLDQT PDHHPLVVELTLSPGPSLDYLPLADLRKHELIYRFEREWNVDVALRADTLFRRYPRLV VFDMDSTLITQEVIDLLAATIKDPPDLAARVADITHRAMLGELEFDSAFRERVKLLTG LPGTLFNDLRPVLEVTNGVRPLLRALKRLGVKTAVLSGGFLPLTSWLAGELGLDYAHA NEVVIDDATGRLTGEVKGRIVGAERKRELLIEIAGKEGIPLDQVVAVGDGANDLLMMD AAGLGVAWNAKPRVQMEASARLNGDSLLDLLYLFGFNDEEISQLIQ NEUTE1DRAFT_118521 MGKEDKTHINVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFE KEAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYYVTVIDAPGHRDFIKN MITGTSQADCAILIIAAGTGEFEAGISKDGQTREHALLAYTLGVKQLIVAINKMDTTQ WSQTRFEEIIKETKNFIKKVGYNPAGVAFVPISGFNGDNMLEPSTNCPWYKGWEKETK AGKATGKTLLEAIDAIEPPKRPTDKPLRLPLQDVYKIGGIGTVPVGRIETGVLKPGMV VTFAPSNVTTEVKSVEMHHEQLAQGVPGDNVGFNVKNVSVKDIRRGNVAGDSKNDPPA GAASFTAQVIVLNHPGQVGAGYAPVLDCHTAHIACKFAELLEKIDRRTGKAVEASPKF IKSGDAAIVKMIPSKPMCVEAFTDYPPLGRFAVRDMRQTVAVGVIKAVDKSTAAAGKV TKSAAKAAKK NEUTE1DRAFT_118523 MPDKSKSEKVTVKRRQEMYQRASVDLYRRLRMFSESLGDRRDER DRRWARKESKYMTNTEV NEUTE1DRAFT_89448 MLSRKVGGSFVCLRCRLQLAGAATTLTTRRPLPFEPPTATRAAA VTPTPAAPFANRRHYTSDRADAAETSRQRSKGTDAPDEPNRKHDLFNPEPFHGTADQG RADPADITRQIIQGFDAVDSELQSNREEETASSSTENGTQHGDGAQGPNIIRYSPAER RERKQETLVRHKGQRMAVKQEDLTVGMLGKPSQVVVLRSRGKLSKRQTPQVTPAPAHA AVVDLEESLEKHDLYPVEEEILENIQELRPEEAVLTGKQFAELLETLYTSFTSRQLEQ YLIHHAEAQQVLSKPLAEDPPWIVRRYPWIPAVEGAMTPYDYRHPTLRGYYGSDTKPK QKLALRIMLECWELASHDIIDGQGHLVVSLRDTEFSLLMLGSRRWLADISRNSLIAGQ KIELIPSSNAICINAPKAMSELVLSEVNRVLEHAKTISLDLSLVTKQAKNPAVLKSVG DVTHSVVRLDPAGDKINVTWVDMPGRNETLENMADIVLRFLLVAFGQKPRTEHITLTL PPSATESGRYLVEPNCQPKLPWQERIKLWARWIAAVPKAGSSSTGVKIPPDILPHPLN LAKEAPRHRQLEASFPELHTGQSAKGWSEVPETDTRAIFGHVLHAASRSPDPAQAQRS RIAGSASTSGFPSRLDTSLSRTFMPVLPPISELKFANNLKTQGLYHSDIVMRFVPAPE QPGPIKATSAPDLELVLEADHREIKGFSSLRAITESFTGDVICPSSPIDVRLKQRRHY TMDVRSIGECGPIVEFVKKSDLRPWDGKLKTPSSIEALPLPKRLLSGPSTRSIDEEDV PVKYLFAGMEIHRRVAADYKGFTATYRSIEAGQRGGKYAELSVDAVRAEVTRALGPNN LQELRKGPLPPNLGEAAKLDPTAVPGGSATITPLNGHMSQGHLKPVTPEERGINWKSG ITLDEAEFRELLESDKESVSGMKKASRSAAAQEAADELNNLDLEDMEGRDPGAPGKQE FKSALEEEIKKDEWLDELPPLQRKDGEESVVDDSDPWDGNDPKHLNAFLDVVEDIVSG RAPPAWQGR NEUTE1DRAFT_118524 MHGVERGETSQLIKKLVRYALACEYSRTPIRRDGIKERVLGIHG REFKKVFAGAQKQLRATFGMEMVELPTKDRNLLTVEQKRKATKSQSQKEPTSNSYMLV SVLPECLRVPDIIAPSKVQSADGEAAYIGIYTMLIAIITLSGGELSDPRLRRYLTRLN AAENMPSSNPNNENAPSEKTELVLQRMTKQGYLVRVADNKNAGDDDAITWHVGPRGKV EVDNEAIAAVVREVYGGGRPNEDLERKLQASLKVKERKPPRSAAADRAPATIQEDEEE EDAEGNDEPEPGPSTRRGRRG NEUTE1DRAFT_18609 QADKLRTQQELERLQAKYIGTGHPDTTSWEWKTNIHRDTYSSIV GHPPLLSYIALAENEPAAKVRARLIRKMLQPMGPPPPRE NEUTE1DRAFT_125408 MADDLLYLSSLTVSRDKQLAKHLDGIRSQCISQHGSLRSNSATG AHPSVSSSTTTSSSSPPPKFLVTCPDCYRKALDLVRARYHQSRAAAAGEWCTTRRSFL RDIDTMLAGAKEYQVDPRTIDDRVQAERDSWYLEVVQSSLVRLLRTVIGKLDDAVLPD DADPAAAAAKDHIVERIEELQQARQAARSHQNVLRLAEDIDKLLQGGKDENGDATMTG MDTGFPPEFAAAETSDEDRVEIMRKSFCSTVAQGGDEKNLQGSQKKYFDMFKNGTPLG QVIDRILDDRKEATGAHAKIGQVKKRLEDLKRARAAYELQKTKKASISEHKVPEELYN LPACHNCGQMPKTRDFLSCPLCMVLVQKGVRERQTVWCSPGCNTEGLPSHIETDHQCA SRDDCVQVNPLRHSHQHYDPDQDVNMDGTSTVASSPVDSDPNASSPVCFCRECLTTLK CESVFCSLRCYDLNFQGHRENIHMPTRRQLNIIVTDRQHLEYFPMPPLSSSSGPSARD RDRDRGDRDSYNNRNRNNNDNNDDGGPNSGLGENPPFLQIRTRYKARNIKDHVIPFDE AISNWEEANQVKAQI NEUTE1DRAFT_132618 MKKQQQQAKAQELQRQRDAALPQSPPRLPALYNGAPAPQLGLGT EARPDSLAIISGTTDSTGVGHSSNYSISSTARPSMEAPRSLYKVPPPPPIPSGFDPYV NATSMAHRGRYSYASSAVSTINSPRRVRRRKDPTAFNILVVGASNSGKTSFLEFLKSA LALPKRRGKPVDQQDHSPKPSPSGNFIPHYLETEFDGERVGLTLWDSEGLEKNVVDLQ LRELSSFLESKFEETFVEEMKVVRSPGVQDTHIHAVFLLLDPARLDRNIAASWAGAGN MFLNGIRHSPSSRIAGALDEDLDLQVMRTLHGKTTVIPVIAKADTITTKHMSVLKRAV WDSLKRANLDPLQALGLDEEDDDDSSDRIDEEEENPVFDHSDTEEAVHDEERLTAKQG SSPSSNRHSNGSVRRHKPSEEATSEDEIPFVPMSIISPDLYEPGVIGRKFPWGFADPY NEEHCDFVRLKDAVFNEWRSDLREASREQWYENWRTSRLKQHGAKIR NEUTE1DRAFT_149468 MQSLYPNSSRTAWSHATTAPGPSAGPFEPLTPPSPSKLGAPYPA RSYTRTRRWSRRLLIFSSLIGVAYLTDKYVYASGLARSLRTFGVGLVVAADYKLNFRP ELLSIPWIGIPEGIPELHRRNAERLSDLLRHNGGLYLKIGQAIAMQSAVLPPEFQAMF SRMFDDAPQDSWEEVEQVIREDFGGRSVEEVFGVSFSGAEGRGVMERTARASASVAQV HWARLPDGREVAIKIQKPEIERQIGWDLWAFKVVTWVYTWWFDLPLYSLVPFISERLR LETDFENEAKNSEIMRQLVENEPSLRGRVYIPPVYPELSSKRVLTTEWIEGIRLWDKD AMTRPWLGGYGKGSAGVHGAQLDPPDMPAIRSALRKNTQGDTFMIKPERTEWKGRRGK GGLGLSTKDVMTTMVDLFSAQIFKWGVVHCDPHPGNVFIRRLPNGRAELVLIDHGLYV YMSDKFRHEYGEFWKALMTFDNNKIKEITDEWGIKAADLFASATLLRPYEGGDDGGFQ AKLMKHLDGNKTASERSYEMQARMKQGIRDVLADEDKWPKELIFIGRNMRIVQGNNQY LGSPVNRIKMMGEWASRSSFQDPNLPLGQRLVNYWHHFLFKCVLALTDVAFYFFRVRQ LLHRGGGMEDELEARMRDVANEFGVELQHNVFEG NEUTE1DRAFT_141679 MYTFANSRSSHIRAATPFPDLPYRETRTDRVINYENELLLMAPL QQQQQQQGRR NEUTE1DRAFT_132620 MLRPATPLAVLLFAAFGLLTLATISTPIIKQIPLSSFEIKDVGD LSFGVFGYCTSSGCSPIEIGYDTSAFSDKINSDFDIPRATRSTLSSILIVHPVAALIT LINFVLAIVAHFHSPSHSARYLLILFIVSFVDFIVCLLCFLVDVLLFIPHLSWGSYIV VAATILVAFCGLVTCAMRRTLVNRKANRKRIAENAEMSGENYYNRQAQTAPVTQVTGP QPTVPMISGANGGGDKLPEFTTFEKKDDRSEERIPLTSVSPIDRSPATLVNDSTPPNF MDGAPSRSPSTTPVGRDQYGNPLPPQDGYAMRGGPQNERLNSRGRGGMPPGGYRGRGG FPGPGRGGGPPQNGRGGYGPPGRGRGGYGPPPRGYGGPGPRGGRGPPPQGYQGGPDRR PSPGAPYGPGPGVGTYGPSQPAPYANRQQSPGPQFAAPGYGNPEQPGPQYSAYNPRRV SLPRAESPPPLPGIDDGMPGPAVELDASPANPGVGQYGIRDSDSDVAGMLAMQQARVP DPDRGNDANGHSQDGPNDVYVPPRQAWNQGLGGSTPGLAPPTARGPTRPISEAVTATV ASDYYEDVDPRFAEPSAAADKRPPPISMQPPPASNSYDDIPNRARSPAESENSNFTSI SQRGINPRWNSANTPMPPPVAGVYAGGGGGGNVVPRRPVNRPGAGPADGSDLFLNSNP DFQLPGRGGNMPRAAGPR NEUTE1DRAFT_125412 MALEPVKPTATVSQAPPRRIPAIEVYSASQPEEKSNTIAIPVYT PTPPSPDMLQPRHARHAHLMASEIKLQEWLRAQSALAAATSPDHKPTTTDIEDTTKAS KPATFNTVGTLHNPNATAPIHPPTRRPRTRRFNGLQSPPGHYGYGPFPNSLLSALPVA EAEISPPLTKTTLPHYSPLQQNLDRAVSPFADAEKSQAAVMSLPAIRAGNLPAPSTAA LGALPDLKENTSATGDDDSSTGDDILLASTFSVKSLTSLASYPNPMQKKAQNTLALAK ARTAHYGINKPDTPSSLLSKPSFTGWNQSAIPYGTTSAAIGTPQPLTAGPPGQRPYKP STAESTYRIFRSYDDERLPLRNSPGFLSMENDSSVRGNSALQSLSSRNKELHGPGDRL HSVLVSGATPVDDRLKVARTSFLEDTEDISQQRFGNNGYSPNKVPNLDTLPLDKAMHY FRDDLPSSYSGQLPTLDDHQHERYPLQSRALAWNQSPEEEAWRRERVDRIFYSGVRLL ENKANELLSISSARTSESKLGVIGGERREPNSNDEKECGDPLVTMALATLLACKQGSK LNDPQNPFPSDWSKADPAWIDTSEDGNKSFFTTKPEPVKKKMARRVTRRGY NEUTE1DRAFT_89466 MDYTNPTYFAGAAAQPPYHFIGIAPLTPSHSNSASSDDFNASPQ EIFDQFPNGLPHDQFQNFEAFAQFNNQSTTFAGPPTPPTQQLLPTTQPTNGTIHLQHQ QQSAADLLRSLNNAKGDPADEARARRQGSNSDEDENLTPAQSRRKAQNRAAQRAFRER KERHVKELENRLQQLEEEAQVTRSENEKLKQDLQKISTENEILRATSLAAVGAAAAGS PLGSAGTPMTTGPMSYKPTDFYTNLLENHNEKTPSHRVVKSESGERLLAAGAAWDLMQ NHELFQRGLVNIQAVSELLKGQAKCDGQGPVFEERAILEAIEQSVASGSDELL NEUTE1DRAFT_149472 MVYVRQEKLPNLREYKYSAVDHSLTSKYILKPFYTNVVIKLFPM SMAPNLITLTGFMFVVANFLTLLWYNPTLDQDCPPWVYYSWAAGLFLYQTFDAVDGTQ ARRTRQSGPLGELFDHGVDALNTSLECLIFAASQNMGQSWYTVATVFASLLTFYVQTW DEYHTKTLTLGIVNGPVEGILILVGVYTLTGYLSGASFWQQGMLPTLGVPQTLTFGTT ALTLPSFLYNFSFTEWYMVQGTVVLVYNTVESARNVIRARRARGDRSRYALVGLLPFF ATWALVVAYLFLQPNILHGHLVPFVLFAGIVNAYSVGKMITAHLVKLDFPYWNVMVIP LGLGVFDSLGPVIHRYAPDGLKNLGWPSALGDGVYQVAYMFCMLGMAVGVYGSFVVDV IVTICDYLDIWCLTIKYPYVEGQDDPKVSKQVVGSKDELKEKRG NEUTE1DRAFT_70784 MNYGKKDEDAETGLVKVDRTQVFQEARLFNNSPIQPRKCRILLT KIALLLYTGERFPTNEATTLFFGISKLFQNKDASLRQMVHLVIKELANSAEDIIMVTS TIMKDTGGSTDAIYRPNAIRALCRIIDATTVQSIERVMKTAIVDRNPSVSSAALVSSY HLLPVAKDVVKRWQNETSEAAANTKSTGGFSLGFGSSNRDLPINSSTMTQYHAIGLLY QMRMHDRMALVKMVQQFGAPGAVKNPAALMLLVRLAAQLADEDPHLRKPMMQLLDGWL RHKSEMVNFEAAKAICDMRDVTDAEVTQAVHVLQLFLTSPRAVTKFAALRILHNFASF KPAAVAVCNPDIELLISNSNRSIATFAITTLLKTGNEASVDRLMKQISGFMSEITDEF KITIVEAIRTLCLKFPSKQAGMLQFLSGILRDEGGYEFKRAVVESMFDLIKFVPESKE EALAHLCEFIEDCEFTKLAVRVLHLLGLEGPKTSQPTKYIRYIYNRVVLENSIVRAAA VTALAKFGVGQKDPEVKRSVEVLLTRCLDDVDDEVRDRAALNLKLMKEEDELANRFVK NDSMFSLSFFEHQLVTYVTSDDRSTFEAPFDISKIPVVTREQADAEDRTKKLTATTPS LKPPKVGPTKAAPTSAEAAASASAAAQKYAQELMQIPEMAEFGAALKSSPVVELTEAE TEYVVGVVKHIFKEHIVLQYEVKNTLPDTVLENVSVVATPAEEELEELFIIQAEKLET DVPGKVYVAFRKVSGEGSLPVSTFSNVLKFTSKEIDPSTGEPEETGYDDEYEVAEFDL AGSDYVIPVFASNFAHIWEQVGASGEEAEETLLLSSMKSISDATEQLAKTLSLQPLEG TDVPLNQTTHTLKLLGKTVNGGRVVANVRMAFSAKSGVTTKITVRSEEEGVAALIVAS VA NEUTE1DRAFT_70786 MTGRGRGRPGTRQQQPAEGTTVAVRRTMRLQQQSPPPTQGEQAE QHQLQQQQQQVHDAQIDPAITGAQESGMPPPPVPAPKGQSKDSEQAFVEVARRSTRRD ARAESMVSVNSVLTRVSGTDAISSQPETPSFAFRRGTSTSFTGAPARGVSIDTVSELG RTPARRGKERLLMSRMLPHLFASADKLFTLLATIHPQQRDPDEQAALEEEFEMYRLRY ISNNSEPSINLKHVLSAMEVDPNSPAGSDACKTVTLANLATMFDRIDVIQQGKAEDDL ADLQVCDDIIAQSLVVDGVENSAVLKPEDIMTLVVEIRTLLFMLTLQNFEAQNQLPVS RSEILADTFCQGVPSVEAVEDFKQGNKDALPLRLVPGIDPNGDPWHKEGYITRLQSIC GCLPNESIDDAALHACLEHIKKLYDPHTSLRTIRDSLETCFQETKRQLQPVPPGPSYL ATQYGAPDSSAEETQIQSQLESESLAVTGQRQLNYLASLQKIPLESDSEASQAQDGGF STTQDIFGRPHELPLSSYPPIGRAPYPPSFDAQQSPPLVYPSNPSAFQNGTLYAQSAA QLGRPKRASPSGNDSVVDGSDASKPPAKKPRTRRPRPAVPTPAAEGAMGDVGTPSSSA ATAAAAVAAVARYSPSSGTQAEPDLDVVSQRSRELSAANRKARGPQSRSPWLRDDIRM LIKAVDTYKCKWSVIEKEIKNGNIPFERPRDQQALRDKARLLKQDFLKADAILPPSFD LVVLGKKEKEAVKACGKNPDRKEDDVRNGFPINTDYQAQEPMQEQASAAEAEDQAQVQ GEEQPDMQLETQAEVQTEAQPEASAVVSAEVPVDAEAIEAASTS NEUTE1DRAFT_89477 MRLRQLLIPSLAATAVIAQSTTESSKQLEKNAAIDSLVDVPPVA KKYGTKDAPVDGKDGKPHAGPFVDFESSAPETTKDLPVLKDRPEDPTIVGGKKIPDTN DGVMDDPNRQGPKEGTTGTSGGVSEKTKERILKENETGEKVENKPQAPKEAPPLPHAE QKKVDKETQDKHGDKAADDITGFEKPANLPDKTHDKSPPLPDSAGRIDHLDVPSGEKT GASLGDLGKGTEGVITPFHSFFLSFTMILFSEIGDKTFLVAALMAMKHDRLVVFSGAF AALITMTILSAVLGHAVPTLIPKKITNYLAAALFLVFGARLLREGMAMSPDEGVSAEM QEVEQELEEKEHLARKQGRRRSSVSPYSLEMGLGNRKSRSKSRFPTPPRSPSSSPDAR NASPRGRNLTECLAGFNNLVSLLLSPAWVQTFVMTFLGEWGDRSQIATIAMAAGQDYW WVTLGAVVGHGICTSVAVIGGKAIAGKVSLKVITVGGAVAFLVFGVIYLVEGFYS NEUTE1DRAFT_89479 MSGIVESVQAKAQEVAKEDYDRAKALINDAAKSGSYLYPIKGIF YFLSHRSLWKPLLKKLAPIVTLSVGVVTGMFFFTYVPQLALMTFVNGPLAVLTTAVLV LNESSAVISFLSRNFVMQDALLDTFDGTLISRDATNLVSEGRELKSGRDPIQRLGKVL KNPFQRFSPKALIRYVMYLPLNFIPVVGTVAFIVLQGRSRGSTIHDRYFQLKRWSPNQ KAEWLSKHTGPYLAFGVLATLLDMIPVASVFFSFTNAVGAALWAADIEHKETEMTDGT APGLREAAKKAE NEUTE1DRAFT_54505 MKVSKAVAGLVSATVLFGSAPAVSADETGFLDRSHRALGKGHAN KASGPDYDLYGGYTPEEKVYSYPPYGYHPPPPPPFSLTTSAFDEPTTITSQDLELVVL NRLRDFRDRCVRVKCYHSSLNATPYYELIGLNEFRELRHFSGEPSSFREWAVFRHAYC YIFWVHKQFLIHVS NEUTE1DRAFT_104799 MAMKVSLLELSPSTLDEPVPTGCVPVAVPELDNVPLGKGNGTDE MDDALDNGLEIPELVTDVGDPLPSYRNKAAEVGASLIGLVPVPVGPGKADVELVKGNG TFEAPGLLVDGRPLKGPVPRGALLFEKALVGKSVLEDDAPPVAEGPVAPGSVMVELGK GYGPDELPGVIELRGTAEAPVPRAVEPPVGPASEVVAPLITDGPVPRGAVLAPDPDTR VVLFGKVYGTLGAPVCVERGIGIELDTGDMEPEETAPGDRVPVPVDGTVLFVSGKGTD GKPGVEVGSAEPIGPPVPEDPGNIEEPEPKPAPVELGLISDELDIGIGTERDDSWEVT DPVVLDPVGPVRGADKFVTGKGAEDDPDGKMLPDTGGVKPVLGALVRLEPVLGSVVPS YELNDVVTEALVPEGGGNVPDSGKLELEFAVRNGGELEPEGKVLLLEGPVAVLLAEIG KGADIPDKLDEEFGRPEPPDAEEDVAAGVGV NEUTE1DRAFT_118529 MSNPPHGGVLKDLIARDLPRHAELEAEAETLPALLLSERQLCDL ELILNGGFSPLEGFMNQEDYNGVVKENRLASGLLFSMPITLDVSEETISELGLKAGAR ITLRDFRDDRNLAILTVDDVYKPDKALEAKEVFGGDEEHPAVKFLYETTKEYYVGGKL EAVNKLQHYDFVDLRYSPAEIRTHFDKLGWSRVVAFQTRNPMHRAHRELTVRAARSHH ANVLIHPVVGLTKPGDIDHFTRVRVYKALLPRYPNGMAVLGLLPLAMRMGGPREAIWH AIIRKNHGATHFIVGRDHAGPGKNSKGVDFYGPYDAQYAVEKYRDELGIEVVPFQMMT YLPDSDEYAPVDQIPKGVRTLNISGTELRARLRSGREIPEWFSYPEVVKVLRESHPPR SQQGFTVLFTGYPNSGKDQIARALQVTLNQQGGRSVSLFLGENIRHELSSELGYNRED RDKNIARIAFVASELTRSGAAVIAAPIAPFEKARQNARELVEKYGDFYLVHVATPLEY CEKTDKRGIYAKARAGEIENFTGVNDPYETPAKPDLVVDCEKQSVRSIVHQIILLLES QGLLDRF NEUTE1DRAFT_70796 MPSSKDQDVDFDNNSRIREDRLPHRSRSDLRRDKDRDRDRDRDR DRERERDHRDRNRERDRDKDRERDRDRDRDRERDKDRDHDREPSLLREKRSSRTPRLL KTTDSDTNSRSSRQSVSYRRHRPKDMDREESSILSGATSSMTDIVPELARSIDRPNIP YPTFSKVHSKDLIYSKEVISSTRTDPLTPDGTDLGNSDKRRSKSVDSRSAPRKSSSLR KESRKEKEDRPPSPPETDLSDSRRRRSGTPVSVKEGDQAPERSDSKSSNRMSRSRSDA RSKLSKVSSVSSEATETQSQTFTSQSRHSPETTPTENTDASTDLSAVKGSTMESMADT VQIRRPPPIEVDSSPESAPDSSPKTPTQTPQFPPPAVSQEPKQARFDAPSDFTAASEA VTDTTAQRAPPPPPPPPPPPPPPLSINLQEATRVDYLLQNGGLPQTVPKTFLAVLPRQ NGNRPSNPPLQGAETLFAPFFNLLNQYKTVLQNHGSIAVATGHRTVARRLLDRLENVF SRDLCPDGCPCIICQHSDESHRGLGWGEVLERVSGRVDLPQWPPFDLASLGSKAQEDL AELPPRPTSPINMDPDIAEEFREHYLRQTKRVRAAVDRWMQTCEKAPAPLPQEVDDET LTFAILTNLNPDDRPFFNALLSGSRDLHPATRAPTPSPLRKPRNDFVVKTGLSLQRLY RLSQAPRDAETAMYLVKNPGMHDLLYTISDINNSEWEILISGRFDGFLWSGADDDGYE RDTPFSRGTTPANGVGERGFTSPRILSPGIRNSRTNTPFSPGMGGGPMSSTPFSRGPT PASFVSGTTNNSSYPSRGAVSHDEETEIQVVGELEREIFNGMEALEDAFEKLHEQAMG VRDALRRRGAALAMSLQQKRGLGYRGIDVLPLSGSSGAYDRPAWADEERMMDGLSGYY DDTQSEWGLQDDISELAPDDSASQISSNRMRRPKRRRERATPAPIEEEDEA NEUTE1DRAFT_51564 MAVGRALFSTSENRAAANRRTQAAIRKHTGNAVRQTGKQPAPSL ERAKLDPSKAPTFVLPETFVIPPLSRFPKQFKPLMSYLWGIIRVKSLDFLLARQYRFS SMPGWRQKPLLSLKKAPLIAQTKALHRQMNEAIASGDAEMLEKIVDSYLYVPLAVNIE QRPKGRTCTWELVRYNKEPRIVSHKIFPLQGTKDKLLWQVTLSIASRQRVVEHERGRV VPGSEKELDLVENVVIGTMVSNETWATQNAWKIISTVQPMTPEKWEREQETVKLLAEA GAGSS NEUTE1DRAFT_125422 MRNRAKSSAQQRGATHTSSNFDPTAVDFKSRSTDSVNTYTQAAA TAPATPSGNGLVRRLPQLQQQHQIPGAPPPPPPPPPPPPPYHLLDHHHPNTIEANSAN VHSANNVPNPTTPKPTAATSTAMGAATPMTDTNHNSNKPPNNTASATNKTMTAHSSLL QPRVAVALGVPKKWHFFLWLCRQSSTFPAIWWGLPSALRLLAMLHLIIFGGSPTTWQH IFARVVAAGVGRSGGGGGGGATSTGAGGGGDMMGCASGIGSDGGAMMMGSPDFLSSGT GAGATRSSTTSGGTANENYNYSPVASATGFADLSFEARLRLTETLLAIVWIALCRDGE HIPLLPKPSATPVTNSSTRLVNYTPQATIVRLLTITTLNAYLTSQILYLTAGSQDPRL LLPAWRKINIRKETSVSIRAFSVASFISMVALLAQVHSNRTDYPQIPLWTLVTKAWQE VVKVAFKIMDYGTVARDY NEUTE1DRAFT_70806 MSIENLKTYDPFAEADEEDSGQTKQTQEYIHIRIQQRNGRKTLT TVQGIPKKFDAKKILKVVKKEFACNGTIIGDEDSKMGDVIQLQGDQRAKIKDFLTNKD EGLGLDAKTIKVHGF NEUTE1DRAFT_89496 MECETDRAGCMPKFTPEELAVDAVPVIRQATSGDAKPDWRPLFR HECTFNPVIFQDVMLNLIKNPNINSSWLFRADILHDTKKNIQNGLETPLSELGDVPDI PSFDGFGLHRCLIRRLIPRSTMRDKPMDQTCLIYQSKGSDSEGGLQRHLVIYLPHVST EAEMPYYHPTVRGIAFHHEWSPTETRGSVSVSYLYFEGGERPEKLTRIASHLLQAVYK HGQGRADGYQKRVHHDLLVPQARVQNTYTALKQKYARALIESWVEITDPTKHVFEDLC IAAFLIELWTDIYGRNSFPGFVDIGCGNGLLVHILNLEGFKGWGFDARSRKSWATYST RLETPTGVQESLQQLVLLPPPVSRDGISEISSEGFREELVHDGRFPKGTFIISNHADE LTPWTPILAAISDCPFIMIPCCSHDLTGARFRAPAPKDKKKANSAYSSLVSWVTQITA DCGWVAEQEMLRIPSTRNTAIVGRKRQGDVSAIDIGVIVDRYGGTAGYLETVVKLVKS TNLDEKH NEUTE1DRAFT_89497 MAGTTTTNTATGNTNPTANLPPLLDLTIDNITPNTIRINSQASD ARLKYLMARLVTHLHDFARETRLSSDEWMAALQFLISCGKICSDVRNEFILLSDVLGL SLLVDNINHPTLPGGTEGSVLGPFHTHDAPVLPNGSSMTSDPEGEPMLALCTVKDLKG NALPGVTIDIWETDSSGHYDVQHADRDAPSERCIMKSDDEGRFWFKGIKPVSYPIPHD GPVGKLLERLNRHPWRPAHVHFKFEKDGWDPLVTALYLKGDPYESSDAVFGVKKSLVV ELGKVDKATAEAYGVKEGSWLLKHDFVLSTQKETEELRDRLAVQELEKLGLNMKLVDH LPVPELD NEUTE1DRAFT_125427 MAPSHRIFALLFLLLGILVFPSAADQTKEKAYVYGTGTDGETRQ LAVDRRPALYTGDFGDCLDGGSLFNVTKFDGAYFADNMTIVLHLDATSNIRNESTMMY ISVDAYGENRLSMTFNPCHAMISSLCPLVATKPVGAYVMIPVAPRDAIFFSGALSLDW PSVLTAWWSNFAWSAGMIYTTKFVSSVDSFVGYNGNSSQIGGAGPALLNTKGGLISQI YGKAAEKTAETIAKRHAYNASNPYDYNWAGDPVSLGLPIPGSWFGFSGTLSMVKIPAA DAVLVGLTWIMIAIVLAGVAVVTLKGFLELLALTKMMNTDSLPHFRSNWIGFTVIALQ RTFLVSFFAIMTLTMYQFTLRGSGDATVIAGVAFAVFLIGVSVLIGLGIHARTKSGKF ECGTEQLIFHRGKMLGCLPGLTPSWARTLKENELEVQPIFSIPLFRIRHVDDCPDRPT VHEDQAYIKTWGWMTARYRRTRWWFTAFYVPYLFARAAFIGGGAHNVYAQIYGLLVLD IISFAMMVVMNPFEGARNTAMGIWILSICKIFTTGLSIAFLPEFGLNRIIVTAFGIII IVIQGFTVVALLILIGLGIISTWMSLSRNEERFFDELEWARVRYFENMERRAPDMPQP KHEKDKNEEPAVPAEPSFEVAHVWRMSKIVDEEEGGMGGVESVKDIRSSTSEEWKEED ASIGESEDTLPVLEEIPENDGTSSVDIPVGPALNTPSHPGSRSVSACSTRFSTGSAPR NSRAYRNSRTSLNGYLDAKFTDRPESSVSNRLSSHSASLNRSSSLRPVSSIPSVSYSN RASSTFSTKGLSTPSKDTLAKYADQRRYSTPQPSVEIWTDKSSASKRHSVHPC NEUTE1DRAFT_125428 MGQPSSEASNAIIYTTLGVFLIMGTGVAWTMRNQSKGDFLAGNR TQTAIPLALNFIASGDNGISEDREDEQGGDLATCWTTKLRLYPLGSGILFTYPEIATL AGLQGVLVYALASALPLFVFALLGPIIRRKCPEGFVLTEWTRQRYGTIAALYLSFVTL VTLFLYMVAELSGIGQVVEVLTGLNGLPVLIVECVITTIYTSLGGFRISFITDNIQGA MVIGLLVVASIAIGVETKIDTSLIEPSGLLKDSLLGWQLLYILPVAILTNDFFLSSFW LRTFASKTDRDLWIGITLAALFILIIITMIGCTGLIAAWSGVWPGSDPENPLPGSVAF FGLLENLPAWVVGFVLVMSVTLSTAAFDSLQSAMVSSASNDLFRNKLSVWWIRVAVVL IIIPIVVLAIKAPSILQIYLISDLVSAATIPVLIVGLSDRCYWWRGFEVVVGGLGGIF TVFIFGAIYYNDAYKGAQLILLEDGIYQEGWAAFGAFVAAPVGGLLWGFGALALRLTV QWVQAKRKGVRFDALDRPVVVESDSEAIQYVAGGDLETILSNGQESGAGKVPGKFF NEUTE1DRAFT_118533 MIVSTFTWLALAQPDVCVRLDLFNQCWNLNIGQKVLFGSLSVLK STHAANTKPGVDFQGTTARINLRGI NEUTE1DRAFT_132637 MPSVINNEMATHDDESSLSLSTESSIVNGGGSQVNTPSDASDFG DTKRQYHTSITPTRASQSYPVGIMASDTTPKTSLQRRSVTFVEPDPPARKHSRITYTM VSNTPPSTPKDDSFDAQYLYPPSACVFVANLPEAREDEDLKAAVTKEFSYFGLVYVKI RRDPSNMPFAFCQYTDENHAKNAVVNGKGIMILGRPCRTEMVKANRTYAINRRDHRDI TVHQAMRVLEAYGELNKCYVLPAELQQELGLPPTVVAGFTVFDPTRDMGLVAKENPDY RIVPYDEDKKSITPPRDPNKEFLRQYDVDRRTLYIAHMPRDIEETELEDLFSQAGTIN KCTIVRKDIPAYYGARQHHHHYAFVEYEHIGAPDEAIKRFRGFALRGHPLKVERKTTK SVRRMVSSPLTGRAPASSNTYRGDPRTPNTPSRASFAHRSAMKQLSVPEIATQGSESA PLLLAYSSEGSPTQRKNIHVAHDCHFTDGPAMKDASIQRASTEQHTVKPFKSMPNFAA HGVHGDAIPANKVAASVFSGPSANRRPGDAVPGPQFTALPHPTADVNGRPIHPMQPLP ITSWDQPQPAYPHVGYIPDWHMHAYMAGMPGTGPALPPNVQQAPPDLVMHNWTPEYAP PIPLECVPYGFVHSHFAYHPITGQHCAVWIPAAAHHPTVF NEUTE1DRAFT_132638 MRATQSLPFKSFWSRSLDELSRLTNIGLAGRPGFAVVKSENIRG ATGPREIHNFQTPESVADCKLLSDADVGGSSTAHLDWVPPPNATPTVTAGDGSDRKPY TPIPGSYARFHGTISLELPTDRREISRTGYAGFRTLDRPPTIFGRGLWDIDPYAYLAI RVKTDARSYFVNVRTESVVPLDLHQHRLFVKKPGQWETVLIKWNDFVRTNHGKVIEPQ TGMLRQKVLSIGFSTTDRKPGPYELCVERLWATNDFDEAGVVETDVPGAQLKNKHGEK VKVTWGALEQE NEUTE1DRAFT_89508 MANDTMITDDYVAELLAKEASEASIKYSSMGLEAFRSTKPANKA KPNTNFLTRIIRETDSHNAAIKAKEAAEAHARLTGLEEAEEKKRLKTNPSLQDIRRRQ LGAISSLLSGQKKRRGAEEGTRTTSSKDHRDSNFSDKDKHKRGESRLSEDEDTKNTTR ERRHHHRHHRQHKDEERSSREEQRHRDRSRSRSPRHRERRHRHRSRSPLSSDQEDTKH SRSSHKSRSSRSEKESRSRKDRDIIQEKLSTHRRHGRLAEKEKTDNEDSDPLEDLIGP AAPPSADSYPPPVRTRGRGAGRGGAAMDGRFANDYDPRENMQLDESDLQVAKTDDWDD MVESFRDRQKWLKQGADRLRAAGFSEEDIKKWEKTGPNGERDIEDVKWTKAGEKREWD RGKQDN NEUTE1DRAFT_89510 MDGQGPRRRAVDSLIHDLGGIGHDLRRYLDEGETLIRKVKPPDV KAARDLFEQIIARIDNVSAKLADEHFLSSDIPPAPLANGPNTPNSQVDVSTIVHHQQQ FVLAEIVEAGQAPQQVQREQEPVQQDQLQSQQQQNHSKGQHEVQLQLKLQPLLLPQHH DQQPVQQDQSQGQQKIQLQPQQPVEQQVQLQHQRRDQLHNQQGIQLQSQQQNQQNGQQ QVQQEQKTQSRYQQQECRPTETVPETVPEAVPNAGVGAVNREESSQPGPPTQDEIHAA LSAPRRILPAPFPTTNSIPTNSSISCVTGPDVGVRSGEPTRLRSPKLLLLHKDSAPLF DCTYQDIHVLNEELFEQCSLHPDVHARGYFKLQVRDLPSLQVGKMGRLDQNHATSFVY RKDNLGLIKVDTGKKPRIRWPKFPLPTTEKQNWSFKEQKHLWNSTAAHPPNGARPYII GNPLFDDVELSPGDKLRRRGPTVLEGINTQYIYFNLTGKTITVMHREDAHVRSENLLR AGENKFWCFIKPSSTAKLEERMRQDFPEMRGCSQALRHLSRHIPPAKLDEWGVEYTLD YCVPGQAVVTEPGTYHQVLNLGPNYAIAINVEYNSSPDDPPNYTFCDEACPDQFAISA QDFRINSNATAMDKMAVPAKTKPMAMMAQPKQPEAVSPRRRLSNDSIPSDSAPATGQQ HEQQHPVSGPPPQEFQDAKSYYSRQLDSTVPPSMPSTVSSTVHETPQPDISEASTSVF DPGRVDRGPLQVAFEPQHVITEPEALPEVQQAAAGVQQVISEPEAPQDIRQTAPEQLP IQPRSQTAVFLQQQEQADMARSQPVILNPSQARALGHSADRYQEHPRVQATFPLLQQS TAPPRVVTGPTPLFNVPDLRFVGPPVLQPFDGAIQAFDRDFQTQTFSAQQASYGMLQP PPAIKPSSFPAHIIQSYVHQTSESPSILNNVAASDVGLKRPAETPMQSSVKRTKPNNE ATSFGHLADLLRTAKSPPVEQVWSKAAFLRLAGLVRKWREYSRSVPISGGGFDLLDHV DDAEQISQELQVFLRRFLKMKLSECIETTAQVNGLKDVFDRPVSSHIDWGEIFRKLNW DVAGRNQLNDYVREGKCWRTICGEYNGLLCLLPSDDRFLELAMFKDQLAHFHAQLSTK AVRRMCTMGQILEKSIWEYLELPEFSWESVDTSELSLDEISPLLSQFKLIKANHYDRL KYNWEIQPPPLGWTDPWPSDPMSVSKSDKKACSLCNNSKRMTTEKSSCKCLVKRLPQI PRITEDGSRGAGVRAIGSFKADECLGELVGELRPPRTTGTSYSSDHSHPNISSGQAQL NNHNSNRHNHEWAMEVRRPDLHDQLVADIYPQQMGNWVRKVRHDGVSPSAVFKVMKIT GKWRVMLVAMRDIRDGEEITAKYGRGYRKEQPYEVVEGLH NEUTE1DRAFT_89512 MAISHDPPSVLIGPGDFHTGPYYIIISGLPERTSWQDVKDFIKS QIPWKLDLYVSIFGKRQDSGWVRVVGFKAFNHVRIRAPFFRDRSLFINPTALADPDTA GSTVQAAEEFITATTPIASNASSEQSYPETCATSQQAQAQAQAQLPNLFPPTPPNTPK ASRSISEPSLSGFRKVAITWREDSISNATRKSNTHPASAWPLSLNTQEKMGSQIKSEL APLLARGGSGPMHPLETLECFPGIALATFSSHELARRAIKVLRQSERLNARPVVDEVS SREEVQATSQGQTTAAATAGKKRVGKRRAGAGRTASAPAAAEGGSGAGAGVGCDVGDG FQQITQGKDKETRPLTSAKRTSTTASTAARKTPAVLIVNGSWKI NEUTE1DRAFT_89515 MTPRARTTRATTPRSGSSDVTALLQRAAEVLQVLGSLDASTRDE ITIIKISEPISSALHSAATKNASSNSGNDESHNQQPSTAAPRTSDVSAHSASSALDAP TPASLEADLAHYKELFAKLRFSYVEQVTKEKFIRAIVGDPPLIVTPQENADLEASNMA AKASLKALKTEVALLVEDLESRSRELAARYERVKLDRVKLRELPGKMEELQKRVDELR TKQAIQPGSQPEMNLPLAKTAVLVEERRQKVRELERQVEQLSSLAPRKRKEMERLQME VTALAAKRAQVSAAAREAKRRRENAQKAGETYDEMEAKGRWYRASEAALREMLGLKE NEUTE1DRAFT_118535 MAARQLWTPPKEAEAGLWNPGAPRLWSPRERSQSTSTVSSVFSA SNADGRIRYSGRRFAQIIKLKAEYIDKYREVHAAVWPEVAKQIKECNIHDYSIFHDPD TGILFANFKYVGYDYEGDMEKMRENPKVREWWKMTDGFQESMVPGAKNSESGEPSWWK PVEEVFYQA NEUTE1DRAFT_125438 MHFLFSSGRQALPSSRRLLGKTPAAAAVTCNQFASSAASFSTSR IVAAKNQIYQSVRNLDQFHTYQLLSSSSRTPLLTLWTTSYCPTCRVVEPLLRELVETG VGEEEGGVGFCTVEYDAPDVMEAGLGLSYMINSIPTLLSFDAQEAQTQTKVTDGRQLA NRKFLEEWYAIFLAVVKIWLSRG NEUTE1DRAFT_132645 MAPDPEAGSTPQKGGWRLFGRSSTREQDNAEIPGRGRLPKWNMG ILNDRETIEVPGSVLLLAADHNEPLGLRNAPARTSHSSIPTAVIRPPEPPQDEKKKTS DGKIILEPQPEESANDPLNWPTWRRDSALLSLGLFCMVGGGMTPLLAAGFTDVAKEFD VSVSSVSLTTGLFMLGMGLGSVIFSPTAILYGKRPVYLFGALLFVLTSVWCAVSKSFV SLVLGRIFQGIAVSPVECLPSATIAEIFFLHERAYRIGIYTLLLLGGKNLVPLVSAAV IESLGWRWTFWVVAMVVGFCGFLLFLFVPETFWDRVPHPRKKSSRPSFFRRMSSKQHI RRSDAAGVSVPPSALPSARHSEEGPTPAPAANHRRNVHVGFATDAEEHHAEKDREAAT RQETSEKPDELHDPSVPMTAGILAEDSHATRPELITQEPSSSDNSTKPHVFYMPDNIE KPKSPYSSERFARQDHPETDTESQSVASSRAVGSRVPYTAALRDQPAKSFVQQLKPFH GRLNKDKWHKVAIRPLILFSYPAVLWSAIVYACSVGWLIVISESVAVIYREGHYEFNA LQTGLVYISPFVGGILGTAVAGKVSDVIVKAMARRNGGLYEPEFRLVMALPVAITTVI GLMGFGWSAQLGDHWIVPTVFFGVVSFGCSLGSTTSITFCVDSYRQYAGEALVTLNFS KNIFHGLVFSLFVTGWLTDDGPKTVFIWIGIIQLILLFLTIPMYIYGKRARMWTVRKN FMEKF NEUTE1DRAFT_149495 MTSRNIPHLLEPLLEIEPSQSQSQILLTGILGANTNWLLLRYLY TLLKSSSASRSSPPSRPPAAAVSQPQGQRRLGTRMPIAAEPEFELRTSTHAQQQQNGG DAGDQGESGNESVGVLLVSFLRDFAFWKESAGRLGLDLEGLGRRGKLGFVDGLCVGFG SSGSDGEGGVVGGGGGLPVRRPPVPLAATGGSSAGATGAVPGRGPPQAPRPATTTTTT TTTGGSSSQRPVEGERWKRSLPSLAVADVSKTLHSALDELCQKNKKVVLVIDQLDFLL AATSDGNGLVSSALQDLLLDLREKSHATILTLSADDPLIASQVTTLDKDHASFVLSLA HEAEMVVSLRLLDTGIAKDVSGVVRITRGGDSGGEKQIEEKEYLYHVGGDGSVRVFER GQ NEUTE1DRAFT_54313 KGTSSFGKRHTKTHGLCRRCGRIHGSTAAKMNENYQRRPPATRE QEIDNRFTSRRSLHNQKKVCASCGYPAAKTRKYNWSEKAKRRKTTGTGRLRYLSTVSR KFKNGFQTGVPKGSKGPVAA NEUTE1DRAFT_132647 MPLSLWRLAIGSSRRHSLLKITSTRVLPVPRQYSTYNNNKKVTM PGEDQPVAAPAAAPKKNDKKEKAKADKAAKFAAKQAAAKAKQPAAQSAPKEKKEKTPA LPPYEDTTPAGEKKVIQSFEHPHFSAYNPSAVEAAWYQWWEKAGYFKPESCRKPSAGK FVIPLPPPNVTGALHCGHALANSLQDTLIRWYRMKGYETLWVPGCDHAGISTQSVVEK MLWKKEKKIRQELGREKFTDLVWEWKGEYHQRINNAQKLMGGSMDWSREAFTMDKNLT AATMETFCRLHDEGLIYRSNRLVNWCTHLNTALSGLEVETKEITGRTLLDVPGYDKKV EFGVLTHFKYQIDGSEETIEVATTRPETMLGDTGIAVNPEDPRYTHLVGKFARHPFVD RLLPIVTDDYVDKEFGTGAVKLTPAHDFNDYQLGQRHNLEFINILNENGTLNDNAGPF KGQKRFDARYTVVEELTKLGLFVKKEPNPMKIPLCEKSKDVIEPMMTEQWWVRMKEMG EAALKVVEEGKVKISPESATKSYKRWLSDIQDWCISRQLWWGHRIPAYRVIFEGEEGQ RENEKSEWVVGRTQEEAQAKAEAKFAGRKFTLEQDPDCLDTWFSSGLWPMAILGWPNT ENLDFKKFFPTSMLETGWDILFFWVSRMIMLSLKMTGEVPFTEVYCHSLIRDSEGRKM SKSLGNVIDPLDIIRGIELEDLHAKLLVGNLKEEEVARATKYQKTAFPGGIPECGADA MRFTLLSYTTGGGDINFDIRVMHAYRRFCNKIWQASKYVLGKLPQDFVPKGELDTAKF SVPEKWILHRMNVAVKGMNEALEAREFSRATKVAYQFFYDELCDVFIENSKGILSDGT PEEQQSVQQTLYHALDVALRLLHPIMPYITEELWQRLPRKQGDGETIMLAPYPAFESQ LEFATEAEDYELGLKCAGGIRSLAADYNIKSDGRAFIKATTADSLATVSAQLAAIRTL CGKGVKEVNVLGADEELPRGCAVYVINAEITVLLQVGGSISDIDAEIKKITTKLQKTD LTIKKQQELLSKDGFEKVSEAVQESEKQKLADAQAAKENYQRTLEEFSKLKI NEUTE1DRAFT_125443 MRTPRVAILVLFFSASVFLFCRAIASSRRASPAVSHLAPETSGL RSFFSFRAPFTLFPPNAAISLFDDNSTFFPARPAIFGPPLPKDGLSGQLWAGSGFTDD NLQDGQGEGELGCSDLVGWEGAPGRLSIKMPKQSPTRDTTGSTTFAHKKFKGSNIVDG SAVDHETISRTHSSKQEVEMVADDGTDDYLHQGFRTDKNAFVDTPATSSSGHADIQSI QETAEITGKIVLLSRGGCGFLEKVKWAQRRGAIALIVGDNQKGGPLIQMSARGNVDNV TIPSVFTSRTTAHLLSSLMQPGSFLQDILDESGYPISNAQHSGKTSKRKSPKWSAKHA RSTPETNSKRQLTKVTGPQGSVSDSGTQSSPSHRSWISRLFHWGPGSDSATDKSRPPS SGSLDWIIVDDWSDEKDKVIKTGLDKTSKSIGDNSALASDSGRNPEDGFQIGVQDWRD PDLASSSPKNSASSVRHGGDESAGDEQGAGTSGASSKQPGKTSQSDTNGPQGGSITPG SGRYDREVLAGSQSGQRSSDSSSGFSGGLMSKIFGEDDGEDFPPYEIPSFYTEKSSSP AAAISRAGNREGLWITITPTSGASPFFDTLLVLVISPLITLTVVYALLILRAKIRRRR WRAPKSVVERLPVRTYHTVPRSPSQSSRVPSPSGASTPTTPLLQGPSRSRPRSRTTTG VPEPADLLRVDSALQAARVPAHEKGTTRASQWKKYMGRQRECAICLEEYVDGVSRVMS LPCGHEFHAECITPWLTTRRRTCPICKGDVVRSLARGSSSTPRYEPYRDDDSEEEDGG QASGSGDNMFRSESPARNTDVEQGRAAASAPERGRGGFDIGDGWFSALSNSLGTRPTF LSRRTDHE NEUTE1DRAFT_149499 MARYLTPAKIGLLALIELYVEETVPNDAIIPVLSFLTSHILDSD LQSTMTTTPSAANRWQRAERSIGLVVSIKDFEDLLLPFPAADRLPGRRLWDRFLEKLW GIDSLDALQTFFSRQPRVLARTREELRRMTELGEELPSGILLARNSPLGAFVRKAVLE FTRLQFHHSAELWKAFVKYRQPTVSYWRRRNPQCGRLSFDSVLMESQHEWGSFTDGIA VTAYGGMLMDEEDGALPVSTDDIESLLEFQIDQIQKYGARVPAEIKAKFHAMLRDSHV VPSLSHYLNFSDAWRSGDYPSAFDYLHRYFDYTMQNRDRLFYHYALMNLAIVQSDFGC HKEAMATMLETISTARENKDNTCLNFCLNWFYHFGRAHPDLVQDLEANSMIGSGKEAL AFLRAKAKETGMLVLRSSALLSEAKLNMANGESIAGAMEHLVRSSHIIVERNMKSLIG SQLSVTTSLWDRLGISYLSTMTSEVFLRVHAPSACFDDELKMVCRLAGTLAGKGKYDE AFAKLEDGIDKNLLRAAKPNQYWHLYRGLVKLRRDLHHNDLDTAETLLAQLLQIGSEE LDPDVVFIIDSLHIEALIRRKDFDAAYEKIERLIAKFRDDHRDLSLRIRLLLVKAHLF DCMGRPERGFSITMRAASLAWRARLLTLLWQAVGALANILNAMGEFTAAVQLVEAVLP RSMESENAFNTGILYSILADARMGQAGKAAKARQAMTTTGETAGAAAAAAASLMNNKK LDLFTKAHEALECAFNHFSAAEDLEKQCETLAKRATLMKVLGDHARAEEYAARYMALK RQSSSGEHSSVWSTDTGTP NEUTE1DRAFT_118541 MFAQRQMFFARLAANLRAPAVRQTVQRRFASTPANESGKNAFVR EREAVKQHAAETTELWRKISLYGIPPALALAGYNAYTLYNEHWEHWSHLPPLEERTEY PYQNIRTRNYPWGDGDKTLFWNESVNYHNRDKVT NEUTE1DRAFT_149501 MAGEPHVSGGIKFPIQRHYLAGCSNTVKAMFDTLEADIVVIQET KIQRKDLRDDMVLVPGWDVYFNLPTYKKGYSGVAIYTRSSKCCPIRAEEGITGVLCPP NSSTKFRDLPADQQIGGYPTPGQLSSDIDEAQLDSEGRCVILEFPAFVLIGVYSPATR DETRIEFRQAFIDAMDARVRNLVSMGKEVFLCGDLNIIRNEMDTAGLPEQLKKEAMTL DQFISTPSRRFLNQIVFGGRVIGEPDEGREKPVLWDLCREFHPKRPGMYTCWETRKNA RPGNFGSRIDYVLCSSGIKDWFVDANIQEGLLGSDHCPVYATISDSVSRDGVIIPITD IMNPEGMFKNGQRQREWSQKDCLPTSAKLIPEFDRRQSIKDMFFKKKTPSNTPKSATP AADSQEIPDSPNTSSITVNATTVLQETASFASSPKQWLSQSAATEPALSQTSPVPKRR AEQPAPYIRPPKKAKAALAKQPSSKTGPGPSQSTLMGFFKAKTPTPTVNPDERHGPIN GNNHSPATTAETALRNEPFTAKGSQPKQSFEVDNFEERDEHAVEHKTNPTPYGENSTV MEDEGKGKTIFDPIQNRESWSKLLGKRVVPKCEHGEDCQSLVTKKPGINCGRSFYMCA RPLGPSGNKETGTEFRCKTFIWSSDWSGRQ NEUTE1DRAFT_50737 MSALDVDAISDITPGLSPVTAIHYGRIQVMLFRSHLAEFAEEDL VYAMDNSVVVFGEEALLMVAPDESSIAICTYPVGLMMMEWGNWDILAVSPPSQTPTIP SESVLGISNLGGANVEQQEQSSHTIDMTLPNNFFEQSSVTQSNGTSRPRNQFVLYYQW LLDTLFSEDPSLSARNISQIVAGLWNSEHPAAKARFRELAEMEVQRHRAENPHLYPDQ PRFPTTDPVPPRMRYPCVISPEDRQRILRMLDFVWEESNGQLAAEEAALNDVVQPQQA EEVGPFPDFEWEEPNHIIDMSTDLSVAQDPDFMMTEDDSMRFLFRQAC NEUTE1DRAFT_104830 MNHLNMQPRRSEQPAMFEENRASSQEGQDLEVMYKKLHQLQARL SRSVLSEAIKEFEENLRCLFHEAKLLLCSTRTKYRQSWFGSSNEFGSSDERRIIKASC CIIESTNTILNFLSFLEKNRGLPSGGDQRLQQAAYKGQQFAFRLLRSLTLHKDAQEVP GKDFGLVYGKDVYVLNGHLLHRSKQEIVGQAGGRNWHVDHTLHPLRRVPGTPWHKFFG NLEVGDDKQLRLFDDDAAVDSYRVGPRKFFVVIPETAEFILDEISSEHQRVATIHTEN GHVQPPAPTSIQQEALLRKLDFAMTTSFPGYVVEGQPEIVFHHEGLRQIPVDYSQERQ LSILSHVFTRPALWGEGLELADNFDPRDGVQQEEHIYYI NEUTE1DRAFT_89543 MSGVDQIVKTFADLAEDDREAAMRAFSTMMRTEPVRRIPAAKKK VNGFMGFRSYYSPLFSQLPQKERSPFMTILWQHDPFHNEWDFMCSVYSSIRTYLEQEK VTLQLWIHYAVGHLGVIIRDNYMASFGWNLVRFPNGTHDLERTALPLVQHNLQPMNGL CLLTKCLESGLPLANPHSVIAKLSDPSYDMIWFNKRPHRQQGHAGQTDESEVGVSAMF PRNHTVAVEVDGIINLPLSHWIQQGEFGTESGYSTQFETLLDSILENGHASSNDPYNM ALAIDVPMMGFNGGA NEUTE1DRAFT_104832 MFRSLFGRVFGNTGKPPLPLSYCWHPSCFFYADVRPFLWFRFSR SLLAARSIGRSARKFARYIKIE NEUTE1DRAFT_70857 MATIRSLDHTKSEAELAINIRKATSAEESAPKRKHVRSCIVYTW DHKSSQSFWAGMKVQPIMADEVQTFKALITIHKVLQEGHPATLREAMANRGWIDSLNR GMGGEGMRGYGPLIKEYVYYLLAKLSFHQQHPEFNGTFEYEEYISLKAINDPNEGYET ITDLMTLQDKIDQFQKLIFSHFRNVGNNECRISALVPLVTESYGIYKFITSMLRAMHS STGDAEALEPLRGRYDAQHYRLVKFYYECSNLRYLTSLITIPKLPQDPPNLLAEDENA PALPARPKHEIEKRPTPPPPAPKSEEPDDMAEFWKNELDRQNREYEEQQRVLEAQQQQ ALLAQQQAQLQAQRDFEEQQRRLMEQQQREQEALMAQQTQWQTQGRLAELEQENLNAR AQYERDQLMLQQYDQRVKALESELQQIQGNYGQQINSRDDQIRALQEQLNTWRTKYEA LAKLYSQLRHEHLDLLQKFKTVQLKAASAQEAIEKREKLEREIKTKNLELADMIRERD RALHEKDRLQGSNKDEVEKLKRELRMALDRADNLERSKGNELSTMLSKYNREMADLEE ALRIKSRALEEAQAKLRDGSSDLEALLREKEEELEVYKAGMDQTLIELNELRNNQGVS DSALDGQLDALILSQLEKVNEIIDSVLQASVQRVDDAMYELDSDMQAGNQNASPSYVL SQIEKASASATEFATAFNVFIADGPSSSPAELIKNANVFAGAIADVCSNTKGLVRLAT DEKKGDSLINGARSSAHSTVKFFRGLLSFRLEGMDPLQKTDVVINSNNDVQINLQKLN KLVETFAPGFGQLANKGDLGGIVDQELSRAADAIAAAVARLQKLKNKPRDGYTTYELK VHDSILDAAMAITTAIAQLIKAATATQQEIVQAGRGSSSRTAFYKKNNRWTEGLISAA KAVANSTSTLIETADGVLSNRNSPEQLIVASNNVAASTAQLVAASRVKAGFMSKNQES LEEASKAVGAACRALVRQVQSMIKDRSAEDEQVDYSKLGSHEFKVREMEQQVEILQLE NALNAARHRLGEMRKISYQQDED NEUTE1DRAFT_89550 MNFLRSTAATFLDKYTPVSHTSTFRNTGQITPEEFVAAGDYLTF KFPSWSWADADSPSKRLPFLPPGKQFLVTRHVPCHRRLNDDFAGDAGHEEALVEGNKG GADDDGWLRTGSMTSSQPLRVREVRTVDDAGNVGDREVVDEDDIPDMEDDDDDEAIIR AEGDNSNRQDNISTGKRTYTLYITYANAYKCPRMYMSGYLSNGQPLPPHLMMEDIVGD YKDKTVTLEDFPFFSHSVKMASVHPCRHASVMKTLLDRADAALKLRREKMKAGQGSGS EQGMEGLVDEINKLDVSGAHANAVEAAPGEDAEWEEVPHDVADQEVAIRVDQYLVVFL KFIASVTPGIEHDFTMGV NEUTE1DRAFT_14975 PAAPIAPVAIFKKRGAKGKANLRKRPASPPPAAKDSDDDSSDFE SSEDEATGQRIKRRKKNHHSAAVMASSRDHNASTNSGVTKEEQIRSNTIYEADRDAAL RLDAAKQDATKGSNWFDEDNEKEDLSVGNLLGRTRTMMKTKKRVGGEDDAQNSEREPD GTYRGLANQASYIQKNPNAPNRKVGPIKAPSNIRTITITDMAPDVCKDYKQTGFCGFG DNCKFLHAREDYAHGWQLDKEWENVTKGKKNLQGTVVASADRRNKPKNTAEEEEDAEA AEEALLENIPFACIICRGPYSNSPVVTRCGHYFCEGCALKRYRKDPSCAACGSGTNGV FNAAKRLAKLLEKKKARAERLRREARERGEE NEUTE1DRAFT_132656 MASRRMANSLAPDDQVAVVTASDLHDPFLSPPGQNNSRHSTFDS QLFSLDHGASAEQVKRALKAHLQDTERRMEEAGKLGTALVQQQRELAERLREVEQLQS ETEIDPDLRQKLAEIEREYNEVARESARAFLPKQRVPSNDPAQASPSLFSPEERGSRH RSASPSKFESLASSSPSKLSMPNRRSRNQPANRIHDIEFAAEISTSLIAQVRNLQALL AEKEGELKNLKVERSRLELEAEGLQQRMRALDESESRYKDENWNLETQIHELLAAQRE GADREKKLQQTLNIVQAEKNSTQRELEELKHNQSKQDEKHAATIKSLEIELGTSRRNA ALAESERLALQKKVDELTQQNQELARAFAQERGRALERESRHGSSDDDYQMAVDSGTP EHSPPPSPVKLTPRHSGLESETLKTSLAHAHRTIQTLRTNIHREKTEKLELKRMLQDA RDEVEKLRTGPDGPPHGRKNRKMESREFKKPRLGQLGLNRSTKTEVLPVAPPSPEDPE WEDQSEAPSPRTVATTGPNLRPVRSFIPIAESSSDHFETANETSDAAFETAAERATET EDFQTGAEEFSDDDSDAQTETEASTGAGRLKRPSMMPLDDPIHRYSFDSTASTSADDE YPASNDPRMMTPSAQPAQRLRRGISRATLASNRRSRQHSVDPGMHSSPGSFFNNTSES PQQGQSLFAELNEFGSDDESSVGAATPGRRSIRSVASQNRPVTPPPRVPRLPRAVMVD SGMVTDPVDFRSLVNTPGTPSSTVSAQEGDRTATNIKRPISMESVVGPNGSPASTRML GDEQGRAVFAQPVPAVSYSDAGVQHDIQTTAVQSLSISVVQSEDIEPTPVVLNVTSIV STNIEPVLDPVPEPAPLTMSTLVSEDVEPVQEPESEPVPLGLSGLVTEDIVPVSEPEP EPVPLILSKLVCEDVEPVLEPVPEPAPLTFSQCVSEHIEPVLEPVPEPAPLTVASFIS EHIEPVLEPEPPAPEPIPLSVSGLVSEQVEPRADPEPEVRPPPTLSIPTILAQDIKPD ANVSATVDLAFSHVLSEQVEPVEIPVEAPTVVTVVEAPKEIKEKALPVALGFSSIVSE DMEPRVEPTPLPAPLSLSPIKAEVLEPRQEPEEPSPALAVSSIRSHDFEPVELPPVPL PALSVSSVQASAIEPVEEAPAPLPTLSISSLQANDIEPIEEPIAPLPTLSISSVQASD IEPVEEPPAPLPPLSISSVQASDIEPVEEPPAPLPPLSVSSVQASDIEPVEELPAPLP PLSISSVQASDIQPVELPATPLPPLSISSIKASDIEPVERPIASLPALSLSSIQANDI EPEERPLTPPPAMSVSQIQLLDVEPENVPATLPPTLSVSEMLAQEIEPLALEPALSLP PSMAFADWQSLAIEPPLNRSPKRNAFIIPNDSQTDAPVEGGLPRSTPEQKADSQQKVL LATVDQEVQTALTSEAIDMLLMSGTHQSHTRELERTDSSLSTGSLSTIRRHRSFQEIP ESIVSSKGKMADHSTSVSEGTTTVRRPNSSASSRAFITDVPPLPSNHREAIEAARTGS SSGNQPMTGLMGPPLFSASALRNQSQGLRPRTPNGDTRPMSPMSYVSGHGTPTPRAMD RAGSAHGTMASGVHSPSRLTQHSRKSSVSSFVSEMDTRFQMQQNGDFDPHGFGTGTDP RMIQAITQTMIGEYLWKYTRKAGRGEMSDNRHRRYFWVHPYTRTLYWSDRDPSAAGRS ELRAKSVPIEAVRVVTDDNPMPPGLHRKSLVIISPGRSVKITCTTGQRHETWFNALSY LLLRTNENGHADAEEIAGHITRDDINDFNPQYSRQAPSGSRGAPSLSSYNSRSLRVDS PALDMSMNIPTLTPNRQSAQNRPSVGTLSRISGYWKDSKFGSMRGTRSVSGRDTSHGI YEQSEVEDSAEDVRQMIEQQDREADRLENVRACCDGKHDVGTLTSGSKRGHNHRTHHH HHHTHRGSSRGPSRGPSTTPSRAETLRSAA NEUTE1DRAFT_125454 MEFIRLRLNCIDHYQATPTGYDPQFDQDVRFSRSRKAAKVPVIR VFGSTDKGQKVCAHIHGAFPYLYVEYDGNLEPNKDHALAISYRKDPIRDRPKYVARIT LTKGIPFYGFHVGYRFYLKIYLFNPVVMSRLVDLLQQGVIMSRKFQPYEAHLQYLLQF MADYNLYGCNYLDAAIATFRAPVPKHDSNIEGRETEHHWDDATIPPELITDDYSLPRA SHCSLEVDICVEDILNRKQVKERRLHHDFIEKEQPVSSQEKLVHSMAGLWTDETNRRK KRMGITDPEVNPFPPEVLVSMSADPRQSQVMGWVHEAGYRAGIQQLVSQEQNNTDGRQ ETFSSFVQPVPFEETIKTTLESVEDFYPDNLSQALQIEAQFFHMNAQHLINIDVNEQG IFQLIREPHAKQTRHEYAGRIPPGEPLNGVGEGFGVGDSMTYRMDGRIRVYQPRTSIR HKLLKIAQTYSSNQPANTGRQAGVLGPGERQQRSLKHPRPPGEVDHGAPAKRQVLQAE DSRELLHMKADPPQRALEEAPRNAHEQTQGAGQPGPQRKLQSDPPERVYEEPNKRVHE EAQPKVHRKAQQRPREHDQKQGQQKIQEQTQDHDQRGGQKEAPENSTFTTPARTVQPM KPNNQDPAGEEQSVNSLQVEPPKPKTSQPMKSAMKQSFAQESQSRTINFPVVKDPQDP NTRARLSQKSGSQKNEGNVTRKQLAFDPQPTILGPSAQARPGQTKPNLKSSSRPLDTA PVASAPALLWSGSKKMFVLNNKPPSLSEVRCTMQVHGLPDVIYQDAYYSKDEDVPSRP REYAGREYRLDGSSVPWLPDFDPTGTSSATYGEKPTSGADWPMLEAIYEAQQEECAMR GWEIADPPPSFKEVSDWWTDKQKDRNPKRCHFTPLKIETYRSQVAGVTPKKKHGFEHP EKTKPESAQDQAQYMSAMSLEVHVNTRGKLVPDPEEDEVQCVFWYLRSEVNALRGTQA PDDTARGIVVFSEDGSLADRIRKHTSVPVVQETTELDMMVRMVEIVRNHDPDIFTGYE VHGSSWGYLIERARIKYELDLCDEFSRMKSQSNGRIGKDADRWGFNTTSSIRITGRHM INIWRAMRGELNLLQYTMENVVWHLLHRRIPHYSWKTLSDWYLSDRPKDLDKVLRYYL TRTRLDLEILEKNELIPRTSEQARLLGVDFFSVFSRGSQFKVESIMFRIAKPENFLLP SPSRKQVGAQNALECLPLVMEPQSAFYSSPLLVLDFQSLYPSVMIAYNYCYSTFLGRI VSWRGRNKMGFMDYKRQEGLLSLLKDYINIAPNGMMYTKPHIRKSLLAKMLTEILETR IMVKSGMKQDKDDKAIQQLLNNRQLALKLLANVTYGYTSASFSGRMPCSEIADSIVQT GRETLERAIAFIHSVQKWDAEVVYGDTDSLFVSLKGRTREQAFEIGQEIADAVTKLNP RPVKLKFEKVYHPCILLAKKRYVGYKYESRDQTVPVFDAKGIETVRRDGTPAEQRIEE KALKILFETADLSQVKSYFQEQCHKIMRGAVSVQDFCFAREVKLGTYSTSGRGGPAPA GALIATKKMKEDARAEPQYGERVPYVVMAGAPGMRLVDRCVEPEELLDNAHATLDADY YINKNIIPPLERIFNLVGANVRTWYEEMPKVQVLRKVVEDEDAAEDASRGPLLGLLGA SPSKKGTAAAEAAAAAAAAALEMEDMLGEDDELLPPDVAAAQAQARKTLEAFLNTTIC TACGVKIKRPLGVGLARELGMLEEGEGAVDRGLPLCRRCASDPPTLMVEMQAKVSRAE KSYVEIMKVCQSCAGFALSEEVPCDSKDCPVFYSRVKQRTKVTAVKRVMEPLIKLFGE MELDKASSEDEGGDEEDNWELEGRGEVVDESGVEMQEDAGVRYEEEKVKFETIVKSKV RAMSEELAERKEILDNRFKSLKAASLEW NEUTE1DRAFT_149508 MPPPPPNRREQATAAPSSTDKSETGAGAARKEDNIYIPSYISKQ PFYVSGLDNEEGDSLLHQRARQQEEDKAAQAAALLARGKKAGPARTKWVKGACENCGA MGHKKKDCLERPRKFGAKATGKDIQADRIVRDVKLGYEAKRDVYSAYDPKQYMEVVEE YNMLEEARRALQGDQKTPDGEGADGPEDDKSGFKYDEESDMGRDRATTKQSMRIREDT AKYLLNLDSDSAKYNPKKRALVDAGAIADKSAALFAEESFLRASGEAAEFEKAQRYAW EAQERSGDTSLHLQANPTAGEILRKKESEEREAKRRKRAEELANQYGTQPVISDALRE TIKESETFVEYDEAGLIKGAPKKVGKSKYLEDVYINNHTSVWGSWWSDFRWGYACCHS FVKNSYCTGEAGIAASEKADAWDK NEUTE1DRAFT_118548 MAPRSYSKTAKVPRRPFEAARLDSELKLVGEYGLRNKREVWRVL LTLSKIRRAARQLLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKAEDF LERRLQTCVYKLGLAKSIHHARVLIRQRHIRVGKQIVNVPSFVVRLDSQKHIDFALTS PFGGGRPGRVRRKKAKAAEGGEAAEEEDEE NEUTE1DRAFT_89567 MGHAAGLRAGTRYAFSRNFREKGMIRLSTYLKQYKVGDIVDIKV NGAVQKGMAHKVYHGKTGVIYNVTKSAVGIIIYKKVKHRYIEKRINVRIEHIQPSRSR DDFLRRVKQNAELKKQAKAEGKPVQLKRQALLPREARTISVVDNKPETVAPVAYETTI NEUTE1DRAFT_132661 MSWTVFLLIYLFGGLTFLPLLVACALLHAHLTFPYRPDAVQPHD PEADDLIQPGDDLDALKAEQKKDGKPRVTHHDDGVASGYFAVCREYTPMGINAKPIER STPVGSTTVAAPSPSVYQTMYRSIFDRKSTGPSPIDHKNGPSQRPKKAGNVFYVVLRH GHLMLFDDDEQLEVRHVVALAHHEVSIYSGEEVTPEGELYIKRNAIRLSRKTDGLEIG PDSQVSKPFYLFSENCSAKEDFYFALLRNQEQQALDTESDIPSPIQFEVKNIINLVQR LHSSEEHMQTRWLNAMIGRVFLGIYKTKDIENAIREKLTKKISRVKRPAFLSNIAIKG IDTGESAPFITNPRLRDLTVEGECCIEADVRYTGNFRLEVAATARIDLGARFKAREVN LVLAVVVRKLEGHILFKIKPPPSNRLWFSFQQAPKMEMTIEPIVSSRQITYTVILRQI EKQIKEVMAETLVLPFWDDMPFFNTEHKKWRGGIFHDDTVVSTMDLETAAAQEGDLDE VERLEGTQDVHEPDLPHVEKSHSMPTLDKKPSISLFGRMTTKAKGDPIYSASTTSLST NNQNELKTDTRSETCIPPPIRVVSITHPPTPTVSTDAINADVFKPSSSPPDESLAASA MATLSVQAHSPSRPQPPHSPLRPSVIPKLSDQSSGSSSSEAVDAEKDLQDFDKKNQAR RNTATSAGSQEESRTRSPASSIRGSVKSQTGTIKGIFARRDTVSSTAGAPTPNHPDSA KKTALAAAFVTNAAASAKRWGLNAFQRHSNNDGTSGRSASFTDDHPSVLDLNQPMGRG QPLPPPGTPLPMPDRRLKATSISIPRRKPISPPDQSLQQHKPSTPEDHDHKPERRPVP PPPLPKRLAAPAESEPTTPMSEAHETSPMKPSSDTVESKNQSGIGSSATEGPVGSKSP PKPLTPSIRNTQTTGQENHEDVKALSLEVSAPNNDDDEFSAWMDDAGPVEDTDAAPAP TVNGSVGERASP NEUTE1DRAFT_55104 MSHGHQDDQPNRLLADALSCADVRGPVDAERAVRMYREDAGLTD SCPDSPQCPQNPQTGSIYRYSLSPFARLWIKALLAVPFVLYSQPHGVLEDPDRSVDTL SQTREEAHRRYSEIFRDIEAMIDDHIAHQNDAENPFPSKLKLLVPSIGPFFTRLPLEA AFKFQDNKRYISSRRFVSPSFNDIRLILNSAQIMAVTTYGTLQLATFDGDVTLYDDGQ SLEPTSPVIPRLLDLLRKNIKIGIVTAAGYTTADRYYSRLHGLLDAMANSADLTPAQK QSLVVMGGEANYLFEFDSSSPHLLAPVPRQHWLTPEMAAWNEQDIAQLLDVAEAALRD CIKTLNLPATLMRKDRAVGIIPVSPEIRIPRESLEETVLLVQKILELSTVGRSRRVPF CAFNGGRDVFVDIGDKSWGVTVCQRWFSQKEGPHGVIKGENTLHVGDQFLSAGANDFR ARSVGTTAWIASPVETVELLDELAELMGKKMS NEUTE1DRAFT_70883 MSSYTMTRMGSDSFLPSGSIDTSMRFPLPSLGADSSSLISPLAL PRGAAGYFDVASAMGNPALQAPSKLSLPGRHVYSPLSPNFRGPVAGHMSQFTSPNLAP IQQGLQCQLDPQRSTSVPPNLRRSKTGQATKAKLSILSPPLTTSMDGLSASPGDAFLG VSVPAISSVSAGQARSASADTVNAPSNTQMVRRLVQQNGRIREAWEAERKYLEANRER AEEVYKEERALMEEERAEWEAEKAMLLQEIERLQRQVAHLGGQSSNANLAALAAAHAS HNGRIGNVCWGEVSPESMRSSRSSQGSTQHTNTQQPEQPIQTASVNEIPGPQGPVVAD SMAQSPELRPSSPAPIVDVQAIHPELEGIPIKANSVQKSTFTDDSLVEALKSPTKIPS PLPASDVPEPRSESPAKEQTKQVLKAEASVRLTMHAGHTPSHSLSVLATATSSGVGTI NSSGSSTPTLQHGDHMATQTLGVINEQEGAIQLAEQESVVSEDPPAAYEPVEDRPLRG PLMVRNMPAHDEIFFRRLSDKLEEVSKDTMAALPSVLKDAIDDDDEAVPSNAAENQSG ESSKGKENSSGSKSEDEAELDIPLKLRRNNNFGAPFGEFKF NEUTE1DRAFT_113844 MTSNRGLGIGLHSYRDSPRFVFFLAVRDGITDGPVEAEVAQRLP GLWFGGGKRCQDMHAAFSLPSHKAATRGLKKAREADANAAIQEAIAIDEDGNTSLKDS PPTNAPEPGPTPVIATPPPQPTLTQPSPRPSTPPPSATIPAPALSLSLKIVYAKDNKD AKE NEUTE1DRAFT_113845 MDVCSTRSPAFRAPGRKQQVIQTQYEPQVYTTQRPPPARPVDVL PCKSTFAATAQFNSPLGVLFLAQHPARVDSSTNSIVVAASSPLTARAPVSQAGSSQTN EALGESEPVRIEFRAYRTLCPERPSPAPQQTASQFRQSLRAQPLSSSSAEPIRRPFTG KMAPKPLPPFCQVSKTGNGPRAERAKHSKYHLP NEUTE1DRAFT_104844 MLCDLPLALLVWASEYHGALHYNVYAHITATPHTYVSRQHRLPP SSRLSCLVIRCQASPRWISQCRDGATGCRLHCRQSPVEFRGPRGPTTIRGFGIWTATC SNLNERDQHPAILHLKASKAAPHGAIARRRM NEUTE1DRAFT_104845 MAVVVTNTAVLCKRRCVDGYRRSAYPERQSVSFPKREVPIKWLP VNIKHKGSTICTFIDRLVHFRMPLMPTSIVMLLQ NEUTE1DRAFT_125461 MAATVLAAAATQTSQEDHPHTSHSEPNSSGPEPSPHQYPTDLAS SIAEEGDETESDATNEPVTPVSGRQSQDFHESLVAPDAHPSDDDDVLYGSIVASGKPR PSFSAASAVSTKSTLNLNAHYNPAPRKNSIAESTATTTGRRSNTFKRAMSGIFRRTAS TLERSVPGLMDHHGDSAVLDPHPHDMTQPRDIPGRRFSLARSSNTTRSNTPPSPGSPL EMSIMSKEQASSPTVPSPDAFLDKKKRATTGLGLRSRAINFITNNASHDEAKHLRRPL RRRASSFDTSKREKSAKSGAAHPQVEMSRAPWEIPAPTGTGLKARRLSLSLPDDFTVD VVDLLSEFEYHNKLLRRHATIGKGGSAKVTLVSRKGQPDEIYAVKQFRAKSSSETVEE YRKKILSEYTVSKSLHHPNIVETIRLCTHHGLYSHVMEYCSEGDLFSLVQKKYLMSED REKDRLCLFKQLVQAVNYLHSNGIAHRDIKLENILITSDSKLKLADFGVSEVFSGRHP GEREAGGQCGQDMGEVRRCAGGYLGSLPYVPPEILEKVTDYDPRAVDVWGVAVCMIAM TFGGSIWNQATLTPSDGSKPDVCYVQLAEGWRKWNARHAGQTNPVPADTDMPRAKFMD FAITHNGLRRLLLQMLNPNPDKRISITDVFNSRFVKNVECCQLESYEDPSKTIDASKK GCFGKGAPQKIFCHNHLPPKAHHVAAVIGRT NEUTE1DRAFT_70889 MDANAIRSCIVATLDADADVRRRAELQLKQAEQQVGFTDVLLDL LQTEQDVNLKLSTVIYLKNRVNRAWQRSDDYPQEAVLDEDAKARFRDRLLPILASSET LVRHQLVPILQRVLHHDFPEKWPTFMDYTVQLLNTNNAPSVLAGLQCLLAICRSFRYK MSDTDHRAQFEKIVEVSFPRLLAVCDELVNQDSGEAGEMLHIALKAYKHTAWLELPAC LREQSVNLGWCTIFLRTVSKPIPASAMQDDPLGRERHHWWKAKKWAYFNLNRLYIRYG NPHNIMEKGADGQLLQFAKNFIAQVAPEILKHYLAEIEKWVAKTVWLSRPCLSYTLVF LDECIRPKEMWTHLKPHLTNLVTHFIFPVLCLSEEDVENFEEEPEEYLHRKLNFFEEV SAPDVAAVNFLVSLTKARRKQTFEILKFVNEVVNQYEQAPEDQKNHLAKEGALRMIGT LAPVILGKKSPIADQVEYFLVRYVFPDFTNPQGFLRARACDTIEKFEQLDFKDQQNLL TVYRHILDCMADPKLPVRVTAALALQPMIRHEIIRTSMQQNIPTVMQQLLKLANEADI DALANVMEDFVEVFATELTPFAVALSEQLRDTYLRIVRELLENNERRDGAEDEFGDYL DDKSITALGVLQTIGTLILTLESTPEVLLHIESVLMPVIQITLENKLYDLYNEVFEII DSCTFAAKQISPNMWQAFELIHTTFKSGAELYLEDMLPALDNFVQYGAPQLVQKPEYI QALFEMVQDMFNDTKVGGCDRICACKLSEAMMLSLRGHIDQCVQGFIGLAMNVLTSQD VKVKSYKIHLMEMVINAVYYNPLLTLQILESQGWTNKFFSLWFSSMDSFSRVHDKKLC IVAIVALISIPADQIPPTVAVGWPRLLQGITSLFGSLPTALKNREEALKDDYHLDGGV YDENEEWDDDENNWDAGEEGEEEDLGDVKGESEAYLEFLNEEAQKFSGTDLEYSDDEL GEDGVLLESPLDKLDPYSIFKTSLLKLQAEQPQYYAGLVQHLSMEEQSVISAVLQQAD TQEAAAQQAAAAANGTS NEUTE1DRAFT_118553 MHYTTDRETSLDLGKIEERLEVLASLCVVDIGLSLLSGMALGIT SSSSARGVCV NEUTE1DRAFT_50677 MAARPGNRPAQAPQPSTARQNEYFVPRDGIDREVITSDICRYLG NDALVRPGTYESPDGRVTQGYFITAYRNLTSAMIQDLKADSARWEQERRAASRSGGGT GGTTHSSQHNGSTFVRSSNSPTGQREQNRGSSDYSTWKNRQREQEHYDAYARDNAMDV DYPPQPSAPKNPVYPPPNAYPGPPQPGPPTYPPVSYTPQAAGIPAQYPPQAYPPYPTN PPAPQYSPGPPNTGDRYPGMAAPPPMPTGGYGQVGQEASYVMGSDYRTQPNYVTSEPP RMPPHPAISSAAPVRPMYTPTNGAPGYPPAPDQYYGAPIPGSSATQAYSADPLYGRGG AYNVATTNPAQASSDNLGSPAGPTPTRQGYGHIPEPPFDNHQPPVLSQVPTSNSSTPA QMANSGPSPAPRRSPGRDSEPREREREQHRNRRPEQERDDRDRARHRQIR NEUTE1DRAFT_104849 MGRTLMENGARNPQGIRRAAGASADNEHKQQEQEPKRADEKLTD SNQIGQNTECLADGMGVDSNFKDRVRGLRRSGGSTRRSHPGLVFWIHGGRDPVSANGS ALR NEUTE1DRAFT_149518 MSSPPHMQSFRDLSPAGAPGMKRSRFTYRHIQQLAASSTSCPLR VIAHLDLDCFYAQVEMVRLGIPDDKPVAVQQWQGLIAVNYPARSFGIGRHCNVSEAKK LCPDLIHQHVATWREGDAKWDYHPDAAANMATHKVSLDPYRLESRKILAIIKEELPPH LQKVEKASIDELFMDLSAQVHTILIERFPELANPPPYDDPTEMLPMPSITALDWQADA LVDLPDENAELEDPDWDDVAILIGSEIVRKVRIAIKDKLGYTCSAGVACNKLLSKLGS AYRKPNQQTVLRNRSIQHFLSDFKFTKMRNLGGKLGEQISQMFHTDTVKDLLSASVEQ LKSKLGDDTGVWVYNTVRGIDTSEVNPRVHIKSMLSAKSFRPSITSFEQAVRWLRIFA ADIFSRLVEEGVLENKRRPKTINLHHRHGTQTRSRQGPIPQGRKLDEESLLELARNLL SQIVSEGQVWPCSNLGLSVGGFEDGVTGNMGIGAFLLKGEEAQASKMASGTATVDSEI EIRPAEKRRRLDNGGIERFFAKRELSHGANSGLGSDDTAISGQSGQRLGTLKEEVMSG EPAGSEGVGKGDEVYNGKSESEPGPIITLTCSRCNASLDSPEELQSHQDWHFAKELQE QERVSQTFVNQPSASSSRAGNPKSTSTTPKRQGRPKKVERGQSKLKFG NEUTE1DRAFT_54732 MSSVRARRSETRWVTRFMPVFLAVCFGLTTYTTAKRVCVDHFLH RSQQPSAAIAFLVFYLVTFTFMLLTYIRLFLVIQLNPGVVPLGQRAIWRLEKEEKEKP SWRRHKHKQTGDIEANAYSNVGPDDDPDSPGLESFYSKDVFLCESDGKPRWCHSCCTW KPDRAHHCSEIDRCVKKMDHYCPWVGGIVGETSFKYFIQFTFYASLCSIVVITACAVC TRQRLHTGHGLDGFVVAILALSGLLGFFAVTMFITSARYALQNMTNIDYLKSKNFVHN LAIRVPRGIQSCEKYKVVTYPISKSGDLATPLPGDVGARGVANERDMLATRTFAVVKT ELGENPWDLGFYRNWKSVMGNSIIDWLLPLKDSPCATYENNESFYEMGPIYLRVRERF RLPHLTDDEKGFTTSKKELSSKSQAKERKQKKQEQGSQDRAHGSANL NEUTE1DRAFT_51791 MGRHSTSSSSKTSSSSGGKHSGAPGSGSKTKTVWYCSSCRFGPL NIDIDEYCPDCQHRRCSSCTVTTIEYNPGR NEUTE1DRAFT_125465 MSQISDADVSGKPDIVSVPSLESAIPLLENEQQNQARQQLQQEQ QGAGQGITEPQPDAIVVVPQPPADPSLPAQQPAPDTTGRFPRRARGNNAPVYNLARLI GTYVHGKRASKGDIVLQKKRKPKGRKLQALLAQQALEAEQAAQLAAQGGTPENPAADV PSSQPATQETDKPDSNAPADTLTIPPVHAPAAPEPTFPEFAASPDKNGAAEAPDAPAE ENRVNHESLDALVHEAPLELSTTTAELSSTTKADTAQDINLDTCGTLIPALPVDVPDH ILAIAPSATRKPDTRGAKATEKDVVKRPVGRPKKHTVTNIGKAARTALVETAEPATAE KVGTNTVDEAVTSSPKEAVPNVEKEAERGIEKEAEPSGTEDIQTGAWEDVPAETTGDV HTSTASQVKAVKAKGTKTTRGKATKAETIKASALVPATRRATRHSGVPVEDPILPLIT PASGKKAKTAEPALSRVPRELKRLRDTNEFVGIDTRPIRYSVWSNGKYVNVTEEKEPT APAKKKAKVDSSTATVREDRKDKTVEPEAPMNTDSSRPAVKQKRVKKWLNKGLYAGQQ APEDVTKSLTTQERKRLLNIPELAKSGPPNKVLPLPIFNGLRLLIAGRDFKLPFDVCH PLPPGQPKPAAYRTMTKNRFIGQAAAIWKKTPHFEDFASKCVCTPEDGCAQDCQNRVM LYECDDTNCNVGKEFCQNRAFQMLTERTKKGGRYRIGVEIIMEYTGEIITDEECERRM NEEYKNNECYYLMSFDQNMIIDATTGSIARFVNHSCSPNCRMIKWIVSGQPRMALFAG DRPIQTGEELTYDYNFDPFSAKNVQKCLCGAPNCRGVLGPKPKEVKPPKPPKAEVKGK KKAAKRKLQELLANGIENVVEGEGRSPKKLKVGNAQAGKATEDAAKGAPTFVSRKVSK VSVSAKSKVASAKTTKTFTRKVSIATTRVVKSYSKKGAAAKSKTITLKAPSKGSSLTI VAADAAGSITAAGTAGAEEEVGNKASKTARVTPKNTPRAKKMADAVQNTPTIAEGDDG SIFDVPSSSSARKRAPSWKVRDSGVKNVSSLFRKARKSPVKIKDTADPAAKSTAATTK IVKPRKAITKGKVTRPGKAMPKLTVAKKTGKSVAKPATSKSGKGSVSTKGATKIRLVS KAADGQDENAAPELVE NEUTE1DRAFT_141740 MSSPSSDETLSANTFNSLVDTTTAGTMTSISLTSLESNSTITPP FQHSEDVVGKGKQQSYQHFDHHSPPPPHRHHDHDHDHHVHLSPSCSISRSPPHQSSLD QRPQKEEDQQKVQDQSIEPGQDPEQQEQSQLQQQQLHKQHQRPTSPPPPPSSSSSSSA AASAAAAQAHPNPSNNGKTALNEFITRSRSSTLYTPSDNERDEQIRIAILTRCLNMED RFQVQAARYWGRLQ NEUTE1DRAFT_70900 MPAGVHNGKSGDGSPGPGPSKRKRDLDDNGIPGLTRPDRIPQPR PPQSGNTTPINYLSASGIKTSSLELLRGDNCAFNKVISLINDYEGAKLTAPRLLRAME SLFEGEITVYAKTSSGMDQPYKPSWLDILDFAAANPGEFNLTTTTSRGRVCRFSMKNV DVEITEDDWRLIRSGALDRFSLVPPKPLEEDDGIELVTLDIVEDRVHRIIQKADEIAA KARQLNYHLSGRKAAIAARYTFQHSSELNHYPKRHSELKPDPDLRAYLLRQFLSRAAQ HDETSNIGKSGSSPAFASSNHSQLHLRQAVIVRSPPMPTSSGTSAPLQQLTGSIHMEN SVRQDPAHRFSWGSGALERHTATSRSGTRAVENFERAASTSPPCDRCRRLQIPCVKHM GSSCQGCADNRERCDWKATTHNEIFALLGTNTSREGRPGIAAKAVADQEMSKCTSTRA ENSNGLGTSHRLNIRDGEQTHDEDTDGRVGAAEDNREEIGRRPG NEUTE1DRAFT_53111 MADRGNRGGRGGGGYRGGRGGNRGGAGGSGSGAGGGQGGGGAQG GDRGERPKKENILDLKKYMDQRITVKFNGGREVTGTLKGYDALMNLVLDDVQEAVRDE EGNETTRPLGLVVARGTLLVVISPVDGSEVIANPFAQQEEEA NEUTE1DRAFT_125468 MAATRYNSGDLRRQVGSPRAKNRDTKETLCRNVVIYGHCRWEDS GCTFNHDQNKASGSQTDLNSNRRVFNVESPSFTPANQQQSAGKKSTFSSQAASAAPFT PRGVGTSTPTLQQANDSTIFNPAAIREFTPQNYDVGNTISQNGAQHVTQDGGLYSDPF SISSLGQTMPPAGQYNPYANDPNNLAGAGAGLYQPAGFGNGLVHPPNYHLYQPPSELY RPSLQPYQRTTYDFFIPKDRRENLQKKLFHMQQLLPNSGLPNLDRWHSLFPLDTKATR NSTCFGYPSWMYKAQNNKNGRHFALRRIEGYRLTNEKAILNVTKEWKKIINANIVTVH EAFTTEFFGDSSLIFVYDFHPLSETLYDHHFPPNNSHNRLRNTNKIPENVLWSYVCQI ANALLAIHNAKLAARCLELSKIIWENNRIRLAACSILDVLHHDSPNRKTIEELQQEDF VKFGRIILALATNTPTLNFNNIDAALATIVPRYSTQLRGVLEWLIKPSAPGETKTVET LLGGITTHLANFANFVMQESDEKEFHLMRELENGRIARLMFKLSVVNERGDSCGVHNW SETGERLLLKLFRDYVFHQVDADGKARLDTNHYLNCLSKLDASSEEQILLTSRDNATV FVVSYRSIRQMLDRAYGELGKESKPSATGATM NEUTE1DRAFT_70908 MSGDSAVDIGQLSPEQQQALQQYTDVTGQEITDAIPLLERSQWN VQIAIAKFFDGEGPDLVAEAQAAQNQVPRVAGRHETLHETVWSDIAHQHGLHRANRTP PAPRVVPPRPVTYQTPSLISFLLSPFRMVLRVFASLFRPLLYILSFIPQSLRPHALTA SFRKSRRSLLPKETAGRFKREFEEYYGTHDLIFFDGGHAQALDTAKKDLKFLLTILIS PEHDDTDSFIKDTLLDPEVVAFINDPANNIIIWGGNVLDSEAYQVSMEYMCTKFPFSC LVCLTPKEGSTRMGIVRRIAGPVSPSVFIAGIRGATEKYAPDLDSVRAERAAQDMARN LRSEQDSAYERSLAIDRERARQRREAAAAAAEAERRAREEAEAAERKEKLRQQWRRWR ATTIAAEPDVSVKDAVRLALNMSQSSGRGRVTRKFAPDASLEDVYAFVECYDLLYPEN EEEKGDVEESTDKPENYEHKYAFRIASVMPREVFEPTASVTIAQKMGRGGNLIVEDLV DEEEEE NEUTE1DRAFT_70910 MKNFSMNKMLSSIKKKPTFSNAGSGSGNGNGDDATSDPTGDGPE ATATRCVKQFCMSDSGNSGDEVAFLPSIVEAAESSPAAAAECARLIRKYLHRDYWTKP SLQYNAIMLIRILADNPAATFTRNMDKKFADTTKELLRSGKDGNVRQLLMETLDAFEN TKGYDENLQFLIEMWRREKEKAYRVYGSLPNQQEYFQRAQHTSRLPDPVELANRLEEA RTSAKLLEQVVACTPPHEILSNDLIKEFADRCTSASRSIQEYMSATDPAPDNDTMESL IDTNEQLQQSLNHHQRAVLNAKKQLGLGSPGSESMSRPGSVAPVVNEQSRPPPAAAGT SNSRNEGVQDWLASSSAAAGGSGSGSGSGSGRGAGVGASSSSRGKGKAAATSSDDNWG LSDSKYEPISSRSIAGGSSSAAAAGPSRSHTGTPYRDEEDPFRDPIQPDSSASSMRRV QPSTLNTTNVGNNDERLSFEPYHPGFGGSGHNNSTSDGKSINDNYSYNSSSAYDYMGV GGGSGTGAGAGKASNSIPEPVTPVSDDGLYGSGDVHRSTGDAAPGEEHQNDERGDEL NEUTE1DRAFT_118556 MEEPCSTLALPGLPCYNTSLRLDNLCTDVLLLVFQKLHPAGLST LACVCRRFNDIVTPMIYRTVPLDLLVDEQHPEEILSRRQEKAFTYTRQLFIGGDIKQD DIKRFLANTKELQSVKYTYSPGRSVEPICPWKQVYDMEVHLREGNARLYIDNFTLTNP IDEAWNLGGFPPKTDFGKSLVSLKMGSTQVLHSESALDILKKLLLRTPILETFHYMGS RAPRVPGRQFIFEENQRLPPFKDLMLKNYDWKHDAEDVKLHWDFSRIRSLALLMIPVY KFLESVPFSDLAQLQHLHVEDYSEAIEHENSRRATEKLYALIKEDIRALQTLNLTCFI ELFHIDALLVHAQSLTSLVFRNHHISNNLRQHRPVLNAEQLTILSKSQSSLESLEIDI DHRAFPETCLLALCQFPRLHSLVLHTQTVIQPDSSHRPGQDPDLTRAYDCFTILVRQR PGPTKWQRVVINVGGWRERVVNHRAGRKPRIGHSKTY NEUTE1DRAFT_132676 MAGRGYPSRDGPEQSNYPSPPGDDEERRSQYPLPPLTTTNMAMH PSAPAPAPANGYPHDQRYPDQRFAAGQRYPQDPRGDPRGDPRGAWTDARAQTTNGYGS PNPPPPGSYPPMSATHPPGYAPPNSNPPAGGFAPPTSQYQLPPVQAAQDQRPYDDRRP YDERGQYPDRGMPSDPYYQQGPPHQGRGGGYGADVYQYRYPPAPNYPYGPGAPAAPPP PQPQQAAPRQRTSIACNGYQNTTNGKCSNCDKLRIDCIFQPVSSNPSTAFVPVAAVAG GVPPGTPLYGAYGQPLPSSAQPQPQPPPPRGYPHPASEYPPPPQVQSPSLHYPPFDDR DASRRRPRPQDEEHAMRLPPPNNARDDDPRRRSPASIHSNGTPPIYQQQYPQGGYEPD RSPTPHRNSPGNPAPTIPSQPSARPGILPPNAPNPMSLDRFLENDSHRDTNRIDRDML GRLNRRS NEUTE1DRAFT_104861 MGTEVSQTSQELCTSTTYNDRPGTRPHGSSRRANNGNGKGGMTL AGSAVAAEAFQCAENLCERPEQEASNCVGILKNVAIFKTSAACFDMRKCE NEUTE1DRAFT_113864 MQRGPTGHSNVSATLWCSIIRASVGRTKGRGGHSALSANSDSKL ARSVCWYAVCVLGPLCRLSSTNFRRGDALNTERKTEMEPDEHPRVARRAVTGPGARPG RESSSQMKWINANSGTLSPERLELAQPAPGRRNEV NEUTE1DRAFT_118559 MASEQNKEYFNNEAATYDAKHAKTLDRIVEEIRARLDFIGVEWV DEDADSGSVRLLDYACGTGVVSRALAPYTTQCVGIDLSENMVAQYNARAENQGLARDE MYAYQGNLLDPNDPDPREFSGFDFHHFDVAVVGLGFHHFDDPALAAQRLVERLRPGGV LLILDFLPHGKVADDGHAASHTITHHGFSEEQVKKIFQDAGAGKDFALETIGIAFNKA TEQRPEEVRRQVFLARGTKE NEUTE1DRAFT_149530 MSTPRVFIIRHGETAWSIDGRHTGSTDIPLTANGEKRVKATGKA LIGDDRLIVPKKLAHIYVSPRKRAQRTFELLNLGVKGPLPWERHGECDSKPVPCDAEV EVTEDIREWDYGEYEGITSPQIREKRKRQGLDPNWDIWRDGCPGGESPADVNERCDRL IKEIRERFHAPALNKPKGDPDAERADVLIVAHGHILRAFAQRWTGLSLHEGPSFLLEA GGVGTLSYEHHNINEPAIILGSAFHVDLEDDAKLDKLEKM NEUTE1DRAFT_113867 MASDDENFPDATIQNSGLNVPRIEMLADDIAGFVSASRPAKRKR DKMDMQDNLQDPSQTVTEENHDNNVKFSRHWKPPQGIITDKDLEEIHASLGSSNTKIK ELRKRKAVREIALRKSVDTIDLTEKYQLGAAYLQLTGQQTQDPCKECQAGKGPFEFCV YGDSNRCGNCCHRGRGNAHCSFQTTGKENTEAINESPHHADKDASIPDAKSVPDVLSE PGIGIGLEHHPEPEPEHQKGGRELRPRPPQPPRPPRRNRKLPQREQDTKVTETATVGR KQQPDTPLSPPSATTMTTTTTAAAAAAAAAASTTTRQELPSDVHEAQLPAAVGSVGNV QTSRERCECRPRDTRPERQEESDDTRGLQGTETKNRYEHTAYGERDRTESRARDTDTG RDRYRERERGRTRIANDRSYSIGSPSPGPPNSILACLPPNTPVEIMRCLVQHYQNQAD ELRLEHMRLTRNRSAMALWQGEGRQDTGKDQDTTAFGRAAVSDDAGPSSILACLGDSP METLRRLGLHYQFQADELRLECMRIDREERTRRQQEREREWERERGGREDGGWTDK NEUTE1DRAFT_51926 MNIDSDDLPLIQSRKRQDPNVHLPPCTKKNVKKQRRTIEIETAG HTEAEPPIHGPGTALGSAADHWLKSLAFSKYAPPAPTQGEKANSDYAPNPGHFENGNY TSSSGLLENNNYTLSQAPVAMRGNDYAPSPTPFKGTMNDILDHDTSKPMLAYLPRNVS PEVLRSIAQYHQDLADDLRMEAKRLEKEMRQEREREPGVNKVYPMAALKGGNGFDIWK AL NEUTE1DRAFT_125477 MAIGPVKRVAASALNKTAKIAKATASKHSPLQSAPASPTGAAKP TGVKASNPLSQSPPPAITTTPASSSSSSPLSPTTELTEGGSSGGAPSPPGGSGGGGTG RGQQQGKGQEEAPHQQSRPFPKWKAAVWTVAFTAVTVTGTIYGAGLKTQKEWNQLFKT KIEIEQKLADVRERMRLEKEKEEKVKSGAVATPRR NEUTE1DRAFT_70922 MSTINVVVKHQGKKYDVDVDTSATGEVFKYQLYSLTGVEPDRQK VLLKGSQLKDDTDMSKVGLKPGQMIMMMGTPGEGGGAIVRPTEKVKFVEDMTEAEATQ QAGATPAGLQNFGNTCYLNSTLQVLRSIPELNDALKSYKGEQSMLDPTSQLRNLYDMM SKTQEGIPPLAFLNALRVAFPQFAERERDGHGYAQQDAEEAWSQILTQLKQKLKISEG DAARDASFIDKYMGLELTSVLECDEPGAKEAGEEPKTSKERLLKLNCHIDGQTNHLRD GIVNGLVEKLEKKSEVLDREATYTKRSQISRLPKYLTVHFMRFFWKREVQKKAKIMRK VTFPHELDVVEFCTDELRKALIPVRDKVREVRKEEHDIERARKRRKMNPIDGENAQGS QSSSSKDKKKDEKKPTGGDVEMTTEETFKTDAEFEAEKEAEVLAAKKELYTLINQDLL KDEGANQSGLYELRGVITHQGASADSGHYTAYVKKTGVKDPVTGKVGEEDGKWWWFND DKVSEVEAEKIAALAGGGESHSALILLYRAIPLPSADGTQ NEUTE1DRAFT_70925 MSQSLRPYLQAVRSSLTAALCLSNFASQTAERHNVPEVEARTSP EVLLTPLTIARNENERVLIEPSINSIRISIKIKQADEIEHILVHKFTRFLTQRAESFF ILRRKPIKGYDISFLITNFHTEEMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPVSP NEUTE1DRAFT_149534 MADIKRMMPKPSSMARNAVSKMLEKGRHNMVSSSIQSVDSEDHE GHGGVSLLDDDSQEDFPVQTVQSPNAVMAQDVDATPKASVVQRKNGNAPSTTFPKGLR RKDKKRTGTFNQNGSNGNHEREQNGSTQSHTQSCQQGTSTTAGVAPFDHQASMAYMVQ YPQATQSFQQGQPSPALSNDISPNPTNEIMAGGQPVWPSSNNHGINNQQAGIVYQHMG ISQLQTGQGFVPNGPGGHPGISSPAGQTGYAPMGLPYPQHQMATITDTNGLTPFSHRS YIPTNGSNGPLAFAQSPNAQPVAGVTRLAPGQLYYAYGPMFGGPGSNMQPQGDQKTGD ASRTEQNQTVNDPQTELGQSQATKTPSHTKEIFNQTEPRNFAPRAGSLPSQPPPPFMI DTAHAPNTHGTQAGIVTGSGIDPFSPAGNDERAIVRIPNHHSGYETPNENYAVMSHMP ISGPPPPEVRAVRSEQLNRLTLLGPYGLPTAEVALHPDNFPFIESCSQASASDAGVVK ITNLPYTTTHQEIKALLGRNAKLLTEESVHVIMERINGKTQDAYVEFCSQDDAIRAVQ RLSQAAVRTNRPSRIADRPVDVELSGQASMMKDLFPLASGVTWRGAEPIIESPVEAKP WKTFKGFIMIEEMAMLVKLVEMPQRAQYTKSCPQRPYECMISTIRKLPWQKSDCITIH QRWAVYSATIRLIELLRNTIQRRDHDSHIALNQLLLKRLVNTAMLCPGFSVLQKDNIA FTAGMGEMKQREFNQPRFPDLWTHQLSVCPKPGVPLDVLEWYIAIIREETTRFVNLKP VSERSEILSKATKMNSNGYFGFLWHEIGFPTGDEYDNMTLKQAMEMELAAIENCLKRA LCYGYQHADNGRVRKLMAA NEUTE1DRAFT_125480 MESLPATRRVPGPSDQHYKGDHIPLVVSRETGDIVPESHQADPL VRPDKIEATPFAKSWAHFVAGGIGGMTAAALTAPLDVLKTRLQSDFYQAQLRASRQSH VGVPLNPIRAAWYHFSETGQILSAVYRQEGPRALFKGLGPNLVGVVPARSINFFTYGN GKRLIAKYFNNGQEGTWVHLSAGVLAGIVTSTATNPIWMVKTRLQLDKNVAAESGGVT RRQYQNSYDCIRQILRNEGLRGLYKGMSASYLGVAESTLQWVLYERMKTALALREEKI VQSGRQKTWWDHAVNWTGNAGAAGGAKLVAAVLTYPHEVARTRLRQAPMDGGKPKYTG LIQCFKLVFKEEGMAGLYGGMTPHMLRTVPSAAIMFGMYEAILRLLGTPS NEUTE1DRAFT_149536 MAATTNGDPSAAAASLDNIKPPPGVIIPPPGEIREAIEKTAGYV MRGGLGLEQRIRENHGKNPKFSFLMTSSDPYNAYYEWRKSEIKAGRGTAIAAGRVGEA APAPAKEEPKGPPKPPDFQFSARMPRMSQKDLEVVRATALFVAKNGRPFMTQLSQREA SNPQFQFLIPNHTFHNFFQSMVDQYSILLRENDSTGKGNQALQERIAEIKRNIDDKYH VLARAKQRAEYAIWQETEKAKKEEEEERKKIEFARIDWNDFVVVETIVFSESDDQANL PPPTTLNDLQYASLEEKNKISISSNLRIEEAFPFEDTSYNAYPPQQYGVQAAPSQPAQ QQQYQSPNTPNAPQAYGTPLPVGSHPRPEEEEAAQRARAEQEARTRVQPTGGLAPMKI KDNYVPRAAQRAANKFGSQMALCPNCKQQIPLNELDEHMRIELLDPRWKEQKAKADAR FATTNLSTVDVANNLKRLASARTDVFDAVTGQPISEEEQARRKKVAMHSYDGNPEGRS QAHINQLQTFNLDEQIKAIHQKFADKNDLGSAAGGARATSPTSPTSPTSPVSPTGSQS DKAKAAAAAAARLHRPIMQSLPDTRQQSFDEIYGPPENFLEIEVRNPRTHGIGRHMYT DYEIVCRTNIPAFKLRQSTVRRRYSDFEYFRDILERESARVTIPPLPGKVFTNRFSDD VIEGRRAGLEKFLKIVVGHPLLQTGSKVLAAFVQDPNWDRNAW NEUTE1DRAFT_70939 MARSGKKSPAPPPAYAPAYAPPPAYEAVPTSAVVSKNKNNKNKS AKVKDDYSSEGVQDNDPFLLPGSDFVLLTIITILSAVVRLFRIYQPDSVVFDEVHFGG FASKYIKGKFFMDVHPPLAKLMITLFGWLAGFDGSFDFKEIGKDYVEPGVPYVAMRMF PAICGILLAPTMFLTLKAAGCRSTTAFMGACLIIFENGLLTQARLILLDSPLMIGTAL TALGFTAFTNQQELGPGKAFTPSWWFWLVVTGLGLGITASIKWVGLFTIAWVGSLTLI QLWVVLGDHKHVSPRAFAKHFMARAFCLIVIPLTFYMAMFGIHFLCLTNPGDGDGFMS SEFQSTLNSKGMQDVPADVLMGSRVTIRHVNTQGGYLHSHPLMYPTGSKQQQITLYPH KDDNNIWLLENQTQPLGIDGQPINGTGAWDHLPETPYIKDGAILRLYHLPTHRRLHSH DVRPPVTEADWQNEVSAYGYEGFDGDANDFFRVEIVKKKSKSGVAQERLRTIDTKFKL VHIMTGCVLFSHKVKLPTWASEQQEVTCAKGGSLPNSLWYIEFNDHPQLGADAEKVNY RNPGFFGKFWELQKVMWKTNAGLVESHAWDSRPEAWPILRRGINFWGRQHRQVYLMGN PIIWWSATAAVVIYVLFKGIAILRWQRSCNDYANPIFKRFDYEVGTSVLGWALHYFPF YLMQRQLFLHHYFPALYFAIIAFCQVFDFVTARISLVKDKVIFNRLGAVLFLTLSMVV FWLFSPLAYGNPWTKAECNRVKLFSTWDFDCNTFLDSYDNYYGITPTSQTASQTPVHG TIERPAVANAPPQAQKPIVNQEQQAAKQEPSANPVGQRVISRQEKIEYRDQDGNLLNA EQVEALKGKVEFKTKYETRTRVIDANGNEIQLGGSGMPKVVRAPKASQPVAPPHPDVQ GVDQETPKIPAAEEVVPQAEMSVDGEKEAEEKVAKPASESNEATVSQDIEQSDDARAA QEKIQKIVERIQKVANNVDNLKNNVAEKAGDKVEQVEEKAEQAVEKVQEVAEPVEEKA EQVLEKVQEVAEPPKEKAEQVVEKVQEVAEPAKEKAEEAAEKLAEKAEEATEQSKEKI EGVTDNVKEEVAEKAEQVQEKVAEKAEQVQEKAQEAGEKAQEVVLEKMDQVEEKAEEV GEKAEAKTEAKDEL NEUTE1DRAFT_125484 MTPKSVLYRLLTDEPDYEGIVNNFIDHVRCRPEESQATANSVRN GKLHERFSLFVMLPAELRQKIWKMSVDPVLVTGYLTAPRGLISNSVVLSNYTGPGNRS FLGTRDHYQEIRLFQINRESRELAFAYWGSPLPSSKGELPAYLFNTHTDTLAIQLSYS HLSRNRTARSNVIYESSPWQGIGRPEVAAQAPTAMLSRIHRIELQLDRVEDLQPGGYD WTRPGATNPFTFLFHFRNLRHLSFVFRRPRLIDIPQTTEGITVINVNRVLPVTEAVKL YDYYHLETIQCLHSFVRLFQNPSTQDEAKTALRNLRTISITANPMSLRLDPYWLPNRH MHARIAKIPKGASPLACANDNNSLEVVSPVVPGNLGSNGSMLEVNDLVWAVYNAMESA LAEAGPGGVAAPPEDEDGSTFSA NEUTE1DRAFT_53778 MSRRLSIFGRLGRRTSSATESTAISNETDGQESDGNLAPPPAYT TVDESATANLTAAFDQLSLSNAASDPTVDTCLAHLKLLFAIQSMKEEVGYTDGLWGLW DSLAGPLNHLEHTYADKKKTPSDSKKPLDKNLLEDADNAAKKTLENLSKIREKRWALF LARATQRYEAWWKSLPGSQPLTEKDMEEDTSFGYQTFPTDDSATFDWSEDKLPPLDVL MVWHTHMLNPRAFLEDAMLAGLRGFWNRGLPWHLVNAAIDSDFSYNVTDQCKARWTKQ TGLSWDNQSDPLVKELQCPKCNTKLSIPWTTCSRPENFHNQAEPLDLTGTGYGDGNLL HTCKGCDITICKELLCAFKFVKDVEALLGPANRPIPGTVLEPTNGRPAIVPPEPESPN PTMQDIRVEIEAILGNSTWLREINNYSNPRSAAYKVGRASKLSIRKMMGHYWENFSPF ALDLAGAVVRQGIFIEKMYKIDWLHSPSATDTMKRLLLKYSRFFTIMQKNPTKMAVPT LDIDLAWHTHQLSPSKYYEYSIKTTDKFIDHDDKVEEGRLSEQFEWTSKEYQDTYGEV YSECTCWYCELLGVSKSEKIAEAFHASEKAKLCPPDKSAHISSHNAVMLHVDPSQPNL TIQNRVRIQLAALHQKRLDDAYAKAKKRAEKKGRTMPPRDAAYYDHWGYPYYMAGPYM YPIWFTPGLYYGWDPGSIAGCGTGANGACAAGTCGGGVAAGGCGGAGGCGGGCGGGAS GSACGGGGGGCGGGGGCGGGGGGGGGGGYTNICGTKADDARIPYVQGL NEUTE1DRAFT_118563 MGHQTTIAPQYTGTGFWEFSVCSDPYKAMTDTTVFVPHYYAVGI KQTPTITHTTHFLYTTPTRFTVDFFFCFCFITTD NEUTE1DRAFT_89634 MEALNMLDFRYCGLVLRHSLDIFYDAYDGEPSLFDEFNPTEHDD ERSVKHVCPTLGHMAADIDTTDINVTQNFSSIWIQRPLSDSTPPLSPAVSREPFQFHG ICHSNDSSDSRAPLSGELLWSLGSLSVLDAFVKTPERYEHVAVPLSSDLYMVREEAYV DYIPCPGSGPGSPALSVLSEAALPEIVDEFPKIDDSRPVVTEIEPVTEEETSKVEMEM PKVEPPKVEERSMSLSSQPVQPSQTRNWLATVLEKRQATPENDSAPVLTKVQAAFDEE QLKKTVREHRRHNELTKPRLNKRTRVRSSVPPQSRKKAKHMSEHPSPSPSPAPEFSPY PQYSLHQVWTG NEUTE1DRAFT_52683 MSPLNIDATTALDRTFDSASIDIVNMNNSTPDTTITVTDDSKPR TETPSSTKVIIALIVMTILIVSTSLISFTALIFALSHTTDTTTDANVASGDCTISDGN TQATVDTVSKAGNIPEVTITTLDATLMTNKDLTMAASNADIAQELDGTNVITPDMKAG SEDSITSVALTESEVHVYRHVRPTFDIVEVSTPTTISESATRSTNFDNDYFGGLASDI LDFKSLQKCLEEDQMWLAHMPTPNPAITSGSKPSFIVKTNKIAKAARPAPKINYTSIC KLSMIQEEDEEEIEFPRSSSDEADSSIDSLTDFEEFSESEEEIIAFAKKVHRLCDELE KVERFSDPVEETIALAKDIEATFQQWDEEDLEAFSDSEDDAIALAKQIEVNTRPSPPD SPTPQTPEGTGARFTESGFLIETPDHSPAQRAPISSPAQTPEAEFISKTPEATHLPHT PLSVHAQKPIFASPVGLCLQHIRKVSNETNTTEETNDTAVTQATNPTAGSPTTIYSSP DNVTEYQAMTGFTVCLSAKKGTGITFDPIVQPGDDIHYDRRFLKDETPSPSPARCRSS ASNQSTPSDKSEDMSTPYDYTHHSGDSDILAVLKHPGGASYAKMSCGNWFFLNDDGTL DELNWREYEELIEFIVGDVASLPSKLHQVALHAAANTTETNAKNVTEPVTEDITAEVA GVIINSDQPDEKWFKAEEMPPRWFVMEHIRCPGEEISYYAEYVRGSDDRWYFRLDGPE YRPLDARELRWFLNWRASTRPLTYIVELEEEECF NEUTE1DRAFT_113881 MAEQAGLKLFPAKLTALEFTEQPPEPWKPTKLTEFGVTLVTGYI SMFLCHFNDSQISSCRRLSQPAFEFTKKTSQPLQVEAHRRLELDIPRVVYTRVSEMTW LATV NEUTE1DRAFT_51589 MTFSFWSSAFTAQTQRLALPTIADIKAMAIASFAASSVAHVSIF TETFQIPNILSTPQEFQTLSLIDSCQEGGLIDNITKARRMNAIIDTTLRDITSTDVNK TAHESNIVDNRKNTTQSTDAQATAPLIIEDNKSAQVVEVSHQDDLIHNVNTVTNDNRD ATSRIADEELFIREENETHIYQHIFPIMDIIEVDNSKDHIYHHVLPIIDIVEVNSSMA SKIADVAEVDDMGASASSIGHDFERFLREDKMKWVHAVANQPELCHSISKTKKQAIEV TKQSTPVNKAIASDNKLLTDKKTENISSEKVVDTGIVSLHHNRRLSNDTNSSTETDNT TNSDASRATTPDTPETVYSTPDTNKVNNKIDDPALANINELKQADKSQSPIAPLDTSS FGNMYNYSHLSPSHLEVILIMERLDLNCRYVQMSCGYWYALEDDDEIRPMSKAEYQEF INWTSQTPVVTRDDFDKKQQADAEEGGQDGFVDDDYNNQPIELIDRTESADYQPTTGI EFLSSTDLADDEDEDNYHSSDPLAAWESTLAIWKDPEDLDEDWGVIGLFNHPDGQKQI VIGNDEQVYWMYEGMFRLLDAHELDQFNRWRDGDEFAFDEVEGKREPKTWVRAVLGKR RNMNKWCAWSLEMESIEEEEEVEEVYECW NEUTE1DRAFT_113883 MSSLWSSGVAVHAYRLPLPNAAIIKAILNGSFEAPIAMTSVQDP TRKAGPVYKADAEENIITSDIDDDMSIDDIDELDDKPTSSSLKIARAGYFSSPVRDGQ ASPIDAGVASTDKFYRVRKVSTDTTASNNSKFSVDSNLSNDTANTEDTEYSQSSIANT NNKRSQTKTPEQCDESGSSRDINSSIHDESPTAYRCFPTFRLIRGTFPTAPSSSGSRS PSPTPSDTASDADDYASDNDNDSTKDQDSLDDLDIVHTIDRPEYGLQYEPEVVDATQL ESSDHNNEREPKVKAVATSRGLEMVTYYSDDESDTEDEPRHPLAEYMVWKEEKDMGDN WEILAWYEHPDGNKNYVLGSDERWYWEYEGAFMLMLDEELEDFNYWRSCDPAAVLYLS GEVEEEEKNCKGVCGLDTILEEDEEIEEEVCEVKEDKDRNGSTTVWGLDVIEEEEEEQ ACEEISEAEVENQQYQERVWGLEAIQEVDEDEEDFKVFL NEUTE1DRAFT_141767 MTTLSLWHLGSHACRLFLPTIADIIDVADATFTVLTLMNHGSSR ITKSNKIPDKRRRKKSRTILPGNAAVQATKGPEVISAFEASEEVTAPLTINPDITVSV PVVTILEGSSTAEKVIGVSEEKDITSIHDTAIEGTHNIELAGALNATQEADTHHTDTT NATSIQEVETALPVDVIANDDPTLEVTKTEVEELHSYHHALPATPVLEINLAQKMVDD LGASASSSHYNKFERFLAPDRMWGVPSPVERVNRQIKRNTKTLGRLEKTAENIKASTD NAKVMLGSKKGIDVDATRVDIPHNPTEVATDTCLLSNADSDFSTCMRQLSIKSNSSDD TLPTAETETVHSAISTPNTIYSPGDSTEQSSHDEKLHHPIIPEIIITEPSEVYPENAD DTQPALQSEGDIPTVASLPPFSGLYDYAALNFAQEDLDDLWIMTILTRPGYVTEYAKL NCGFWYILEDSKNVRLMVEDEYEEFVHWIGEVNTIGTAASQNNEPGNDKAEESKGLEI LEGPEKNEDAAEGEGEDEEEEDWSELLRWKRRYELGRGWGIVESFKHPAFTDRKYALG NDDRWYFEYEGKYRRLIEDEMDLLHRRRLEICYGLVDVIGEKSATNSWGLTNITEEEE NEUTE1DRAFT_132688 MSFPFFSLGFAPRSLTFPTSNVVKAIAIASLAALSVKMILNTVL PSQADDDHECHPVDDKVCLLTHKASADDIDADHLTQAGQDAELPKNNMSTTSPSADAT DIIADESASHTTELAVAPEDVKPSKDVEIADDNIAMQGADSTEIVPDTKEACPTQLEN APEIVISTQATPIVETKKPAIKSSNGVRSYRHSFPAADIVEIVEIAPEHRAVRSYRHS FPAADIIEVVEVPSRQATVIASPILSNTNTAMLVNEEKSTASSDAAPKAEEVILNHTR KDSTALVTLQESLLYNGKSLTYYRTNFSTESRKTANSESPSTGPGTPDTNYSAPNVEA ASQITPVGNKKFEHSQNHEDDATTLSPVDNSPSKWYDFSHLSAEEMANLDTIIVIERP DTKVSYTQLTCGFWYRLDEDENPVMMSQSEYEDLLAWFASFTEEKEKSKAPPPIVLVT DEEGNEFLAEEIDETSSESQVLDLQDRPELGVKYVLLTNGYWHYLNEDTTTAKPMTEG EYDEFVFWLHDNERLEQEESTSQDVKGIELLTYADEPINDNYPDNSQELSELEQWEEA KYIGPNLNIVGLIDHPDGNKQFAIGNDDAVYWLIDGMFCPLDDDELDRFHRWRDGDNN ALHEPIEQLERPKGRMSKWKAPNLDAICEEDEEDLAEEAAEEYEVKKWDKWGLYTIEE VEEQEEEYSLW NEUTE1DRAFT_52695 MANNPSKVGREGAAPETLNEQADIRVHKPVRMDWFDARTNLLAK QLYSPLLGDLSTLLEWYLLDDCSPFPRPRTPSPGRLFLEHAIITKIYKIVIQKREEKK IFEAKVRETLGLDKGDTPVDNTAYTNGVRRLVEMTIQYALDVKLEMQRISHLLRGVTS SGPTADVIFE NEUTE1DRAFT_113887 MCYTYRILESMDPNIHLSMFSPELEKCTSRPEVQVPSVYCLRPT SSETTDIIGDSDDDSYHEYFDALTGDEYDSPINIMEDVEDLPPNGDDWSQDAWPQDDW AQNDIDTYNEEDNSQTEANNTSEDSGHPLAGWHSHRLANSDWTHHSFVDKTDHIDMWV RHSERTYSLARCNWVVFPEDGWSKPEYYSAWGAPEAPELKLVTPEGDVCWLDDSVDYE ALPWEREVAEERNRMLAAIW NEUTE1DRAFT_104881 MDFFLPFIGVLGSQALLSARNIFGAGEATKPTPTENVSTYQDPW SESSIESSECDKPVSASTTSEKKDCTNDDTSATKKQNKDPRMTHVGADVSASKSDESK VSTDKNPPTANSDDEMILDCVTLQSHFVIGITSSPTDNQLVSGSPKKRKWEESVATSL FDVLHSPSPKKRLIRRYFGPQSRPPLCVKKRPLTHFSFSPPATAKGISFNTPTPPRKT TIPENVDVQADTPTPSKIRLRLTSPIQMAVYNSRVARTPGVLDMELTDKDMEKEKPKA EESIEKRPKTQTPRRRIRQRTSHKTWDELSVVDHRGLLNTRANQRNSTGTPRRKLQQC KWVRYREPEINVLMPDAVDGRVPRLVLTDPEGRHYSLEDMRFSMQGALSRVRRGD NEUTE1DRAFT_113889 MTAPNTPVSACPKPYVKHPEGVQITKIPSAGQQSASTTHNFVKR PHNCGPKVVRVFEPSKNGSKYVLLDNGHWYRLRYASKARQLNGQQHVEAVGLSNAPVI DSGEGQRKQAESIRQCLTGSTSLFKADTLEDYNREVKKVLARLKEMEKQVTKILILQQ GKEDQKGQASEDGRGSVEGKKGIKGENTKKGSSRRKRPQEMKWHEHQFVARNGLLSAW GKNDDRRGHKLRHRCTWIPVPEERPIEQLSGNVEVPGLVLTSPEGGNFSLRDPTES NEUTE1DRAFT_141774 MTDQEYSDFISWRVNTLMSNDDSVPDPGVDAYAVDTAYYSDDGD WFSDVLSDEDLERFTTEDIDDLYFLREPSIGEARETCPRDLQHKERQSRRTRKKDNSW ASHSLVDENGFIDTRKRRRQEEGRRLRGQCNWLRVPALPTLNNSSVPDLTLTSPEGES YSLHDPLDYE NEUTE1DRAFT_141775 MTSPAVLNSDGEVPASSGLLAAAEEAPVRADTHKLSVGAQANEE NKAILVNHDKLVQLSTHNEHDMAADGSSTDRLANDVAGFHFSNGAGTESTVVEDPSPC TERSPAKNRSGTLGTHASSPQTPSDISNSALSQRKEVTPSQTMPTSISITRHISHVEG NEPDIEPTSKSVASTEPVGIYYPPRRPNIFRARAKHLRFPKCDRNPVASHVVNKSDDA MKDDDDSSIEGLEEFESESKRNMERKTKRFMSVVQQCAWRSRVEDLGTVQEIEETRFN QDDFSMFRALQHHRALARANEQQEATIETPIHHPYQQTEAQPFRRATLRDCFPQANKP ADSYKQVAINIPITNNTLDKEIMKTNNKNTSSIVETSNLGQNDRVDPLTSSMSQLKIS PTPTRPSDGYRTSWLTASPFSPATPKQSIWTTDARVALPTYTTTTAHNNQDNLVESPN RSERHIKQHVTLANSQGHDRATVKRTIVSSIHSKTDNESASKPRLSRREQRKLESRKW ASNALIDGKGRLDTKMNKLGKMRKRLRHRCTWVKKPFSLREFVHRAEQPIPSLVLTDP EGGRYFLEDPKKYKN NEUTE1DRAFT_141776 MTLPKDTPLVDKAAMPTTPDEVLPTEEKEECATISPQDKHTLCI SDVVKSTKRLNIHDEKDAATPANIDIPECRHDDKALMSDSKPVGIQQSETASSFYIAP AEEVLPQPHLSTTSSTKSSTKIEVANESNTTALTSTEPVPTIYPPRRPNIFNKRAPRR NVRWRPEVLASLAPHATEDVAKDGDGLTLEELQEFQSENREVMEKKTAKFLGMVSQYS WRPRVVEDLGEAFALDD NEUTE1DRAFT_104883 MSHSLLFKDLFERKHEGQPHYIRKWSIKNAKPTGLTDAQRAIAA PPNGGKPAAPVYAFFDISKYRPDWQPEVIQIVERPELNTQYAQLDNGFWYLLEEDGGY MHMTDREFKDFLAWKMDRDQREEDDETEEESDDHDELLAEFDAADEVPDPSLEMLNSE IEEIESEMEKIDSELGESK NEUTE1DRAFT_53219 MAGHNFKQLVFEQFFPDSVPLPEPEPTATTSPVPPALASNTEQP EDEVKAPEPDPAEKEDVQVTTIPLPGEVTPAAADVAPPESDFPELESPGSELEDYEQE TGYESCTQGQSSSDNDNRLSTIPEEPSESEGSHDNFLPVDPREEDHTDVQLTDLRGEP PQMVLEGPTTPEMSTDFSPAQLHPESFPPLYFNPDRTTVSGTPGGIAPSSAGEGQSVK PESHGPAVPEFAQEDPANDNSEDNGLGDGERQNIDAWARGEAELTTRVEPDNEAGSSD IPELCNVLRPPRLLNSSDDNSSDTSEVAEGQSQHESLDAFQRRLQDESRGLPEPPMGT MASMFDYAPFSETASNAPAFQDTPPNDLPGDRIAEPTDAASSSDGTLFDAETTPLATP LHNGNGVIPTLTSSFDKGTFFENLSRVFKKGRKRAEKLRERITLLETGTGGAVSTSID EQKNKDHVQHMAEKRSRHNSIMIKLLKEGYNVPIPWDALEEPQNEEEDISSSAGPLMK QAQAGVSPPQNISEAGKEESLESGRALDKLLDEKLGEAKNAQKKVAKGETKTDGPQVN PIEHQKPKVAKEPDNPEKPEVLKARGDQAPEYNSLAEERRKAEQEDADRKPAEQTQTV KGEEEKTAKNDGDVKPKTLEERQKSEQEEEDRKLAQQIAKTQQEEAAKASEDAKRREA EEADDLAKSTEAEKDKALGERIKAAEAEMLKEIGHARAKVAEAERLTKTEKNKVKTAN SEMSIARIDAEALEEKKCKAAQEGKAKDAEALEDRRRKALELAEKAALAEKEASEKVK VYQEAEDKAREELRLLELVRQDAVKAEKAKAAELGNWNVAVNEKAAAAERRRIVREAE EATSAKTTVEKTPKTFTPGGKLTSIATSRGDASRTRDNHKFVPFLKTSKVAEARSEAA KDADRKHAAAGAEKRQALEAAGAEKRKEIKKGNQQPGVVKASEASVPKAPEKIIKPPE ADISKPSEANAPKPADPAISKSPEASIPTPLTEAEKQRNFYEAIRRRREWEAKREEAK KKDEETRREWLEEIKKQMHANAKPASTQQAKVEEAWPSLPSQSQQVKPEALGAAPPSV KLPQIIKIAPPKEKSQSSAKANPQQKPQSPTRKPQQAWSQPKPDGKDRGKTQTPVQVK SQEKTQEQAQASAAENTQGQSQKNMKGPAPTTHPVPAEEKPQDPPQQKSQAPVKKQPQ SQAQKKSQQPPQRKDQAPVQEKPQVPAEKSQSPARKTPQHSSQQKNQGPAQQKLQAPA QVEKPEPSAWKKRINDLNAKISEESAQRKSQPPRPSTVFREKKNELQKEKSADQAQAK VPTDKKPQLKPQSSKTKQDVGPEATPVTKMDDKKVEETPYVPPQHEEMFDAKAQEDKL KAEKVEAERLEHEKAEQERVARGKAERAEREKAEREKAESERIAAEEARKKAEQEKAE REKAERERVEREKAREKLEQERIAREKAELEKAERERIAAEEGRKKAEQEKAEHGRVE REKAELEKAEQEKAERERADRERAKLEKAEQERISREKAEHEKAERDKAEQERIAREQ AECKQAEQERVAREKAEREKSEREKIQQDRLATEKAEREKSQRERLDRARIEKERLAH ERAEHKKAEREKAEHEEAKRQVFERELGNRQRLARETAEREEAERERLMHEKFKAEEF ERHNLAAQRIAREMAEYERVKERGPEDKYVEAEKPKPVLQSGTTNPVVKEKEERTRAG QPIFNLDGSEPKHKDKMLQICLNLKAKRLELIESAEEYGEVAKRQNEKMEELVHLRDR NLMIREQELQKIKIIDDQLEKEVRAIRRVIAEERRKMEAKERMLDAVQAEVKAEKPLD WVPFIVSSAQPNLNREQGHERGRERERRSAVGLSSQIPGVHENHGEEDPPEGHPAWGR VPEQDQYPSVDAPSWNSSPFNESENSAPEQEQSGGLRNPLLEPPRSPRVPQIAVIHPT EDYNASQVSRLPAEFQSTIPGQTHPQNFGNQPPETGFAGVFCEHCHWVFEKLRCSCTR GSLSRARPLLCQWCGWEHGFLYCPTHHLSFWDHTSVPVQPPAELTRVSHQTSPYQSGY QGQTYVRQPQWNAPPPHLNAPQQGSHEFQPQFNPSQGSSMSPREPVWVHNDAVPGQHL QGPYPQPNYHRQLYDPRNPSRSQNEPYPSGSNPPKGPRAERLSRPRRTSSSHSGPNQP GLGPRLLSPNQKKLLDSFKGDGDRGEQVPSQAPVAEGNIVTSSTLPSLQEETKSAGSG RQREHHNSR NEUTE1DRAFT_52141 MFSFLTRTVARLATPLMYQIVAPILRPTISHAVGYFQPIEADFD YDHGDNPLAVGLTKVIDNVDIVRKQIIAVCKAPIVVTARVVDKAVEVVTKLRSAREEP VATASQVVKDVAGVVKSFVPFFLLSGTRRTNDSKTRRWIKSKQPKSRRARYSIDQTRT SSFIRGPSSAYPSPPPSCSDGDDSPARRDKTSPGFDATSQEADTTMAVNFHPRAYSPD VNTSPPSESTVSSLDLGESIDSLTSLTSVTSVETHLPSEISFPSSRCSSDLSEIRLPL YSPSHEGGGSNKATSDAEKFSDDEEDAVNRARAFIAFRSDHEETDRLDSSLEQALFES DTQGSKVDGEAQMGSERREVEDGMKEPSEIEEQEELANEADAKEKTAIELQKPENPVY SPAEPNPSQFQATKNPGLESANTQSPVAANTSMPPEQPQELAKGSIDDDTETVYPDDD DLEPYVFNWEDHAFIDATGHLDTYQRVHDANFDPSRQYLQHCHWMGLFEAYKPKQTIT HYLGPIDASSQQQPSLPVPELTVTTPEGDTFWLDDPLPWNRLCMNRRWLSLNFIDDYG CLDTWKRVIDEEQRERVVRGQRRLQRDNWVLSKFAPLEKKLEGVPEIMVTVSEGGTFY LDEPRSWADLDDDDDDW NEUTE1DRAFT_104886 MGLAAPRKRSHQAVAPQQISNTLPAPSQRQSQPSETSYALSSVT DTASTTLRATPFLPTKLLAILETPTSVSSPVLAETTSSAHTSAVALGIVFGVFFGTII FSFIIWRLCRRRSTPKTSASTWPSSAKAATTMPVRYSSTMRYPHRPTMARIRSGDRGE RAAPSEEVEKGRTTPKVVKVIQSDAPVQSSERDFRPLSHGTRQPGHHRDLSISRRREF PHPGRQAVMDVSSPTTEEWETDDVHPPEKSRHKYDDLDDRPAVRGRYGQDNPYHPPPL IYVAGREAHERSSRRSHERVLQHPQGHGQVAHERRRIRRSQPTSLRVVGQYWRRATKC HIVVIVFKLFGGREELVAATFTFAESDQSQVLAPIRGGTVSGC NEUTE1DRAFT_104887 MVSLKSLLLGAAGALAMPFNATEFSELAERGGTPSSTGFNNGFY YSFWTDNGGNVNYANGASGSYSVNWQNAGNFVAGKGWNPGSARTITYSGNFRPSGNGY LSVYGWTRNPLVEYYVVENFGTYNPSSGSQRLGSVYTDGSTYDIYKTTRYNQPSIDGT RTFNQYWSVRQQKRTGGTVTMANHFNAWAKAGLHLGTHNYQIVATEGYQSSGSAQITV HG NEUTE1DRAFT_70945 MSEAAPVHRCPVCYKTYKRREHLQRHRASHTSERPHRCILCSAS FQRTDVLKRHIQTCDGLPHGSSGRRRACDRCVRQKKACNSAQPCQNCSKRAVQCLYSS VNSSSTSQDGEAGSTSAANSVESFSNTPSTQQQQQQQQQQPQQQQQQQQQTQQYQHQQ FLHQQPQQLHQQQQQQQQQQEQQQRQQQRQQQQQHQHQQQQHQPIYLQQQHQYQHQQH HHQQQQQQQQQHPQRPQHHQFQPQQHQQQTYQQHPHQQQHNQQQRHHHNVLHAQVTHP LTQIPQIAPVPVPQIPRNHQVPVPQILQQSHQSHQPHPAHQPHHVPFNHPGVAHFDDL DNLLHDAAGQFPMLGHQDTHIPDWIEMELPMGTHAMDTPEGNTTSRSTPSSETHRGYS FDFLYDFTSRTGLVDSFECGTHAQRRAVVDAFYASYAEQQKHHQQLQQIQQQQQQQQQ HLQAQQQIQGQLEFHPMFPQLQNHMGQPPLSPASSSAVSTSATSNDYSYMPWTPWSSW LSNPIIIKLQEIVVSIMNVVRHKPSNSTLGTLTWTPDKEQECLQLFSPQRFAKFLELF WSCWHPNVNFMHRPSFDPTSAKPTLLAAMALTGNDTEPGSPADDDANPTSALHCKRKL QALQASYIVCLYQNWEGSDASKRRIRRHRFNTVVSVARDIGIPMAKHLEYGRLLRHEF DWHEYVVREELIRTFLWVFLLDTAFVIFNNLPHRMVIKEMRMHMASPEAVFQAPTAEK CLEEIYQWMPSSSPICNTLLRDVLENVIGTTLDADTLQRLARLGPLNLFVVVSVFHYM IFQHQNLFGVEGQLVPIRNGLDNWITIWELWCDHWSSTPPHCMAASDNLTPANMWKRI GFIRCSAEYWLLGSLLTDRIARKTSGGVRENQGKGSSPDCYPDELTGSGKGKSNDPIL IKYDQTSMRQVNELISDFKKIQLEENE NEUTE1DRAFT_104889 MAVITTYLPIVVGIALLIRLLMVGRRPKNYPPGPPTIPILGNLH LMPTKDVHLQFEKWAREYGPVYSLILGTKVMVVLSSDRAVKDLLDKKSHMYSHRQEMY VGQTLCSGDMRILMLGYTAKWRMSRKLVHALLNVSSAKSYVPYQMLENKQMLYEMITK PDEFLYNIRRYSNALTTTMVYGWRTPTYNDEKMRQLFDGFSEFAEINQTGVAALIDFF PILRCLPDFLLPARKKAKELHKKELGLYKGHWLKAKEDTLKGTITHCFCEDAVPVQKK EGFSDDEAAYIAGTLLEAGSDTTSSTLYGFVQAMLLYPEVQRKAQEEIDRVVGPDRLP TMDDEPNLQYSRAIVKESLRWMPTTIMGAVPHAVTQDDYYNGYLIPAHAAVVNNAWAI NHDPVRAPEPRRFKPERHMDDKLSLADSAANPDPAKRDQFTFGAGRRICPGIHVAERS LFLGVARMLWGFNIAPKLGVDGKEIIPDQEKLTQGFVCMPEEYPATITPRDERRKGIM EEEWFAAERECLDPETKQWKQGMNWVPKLSSTKV NEUTE1DRAFT_18310 RAQFRTFRPSKSGNQNSLIICRWSGMQDRDGIPIVFSSLSHPRS RQFGDGGAD NEUTE1DRAFT_149542 MAAPRTTTALVIPKLNGQFELQEVRLNDIQPDEVLVEIEAFGIC HTDLSCATGLLPCRPGAVLGHEGAGKVLSVGSSSIANLSPGDSVLLSFSHCESCPPCL SGHPSYCHSFNARNFSGCRPLPVLPDGSIDSSSLKSDNPFTDPSYATFLTPDTNKPIF SQFFGQSSFARHTLVHKSSCVKVSPGTNLALHAPMGCGMQTGAGAVLNSLGVKAGSSI AVFGVGSVGMAAVMAAAHIAKAKTIIAIDLQASRLELAKKLGATHAVLGDIKDMKKEV RRICPPVGVDYAVDCTGSVAVIKSMIDVLGTRGRAATVGAPGFGSEVGVDVMEHLTYG KEYVGSCEGDSLPKEFIPFLIEQHAKGNFPMDQFVTFYDVKDHKQALEDSHNGKAIKA VLKW NEUTE1DRAFT_141786 MTILTKASSLAKASGALRHHGIIKAACKSTSTHVPFPAGIIDAL QISRHIYEQEGFVAEEVTDSHAVSPAEAALRTTAPHTSAASAGGGLNHFHGLLWSHWH PGPTAGVEYQTRHFSGSMRKHYAVIYDMSIWDWGDFSHTQPDHPIPPRPIMPGDEDYD PVTINAMPTHSEANVKADRSDIDPLAGHGPLWSHSYYHHQHSQSSWAAYQTRQFSSFI LGKISTAAVHDPSIWDWGFFSHVQPDHRIPPRPILPGDPHYDPVTINDMPSESEAIVK AERTPIDPLPMGLHRVILLPVGEALPEQTESEMDVRADRSPMEQDPLRDRMRFRDYGP LMNAGRMTEQEIKRVMDRDMGFGYHAAASTGDFSGGETEGAYRKKIYIREERLFVEDF GEEDESMGTSFGEGEGTEGYEGQRGYFGEEGPALRRRYGMEEVVGMDKSAEEMELEDA MLLHFTRFR NEUTE1DRAFT_125489 MTPTATVFSVATAVLGPLTATFTPPPACTVAVAAVTGKFLGLGG KVASSGWLGQTCSKGGPVDDTSCWPPTASGAEARTKALDGWGYYSPGLHCPAGYATAC SATGGGGAKSDFAFQFAPGAKETAVGCCPSVENGQTCVKAATSTEVEYVTCDGSDPAD LATMTISDDKDFMFYAPLIQINWQSSDRPTEAVFSGTVSLSVDVETMSTLATAGDAPA GVTSRVGFPSQSVVLEGSEGSDKPKGASEGNKEDGVKPVSLSTGFKVGVAVAGGVLAV AIVAIIFICAWRRRKQQIEEEEFDRMYGMKDVGPSTADFRNEEIPGWHRGPTRRQPPA PVDPFRSDGESELMAPPAPYHPPSAYRYRDCNPPIDPIARMSSEDGNTVTPTKSSPSF DFLRVNRKLRHLRGIYLRNLAFTRQHGRTIDDAALNKTAGKLEALRERPDLHHTLSSE DLRPPAVRRRSTHLANADPATRQKSFQDTFASKLADAFFTLHVDGLEDPIYISEVAER ATDQWSLLLQDEVDLRTLNWLGTLQNVNFPPNSLVFHMVDGIYSLEISNKYPPPKKEV PPLPTSSYNVLMRLATLNNSIQDALATRDALAAQINDLLAQEEDKQKGVGTLAEAEEQ VKLASKYLSAQKRIVAAAKKHNDDLRASIAARNAAIESGLAVQRKAEEDVHHAAGEPL AQSKALLSSVRDQIRGQRRRICEDLLTIYRIQPVPPPTDPKAQKEHDPLSFQICSLPL ANTVLDPTTTTAHCFVSSPHGSSLSCIPKEKYPHEESLSASLGLVALLVHHLQLYLSV PLPYPIKWHGSRSTIRDDISNFPMNPNASSYSSHASQSSRSASSNSPSSLGEETDPSR EFPLFLPKGGSTAQYRFEYAWFLLNRDIEVLCWSQGLKVVDIRHTLPNLQWLLMVCSA GKDEVPERKRGGVRGLWLGRIRGKVVGMGMKFDDDVDGILDGSVDGSSQAASGGGGGD DERISSRNDDDGSRSSSRSRRGSTDSDAATIITPRTTRRTTGGGGGGLTIGYHYGNGN GTAGARSPLSRSPLAQQQQLPFDEGSTKLTLRTKGLRESVAR NEUTE1DRAFT_125490 MPSLDPHGTLVSRAEPLSLKGEIVKHSRVHGSDEAASVSKDPET CEATMGILKDPKRKKPRETRRHGGTLADETLKADRAPLEQLDMLVVLKQIRVGILCVR RKLSCSCHRSHLLQHVKPYRTDPAMLSSCLEANPPNSDSPETPKPDQRLRSTNISYHP IHFEPHCGSRALFFPRVKMGSRTFDPPVYAVPPPQYYYRTPVSPVLPDMAPTSVDAGS VRRKPLHRMSTLSQHLSSSLRHNTSSGREKGIQEPSVVQLPPTPDSETTTSKATSPDT DRESGIQDVDQGPPASKIQRRKSRLSMLIPSFFFSPDAADQQGTGLKGTTGARKQQRP VTASPAPAANYANPEAQQAASVSDVHNKNMVIYHNPAANYSSPDGLLLGSNNNPTTNS SSPPLSSLQSASRPSNGARSVSVEGPPPGAAIPPNRLQKQSQQQQQNQQQPPSGSSSP TSPTGSHSRGRSTSSQPSATHNRTPSAEPPRIVSTSADSRPTSTHSNGSDDGSSPTAQ KHKQRRSWFGGRSGSNSAANKGDSNAAWIVAPDSKAEYSTANLLNGDKVPELWNESGN LFIYLHPPTSGRGPSFKVHDYLVSSSQLLLSEITGFTNPRKASAGGSLLTVDDAANRR PVPSPSAYGANPTDGHLYLPLENTNLDSLVAARNLFALLTSQPIIATHTYPTLFAALL QVAALLKSLGFRNHDGSTFGEQVDAAFNSFLDQFGVSDVRGSREKTIQAIILGEHMRS WTLYNEAFTHAVGKYESIQDLNLPVYKSISVSTRNRLERAYLDLTNRQANANLRLESF EFPSLFAGIGSSTSSEEAKHLNFKEWQKSFAKMRTFVLGYYKDSFGSWPPKARSKKNH FSQSGLNRQCLKMLYSDCCALYDLLVDRESITPRVIDQKFDDGVAEAEAEREKAKNAG EFEYELFLMRSALRAMLSEFDHSSPPVLPPIPFDCPKIPSMTAIHENYRALDAKKRAK LDRKLASNELLLMLIKSRNLDTDSIQLPFLQAFKEFEYKEAKGVNPSDIANQRVGYWL FLYVVLQSLPMLVVDAPQLHWTEGVEYFLCEAPQGNPPWMEDAGEVRKMWYQTNNGQG IVELSADVVMFSVEGIYMRSHCWLAAKEWEAALLPASAAAAAAINQPLEAAAAALGEE ITPLEPPRPVFQDNDSFASGHQRSGSRSQSSYGGGTPGSEPASPHFRPRTGSTISRRV SSYRSSIAIGLEPLDFSQFGPNGPSSQQEAEFMQSLAPGGDRSSRVVSTPANMLSGGD GGRPDSSNSTAAHGHRASSVGSAQTLAALGNLSIGEGQGRSSAPPAAYRDPSRGSISQ QVQQQQQQQQYPNPSVSPSPLGRGESPPRQSPPRQVAQPAAPAPSNGGSTFDDILKGM GGQEKKKKKGLFF NEUTE1DRAFT_149545 MPAIDVAMRRSVQGGIADGFVNLLVRSVQQPLEQRDVIQDFNNV KTAFSSWDNCMKVAYCKWTTIGLMIFAGVVIFSVWARKHKYLDAPYVPPDQGYKAPEP MDHGFGSRPAPTYNPQVSEPQYAEFEVNKKRQTMGDSLPAMPEWEGAGNKKVMVEEEA VELDQLKKPEEKTAQSAGADAAAGAAVMSGAAATIPAAAPGRGTTPRPSPPPGGQQGP YGGPAGNNGFYGNNTGYGQNTQGYNQASLTSPYGQSSSPSPYGMAASAMGPAAVGNAG YNAPGGGYGNQQQDAYGRYGEPTRNNTYDTARSNTYDSYGASTNSPASPYDTSPYDTY DSGNQGGYGSSQQQPYGLAAGGVAAGMGMAAGSMGPNRVRSPPAAAMNTIPYPPNPMA RRSPAPKQPLNGLGYGGPSTNQAHEMPGDDSFLGGPRHQSPAPQSTGATGGGYGSLGG PRHQSPAPQSTGATGGRYDGFSNQPYSQGSTQQQRQNTFGGNNSYGGGNNAASYGDMM RSTPSPAPTGPLPKPPVRNNTMGGQSTLGGNNGGSPASATGGFDFSSGGYSRPSPTMG QQQGGSMGGQNEGGAAGYPGYKPYSPVGGGAAGGGAAAGGGGAYRAW NEUTE1DRAFT_104895 MRRSFVRRWRSRRRSRRLGDEQYSIRLNPSDRPRRVVRLDKTVA QVGEVVALSGRLRLKLKPHRRDAVTVAVWTVRSDRREVKREDEEKRPTPLDAKRGICA SLVWDGKGQQAPK NEUTE1DRAFT_118564 MPSATGQNWEKYRKTFGDDEVEEKKITPLSDEDIQVLKTYGAAP YGAAIKKLEQQIKEKQQSVDEKIGVKESDTGLAPPHLWDVAADRQRMSEEQPLQVARC TKIIPDEKDESKSKYVINVKQIAKFVVQLGERVSPTDIEEGMRVGVDRNKYQILLPLP PKIDASVTMMTVEEKPDVTYGDVGGCKEQVEKLREVVEMPLLSPERFVNLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAIGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDLEGRANILRIHAKSMSVERDIRWELISRLCPNATGAELR SVCTEAGMFAIRARRKVASEKDFLSAVDKVIKGNLKFNSTAAYAQYN NEUTE1DRAFT_89647 MATAPAATQTASNATFRDKEKPIAVRSSNIVAARAVADAVRTSL GPRGMDKMIMTGKGETIITNDGSTMLKSMSVMHPTAKMLVQLSHAQDVEAGDGTTSVV VLCGSLLGAADRLLGKGIHPSVISEAFQRAAAAAVDVLHDMSQPISLTDTASLLQAAN TSLSSKIVSQYSNLLGPMAVNAVTKTIDLKTAENVDLKNIRIIKKVGGTIEDSELVDG LVLTQPVIKSAGGPVRMEKARIGLIQFQLSPPKPDMENTISVNDYRQMDKIVKEERTY LLNMVKKIKKAKCNVLFIQKSILRDAVNDLSLHFLQRLGILAVKDIERDEVEFICKST GCKPIADIDSFTEDKLGSADLVEEVNSSGSRMVKVTGTKSAGKTVSVVVRGANSLILD EAERSLHDALCVIRCLVKKKALIAGGGAAEIEIASQLAKQSRALSGTEAICWKAFADA MEVIPTTLAENAGLNSIKVVTDLRHRHENGEKNAGVSIKSGGVNPNIAKENVLQPLLV STSAIELAAETVKMILRIDDIALSR NEUTE1DRAFT_89649 MPSVTHERIPSAKKRRRDDVENLYTSGNIQIPFSHPALDTTRGI LADKSSYENPTIHQPYPPTLRKIIPIAINKKQRMSGSDEVHHREDSHHGTQAKSNSPT AAFHPHHLKQHALLSKIKCLDRCHICFRKPSKKADLDSYADCQGCGQRTCYVCMRQCP GWTSSFHHQGRHHYTETGEQEAQVQDIPLASSSPENSFAMLDADTETEVDLDEVVEKE SPKLKPTTTGWHANGHREMICGHCCEERGVNGDVVCLGCLPSFGG NEUTE1DRAFT_89652 MSRANKLGPEVNRALFVKNLSYNVTPEELFDLFGKYGPIRQVRQ GIASNTKGTAFVVYEDVMDAKQACDKLNGYNFQNRYLVVLYHQPDKMNKTKEDLDARK ENLERIKRQHGID NEUTE1DRAFT_125497 MQLASSSCSFSNSTFQRSKSGKLGFNNRTAETRQAHLFGTLHRP NQHYTKPVPTVPATPPLSLSGQRRNVDIRLDTPVVKVAGGTLPSHHRLRIGTVNGLHR RLGGEAAAGADTRPDYFVDPQVDTQLNDPDAQDTEPQPFETFPHVVNPPAPTGTVISV TMTPTSQPTSSTSQPASPMAHQTDNNTECRLLGPFAILVQIALGCLALLSLVYKRWRE RPQRPVKIWFFDVSKQVFGSVLVHAANVFMSMLTSGKFEIKVLDPVAVAVGTKMVKRA VEYGILSARGEDEYTPNPCSFYLLNLAIDTTLGIPILILIVRLLSRGLQYTPLGQPPE SLQSGNYTPLHSPPGTKPRWSWWFKQSIIYFIGLLGMKFCVLIIFMVFPWISRVGDWA LGWTEGNERLQIIFVMMLFPLIMNALQYYIIDSYIKKDEKIPEEGAESEGLVTGRGDA EAAGAEQRGRGRGSRGGVYDIISGSDDDAGSSRDGDSDGEEEEDEDEDELPKDKAAEV VGHKKRGFKTRTKAVREEEYDPAVDGDTPTVIGSSSSAVSGVSVKD NEUTE1DRAFT_149551 MAAANAQRAREQQQATAAAAGAGSRMSAPPQLHSSNNNHSNSLA PPGGMTGAAAIGAFGSLGTIRRGKSIMMSRNLGTMRQAKLEKRKEAQADPSDDMILRI LRMRPEAAHYLKERHRQKERMAAAAAAAMIVRQSVNQKFGGGGFDNGGGGLRVNKRQS VVPPVPKLPFGAGTSGGQVIGGGQFGRGGR NEUTE1DRAFT_70967 MSISSRPLSACANQNHHHFDTRMGRTLVESLPSPVDTESTGPGC PPAFLLKLTNDDELVFTFTFVVRQIHQVVQNAAGALETLGLTDTQINGLTFVYGSTPR EVENLLTREFEADPNLHKNANVELVGVYATDGSPSVSFEWTWKWKPPKPTEDKGGGWR NFCSVCVSPIGGALWTTAETLLSSINESQGYSPWLSQPSSPSPPLLSVPPKGRAVSVQ TLGPGIDSTLEQDEIFLASPNLPMIDQGPSLLPPGLQSPQPPQTVREPIKVDVPCPRP GEDVSVSDDGPVFRATMKALEQKTGNMRMQMKRLIKRAEQVYAAQTEANDAFLQFMEA LRDVSSTNANAVQPAIEHYFDKIAWEILRFERQNTQNLQKIVIDPMNKLYQLDIKQAE AKKRDFEEESKDFYAYVSRYLGQRHDSVKAKQSDSKYQTKRKNFELKRFDYSSFMQDL SGGRKEQEILSHLTKYADAQAKAFLTTAKKVEGLLPQLEALSTEVQEADKTYQYQRRE REEKRRLLEKGNLAYAEPEPPSTSSGPSPSTGQNGTASYGESELGRADSTGSQLRSAI TPGIAQPPQTDLSRSPGSLIGLPPPLGSPQQNTKFRGIRDLEEKDPSQLAADKNMTQR KEGLLWALNRPGGHVDPRALNKQGWHKFWIVLDQGKLSEYSNWKQRLDLHMDPIDLRL ASVREARNAERRFCFEVITPQFKRVYQATSEEDMNSWIMAINNALQSAVEGRGLKDMK PPPSSIGHSDFDRRDIASVLTGKSSSINHHHHHFHGHSNQNLSPIPSRRTTVGARPST ARAPSFDESPDRLLQMLRENDQGNSWCADCGSSNKVEWVSLNLAIIVCIECSGIHRSL GTHISKVRSLTLDTTSFTPDIIELLMLVGNRVSNMVYEARLDPQQKLVPQASREQRLR FITAKYVDRAFVEPISSTLSRYPTAEETLLAAIKKNEIQQVIYALALKANPNITDKSR GTHAVYLALAAADPAPPSPNPMTPSPSPGTPGSSTTGPDKQVPFPVAELLIQNGAEIP VAPPMFPLSHAAQTYLEIKRGRRALVESIGTSAVTTSYGSSYDGISALSSASGGGSGA ANLGDSKLAKEKEARLQKRVSAGGRLAKTPIPER NEUTE1DRAFT_118567 FGGGHRWRRRPPWEKQWRFVGNNGVRSAASVVGIIGFDTEEPWS WTWRRTGRSGIWRSGVSSSRWWEEIESKGVDAVSILVTRLPLFSACLPACLCLALRVP AWVRFCLVKPKL NEUTE1DRAFT_51751 EDGKECFVRRGVPFVLYNKLLYNIYPNRIRSLYIPKQIVKNILE ITYNNKYYFGRNRILYNLRNVIIFNKIRLVRKYVEYYLAYTLN NEUTE1DRAFT_118568 MAAAAPVTYPPLEERPLKNTICLFDVDGTLTPARLTVSPEMLSL LSALRQKCAIGFVGGSDLVKQQEQLGSSSVDVTTLFDFCFAENGLTAYKLGQPLPSNS FIKYIGEDQYKELVRWILHYIADLEIPIKRGTFIEYRNGMINVSPIGRNASTAERNEF EKFDKEAGVRKEMVEKLRERFGHLGLTFSIGGQISFDVFPTGWDKTYCLQHLENEAKK AGGITYDTIHFFGDKTFKGGNDYEIYEDPRTIGHSVTGPEDTAAELRKLFDL NEUTE1DRAFT_89662 MRTLLSFLLLALASAVAAISTSGNRLLVVLDDVADKATYSKFFA DLEEREFKITYETPKSDSLTLFNLGERTYDHVLFLPVRTKGLGPNLTPKTLHDFVNAK GNILLALSANTTTPTSLVALLSELDITLPTDRNSLVVDHFNYDITSATDKHDVLLLPP PKPIRAGIKDFFSEGAGTKLIAFPRGVSAILGNGQLLNPILRAPRTAYSYNPKEQAEA VNGDDLFAAGQQLSLVSTMQARNNARVTVVGSAEMLQDKWFDASVTKLDGKPVVQTFN REFSKRVSGWTFQEIGVLKVNWVEHHLEEEGAPNDSNPEMYRVKNNVSYTISLSEFAW DHWIGFNVPEHDEIQLEFSMLSPFHRLNLKEESHRLAAVHYHTVFTTPDQHGIFNFMV NYKRPFLSHIEEKNTVPVRHMAHDEWPRSYVISGAWPWIAGLGSTVVGFLGFVALWMY SGPVDAGKKVTVKKTQ NEUTE1DRAFT_118569 MSGTIPHFWAQPFRYIRWSAREKPAYFYSCVIAGLGPVFLTVVP PVRKYFGDVNPAPIPVTYPIPTGPRKQLTGFDDDTEEA NEUTE1DRAFT_149556 MLAVRSRHYRSSTSASAAQTSKAAEVLQSLLDRLVATTKAPGAN GYPDIDELIDHIRRIHQHIAAARPPSPPQDDFRHLHGFQTLLDVLRSFSGFYNPQKRT ESERVGLFDLLHVILATISALFRGHPGNRRYFQDRVEGGGWEALEQIIASIGVGGGDS DLWTNCQLFGKLLSFSLDDQRLDELCRSVAEEGKALEQTPTELESDKQDEVPGQKEEV ELQPTEAERWVIIQERLKAIIGPTTVIQNAEIIRTIVGFWESIPRAEGAAASHASMIV LTALSCVASVSFYNLTAIHGTGILSRLLRVLFSENSLLAEAEQKDAAWLCRKLISLGI DELADAQFLLSRQDAVMSEFCLDMSEKHNGPPFVQFDLSLHGHASIELPSLGRTFPPQ SAPGYTFTAWVRVDRFDPKSHTTLFGVFDSTQTCFLLAYLEKDTKNFILQTSVTSSRP SVRFKSVVFKPNRWYHIAIVHRKPKTLMTSKASLFVNGEFAEQIRSSYPSTPPLSNAS TDSFASFTSNANKTNPVQAFLGTPRDLATQVGPGLVHSKWSLASAHLFEDVMSDDLLA VHYRLGPRYQGNFQDCLGSFQTYEASAALGLRNEIFHPGKDEVSDILRAIRDKAGTIV PEHKVLLSILPRAVFRSDGKFMESTLFRSLSRHSVTNLLHSTTKNGTPVAINGAVSCI NDALIRANGSGVLTGDPVLATPYNFDDNLWRLAGFTPVALKIVERTTTGDDLVRAVEI MLHCITHSWRNSEAMERDNGYAILSMLLRAKLGYGVSVSDNPSWRLSLSPDEKTRLTF QLLSLVLSFVGYNHADPIESFIVNPLAYRILLIDPDFWRKSAPMIQELYYKQFVTFAV NSKHHQFNSRRLLRMRIIKRLLDALKTETISEDILPHFLTSFEALIRCSYSAEVYRSL ALFITYTFHTPANSLPRTPRALSSASRSVTPGPGPYTAKRPSPEYSGPSSSNGSRMLT RKQLGVKMLEMYTRILCEKNNSVDISRFAKTVTNKVIDIKGSEKEFVLTTSQWLLYLL AENDPEIVVYGCKILARLLVTQPTSYTAKFASKTGGFWIMAHRLKHWWDIPTLWAILF SILFGYDVAQIDFDKSFDFFSLLEIFGDSKVVYPDVLPVMTAMLQHGLRDVLRYQDDP DSPAAEHSGATTSGGNLAAVHTRPRARSMELGQALESRRAPMPDKERVVNHASILQTV VRFMADMYSRSESFRDFALASDYVRLLLSALYPIVVSADPVSPETELGSKDSPLNFDG IDVIIRPVVRSSSSATPIVRTTNTAVAEQPNPSAGQSRGTPLRRPSSFILVAQDQPMS PPPSRLNHVMSPKKKVATQQVSNVVLESILELIISVFNDQILSRKDFTGFGLFLKVPP GFQEHQAYFETYILRNAITQLRNAVQLDQKALLEPRVLQNMSRLNMHMAEAIFEGWFI NGAETMLDFTGTLLEYLQRPDVSSTKSVRLCSSAVNTIRTTFLKLVLLKLSDMDDPQT TDQEATSIMEKLLYWQAVLLNCLSLDDDFMKLLWYQFYNKLVDAREPIRLMAAMLWRI MLVQKPEESSMLFRQFMTPDQQPLARGFRRLTQLDDVSFLEWVDSYRSSLDILFFGGM SKAWEDYVRAENQRTADTARSRMRNRKDKLRQWHTEAMERDSILLRHEMSNNAWMKSI YFTEHFKHQRLQQDQQDDNAFLASSFTKMERELYRPGAVFAKEQKIKWKLDRTEGRNR MRLRLLPDYAAQQQPDYQPRGNAGSGAPASSLAAGDSSSSGRRSRAPSSVAQPQSKAG AEPPSDSNTNLAPKFAPGDSDQQSVSPEDDYELVDDPNEQEDDTFEDKNRKVMRRLQQ GDTVQNVYNISRIIGLDASEGILIIGKEALYLMDNLFQSPDGEIINVWQAPPEERDPF SVMISGQSNDRRQGQNRTDQESRSWRWQDVLSVSKRRFLFRDVAIEIFFTDGRSYLFT ALNSAMRDEIYSRMMNKTPHSNGPNLLPTPEDAWRLESLKFSEEAPQTLGMKFGSIFN SSAGNPMMRKWQKGEISNFHYLMLVNTMAGRTFNDLTQYPVFPWVLADYTSEELDLND PATFRDLSKPMGAQTKSRMDTFMAKYQSLAEMDEQPYHYGTHYSSAMIVSSYLIRLPP FVQTFILMQGGSFDHADRLFYSIEGAWASASRDNGTDVRELTPEFFYLPEFLTNINGY NFGTRQGDGGKVDNVILPPWAKGDPKIFIAKNREALESPYVSQRLHQWIDLIFGYKQR GDAAVEACNVFHPLSYKGGKDLDNISDPQERLIATNIIHNFGQTPHQVFTKPHPAREH ARCPIKRLDTSVHALTNQPHPLLDSHERVSSLIYAPKLDRLLCASPFRLNLPPQYDKF LEWGYADGSVRFFFTNDRKPAGLFENLHIGQISTLTFADSKTLITAGEDCVVSVYTVQ SSPGKPVELVPRSSLFGHKTPVTTIAVSKAFSTFVTVSQDGISFLWDLNRLEFIRKLP LARPVECARIHDVTGEIMLCSGPNVLLYTLNGELILDQNVCSEGDTNDYIHSCAFYEG TSTSTVGGGGNEWVEENLVFTGHKRGRVNVWRKTVAEYKKASASGGSSSSSRWVLELV RRLDHINTKSETGQNVEAAITCITPMPSCVYTGDDDGRVYEWNLIQRER NEUTE1DRAFT_52022 MANSQAKVAAFPVQRMRRMAPWQVVSYPIQYLSRYGHVISLIHL YHEPSIWPSNNLRRFPGKAATQIVNRQPYVWSRPVVSV NEUTE1DRAFT_118570 MRGLLALSLAACAAAAPAVSHESIHGDAAPILSSSNAEVVPNSY IIKFKKHVTDDKISDHHTWIQKIHSSRMDLKKRSQIPMVDDVFRGLKHTYKIGQDFMG YSGHFDEDTIEAVRRHPDVEYIERDSIVHTMSVSEDVDSEGKCDSDIEKSAPWGLARI SHRDTLSFATFNKYLYAAEGGEGVDAYVIDTGTNVEHVDFEGRAKWGKTIPNGDADVD GNGHGTHCSGTIAGKKYGVAKKASVYAVKVLRSNGSGTMADVVAGVEWAAKSHLEQVK AAKDGKRKGFKGSVANMSLGGGKTQALDDTVNAAVSVGIHFAVAAGNDNADACNYSPA AAAKAVTVGASAIDDSRAYFSNYGKCTDIFAPGLSILSTWIGSKYATNTISGTSMASP HICGLLAYYLSLQPSSDSEYSLATISPEKMKANLLKIATVGALSDMPRDTPNLLAWNG GGCSNYSAIVDAGSYKATPKAQSDKISSVSELEKAIEHDYEVISGKVVKGVSSLSDKA EKLSEKIHDMVEEELKEFLEEIAH NEUTE1DRAFT_89672 MSLFPPTPDTSPRRTQAARSGTKPEASCAPHHGRENSQDLHRRQ SVRFMGPCSVKGEKGLGQGAYSHTRRNSMEIGQDTAQLQLNDQDSSSVHSYSLANNVK ELSILHQSCQPPKPSPSAPVTGIAADYLYALVASEDYYTPEDNIASAPSSYRRIRKSR SMFTSEDHESRKSQDDSVSFVNGRVPPTRSTLGNSSYKMVADDKENDPMTGLPPLKTP KSMSFLRTRSTHSRSDTHRASLDQMHSPLEAPSVLGNGSHASERGTPRLVSKASTIFS ARNRRAEPKMRKSLRSASWGDDLAETNPNLTLPTTPSGKDDGFKRKARKVSKSLKTKL KSFFSLAKSEDSCTIPVQHIDSQRTHVMEDVVSIHSTASDQEVAEKIEWGSIHQVPSK IPSLQIVPSNLHHSNKGSLESLRSERERNISDDKSLTTWVHSGPSTLTSQQQEEWREW ERQRLSIIKEGGTHAPSPSIHRQALGTQLFQRFDGSTTQTNPPGLGVDSQRVYSALMK RVRDMHNQTFPAAEQQREPGSLTHAFLRERSSLRSLSKARHFYHDTDHIDTPTRASRK SSLSGCQEAQVHQQGMRSSSAMTSRSAETGWGDSKATRPGVQAGRSKTAPAPASSSQK MHQDPFTEHDSDALGVQDHQFEGQQLHDNLGQNSAASNTPGSHLFRTTSPFRRTLRES MEAEYSAIAQAIQESIHHISSDDDTRIRYSGQIDPASKNRTEVTDNNDYTESVYSTDE IGNGPLNGALDDSYSLCNRRSVGSPLAYHPANHRIESSASSVDWKTWLSANIGKDEPS PSTPVEVAYAIPTMPNSFPNRHVRESTQINEECEDDGGVYEPPTHRLSLPISPLATIE PNVVKLSPEQRSIKRTTPPLSGRALLENDSPGAPPIPAKSVLRCTPSPLKRSAGPNRG HYMSPSITSSPGLSAALSPPKMAMHARTV NEUTE1DRAFT_89673 MNALQQKCRPKHQVLVLKCYPRTIKGAVDVKPNSSELSYLLFYC QSRRAKIQKVGSFLEKKTASDVYHQRIGNVQVTLQILAALIEKSPKDLPLFASCVLSI LEQVLKSSDITMVESSIPTFQAFCENHDPTSLAADQAYFRQYVSVVQQYASLASTRPA PGKAQHSKPIALRWRNAGLEAIRSVASSDALSSMVARQYDILVPMILENLWTENEDFL DVLLQRVQGDNNVEDAPLLRRRTSNATAQPSETTGGEPGPNPIAFLGTAVDVDKLAEE DIGVLAMQCLRQVFVAPSRSQTHNPTIALLRFIEERVDQNEQVVKRDAHGKDNGWAIK VFLMAARWAPVADRFTILLTAIEVLTQRPLTDENLRHHNIQAAMISALLRSDVNLIGL SVMDVLLNLLGHMQRLVQMPGDPDSMRVEDETIGTDEGAIGQRRELLFRLQQCIGDLA THVYYADQISDMIQTILLKLRPSRPTSPPNSSPNGERSENGAAEDQPLESLFALTVAK IAALKAIKAILWVANPRTKMSGGHINLSRNRVPIQTWDGTQWLLRDPDGLVRKAYVDA VVTWLDRETTPADSLARDESARTTLKNRAAQENNLARRVVSSASARVDKSANAPRSHF LQLLHLAIYDHALQFVDYENDLVLLHVLLAKLVSQLGVNAAKFGIPMIFRLQEDIQDV ETPLGKVRIGSLVHGYLWTLTEKFDCEGTAPGSAIHGEIIRRRSKNFWVEGINIPAPA VDLVGTPGQARPPPQMNMRDLESEALLPFDERASLVDCICTGYQELATSPPTSPTTSP GRNFTHPMLGSTLSATPKDETQREVPAQFRELMLGDWTREAVLANTQAGSSQTASLNG TNGTHRNTVNNNNRLGVNGVTSPNGSNSNLRPSSSPTGPNGVQAGRTRKTSIRSNGGG SPAHSTYRAKAQQPVTSVEQLKAVLSGHLQPPPTSHGIGFQHSDDSDDSLVSYDMAPS ELSFNPAASGSRQGSPGNTSQAASSPPRRTSQDRTQQLKFGGPLVPGEESAVNGAGGQ EGSNGAAGNLGVPISRTTSRTQQPQATTAHTTLPRPSTSSKRSIKSRAGSRAGPMSSS WLGEKPPAMDLAALLKGIDSASISDIKSLGAGGKPPY NEUTE1DRAFT_89676 MRLAAPLLRQRAAILPSSRTLLAYRNSARVIHSSPPEQAKVVPV YGTGPPPEPPKPAADGAIPEIKEGTAADPWSRISRRKRQAAMLRAATLPPTAGSSSAP GGIGLLKRRFWKSVHVATKNDMNEIHLDSRPLRRPDTKSIIRLPLTKPSLASALAIEW DQLVSAQQATKQHLIPLTSLVCRALDIADEDSLGKTDIRNAIATVLLRYLDTDSLLCW APAPEHPEDGRNEAGYTLREVQEEAYSSVVSFLTTRVWPGVTIVPVLDETSIMPRQQE PGTREVVQGWMLGLSAWELAALERATLAGKSLLVAARLVVEWSGDGGNAVVQTPAEEE EMNTKRWGVEEAAKAVSLEVDWQTTQWGEVEDTHDVEKVDLRRQLGSAVLLCAGQGAT DVSASKAKL NEUTE1DRAFT_113922 MATKFTPINQRKKAANIRHVPSGSPDVSPCSPPMSPLSPPPSWF NDEDMECPHTPIRTQSASLLAPSTSSPLRSKSNHTEGSSPSPLLVGQGLAPSTLLFPH LSAPALQKNARPTARKRGSEELGTGEVEHGPKAARRKTQKTSTQDEETALLTPVSNTS ESPQPSGISKTGSAIQTTMQTM NEUTE1DRAFT_70989 MVFYPPPWVPKLPFDPPDSVTIGEFMKNEVYGRRPIDKSKNPWT CGLTGKTYTVKQAFERTELLAKALHKLMGWEPNADLPWDKVICVFSYNTIDYISVLHS VHRLSGIATPANVAYNASELEHQLRSSGAKCLFTCLPVLETALQAAKAVGIPEDRIFL MELPHHSKKLPYKTVDDLVELGRSVPDLEPLQWTKGQGARQVAFLCFSSGTSGLPKAV MVSHRNVIANTMQISLFEDYGRKKKGVKTQVELGLLPFSHIYGLVVVAHCAPWRGDEV IVLPKFEFNEFLQAIERFKINYLPLVPPIIVRMLSSRDTVKKFDLSSVRHVFTGAAPL GSETQDELRKLLPKWKVGQGYGLTETATVVSATSEHDIVQGTSGSLVPGAKVKLIDQD GREVTEYGKPGELLVQSPSVTLGYLNNERATSETYVFDEDGRWMRTGDEVIVTKSPAG YEHITVVDRLKELIKVKGHQVAPAELEAHLLSHPAVDDCAVIAVHDDRDGEVPKAFVV TPASMSSRPDAEIAAEIIKHVQEHKAHYKWLKGGVEFIDAIPKSPSGKILRRLLRDKD REARRAQGAKL NEUTE1DRAFT_53729 VDPEEIIHHLKDIRLQEKGSGSQRQNHLTPYNSSYASQEDIPKY KIPENGTPGDTVYAMLRDELDLDGRPNLNMASFVNTYIEKDAQKLFVENLGKNLSDND EYPAMISFSDRCVSILAHLWGVQKGEKAIGTATVGSSEAVHLGGLAMKRRWQEKRRAE GKDAYKPNIIMGANAQVALEKFARYFDVEARILPVSAKSNYCLDPALVKENLDENTIG VFVILGSTYTGHYEPVEEIHKILDDFESQTGIDIPIHVDAASGGFVAPFTYAKTGGQK WNFELPRVKSINVSGHKYGLVTPGVGWIVWRDESFLPKHLIFELHYLGGTEYSYTLNF SRPGAQVIVQYYNLIHLGFQGYRAVVENCLSNARLLSKALEATGWYTCVSDIHRPPQK QAGSTHASSSSSLSSKDTPADENREEETSADYTPGLPVVSFRFTDEFQQEYPHVKQET VSLLMRARQWIIPNYALPPNEDQTEILRVVIRESFSFDLIDRLVTDLVRVTETLMEND EVDLSLLQQHQGGRRRPVTKDDKRTQKEEREREERKGEERGEEKGKRMGEGIHRSVC NEUTE1DRAFT_52977 MLAVGFADDVRHFTAVAVWLRDKFGHRIRVATHPDYQAQVEGCR LEYFSLDGRCKQHGGQYGGGCGDYDAFDAQEWIKSRGPAEREKWKESVYAMLEDSWRA CVAPFSDGSPFIADAIVACHKEFAHLHCAEKLGVPVHVLSR NEUTE1DRAFT_104917 MARTKYTPVPLSPISAVAVVLGGASEIEELQPQNLWDGISGVFT RPIAGAREEGTVGFLKGFGQGLGELVMKPTTGALEAPGFIFLGFYRELEKLGKADSEA QIVMGRLAQGEGEYVKLHKSERQRIVTAWRVCEGMAKRAADNAAKRAEANNRLRLWGS ALARARHGTGTILEPLELLETLELTGAEMGMDSSMDCPCITAETGHRLG NEUTE1DRAFT_118571 MSAVNRGLRQASKQVRLSQRVSNLSCPRSAGLQSAFRLQNSSST STSALPNTRTSSFSTMASLQSAAPGVTPSPAAHKGYDPEITDIADYVLNKNIDSELAF DTARWVFLDTLGCGLEGLRFKECTKLLGPTVPGTVVPNGPKVPGTPYQLDPINAAFNI GTMIRWLDYNDCWLAAEWGHPSDNLGAILAVADWITRTNKAGGNLANGKQFTVRDVLE GMIKAHEIQGCLALLNSYNKVGLDHVVLVKVASTAVVSKMLGLNEKQTADAITQAWVD GQSLRTYRHSPNTMSRKSWAAGDACQRAVNLALKVLKGEPGVPTVLSAPVWGFYDVLF KGKKFEFQRPYGSYVMENVLFKVSYPAEFHSQTAVEASEKIHAQLKAMGKSAADIKEV TIRTHEACVRIIDKQFKPMDNFADRDHCIQYMCSVMLTFGRLTAGDYTDGSEAATSEL VESLRKKIKCVEDPQFTADYHDPALRTISNGLTVELNDGTFLDEVVVEAPLGHRLRRE EAKPHILAKYKRHLEPHFSADKVEKLVALGQNPKELEAMSVDEYVDLYVNEKSEFAQ NEUTE1DRAFT_51472 MLNLSSFVQKAQQLLDPTQGLNLTDSDKNPSKASLFQSQFRLPD SQHPLYEITAELTIPPSNVTQGGKDQDRGFHYAGKLHLSEAYLCFSTTPSSFLQSAST STSSAFTGQTHGGGPSGNGFTFPLSSIRRVERLHSQNFQFALAITTWNGISQDALKDN KDKKEVREQRITIQLAGTRVACERFCDGLKKGLRAAVGNVGKLKKVIGECYSEYLLRP EEQKSANPPDAGLGMIFRYPGDPKKLRDRAKMRLWAEYLRDNGRNVTLIRQPTFHKLI RVGLPNRLRGEIWELTSGSVYLRLENPTLYADTLAKHSGKESLAIDEIEKDLNRSLPE YPGFQSEEGIGRLRRVLTAYSWVNADVGYCQAMNIVVAALLIYMSEAQAFFLLSTLCD RLVPGYYSTTMYGTLLDQKVFESLVERTMPILWEHLVKCDVQLSVVSLPWFLSLYINS MPLVFAFRVLDVFFVEGPKVLFQVGLAILRINGEELLDASDDGAFISVLKNYFARLDE SAHPKSENPKLRAVTRFQELMVVAFKEFSGITHSTITELRLKNKDAVLNNIESFAKRT AIRNLGPESKLLSTDELGAVYDRFYGVLYERQQRDLIIKQEEARRAKSNRISRIGQMV DEGNVEKGRVGLGPSTSLMDYDAFREFLAGIAKWAVSDSPTQSRRDTGSSNGGGFYNS FRKNESSMSPWGNGPEPADHEFLQRLFRKWDFDSSSALTLQNVVTGLARIKGKRDIMG TINYFFELHDDDGDGKVDREGILRMSEALLFLSRRGLEGTLDRATAPNGMMTEGMVTP GNEVPDQSVNERFLGSVSAFIRRCFEYADPDHPNNQNSQQPAQQQTTSHPDAFAIGDD DDDFDEENDDDLLAMDSPTASPRPAKRSEDTATSSLQPPSSLHLDPSTAPSSISNNDE DRRRRVSRQQSEKANIALDPNNPLHITLPTFRMVVLADELLEQFFESSFPCSFHLVEG ISMSSGNSSNAGSSSYGVGGLGSTFSHMGFFGGGAGGANSQQGGAGAGRGLRGVLDNI VTDGMRVAAEVRRRMEEAQRELEKNALPGQHKPGGANRGGGDDEDDDEDDGGPVEGLS LGGNGNGSGGAGGSAAGMRSGGVRSSDRDLLTGADAEASDPTAEAQLQQGSGGSLGGG GEANVGGTAKVVGVEFDG NEUTE1DRAFT_149564 MAATVASSHPFGGGLHPLQAKGLLAAAQQRQQQQQTQSQPQLHP CMLSQHHSYQNDQRRNDPSQTQKNNDTSGGFEMATRKPRLSDFHRIRTLGTGTFARVV LVRPANGTEIDRQKVYALKILRKTEVIRLKQIDHVRHERQILQDVTGHPFITSLQASF SDHDFLYLLLDYIPGGELFTYLRKYRRFDEEMARFYAAEIVLVLEYLHEEQGGIAYRD MKPENLLLDANGHIKLVDFGFAKRLGYKDVERPVETYTLCGTPEYLAPEVIQNKGHTT AVDWWALGILIYEFLTGYPPFYHNNPLEIYRQIVEKPVLFPSSTEISEEAKDIIRSFC TVDRTMRLGNMSGGAARVKAHPWFKGVDWEAVEQRRHKGPIIPHLSHPGDASCFALYP EQDVNNEAYTDEMFEKYEKYFGDF NEUTE1DRAFT_132711 MTPSTIDLFILTFNCAKNLINVAVWAAHLKAALSAQGVGKGNGN TLPDVVVFSLQEVAPLAYSFIGPYFLNTYYARYGEALNLAAGQLLEEADEYGTSSDGA SGDPYKLIRAKNVGMTAILLFARDPSKIQQIEEAECGFGAADMGNKGAVGLRVTWSDV VDGNDNAITTTTEQAKTTELTFVATHLAAMEWNLKKRNANWRSIVSGLTFANPRNVLP AGEFPSESRAARSTPDRSGAGDTAPVARTRDSSSADADDHTDLAYGNGDGDSDAQPLL NNPRRSASDESTLQQISIFKPTSHLFLAGDLNYRIDTTTPPPLATYPSFDASSGNHFS HFLPRDQLTQEREAGRTMHGLSEAPITFGPTYKYDIHPTKGEAVNEEAVKRGDKVNGV PEVPWRFASHRWPGWCDRVLYLDVPPWVTTTTELEQQPEAAEREKVKVEVEVYDSLPV VETSDHRPVFFRAKVPVLGEEAMRLRLVGEGEGEREVEEEWKRDPRVSMPVAVDVHAW ERRAAARRKEVVVGWTAFIWSTKEGALVLATSLVMGVGSWWLLRDW NEUTE1DRAFT_141815 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVLDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYTRSLRFDDKPDYSYLRKIF RDLFVREGFQYDYVFDWTVYKYQKNAQAIQQAAGNSSQPQPADSKEAAQAAARKDPQQ ALRSGSRKPMTGETPETSRAVGGSDRM NEUTE1DRAFT_104923 MAAVDHGSSTSRQFASWMPPRTKPKERALAGPVPSPPGRSAVQK SQSVPVTKLPPPPFSPSRFPLHIVLSLAPRLTTDDLKFPGVDDDD NEUTE1DRAFT_89695 MQALLPRSIAAAARSRFLFCCRSGRGLATLFNLKSLSFSLLAGL EAERYLEPAAQGWGVVVVFGMNERGSENKSN NEUTE1DRAFT_141816 MSGFDKRSSATAEDLFASITLDDRPSGSKPPPPPVARRPVPLPV AKSRGPPPAHRPSRSQEEDVRSRKPRGNGGSGTLIDIDSPPQQRRTERRPRRNSDTSV LDLTEDEKEQRARDARRRERERERRHRENKEKKPTNRKLDIIDQLDATSIYGTGMFHH DGPFDALNPHRNKNGSRRAPMEAFPEGSLNNSLGGAGPLNPRPDHATFMGQHDEEAYR DWTTRDKGLPSKNELPVFDPTSRGSAMHGDESLGLGTSTFLEGTPAARTAIQQRQDEL AQELTTNGLARKKSLAHRIRNINKSTSREREFQSLGRLNSADYGYTRSPPGEGGRTSN SMNTPNSERNPFFSEYSQGKGGEEGFSVRKTDNNSPRGNMERRATTDAAMTLEEGQQK PTGILGRMKSLKGGRRTRPAPPGPDAGPVPSQFA NEUTE1DRAFT_54545 MTTIPKPTSIRKNSNRNASHPSHLPPTPPTLHPLPASLLLSQDP RLSRLQTHDRNDFFSTGCRELDSHVLLNAGLNGGGGGGGLGGFEGGCVVGLSCEEEET IGLAIGLQVVARMLLMSSSSSSSSSSGSKAKAMIISTLSTTSLLPRLRLALVSEARVL QGNVGNAHHHQVDRGVIKSCLERVLVARVFDAEGLREVLRELEEVEQQQTVVSHSDGN EAGRGGGGETGEKRTEQSKEDGLLPDLIIITNTSHLLNTLFTRNKTGSDRSAAHNSAV QLSDQIRGLSRRGPLVMMLNSTTSPTSSSSSFNTNSISMFDDDNNNNKGPKQPDLSIM RSIFNPPPPPPPLASMEAAAPGYMGGLVGGHTAGAPSAASYRGGGYGGRGGGYGKNHS THLHPHQAQTQSAAAAAAAVTAASRRNKPSYGMVFSQMLDLHLLCTKIPRGRTRHGGG AYGGGGYGGYGGGYVWAVEVLLDELGVYEGLDVVLDKVSREGGGGGGEEKEKEGQGEQ QEGGRRKEGVREEKRKGKGLTRRSREQRWGAVEIEEGSGRVVDAFR NEUTE1DRAFT_132714 MVHIPLVGKAKKIYDLNNVPLVSGGSLIKWHFPHSIHSEHRGKT QKCPIANHRVEYSYSKVIPIRIAVDRNNNLTECPIEFEVLQLFNTNGTVNGNGPAPAR EEKTTLGVVRLNLAEYVEESETILRDGIGAGFIPFLGSPGGPAAVDFRFGHIRNRSSL SAVTPAPADANSPQYLRPDAEEKEQERPMTAMTTKTFATTTTATPAEDVQDGVVRRYL MQDSKINSTLKISILMIQVEGERNFIAPPLKTAPVFGGIAGLVAGDVLDPNADAHNFA RNHHQAAADLALGQLPSLSHKSRDVFELQDCYRRVLAASWESQPGELTADQCIEDIFS GGDGFRTDMSSAANTPSPITRGRGGYSNNAHSFGDRDGELRAGHRKKHSFGGGHGHHF RSTSGQYYRDQRDKLAAAISIGLGGTPVAPTTPGPNRSNTFRTPSASSARSRHPRHRD EPTSGSASGDEDSGSNGNSNYNKDTLRPQTAAPSRTQTPGSMARIRRHIRHHSGTSDK SIRTMMTEQQQQNDQEGGAADKDNWYNINNYGFTRDPRGAADEANNLRNLLNSLNNNH NNSNFLNSNGYNNRPATSASTVNKINTSLNNGLSPAFRDLGKMLSPAAPVGPPTPSPH LNSPYQFQDAASLGGVVITDGDKHPSYESHIGRMDSFRGRDRSDSSASLATLSLGSGV GSGSGRGAYGYGGYKKARQVDEFEVREDLVAWTIPA NEUTE1DRAFT_149569 MDNNKKQSASGKAAADSKKRKEAPLNQNQQGKESRPPARPQKRV KFQDARNIRSQPSDAALDDGKLDLQKFLNAREFEIKALETSMRKCKSANATRVFQQVP REMRRRTASHNAKRVPKRLRDRAKREMIEDNTPTVEARKRRPRTTRARIRAENAKRLE KLAAKKRKRALQNEAGGENKDEPGKTTIQTRAPRPKIRRDTLNEPPKPKSKFKKRQIN KTWLPTHLWHAKRATMTESTKPLWRFAIPLTPTQKCYRPTHRASGQKGTVFWDTSYMS TVRLYGAAGGIEQVLKALGLVQESLWGNRGRKWRSGTRTWSGMVSRRQRNSRRDIGPA TILWNPIPTAETAAPESNTTELKKTQRQILIRTHPSCFLELFDELVKLAKLQTPQVHI EDLRFEIGSIELTGPGSTETLLAVLQPYHTEERHGQVFSSLVGVTNPASLPKNAVLGF SIKDPRLSYPPKRVDTASKVSDSALLDTLTQWPVEEQVKPYGLFDRDVRFKASSLPPQ KSINRRKGASIPGQEMQVTAADPPIPVIAFASRPAAGGQAQGTWTLLAPWKCILPIWY SIVHYPLSTGGNPRLGGLNEQRQIAFEQGTAWFPGDFLGTNAGVVWEMEQRAQRKKAW DRRPKGRRIEWASLDLGAGRKGEIGDGLACDFEHLFGLPTDPSPSSSEDQSTSDVDPN AMDLQPGEEAEAAAKLGKVHKNTVPSLTTIEQMKKTEFQALISSVDSGRPPPRSIISV NITFVTRGVANACARIYRLPSRPSATTTVPSSEAEVPATDPVAAGMGSLPADLRDQWL QKLPGNKSGSRSTKVTTAHAAPHMPRDVGQGTRKQLMAKSLLSGDPLPYPRPTANQTD IGGGHPLCPGEEDLIGFVTAGAYSLSEGKGTAVGAISAEKAFDTVKESGTKEGRLCIV RNAGENVGWLARWELV NEUTE1DRAFT_89708 MAEFTSVSLSSAHLVGTVANSPKLDESEYLREVFGITTEDATAT EAEIEESLTAKASSLGIDVSNLTDSLGNPTALNATSARSSTLVAHQRSNTICSNDSTT GTETATGTDNDMASNSSAAMPATLTQSTTRKRSNTVNFSQYEKYLTQLKPALHQPKFS NPAAPTRRPSHNIFGFGHFKGVRELRRGLTGRLKRRKTYPALSIVICICCREEFAQEN HLLQTLPCGHTYCQNCLAVMINQSTTDESKMPPRCCTQPIPSSIIKTILTREEQQAFL KAVLQYSTPWESRIFCPNPACGEFIPPRAKLDPKHPFETMCKTCKMRVCLICKRSAHR LGQDCPEDIELETVLKMGEKSGWRRCYKCRNLVELTQGCTHMTCRCKAQFCYICGAVW DPVVGCPNFCNGEEELERRRREEEMRLAELELKKREQEEAAAAEGRAQQAAERRTRES AEFQALREQQNHEMSRVLAYEARMRKDMRTRQSEKKKALLEKYAELTEKMKERHAKTE QHLEDRQIEAEMELRSSLDASERSIKIQLKHMEAYCKTLSQVEEVSEKDQALQDSKMP SREVTQKHLDQLSEQYRIRDGMERRHQAQINVLREKQGKKMEQLLDRHEDEMEALLHK KSEELEDLAVEFANEADAVSRTFAQRKVKLQKRWLLSVEILRIEQEKKTGMRYASMAV PQWPAATASESDTETESEEEETTAAAHLQEQEVIELARPKAEAEMKEDGWPLSNNNDN IKTTEIELPSTPKTVTPVVTPTQARFEAELRRYRSRTRLAAPGEESPPVSPRTTR NEUTE1DRAFT_89710 MSESKVSVAPSERTVIGITFGNSNSSIACTVDDKAEVIANEDGD RQIPTILSYVDGDEYYGQQAKNFLVRNPKNTVAYFKDFLGKDFAAVDPTHNHASAHPE DADGTVAFTVQEKEEGEASKLSVSEVSTRYLRRLIEAASEYLGKKVTSAVMTVPTNFS DKQKEALLAAAAAANLEVLQLINEPVAAALAYDARAETEVQDKIVVVADLGGTRSDVA VVASRSGMYTILATVHDYDFHGTALDKVLIDHFSKEFIKKHQVDPRENARSLAKLKAE AESTKKALSLSTNASFSVESLANGIDFTSSINRLRYETIARSVFEGFTRLVDSAVKKA GLDALDIDEVILSGGTSHTPRIAANLGYLFPQTTKILAPSTTPAAINPSELNARGAAL QASLIQEYEAEDIDQSTHAAVTTVPHVTNAIGVISLNEAGEEVFVPVIAPETAVPARR TIHVAAPKDGGDVLVKIAEGNTHIKVTKPEPKVKDESKAAKVEDADEEGDDSDFSDDE EEEEEKREKVWKIGSTLAEAAVRGVKKGGKVEVTILVDANLSVTVTTREVGAKTGVRG TLSA NEUTE1DRAFT_149573 MSTESPLVVLPGETIDPSLIPTHKKNPLRLGPGLRHIPPSEIVP TVAGQLVVDHRKTSMWIEYNGGRYIPSKGDLIIGQIARSATDFYYVSLSPYTPNATLP HLAFESATKKTRPQLASGELVYARVSLANRHMDPELECVNSSTGEADGLGPLKDGMVF DVSLGFARRLLMAKSREEGKVEVLEALGEEGLAFETAVGRNGKVWVGSESVKTVVVVG RALRETDEKGLGVEAQRKLVKKLVREMR NEUTE1DRAFT_132720 MAASLSARAVLTPRSSSLSGGAIAGAVVGSCIGFFLILLCLFPF VVRARRRRAEKHGDIGHAEKGQAPGGPIFHHSAGSTPKRLSGEGEGYAPDTPPPGLAS PATFIPGDGHHPDQRAASHHHQSQHTPALPRGVGIQQGLPSSISPPFQPPSPITSATA SLQGDQPGPDSTRTRVASIATTEAESHRELSLDDSHGLSPPTHQIPPAVSPGGITEEP ETFERPTQRHSFPHLPESIRNFIYRRQSGNSRRDSKRSTLGGTAGTRSPSLVTTTDYP VPTHQPEPVPPVFEVDTEAPGAAYEYYHGHPYLSSTSQPYPNDSSTPPPPQPTTLPTT LLRQDTLLASWEIQQGINRTDSLPPTTIVSDLPSPRVDLTVGPTASPMEMMRPTNQAE SAWAVNQEIIKLENTPPAPAVSAFSPQTIPAEFAYSQQMQSPQEQYGPEPQYSEYTHL PEIQQNGQDFDLDSAALYPNDGFGLHDMSDISTPPPLSESSRQNTPSTRLTYSPSPRP DFDQNTNFAPLMVPNSPANFSSSTGGFSSNQGMSPGALSVNDYSSSQGMSPGGLSVND FGAQVMYSQGLSLSSIPQSGMSPTTPSSSGASPRVFACDQCHREFDQIHKLNHHKRYH ERPHECTHPGCTMRFGTKTHLDRHINDKHKKTRQFHCTVPECPWSRQGGRSFPRKDNW RRHMINKHQITPLQEPIEYNDVAMGDA NEUTE1DRAFT_118574 MVSVDRQRIIETNRSLRLIKNELESLLEKGIITDDAFDSITSLL PAEASLSSTSSTPVPAPRAAPAHAAAAAPAAQPHATTTGGGVSSIAARFQQNPPPAVT SAANAFSNLSLNNNNNNNNNPSPAPTPAAAPPSYSQSTNNTTVPPLPGRSQPPTPAVA PPTKPVIAHCKALYKYDASDARDCSFDKGDKIQVYEYMNADWWMGRCVRTGQEGIFPK SYVEVEAAPVQSTNAWEGPHNEKSGGYPGAGGYGAGGPGYGQPAGMYGGGGYVAPPPG PPPAGQGQVYDPNAPPQGEKEENKFEKHGKSIGKKFGNAAVFGAGATMGANLVNSIF NEUTE1DRAFT_149577 MSRIPPDSESLLRHDLEGFSRDLLGLHTRFQEMFPVLANSSASA STSAAAHKVSQEVMAKVKQEVMNIKLRVDDLQQRLNPQGGDVYRNWIQPLQATNHRVE EEMARIREENMKRQQAEERMRGELFVAIHKMQAEIQELKKARNQGSVEEMEMQQEYGF FPKNLREMDSPDHRNLAAPRELPSFTDENVQSYTSSALVPFSSQVDKHTDTSNTKELG LDLTTRPANRGQQGAASPQTTHRYTSSIDSEHLIFVKKRRTHSGRHPGTRLASESDSD NDNDLESTTSANRGPTSQFYLRPGRYAQGTAATTTATTSRSRSPRRSVDAEFRVRDPS RFASKSESHSARRRSTPSLSAKDILLDHELDVSFLSIPSRSQPSRRTKTANELIDRHL SFIPNGWRTIIANTAKQLSAEDWDRSDARVLKKLEEHCPAFSNLEEILPDVKMAVPAL RELMRELGRHP NEUTE1DRAFT_118575 MSMGMDIELVSMLGRGWKWNGDGGLWTVYEERGLFLWLFVILRP WSYFLCNCYELS NEUTE1DRAFT_89724 MGWQSSPGRLVVAAAGLLGFVNGQAYFGTYQDTVTKCGSDNFIY LGCYGNFLANAGDFFRFGPEGYNAADLSLSFPGWDPGSDWDSTQTPLDCARTCRAYGY KYSAMRDNNCNCGLQLPAAAAPNSDTSACNAQCSGDSTQTCGGGLNAQVYLDPSFAAP DQVPITPRNADLGSTYKHLGCYSSRGFATQDDVRARPLVDDIETCFETCAGLGYPLVF GAREGAQIRCQCGTNFHSGDYRIPPSSLPNPGDCNALCTADDTSELQGCYVPRMPGLK QSADQAGFTCYNPPSSLLGTPKVLTTSLSSVNQDNIIVSRPALVRPLTVTTGTQTWYL NGCYGNVPAQVLDLSATSGQIISDLATGTLEECARRCSSDTTFNYFGMVNGRDCYCSN SLLTPGQVDDMGTCRVPCKDDATRSCGGATTPVVYSLSQSGVYTERLANIGAGFPTYT CTPSRRVSNDEAAPISCPDDNGVSITTAQDKMYHVDCGIEYPDGDLGTVIVDSYAECA AACDTTTDCVGFIYQHGPANSNGLDAPCYLKREGAITAAVSADMGNWGGRFTGFADGS SSGSDSGTGSGSDSGSGTDPDSGSGSGSGTDPDSGSGSGSGTDPDSGSGSGSGTDPDS GSGSGSGTDPDSGSGSGDGSDPGTGSGSGSGDGSDPGTGSGSGSGDGSDPGTGSGSGS GSGDGSDPGSGSGSGSNTGSGSGSGSGNGSGSGTGSGSVVNAIFDKVFGPAFQGVFSS FGKIFDNNPFFKPKKPAGSTRAVSTSNDDVQDLETLASDPSDSTAYRSDAFIGDVLSN LNLGSLMSAVPGLEDVLGGQDLGSLIPGNIRAPNPATPPITVNLDELAGLASTVLAAL NTLPSDVAAPGPVDTDSLITLAGAITDALTTAADGSGPGVINGKDFAGILNSVFDSIN GIGSIFPKKSTSSSAQPSMTVAPTQSLRARNVAHIGPHPTGVLPPGNGPAEVCDIATL ALPANIFAPTPPPDAGRCIPIIGYWYVGETTLLPCSEGYGAGGPQETGSPQAPGFSGG PGGHPSPPSPAGPPPAVVIPVAGSIVLTQEQLDHLLESTNIELEWTSEGERVLNIPGI LDMSDSHSDTFRLLLDQSSDSLSRSTNQFEIPISGEVGLTQSQLDSLLQDSTLDIFWN SQGAKELNIPGVINYSSSRNDTFSLRISSSNHHTTASASIPGPTESSTPPAAGGETPD GSAADEDGGYDDVEAELDALDALIASFGDIDFDVGDLDIPDIDVEGLDFPTIPSIDTD DLDVDVDDVDVSRVEEPEAPESPEVPDVDTIPAPTIDVDGEVQVGLDDESGVPVVDPV DEAT NEUTE1DRAFT_54940 MSVSSPGTIVDESYDDLSWVDFRLDRANDITAIKKSLTNQAWFE PLSHPEKDMSGFNVAMIVMRMIYGNVLATPATAEPSLELLEDDEDWESEPETDEKSEH LHLRSYLHDNEEQFPLLAVSWVDIPGILQKKAKNSRTESVSSSAAYDRTVFEMAELLL SSFPKHRHEDGPISFSYIMSSDLANDALWSHPSFLYYSPIVGCAPAEAGPWTVGRKKI PTRMNAHYSLLHFDWALERKQFAGLGAFLTSVVLPRQRPDGQWLLYQPNFPKVLRVRY VPAADTRRSFSPDGGASPYDQNPGFDDLRHITIGGRKIDTSTSLAEWARKDKQGQAIR QGYSIFAVVRLRNSSNPKEQDLVRTYDVQRIADMEGRADGIVVPEMSTTSRTGSATRY LTDGWKLGEPGHEYDLFYCRDEAEPEGDARASVAKTGSAKEVGGGGDEEEQEQREKRA NDIPYESIEDGDATLQWEGAK NEUTE1DRAFT_52109 MAAVNVASGILLSLLGWLEAVWRGGCVPRFMHKDDYPSVNGGRE ALLAALNVPSFVASRTCFEVNGYAGCRPVFEEPQQTNNPFGEQDATPTLTSCTTWFHY LAKMVRKIDSFQDEQKPHDEEPEYVPENSKEGYEWFEMSVFTRWDAPGKCRVLCIDTP PDFPERLKDALLKKKKSLSLPEAAQADPFALHADLLDIMIVYSDISVWRVRDPIRLLE KSRLNGHDLFEQIHDHARHAYHSSEVLEAAIQTIEQLGRYQRDIHESIAHGQRSGSGS GSRLGYSLTLTYRAQAREYTQFQISLVKSLKLRSDSSLQRLKNEVGLAYNNIARQDNS VMKSIALLTMIFLPATFISVCPLA NEUTE1DRAFT_125524 MSTSIAAREDKVWESRAHVPITVVTVVLGFATLAVGLRTYARAV LIRQFGMDDYAAVIALVFAMGSGIMVASNTIYGAGHHMGDPKIDWTELPKYFRTFYIS IVLYNASLTAIKLTFLLQYYRVLGTRQMRKVVVYALIFVAMWSISQLLIVIFSCTPIE KFWLGDSIPNGHCMPNLPFWYINAAGNIVTDVLIFIIPLPALGSLNLRKQQKIALIGV FCLGFFTCAISIIRIQYLKLSDDTTWDNVDSSCWSVSELGSGIVCSCLPTLRPLLSHV IPGMGSHSAPSHAKYVHHSSGRDVTEGSTSGLGSKPKSGKFGSRSVIYPEDVELQTKS GSQDQLEKHAAVIAHTAVPVQHEGHHSRTASKDLFDRSPSQNRGRGGTRAEEGGYVSP NQLGLQPTVRTEIRVGSQQQQSRWTGPMEGTIAVKHDLVVTQDYN NEUTE1DRAFT_118576 MKSFVLTALAGLIGAVQATVQGFDISHYQGSVNFARAYSSGARF VIIKATEGTNYIDPKFSSHYTGATSAGLIRGGYHFAHPDSSSGAAQADYFLAHGGGWS KDGITLPGMIDLESSSGKATCYGLSTSAMVSWIKAFSDRYHSKTGRYPMIYTNYSWWS KCTGNSKSFATTNPLVLARWSSSVGTIPGGWSYQTIWQNADTYTYGGDSDIFNGSLDR LLALAKGS NEUTE1DRAFT_113947 MHLPSVFRLMATATLAVCQSSNNTTGKLGDARPVHNNPVIGEVW MAKFDSPTVKGSVTAVSNTVGVNYTIDVTGLPVEQGPFKYHVHLRPVPSDGNCTDTAG HLDSYLRGDSPPCNSAAPQACEVGDLSGKYGIVTGPSVLKSFNDPYSALNVINFGYIG NRGIVFHDASSARIACATLHKVE NEUTE1DRAFT_104939 MPPQILVPTTVPTAVTPLAKLVVTSFPRVPLTTQFDLPDDCYGV QSDDVYLIDQHTSCLPSGWSPAETAFFSPGLICPKGYTSACHDNGGVATITTVTCCPQ RGDVALTCVVEPTELASSLSNYNCRWEAPAAGFTTDVTKSPNGKTITEHVTLKSPDAL NGYGVRMVYQSTDKLTTGMTGTPTANETSGATFAKTTASSSTSSSASSSATSVSGSSN TTISTTAVVPKSSHSHPYRLLTVFHM NEUTE1DRAFT_96495 MVNVPKTRKTYCAGRSCGKHTLHKVTQYKAGKASAFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKVVLRLECSVCKQKKQLPLKRCKHFELGGDKKTKGA ALVF NEUTE1DRAFT_113950 MQNSDALTEGRRIYMGNLLYSVKPADVEGLLQDAGFTQYEKIHI SVDPLSGRNPGYCFIEFTTREEAERALESLAGVDLCGRSVKLGPCHPKTSSQRREGAS ASPAPRSTFDRWGDWRSGSKPAATEEQRSYGTQRRTGSDAKEGVVQNNKRLYVGGLGE MIDQEQNDAEIREIFLGFEIVTIGKRIAPNPLSRPQNGSGSHHYCFVDFASEDEAERA MTATNGRPILGGTLRVYPAKSKAPVREAEEGSSYRPRGERTERTERTERAERPARTDR NPERQREILGARSWRAGPSAVAAE NEUTE1DRAFT_51905 MASKFTITLLITLSLTTHIKADIPVSIFSYTPYLRQRECVKDCI WHSGPTAEDLIIALGCRGPWVNECYCGGNDDYAHASTASSFLRSCVAKSCRTSSTDSL VTSAFDLYDEYCAEAGMAVPLVVASPTRTEDKVTGVVTGSGGDREPTAGGGSDSGMSN KGSGLSTGAKVGIAVGSAAAALALAASAVITWRLKVNARVSPPRRRNVTEVRTNDAGK TEIPRLQMFRHPGLPAATTRYN NEUTE1DRAFT_125528 MTRETGRALGASRIGLPKYAWWSEGLHGVAGSPGVTFNTTGYPF SYATSFANAINLGASFDDDLVYEVGTAISTEARAFANFGFGGLDYWTPNVNPYKDPRW GRGAETPGEDPLHIKGYVKAMLAGLEGNETVRKVIATCKHYAAYDLERWHGLTRYEFE AIVTLQDLSEYYLPPFQQCARDSKVGSIMCSYNALTIRDMAGGNPDEIINLTTAQPAC ANTYLMTILRDHWNWTEHNNYITSDCNAILDFLPDNHNFSQTPAEAAAAAYKAGTDTV CEVSGSPLTDVVGAYNQSLLPEAVIDTALRRLYEGLIRAGYLDHGRSSAVAGGDGGSF SSPAYDALNWEDVNTPSTQELALRSATEGIVLLKNSGSLLPLDFSSGKKVALIGHWAN ATGTMRGPYSGIPPFYHNPLYAAQQLNLSFSYANGPVVNASDPDTWTAPALAAAEGAD VVLYFGGTDTTVASEDLDRESIAWPKAQMKLLSELAGLGKPLVVIQLGDQVDDSFLLE NGNVSSILWVGYPGQSGGTAVFDVLTGKKAPAGRLPVTQYPEGYVDEVPLTEMALRPF NHSSSTSSSSNPEEEVSVQGSGSLTIQPRSTPGNKTLSSPGRTYKWYSNPVLPFGYGL HYTTFNVSLSLSSNASSPSPSFSIPSLLTPCTATHLDLCPFSPSANSALSISITNTGT HTSDYVALLFLSGEFGPKPYPLKTLVSYKRVKDIKPGETVTVKDVPVSLGAISRVDGD GNTVLYPGTYRFAVDVDGSQGVERGKEVVVELVGEEAVLDEFPQPPKE NEUTE1DRAFT_89742 MKFSSFASGLLALGASLASAAEVVKRASLQQVANFGSNPSGVKM YLYVPNKLATKPAIIVAIHYCSGTAQAFYQGTQYAQLAEKYGYIVIYPESPYKGTCWD VSSPASLTHNGGGNSNSIANMVTYTIKQYGADASKVFVTGTSSGAMMTNVMAATYPEL FAAASVYSGISAGCFATGTVNGWNSTCSQGHSIHSQQEWANVVFNMYPGYEGPRPRMM IHHGSADTTLYPQNFNETLKQWAGVFGYTYGQPQQTLSNQPASGWTKYVYGPNLVGAY GTGITHATPMFPQDDLEWFGITGNNNASPSKAAPPATTHAAAVAAPTTTLLACVRPST APATPSSAAPAAPAAPASKGGCTVQRWGQCGGNGYTGCTACASSTTCKKMNDWYSQCV NEUTE1DRAFT_89743 MASAARGSRGTPAVDSSTLTHTSAAASDNGSSSDNTVQNNINNP QTKEEQEKEQELERANENADPTAPPTDPPTTVTGPSAAPEEGRTSLETTAIILALASA LFLAALDVTIVTVAIPTISEEFGSTTGYTWIGSAYLLANAATAPMWGKISDIWGRKPI LLMTVGVFWIGSLICALSKNMGMLLAARAIQGVGGGGIIILVNICISDLFSMRKRGIY FGVMGMVWALASAIGPILGGTFTSKVTWRWCFYINLPISGVGMAILAFVLKLHNPRTP IRQGLMAVDWLGSVTIVGGTLMVLLGLEFGGVSYPWKSATVICLIVFGIVVIGIFGLI EWKVAKYPVIPMRLFKRRASVASLLVCACQGFVFISGSYYLPLYFQAVLGATPLLSGV YVLAFAMSLSIVSAATGVYIKKTGKYLPPIIFGMTVMTLGFGLFIDLEPRPNWAKIIL FQIVAGVGVGPNFQAPLIALQTTVPGRDVAAATGTFGFIRQLSTSISVVIGGVVFQNR MEKQHERLVQELGPKNASLLTGGSAASNVGRLASLPPDQRQIARGAYFNALRTMYIVY VAFAALGLFVSLFVGSRTLSKEHEEHKTGLDNMKKAKEDAAGEGRRRAPVDEEKAGSR NEUTE1DRAFT_89746 MIASSLLPSRFRGEQPAAQAATPSWINKKVTPPLQKLSKITSSN PIHTIVLVALLASSSYIGLLQNSLFNVTRSVRKAEWESLQAGSRMLRAGANTEWNWQN HDPEAAIPANANHLALLTLVFPDTAESGPVVAQTNTVPIPSNLSITPLPSTAISFTYS QDSALAFSLPYSQAPEFLANAQEIPNAVSSQETIETERGHEKKMWIMKAARVQTRSSI VKWVQNAWVEFTDLLRNAETLDIIIMALGYISMHLTFVSLFLSMRRMGSNFWLATSVI FSSIFAFLFGLLVTTKLGVPITMVLLSEGLPFLVVTIGFEKNIVLTRAVLSHAIDHRR PTEKSGKTSKQADSAHSIQSAIQLAIKEKGFDIVKDYAIEAGILVLGAASGVQGGLQQ FCFLAAWILFFDCILLFSFYTAILCIKLEINRIKRHVQMRKALEEDGVSRRVAEKVAQ SNDWPRADGKDQPGTTIFGRQLKSTHIPKFKVMMVTGFVLINVLNLCTIPFRSANSIS SISSWARGLGGVVTPPPVDPFKVASNGLDIILEAARADGRETIVTVLTPIRYELEYPS IHYDLPQKSAEVEGGDYTNLGGYGGRMVGSILKSLEDPILSKWIVVALALSVALNGYL FNAARWGIKDPNVPDHPINPKELDEAQKFNDTASATLPLGEYMKPTAPSSPVAPLTPS STDDENDAQAKENRAVTLAAQRATTIRSQGELDKMLAEKRTHELNDEEIVHLSLKGKI PGYALEKTLKDFTRAVKVRRSIISRTKATTELTNILDRSKLPYQNYNWAQVHGACCEN VIGYMPLPVGVAGPLVIDGQSFFVPMATTEGVLVASTSRGCKAINSGGGAVTVLTADG MTRGPCVQFETLERAGAAKLWLDSEKGQSIMKKAFNSTSRFARLETMKTAMAGTNLYI RFKTTTGDAMGMNMISKGVEHALSVMYNEGFEDMNIVSLSGNYCTDKKAAAINWIDGR GKSVVAEAIIPADVVKNVLKTDVDTLVELNVNKNLIGSAMAGSMGGFNAHAANIVAAI FLATGQDPAQVVESANCITLMRNLRGNLQISVSMPSIEVGTLGGGTILEPQSAMLDML GVRGPHPTNPGENARRLARIVAAAVLAGELSLCSALAAGHLVKAHMAHNRSAPPTRTS TPAPAAAAGLTMTSSNPNAAAVERSRR NEUTE1DRAFT_71058 MGFLEWLMPPSIFNVHQTRLLENGQGAQLEPDFRPALLVVDMQE DFCPPNGTLAVTGGRSITPLINTLLSSPLFVLRVATKDWHPPNHISFASNHNHTSSPS SSPCCPDSSGEAAIPFLSTTTVHNPHNPSESYTTRLWPSHCIADTPGASLIPELDVSK IDRILEKGTNPLVEMYSAFYDPFTSPRVSDSGLAHMLKEAKVTHVYVVGLAADYCVWS TAMDAHNEGFETVVVEEATKPVDEDGWRKCKEALVGEPGVKVVRWDGEEVKRLFFEGL VTTSVGAGDDEEVEEKEEEEKI NEUTE1DRAFT_89755 MPTGPEDPRRPGESPPTISIVMCIIVSILILIAIFVARRSSHRT ESDEHPHRRLKKGSGGIGVNALRSLPLVKYDPDLMGQDFHHDGSTSPRGLNLLRGMDM AVGESGVGDKPSRKAWRAFYPQIRHALLGKHKGQQPCTEDGDTNHLIQTCAICTDDFV RGTNVRRLPCGHFFHPPCIDPWLLNFGVTCPLWQVFPPSLSFHFVAPDLGGRCLLLLT PSQSGKSQNVSECK NEUTE1DRAFT_125534 MENCKLLLSSLQKRWPETNPATGEIVAHCHSASKEDVVSAVELA HDTYKSGVWSRAPRHVRAEVLERAASLFETHLAALIDIEVTQTGRAIREMNAQVPSLV KWFRYYAALLRTEERSVLPTVGKLHNFLDRRPLGVVVQITPFNHPLLIAVKKLAPALA AGNSVLLKPSELTPITSLMLGKIMKEAGLPDGVLSVLPGYGATTGKALVEHPLVKKVD VTGGTAAGRAIGEIVGRNLAKYTAELGGKAPLVVFKDADLDAAVNGIAFGAFIASGQT CVAATRIIVHESIYSEVLQRLTTRATSIERRMGSPKNPECMMGPLISERQLKNVEELV DEACLYHERIVQAGGNRLEGRSELDDTDFSKGYYFPPTILAYNKPTDRSILNARIWRE EAFGPVVVMVGFSTEEEAIELANDSEFGLGAAIWTRDLAQAFRVSEQIDAGIVWVNTH HRNDPSSPWGGAKSSSGVGSENGTDAYHAYTTTKSTIINYASAEEMAAEDWFKEGAGT VRYG NEUTE1DRAFT_104949 MKFLLLLPALLGLAVAHPAAPELDRRADPQIAHFYFQAAATGYN LTVPADGNWYPTNNGLNINIITALDFTVNQCEFATHQQVAFNYQLSGDSFPKEQFAVG PPQPIDSVRCHGYCLGIYEDCVKNGQYVGTCCNGFCAANKCRPYVYPQDISE NEUTE1DRAFT_149590 MVSAQDQGDIGGASNSRSGSDSETTLQGGIGVESSGVGNGEGSS STSQNASANISATATPLPEQPLLAPQIPHLQLPKGKEKKRVGFLSDRPPGQAGGPPAP QVVVTPDGQEHAAQDYFSSPIHDYDFATTPPHHTFGPPAKRDSFNKDEVTAALAEILR PELNNQAGSAHPVRPRPVLRKNTTTVPDPQPDMLKPPHRSEVEARNRADKLAHAVGTS SVGASRRNSFAGNDSDSGDETTLNGNVPPIGISISRDFEAQDQDDSHSLRARRKSQQV ANDLVRKHTRRISAFNALSRPQTAQSTHVSGTATPIPHDLDYVPRPDKYRGGILGNLL KLYNAEETAGAGTSGGSSTMTTPSQTPNRTPTTTPPTSRPSTPKTDKDRGRSRGFRSS STSRLMESSFMFAVPGAGKEVAEATEKAKQEKDKTKRRSRSRLRADKQKLEEFRITKH IAEIISRHRYLLKLCNALMMYGAPTHRLEAYMRMSARVLGIEGQFMYLPGTMIISFDD SNTHTTEMKIVRSNAGVDLGKLRDVHEVYKQVVHDLISVDEATTRLNDITNRKNKYPT WLRVLLFGIASATVAPFGFEGRYIDMPIAFILGCMVGILQLYIAPANELYANVFEITA ALLTSFFARVFGSLQNGDLFCFSSLAQSSIALILPGYMVLCASLELQSHSMISGSVRM VYALIYSLFLGYGITIGSVIYGYMDHNAVSTVHCKIGSEWYSKRPDQNYYILFVLPFT LCLCAINQAKFKQLPVMVIISLAGYCVNSFSSRYFSGNTTLSNSLGALCIGVLANLYS RVGRVVQNKFLDCWEKIFEPRIARLKGKHRRLYSTEGGSNSSFRKDQDPELGLPAEKS SAAPHKHTRQIGYGLAAAAMLPAIFVQVPSGLAVSGSLLSGVASADQITRNETLSADG QTFTRGAQTADLNSTAFNVLFSVIQVAISISVGLSLSALIVYPFGKRRSGLFSF NEUTE1DRAFT_104952 MSIHASLGATLYRAAVARIVEDYYIGAGAINMARESWNDGRRVG VVQRRHVFGWSEGGVYQTVRHRLVVGGTAGIMRNQVSKVGHEDRLGIARFLVVVFRLE SKGPDWSKRTTGSMDCSDEGG NEUTE1DRAFT_132736 MITFTEDFAAVIPFWKICLPSLGPPASNVPLFSLTVIAILLVPA GGQRSFYVHEFHKKYGPDVRITPEVNICNVAAKQFIHTPQGCFSATTSALRPPSLPNP VVIKGTIRLWSAAPAEEGVNLSDHHLPPGVTTLIQLGSQKDGGGKGNV NEUTE1DRAFT_118582 MTAYTYTTGEYRGAKILGIWETSNGGFFSTNFTVNGVLDQICSM HGWYGIPVGRHKQQV NEUTE1DRAFT_89762 MPHATTPSVSSDGSTRSRHRHRDRDHRRHSMVYLEESEPKQFRF CAELTLQIRSRRADHRSSESLEDEILYSLTNGGIKSQILSDYPYDHPTHTHTPSYKVW TITSDHSIQSKPTEYKHAMKFVSPLFRFSSFDTWIQHFESFMQVLNRDFETTSTHECS TSIHLAPLDQDHPEWTRSDIRALSKSILYFESCLDAVMPLYRRTSVFAKSNRYNKQMA NLTTAECFSHLDSLSKRKYIAAHMVRCDPNSSTGRALGQRSDFPHSGYRWNFLNLVHN KSRGTIEFRQPPGSTTPGEAIAWIILAVCFAQVACAKGDSLRPKERPNVETLGDWVYR EAVRANVPSEHRRRLRQLFDDAMPIVSDSKKADLSIVTAEPPITVHDKHGLIWREKGE RNVAMEKFDGFFMDPVLSMWADSSRL NEUTE1DRAFT_71072 MSVPSTEIESHAKSIKVAIVGAGSVGVTTAYALLLSHLAAEIVL IDIDKNRALGEVMDLSHAAHFAHAKVSVGEYEDCAGATAVIITAGVNQKPGQTRMDLV KTNYGLFEQIVPQIAKHAPNTILIVATNPCDVLTKAAYELSGFPVQRVIGSGTAMDTT RFRHELGKHYGVNPRNVHAVIVGEHGDSQLPVWSLASIAGMRLEDYCKQKGIVYDEEA MDALSKRTREAAYEIIQRKGKTNYGVASVLVSILEPIITNADQLVTVSRVGDYAGVEG VALSMPCKLNSKGAHQDVELLLNEKEKEALRRSATSIKECFDTVAKKE NEUTE1DRAFT_89767 MSKYGDGNFAEKQGGGEALDVAYSHNQGQVMDGTISLDANHQLQ RGLKSRHIQFLALGGAIGTGLFVGSGGILATVGPAPLWLAYLSMMLLVWIVMNCIGEM CTYLPLRGITLPYFTERFVDASLGFAAGWNYWYAYAILVAAEASAGAILLNYWETPVP TWAWITIILVVNLVLNIIAVEVFGEAEFWFASIKFITIMGLIIVGLVIMLGGGPNHNR LGFHYWNDPGAFKEYLAPGATGRFAAYWTAFIRAGFSFITSPELIGLAAGETVAPRRN IPKAARRFLGRLAVFYGISSLIVGCIVPSDNKNLLSGTSDASASPWVIGIQLAGIGGL NHVINAAILTSAWSAGNAFLYSGSRILYSLAQNGQAPAIFTRTTKRGVPYTAVLMTWA FSLLAYLNVSNNGAQVFTWFSNLSTISGYIGWIVLLITYLRFRQALKVQGLLATLPFK TPGQPYAAWFALVMISILTLTNGFQVFVKWNYKDFLAAYITLPAFLVLYFGHKIWHRM PFHKPAGEIDVLTGKKEMDDMCANDVEPVPRNWLEKIWFWIA NEUTE1DRAFT_132740 MAKTTLFINGRIFLSPVRPGQNAGLHTPPSFASCLLIRNDTILH VGSPQDEPITTALLSSSSGEEEEVVETIDLAGHSLLPGFIDGHMHLMIMGQSLTKLDL GPCKSLADIRSTIRSYAASHPDVPRILCRGWMHSMTPDGVTAKDLDGLDMDGKDRPIL VDTKDLHSAWCNTAGIRDLGAQEWQDVPGGTIERDADGKVTGVFNEAANITYVWPYLA RVATVEERMAAIQAAVEAYHSVGYTGAIDMAMDEGAWDALQELRRRSSSSSEENGGKE GIPLRIAAYWLVKPGKNDEENVRQVERAIELARQFNSETTPDCRIVGVKIICDGIIDG CTAGLSEPYEHNGHREVPLWTAEQLEPVVKRADEAGLQVALHAIGDETIKTVLDVLIA HASPERRPRVEHLELSSEKDAERLGKAGITASIQPVHADPAILRAWPKLLGAHRCGRA FAYREFADHGAPLALGSDAPTAPHAPLPNVYVGATRRSYREPDYQTTVNPHFALGVCE AVAAASQGVAYSCRDENRIGRLEKGMKADFTIVDMDWDKEKLKEAKVLETWFDGRKVW SAKN NEUTE1DRAFT_104956 MGTGNTAASSRKRKQVDDEPTVSDTKQVAGTSSKKSKKDELALA TEEKRLRRFRDKAPQAFAVIYERATTQRFFVLSRKRIPVWDSLEGFEEEVEIAGSTGN IYTVTIARQPTCTCPMGEKNEQCKHIIYVLARVLRARYEYVYQLALLSCELEVIFSNA PPIVEDGDSLTTGEGDKRRKPIEGDCPICFNEMEVHKPEEIVWCRAACGQNVHKECFE MWAATKRKQAGGSKADVTCPYCRSVWEGDDDLIKMIKKGRPTSEGYVNVADQLGISQH SSISSNNSPQHDIGETGSQGRTMFFPIRSFIRAVRLTMRAASVTFSFVISAITSVLDF DCREDTAYHQAVDYVLQAFLNMDLDTFELTYVDTDANLDVGLGFYLLVSVTVAVFGGI FFFLARWKFGDPGIQEELRGFPTLQSLFRGVHTRFLRRGLIG NEUTE1DRAFT_132741 MAGIDGSLLGNMTKAGESPATSLVALMILTSASLLWCLFSLFGY SRRHFPYKVTIVTDLIFLIAFGVFSVLLGLPMHDGGTKCPRVKAEGGFALQAGPLGVL NFSGVPNGRVSCTKIYLLWVLMLVDCASFVLSAASVGVIASKETQSNKALWCARAMSG PVGDSDYQRGPSEISVAGLEEVVSGAERQFRPRPNIHVRERSLDSGNVAHDDGRSGGG TYHQADTVSGTPYRVDTTSRLGTGSSRREGAAGSGEQQSPNQNQGQQQQCRAERRANN RVLTTKSPPKPIPIAVLQARSDTLDQLEGRSSASIDLADAPYYRDLYAYFAQPRNPSG PGPGPGAVPDSHSRATPFTNTNQYNPQEQTHRKWKKQRPSGVLFQPSSNIASTPIHHP HSGPDPNPDPDPVSTVKSNIHTSNHHSKTTPIPPTQTQTQTPRQHDSYTSSVYSSPSN YDNTRLDHRDIPSPVGLDPPPRSSLGAVGLKYSLPPRPRTTLRLVDQETLNKLEGINE EKEEVGSVIVHMDGGHGHGDAVVELKEIPRGSSPAVSPGRRVPGGTQLDGQPEVPTER KEQVWEWEWEWGQRSKQEEEEEEETRGARRAAAGEDRGARVTRWPDVWGVGLGSGHGR RDDGDDEQCSTSTSSKHRGGVFRARSTRLFSTIGAWLNGIDDGIRNDGTRQRDSYELR SEHGRGGRPWDMV NEUTE1DRAFT_89778 MDFNSLKDTVSNLTLYDIKAGVRKVQNAVMNYTEMESKVCPYPS ATLAPFSCALRHTLDPRQETTGRELTANPEKSAEEWRQIYKALQLLEYLIKHGSERVV DDARSHLTLLKMLRQFHFIDQNGKDQGINVRNRAKELAELLSDVERIRAERKKARANK GKFTGISGGMSFSSGSSGRYGGFGNTSYGGSSSGGGGSSSTYGGYSGGVYGDGGVFGG QPSNNDYRGTQARAEQFEEYDAGDLDGEASSRTAPRTTRSTTERAGVKKTTAPAEPPK KKEPEIDLFSFDEPAAPSIPTAPLAAAPSNGSGLAALAGGANDDDDEFDDFQSAAPAT QPASAISPPIAPLSTGPQFVAPQPVSAPQQANLSGMVALSSISPPPSSTTTPAANFSA FSTPLSPVSQAPKPTGFQGGQPNYFSPVQLQTQSTGSSLSGAKATGSAASKPAAGGDA FGALWSQASAGIKKSTPTTKGPAIGQLAKEKSSAGIWGTAAPSTPTSGSRPTTGGNHG LDDLLG NEUTE1DRAFT_118585 MFGPRHFSVLKTTGSLVSSTFSSSLKPTATFSCARAFSQTSSIM SKVFFDLEWEGPVLGPNNKPTSEIKAQSGRINFTLYDDVVPKTARNFKELCTGQNGFG YKGSSFHRIIPEFMLQGGDFTRGNGTGGKSIYGEKFADENFAKKHVRPGLLSMANAGP NTNGSQFFVTTVPTSWLDGRHVVFGEVADDESMKVVKALEATGSSSGAIRYSKKPTIV DCGAL NEUTE1DRAFT_89784 MADRDKIQILKDALYEAARDHGSDQRLFTQRDLLDMQVIPQDNI GLLMQVIQILCDEKLFVGNTTASGLSWRWRSREDAKKYTSLPNSEIMMVYAMVDEAGA DGIWNRTIKNKLNMADSLMKHCIKYLEQKGYIASMKNVEHPNKKMYIKANLRPSDRAT GGPWFTEGELDTAFINELQEIVFDFIKQKSTYTSHGGGAQQREKNPKKGVVQGTIPHS EDISKGTKRSAGEISNDDTAAAAPAKKTRPGKPQLLPLPAGYKSYPTVSDIAKFIHHA GITNNTTLSEADIQQLVDVLYYDGLVEPVKVNGRKGYRVTRIPKQDPRTCNQRRLDHD PTKGGIVTSFMGVEPSASGLMEAPCGRCPVYDMCEEGGPVSPSNCVYFRRWLDGDEVQ EQVISI NEUTE1DRAFT_125544 MYVSSALTTRRQGPKARQGIMVLHSVHDLDPTEGTVRLYRFENS PVVLVPKPSNDPNDPLFWSRGKKRVAFLSVCSFAFLTNFGIGGLTPAFYLLSLEFGKT QAETGALLLWPVLTLGAFNFFWVPIANYWGKRPVLFFACGLLCACSMWGAVAETFESL LSSLIVAAFAGSSTEALGASMANDLYFLHERGSVMSTYMTFLSGGNTIGPLICGFIVS KYSWRWHKWLTFILAVPNFLAVAFLVPETTYVRYSLKPVDSDSGTSRGPTPRLVSRSN AEKGFGFGNAQGARSHLGDTDESDAGVNLPKKCWAEEIGLWPVVSRDTPLWRLFIRPF PLWLYPCVIFAFLAYAISLEMTVAVNILNPFVFQAPPYNWSPMVNGLINIPGLIGNLF GSVLGGWAVDKFCDWKTRRNNGVYEPENRLWLCIVPLIISGSGCLVFGYGVEKAMGWV SLFFGYGMISVALTAVPTITIAYVSDCLLPLNSDALMLVNGKKQHESLLVE NEUTE1DRAFT_96504 MRVLALAALAAPLVSALQFTDPVANATLHKGETFDLKWSTVDTD PTDFSVYLVNFVNWPPYYTPLAYNIEPSSGELSVTIPCDIDSSYGWQFNAINGTNVYV IYAQTPKFYISGGPCSVDSPFPTGAPSSCAPAATVTVTVSTTLSQSSFSTLLYANSTG TASPTTLMKPATITKSPIPGKCPDTIGWGPSGYGNPVKLAAVPTGPGDVPAPATTAPP MINGAAFFAETGSTSTIYHTVYKDLSEVQDCMC NEUTE1DRAFT_125546 MAQVGREETPTATTHGVGGGGGRSDSLASESAPSNTNANSHPTN AKWGNACSQCAAAKAKCSRQSDAPRTKCQRKTAQLEQRLNGLVDLLKATGDLRGIPIA DSVASHEVMSTYESVSCIARDHDLDIAVGAPQASGSGKRRASLTPLEDDHHGTTEGGY SDNESHSGSLELLGERPIHVPNHYNSCAPSGCMGRAQGESRGPSESDETILTIYQTHL SPLFPFVIIPVGTTVQELEQSRPFLFSAIRMVTTLTSMRSMRAQMYRLVRHVSERMLL RSERSLDLLQGILVMIGWYQYHCLMHAQLNNLIHLAASLVSDLGLNRDPKVQERVSVM VLYPDEPKPRTNEERRALLGLWYIASTISVAFGKLESIRYSPYIQQCLNELQESKEYE TDGILVHMVQLQRLMEKIAQVNSKTELTESIGGIARAPDAAYMSTFQSELDKICGSFN KGQENNKYLMVYVHSAKMRLCEPPIIDASLLAKFYKDLTALDDNTPSALDVFYRVSSG VREWFDHWFTVPVSAYFYLPVSIICQIVYAVTMLARWSKIVSPIKPLASSQPRHTIST PAHPQQPTTTTSYTSTYISTPASVLTPSPHYSRPYGYGAGPPPDFSQRPPATSSTIST PAPATPGPGLGTPSPSIISRDISGFVGSRATSTEPTTTTTPNNTTTAAAEHPTAPSPD NNNNNQTNNLNNPLSSSIRWRETTDPHLPHIVAMLQEQLNSQPGLRVDITEIMTQLGA RFQQASDDMKEASGGEPDNNMWEVSAKGLAMTKARLERFAEFVAQGGKLDDHHDQGKG EGDDAACLRPGCGGDGGRGRRVSSTFPNQEEKGGEGGGGGAGGGGGGGGGVEAMPRRS TSASGSGSGTGGERHSQATTSARVREAAREEGGGGGRGGAVVVSGNVTATSTRQSQSQ WLTGQSQNLQGQSMGKPHHAPIPQQQYQHQQHPPPPPLHGSYSNTTAMSGVNTHHSLA GAGAHGGDTGFSSAAAVTGTTGGGAAGGVGGGGGQCNNLLATEFMDQLAPWLWYYGVE DWPGT NEUTE1DRAFT_71105 MPVIDKLAGVTGLSGLHLLLGAVGVTILLYIIGSAIYNIFFHPL ASYPGPLLQRASPIPFCIRHALGVQAFHTQTLHDKYGPVVRIAPNHLSFTDVRAWRDI FGHIAGSRHGQEEMAKFKSFVRPIDAQPVHIISAGREKHGQLRRALANSFSDASMRGQ GPLIGKYIDLLVHKLHEQGQEGKVPLNATSWYNSVTFDVAGDLIFGMSFGALDQNGNH SWLQYILGSLSSLAPMAALSYAGLHWVVQLLWRAKGAEVFRKSMESVDLMLKERLKMP VDRNDLFEGLVQRQEKLGLSFEDLSSNAWLLVLAGADTTATTLTGTTYLLTQHPEVLK RVTQEVRSSFKSVEEIDISSVNKLTYMLAVLNEAMRLYPPVTSLTARIVPRGGAHVLG EYLPEGTIVDIQQWSMNHSKENWVDPWAFNPARFLDDKETAREKGNLLDALQTFSVGP RNCIGRNLAYAEMRWILARILFDFDLRGAPTNENWIERQKAYGLWDRIPLDVYFEPAR ERK NEUTE1DRAFT_27929 HHNFENWDTTIRHFLGMEGLDVFLDTDIPEPDRNNRRLWQTWDL GRSVAKYALCLTLEQPHIRERLLRHGWDSENWNPKYHYDLVWSLWG NEUTE1DRAFT_113976 MICREITSIHDFINVMANRVFTCKRQEQDETWKEEGDTDSHSSK FDRWPRKFCAFHLGKPRRWRTQAVTLRNNSRLHPLGRHRGPQSSRPKGYIDVAYRRQE TTPSGRVKETIDKFHPLDHLIKALETVAATADGTDFVMRSPLVPPFGALIRLDGTRAE FIDNDWAATQASFNPRPSKASTIVSFTKNLLRLWKRTLSSRRKATPSV NEUTE1DRAFT_149604 MSSFPIHWATTAARMKAAPPNIRPKIPAQNTTTLNRNRFNIIPD TKTRRDRLLHPQNGLLPRLPHLDASSLSTTRLHPSFLFLSSMPAIEPLLSTTGTGDIQ RECIKANKALPPFTVLMLQYQALSASNMIVRMKNRLRESKRNDDHLVSGNGKGDGDEE CAEENNRDNDNREMED NEUTE1DRAFT_125549 MIVKAMGSKLGLAPGRLLGATSIWSDRVAAFARAPRRRQLPVLT PSKPYLSYHDILLTSDDIVSLKYDWLTDNNIAFWEEWLEREVLPKYPRAHIVLLRPSI TFLLMQAIDLKSIGSALPDFKKTTHIFLPVNDSRDRERADGGSHWSLLVVSVIDRVAF HYDSLGGANFYEAQKCTDRLGRVLGMPLRFHQMEDSPQQGNNSDCGVYVCIVMRHLLI KRLLNANSNEKVSMSMANKVIDSAGGRKEMMQIIESLRKEGERRRSLSPMSTSSKSGS SKTPPRIE NEUTE1DRAFT_89802 MAPTAPTPGKRLSAREKALKRLADPLLPRKLHRENNVVSDSFID SKKDKRTIKHNAFVSRIAKTVPGSSGSGSSRKLKRRRPSKKLVTTLESLGDVLGEISN EIREDQESGKTMDAQQEAMGRVRHKSLKTRPGALKRKEKVVKTEMDRFGRSLAQLATV KEPAAAAAAAAAPAMEVEQQQQQPTQTQGAQATTQQPATTNRWAALRGFISATMEQNP AFLKTA NEUTE1DRAFT_149607 MQNGGASSDQNGSISSVPRDTSRPLTEEELDRYSRQMIVPGMGK EAQLRLINAKVLIIGAGGLGCPAAQYIAGAGIGTIGIVDGDTVERSNLHRQVGHSTSR IGQSKVSSLITHLRGLNPLPTYVAHTTHITPLNAADLISQYDLILDCTDNPATRYLIS DVCVLLCKPLVSAASVQTSGQIIVLNCPPTPQGQLDGGPYPPCYRCCFKKPPPANAQL SCGEAGILGPVVGLMGVAQAGEAIKILASALHIPTTTTTTSPPAQGVPRAPVVEPTLL LYSYSLTSFLSPFTFRALKMAPRKKNCFACGEGSKQRLTLEGVKNGEPNYEFFCGLGG GGPEKGVLSEEERITPREFVESVQGKDGNGKGKKYVVLDTREKEHFSFGSIEGAVNLP FGKLLSKAAQFKRSGETPKVGDILPPEIKVHDGDGDEDIPIYVVCRRGLDSQEAVEKL KEMGLDKGGSRKIVDIAGGMKAWKEQVDPSFPYL NEUTE1DRAFT_125552 MAGKPVTGRPKTTIEIPLPSIKKYTRGSGPPPPPITLAPPRDST AYIIDQFVLPVLKDTKPDSRRRIFYHIGFTDIPAARLLVPCNEVLDYVSPRELEEWEY DALQIKEADKAAEAEQRRKNQEQAPAKKKPGRPSKARLNEPALDAPAEPVISLQEGDV LLAKQEAVAGPSLATPQKRKRAEIPRFDDSDEAAIQLQLQNQSAIPSEVEDSGTDLEG YDSTDPLSADLSPKITSYSRGNSSGSSAQQPVAGPSKPTATKPSVSSNIASRPSPSVV STPGRIHPMFARSIETGRIPVSGHGSQKGAGQNGVGHSRGFGGINAEAIARASPSRDN STRQFKAVPKAAVLPFTHPTQIAPISFTPLAAPIAVSRPIVTSDSLSKQIESSSARKR RKDEQPKPNRKRKKSHIEEPTDSWVVKELLDDQWVTEHGVKVHKYLVLWEGNWPSDQN PTWEPEDNIDDQGLIKTYLKKKESGMLKAPKKTQRSMLSYLSQPQYSSVAEAFEGDID ELQPEAAAVTPESDSDDGDELLVTEEPVAKTQKNGKGENSSFTSFDSKLEQYQKTFPR NEUTE1DRAFT_118590 MFLSRQFSSHQFRIDWIEETVSTVIRVVSKKVGCTKQHVGPGGS GNGQNSSVGMKNPQRKTSTDS NEUTE1DRAFT_104973 MAAPDQPVYVINYYHLPFGLVELEGHQNFEMWDTALRQYLRAAS LLHHLVLDEMSPEQRACDTCRDNWNVERGRVLTLIDLTLGNRLVREALYESGWEDNHP SPIYHYEMVIGIWEPAGQNWA NEUTE1DRAFT_89812 MEQWLPPSHESTADLTRADGGDLWTVLSSQRRARSPSRLTAFSD VNGLSYLGSLPKPITIDSSPKKRDPLADMLPDSWEPTQKLDPIPSTETPPQPENKAQP TATAQTQNRTPLSADDLAPDMPWNSVSSRKRPTKIRQSQAKPKAKPSKGAGSTSPSEP DKSTDSTSPHDGLALRRSPRISQLNAHQKLQKTTPPPSRSEVENDIQKSKSGPISPIP RKRKARPHATETLETSGSDTRYKAATTLPAKPSKVPRLEQASPAKGEPAAKDSEAARP RAANRDIRTPAEPEDEVFPHDDRTEKHIPASNKLQSPNEPEESVLSYHNRVERQDSTT TGVQTSNELEEMLQPPHESTQKIDTQLIYQNQAIQQFGSQPTSEDAFLAKTTANLMAV TQYEQRPFRKQAALVHDVANESPRRISRDAVSSPAPKDIVPSHVAHVWDRLAQREPQI LDLGQAQEAVPVLQPTFRGQKHHGVNSELSSSPLFMGQGPVYDDDQEPGVAPRSPRNM FRNGLETFKVQVLHRNTAPTLRKQPSHDQLPVQTIAQQSDLAYSLPHAANLTNEFQGT PVQRPQSQWSASTPLEQSRAASRGEVWRRETEDNSTYAILHKIGMMLHRALKPSEEVV DDIIKDYLENSVLLLELMNTCHETERETTVENHEAATKRLYSMFDTALQDVRHLQEQL QSFDISKILASARRPELVKKMHMLNRLCDERLREYVEQSSRATTEEEDPVPKKDDLVE LFKTQLYEQIGHSVDVTTKLQMIDAEADEFIENLRNEIGFPSRQSDAQRVSDEPEPAF QAIKQDYNAMFGSKQKKPASAGEILSDISQEVIEVSSHYDSDSDYVD NEUTE1DRAFT_89817 MTVNNFTKKHKVTVIGSGNWGTTVAKLVAENCAEHPDTFEKDVQ MWVYEENVTLPKDSKHFDASIGEQPQKLTHILNTYHENVKYLPGIPLPHNLVANPSLV DAVKDSSILIFNLPHEFIGNICRQIKDHIAPYARGISCIKGVSVTDDKIELICNYVSD ALNIYCGALSGANIASEIANEQWCETTIAYNTPLVDRRDENGKPVVTGESNDHTKQLT PLPLDYPPLDHNEWSLLFSRPYFTVSMVSDIVGVSLAGALKNIVAIACGYVEGHGWNM TAKTAVMRRGMQEIIRFANEFFPGQIEPRTFWEESAGWGDMIVSCTSARNWRCSKLAV ERNLPIEEVEKTELNGQKLQGISTTREVSSFLRAKGAEERYPVFMAVERIIDQEIKVE EIPKLFK NEUTE1DRAFT_149613 MIPRTMVSNEVYLLPLKDDGSPDVPGEYIYIAPKSKDPVTIRFA IEGTSSICSHGSLWVNIPEHGEQFQRNKFREYKLVPDFNRTLEISIPIYEAGAYAFYT TYAELPDLASSLVNADGTVTKATQKKTPLYYIDVAPRLSLDGQPLPLPALSIFSIISK FMGKYPTDWERHLRGISDRGYNMIHFTPLQVRGASNSPYSLYDQLGWDPACFPAGEPD VQKMVESLEKNHSLLSLTDIVLNHTAHNSEWLLEHPEAGYNLTTAPWLESAYLLDTKL LELGTRLEELGLPTELKDVDDLVKIMDAIKKEVIAEIRLWEYYTLDVERDADAAVKSW AANDIDFPQASVGAGGIDSLHSATPKEQADFLIQHGLQNMDYLGERFRRRANPKVAAA LLSAIFGRYEGETKDTADQAAARTKIVDILEIVNVPFYKEYDDEVAEILQQLFNRIKY VRLDDHGPKLGPINAENPLIETYFTRLPVNEKTKKHKKEDLVLANNGWVWGGNALVDN AGPDSRVYLRREVIVWGDCTKLRYGSGPEDSPWLWEHMTKYARMLAKYFAGFRIDNCH STPLHVAEHILDEARRVRPDLYVVAELFTGSEEMDYVFVKRLGISALIREAMQAWSTG ELSRLVHRHGGRPIGSFEVDEVSSNEGRSSSISGTNGDGVYTREVIRRIRPVPVQALF MDCTHDNEVPAQKRDARDTLPNAALVAMCASATGSVMGYDEIYPKLVDLVGETRLYTF EASKAPVKTGEGKDGIAGVKKLLNQIHTLMGMDGYDETHIHHEDEYVTVHRVHQESRK GYFLIAHTAFPGYGNGNGAFNPVHLTGTKARHLGSWMLEVDASKEAVEEVLGDKKHLR GLPSRLVGLPGVRMEVKGQDTIITVREKFPPGSIALFETWIPAAEHSSGLDNFVTSGA KAAMDELDLVDLNFLLYKCEPEERDASEGQDGTYDIPGHGKIVYAGLQGWWSILKDVI KDNNLAHPLCQHLRDGQWALDYIVGRLERASKKEDFRRLAKPAQWLKERFDAIRPIPS FLLPRYFGLVLRTAYNAAFERGISLMNNNVIKGQWFLQSLAMVSVQMTGLVKSASLYP NRLVPSLAAGLPHFAVEWARCWGRDVFISLRGLYLGTGRYAEAREHIHAFASVLKHGM IPNLLGSGNNPRYNSRDSVWFFLQCIQDYTRLVPDGLSILDDKVKRRFLPYDDTYFDV DDERAYSKESTIAEIIQEVFQRHAEGMKYREANAGPNLDMQMSDAGFNQEIKVDWSNG FIFGGNQANCGTWMDKMGESERAGSKGVPGTPRDGAAVEITGMLYSNLKWAASLNEAG KFKHSSVRKSDGTEITLKDWAALIKDNFERCYFVPISPDEDSKYDVNPAIINRRGIYK DLYRSGKEYEDYQLRSNFPIAMTCAADLFVPEHAMHALWVADAALRGPTGMATLDPSD MNYRPYYNNSEDSDDFATSKGRNYHQGPEWLWPTGFFLRALLKFDLMRRGRDDAEGRT EAFQQVTRRLIGCKEMIQRSPWAGLTELTNKNGEECHDSSPTQAWSAGCLIDLYMDAA EEQAKLEKHSLPLR NEUTE1DRAFT_132758 MAAPVTTVFPTQSLPACVTACGPLYDVNGACATATAAANVLQNC FCGDARLAPFSTGTAGVCDGACAATPTDLARIQAWYTGYCKATATSAAATSTSTAVAS KAKGGGGTWVDNHYQWIIFLVIIVVAIVGIWIGACFWRRAYLRKRDRRYALDQNLAHT TESGRVVPNDGVHVPGATMFSPAPIAEATVFDEKPKKTKKKWTVNERT NEUTE1DRAFT_104978 MSGQASSSNINNSTNSYSSSPSSNNSTSSSNSINYSAYKDTYGS ANGNTPMDRYKEQSRYEEGWNSHHVTSGGSGGGYGGGYGKK NEUTE1DRAFT_113989 MAITYISPRKLKKYYIDPSCDAKFDQQFDGFVQEAMEYARQAVN RLTSSSDLDFARVYETLFKMPKSDKQRFNWAKDFRLMNPHSASVRMTAYEHVVGVLRD FATNWRRTPNHWEADVRFHCDAQTRFIPIHHSSSSSLSSSSSHLTYLDPTNSVFNTGS FPSNLTRQAFTSHELSTEGAKGQVHNLFGLTGIQGHSNVQNPARVVIDLGQKTWEAFS DSIRSIREKKSSSRYQDNASVSDLTCMLLKYKSKVDDVSQWSSVPKIIFHEMMHCRYY GLHDANANANASATCTCTVGSNWQSCMDVVRWGSGPSCVVLNAESYAMLGLMAWLADV RPDDEETSGYTLSREDEGERVMGVVRFYSDITA NEUTE1DRAFT_113990 MSLNSFSSPSAPELEGNDGKPSVNHKLQVKTEITQRYQETSQEP TPIEQATAKARYSSSNHATKHPEPQRPGGSGSPRVRCKLLGQRARHTTCLCQPLLLLL LDFFFPATPTTTISSPSLIPSSSSAAAATTQKQQLQPLDVTHSVISNFGVIGTDAIFV GYTTPTGATEGVLSVPSPSSSPSPSVSKPPTRPGPDSEPEGESKSGAPPIGKTTAQVT AGQNTVTAILITCTSRARNADFTPREKQDEDKEVEEAAKKRKIRKQTAASYSVCAKWL REQMEDLGRAKARREGREENR NEUTE1DRAFT_125558 MAATLPRPAVLPHSSGWQSCSASDRFSLETVSSSPAPSTTSGNN TTAESFASTTPTNNPDPSTPSGTESRSAPNQHQQQHVGSNMSQSVVLVHSRGLEYNRP VPISMQDIAWYPMQESPQSEDGVTRSSPEMLVAVWPTTTTTSTRTTSATDGRRRQPSQ NVSISASAETPFIHIPRCKLSITDFDDLRQPLPGAGRGPYPGHGSIKIEQVALPRAVV QQFLSGIRLPNELAGGVDVDVAFPRHFDDDHEWVQSRCILSDFSELDEEEAARLSSEG RLCCWGSIVLMRDGEHGWDLGFVVHRVLTCGCGGR NEUTE1DRAFT_89826 MDFLSQTHHQTAVRRSSEMAHYQYPYHQMLQPEQFLSSNVEPQL MPSHFAFAPWQNADFAMATNTKLFLGNDDFSSAPIAHQRYAARTPSVSHSVGPRRVDA TAAPLENAIQTGSPWASADPTTPVGGETMTGYVPSPMGTFSSAASNTSFPLTPDAVNS VAGFDWQNPDIMTSPGVSFGVEAWPMRSSFDKGSTAETITIRSGLPHMATPPLLDDVA GVVDAETFVSSPSYSQQSVEIAPSGVDRPLQTPGLDQSQPEKTESRKRGRKKTPESAR KVCGQDNTTPVPASGRALRTAARKVVRFKSAQKPGESAEERRARVNHNQVEKQYRNRL HEYFDNLLKVLPDNPGMMEPKAEPENDDESQSSSSTSGRKSRNWSKAEVLERACHHIH ELQNANAKLMQELETKRRESSASSASLPFSS NEUTE1DRAFT_141879 MKARVMDAYGEREVHGVEERVEEIVEESVRSVKERYVKVWTQLS TKLGFGEKKKKEKGVFGFLQGLEMRIGINGVFDRYDGPIS NEUTE1DRAFT_89829 METEKPARHDLITTINYYKDLGDGSAPTPVYVGKDQVTNKRLML PVTTTVTDVTGDDVEKSFTLDQNGFQYLKHVSELAREEFADEEKIQREYFAECEGFLK EVTGASRVFAFDHRVRRGPSDWHEIPVDNVRHRGPLHRAHVDQSYAGAEMVLREKIPN ADEVGRLMQRRWGIVNIWRPIKPIHKDPLALCDARTVLDADLVPASIILKGGQRRESW TVKANLEHRWYFKYQQQPDEVVLIKCFDSDSSPGMARRAPHCAVEDPDAREKDWRESV EVRCLVFW NEUTE1DRAFT_132762 MHDRRPPGVERDPGRRQQLRWRSSQSPRLGHPAPHTMDLEIAAQ QLITTANGRLRATQLSILVTEVLRQYDAQDGVEDSIISQSDQYHFDMSRIICFCPSHC LTRTQADTAGNILGDYIVKSDGRTGQFTTASPSARFEEHWFVYFDPDASLAKYEFSYE RYFLDNFTSTKTPLHLAKIPGPKSCWTQNRQTRAKLPPTTSMPFHFTEITVGKIIMYY GRADGLICPKALLAYYNTAISSMNISSKETRSWLP NEUTE1DRAFT_89832 MPRPIEADVHSAFMEFRNAADEKCMSVQCLFCHQVRAKNTTRQK QHLAQCTQYLAQHPEAAAAIKSSLEQHAQSHDGSTAHVSGGHGGGVVSHGPGSHSHGH GHGHGHGHGPGQDTGGNNANLTAGSQMQNQHPAATTAGASSVYPEPTSLDPHQHTNLG FTPNARINGTSTPHGTGTPIPQHIRPMSGASSAPPAKRQKTKQTNPNLPEIPLAEVHA AFVEFQAKEGDKCLSAKCIYCNQVRAKNTSRQREHLLQCPGYQATMKDRIPANNLLHH FDDDDVAASLALPTPTLELDFRMSIRVKPKINIGNGTYGRQSWISCIGGQWAGRWGKG NVLANGQDTQTSFKETETKIDARYLIQTNDDHPALIICKVEGWWVGEKEIMERLQDPV AADNVAANRYKLRVTLKLETGDERYEELNSGVWVGSGCRRGAEIVYDAYRVN NEUTE1DRAFT_89835 MATTQAAPTAAGAPQPPKEKIPEGGETQQDYKLVTFAEGDPGNP KNWSKGYKWYCTMVVAITCFVVAFCSSVITADVDSVAHEFGISHEAALLPITVFVVGF GVGPMVFAPLSEVYGRQIIYGTTLLLAVVFIIPCAVSKNIGTLIVCRLIDGIAFSAPM TLVGGTLADLWRNEERGVPMAAFSAAPFIGPAIGPLVGGWLCLAGWRWLYWIQLILAF VVWVLISFTVKETYAPTILGRRAAKLRKQTGDNSYVSEGDLDQRPFSERMSVFLIRPF QLLFRELIVLLISLYMSVLYGLLYMFFVAYPVVFEGGKGYSHGQTGLMFIPLAVGVIC SALCAPLVNKHYLKQVQKYNGKPPAEIRLIPMMVSCWFIPIGLFIFAWTSYPRLIWVG PCLAGFPIGFGFIFLYNSANNYLVDSYQHQAASALAAKTFIRSFWGAGTVLFTEQMYH RMGDQWASTFLAFLGLLCCLIPFGFWKFGARIRQRSKYAFGGDDVDGSQTSDIENQKD VVDPNAPLVRDSLALERARSYVSNP NEUTE1DRAFT_118596 MQYMLTGHRENQNSVISCFQSCATQRLCGSCKVRKPSNTGQCNL PFAHPDTSFSVSVLLVFVSFRLFLLPSS NEUTE1DRAFT_89836 MASPPIPIQSSGRRRGSAARRESRDFHETIFDTVSLLGTSYLST APIAQEILARDLAELEDDEQHERDEECRSDNEEDVEPPIDVGSLPVGHLVSRPGGVAY GTLRPIIASEMQGSPIVCETDVVESRAAERSLLRDNHLLPPKHPRSPDDPLWKKLYRR LFSTKVPVSDKAFEETPLLQEYPLPPSPTISEEINQWERAVAQNMLKTTWQREAQTIT KYSRSLIVTFLLHYSVTVTSVFTVGRIGRLELGAVSLATMTANITCYAPVQGLSTCLD TLCAQAFGSGHKKLVGLQLQRMTYLLWLLLLPIATLWWFSGGVLNRIIPDPDTAALAG MYLRVLILGTPGVAAFESGKRFVQAQGLFHATTFVLLIGAPLNVLANWFFVWRMGWGF TGAASAVVFTQNLLPFLLFLYVCFIQGMECWNGLSRHAFSNWGPMIRLALPGMIMVEA QYFAFEVLTLAASQFGSAHLAAQSVLVTVTSTTFNIPFPLSIAASTRVANLIGAKLSD AARTSAKVALCCGIVVGLFNMTLLSSLRFKIPYLFTKDEEVAAIVSKVLPICAVLQLV DALAAISHGLLRGIGRQGIGGFTNLFSYYLIALPISFSTGWALGWQLQGLWFGVAIGL AVVSTVELWYLHQADWEHAVQEAENRLRSDEACHQSQRRESK NEUTE1DRAFT_55917 MGTITSTLTSTLLFLTTIALAESPAGTPRINSISYSGSGCPQTQ ARFSGSLDDPTLTFNHFAIEYPNTVNRTANCQVHVQAQGVSAGWQVSVKDTFVDGHVT LDPGATLDYFSTVYFSSSADDSATSKGQLSNDGSSRIDKAVTLQNHFTDKAWSSCTTS SNDGFGILNINFRGALRNEKSYFEATSETWDFEWRRC NEUTE1DRAFT_71147 MSRIQNQPNTVWIRNGNAYSPIETIEIVGRLGTFKANMFPAKVF CSAVSAGCIAAFASGATLVAINIPWMAENTPGLVKTLSSILFPCALVMIFMSGADLFT GSTMLTGVACLQGRIPWHKMLLHWFICFWGNFAGCLFVMAIIFGYGGLFTDPAQVKVI QNLVYNKQVVPEWHQIFIRAIGCNWLVCLAAYLGLAGRDLMSRAMGMWWPVFCFAILG LDHVVVNMFYIPFGIWHHTPGVTVGLYIWKGIIPSLLGNVIGGGGFCGMFYYFMYLHN QDPVPIDGIYFPADLAKLEEGGALPQYESDEYVVTTQEQKKQ NEUTE1DRAFT_141886 MVQQLVTRERWSRFVVVLGIAKAGMYEAKLKKHEGALEGRFRPA RSTSIITIVRRRYHDIRDMSNRHRPMIPWSSGSDDSTPTLQQQQQQQQQQSR NEUTE1DRAFT_114001 MPAFSRAKLPRQQKTALADVFGLGFSWLTVSRSFSICIVSVLHL LVPIENNRKNFTPSLKTYELFDSTSNMTTLMFLTSAKVNAAITCACIMILKPLIQRFF RSAILHPKPLHPRPQSPLDDPYYTAPTAETSTVGEDPGTHSLSHTTTAPLKPHHNEPD VEDESRWNGRLVERGRIRQL NEUTE1DRAFT_125568 MMAGSYIPHDNGLGEMPTSQVHPNDALQAWDTQNLVTSPWTQPG MSVPMPVVTEGVPFNAWENQQTPTGTPRWGGHDPNASMFAADWSVASVTPSHEPYPHG GDYFGTAAITHSMSPEESMSYPNASAGWETGSQPALSPYTEFPDFAALRRSESAEIKI EPSNQRLVPLMQKSSVNEPASPGGQARRAGKLNLMPTGPHHRKVKSTSKIGKPDSKPK LAAASALNTAVLQSQMKRKHPGPIPNFPGRPNIMQTMQTHTPGVSGPVAWMADYQVHA RNAYSTPTTPIGGLGAQPITPMGIPMGMGNGMSNVMGNEMPNGMADNGMGMGMNMGMP VPNMHGGMSMGMGSAGMVMTSGMASPAPLPIPSPYMQQPPQQPMAGDPGVGMGMRVPG TPGGRGQHRPSNTMATIAMSQDPRAAAEQIRKDAWQVCERERVEMLQRRLMLTEHERG MLDQETNMLQLNLGKMRQVVARHHQELEEAVERARKLNEGSSSPPQEGQHDEDEEEVA GLPQTQG NEUTE1DRAFT_89843 MGRTALVRLAQKSGPTVNVTYSEYVGEELGNGVSQWLGLRYAAP PVGALRFAPPQDPLSNSEPQAANKHGNWCVRRPGNSLTSEDCLFLDVYAPTKATTKSK LPVFVFIQGGGFNDNANPNLNGTGLVKASSNSIIVVTLNYRVGPYGFLTNGEQVVANN GLRDQRKALEWVQKYISQFGGNPDHVVLGGASAGAASVAYHMMADKDGNRKLFHAAAA ESVSFGTVLTVQQAQYQYDNLMIRLGCARSDATASLACLRSKTQKEIADRDGDIPYPG SSNGPIYMWSPVIDGDFVTDYPYSAFRNGNFIKNIPVIFGDDTNGGSSFAPDRISSLG DSNQFIKDQFPAITLSQLDTLNMLYPNPNASICPRMGCWRGQAGSVYGEMRYMCPGLY LNDAFDNYNDQYSKGTSSWAYRWNVEDRDQVASGLGVPHVVELNALFGPTNMWYTGQV PQSYFLGGTNAAAVQVMQGYWVSFIRTFDPNTLRCCGGVEWKAWKSGDEASAQHQRLL FGTGGKTTMEVIPFDEGLGLRCKYLQAIGRSLLQ NEUTE1DRAFT_114004 MKATILASTFAAGALAQNGAWGQCGGNGWSGTTSCISGYSCNYV NDWYSQCQPGTAAPTTTAAATTLVTSTKTAPPATTTTATASGKFKWFGVNEAGGEFGD GIFPGRWGTEFTFPDTNTIQTLRSQGYNIFRVGFAMERLVPNTLTSSFDNGYLTNLTQ VVNSVTNSGAYIVLDPHNYGRYYGKIITDTAAFKTFWQNVAAKFASNSKVIFDTNNEY NTMDQTLVLNLNQAAIDGIRAAGATSQYIFVEGNQWTGAWSWNVTNTNLAALTDPENK IVYEMHQYLDSDSSGTSTSCVSSEIGVQRIVGATAWLRANGKKGVLGEFAGGANSVCK AAVTGLLEHLKANTDVWEGALWWAAGPWWGDYMYSFEPPSGTGYTYYNSLLKTYTP NEUTE1DRAFT_141891 MAYRPQKQGGRGLMDRVEPPAADLYGERAVQREMLRYQRWKSPI PGPMWRNHFSNPFYGANQMYEIVPFSRRGMPPQNPVGAQQAGAPGQNNPGNQAQAPGP QVGDRFKGGQPVHVQEAIARARVFLETSSDFRIKKVLGWGGMGAVLLAELRQPREGQS QNVVIKMNLVERGRDNFRQEKQNHIRVARAAHVVQCLVVEDRRQQQDKDKNKTGPGIN RNAPAAMKRKYAGDAADSAFQVAKRTRLTTGEEEKGPGGDRPIVAAPASGESPRAALR GPLARAIFDRAREAMHLKGASKRRSDGDHDINTHPDLMVIEPMWRGDFDLWVRKMAHS GEKFHSKVLWLIFECLFKGVLAMAHPPRHYHEYKETGGRTGPIMEERLPDREDVINFD RDAFIHFDLDLANILVGDFNVPTRESHSVTPNIKIADLGLGTHMATEKNDFFKMWGSR FCGKPGAGWLSPEQFHPEWDYIKYTPSTTVNFTPTIAGNYTWKTNLYWIGQVMWCLVT LHKPSRMPFPYWITDHRPPGRRRGRAENQGRFWSWGGLVNHTRYNHIDEDLRAAIVLC MADSPAMRPEAKQLWDWIHEKTTSEWEGLSEDEARQWAARFFESPGVPGPTRPAVFEQ PGEDKAESLAKNSRPPWGKIPDTFDFTFKVPGKWPKLQSTKPVKVKKKPAFPRPPKAA ADTPNHDPQQAVPNPPDPAPQQAEEPPAKGPFGRPRRKSSGVKAEQIKAAVEAVEANP VPQEKAVPDPQGSDQEATVRPAAPVAPTPAAAAPPPRRPTPKERIQAALEGTAAEPAP APVIPQLPPPKAETNAVAGRVRTFVVPSDSTAATSSTAAAAAAKVAAAIAQATSQARA AREGAPSSSLTNKRLTALGAGVRLLPHTKSGGIQGTPLQPNPPRKQVNYQTPPPIAAD AVVPFLPRELGSARGKPQRLLGNRGIIGHGAAAQGQRKPGGMYRPPLQNPNQPLQENR PPQQNWLPQQAQVPQQQQQQPQQPPPQQPQQLKLPTAFILPNNRPGGSALPPQRPPGP PTPLVRRLNPTNRKVGGQYDLRARRKNTLLRGPGPSRLQQAWTPRDLAEGGKAGRAGS GLFAGLKLGRRAAAAEQTAVPEAIVQEDHLAGKEEEEEGVRAGSGRSEQKLASSILQS SVSAVGRGPSSLVVGWEHLEEEPAAPLSPRELEKRRLEFERFQARNLLFNGSLLGVDW DDEDSSDSDEPMGRTGDEEEDESQDAMAVSEASTTIGRFASFFFRFGGFGRGGSSS NEUTE1DRAFT_141892 MNFVPLPEDIGNRDIPVSLPNEVEFDDAEKEEEEEEEEEEEEEE EEEEEEEEVEVLF NEUTE1DRAFT_55661 MNQNREHQSPTAEAQSPAVDSQNGQSTTITPETEDQPLLNQHLQ HQEEDLLLKHLFQPHCVETESHFGSDSSTDVEDKYLTPNRHAGKNEIYIKENKTRITT TITTVELVTTKKIFGRKDGIYKATKVTVDTTLIAGPHTQREEDVIEETESGWITDNEA EDEGDNFAPGGDNTTSVGHAGN NEUTE1DRAFT_141894 MLPLGLNCLSPSQTAGRPIGGAYEKALDRMSDHNRNPSRYLYSP GEDKFSKLMFFLHASNQSSFARFYADKDHTRVTASFIFKAQGTTSSRYSSEKVLGNST REGIAQLSHHLTTFILRRNPRAVHLDARQSNSREPRRNGPYPSPQHLLYEFRFQDKPR SQAAPKASLRKSDTFSHDLILIGKLVSLGTMQGKLLGNLPHAVSNVNAADTNNAPAST NNRTKRKSAPTSAKKLAVDDSYNDGDDTVVYEDTGADEDNAGGDEDAVYKFECSHRY NEUTE1DRAFT_125570 MSFSPPNKSADATIQITEMTRQSTPSSGEAAASTPSTSSIESGD KALEALGYTPVFKREFSRWSSFSFAMSISGVYGTLMSTWIYGLQAGGAAAIMWSWIIG GAGGWALAYSIAEIASAYPSSGAMYFTLKFLAPEEQVPFLCWIAGYLNLVGTVAGGAS TEYAASQMLLAAVSITSNFSYVPTPTHVVGVMIGLTIIHAMINTLPTAWLNRLTSGYV VFHMSVLLGACVTLLVQKRHDMHDLKYAFTDFQPSSGWCPPGFAFLFGCLTPAWIMTG CDGTARIAEEAKNPQMVVPRAIANATTFTYVIGFFFNLVLVVCMGDPKDLINSPSGQP VAQLFFNGMGRAPAIVFTLCGFGVMNLVAIPGIQAGSRTIFALSRDNLLPFSHIWVRI SKRSQTPLIAVWTYAVLEIIINLLGLASSTAIGAVFNVCAVALNVSYVIPIICKMVYG RMQKGPWHMGKYSIWVNAFAVAWNTFMAVIFFFPTRLPVTPENMNYAIVVFFFVLIFA LGFWYTHGRHYYTGPLTHSPRATDMSVIMPEGV NEUTE1DRAFT_118599 MASNPNKPGAPLYSQAVSQDAGEPVTAEQIEKAPKTLASLEKEQ KSSTEKKDESKTESSSTSNDDAQKEKSPGLLASATSTVTGAVGSVASGVTTTVGNTTA SVANTVGGVVGAASRGIGETITSVTGGLGKPVGETIASVGTSFEGAVRGKSEEKDDKE TTNKKKEEENK NEUTE1DRAFT_114010 MACALVTQISVLKFKKLSAKAKERRGTSSLNPDLPTERGYLADA HQPSAHRLPCMVIATNNQEALPSQKALRKERNISGEAGDNKKRKKHNGETAEEKTERK KQQKSQLGSWRYSDGGNRSLEFVSDPVTCRPRGKNPG NEUTE1DRAFT_132771 MVSSSLTFHRFLLSFLLFFPLFGARTNGDNDGDNSISGTGRAQL EGWKQDWKVSATVTERGWTVWMDVERGSRSLSRYDAGPGLVFKLSQILSRFLALMYEA GILALMGWWYHGWKGDPNARVDLIFPCFFPLGVAVLVNAYEMVSFSCLDRRRPINVIA VCFDVLICAGSTFCFLLLGLIDNDPVQDRLRTDSTRERWRRDQSKAMIFMIVFCFLHA LFIIMASAACVYSGILKNRARRRRRIARNRAQMARFADERRRQMDVDKAQVGAPVEDV GQSEERSAERNSRGTESTQSTELEGNERRTRERLSRATEASMS NEUTE1DRAFT_149626 MADYTAPGAPGTNNAAPTMNGNSEANFQAPAALVQPGTNEASKT LWMGEMEGWMDENFIKNVFTTVLGETVQVKVIRDRNSGNAGYCFIEFATPEAAQKALN LNGTPVPNSNRAFKLNWASGGGLIDRRDDRGPEYSIFVGDLGPEVNEFVLVSLFQSRF PSCKSAKIMTDAMTGQSRGYGFVRFSDEGDQQRALVEMQGVYCGNRPMRISTATPKTR THQYGAHAPHAANPMMAPVPAHATNLQWGVPPQPYYSGFNPMQPMNQFTDPNNTTVFV GGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVHRHAAEMAINQMQGYPIGN SRVRLSWGRSQNNSGVGTPYRPAPPPPHYMGPPPPPGPAGPYGAPHFGGNGGPPPALV GQTPRIQYTPAPSPAQLAGSPQPPATPVLAFATLYTVTPPPVTFEASNPGRRRQPTSR VASGRVGSNGRVLNGRITKPKTEPSGSHGQVGRFFRRGGMK NEUTE1DRAFT_105000 MPTIAACARILVSGVKKTSLQVVTNRTSLQRGKSRGIDGGHSTL GTVTLNTRCVKDSLHLSSSSAFRSSLLFSLESSAFALPCVVHISRGFHSCLTRTKRPL GFRLSIISLVPCGCFPVPPATPKVVISVVTRSVFHYPPTGARRRHAELAAPIAIGPVP SSSWKKTRKDTAQLPVLLKSKYEYPLQRSEGPRIALPSPSSGVIPSPDLPDFVTLPTQ RAATLIR NEUTE1DRAFT_149627 MATSSTEQRELDLVEKVDFRILAVANNEEKLQQLLKTFLPPLLL KAGSDYASVRNKVVQVCQRLTTFIKQPSIVLPVAALLDQYKATGSQLIKHFDLVFIQH SIVRLGLVERRELIPKILPGIGSDVSASRAGLFNVLLRCLHDFKLPPRGSKEDETLRE QIGLQDPKDAQFVADWLGKLLLLRIAAQGSAISPGLAQSDLPFLTLNKPETWNPAESG LSLPETRIQAVNFLASGAFTDQERFLPAIYAASSSDFRLAGVGDDMLKRSKVSLEDRE IVRQLFEAHSRLPARYRIRILGLLSKSEISTTFTDEILAAFRLDVATQRESPDAMQVD GPSSSSSSSGLELTKVHRALFEFINWVARIGASKPEFSKIRTLLVTLLREFVEAQGWP KPERQSLDDNTLRSRAYETIGVLAKGTAMSDTERMVLAQWLFKSLCEDPTADVVVNID AALSSLAANFKPPHNPDLSEQLHALILESMVKTEEGNIVRSSRHAATKWANQCLPFSD IYARWVDILAVAGRRDERSDVVEEGQKGLDPWTYYANEEKSRTLPAWHDMVVTFFTKT ISYGGKPGADMDIDEGSSFTNFPGQCIRAFPVAVDYCKRILFLTALEDFTIEPGWERQ LSATVHSDLKTRRAIRDYLASSEHSEAIFCLLRSAFDGMLLEDTEIAVGCARSFVELA SFTPSKILAPLVPRAVELMSLITSNKREIRPLACSAFGILAAHPANSSESINMFKGTL ADIAKNLKTAVGSELNAVEGAFNALAHLISRSVYYSTDKDNNVSSDPGNVFPTLDSVS SSTLSTQEAMIEAYSQLWTAGICVLPGADKDTISKAFVDPLVLLAKKGNEKAITALGR LAMPLPSAEASSSEESSDLVALILEKLFALYELRQAEVHFTVGEAITAAIACWDSEVV QLTLDVEARGDSYHIPKRSNRITAVLEKLLADCKNTKPSLLKASGIWLFCIIQYCAHL EEVQSRLRECQVAFMRLLSARDELVQETASRGLSLVYEKGDSALKGDLVRDLVSSFTG SGPQLKVDEETELFEAGALPTGDGKSVTSYKDIVSLANEVGDQSLVYKFMSLATNAAT WSTRSAFGRFGLSNILSESEVDPKLYPKLYRYRFDPNPNVQKSMNDIWKALVKDSNAV IEANFDAIMKDLLKCILGKEWRVREASCAAISDLVAGRPFQKYEQYYKDIWMAALKVL DDVKGTVRNAALHLCIALSTTLVRQLEESGNTASARAMMNEALPFLLSDKGIESGVKE VKYFATHTVIKICKKGGKALNPYIATIVSNLLGSLSTLEADIVNYYYQRAGEDDRDKI DKFRSSAVSQSPVTEAIESSLRTVDADVMVELAPALEEAIKTAIGMPTKIGCSRVLST LATRHTVDFKPYSARFLQLMEKQVLDRNDEVSQGYARAAAYIIRGCPDEAKLRFSDRV LELYLESEDEARRQKVADAVLALSKISPDHFNALEGKLLPFAYLGKHDTDDYVRKEFE EVWDKHAGSSLSVARYVSEIVELVQQSLNTAQWALKHAGALAIASAVAGITKASDVTG QVNVENLKTLWPVYEKALALKTFPGKEKLLEPFPDFVSKSKVIWQKDASFGEQLKKIA VREAKRNNNEYRPHAFRCLWKFAAARDDLTMLPTISEIVTPYLDMTADEDAMDVDDKA STNNKARGLDPKSQTAWAAMEAVAKGYNRAKMQEDAMGQLKEIALALEENAKKPGFKK PYIGQAPFDAIRRVFWYECVLELLEAAIKGGEDGAGSPDPQVLEWYLATLDLDKADTG TEDQRLLRAKATMAAVAMGKLPKHASGDALSGVKEKVVKALAEERSSEVQLKWKACLA LLD NEUTE1DRAFT_71164 MADSESIANFASITGANDTAARRMLELCGGDLEQAIQLWFADEE LQRTLSNPATETAPAAASRSAGASRSSSRPSRPNVGREDAAGIIHIDSDDDDIQMTED DDLGQFDDSGDEATRAATIAQRAQEEEDAAMAKRLQEEMYGGGGSGAGGPSGEDDVRA PMARTTETLVAPGGFGGDDEEMFEQFRLEQQRVRQARAGRPHNPFAQPTVWDQPPDPI PGSAAGGVVSPPTGTASTRAGRLAELFRPPYELMAHLSWDEARDEGKEEKKWIMVNLQ DMADFNCQALNRDIWKDEPIKELVKHNFVFLQYDKTDRSAEQYISFYFPNQTHENPQN YPHVSIIDPRTGEQVKVWSGVPFPKPLEFHAQLAEFLDRYSLEAHAKNPVLKTKQPKQ VVDVDRMTEEEMLEMALRNSLENGGNGSSSAPKVHDPDALTRPTDSTKGKERADEEPA EAAPEPEPETPSTENSVFAQIASDRPHVEPPIDPATVTRLQVRNPPQRIIRRFRLDEP VRRIYEWLKAEPLPGKEGLEFELKSMPGGVNLLDVIDETIKEAGLANGTVMVEFIEE NEUTE1DRAFT_125576 MADREYDEHEGSVDPELLYTKEFCIGGGSFGKVFKGFDKRSGQA VAIKVIDIESAEDEVEDIIQEIAILSELQSPYVTKYYGSYAKGAELWIVMEFCSGGSC ADLMKPGLIGEDYIAIIVRELLLGLDYLHQDKKLHRDVKVAANILLAANGQVKLADFG VSGQLSATMTKKNTFVGTPFWMAPEVIKQSGYDHKADIWSLGITALELAKGEPPYADI HPMKVLFLIPKNPPPRLEGNFSKGFKDFIELCLQRDPKERPTARELLKHPFVRRAKKT SYLTELIERYNRWAATHKQDDEEYHEPEENNYVEQRKHINEDMWDFGTVRLVSDRGGV VHRPGMLNPMDESTLNARSSRANESADNRDDWRRESSQATSKLAVDTSGTGTARQPSP ARKPVGTPSTAMPPPPTRVLVPSSPVKQQIQQLEQQQHQSRESATPRTSLARPVAHTP LGSSPDYDRVLQEQLRRDIGGMNLGPAIQNSTQPQSAFSPSQYSQQPTSSPSRTAAGP MKLPEIPPYRGGQQQQQQSPQKLTNQQTSQQAPNTSKPFQQQQQPPLYHQPSRESLYR QQQQQQPQQQQRQLNHQPSREQVYQQHQRQQSQPTMLYHQPSRESLYQQQQQQQHQRR DSQYQQSSGQYQPKRSSYHQSQGLSPLTSKEMPRSSPSGSRIVSTSSNHGGASTPSSS STSLAFPSPDPPSPSGELDALNDVIFPALEEALKRRQIMLQQTYRPEPGYAPAPPTPK QQRAEAANEKIRKLVYKLAHVCKEIDHYDKAEPVGMGKDVGGFLEGLLEEILVRVEPL DVLGEDGHGG NEUTE1DRAFT_53237 MTNKTSNRSGLLTGLFLLLRSVAASPVPASSAFGPVVLIQQSPN LNSNHAMTASSFSTDDNLPAGCTNTSLHGFQWTVSDFHYSSSLTYTTPSHRINGATVR FNLTSNALPELNVYCDAYSSDFSDPFYGQRVYDCTTVVNSTSGASDGSEGGEWGGVSA GAGQIQTQFRYWKSSQSVEVRQTWACDDLEGPGNMAIFSANGTSAAQTPNCTFEEHQT PPAEWKNGDTYYWNVQACTLSEFSFAPSELQVFA NEUTE1DRAFT_89860 MTNLKSTADPPPYYPPSIASDITKYFLSATSFLHGQVTELSRVL GHKVIIEADWQRLLDEFGEQQKPTLVEAAYDIMVSWCDELQLILDHPDDDDWLTRLLT RLVKANGKLRVMLDVWENSQLGTSWSDERNGFFVHLPKHFLFVRRALKRGQSVIREEF QKELLSCFVTTANDKEDSNPELGKEAWTGTATESLKQLSVRDEKSGEERSSNANGAAP AAACKTQPNVANAKTTTTSSASSAEPKPASFPTVASLPTLSEICSRPPYHITIQDGSK PGWISIHGSHGASLKLIDKYISKWTPNVEMESEDGPPTIDRAVNGALTTLTIRRVVVE RSFRVRPQSVTMPMVLAIIEGTLGYEVVWHGSGEYRLRRDAPFV NEUTE1DRAFT_118602 MLSRNSMRLARAVAQPTPRLARGFASVQDTPAQTLQSDIFKPTK YGGKYTVTLIPGDGIGAEVAESVKTVFKADNVPITWEQIEVSGVQDNASRTEEAFQQA VASLRRNKLGLKGILHTPISRSGHQSFNVAMRQELDIYASISLVKNLPGLQTRHKDVD LCIIRENTEGEYSGLEHQSVDGVVESLKIITRAKSERITKFAFQFALANQRKKVTCIH KANIMKLADGLFRGTFNRLAKDFPQLECNDMIVDNASMQCVSKPQQFDVMVMPNLYGG ILSNIAAALVGGPGVVPGCNMGRDVAVFEPGCRHVGLDIQGKDQANPTALLLSGTMLL RHLGLDDHANRISNAVYDVIAQGKVRTRDMGGEASTHEFTRAILDSMEKSL NEUTE1DRAFT_125579 MSTDIKFAITGVPTTPSSNGAVPLRRELRDLQQNYPEQFNLYLL GMRDFQGLDEAKLDSYYQVAGIHGMPFKPWAGVPSDTDWSQPGSSGFGGYCTHSSILF ITWHRPYLALYEQALYASVQAVAQKFPAEGGLRAKYVAAAKDFRAPYFDWASQPPKGT LAFPESLSSRTIQVVDVDGKTKSINNPLHRFTFHPVNPSPGDFSAAWSRYPSTVRYPN RLTGASRDERIAPILANELASLRNNVSLLLLSYKDFDAFSYNRWDPNTNPGDFGSLED VHNEIHDRTGGNGHMSSLEVSAFDPLFWLHHVNVDRLWSIWQDLNPNSFMTPRPAPYS TFVAQEGESQSKSTPLEPFWDKSAANFWTSEQVKDSTTFGYAYPETQKWKYSSVKEYQ AAIRKSVTALYGSNVFANFVENVADRTPALKKPQATGEESKSTVSAAAAHAVELSGAK EVAEKVHNVFQHAEEKAQKPVVPVKDTKTESSTAAGMIIGLSIKRPSKLTASPGPIPE SLKYLAPDGKYTDWIVNVRAQKHGLGQSFRVIVFLGEFNPDPETWDDEFNCVGRVSVL GRSAETQCGKCRKDNANGLIVSGTVPLTSALLQDIVGGELQSLKPEDVVPHLRANLKW KVALFNGDEYNLEEVPDLKISVASTEVTIDEEGLPHYSRQYTVYPEITEGKPCGHGPE DHI NEUTE1DRAFT_89865 MSRPEDTLAADVHYDDTEARKYTTSSRIQNIQASMTRRALELLD LKSPSFILDIGCGSGLSGEILSDVPEDEGGPHVWVGMDISPSMLDTALQRDVEGDLFL ADIGQGVPFRAGSFDAAISISAIQWLCNAETSDTAPSTRLSRFFNGLYASLKRGGRAV CQFYPKNDVQKQMITAAAVKAGFGAGLLEDDPNTKNVKLYLVLTVGKDATGGKDISTV VENMDGVDILDQRKKGKGGKGEIKKGSKGWIIKKKEQMERKGKIVKATSKYTGRKRRI QF NEUTE1DRAFT_141910 MWILPLVGYLGSIAGFCFLTLAIASGLYYLSEVVEEHTVVAKRF LTRLIYTIIVIQLLLCLVDRFPFFLTLLSVGSHVVYLGNMRRFPYVKLTDPLFVLSCG LVLVNHYLWFKHFSRHQERAYQNMTSYYDMPSNVPTFTEIASYFGICVWLVPFALFVS LSASDNVLPTMGNEASSSGGAGGVGGDGTRARRGQGLIKSVVDNILGAVGGVTSTVGW KKSDDRL NEUTE1DRAFT_54160 MVTRGLPHNATHAVCHNGGMAKGLRLALGVPQGKYILKDGKGNS GALMPGDESKAGSSADRTIRTKLLAFVVPPFRPRSMGLLTSPVSPFNFETPKDTQGCS ESSSTTPLLPGRGKGTKKPERMTYIIQHDDTLHVASSDWYFGHEALTVIYPPHISHAE DERDDQDYQSPSVILVYESDNEDGRSIAGADGMSSDSDSDSEYSGSQREHVSDVTTIS VSTGGDFNDLVTQHSGLTLDVSFPSTVSERDLGSNMELGVLQPMVNAMLVPALDLTGA TDVHSDDEDLGCTHAISGVACHCLTARNLDAVQDILKELRRVESRELRRHEATEWHHP RSEPDRRSDQAWEELTVDLLF NEUTE1DRAFT_51980 MTEYQSLISAKGFKTFTAVCLMGWYRAFFNIEPLNGSCWDMERG KSAVFPGDVSFGYEPLL NEUTE1DRAFT_71181 MKVAVFSAKPYDREYLSQVHATRYGLANTTDNPHVKGSLAPRTE LIFHDFPLSVETVPLAVGATAVCVFVNDTLNGSVLKALRREGVRAILLRCAGYNNLDL KEAERLGFFVANVPSYSPEAVAEFAIALIQTLNRKTHRAYNRVREGNFNLDGLLGRTL YGKTVGIIGTGKIGISLARILQGFGCKLIAYDPYPTDSFKPYGEYASLDELLPQCDFV SLHCPLTDQTKHIINKQTLAKMKKDAMLVNTSRGGLINTKAVIDALKSHQLGGLALDV YEGESALFYQDHSGHIIQDDELMRLTTFHNVIICGHQAFFTEEALTEIAESTLSNLED FLKRVPCKNSLVSDGHLLSGTRRDSVPVRI NEUTE1DRAFT_105012 MPFFKSKNKAGDHKTKALAPAPATPFDPYYGFSDGFSGSQNRRG SDPSSYQHQSPFEGGQYICACKACTCGESVQYPGDMCHDCSNNKH NEUTE1DRAFT_89876 MTEDKEKSSGEGRRVSTHEEGINLPADPDAHRTDEEKAEIDRKL VRRLDFMLIPWLCLLYLLAFLDRTNIGNAKIAGVQKDLKLSDGQYSASLSIFFVSYAV FEPITNIMLKKFRPSIFIPIIMVFWGGSMVGMGFCYNWDGLMAARWFLGLAEAGLFPG VNYYLSCWYKRSEFGIRAAIFFSAAALSGSFGGLLAAAIEHMDGIGSRPGWAWIFILE GLLTVVIGFVSFWMVHDFPDEAKFLSEDDRARVVRRLKLDQQASAEHETFQMTYLYQS LRDWKMWLGMVIYMGCDMPLYAFSLFLPAIIKGLGWNTSTVRSQLMSVPPYAAAAVFT VVIGYIADRTRQRGLCNILVSLIGVAGFAMLLASNSPAVQYVGVFLGALGIYPCISNT VTWMANNVEGVYKRGVVLGFVIGWGNLSGIISSNIYANHKTGHAIVMGFLAVFLFGGS IVMTALLRAENGKRLRGERDHLVEGLDEKQIDKLGDMRPDFYYTT NEUTE1DRAFT_105014 MAAGYLEAFDGGGGGGILDLASSNTCTVCAVCSGVAAANGPELD NQASRMDFTAADGVGRSAEAHGSDGCLC NEUTE1DRAFT_89878 MAASKKVCIVGAGPSGLVAAKSLLHDQPKGTFDVTIFEAQNRVG GLWPSRKDDNAGLVHPLMVANQSKHIVQFSDLAWPDDAPEFPRAWHIGRYLEAYHDRY CRDAKIHLGQRVVHSELLQPSDQSVEGAKWKVRTCSQDGQQLDHTFDYLLVASGFFGK PVIPPPVAASGDIPVVHSSQYRTLTALLEQTKGVGRKIVVVGGQMSGVEIAGTIATHL SSAMHAPGSSPLQHLDEYSIHHISQRPPALAITNTDTDQPPYLAVSDTYADFVRSGLI SVSRGKLDSLTADTVTVTTARGEVSKIDNVAAVVLATGFEAASSISFLPSSVQEILSV VPSDLNTTVALAFHGTHHPAIPSLGFVGFYRSPYWGVMEMQARFVTALWAAGGPTSSS LPPRLAAALAEDDSIERTLALRTDPRVSQFPMGDYPWLMQEFSKALEIDRIPPLGEMP RVPPADQSMNILTPARYPAKRTSEAQRTEATKSIQQTESTTWSGVSSARFVAKAIFRS LLGEWKLERDLVSRLPTHPSGHFSGTARFLLRERTRDGREAEHDAALEKDDDIGLEYL YVEEGTFTASNGFSFRATRRYVWRYDEKKDKLSVWFVKTDDQKKADYLFHQVEFVIPD TGTEDAPQAWRANAGHLCIDDFYDVDYRFNFKAVNLKDWSLAYQVKGPKKDYVIEGTY TR NEUTE1DRAFT_89881 MDEFTGTTQAQVEQPPVEYSQGYMNLTQTQRNTIEHVERIGASL SLLGVFLIFIAYGLFKRVRTVPNTFILFASIANVGASTACFIGYAGIVAGENSALCHT QAFLLEMFMQSDPWWSLAMAINVFLVFFFALNPNAFRDYLWLYCLVCYGLPSVPAIVL LAHSPATTRYYGNATLWCWIADTWNPLRIYTYYLPIWTCIFLSGLVYLAVGYQVFHQR NQLRNLTFSNQGKNYSGSEHIELGEKHSYGISAGRDSPCPTVIPMDLSNHPGCYGTVT TQVEVNISDNTDFQPMTPTSPAPSITEVPLATHPPGQNNGIHPWDSNYSSSSSSSEDH IVPPAAVYAGSSSPPFGIHVHHATNETTHNGVPKSSLKKTTTYISQGDRPYHHGPGYG HTYSSYNRTSNTTTTGTHQVHASSSGGHQSASRFHTLRSSLRRPFRKFWAKLHRLDPI KLAYLRTSFVFAISILVTWTPSSINRVHSLLYPKDTSYPLNLASAVVLPLQGVWNAVI FAATTWVVLREESTQGYKAWRNGNGGSYNGYGVHSTVMKDDDFLETRSHCSGGASGGG KKKSGKKGPMDPNRLGTVRVIRDGSL NEUTE1DRAFT_114027 MPLQFQRSLPLLPSSSTPDVSSAMNEILKESELPGAPSPLPATR SHEESVLDKSLRLALSLHSTSPSSFFTEEENHAPTTPCPYRKIGAGACGAIFAQEGKS VVVKLAKDPNSKELWNDFQQHRKISRLLNRVYQVEEVRVPKLLGFVPPKNTEFWDAEP GLTKAAENICTVPTHALIAERILPLPRSTRHLLIEKYCAPRGKQKALANPANNDCLVR VYLGSTNGKSSSMFFSLRNLKLHLNQLIELNLDIEELARRMGIALAVMHWGAKTDARD VEFVLGSSSTKKATLEDDLDNIEDDAEAQYVGPSTYRGLEDFFCRETEMWVLDFNQVR NITSNDAGVALAVEAVKLNDPYFPKPLKESEAEKQAWKAFTVSYLENSTTILEQALEN DKELGGEILALPRKFVLGIVELEKERMTRREGANA NEUTE1DRAFT_105018 MSSSLESTFDARDLAGEQGQLLDLLEKLQYAQLDNVKLPQIVVV GDQSAGKSSVLEALTGIPFPREAGACTRFATEIRLRRSPESSITVSIIPDRNRPSSEQ QQLLEFGRLVNSSSPFDLTVMGAAELIAPKGVPGRFAARDILVVEKKGPDMPLLTLVD LPGLVRNPNKDQSLEDIRIIEALSDRYMRSPRTIILAVVGGNSDYVQAPILTKARHFD PNGTRTIGVLTKPDLTDSIGLEDKFIELVKNNDRRNHFRLGWYVLLNPGPRTEGEPWP SAEQRRSTERDFFSKGKWSSLPASMCGASALKQRLSLQLQQHIGRHVSALRRQIQKAL DDCDAELKSLGTAKSTPEEMRLELIELFYFSNELVVPAAHGFYKNPPRKTFFRVTADP KGTPAQNLRARAAEENDRFSARIRADGRKFKLSASSDPSPADKQSASSYSKSEYARLE VSRLLHQIRGSEFPMDSTPRAVYMLFQSHSEPWPRLAQEHKDNVGAVCNDFLREVINY IWPQRMREPLRRHFLDSHMKALMDEANRELKHLLQDLNLEVQPYDPEYQERLVAWKSK GAETGATYTEAEEILEKMLIFYELSAKTFIRNVITQVVERHLLQGMYGIFNPAEVMAM TSEVVQSIAAENQETRDRRQALTAQRKAIEEAKDACTGLAMRKELREQPDEHGDLTDG TSGEDEPQSATDQFSRSSSTPRRRPLPESTPNVAGDTRSNAVVEATARGPPQLPPPVP PRQLQIAESDYGQLDALYDGGRTSQTNQKTDQDKYGVSPPSLPDHPMKRHTSSFRNIF K NEUTE1DRAFT_132786 MNWILEAGDVDQGRCKGEDYVGPGNKDTWYQFIISVSLGISAFL AFCALRPRWKSLYASRKRASMVDPSIALPQLPDTFFGWMGTLYRVTERQVLASAGLDA YVFLNFFKMAMKLFVIVFFFALAVLEPINRAFPDDLNTSEVPPAQVFRQYTYPYGHTT LYDDDPDQPDDSFKKSKRYLWSYLVFTYFFTGLTLFLMNRETFKVLRVRQDYLGTQST ITDRTFRLSGIPKDLRTEKDIKNLVEKLEIGKVENVTLCRKWKELDDLMDKRQAILAK LEETWIAYLGQKPVQLARGPPPNTTANGDLENGRLIPDLGDEEAGETGRLLGNTTSDL ISSERPRPQTRIWYGFLRLQSRKTDAIDYYTEKLRVLDDQIRAARKKHYEPTDLAFVT MDSIAACQMAIQALIDPHPGQLLTKPAPAPSDIEWRNTYASRLTRRVRSVAVTLFVAF LTVVWLVPVAFLASFLSICTIEYYFKGFAMWLKQYDLARALVQTGLPTAVVSLLNVAV PYFYDYLSYQQGMLSRGDAALSTISKNFFFTFFNIFLIFTVFGAVTSIIDVLRESLKD TTYIAYALASKIENLGVFYTNFIMLQGIGLFPFRLLQFGSVSLYPINRLGAKTPRDFA QIVSPPMFYYGFYLPTALLVFILCLVYSALPQGYKVVGLGVAYFTLGYFTYKYQLLYA MEQPAHATGGAWNMICYRIMLGLLVFQLTMSGYLALRKAFTAALLVSPLLIITVWYGY SFRRHFEPLTKFIALRSIKRGEDIGENAILDEDLDGEGAETRERIRRGSTLDEDKEKG AKFVNPNLVKPLEQPWIFKDAPPLLYPSSSLSDVEDRYTDEEPNSGGGSDVYSGSRRP TRNANGLRVETSRGSSQSRADSGGGYADLLGADMYTGLGRGGERTPRTPAADTPRSNA SSAASLGDTHIWRDGF NEUTE1DRAFT_105020 MGEDFHAHQGRFPRLLSDDYRRRALSGAAIGSEPRHQTFARGSA LMDDVVIKSRPPLHTTMIPEPLPHPQLPTCPPTQYNWQDPRGRQVPHGYPVSQSHQRY LPDTHGNYALHPVNTYAPHTPISDPRKPEFSYQPGYGPEHSYNPRSNGNFAGASYPYL AFENITATKSWGPGSGPAHITPQRRVTESSSIREEPRRDFCSSPYFGNTSASSSPRTH NGRQHRRLPLSRPASTSTQNSTPVKSRRSNMGARRDIPRTPSVQNVHPSPLGTTSSSS YHRYRGEEQGNGRGASIPRAKYSSRAPLKGLKECPGTTPGSREMSSPVISHSSSRSST SNSFSQRDTSETTLRLPRTSLYDRISKTQAMSTRSTLPTPVLSDSDSDSDAEESSLEK RHRLVGSSSIITPQKESAPTVSTEENDHVICAISESRSAEVVGIAVINITTGQVDLAR ILNDDKYLYQRLGDTLWKMSSKPEKFLVVNSVTTNSSKSLLISCLEQDFPAVPIVLWG REHWSEAEGLRMIERFALRDHVIALKSDLENNFYTPCAFSAPSTNKEEVIERYESVEE LSTNEELFRELRKALEELVRIDFERVIVWISQEQPNPRQPLEEGVPGCTNQGLLLPTH AELTKAEQELNSILMLKKYLSGIDALHGILEAAGCKSRLLRWIRDRFAPEHTEPVQRA MEEMIEDDAAYSKKPIELRNNRMWAVRSNRRYWLRFDYSDVEREIQPQSDVQKGNSGE VSHWKQVSIAGLDMINGTRDKKHFKCQTTELLQRSRAIQLQADIATMQSDRLVIELKG RLQEHSSLMFDISDAIALLDMLCSFTQAATTQDYVRPMITDSMVIKQARHPIVEARTN HYVANDVYSGDQSGRFQVITGGNMSGKSTFIRSVALIQIMAQMGSFVPAQFASISICD KVFARVSTDDAPENNLGTFGVEMRETNVILRQATAQSMIIMDELGRGTSPKDGQALAI AIIEKLIKMKPRVFFATHFTRIRPVQNEDYGIELARCVLPASIVDNAEEVLKALREGQ RPPQSGEKGREARQRKLTLALPVVMKQALDSTMDDAALGSYLRRLQTEFTLRWFGVEE GEENDEAEEVDENAGEVGEGRPEEADKVMDVSQGGRKRPAAENDGGEEGEGAGAESDA EREGRQKRRKED NEUTE1DRAFT_149642 MDNDTTAAPKPSSGPTNNTTTIRIEEPSFPPKDTNDPDRASREH ATGVSTGVNFRSRGSSMSSCHRPELVGGVFNPRSIISRGKRPADSRSSHDNDTTSQSH LDIEEGDDWRGGYEKKKQVFKGRTLLWLAYQSVGVIYGDIGTSPLYVFSSTFTAPPTH RDLLQVLSVVIWSITILVTFKYIFVILHADNEGEGGTFSCYSLLTRFANITERDPREQ VTVRMERHLTNDLKPPTRSLRAKLEGSRFTHVLLKIIGVLAVSMVMSDGVLTPAQSVL GAVQGLSVVKPDISKSTVTGTTCGILVLLFLIQPLGTSKIATTFAPIVIVWLGLNFSF GIYNLVTFDWTVLKAFSPYFAFQFFIEHKKRAWRMLGGVLLSFTGVEALFADLGAFSL HAIQLSWMCYTYPCLLLAYIGQAAYISHHPDAYTNPFFNAAPPGTLYPSLVIAILAAI VASQAMITATFQLISQIMKLSYCPQVKVVHTSQTFHGQIYVPFVNWLLMLGAILVTAV YGDTVKLGNAYGVCVMFVTFFDTCMVTLVSLIVWKLSPFLVFVPWLVFASVDGLYLSA ALIKVPEGAWFTLTLSGILTSLFLLWRFGKENQWRAEAEDRFKPSHLIVKDKNEGGRL RLQPVWGGDPLSSLRGFGIFFDKTGVMTPAVFTHYVTKFVAIPEVAVFFHLHPVEAPT VLPEERYAVSHVTAVPGCYRLVIKHGFMDQVISPDLAALIYEQIRRFVVRQATERMRA LEKLRTGEDTDCEGEQEAAKGETSHRTESSGASGPDGVLLPVELRDEEAAAELARLDR AYASKILYVVGKEQMRIKTGAPIARRFMLSVFLWIRDNTRAKIANLQLAMDRLVEVGF VKEI NEUTE1DRAFT_118607 MNADKQGLTYHLGGRFNTVLFPASLNCEHRGSQLLCSESPSSGL ESSATRWKLSGGAQHLFSLPPSG NEUTE1DRAFT_71201 MQHSAEYISIPPVPVDLFIDAVKAAVALNAEFVPPHETGAALYI RPQIFGSSAQLGLSPPEEYLFSVFVIPTGVYHGTHPVKALILEEFDRAAPNGTGSAKV GGNYAPVLRWSDKARKEGYDITLHLDSARHEEVDEFSTSGFIGVLRGDGGEDDVTVVV PSSKAVIDSVTSDSIQEIGRSFGWKVEKRPIKYSELPSFSEVMAAGTAAALVPIRSIT RRVKTSSPQSLASSTATQEHARVSFNREAEEETVTYLPDNQEDAGDLCLKLLSQLKGI QLGKIEDKLGWRFAVTEEDGKKAEGAEKARSNEGQGKEAQTVDQMD NEUTE1DRAFT_149644 MSLCSGPQTLVKLRPLTSVLIHIPRPATLSDPSTPSDGFLPKTV HFNLPGARPRGASASQKPASKAKKLAPNTPPSLFQPAPSHITPPHTPTEDRKHHDPWA NEDGLKVQIPQMPFSPDGSYRAPIDRSSPTWGGRPDQPMSRANSPPPPSLINSSRALN QKAREMGRQGITQPRSLVRSESHDRVFAHADWKIVSADQGNGGLRNAAEAAARDGKLG EYTWIGTLGMPTDALQGTQQLQDIDDRLATEHDMLAVFCSDKDFDGHYSHFCKQILWP VFHYQIPDNPKSKAYEDHSWKYYVNVNQAFADKIVKNWKKGDTVWIHDYHLLLVPGMI RKKIPEAKIGFFLHVAFPSSEVFRCLAVRKELLEGMLGANLIGFQIREYARHFLQTCS RILSVEATPDGLQLEDRFVDVINLPIGIDPVSLSRHRGESEVKRWLDIMRERYAGKKL IVARDKLDHVRGVRQKLLSYEMFLNMNPEWRDKVVLIQVALSTSEKSELDATVSDIVT RVNSSWANLAYQPVVYLKQDIDYAQYLALLSIADALMITSQREGMNLTSHEYLFCQDG KFSEKKHGSLILSEFTGTSSLFNGNELSVNPWDYRACADAIKKALEMEGEEKERRWKN LYEAVNVHTGSHWFSEFMLRLDKVYEEQHSRDQTAVPRLSMTTLLQQYERTKRRLFII DFEGTLVSWGPVNQIIPVSPQRTLDVLNDLLLDERNTIYVMSGRRPEELDRIFRRVTN LGLIAESGCYLKDCGSNTWTEMADSNKIRSWKSSLRDIMTYYLERTPGAAIEERRCSL VFHYKSADDYETAATQASDLASHINDACEDQRVHAIPMDGCVLVEPIDWTKSTAAQKI FDDLQQRMGPDEKHKSPVDFLMVVGDGRDDEKVFKWANQLGTDGTVQEVVTVSLGNRN TEAKATVTQGVSGVLAALQKLSQVV NEUTE1DRAFT_89901 MATVLPPPSKRQKREEIERTTTQLDVAPILAPELGSFKANFVDS DGNQMTDVIEINFADATEKNISVLLNTLLERDREEFTPYRFRIHIPGKDIIVDQYPSD LLGLLKQHGVENPFETTITLSAEPQAVFRVQAVSRLAHRIPGHGQPILAAQFSPISSG RLATGSGDNTARIWDTNTGTPKHTLKGHTGWVLGVNWRPDGEQLATCSMDGTVRIWDP EAGKPVGQPFKGHAKWVLMTAWQPYHLWRDGTPRIASASKDGTCRIWVVNTGRTEHVL SGHKSSVACVRWGGTDLIYTGSHDKVVRVFDAVKGTLVHSLTSHAHWINHIGLSSDHA LRTAYFDHTKDVPSTEEGKREKAKERFERAAKINGKVAERIVSASDDFTMYLWDPTNN GTKPVARLLGHQNKVNQVQFSPDGTLIASVGWDNSVKLWNARDGKFLKSLRGHVAPVY QCSWSADSRLLITASKDTTLKAWNVRTGTLAMDLPGHEDEVYAVDWSPDGKMVGSGGK DKAVRTWRN NEUTE1DRAFT_132792 MHKIRTWARTKAHGPTPLVRTRSDATPIFRGSRSRRGTISTAET GRHDSVVSEELQAKKPSALARLYTCANGILFSRFLNIGLVFVPVAFAVHFTSMPAGVV FGMNAVAIIPLAGLLSHATESVAGRCGDTVAALMNVTFGNAVELIIFIIALVKNEIRI VQASLVGSILVDLLLILGMCFIWGGLRFREQIYNSTVTQMSACLLTLSVLSLMLPTAF HATFKNEEEADTKVLPLSRGTSVILLVIYLLYLIFQLQSHSYLYAPTPRHLIEQAAAP GPAAHYFSSRRNSSSSPPRTPDENSLQAPQAISNEDGGTAGRQENSRGTTTTEHNAAE RTEAVTDDTLHQTQELIPANQPDTDTFAADDARGPGQQGETKDVEPSQGRDQNSGTNE HHGGLGLASDIEAQWDTASRAAPFLLRSLRPISTAVASMLENPNEAEKPEHNAQGQPL RRVQSMPTRTQRAASNRQAPGQLPVVVPIVVDSTPATPRNSEEKPAAQETTEVEAMPQ KAAVILLLASTGLVAVCAEFMVDSIDAIVARDANAATGISEAFIGLVLIPIVGNAAEH ITSVSVALKNKMDLALGVALGSSIQIALFVTPVVVILGWIMDRDMSLYFTLFETVCMF VSTFIVNFLVRDGRSNYLEGALLASAYLIIAVAAFYLPNPRLASSVG NEUTE1DRAFT_132793 MAPQPSPRRLRIGVDVGGTNTDGVILDPSLASSSPSKGILAHIK TATTPNPSDGIMTAITSMFTQLEATHAANIRSEDAISSVTIGTTHFVNAVIERDPSRL SRVAVLRLSGPFSKHVPPCVDWPDDMRSLILGYHARVKGGLEVDGSLISDIDEFEIVE QCKEIRRRGGIKAVVINGVFSPIDTVYRQEERAAEIVRRELGGQGEVDVVCSKEVANL GFLERENAAVLNASILRFARRTIREFRQAVGRLGLKGVPVFITQNDGTILKGEKAAEL PIKTFSSGPTNSMRGAAFLVQGQERDGKKEGQGQGEAMMVVDIGGTTTDVGLLLPNGF PRQQAAYSELAGVRMNFSCPDIKSIGLGGGSIVRKGKNGITIGPESVGYRINEEALLF GGSTLTTTDCTVLANPGITSIGNASLVKDALTEEETQQFKAIVRSKIEKIIDNMKTSP EDLPAILVGGGAVIAPDELQGASKVLKPRWSEVANAIGAAIARVSSVVDTIKSTETKT EKQFLEEIKKDAIERTIAAGASPESVEVVEVEMLPLQYVENKTRFVVRAAGDFDFTRS YMSSDDDEKASSEEEAVGEDLSEPQTVTDTKPKAATPSEVNVLTYTPSVLNRIWHISE TDLTFISTGCYILGTGGGGSPYASMILLRQLLRSGASVRVVSPADVPDSAAVGCGCGA GSPTVSIEKLQGDEMMQAQTELYKALSSSSDQNQNNKATHMIALEIGGGNGLQGMTLG ASSNMDIPCVDGDWMGRAYPTKWQTTPVVFNERSPIWSPIAVADGNGNVLVMPKAASD AAVEKIIRAALSQMGSQVGAADPPVRGAEMKRWVVENTVSQAWRLGRAVARARAMNRL DEVAETIVEECGGGQKGVAAKVLFKGKIVGVRRTLRMGHVYGECIIEGTDVTDDTTST TNDGRRTRGRRGGQGVAGEEKEDFTGKRIKIPFKNENIAAIRIPAGDGFKDDENKELE KQEDVLAIVPDLISVIDAQSGEAVGTPEYRYGLLVIVIGIAASDKWTGSQRGIDLGGP KAFGFDHLKYEPLGKFVKPVCAIYEAYLLSKTG NEUTE1DRAFT_149647 MANTPLIITQEPFIPLNSLVLITGVNGLIASHIVDQLLSAGYRV RGTVRNKARCAWVEPFFTTRYGVNRIEVIEVPNITAPGIWNAHVAGVSAVITVAGVAW LDDRDVPKAVNEELKCLYGLLDAAKMHSNTVQAFIYTSSSWAAYTPKVGIRRTLTEES WNHEAVALAEDSGIPDAEKGLAPFMAIKVKVEEALWDWVAREKPTFTFNAMLISTVTG PILDPDNQSASTAGLVRALYNGKPKEALDVIPTFGPQSSIDARDAGRLYLGVLVSGLT GSRVYGSAEQFSWNQTLDILKELYPKKKDWVKLPSSNQIDETEIPVDIPFSLLRTVGQ EGWTGLKESIKDAAESFAPTGQC NEUTE1DRAFT_125594 MRASLALLPALAAMTVASRCRPRTTTSSLATSTLTSMVVSSPTS STDYTSSAVSIIESTTTTTSDEPTATEASTVEPSTTEPATSDPPTTSELPTTSEPPTT SELPTTSEPPTTNEPSTTSEPPTTSEPPTTSELPTTTEEPTTTSVESTTSTAPSSAPT VTGFCLKAITPGVSNKDYHIRVLSPYSNLITEAPTGSNFGLFDLDISTGKMSISGGTW AGYEVYALPPYDNQKLRVIRFTNTPVEHPLRCSNPDGVYTTGSVLKCEVSAPWADGVM RRYALWNSGNSSPIDAWNIMLDDYVRAGSYNYDVGMFFGDDCSAVGAQ NEUTE1DRAFT_89911 MATPIPVQILDGGMGTTLEDMHDITFSFETPLWSSHLLVSGEED KLSDCHEAFKQAGANIISTATYQISINGFAATKAPKSGTLDVEREGIDKEEIPRFLSR AVVLAANAAGTEGKVALSLGPYGATMIPSTEYSGRYDPEHQDVQALEKWHKERLNLFK DVDPNHVNYIAFETVPRLDEIVAIRNLLSVDNIPTSLRGRPVWISTPYPNDDGKLPDG STVEEAVKAVLTHREGLETPWGIGINCTKVEKLDSLVKRYEDAIQTCIKNGERMAWPS LVLYPDGTKGEVYNTATKTWELSPGHKQTETPWETVLAGVVEAARQRGNWKSIVVGGC CKASPEHIRRLRRTLQDYGHMSTSPAA NEUTE1DRAFT_55822 MRQICNGLGLLHQEGLAHGDLQLCHISIDRLPEHNPDQHHREWR VKIGGCRLHYPTSANSHGSPGTFINTVAFANLSFLSPEILGGYTPRDPATFEGFNGIQ AFDVWAAGEVAAQMLTGSPTFGLDLQMMFDYQAGTVTFPTRKLDQAMVSELCKRFITT FMHHDPFMRPTLPLDPRRDAIVQWIEPANCWQAKELKSVPLTTFYHDKILPPTMHYTP DGSKLVVIDPHTITIRSSFAGFISSWIYENDRHAEYRASALSSYGKTLALYDAHSNGI KIFDISKGRNTGLSITYPVSEPLVTPPMSNSLFVQLQQPQTILNFHPTGSTLLAASPS TFTTLNLSIVPNPANPLPYQPKTDSREEPILNACYTNDGLATVVSHRNYTRFTLLSNG QVTRTVAHPVSARVVAIAPDGHRLILGSKHGDIWSLSTSSFVQSDEGCWVPVWRCVDG YPVDSIDIALSSTHPTRKGGTSADAVTVAVVSGERLTLLSMGSDQDDRCLGWVEFKQR KALIAKIKPRADKENHLEIALWSGGESRITFLKFEGFYEDEEVEGGGNNFKGLAESTI AGEIENHN NEUTE1DRAFT_114041 MNHTPGPPEKSSPTSTPSVHLDSTHDNCPLKPKRQGDTVVRPTP QQRKAIRREGGLLFAKKYRRPTPPNSQEETATSSKEMPNYSSTPSGPKNSDSPAQTQP SADEAIIKAQLSEYSSSDSTIRRSNRHKTPSRKAAGL NEUTE1DRAFT_52747 MAMLLAQYDHAPLQSATSGNKMPLEELMLGPVGSRWSCSRPGSD SFPPRSLQRMRGARRAIEHCYLYRSEILRMFLNLDVIPRRWFRQTVTA NEUTE1DRAFT_71222 MSSHGSHDGASTEKHLATHDIAPTHDTIKIVSKGHGQTATKPGA QEKEVRNAALFAAIKESNIKPWSKESIHLYFAIFVAFCCACANGYDGSLMTGIIAMDK FQNQFHTGDTGPKVSVIFSLYTVGAMVGAPFAAILSDRFGRKKGMFIGGIFIIVGSII VASSSKLAQFVVGRFVLGLGIAIMTVAAPAYSIEIAPPHWRGRCTGFYNCGWFGGSIP AACITYGCYFIKSNWSWRIPLILQAFTCLIVMSSVFFLPESPRFLFANGRDAEAVAFL VKYHGNGDPNSKLVLLETEEMRDGIRTDGVDKVWWDYRPLFMTHSGRWRMAQVLMISI FGQFSGNGLGYFNTVIFKNIGVTSTSQQLAYNILNSVISAIGALTAVSMTDRMPRRTV LIIGTFMCAAALATNSGLSATLDKQTQRGTQINLNQGMNEQDAKDNAYLHVDSNYAKG ALAAYFLFNVIFSFTYTPLQGVIPTEALETTIRGKGLALSGFIVNAMGFINQFAGPIA LHNIGYKYIFVFVGWDLIETVAWYFFGVESQGRTLEQLEWVYDQPNPVKASLKVEKVV VQADGHVSEAIVA NEUTE1DRAFT_149651 MAPCVANVGSAARAGAARQEAEEDDVVDTVEEMYGRAVREYEGL GTSRISSEATSSAAHNLPVSPPTLSVPPLSGDTVASAQATQPNSFVSGAHAPAALPSL GRGHALTSSSGLAHGSGLAAAYATSAAVPPQEESGMETWRRSRVEFGVGGDWGLEIME GGTAHVVQHVCGPQASVVYVLDRSQQGQAEEGYEEGEEEDDSERGRDVGVALTSVDDE VEFDDDESMGDQATEEATAQVARDQRRNRRLGRAHFTSEEIQSFLSPPDLTPEAAEAP SNSPPPSLPAPSATGAPASVAAPIVPSLGSPLTSADSQGFLAAAHPRVPPVSHAPGVG PYHISEHAAPIRAVGNQGLETVPYQSDAGHRAALSDDEVPQVPRLVGRSGFGLPRHFT SGNFFDPAARSGRTDKGRPSSLPDVDVSEDGGKGGKGKKDVVKKSQRFWPSFTGRKGG KGKKKKDRDNEAG NEUTE1DRAFT_149652 MKTQEPPDSNRPAQACQVDIPEQPDSAVQETSFAADAYDASSDL QVLDHEPIPDEEWHAQNGQSSALESQSNHQHGTYGYGSFPHTLRTGHDGHHKSTARAL APDLLRGLLMVLMALDHNSMVLQSWDHGTAAPQDETGAPQGENDSIPVHKWNHPIAHH IRVLAHLCAPGFTFLLGMGIVYFGRSRTSLGWSTTRMAWHFFTRAVVLTLVMIVMSLG ITLGDTWFLNIVLFALAVDYLLSGLIWLVTAKTEKMLAFIILKVLPEKKEDDATEPLL ANRRGVEDIAPDRAIIRAADISWHIHNVVLSTLAVVTIWWNIWLSPTNGHCGVEPVQK LPDTILARIWFYRVFDTDWRIISVFPPLAWISFAILGLVAGRIILARSWSTKAIAVGN LLAGIVFTIVFVGTRFLNAGNLSEGCLHMPEHERPDAPDNQYLASWRSFIYLIKYPPD VAFWAFTMAGNLFLFAFFSVIPPRLATIIFEPLLVFGTSALFFFVGHLTLQFALVKPV RHWFGHVLAHPDPWNPDQPAVGVDNLWIFFGFWLLVLAILYPLCKWYGAFKRRRGSDS IFRFF NEUTE1DRAFT_89920 MISSSTPAIEGRAPSQPQPQPDSRLRNSHSLGISNSNTSRPDSI GSRSTKQPGIDTRIEDDTRIEDEVENVNLRQDDFPDSQLTGFSDAQERHIRRKVDFRL CTIAGILCSLNLLDSNVLSSAAVTSMLSDLGLDQGNRFSVSIFIFTVASIIFQLPSTI AVRTFGPRIWFSLVTFSFGIITLSTGFVKDWKQMIALRVLLGATTSSIYPGLSYLISC WYPRREQQVRFAFMQSGEVIILATGSLVNYGLQQHNGGAGLEGWRWMFIVQGLCTCVL GIATYWWIVDFPENSHKSFWFLTPEEAEIASRRIEKDRGDLIAQKLSLRAVVVHAKDF KIWVFACLFFMQNVVSTALAYFVPIILENGLGYSPDQAIILSAPPYYYAVIPVILSSL VADRFRIRGPIITFNALCMITGFAILGFAENSRARYFGVFLATGAYVANWAALTAYQA NNVVGQWKRVFTAAVCTMFNGAGGIAGSYIVRNVEAPRYTTAVWVSIGSHILMILLVG TLTIYFYFRNNRAARTNGAVERTCGLSSNYNLSIYPACTIFIRYLYLNLIELWISLCL PCPFTIPSQGSQQQRAA NEUTE1DRAFT_125599 MSSDTEENREFNPPVRRSPIQAKAKQGLTLFVASDEESDAVDTA KKKKWSRSPLGGMDMEQDFLRGAPVPVCNLQ NEUTE1DRAFT_114046 MQYISNLYRLSTTIGIINSQAYPLGIRKSWKGSRNYEWLTCYQR QEGSSGLLAQIVTVANPASSCTTYTNGYHTGNGRADIPGQMLWNPDPVLYTVSTIHAF SHISGKLRLHAQSP NEUTE1DRAFT_118610 MMEDNHMGKVSGMEWSDSSSGASDDQQHDQQEQPVIHAPVPVRP RLPSRKSSGTMIVPRDSIEVGPVEMTLGPDDVRAMSPRRTSQDLEMLSKEAREELQRH AKALQDSLLALFHRIEAVKEEHNKLDNNNKFLQKYIGDLMSTSKITAPSSRPKK NEUTE1DRAFT_105038 MTLTIRRAVFGVFCSMAAQETILQSYSTHWPDNDMNAFPPEGWL VPATTLPHPPAGTQSFNHQGLMLQHRIANIANTTLSISIVYNLFGNAVVESPSRSSFH AVYSLLLLPLLHKASRKPARWTRSLSPPIVGSSIFTAAGIVDAIDAISPSPGIVLVAT LIFKLLVWADCALLAELNVSPDVEVTCSIPVNNSNQRLLPVPWPSDVPNKHMHHAHKL GVLYVVVSVTREWLNQAKAHEQPTALVAQDSPAAPFQAKAISDSAAQKATHEGDKEIQ EQEEEKKNYSQPHPSSLYHPFLPLYSCRSPENSRAFPYPYAHYERLIEVYS NEUTE1DRAFT_149656 MAMENDTSSGPSRKRLRTSHAAAYFPDDRCDGNAPCAACVATEN DCTYGSEANSRGKSDLILEGVLRVEKYLHELNANIAASPHFVNRSSSHPVLSPAIGSS VGRRSFSGGSLTDLRITPRPTLCPHPDELDNANNLENAVLESRHTSTTESILQWPHFD VFPSLRKDYTSIFHLEQSRPCIKTKKGNIHPYVTEEEVDSILDAFAHAVNFWYPTTSS NQLQNARALITNGNFEDNDELRVCLALLVMALGCASKVTAGLMESAAVPESERRRRAT YRATGDMYFESALKKLYVAHMDVSSMATQCLFFVALYFAFLRRPLQAWEYISAAATKC LLLLSYSYQDSTSEDQERIRRIFWSCYILESDYLAELSNLPLSGIARIESSVPLPGAG YSTHSNAQEEEQSSLYFLACISMRRLLNRVHQLLYARETGASLDDNRFPGIVKELDHQ LDEWRTVLPEAFAFEVEWPPVGAKHNIIGLKTEHGGFLRQRYLTCRSVIYRPYLMWML SSSNAGSSPVTSPVTSPNITAGGSRGIQGPLASVPASSTAVGVNSTTTPMAGAMLVLL AACRISLLKDLIRPEILQAGSHLRELLVGWQKVQGDPSSPSVDQSVHIIKEAERFIRQ VYKGEKDEEEGRIEE NEUTE1DRAFT_89929 MAHSINEKEAMGAHDDAFPESDVTVQLAHDVDNTKYSPWSKSMF RLYLVLACAYLCGCLNGYDGSLMGGLNGMKAYQNYFHMSTAGSGTGLVFAMYNIGSVA AVFFTAPVNDWFGRRWGMFTGALVIIIGTCVQATTHTKSQFLGGRFVLGFGVSFCCVS APCYVSEMAHPKWRGTLTGLYNTTWYIGSIIASWVVYGCSYIENNKDAWRIPIWCQMI TSGIVCLGVFWLPESPRWLMAQDRYEEAAKILATYHGEGRLDHPLVQLQLKEMANQIS SEASDKKWYDYHELWNTHSARRRLICVLGMACFGQVSGNSLSSYYMVNMLKSAGITDE HKVLALNGINPALSLIGAVTGARMTDVVGRRPLLLYTIVFSSICFAIMTGTSKLAMPG HVGNLDDADTQALTPSQRAAANTTIAFIFIFGIVFSFGWTALQSMYIAETLPTATRAK GTAVGNFASAASSVVLQYSSGPAFEKIGYYFYLVFVFWDLIEGVVIFFYFPETKDRTL EELEEVFSAPNPVKKSLEKRSAQTVLNTMGAPDDEKVTI NEUTE1DRAFT_118611 MDRDTCATRAMRTRVQPLQVRRKGDRDRPCHRFPRFVPQIRHHN APNVWGPRPTLVMTPLAATTSKFPFGTAA NEUTE1DRAFT_89933 MASRSRGIGIPLEEVDGTPDYCNESVFRRNTLPPRTYYIPKDAL SLNGQWDFHYASSPLAAPDPTAENGQNPADKHNAKYQWTTIQVPGHWQLQGHGIPHYT NVQYPFPVCPPFVPSENPTGTYRRSFFVPETRETNQLRLRFDGVDSAYHIWVNGVLIG YAQGSRNPSEFDVTEYINRDGPNELVVRVYQWSDGSYIEDQDQWWLSGIFRDVQLLAF PQTRIEDWFLRTDLDAEYKNGTLIAEIDVKTNSRSVIEVEVLDHNQDIVGCAEDWGFG SRKVMLRIPINNVDQWTAESPNLYTVRIEHVVNDSIVHRVNQRVGFRKVELKDGLICV NGKPVRFRGVNRHDHHPSFGRAVPLDFIRKDLLLMKRHNINALRCSHYPSHPKLLDIA DELGLWVIDEADLECHGFYDAIARPLDIPEEVDYEERKKLTFGHAAKFTSDNPSWKAA YLDRMAQLIHRDKNHASVIIWSLGNEAFYGQNHKAMYELAKDIDPTRLVHYEGDPHAE SADMFSYMYPSVERLISLAKTEGVRSDGTFEKPIVLCEYAHAMGNGPGWLEDYEEAFR AYPRLQGGFIWEWANHGLWKEDPDGKSYYAYGGDFGDVPNDGTFVMDGLLYSTHQPTP GLLELQKVYQPIEAELIDYSDVACKLRITNSYDFVSVNHLTATWKVESLMDTTSTILH SAGVMELPHILPRSSKNIELSLRRPLPLHLPMWLSVSFRLKSSTAWAKEGQEVAWAQF QLGSSRICYGSTFYLLRLTNRLPLRSESSGTLTIITGHNFTINFDKAKGYMTSWTTGG TPLLEPNPRTGAAIIPSFWRPPTDNDNSISLPYWKRFGVHAMTSQLRFFDVTATAAMV VITTKTFHSPPILSWGYLAHTIYKITDLGAMYISITLKPQSSDYVNTLPAHVPRVGFD LRLSRRLDAVKWFGLGPGESYPDKRTAQRLGIWSVDHVADLQTPYEVPQENGNRMGTR WVAIHEPQGTGLRAEAGYGDWSDNCERNFSFVATRHSAKALEEAKHPCDLVEEDATLL RLDAKVAGVGTAACGPGVREDLLVKVEEMKFSFVLEPLV NEUTE1DRAFT_118612 MQITSLLVLAGVLGASAMPSGHAHLHRAAHQQRDLKFYKAEKHF PIPIPAATPSSSSVAVPSSTTVPEPAQSSAAAATGDDSSSDDEYIPFCGENKNTKRVT YAQIMYTGNTGDADGCEWNSNLMTVPKSIADKYHNRMYYTNVADVPYQVVCGNKMGSD HGLNGMFKVATNKQLVFTLQPGETQLVVAAANTQGVCAFSAEEVPTTSNGQFAGNWAE FDFQNSSNGGWSGADCSSLVAQAAGMDVPGCQICGHDTCSTILPGGFGTNAYTKGMEA EDGVGLNIASGQQVTLNIKVGYSGKD NEUTE1DRAFT_89939 MPLDTSAYSLALLRVDGRRWNELRRIHAQIRTQAAADGSSYLEM GHTKVMCVINGPSEPGPRRGATSGGGGGGGQSKNAEVAVNIVIAGFSSVDRKRRGRGD KHILELQSTISQALAASLHTHLFPHSTINISLHVLSQDGSLLAALINAATLACVDAGI PMTDYVAACTAGSTSTYAANDEGADPLLDMNHQEEQELPGLTVATLGDSDRVAVLVCE SRVQVSRLEGMLAVGVDGCKQVREILDRVVREKGIKMIQEGTVERGVGLNDMELD NEUTE1DRAFT_89942 MHHSTLCALAVGILSALPGAQAGLYTKKSPVLQVDGKDYDRLIA KSNQTSILEFYAPWCGHCQNLKPAYEKAAKNLEGLAKVAAVNCDEDANKPFCGSMGVQ GFPTLKIVRPSKNGKPIVEDYQGQRTASAIVDAVVSRINNHVVKVEDKNLDKFLSDKN ETAKALLFTDKGTTSPLLKSVAIEFLGVMPVGQVRNTQSKAVSTFGVDKFPTLILLPG GDAPGIVYDGEINKVAMVEFLSQAAQPNPDPAPANGKANGKANGKANGKSNGKANGKA NGKTNGGEKNGKQDSKKVASSETSSETSSETPAPTQEIPVIIHTALPIPSLNTPEKLV KECLTSKSSTCLLALVSSSNEKAQKALTHLAEIKFKYALGQHKLFPFYEVPLDTNPAG ASLLKSLGLKEDADIELIVINARRGWWRRYDAVGEGDDFSDHDKVEAWIDAIRLSEGT KKKLPEGIVVEASSTEEEEKKKEKKESGKAEKASSEEGETPEAQSKTAGGHDEL NEUTE1DRAFT_125608 MTDNIAIPAAVKAADINLWKCATKALQLQTVKPEIAYWCNYWVV NQILNRGLHKENDEMMTYTMDLMGKLEQARFIPPPFTTSLADCCSLQAKAEHPNEDAY TDDEVGQLVVDKFAQETLDRAERVVKANKVTQQTAMTFDAAATFFHLVNIWGPPDEET QQKIKYAKWNAARIAKAIKEGRDPNESNPKREEPQLPALDPSDPEVQTLTGSVEKTSP PPLSVTVEEVPDRDLQRTDAAGVSLPISPASAGPSPISEAELKLPGVPSGLAEPAPST VSLDLDTPVSAPTHNPVNYRPEPDLPSAPSALSSYPDRDISMPDAGTAAWTPGADRQP PAPPVVPPTFAPSAPSTAAVVSPPVNQPTDFSFQNPAPAAAQAPAVPSLQPVPTPLAV SAATYASSQVAGGFTTDEAAMASAQKHAKWAISALNFEDVPTAVKELRKALEVLGAA NEUTE1DRAFT_149663 MPEEIIISSGNYTFTGSGGSDGWRPRSYVPLPISDGSAAGGSSG GGRCTDEAKKR NEUTE1DRAFT_55059 MRGVYQGPPSNYLPPSSRFAAGTNNRRPQVAPTGLPGSNPLVEN LPEQQTSHLHGSLSFQESAELASRRFIDGGSSATTFPRQQPTAQETQSRARMGAFYDP VRDIVLSPPGFSPVAAEPVLGNALSDDGSIETVVATESSPTFSKPNFHQIVGSNTQYS VPNARVEIDRTTALKIMLSNFRRTSASGFHQIPATAVEPDQYQNHSPVKGPLAQTPPQ SAPQFAQPNAPRTSPIPQRNTRPKVPAMFRDDILQDLLLRQSNPEEHEQVREAASYGF TTTLQPVRKRSSDAALYSPQEGQEEPPRKKLNKRGGARPGAGRPATKMLRQLASETTQ QSLRPGSLWGRTNSAISPGGGNPGHAVCVSDAGSLSSSYSGFPLVPSADPHIQSSSSF SAPFGPSGSTLEPECASVAGAVYTTGSNTGFTSVSSAGTRNHPSSSLSATVGPSDLSG TLPSKDKKRKADGSDIHSVDGIFSAPVSTSVAVSVAASALEILKGQKEKPPRLQLAAL DIERVGDVEGGKGDDVSGDVTAGEERRERVVEHKFAKCPETLEKENTPWLQSRNLHEG KSKTEETRGAKEPERRTQEEGDEMRQAAVKGTENGNGKRKASAISPLVERAAEEPAKG EPPAKRAKTATMSSPAVSEAPVRRSARLQNSAAKNCQSQ NEUTE1DRAFT_71253 MILKTLFKKDRRHFSFASKSTSPLSSTPTSTSTSASPSTSSSAS PSLFRRMSIKMQEKLPSKGVQVSAYISPTVASWGTCSITLTVPGETVVFQSSSPPTSS SSSAASSQQQQQFFSRAIEVEARNIPSLFDKKGRFSFRVNLALGQDSNNNKLITEQWI DINAITGFATGGTMDSIASTPSIFSWVDPEGTTTPNRRRREVVVTYGFYESGPAGQDI LPKRHQCYITVSSAGSRWMEDLIPPGSWQANRRFRRLVLPSAHDAGMNSMASSSKLLS KLGGAVVGTLVHDNRIMAEIADTISGPAIALIAPNIIFSLAMTQKDSLDAMLRIGARY FEFRPAYCHAAVRSAMQRGKDGLPDKLYFQHGAIPGMGYDVFLADVVAFLLAHQEEIV VVHLRWDGVPNECARPSDQDKREYLDQALKMAGDRIVTGNENDLRHATIAQLRRDKKR LIMMESVDSLSTYTDEGNATMDGQSIVDAFPRVLTEDNWKGKAFINIQCQATATNIPK AVAYSVSDARTTSSCILATKATCDSKTLPWCRDNVLRTCGYDTLVVMMNDFIDGATAD VAFQLSKQRLMA NEUTE1DRAFT_149665 MAPPKFLGLSGRPLSLAVSTVATTGFLLFGYDQGVMSGIITAPA FNNFFTPTKDNSTMQGLITAIYEIGCLIGAMFVLWTGDLLGRRKNIMVGAFIMALGVI IQVTCQAGSNPFAQLFVGRVVMGIGNGMNTSTIPTYQAECSKTSNRGLLICIEGGVIA FGTLIAYWIDYGASYGPDDLVWRFPIAFQLLFAIFICVPMFYLPESPRWLLSHGRTQE ADKVIAALRGYEIDGPETIQERNLIVDSLRASGGFGQKSTPFKALFTGGKTQHFRRLL LGSSSQFMQQVGGCNAVIYYFPILFQDSIGESHNMSMLLGGINMIVYSIFATVSWFAI ERVGRRRLFLIGTVGQMLSMVIVFACLIPDDPMKARGAAVGLFTYIAFFGATWLPLPW LYPAEVNPIRTRGKANAVSTCSNWMFNFLIVMVTPIMVDKIGWGTYLFFAVMNGCFLP IIYFFYPETANRSLEEIDIIFAKGFVENMSYVTAAKELPHLTAEEIESYANKYGLVDR DSNGEGGNRHDEEKTRDRPDQSDSDSPAHVEIDVVDEHGVESGFGDGINTKETR NEUTE1DRAFT_53322 MVHVNKFSTSTATAALLLFTSVQGHMVMRTPPSYNLYKGDTLLQ VDPLDGVKYLYPCQNRYEAGNATTLKAGDVQLVQFTGGAQHGGGSCQFSVSYDDPEKS NGSWSTSATFKTIYTIIGGCPAEFHDEANNLPTEGRPKDAQQRLNTDFCNNDSGVDCT REFLIPIPDFLPQGKATFAWTWFNKLGQREMYMNCAPVEITGGQPSPEKYESLPNIFI ANIPKQKGIPGYDGCVTGGGAANVVNIPNPGKYGRIINQLKRPFSKPDPAPAGYNLGP KIDNDTLPVFEDNPKTISKGGAAPTGGEEEVNAGTTSASVTVTGTVNQPAVTTTTTTT SASAAAGTETAVAPISSASASGTFITAPSDELASTATASATGAAESDSGGGKCDDANA TETGAGDGEKTTIEVTKTMTVKVTVTANVPSGTSASASAGSGSGGDEGGSGEQKKQCE KDQVAVCFGDDFFGLCANGWAVPQKVSPGTRCENGSLVYSAVQREGGKRAESYAAGEG HARRHLKRRSGRPL NEUTE1DRAFT_141955 MCIEANQKSIPIWPASALAASLPPPDLKPRLPRLQDPMPPFSVS AFAPQSQGPPKETQTKPLAQQQRQQQQRQQGSEQQETDFLTLLTLLEKALRHTHYAVC GRAALYVWGYRHPSSDAPPEQVSILCPEGDAPIILSWAKAQGWTSNVVSSVKGCCSFE VPIPERDGKYGGKVRTVVVKTTERMGGLGGTMGAGMPMTVVKGTWAEAEKKVLKTQAA VVSLPALLEMLMEGFVTAVTHTHTHTHQQQGQQQQQQHTHQVEESATAILWVLSRLVE RGERLFQDQVPEEFLTPFLTGWPESHALLQKLGVSPTFEALDRDGDRDDELHQRPGLP LDTVTLAALEEGLQAPAPPVLLPVRKASPAFSCASASSEDTIALIEDEIVSWQFLSLE QQSVETGSSLGSGVDSSAAELSGIWTPAGSCPTVASSVSSIPTLSFSAYSACSSNESG TRSSRRRSIKRVCVPPPWKSGWNESFVGQREYPEWI NEUTE1DRAFT_12027 YIRTVLTKLTEAGLYIDIKKYEFYTIKIKYFGLIITIDGIQIDL KKIKAV NEUTE1DRAFT_118616 MDRMRSLLGGGLGMGGATAPGADNTNLIDNSETVYISSLALLKM LRHGRAGVPMEVMGLMLGEFVDDFTVRVVDVFAMPQSGTGVSVEAVDPVFQMNMMDML RQTGRPEAVVGWYHSHPGFGCWLSSVDINTQQSFEQLNSRAVAVVIDPIQSVKGKVVI DAFRLINPQSLMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIGINYRKTALEEN MLMNLHKHVWTEALEMEDFRCEGSRTKERLDRLVSLADGYEKRVKEETELTKDQLKTR YVGKVDPKKHLEDVGQQLIEDNIVAVTRQMIDKEATIAKKDTPAGANGTTHGDQMEVE EEQL NEUTE1DRAFT_132812 MPSTFTDPAGVARDEPLYTVVHNDKKRVAYFYDSDIGNYAYVTG HPMKPHRIRLAHSLVMNYNVYKFLEVYFHTDEYIEFLQKVTPDNMDGFMREQGKYNVG DDCPVFDGLFEFCGISAGGSMEGAARLNRDKCDIAINWAGGLHHAKKSEASGFCYVND IVLAILELLRFKKRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPGTGELRD IGIGSGKNYAVNFPLRDGIDDTTYSSIFQPVISAVMQYFQPEAVVLQCGGDSLSGDRL GCFNLSMRGHANCVNYVRSFGLPTLVLGGGGYTMRNVARTWAYETGRLVGVEMNPVLP YNEYYDYYGPDYELDVRSSNMENANSPEYLEKIKISVIENLKKTAPVPSVQMQDVPRQ GFGMSDDQEDELDDMDEDENKDVRRTQRQWEKERTRQDEFEESDDEDMAQANGVFKPN GPQRRSILDFKNPKAVEDMDLDIGEPAPPSIAATAAAAAAKPAEPTAVDNDETMIDND EAQPAAAEPEAEAPATTAAQPAASSAAKVDEDGDVDMGEADEKPAEPEAEIKTEEIET APAPEPEAERRPSPAAATAEPATEAAAAASPKAASPVPDANTEKPVAEEPSKVAEEAS DKAPAATTDDKEKEADKPQE NEUTE1DRAFT_71264 MGRKQGAGHRVSAITVALAILVVLSLSDLSALSWTARPKWSPTN TAYPPDKDQKKEAKEFNWADITPGPSPIQWHPCYDDELDCARLDLPMDWQQPEVTNGS ASERRVNLAIVRLRAAVPSHSPDYRGPVVFNPGGPGGSGIWSLRDHGRNLQTIVGTNH DIVSWDIRGVGASTPRINCWASIQDRVYWDLQDPGVVDAHEGTVFEAFARAAAYSQVC ERNLEESGILEHSSTAYHARDMLAILEAMGEEKLKYWGFSYGTVLGGTFAAMYPDRVE RMVNDGNVDYEDWYNGTYINFLHDTDKVMQAFYTFCHSAGPDRCAFYASSPNAIKSRL DTLLTKLRTHPILIPQGQLPSPSGTPSNPSSPSLASTKPYHVPQLVTYSHIRRMLSTA LYQPILRFPHIARVLSSLEAGDALPYHQYTLSDYPPPAPSSPTTCEADDQDDTPPLTF IPKEEAGNPDVFPAVMCSDALPFTSTPHDFALYAQKLLNISQAAGAVQASVRLLCAGR TVRPRWEPFNSSLLFKDVQTAHPVLFVSNEFDNVTPLISARKNARGFKGARVVVQRGS WGHTSLAAPSVCMAKVIRRYFLSGEMPGEENGGVECWGDVVPFEEVYEDAEGQRSDKD GDEDEELRGALWRLSTRRRRRGSGG NEUTE1DRAFT_141961 MASPTTSTAWLTLMTTTWFTPSISTFPAANFTCPELFFGHQQHD TDIGGGSEKFHIDSPTRQVADFIATALGSVQTSISFACSYRVAAETGAVSSESTSRAS TASPTHTTNTAAHPIATHKLTHTKTNGGAIAGAAIGAALLGLMVGALIGYFCLSAKRR KRQDTVDPHNEGTAMTTFLPPASLPKERLSSKSSVRNEAFLSSTGNTGGGGSSINNVL SGIGIHEGASDEEIKSELSALGYLLQEHVQNNYHLDPLDGSRNEVFKLNQALADPAFG LDNRTHALILKLSQDPQTRFPAIRHFLALTIFPALDLHHVRTHPGSVSLLPRQVTSFL ESIPSSSAAGMGKNSMTPQTATALNLWRRLSAYLLLHVLLDKPSNPISPSSPITTSTT SNIPLDEDYDPNRRYSSSTTTIAAQVTSLRASLNKFLGIFVHSDRRAIFDQERNLEGV IQECVSFGYMLFAHGCEWQFVFDAPGEAEEEAEEEEVGGMQKGTKLLALPGLEKLGDG KGEVYKVPKVVVEGEIVAVEVP NEUTE1DRAFT_105056 MSNNNKPPNFFRLPGEIRNQIYESLLVFPEPIIIYTDEEWNNHT SRDGDKAKSGAVVRVHLDNADERKRWPLSSRLLTVFLICKQIHSEASAVFYSHNQFRA PPALCRFPSAQLQSNYVMRGFIDRIGTRNLTCLRHLCIPFPLDSGAGQRYLDWPTRRS FVGLLGLSPAKLDALVFRANVVPGALPSLWRRCPNVEVIEFDLSWSGPGHSFWKVRPH DREILLGSMDTALREEFTRLREIVVNIHYDGPIREISESCEALMGEMRGYGWKVEVRD CSGDKDERLRIPYWYHPSPSSHGFRWTGGWEDYSAAFANTGTQDDDADDDAEHVKPTA ERKRQFAKDIGRKIVRSRAFGWSAVVIFSPTIPVLMAIDSINKRRRKRKNEKLSSGQS TASISHHGSVREFR NEUTE1DRAFT_125616 MSSTALESLKAYVEQIILDPKNRDILAIVKGARNGAVYGAKVRF PHALVMMLLFRSGTLREKAKLIFRATKTHATNLAKFATIYKTVCYLLRHYGTTPGKEG PYDSFFAGLLGGYVVFGQRSRKGKIPSVNQQIVVYVFARVVLALARLAVKPGYGLPGV SEPNNSTAISHYAWPVFAAVSWASVMHLFRWHDAELQPSLRSSMVYIYRDADTWDGLR NFLWHNK NEUTE1DRAFT_118617 MTPPSQSQLSARMIQNFTGQTDLEWHWGYAYRVVPCKNDPGSCA YLDVVYSAHDRGMLYTGIFWATILGILLVWGVLRRLGASGGNVSKYEMPLEVEKRALL TEEEVVTKPRSVGRFTRAMRAVGATGRSYLLSSRGVVKPIFGQVTRLQVLILLVLVGY LTIWTFVGIVYGKWITPVKGQPEHVKNTRTSLGPWADRIGVLAYALTPLSILLASRES ILSLITGVPYTSFMFLHRWTGHIILVQSILHTIGWVIVEARLYRPHPDVWNAFIAQTY ARWGVVALVLLVLLWVGALQWTIRRTGYEFFRKAHYVLAMVYIGAVIGHWKNLQCFLV PGLVLWFVDRGARLVRTALLHYGYIPSQGRVGFAAAQAEMKFWRDEKHGDVVRLDFAH PQRPWKVGQHFFLCFTEGSIWQSHPFTPLSWPVEDGKGTVTHSYILRAKGGETKKLAK IVQDKLAGALSEKAVTPTTPVILQGPYGESIVDGVTPDVNVLCIAGGTGITYVLPLLI DLLRDRNMNPARRVELVWAMKRSEDVEWVEPEMEELSRLGAVHGLIIRIFVTDDGDDT AKGTVSVTGCRGESSQEDDDSIKRAPRASAQRASSTARGRPDVTTVVKDFVEGVAQGS TRVFGSGPPGMIGELRNVVADCNSGSKVWKGEDRFDVRLVCDDRLEW NEUTE1DRAFT_96536 MEQHGTTLLPRMDMGDGNMDGPACKISMLWNWYTIDACFLHQSW QITTRGAFAATCIGIMLMVVMLEFLRRLAKEYDEWIVRDFRRRSALISEQQRRLRQRQ ASPSSCGTADAAAKTAGLLATTTSVHVTTGKRRGYMGRRTTATTLKFRASPLQQLIRA LIHAVMFGLGYLIMLLAMYYNGYVLISIWIGALLGKFLCDWLTVKFEIPGGDEEEEEM MDGGFTDEVPRLQGVEDATVCCG NEUTE1DRAFT_118619 MMGLGRLLAATACVAQLASAFAPLTVRNLRLSSESDLALEPEGD FTIQESTDPTLLYPARTIKVPVDHFHNDTKYEPHTNDTFDLRYWFDATYYKKGGPVIV LAAGETSGVGRLQFLQKGIVYQLAKATGGVGVILEHRYYGKSLPTSDFSTKNLRFLTT DQALADTVYFAKNVKFAGLEHLDLTAPNTPYIAYGGSYAGAFVAFLRKLYPDVYWGAI SSSGVTEAIYDYWQYYEAARIYGPKDCVTATQKLTHVVDNIILNKANAKYVQKLKDAF GLGNLTHTDDFANTISFGIAGLQSTNWDPALNDTSYGEYCNNVSSNALLYPETARLEK DVQELLTVGGYGKEVKTLTNQFLNYIGYVNVTSVQSCDGDQNACFTSYDSEFYKKDDL KQTWRLWLYQVCDQWGFLQTGSGVPHNQLPLISRAIDLNYTSIACREAFNINKPSDVE SINKYGGFGISYPRLAIIDGEKDPWRAATPHAIGLKDRKSTISEPFILIKDGVHHWDE NGVFPNETAPNFPPKPVEETQRAIKNFVQAWLQDFKKSGHGHGHGHGSAGVHDEQQVL GDL NEUTE1DRAFT_114070 MYLYVNWWSWACRNLNPCLFGFQGPGRRVFLVFIPVDMGTTSWP GSCPRTLCTLETEAKSAAGRFSSLGPVGKFPTSDRHSGSRVKSPASMATPTAPPSFSF PEHSTTTFPKESFGLPHPSILLGAFGRQILEQYLSSIHYSGTALNSADLSPGIGIYST AASTITANRPLRLTAQLTAGFSVRHLLRIAVVCHDHYMAL NEUTE1DRAFT_105061 MGAAVEPDLKSTATTPTPHSFPSTLDIETAESQPSDCDVNLKIP KVFPCENIKDMAGNVARIGIRPYNSTNPTSMALVPVETPNEDGTTTVKRHLPGQPRVR LDDQNPGSHWKTLTLYLNENHLTTKLDGMFKYMKYVFVQTPSFLHIMSLHHQYAHDRE IKIDEHPCLHLVWYYERIYVKPIPAYFYCAAFWEYIANAHLDVYRACLGFMRSYYYLI QYEVDFALACEKMLIPKKSNGQFPTYEEWCDFIEPFTKIHDIQVPKRYHYGELRLSRL NMAAAFFKRKLAFFHIYPQWGSFLTHSLGPLLTIFAICSVVLNSMQVSLQALDTDLPK DHAWPTWKAVSVWFPIVVTILIAVVILLAFSGVCFMAVVDLYSGIRTRRRKRRGDATA GKKTHGVIW NEUTE1DRAFT_125621 MTGDENNGEQSSNATPNNTQPMAIAEKPAKKKRSPVKPKGRKVA KQAARPDVKEEEQAETDVQLPEIGTSNTSIAPDDEEQNVNISDQPSANVNRARPSATS STTSGRRVQPKSTKSNIKAKSRSKTIAVVEPREMDDPEAEYDSDIPYIPKDLRKELRE RLSWAGLTYPETWRPRVDSPFDAPPPPPENPPPADSILVAGLADGEVGYLRQLLRYWT SEDKGCRTANKFFHRLHYTYKKVDSLPEALARHDRVLALTLDRLARAIPFELFLCRLD RGYEDTDIDPQQVSPSYVIEDLVDLQGRTYAKFVPVDENDWTQKTTEHRKPKLLPGYC DAALVLVPRDTVADFLWETIEANGPPTAKYNVTQTPLRSLLENYRTLCSRSVEDHVRY WPIFSNLIRRACTWDNEKGLGFMPGELVESILKACISAKDWDLFTFAAGFTGFAFRKL PYDPQSFVKWARAEITEGRATFQDIQEGILAISVSHSLIKYRCSSITAFYETTDETVP RDWARNAVVRIADFPGWQIIGQQDGSELVSALKTCFRMGPDFIELATKLVRRNSTKIP FLLGFANELHETCSTENATKKLYLDLAEHILNELHIYKLHSRSAETARVREIIQLRST KVTQDLKMIKAAIEGIDKADMSRFISGLIAENADTLLMRLTMKLVGDAKRIPLRELTH LWVPFLYLLPDVLEKHHIPLSTPRYQNMFAAIMEMYLLREVGRAPKQIWQPVMRTVSC DCGLCFRVNQFLSESCSFKAVRIHGSSTAFDHVRHYLTGLREGISCDFAIDAASGTIT VTKRLNPDPTALKQWEIKKNKMKKQIFAKFDQAKLRAILGEEYLWFTGFQFLENNLEI EVHDEKELPPPPVHSAPLLGKPIDHFIIGRPDLSTPSAQGYRQPVAAHQNHHHPFHQS TVSGYQGPQPGQARPQPVGTYYSYQLPPPNQGHRAPANPWAYSDPTSQSTQSGNFISA YSDGFAGSIQMTNNVNNPQTTTHIQDTLEHGRQLFFFEEQIVIQRQFPSWQSGAINAE LWRRWTTMASSRQESYVSRATVAQNQAQTQAHPSLPAPHHSAPQPSGSSLSAEDDLKA GREYYFSTQMGSLRAKHPTYSHQLLLDKISTAWSSLPRTHREWFNKQALLQKQQQPQL APLDNARAVGPSGTRSIPSLSSIAPPPPPSVVPGMLPDQAQPFPSVQSRVAALREEAK VTLTTALAIAKPVVDKNGMVAVRTSTRLSIPEQDYMESKARLAPYPNVAHLDPCRKDL NRGRTWWINKYTDGLSRLLSGTSEQQIRQQLGVEWETCLTMGDRLRTDDMARIEEAKS ALARERNSLNEVMLQRATKRARTYGASTSATAAGSTQKGPASASATSPPVSGYEVFAK EMEGRLRKRNSGASAASLKSVIQRRWEAMSETHRAVYATMALDKAKSGSGTVAPSTST STSTSTSTSTSTSTSTSTSTSTSAISASTSTAAPSRKYDGFEYYLNTEGLNVRRKYPE YSYDQVVEATKRQWDALTSTARSNYSNKAFAAAQHPLDKGIFTWLEDTFKRVAKDKAT VSSTPAAQNYSASSSSSSGPPQDAGFEFWLKFEERKIYEDMAAKAKVNADITVKPTAS QAPPSSSSAATASTIGDCSQYDSPSEYGRYDGFTWFLNSEGVDYKKQNPNVAYSDLRT AMYARWCGMDGIERSKFEKVASTLKQSAEGREMFNGLREAYSLPPGQDPHERLAAARC RSPSDAGRYDGFTWFYNSVGVSLKKQIPDIGYDEHRTEIYSQWSGLKAMQRREYEKVA YTLRQSAQGRALFNRLREAFDLPPERDPRSWIPSPPPNTASATSAAAAVSSSTTSSNA TSSMTREDWERKYDGWSFYLNTAGTLHCDRRTSYDSVVAKMKARWKSMSAVERLAYED GAKAARMSGRRQVTFNNLRIACGLPEEPIPPPPAHGAPRVPTSLSATATASTASSSAP SSNASRSITWEAWAHKYGGFNWFLNTWGHAFKQENRELDYELVVTITESRWERMTHEE RRDFEDKAKRMRVNVAGRRMFNKLRELCGLPEEPDMPEPAKTVTPRTPFPSTWRGSGL KAATPLLSSSPTRPDPASFSPADRALMEIEPNRMAAPSSSAAPATPGLSNKVAKIDIT SAWAVKSSRLKIPPLTPRSGGGSASAFGSGSKSAPRGVKRKAEPDVIDLTLDD NEUTE1DRAFT_50973 MMSSQDETSPSAQVQEETKPVALVTKTAPSSQTNENKTSDGPES DDPVPHLHAKTFLAVFAVCLIYIAQLISLVGAGAQGQTIASHFSSPTRSIWFSAPITI LTVVLGPITSQAADYWGRKWFLVIPTFLGGGAGSLIVSRAPSMPVAILGFAVIGLGFG SQPLLHVVTSEVLPRRWRAWGQAADMASNTLGAAVGLYISVLYESDALLVGVRYSLIM IIAAIFACLTGWYCAVTKRVKWATFAAFGMFIAFFICMATTTRKTDIPTWGYTVLLGS ALGTTLTTLVTVAQLSTPPELISVASGLIISIRSLGGTVGLAVYNALFHDVMKNLGNN VGKVVVPRGISPDDVEMFVTALEAQDQKMLGTISGVTADIVEAGAIALQETFVVAFRH VWIAGACFVALAAAVSLLLFDPEKEFNMHIDASVEKEEDLYSV NEUTE1DRAFT_149678 MASSAEVISQLIAYWGFSCPKDGSFYICPEMKHEFLGCCTVDPC TEERNGVCPDDELQVASFSSAKYKLLPGQSCDVSIQEAVFYTCANAAPPFMGCCADNP CNAGCPKDKLRPTVLNKFPGEEWKRDYILNPAGTGISYNSTKTKPESPSSSSSSTEPK PEPPSSSTRGLSTGAIAGIAVGAVIAVLIIVAGILWKCGWFPRKKKEGDVQEGDVPGA AMSQMGPMTPMGQQAYLHQGHQGYGYVDESGRSVMSSPSTGYMRVSQNADSYVSGLTA TGSQYGPLSPALSKAGFQSQHTSPNLGHEGFDQKYGYNAFTGYQNNGLGLDTVSELPV ADHHFGAQELPAGNHPGFEMDAGTVQRPQEQNQPQQHVGHQEGDRQDVNQEQATLHGD EMRN NEUTE1DRAFT_89978 MKLSVAAALSLAASEASAHYIFQQVGAGTSVNPVWKYIRKHTNY NSPVTDLTSKDLVCNVGASAEGVETLSVAAGSQVTFKTDTAVYHQGPTSVYLSKADGS LSSYDGSGGWFKIKDWGATFPGGEWTLSDTYTFTIPSCIPSGDYLLRIQQIGIHNPWP AGVPQFYLSCAHISVTGGGSASPATVSIPGAFKETDPGYTVNIYSNFNNYTVPGPEVF TCSGSGSGSGSGSGSTTPPSQPTTSTTLPTSTIPTSPSGCTVAKYGQCGGIGYSGCTS CASGSTCKIGNDYYSQCL NEUTE1DRAFT_105066 MSLLFAEYGIHTHYYDPSKKNIEALQSHAKESKHEDKITYHDDH KSLCEALEDGDKPKVFIIDALEPYLKPGDIIMDASNEHWKATERRQARLEPKGIHYIG MGVSGGYQSARHGPSISPGGSKEALDKVFPFLQKIASKDKKGQPCVAKLGPGGCGHYV KMIHNGIEHGMMTALCEAWAIMNIGLGMDYEEIGTVFEKWNGDKERPLRDNFLIDIGA DICRTKDEKGNYVLANVRDKVVQDVDNSEGTGTWSVQEGTRLHVPDPTIAVSHLFRVA SADAARRLTVSKALNGKGVKPARIEGVDKDKFLEDLYNAVYASFLMCFIQGLHVLSKA NEENDWKLDFADVLQLWRNGCIIQSDGIADLLETVYRSREHDNDDLLGHQTIAGELAK TYPSLKRVEAELDYFGAHMFDLKTAEPGKPVTGKHHFEWKPARGILETDD NEUTE1DRAFT_125624 MPFALASCSIGLPKHTLHQKIEAIRHAGFDGIELSFPDLQSYAN LHFGRDIAEDDYDTLCEAGQAVRTLVERHNLKIFVLQPFSNFEGWPEGSKKREDAFAR ARGWIRIMEAVGTDMLQVGSSDSEGIATDPERVAADLRELADMLAEKGFKLAYENWCW STHAPKWSDVWNIVQKVDRPNVGLCLDTFQSAGGEWGDPTTESGRIETPAITEMELSV RYRASLKELAETVPADKIFFFQISDAYKVQPPLDDKPDPESGLRPRGRWSHDYRPLPY DGGYLPIEQFAKAVLDTGFKGWFSVEVFDGKFEEKFGQDLNKYAQKAMDSCKELLSKA KEKETQ NEUTE1DRAFT_55102 MEVKKDGVFVCVTTSSQEIRKHLRDIAKIKLKRTQEKTEEVLRE KGIYDGPEEMVEDVYKEIIDGSSNYPVYQQAELLVEAGRIGVLEAQMANPHYVSKEAA TLWPRWGNMSPYLRFIELRERLAKEGSRPVNHAEPVDIEKLSTNQLSNLHEGCKRWMK SYKENGESHSLEVKRLFDRAKELAKEAHDMRTAIKYFSRMKLDFMVDKLFMMEIVVTH FRSFKEKVATMEWCLERCEFEERVRAHGEQKKKNNPECTQELEDFMVQLKWTGEDIYL EEFSDEKVSGKKLTDELPWSGALGAWMEEGASHAFDEDDSNMSLHGSDLTTEKEHKEN GGEKEEGTI NEUTE1DRAFT_105069 MSTVNDGSASEDRQPSKLEANHGRLQADPITSQAYSCLIAENQR LEAKIQELLSELSKRTQYIDRQKDSLAKSATKAYEYKRLATCQKKEAAEAKERHLKQQ GSATIRIEGFKPINRRDHSEDHMHAPQKQQAEAHRTNELERECNNLKRQLVEVEKNWA TERQAATEKRKDNKQTENNHHDALNKCKRWKDCYHEELEKMKALQEKHDQLEDQLDIA KHELNTKAEEARIADSDREAATNKLKELEKECEQLKEQLTVVQNERNYEQQAATNKVS EMSKECGRLKKQIITIQSESAAKVEEALDAQKDSSTRTVAKIEEEREKLKEQVATAQE QLATQAKKALEAEVKVKYATKKVTELEEGCKALEKQLLTCETRLDEEAADKAAVTVTL EQVTKRKAELEEECNRLREKMTADTKNASDQISELKKKCHIDKEQAAKFEQKFLEYQK QAATVECQLEAAITSGERSREQLARTERQLAIKTEEAQEVNAKLQNAGTRINELEEAR KPIEKEITTLKGLLSLETSAKKYRTAELEQASMRVRELQQQNKHLRREQIQTAAAKAQ ALDQSTKLKEECDRYQVQVNNLEEKHRRYSEEVTRLEQECLGHQKRIFTIESQLEIAV ELDNRSRASVKLVDELSQKIAALKEECRQYTLTETNENDLAVVTTRLNATEELAALRD AVNNGLSRKAQASSYSHVKALASCTLTYVLLKFLYNLLQILQHACEQIARRRNTALLD QLTNHFSHATHLLGLGKYGREALLHALFLDFQRAALLLDFSGTTRKVLGSDVELTRLQ VATIDEVTQPAALKLELGICHRVGSRAFFGAGFALIVNLDLFCLLADHVHSRALLCLD FGEGLVD NEUTE1DRAFT_55426 MPIRPPGNPHPVSEPTLRSVFWDLNHLAMGHWGTGYEYLHTFDH AFQTWCRQAGIDPDTPFRANGRILPIVPLGTEDLREEARKESYVTVGGVKTFRTEVRA AEVHGRSKAKADTHSIHELMVIYTDPSDIPPTPKSPAYKPSLDSDSLGLATIKESFAV NEUTE1DRAFT_118621 MSSPAECRILVFASGNGSNFQALVDALAAGNIPNARITRLIVNR GKAYATTRAEKAGIPWEYYNLISHGFQERGETDPVKLQEARNKYDAALAEKVLALDEK TERPHLIVLAGWMYIFGKHFLAPIAEKGIKVINLHPALPGKYDGTHAIDRAYADFQAG KLENNKTGIMVHYVIEAVDQGAPVLVREIECREGESLEQLEERIHSHEHSLIVEATAK IAGEIVASQQAQK NEUTE1DRAFT_53567 KVQKTYNTRDSLVVTDPTTSLALTGLSMGERTGSRVFQWVWSYV MIVNEE NEUTE1DRAFT_105072 MNPPKQTHGYPSRFWTRMSSCFRRRRCTMDLETSFTPEWTMMHS FLAVMGGFVVEMKNQPGSKDKAEIQSFLPGKSNGTRRTRLTLAPEALSFFKEKKLYSL IPRPSLEHIQNKSKADTLAKALVCLQILWFCAQCFTRFAQGLAVSLLELNTFGQAMCT LIIYSLLWSKPLSIDEPEKMTFDPQGITVETKLLAKPLFLKVDKSEDLLHLVQLTPAG ANEKAACDMKQGNDWDSIELRGAGFFDRPIDDSSPARRLAEINRLVPYVRVLHSDVRR WQLSLLYDDFDTVPSNLLKDRIGNFPRFGETGTHFEFCLGFSIFGFIYGGLHCVAWNA PFFTEIEKTLWRVSSLSMTATIIPAFLVASWSLFPPFWQDPFGRITEIHIFLQNIQAK VKPEDWISLLISWVMCNIRKIIRLFPQPWEGFDEDMIKRWEHVAYMMLSILFFVYKVI FDTIVMLLLVIYTLARLYLVVVCFINLAHLPDSAYLVPNWSRYVPHIG NEUTE1DRAFT_125626 MAQWPSRSQLPFRAVVPLQISNRMNHLSRPQIRSYYDEPTTPSH LDAIYRDPKYSRILRKVYGAPFQSLAKPRIDEWFAYCLQRTSPYSGTQMTIRDRTRAK HEWAQEVLHLREPYNRTPWRWDSLSQRSEPPVGVRQPGEPVADFLDRLPPVPGPKMGG KNDRFVPRWYVVENPNREAYYVKGYLDHGKAHEPHRFGLWIANLRNAYMTFFEQVAYK RRIGRMSEERYREELREFIGRKARQFRLVRGEWMISVPTSEATKVWSEIAQATDLNIL GTSARIATSSLIDYPNHQLIAVQTVDFSNHEDVERVLRRLEGMGIVDRTSTPPISYQT EAYYFLGIGPNNIHNLPTAFYTSEQFFNDELRSILRRGRPGQILDESLLRGSEELQRK QQEEQEEQEEIADFGRIWNQEPEEKKRMWIPPQVMVQRDPNVFQELEIAKAEAERERT GRKKKVKRMTMKEWKETEDDDDPVAMALNAKRVE NEUTE1DRAFT_149684 MDVQHQSPSSNVLVARMRKPHGEGETLVPQLIVTAWLVPVFPAI FVCARFYTTRRILKSKPKEDWYILVALIFSILFSVSVTIQTQYGLGRHLDDLPDFKNT YTKYLLVSAFGVTGTYPIANFFTKFSILSFYLRFTTSRGFTYTVYFTIALGFAFNFVG ATGVLYWCQPIETFWKHWEGGKCVKSDTWYISLLGINVVTDVIILLLPIFIIRPLRVA FAQKVAIGAILGTGGFVLGVSLFRFILTILDREDEDRTWRLAFNYIWGIVEMNVAIIC ACMPCLKPLLVRVFPRARWLRAVPQVRDLETISDASMTDRDTSIDVAQRRIDWTALRA NHAVE NEUTE1DRAFT_50659 MSHPPPFVAAPAGDSQSDVPQVRDPIPGPNVKAVMVDTATQTDY PPLYIPVPPPRVILQSSPFFRVFRTAYEKADASLVRESLLSWPEKYLHPEPSAILFGL PGTEGTCFNSLRQLDHDAARRMEIVCRGARAAGWDVFFASVRALWSGPTISKPYQSFL RWPDHDPESGLFEHLRCRDLTSALFDVEIMSVYDLDGGVVLPPWAHDHHATRFSLLHT VHGLIHEELFRNQPDAEYPVQPGLGQPDRKWTQQTWYRDTVLLIPQAQSPYVLSSAVV APSPWQDLQIQNIAKYFLGLALQPHPGFPKPSLTVHNAYLVVEMLYQVMTTASWGSLN AEAMMTPVVKSQILMLAGEQCDHSLFLRACTRQSAFDSAPDHQSFIPWLHRLVKSRRL MNFWAPYLNKCLTQVILSYENMHDRVMFALNLPKQITGQHSLPYLLASSWLKPLLIPD DLRTSALCSLKDGEALAKAALRFDSKAAIVQELITAVVNQMQLPTEPMPWIAGFIKYI GTNIFTHAARIGRLGDPICAALLKQWYRILVKPVQARLNCPQDLSRKPSQPVDPRDHI AMEGYRFLVDASQETARFRWTALERDLAQWLWKDTRVSTSLDTSTQPPTLVVKKRSTS NDDWLERRKMAAKSCTELEEIRHSILQYDPAYGGLLTARDLVDWSFAEGTGPDPAWIS EYDPADPSIEEPYTPRRPTLARIVSPGSSPTSASPAGSTVTPRNPEPDQDRDNKTDSE SKELDKAEALKSLTLEEYLKRRARMKLKGRWDQLHEEDEEDLQELYLLLGRRKSLPEG AYITEEKRDQVDHPSR NEUTE1DRAFT_51060 MTTGTFRYADPATINRSTTPFIKSWSKVDVDYSSFSRVSVSKPV HDLRSAVESSPSDFGVDVSGFALYTHPAKETAFTDERAIWSGYYAEVEALLREKLPGI KRVEIFDHTIRRNNGSAARRPVQQVHVDQTPAAAATRVRRHVSPPEEAEKLLKGRYQI INVWRPISHPATDFPLAFIDWRSTSPGDLVPIDLLYPKRSEPEDDGDDRGKEVAPAEE TYPKTEGYEVKGETYGVVPNETHKFYYVKDMKPEEVVLIKCFDSWGEGLEEPWGKGKK GVAGWTPHTAFVDPATPEGTPGRESIEVRCLVFYEDEE NEUTE1DRAFT_125629 MEDKPHTSPVEEKPSPAGITVTIETTTTPNDPSGKKFGHTRQND SVSSTFSQASAISTSSTNSEKPLIVNEKRLTYQSTCPTIVDLEAQTEEDEYKQKPLGR VRYAILTIYRRLFTVAFMGNAIAFIIMMIKGAAPMDLVNAAAVNIAVCGLCRQPLVIN ALFLIFGSIPRSAPIRIRRLACKIFHFGGVHSGTGVASVIWYIGFAAVFTYNYTPSVI NTTVLTFVWLVLGFLLSIVIVAYPTFRMRLHDYFELTHRFANWIILVLFWILLILLGK QEANLGHFLLHLPAFWIIIVLTLATIHPWLLLRKIPVKPEPLSAHAIRLHFSHTEVVF GQGISVAKHPLKDWHSFACFTDKFDTPDAKFSCIVSKVGDWTKSTINDQPTHLWKRGV PTYGFGYVLRMFPKIIVVTTGSGIGPCLSFIEDANRPDMRVIWQTKSPLKTYGQRTLD LVHRMDSNPVILDTSITGRVDMLPIVLRLFKEFNAEAVCCISNPMMTKKIVHGCEMRG IPAYGPIFDS NEUTE1DRAFT_114089 MSGLHYRRRVSTSSTLAYAWNYGLCLARSSCQAQTRCTTQYTTH RLHHGLSSQPSSTFTTRAAGPGERIPISDLVSSALDRQHLHDQNKPLFVDAHDPILSL SASQTTALVFRLVAGLRAAGFKKGHVVLSHPRNHPSIIFGPTLFQDPNHVACLSAPRF MITTQTKTAIVHEFYGQPQIGLRPRSSTHGDSSGESALQRVRGPANMSPALHYNMFRI PSRVHHVQIYCKPFIHYLDIYRVFETYLTPSIIKILTNLDELGFEKAWTLPATLAKLK AVLHPEATASQIRGMTEFGVSALFRWGEQDETGSVGPATRRVPDSPG NEUTE1DRAFT_141989 MESMTVVYLEELLATEITFKPAFGSPVIPEKPIPHASEPPQKQT LSLFRKKTILETPKIRTPQ NEUTE1DRAFT_89996 MSGNQDDTTVPVYYSHHSYLFAEITKKHPNLTTEELENEFKTKV EGSYATKLFEEYGAFYHISRDQVDAFKALVADELMWTRYIYDKPEVGLTLQQGTKLVA KFRAKIAELKEAHAAERKKLQSEHRAVYEAFYKGACADYSSQIKELSAENERLKEENA EVKRLNQALLDVRREEQFDKFEVLLGAKMDLEKDVKRKQDRIKDLEDKVAELKKHKGL AEESARRLEYIDELKNGNKMLEVEIKILTRKLVERTT NEUTE1DRAFT_114091 MQLTTLCSAIAALAIAPLTSLASPAETLGNRDFPDPAIILDPRT DEWYAFATSSNGKNVQAAYSPNPHDGPWTYVEHDLLPTPGKWVNPDQPDIWAPDVHYF EASDCFVMYYSGLLADSPYHCIGIATAENITGPYEAFDEPFACPDSEGGAIDASGYLD PIDGSFFVVYKVDGSAKGPGGPCGNGDPPGEPTPIRLQRVDESDGITPIGHYTEILDR DPELDGPLIEAPNLIRTFNGTFVLFYSSHCYNTPEYDIKYATADKIEGPYHRRGELMG SNTNSYGFDGPGGASSVFGGGILVFHANCDAGRCMYVTEFEVEEEGIVTLLD NEUTE1DRAFT_53326 MGSRTSSNSSSISSTGAVGTDPGSDSGSVSSPSVSDQGTITAAL RALMPTHPVCTDALVLARTHLPATILYHSFRVYMYAQASMRITPWTDPADPSLLLNSP GIVRISPVYGECATSTPPHILFVVCILHAIGLAPEYDAIAEQFELIGADVAAHLLHSH NIPALAVREAWLAIALHTNPTIAERLSGAARALRFAKRADFGFCPVPPADSLPGGATS ANLIKWQLPRLEIKKQLGDAIVRQALKESQKAPRGSWASELVKGKEEHPDWEGINKCF NLF NEUTE1DRAFT_89997 MPLPPETQHLLLSHDFDSSVDEVPREPHYTRADLLSLSKSQLIA AFLDLQGRADQYLSHIESSLRVQQSQAELLTSIELHLDIPPSVTESHHQHVALDHALT WNEIYGPDSDLLLQTSTLQTQVSTRRLTSIRDHIRESGGGGASSSSNFSTSPSHSSNG LSLSPATFCKHVLSTTFTRMAPGSFVAGSDNPEEQKNVLSFKQVALGMSIREFIESFA EDEGRAEEDDNELWRRMETPLLCALATPEMSDAAWNRMGFLGAWFRALRSGHFEVERM RFLMEMQRDAREKVKTERAVPMVCTECKEEKAVGNEVATRLVKAQAKLLEWWMAGREE VESVVEGIVERFREEDRKEAKRAYEEFAKSMRGVVTTATATDDAEATEQGQAGPDYPV LMPPDDLSHAGRYEPEFQPKEINSLVRQAHMGDL NEUTE1DRAFT_125632 MQSILAITITLLSVLSPVLAAPSSAEHPQLVARTPGNVYVCTGS NWQNTCEVLSLGITGTCTRLPSTYDGHIGSAGPDQGAICRLFANGDCTGSGLAILMYP GDANLYNTVNGNDAGHQAHYIQCRQCTNCV NEUTE1DRAFT_118625 MVPTNFCPSQVTSFLPFLLDALPGRLSRSLISDVLGRCLMMPSP KLRHDPQHLYNYN NEUTE1DRAFT_125634 MPSWVRPDFDVLPRQSTLRDKCGHRVGVPTSLFRRAHGRTSAAG RCNWHGQAFEGVDAAKGHVMEETSWKGRGQQEGWWEKWRWKWKWKDDGNRVLLFFTPR TQATMSYSHAPEDPSLDEPASGQAALLSFISRSSLSTSQEDDALLLPARDDDSEPHNI LPLPSHHPSTTLTFRQDAELRDPVLHNSFLGISELNEHAARRARMLEQLGQSEFKTPS FSDSVAVATPSFSDSLPLASSSFDSERTVTLPTEVNNKSRFRSFIDRINRRQWSFLSN EVHGTITYNKEDISLYQFVESFKQEFSHRSCTQMEIVTLITGTPEEEEPVAARLKVKD LLVQAPFMASSQRKQTEYTRHMFVYFIDEKISEIQDIVDKAEKRRQSPNIVPVPKFRP PPPPSSIDLRQFYDDYIACINGQTMQQDLHQYCKETVIWNGAEMALNHYGQLMENAFD AIAGLQFVPRTVLIDEAKQQIAVRIEFSGLPIKPFGGATPNGRRVHFAEHAFYWLEHG KISHVLTIVDWADFKSQLDY NEUTE1DRAFT_141996 MRTPDATSTEFPENVRAALKANAMMNPPSPAYCRDEKDPDFKSS SCTSFGENSTPSTVSLSEKTEVAEVNANEWVRGVGFWRCFIGLCVPILLSAFEGSVVS TALPTISRDLGLGPNSSWVATAFLLASIICQPLYGQLADIWGRRHLMMVAVVIFCAGS AVSGFANSGAVLIFGRIFQGLGSGGIDLFAELILCDIIPLKRRGHYVAIKAAVYALGT TVGPVLGGIFAEVGWRWCFGVNIPVCAISLVMMWMWLQVSSGRSTDSDKLTIFKQLGR VDFLGIGLLTSSVVFLLFSLTLGGAAYAWTSPMVLGTGAAGLTGVLCFAFWERCRWCE HPIMPPHVFSNRTTVAGFIVTIIHGFLTYGYQFYLPPFFQAVLNASPTKSGVLILPCT LTIVVLSAVGGPLLAKFGRYRHMHQAGFTLMLVGFACCVAYAEKSRVVEWIVFSFLVG IGSGIIVSTTLPSVLVELTDKDNAAATGSWAFLRGLGSLLGVAVPNAAFNAQVATLLH TITNREAQEALTQGRAYEHASAQFVGSFGEEVKAQIVSLFALGLRAPWIIFLGLAGTG LLVTLLERQVKLRRGLDSDFDLKHKVESSEVTREVVSWKDTDMA NEUTE1DRAFT_90003 MIVTQVLLLVGMAFSATTVTADPIIKTCQNVKCAVRCQMVNGHP TCVDGGPPKAVAAASEELVNDNKTSVVTGEKCGITTCPTGQTCCNASCGLCVSPGMAC TQQICEPAGVQCGPNVCSGDTPVCCNKSCGICTAPRGFCTQQFCERGFTPSESKRNEE ADKIKLGNQKCGKATCPEGMSCCNSSCGICVKPGGVCTQQFCEHGFISGESKREEDAE EIKSGNETCGKMGALSSFAPSADAQTVEDA NEUTE1DRAFT_125636 MDTLQYGARDLPWSSIPTDLLRAELSKRVDATDKSRPQCGSGEK GEYNTGLHVFALVLILLLSTLSCGFPLFSRRAMKGSKLQRKIIFFSQYFGTGVLMATA FVHLLPTAFMSLTDPCLPYVFSEGYKPLAGLVSMTAALVVVALESYLTTRGANHSHSH SHAIFEDEEENGHMHNDTHHDFKDTPERIPLQDREVAQGLIGRQSPIASTSAHHSPSD QPRAFRDNDSANSLDLDLTFDELQPIPNTDHDQLLEPRNTSPYRTGLKPAVAPDSPNP EEQKRMLLQCLLLEAGILFHSVFIGMALSVATGPPFIVFLIAIGFHQTFEGLALGTRI AAIHFPPSSPRPWLMVLAFGTTTPIGQAIGLLIHTFYDPLSQTGLLMVGFMNAISSGL LLFAGLVQLLAEDFLSEKSYATLHGRKRLHAYLAVVAGAGLMSAVGAFA NEUTE1DRAFT_149693 MRLKAIGIALGLCAVGAQAKEKAVDMSRHAEYKSGTVMDRIMAH KFEQWKAKLASGALNSTQWPRLNYTKCVNGIAEAVQGDALHTFRCKNMDLYDFINHAT LGSPLATEDGATGSSIWGWTDPRSGREFIAVGMYQGTGLAEILPKGRLLVLGFLPAPA ALGQHALWKEIRAYKNYMIIGSELEDHGIQIFDMRKLLTINPKDAPVTFSVDDVTGHF KDLPLGRTHNVVVNEELQYAVSVGASPREDACGAGLIFIDLKDPSKPTRLGCNAQDGY VHDAQCIQYRGPDKRYLGHDICYGYNEDSLTIYDVTNKANSSIISRTSYDGASYTHQG WVLDPLNQEYLLLDDEYDERDAVGPAKDGYPVTYIWDIRDLQNPKNTGFYKATNRGID HNQYVIDGLSYQSSYGAGVRVYDVSSIPADPTGNSVCEVAYFDIYPEDDAEPGGGRVE FAGSWASYGYFKSGFIAVNTFERGVYLVKITKRERCKRIRA NEUTE1DRAFT_125638 MKTKKEEIEFVDGPVDDTQSTESASVAGSTPTEPETTAEHPTLT QPLPPPATFTIRTITASAQPQPLTTLTPITHSARPLPTTTRDKDDDPGKTKDRDKDRD GKHEKTDSASVTDGFTTVTTSLNSTIAITTPTPTAVFASATSSVLISATASPAINNGA ALQGAQQKGTSSGHMSGGAKAGIAIGVAAGVSMLAIVLFWLIKCFCSRKSEADTESSI RAFLSGGKSGPGNGGLPPPATAAGAGAGQLSSNFDPRSNSQILDELIAASYAHQNGQD SSIPNGYVLSDEKQVDGAYPVTIIQPAPTHQPEIRKSVASWLRKHHPLKLNPLSIRGS TFSAFSSRRASSAYGSSQQGGGGGGGGGGARDSMVSDYPSPTSYDPDAPPLPEIPATY FNEIPKPLSPMPKKLPTAAANMKAMKFQSVWSDSSAGTDMDRSRMTVSTEGNESLFNL YEGGDGQVRVPSQVVTSLSPPPPPHLMNKDQDQGHDQGQGQRASSPTLRP NEUTE1DRAFT_149695 MPTIALLGTCDTKLPELLYLRSQILLHPSTTVLLIDVGRHPVSH PSITFGPPDLLSHYAKEGMDMQSLQSLPRNQLVELMSRLCTCHIQSLFSRQIIHGIVS LGGSNATSLAAPVMRNALPIGFPKLMVSTVASGDTGPYVGETDITMMYSVVDVAGLNE VLRGVLGNAAGAVVGMANAYYERSTRRIREGEDIEAKKEKKKKKAKVVGITMFGVTTP SVDAIRQYLESKYPGVIETMVFHATGHGGKAMERLVRAGELDAVIDLTTTELADELVG GVMSAGPDRMRAAVGKGIPYIVSLGALDMVNFGPPGTVPERFRSKDANERKLYEHNPT VTLLRTSVEECREIGMRMCERLLEGGDASKIQVWIPKGGLSMLSVAGAPFEDRDADEA LFETVREGLKGSGILVKDDERHVNDKGFAHDVAEAMAKLLGLSNGQQI NEUTE1DRAFT_142003 MAPQLTRTQILEKLRGQIAQGKTIVGAGAGIGLSAKFVEAGGGD LIIIYNSGRFRMAGRGSLAGLMPYGNANDIVVDMAKEVLPVVKHTPVLAGVCGTDPYV SMPRFLAKLRDLGFAGVQNFPTVGLIDGEFRAALEETGMGYDLEVEMIALARSMDLLT TPYVFNVEEAKKMASAGADILVAHMGLTTGGSIGKGEKSHGTKSLDDCVKLIQEIRNT AKEINPEVIVLCHGGPIAKPEDAEYVLSRTEGVHGFYGASSMERLPVEEAITNITKSF KALKTGK NEUTE1DRAFT_105092 MRFTGSLLALGIALVSAVPLQDSPRQRLLDGKPLPSKYRTRSVS VTDPYTPSYRDPYDTAVDSVGEGLDPLPFRNGKGASVLGPWNKDRSRQNPDLVRPPST DHGNLPSLRWSFADSHIRIEEGGWTRQTTVRELPTSVELAGVNMRLEKGVIRELHWHK EAEWAYVLEGKVRVTALDYEGGNFIDDLEKGDLWYFPSGIPHSLQGLGDNGTEFLLIF DDGSFSEESTFLVTDWLAHTPKSVISKNFHLAPEVFAHLPEKEKYIFQGSQPGSIDQE RPEGSNVKKSKYQFTHKMLAQDPKITSGGQVRITDSKNFPVSKTVAAAHVIIEPGALR EMHWHPNADEWSFFISGRARVTVFAAEGNARTFNYLPGDVGIVPRNMGHFVENIGDEP LVMLEIFRADEFRDISLFQWLGETPQRQVADTLFSDDEEARQKFLDAINNPKKDEVTK GSEAQ NEUTE1DRAFT_149698 MYTRFTAAGRHMPYGRGKQTLKITTTTTMTTTTTTTTTTAPAFY SRVRVEEPWRPEPEGTHHPRDRKQPSRRPSGLQVAVTLPRADTYRRPNPSETFRDFSE MVSAGVQTKRTLTSGYTVESMTLSDAAMCKRTRIMLTMSRLNASSRIKGVDGQRVWSQ IHQ NEUTE1DRAFT_114104 MYPPTVNSSCYGTINNNTNGPHNTTILNNSEIEPLLHPSDQMPT GTRTPDLPGFPKVSLGTAPPSSRWRRHLTTDIDRSYADVILIICYLITGLLDSSSISI WGSFVSMQTGNTVYAGLGLAAPNESARLIKSVTSLAAFCLGSFLFSRFHRLWAPKRRW VLCVSFTAQSAFTMAAAAVVTMTGKPHYSEDVEWHVLLPIALLAFQSGGQAVTSRVLR YNALTSVVLTSIYCDLFSDADLFKLHNRERNQRVGAPVFLFLGALFGGFFAHTAFGVA GALWMASGLKLVVVVLWLVWPAKPKPVVEYPDALETA NEUTE1DRAFT_54832 MTSVAPTKAWADEPIKLITTPQYATKKTDIFTSGATHMALLHNS IFRGYNSIYQQAAHVADQDKADFVGYCLTWYRFVKSHHDDEEQSLFPKIEDLLQDKTV FEETHKEHEAFLPGLAEFEKYLGGLKSPNDFSGDELLRIMGTFQDSFSDHFHSEISTI SKLSEHANAPKEGTPEHTAAVTTFKTWGKATVTKAGVTDCVPFFLLNLDRTVEDGMWA NWPPMPAPIKWGLVNIAGALHSGWWKFSSCDAAGQPKELWALRNVEKL NEUTE1DRAFT_90027 MTVALNPRIRVREAVPADAEAITNILNDAFSANPMQQLMYPNGV SDASRSKSAARLFLPIPPSEGETFMVVPELLPEGSDGPCEIVAFSDWCLFRNPRAEEE WNVQEVPRTQEQLGEDCDVRVFNEFIGGLHRKRREHMKGDPGLVLSTLVCQTNRQRLG AGKALLHWGTTLADELGLPLFLESSPTAYNLYKQFGFEDIDVFDLPIFDLFGASKRQG QDWGEHTALELAGPPAKGCFRSTIMRRPAKST NEUTE1DRAFT_90030 MADSSHAHSQQMPPADAGSDSQDTNNQGHVFQPPQPLSRPATVG NATEPTQALYYPSQQYGLVTDPASVISQQQYYYAQQASQVGNSSVDDQQVYYVPQLLQ QPGWTADPTAVNFYWQQQLSTSNLAAYSQYTPAHNQHPAPDPIQEVHSPDLQFKQPPL LSSEEVTTRHMPSPQAVPQPGAKNDVQKWKRFWPRSKDYMQKFQDNLKDKFSGNSGHR GFRRVYLPTKRMNNQDSDSDAGPTGESKVVYRIGTAEAEDIDDLGKVHKVDGKLTYLN QFHQRTRKFLDVAVYLSPTRSTPRRLIVQATDHVIKTRLDRGDWIRTKVRGSVPLVLA MSEWALSPFQTQGFWSKTKGTCRLFVVAIPVQILLALPFLGKYDEDDKVTDTYTDYPG YYWEWPKYAVNELDMSPAALEKHSEGYKKSLSVAKRAPRPRLLYAKVNGEWKTIEGDS FENSTRRYIFISYMWNMFPDADGAEKAHELARSIAEHERFDAYWMDRELVSGDSPAET DYDVYTLCDTVRGSAKVCLMMDKDGTTQRLDWGSRMWTLMEGLLAPGDHITYCFRDAE GQLVTKNIGKIEMTASFWRVHESEYTDDKEAVRILAEHYANVLTLSRLELLPATITAL CTKKRAEFTGSDLAYAVMGFLHYRIERHKETTVFQNLARLSLGNDSDELVERMLCLLP KPSNKDIFETLSERDEYGTFLHHITPQCQVVGVAHEDETVILDSCRAIHIRWKDFTRA TVQRDVGLGKMLAVFFLAFGIWWLMFGIEMSLAYIPYFAGFVDLAADGKSDVGWVVAG FLFVGLLLSAPSPFSVRRLFGGTVLKSTPNLVGFEGVMPIAQLEKIVFGNAEGRLTYA PSATPFSREHRENYERRGTEPLWIDNPDSALDDLKAKKLMPSDRHQLFTLVDMGELTV TIFAAERPPTVALLCGKEGGMLRAVLCSWRFETDCLYRETVVRMPTRVWEVAEPKGWL KLCLRSQDDYRHRESLMKQ NEUTE1DRAFT_132845 MAVSAKQKTISSAPQKSSLAGTIPTKKLTKEQLFKTHAEHIKQQ NATQTPNGPRPVKQPNLEEAYPASEKSIRDLEIIPLSDLSVETHHRGKGLIVKVVSPP YLGAGAVSIVEDHWGNVDKLAIYNQGDSAILSGVPEGCIVAVKEPYYVQNGAENDFMI CVDHPSDVILLRFIDSIIPEPLRLGPLLKSAGEWTTAGDQAFLQRDFPTAVFCYTEAL EGLEDHSAKAPVYTKRAGVNLLLGRYDAAKVDALASRIGASTDWKAYYNAGRAAYGLC EYATSKSYFEEALKLNGKGTANVQKEFERVLARVEEEETGNYDFAAMHASLSPTSVHI DAGSFLRNTRVADSGFHGRGLFATRHLKAGDLVYVEKATLMPNQYDPARASAALYSLM VRQLCDNPSLADTMLKLCPGQLPYERTGAEGSMVDGVPVVDVFVVEGIRTKNCFSSPL STYDDTKPTYNSVCMAKGLWAHSSLMNHSCVPNTMRSFVGDMLICRATRDVQEGDELF QQYVPVKTQVDVRNKEFEEGWGFECRCGLCEGERKSSKERLKKRKEAVLALEKFLDKK PSTGKGATIVPDATIRTVDKMMRQLEDLHETEVYESLPRLTLVYPCNWLVDAHRAKKQ WGKVVKYSLKVLRNFGFNAAPRDEDPAKLTVGWDPREIYTRSGEVSLMAVHVVASLRR LAEAYAALGHKELAERCVEAAKFGYTMVTGFSEDVDALGQ NEUTE1DRAFT_125647 MAITPNYALPSTELIIPDNPFLRAAKLGPAPQPPAHEDPEERPQ LRVAALISNHRWKAGIRGPLRPLPPRSEDVQGAYWYHDCPYFKSKTHWVERPLHLRLE PPFAVVEPRNNSTSMNCRSNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNN NNNNNNNNNNNNNNNNNNNNNSGGEVPLELCDLGCGYLAPRDTVLYNSKRVMVETLEG FNLLPGRFDDWFLSCCQCGNWELNLLKEHPSAQDSHVKRKVIGYNCCEHCERPDENKC ELCFSLTKYLEANCWRSGEPVPGGVKWRHERARVERKEGRSRSRGMSTCPGMTTGSLA LTSVPVSSAAAGTVLGTVREFANGEDEAWVE NEUTE1DRAFT_71342 MRHPSTLVPIIASIAAFVLVLLALVAGSSKGFMESYDVVTNLVY KQLSGDQPGSAADGVCEKLGFLSKACEDATGAFGDAKDDLLNALGDIENDIADQLAEK LGIHEFYSLHARTICEGEYSPSPTAKGAGRTVANCIKTFPGGFNVSDILDKELRVGPF KLTLEDIGFNDEVQSALDTLNRVIKAFAIILIVDVVLTGLSMLASLLAIFFLGSKERP TLIINAVLSSIAFILVLVTGILATVGSRIAASKANKYGKDIGLSAKAGTKYTILIWVA VGFSLLTVVGWVFQALRYRNGKTMGHRHHGARNKEGYRDSEESAVAHLDRPVWNDRGM REVQFARTR NEUTE1DRAFT_105100 MEEREPLQANPIGDNVQLDPVVVANLPPNSKILSVTPSGKSFWA RTVKIVVELADGTTAQFFKKGARNSVGMNMMKGAWEADSALYKFVPHYTPQPVGWGTY ENDPDTSFYLCEFTDMNDDLPSPREWAKAVSSLHLISMGKSPTGQFGFPVATHLANVP VNNAWNASWEAFWTQQMKSLFDQEARVNGPDDELEALKTTYMSEVIPRYLRPLETEGR SITPCLIHSDLWPGNIKPKANSYDVELCMFDACAYWGHNEADLGICRNLRYKLGQPCV QEYHKRVPISEPQADFDGRNAVYAMKYHALLSTMYKDRKFRQVLIEELKSLINMAGAG RSDSDVALPPRL NEUTE1DRAFT_55417 MSPASTVETKTLSVAVNELPEITSVVVPNLIDKDVGESATACPP ATPSSTEPPPPPFSVFTAAEKQWISYTASFGAMFSTLSSYIYFPALVPMANDLGVSVS LVNLTVTSYLIVAGIAPAFMGDIADQGGRRPAYILMFILVAASNVGLALQDSYAALFV LRMLQSAGASGSYGAAYGIIADITTVAERGSYVGSLILFTNAAPSFGPVVAGVLAQKL GWRWIFWFLAIMTGTYMVIVIFLMPETQRKIVGNGSIPPRGIHKSLFETLSRKRRIRR ANNDGHVEAQVAQLTDIKKARKCHIPNPFTCIPMLFLKGNLIVILIGSITYAVKMTLQ TSLAAQCINMYDLDYLQAGLIYLPSGVGGALASYATGRFLDRNIKKYSAEAGRKGQYH RGEDISDFPIEKARFVGIHTLILVSSVGSAAYGVGLNERAFLTGAATSSIFTLCGTLL TDLNPHASATVQASYNLVRCLGAGAAIAAQQPLADAAGSGWCFGVFAIIMLMVSPLAM LIEKRGLEWRRAKV NEUTE1DRAFT_132847 MDYGQKGAWARDALRNAAFAVSAVSSTSSSMLAVRDVQNNGEEG GDPLDLIPPEKLEYLLKLVRALFDARFIASCYNIAVLFTISVFAVLHWRKSRIDRRKW LARIRGRRSASVQKTTMTSTTTVQPPSSPASSSRSSPGTSTPTGNWKDNLVDLERSPL LRGRTSSLTINSTRLDRKSTIGNTVSSWLARQPPPIPTINRTLPSNGTSLFIALWILL NIFFQMLLIPLRWDYFFVFGDKMGFMFIVNLPLLYLLSAKNQPLRLLTGYSYEALNIF HRRVGEMMCFMALVHFVSMVIWQFVLAEDWMLASKTAWAYFTHPLILCGLGTFTSYEL LYFTSLGSFRQRWYELFLASHVVLQIAALVFLWFHFYTSRPYVSLSLAIFVLDRLVWR LRFKRATVTAYIQVLEDGQTFLVSADWDILPRPRPSQDQPWWKSPWSLFSFFHPNKSI LNGWHPTDHVFLTVPSLGGSHALQAHPFTIASAAPVINPHSTSSAAGEQQQQQPTHAW FNLLIRSYSGFTSDLLRYAQAGHARVSVQLDGPYGSSHALDMLRASGSAILVAGGSGI AVTFPLVWALLQQTNQDLLLSDAEEAEEEEDKVARRVSPSTRRQKQRVHMLWVTHSRS HRNWIPQEQFDELVALGLDLVIPEPTEDAGRPDVAGIVKGWILDAASDGLESGVVVSG PDGLNRTVRNTCADMIGEELDVRIAVEKFGW NEUTE1DRAFT_125650 MDPQKTSKKAQETMGEATEQASSTASGMTDTLAGAAKPVTSTLG NTLGGVANTVGGTVGAATRGLGETVSSAVPGGIGKPVGDVVSNIGSGVERGAKEVGKG VKDAGELKGSEQQ NEUTE1DRAFT_118631 MGRSYDKAARATNIEPPLQWTDQTTHKYCGKPDRGRIGKPNKAQ KCDDCQAVKAHRAKEFQKDTDIRRQEKLDWTLSYD NEUTE1DRAFT_118632 MISSCKDGNPMLRDGQTGDWIGTFLGHKGATWQARLSPDASTAA TSSADFSAKIWDTHTGELLYVLKHDHIVRAIAYPYENSGLLATGGYEKKLRIFDLADQ RPATSPSGTSPEPVTIDASKAFEIGEGVHKDIIKFIVWARDPNVIITASGDTLRWFDL PSRRCVREAKLEGEIKSCELVSLAPSHSAPTDIGGGLPVLAVAAGKTAYFWGGSRAED ELKRITLPHGIASVGLDLKGRKFVVGEEPGTWARVYTWDEGQEIDVHKGHHGPIWSIA FSPDGNLYATGSEDGTIKMWKNCDGYYGLWRGGVSGSGTD NEUTE1DRAFT_125653 MYYGVVSKYSDAPPTAPADIDTGGIRNSSSSSHRTTTYIALLRP VYASVVPLSTQGARSPPRLSPAPGTNPSLSAEVPTTTTAKMVQEFKLSAQLKGHESDV RAVSFPAANVVLSASRDHTVRLWRKATSQSPFDDTIVSQGHGYINSLTFIPPTGEYPD GLVVSGGAEPIIEVKKPNATPDINAERLLVGHGHNVCTLDVSPDGKWLVSGSWDGKAI VWNTATWEMAHVLVHNMDNRGVWTVLAYDADTIITGSADNNVRIFRLKGATGLEIEAS RTLRTGDVVRALCKLPSGLKGHPSGADFASAGNDSVIRLWKLSGKEVGNLQGHDSFIY SLAALPTGEIVSSGEDRTLRIWRGSECIQTITHPAISVWTVAVCPENGDIVSGASDNM VRVFTRSADRTADTQTIAQFEESVRSSAIPQQQVGSNINKEKLDTKDWMQTNSGTKDG QIKMIREEDGTIGAYQWSMGQQQWIHVGTVVDSAGSSGKKVSYNGQEYDYVFDVDIED GKPPLKLPYNLSQNPYDAATKFLGDNELPISYLDNVANFITQNTQGATLGQANEAPSS DPYGTDSRYIPGQDSQPAKPKYLPHTEFLSLTNGKLEPALARLKTLNTKHIQAGNKHI AMNPDNVEILEELVKQLLRPASAAGKLANLDASKPILLTLVTQWPYADRLPALDILRC LAAWPAAASITDDRYGDIIDIAVRGALDVEDTVTADGSLSDFIANKVDATKANANSVM MALRTIVNLFKLDHGRKLVASKAGVILSFMGHIVGLDGDKGVIGAENNNFQIALTSAA FNFACLFYRERKLDANLDEIALLIMIVEATVRKQKDPEVLFRALMALGMVLSIGPQPV AEAKKQGVDGWLTPVIGQTREQRLKDVIQECLSYIRA NEUTE1DRAFT_149710 MLTSTLFALASAALASAHTVITYPGWRGNNLKDNEEFPYGMQWT YPCGGLTLTQNRTYWPTTGGAISFQPGWFQGHATAFIYVNLGVGNDGPDGGPKNMSFP MVSPFQIIGPGKNPYPGTFCLPQVSTPAGFEFKEGDNATIQLVELAIHGAALYSCVDI TFVPPGDPRVAQVNESNCFNSTDLGAADLYTINILESGRDKLALTSAAASLARMAGWV PLVAGGLWMML NEUTE1DRAFT_114118 MVSMAGNLPLGSASRWLQPFPRAVAHGSFACACDIQTPKNTVDL HTSGNRQVPLLACDWTVPPTLALSSEKELVGSRTTDDANGSHCNGRGTFSDRHYTTAG SSQLPTMFDAIENGAWQNPSSEVVAAAADQVLVIVACSTGGRGFNHIGRVFDMSLLRP SESPAGPRRNGDPIGWTVMITNRGLDLSSAVFPFSLFCRREILSTL NEUTE1DRAFT_90058 MPQQDEEWSQVSRKRGRIRNVPKPKTDVDESKENGLGIRPNPNP EFTVSDIHKHHNTARQEWQISDCWKTLKDLLATARSESNHPLITKAICFGPGPYDPSN GSFAARRTAHMQTAAFCAIVDFLESQCDHKIKRVIQEPMFTQIDKDFCVELGFEVADT PDAFSMVDEHTLVYGIHMELRTYYLALATLPATFIGGGLEEWEKVVDFDPSLNEFIGP ISKMDATYTKYPFPDMNYIFSSTTMYWRKGDDAPNIPGSPKTSLQETSQASKQASDVI EALEGLKLSQQASEEKEPDTESSKHPEKLVEPISGRKSKPPTTEE NEUTE1DRAFT_52493 MDQPQPKPSPRNSPTRGKVPEVYWADDDQEDERGNAEDSQYTFF REGSPTPPEYEKGLAAERAAAGGSVTGSYAASRSTVGGSLPPAEESRGCLGKYQQRWV WALVLAYRGRQSRRPAQQHLDHRAYSDCPAANNTMHRIPGLAKSFLRLCGVDYTADDL GNFLAGDMAECIDACAAMERCSGCSWGYLDGDKGNKHRCWLKSNLQTAKEQPSDWCFA MIPR NEUTE1DRAFT_118635 MASAIVLGATGIIGRELIKELSAHPSQWKSIHALSRSKKEDFGN PSVQQHHIDLLNSAQDMAKDLSAIRDLDAVEHVFFCAWLQKATEKENADVNGAMLQNF LDALEITGAVSNLKRIVLVTGCKQYGVHLGQAKVPMLESDPWLRDESKWPPNFYYRQQ DILTAFCGEGSKHPEISWTVTYPNDVIGYASGNFMNLATDIAIYAAVNKELGRDLEFP GNETFYTKFDVFTSSRLHAQFCVWAVQEPKTANEGFNVFNGDVQSWQDLWPRVAKHFG MKVKADQFASPAPKDLANLVQLTEKAPQPVTMLAKELGLEGAKSIPPSQLEQRISLVK WSKTEEVKQAWNRLADREGLQKDALEKATWAFTDFILGRNYDVVGSMSKAREAGWTGY MDTWKSLSDTFGELEEARVIPKTH NEUTE1DRAFT_52699 MPDDDLIDKLLAQLPAPYPLSTGRSSNETSLPSVRREGTEPGEI ASTPTAGSSPWDGSQRGPMAIRPAPGKVDVVKELPGIKNPLSSLLNSQVPAGPKLVPG SPQDRFKNSVLANPLMGTKSPRAPGSWGGSQPVFPSHAGHTFFSQSQERVKGDVHGGF GGLQAPVKAKVKVATAAVAKPSVGSSEHANDQTLQTRSTSAGRPSTNVPFGNVPRGPK KDQPQALLAPEASISYDVNAKKKLSLRCQSLGFNPEWVLGANVGGKCSFNVKLRDAMV ESDGLYVGEQMAKAVVADKALRDSELWGKLERWSRPPASSKASATSHANGFSATSTGN SRQNKSRQHNSRQNVSLVKREPGILDVAVSRTTEESERLKLLIMLQNYIGPSVPNYTD RPDVCKAFFEGLAMGARLTRPSQSPSQHIQKDRARSRSPPTRHSNTSASYRARSPLGV RGRLTPPPVYFQYPGRPDSSYYRPCNTPRREPPRGPSGDSRGNTVVKKE NEUTE1DRAFT_105112 MTVLRPHDHRLFLAPFPRHRCAAMDNPAAIKISKLIKNSAWHPF ASDGSTNKKDHAGQDEVGQNGDNAQPVGKQAPTMKVLAMYEQGVGVGDGAEDEPLADE IEVVGREGAPGLEGRDGDQDGIGDVPPVLGRVLLMVAFMTLAEDLMAFDGVDE NEUTE1DRAFT_114124 MDIPQQQKVQQQVPTMEEVQNIIKLQRSIVMADILKVKPEMSDP EKYNAALKEATALALYNIYHAKRDRKVKTEAFVGWYISNFLWMMFHGGVDPKKNPIRH LEPIDFPGEPESLAYECYMLGRRDREEEAQNNQQQVAASDKEDGKDAAQPYVKVNVDQ STEYLITVEVDGGREVANPLYRNIAQCVKDFGQGSHYVRKRPGPSYKKKVERPAPVQQ TSSRPAKRARY NEUTE1DRAFT_132856 MSSSPLKVAVLDDYQGISEPKFKALDSSKYEVSFFKDTLRPYNH PDTSQDVKDQLVKRLEPFTVISTMRERTPFPRELISRLPNLKLLLTTGNRNLGLDLDA FKERGIPVAGAVDKSNPGSVGSVSTTEHCVTMILAAARNVAQDDLAVKTGGWQTVPAV SLRGKTLGTVGLGRLGVAVAKIMSVAFGMKVVAWSSNLTQEKADEQAVKAGLPVEDAQ TGEKTFKVVSKEELFSTADVVSVHLVLSDRSRGGIAKKDLELMKKTAIFVNTSRGPLV VEEDLLQVLEQGKIRAAALDVFNLEPLPLDSKWRTTKWGEDGRSRVLLTPHMGYVEED TLSGWYDQQIENLERWVKGEQLSLSLY NEUTE1DRAFT_149716 MIIEGRTFVVSGGASDLGRACVRDIVKSGGNAAVLDMNEETGAA LAKELGSSIRFFRCDVTDTENIASVVKSTLEWIKETGKPLGGIIPAAGVESPGLMLDK SLNPLSLSSIDFVLSINLRGVLDLVRQFLPALASSPAYGPDGEHGVIIMVASSAAFDG QMGQVSYAASKGAVAAMTLPMARDLSRFGIRVVTIAPSMFDSAMTAMMSDKVRTGLKK AMEFPSRAGQPEEFASLARQAIENVMLNGVVIRLDGATRMPSKL NEUTE1DRAFT_149717 MTRPRRSSATSEESAGTGREQELGSMYDYLAKIILLGPSGSGKS CLLHRFVKSEWRVLSSQTIGVEFASKIIKVGTGARRKRIKLQLWDTAGTERFRSVSRS YYRGAAGAVLCYDITSHNSFSNLQPFLNDARALASPNLSLILVGNKLDLAGGGHPGDE EDLLGPLPPPTPSSISAHSIPYTISSVHTGSAGVSVAGTPLQAGSYASSTTSTIRPGD STSTSYGYGLGSQLQATVAPDGREVSAVDASRWASMVNVPVTMEVSAFSGEGVDEVFG RLARMILTKIELGEIDPDDPMSGIQYGDYGGGWSGGASDGASIKSSMTGDEQGTRNRR RKPRRGVQGLREWEEVFTLTSGRRRNNGCC NEUTE1DRAFT_90073 MSPCSVIPIDKDWRFKQADKEHSKFLPVAQFPTNVHLDLLHHGL IPDPFIGKNELDVQWVGEAQWTYKTTFKGASVPKNGKAVLAFDGLDTFATVKLNGSTI LEADNMFIPERVEVTSLLKEDDNELVIDFDSAYLRGWKLVEKYPDHKWGCWNGDNSRL AVRKAQYHWGWDWGPTLLTCGPWRPINLEIFESRLADLNIQSTVPEDLKSADIEVTAE VEGKADKVRFDISLDGTQVASETVQISSDKATASFTLENPSLWYPIRYGKQPLYLVKA TLIAGDSEEDKVKKRIGLRRAELIQRPLKGQPGKSFFFQVNNIPLYCGGSDWIPADNF IPRITKERYYDWIKLVADGNQFMIRVWGGGIYEEQAFYDACDELGILVWQDFMFGCGN YPAWPALLESIEREAYENVKLLRHHPSIVIWAGNNEDYQYQESVSLTYKYEDKNADNW LKTDFPARYIYEKILPNVCSELIPSTYYHPGSPWGDGVDTHDPTVGDIHQWNVWHGTQ EKWQNFDKLGGRFVSEFGMQAFPNVKTIDAYLPLGKSDPDRYPQSSTVDFRNKADGHE RRIALYLVENMRYGPDPLEQFVYATQLMQGECLASAYRLWKRQWKGPGREYCGGALVW QINDCWPVTSWSIADYYLRPKMAYFTVKREMAPVSIGITRTEHRHPRDRYTRVNVDVS TKVEVWASNLTLEDLEVDCLVKAWDVETGEQTFNEMVAERIALPENRSTEIDAREVPV IAGGGKDQEKRTVVAAYLFDCDGKQVARYVNWPEPLKYLHLQKPKELKVVLSEDGTAV EISAEVPIKGLVVETEDDLVVFEDNLVDIVPNEVVRIGVKGATKETKLEARYLGML NEUTE1DRAFT_71383 MATDGKSNLSFVLNKPLDVCFQDKPVPKINSPHDVLVAVNYTGI CGSDVHYWLHGAIGHFVVKDPMVLGHESAGTIVAVGDAVKTLSVGDRVALEPGYPCRR CVHCLSGHYNLCPEMRFAATPPYDGTLTGFWTAPADFCYKLPETVSLQEGALIEPLAV AVHITKQAKIQPGQTVVVMGAGPVGLLCAAVAKAYGASKVVSVDIVPSKLEFAKSFAA THTYLSQRVSPEENARNIIAAADLGEGADAVIDASGAEPSIQAALHVVRQGGHYVQGG MGKDNITFPIMALCIKEVTASGSFRYGSGDYRLAIQLVEQGKVDVKKLVNGVVPFKNA EEAFKKVKEGEVIKILIAGPNEKVEGGLDTTVDEKKLKEAKASGESGCC NEUTE1DRAFT_90080 MHHVLRPIAFRLALVSPLRSLTITHHHLFFTKCTMASSARTYND AIDALNSLQTPFAVIEARRKAGIRPDAHSVKEMRAYLARIGYSSQDLDRLNIVHVAGT KGKGSTCAFVDSILTRHQQTHGVPKRIGLFTSPHLIAVRERIRIDSKPISEELFARYF FEVWDRLETSKLAQDEVELGSKPIYARYLTLMSYHVYLSEGVDVAIYETGIGGEYDAT NVVDRPVASGISTLGIDHVFVLGDTVDKIAWHKAGIMKTGSPAFTIEQVPSAAQVLKD RAVEKGVDLKILDVDSRLNGVKIRPDAPFQKKNATLAIALAETALKKLDPSFKPGSDS LSPEFVQGLEQVVWRGRCEVKEEDQAVWHLDGAHTVDSLKVAGRWFVEECVKKAKGGP KVLIFNQQGRSEAVDFLDGLCNTVKSADPEGTGFSHVIFCTNVTYAATGYKKDFVNHQ YNPKDIENMTQQRVFAERWSTLDPSANVMLIPTIEEAINKARSLVDTTEGEQKVQALI TGSLHLVGGALGILEKADAL NEUTE1DRAFT_132863 MATRGPPGARPGMGNRFAQFKLVLLGESAVGKSSIVLRFVKDQF DSYRESTIGAAFLTQTIALDENTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDI TQAASLDKAKSWVKELQRQANENIIIALAGNKLDLVQEQPDKRAIQTADAQAYAKEAG LLFFETSAKTAENVQNLFTEIAKKLPLDQVGPRHARPGQRPGVSLAPEGANTQIEATA CWRRGVARVVNGNYAIDFTLSVGQFERYLLPLRALCNLNLWWAANSCLPASSRNFADR ELFFAQTLRAQSVPSEPPIRNSRPGTSPLHSTTTPLRPSHILSCASRPYTIPLMLRTS LRSVRALGSRPSAAVAGRQWQATVVRRAAVSGQRFFADDKKPIVPEPSQPAVLPASET LTSPSTPPPASPQVEPTSTVPPEATPLTPPTPEATVIPPVAEEPVVPPTLPTPRKKKG FFRRLRNFFLSLTILGAIAFGGGVYYSRINDAFHDFFTEYIPYGEQAVLYLEELDFKK RFPDVVSRVTGRPRDSGEQVKVPAQSGASWRVASGGEPAGRQSSSIKKAGAAAQDAVP KSEPAVVAAAKEDTAELPKTEATTTATPAEPAPAPAATDASGTPVKKPFKAPEVDEPS RWPPASPIDPLNVNGATDPIVQDLVKMLNDVITVINHDNANEKYAPTICKAKNELSKV ADKINEMKAKVEADAAKQVRARVDGFDKAANELVSRVESAMAAQEAAWRREFEEEITR LKKSYDEKVHLIQDREHQIAEEKLNNRLLEQAIQLQRQFTENIKKHVEQERDGRLGKL NELHKAVAELERLTSGLNEVVDTNLRTQQLHVAVDAVRASLEDAHHPRPFIKELVALK EIAADDPVVDAAIASINPTAYQRGIPTTAELIDRFRRVTTEVRKASLLPEDAGVASHA SSYVLSKLMFKKEGLAAGDDVESILTRTQTYLEEGDLDNAAREMNGLKGWAKTLSRDW LGEVRKVLEVQQALDVIQAEARLQSLRVE NEUTE1DRAFT_71397 MTLPFRDINVQAASDSYIFTSPSSPNAPALTIDRPTGDIRLSDA SLLAGKRVARVSSIAGILGVVRLRLDKYIIVITKAQPVGRLRGHMVYKVVATEFLPLR ERQIHDHDEDKFLNLLKGFIKSGPMYFSYSLDVTNTSQRQAQHDLSTPLWQRADDRFF WNRFLQSDLINFRTKGGRGSPAPQPGIDPYILPVIFGMFEIHPTTFKGTPITIALITR RSRHRAGTRYFSRGIDAEGHASNYNETEQIVVLNDRGSGLGGFTGSGDMQSGKLGGSD GKEMQVLSYVQTRGSVPVYWAEVNNLKYTPTIQLKSTEAAFPAAKAHFDEQIRLYGDN YLVNLVNQKGRETRVKLAYEQMVDRLVSSPKERVQSDALTDEKFHTIETSTKPQTSFD RLHYIYFDFHAETKGLQMHRAQLLIDRMHEALIAQQYFRAVDSPASQVNGGRLEVRNL QTSVVRTNCMDCLDRTNVVQSMLARWTLDRMFIDLGLMARGSQFKDEDPAFEFMFRNM WADNADIVSNSYAGTGAMKTDLTRTGKRTKAGALADANIAVTRYCKNNFFDGPRQDAF DLFLGVYQPGAANIGSQLVFVDRRPVLIQAIPYLAAFSLFFVFVGMWTPRLPDSAVWP MRLFIIFWTAVAAWSLTFIISNGMLYVNWPKLVPRPWAVEGYHETITKVVRKDKILGP LVAKHERGLSTARYINAEEGKKRIE NEUTE1DRAFT_71398 MAPTAAPVTKDPSPSASSPPERRRVVLPDPVALKYLGEDPAVTV VATSCVLYGYELYLVEQWACSRLSPALAIVTYTGDPKHSIVVGVLEVTKEDKGWSQRL EAYFKAIQQHHARPKETELGELMVTNLSSFPSALTVIPVPDGDLRHNLRVFIVNENLK RLGCSGRSGLTLSDPTPATQAKFTQLYKISEKISFQDAVVELVKLCQVALFIFGLLDQ VYIDGLLCDVTEAAINNWWTDIGSEYFNVEPTDGILGPTTVAALLGTLIGARNRLSYC NAPVSKDVFDVDCTKRGIGAFQKSQKLERTRRLDRQTLLKLHQLTAKAAAGEGWGVQK AVKSTVAEIGGKRGELVIGMVGGKDKGNIGDIETLDLDKFINLTTGERPKWLWHGKPR RTLNDYEHMLPPFGGKDVKDDVLSQAGSRRTQSMLIDEEQEVKKATEELMGSYSAPTA SAASTTDIPADKDALRKTVFKSVAGKVSDARSGLGRIRDAVGTGLRSHVNRPSRDDTP NAVAAMSLAPSIASLAQTSTALTSPVAVGQVFTWRSRPEEYLREIKERDPNESTPALS IASTTAGAPSTIRQSSGSHRREAGITRSEPGVAEKAKSLDAPIINSVPDPNDLLGPIQ TLERTIDLPISLLHRRHSIHGFSPRLILNQPSKEAKYPRRLSFSAAEDAVLGWDEVYD ISNLISSLEGPATTSAAIQQQHLSDGTLFKTQAELARSLYAHLSALQSDLSPWVSSKL SSVESLDETFTRQQHELQSLYLHVSDAYQRIRHSGEEIVGEERHRLNEAVKDVEVLVA KLEYEIGALVDKVQDVEDGVERFEAQVADVERRAEELKNVLETETWFHWFMRTLTGIG TGPNITQGVTSMKMGEEGDKRE NEUTE1DRAFT_132866 MTAQTTAMEALQKELDRLNRAPGLSATEDRVDKIIEMLTSVRDQ IVQSGMDTHVASMSITKIQNPIKSTFEKINDDLKGVTATQRKLGKTLDKHFPLKDLPS THDAMADQESLINRAISMHLLREGQFSVASTFIEETGDAATLENVNAVADGQDQVSAS DNYDDEPMDEDRDDDDDDEDDDDDDDEDEYMSPLEGAATGLGFRQLQNLSSLQSHELE AKFSQMYTILQDIKSRNLLSAIEWARSNSGELEARGSNLEFELSRLQYVWLFKGPRVN GLPDNELNGTAGALLYAQQNFWRFGNRYIGEIQQLANAQIYARNLSESPYRHIFSTET AFADVASSFTREFCSLLGLSAESPLYVAVTAGALALPLLIKYQQATRAKGTEWTTTNE LAFETPLPERMLYHSIFVCPVSKEQTTEQNPPMMIPCGHVLAKETLQRLLKGTRFKCP YCPAEGLEKDARRIMI NEUTE1DRAFT_132867 MAQNIASRPKKGVFDFLESRWAKFFFAIVALQAIIGVTFESYIF GRFQDSLEDYTTGENEERDAQYMTIPTFLALFIFGFLYVLFLTWDALRWKNTIQIIGL CIANLALFVYTILQIDQIDRSISKLQLAGIFRPLEVHEAVWHACAPFLYTIPPVIGVA TVAMSACAWQLYQEFAWDILKQIGADYRMKKRFLHYQIFIALLKFDFFFFLGFTIQFL VVVTGKTSIELGLTAAAIPVTIIILLCAAFFTQRENRVGMYAVILLFFGGLAYFLFKL VRIYSKSHGWHYTPVKRSLTAFAVMTILLILITIANAFICTSNFGNGLKTHLVKPQGR DPEKDDINSFQMTDQKPKLTTRMTID NEUTE1DRAFT_149727 MSEMDAEVADDILARIAHTEFPIPDIPSSQPPARPSSSRFLTPL PSSSDPIDTPLSSQETNNKENDAPTSAPRPPAGDHVASSPPKAPSPNPNATTEVSQAQ QPVLPVPVAEMLNGVTSHLTANFPDYPPHTIQRLAELVLKPRPQYRSVVGYLNALDRV VHVTSGANLYPLPPAIPDLSGMMSNGGPALDKGAPSLSAANNIGSDEALGGALLTPIP WLTRRANGDGGDDDGSISDAGSSSPLSASGASDPNTQHEQQQRALAQQYSHITFAQSS VSPQVRKESTEMIEGPNGMGSIETVSISVNGIPSIGAGGGLLGLSQQPQRGVTQGELL RQEQRAGVVPVSQVAGGNNDNNTAQGYTAAQTTPLSAAAPGEGPSATATTTGSSVDED IVMGENSDHEEEPEEEVPHARGPQEIGPEDTGPQSARSSIVLSHTGEVDMSALDVEAA VGRRLQSPSATEATRSAQADGTTTTTMTATTASESGSCDAENLVPPSPKREAEGDDDS ELGSTHKRQRTEDTAGTNTAEASSSTTEVTKADGSEAAKEEEVTAAGEEEQAEGGEPS NQQQAEAREEEQPKSS NEUTE1DRAFT_71412 MSHGQPPPGSSMYGFGAMGMGSGMETGMGTGMGTGMSASQMTSD PQDMMSLLDTSVFPGFDGMSMSLDVGDSMSNPFTPVSVPPPLPAGNAGPSHVGVCGGH GAPDQLFSPDDLIATSMSSAGPMIATPTTTTSGPSGGPSSGGGSTLTEFTKRRNWPAK VVEELQDWEHILDANGRIKHVSPSVEPLTGYKPPEIIDLFLRDLIHPDDVGVFTAELN EAIATGSQLRLFYRFRKKDGNWTIFETVGHAHIAAAKFAPNPQNQSPFCQAVFMMARP YPTKNAGLLDSFLEHKIENERLKRRIAELRREEQEEQEESQRTWRMSQEGRSDVTPSD DTATQMGMTPFYIPMNAQADVMMPPPSQPASSLNIALTRENLEGIAGSRPDSIREKML RYEGNHADTIEMLTGLKYQEGERSHGITTGNASPTLIKGDAGIAIPLDRDPRTGEKKK KIKVAEEYVCTDCGTLDSPEWRKGPSGPKTLCNACGLRWAKKEKKKNANNNNNGGGIG GHNDIHTPMGDHMG NEUTE1DRAFT_125674 MSQLASRASNGLGRVAVRSNVSLLRGGSRSARPYSSNAKGSFKG QLTESVTQRLEREQAERIRMAAYRDSRAGWNNFSLTGLLIFTAGLGWLVGTQYPRGEI SPDSTLPLAKTTPPRHDTGKANLEAAWADFVKIVGQENVSTADYDLEEHSNSDWSSHQ PDASTKPFCIVYPSSTEEVSEIMKVCHSRAIPVVGYSGGTSLEGHFSPTRGGICVDFR RMNKVLALHKEDLDVVVQPAVGWQDLNEDLAKDNLFFPPDPGPGAQIGGMIGTGCSGT NAYRYGTMREWVLSLTVVLADGTVIKTRQRPRKSSAGYDLTKLFIGSEGTLGLVTEAT LKLTVKPASESVAVASFKSIREAASCVASVVANGVPVAAVEILDDDQMRIINQAGVTT RRWKEAPTIFFKFSGTPSGVKEQVAIVQGLSKQTGGQSFEFARNNDEQAELWSARKEA LWSTFSIKKPGDHLWTGDVAVPMSRLPDIIDLTKDDLKKSGLKSSIVGHVGDGNFHIL LLYSDAERKLAEDCVHRMVKRAVEMEGTVTGEHGVGLVKRDYLPHELGESTVDTMRKI KQALDPKCILNCDKVVRMQKPAPGEVQEW NEUTE1DRAFT_71418 MASTKKFLNHPGAKEAPAHVLEFQPEADHNPPMRGLPLVAASML ISNSQWLQKFMWSNAKFGQAKYMLELNGEQWRAQPNVIPISPSSSSSISTQSILPFDP SLTTPQPPTVPGRFTSIADYHAAYASGQLTPLQVIDALLPLIRRDIKPKQQQSKYAVA FIQSKVDEVLAEAKASTERWQAGRPLGILDGVPFGVKDDTEVEGYVSTMGMKVDERFE YFKKPATETVWPAKKLREAGAIMVGKMNQHEIGMDTTGCNPATGTATNWYNTQYFPGG SSSGAGSALSAGLVPIAIGTDAGGSMRIPPAFCGVYGLKPTHNRVVSRNSSMCVVGPM CPNPSDLTIAYRIMAQPNPSDPAQSSLAPSIPPSPTAKKYLGLCPEWIALADADVRTT FNRAIETLCSSSSLGGYELVTIKLPYLREGQLAHAATCLTEAAADARNRVANPDDYLK PLNYPNRIMVSMGAQTPAADYLRYNQMRHVIMQHLAHLYEQYPGLLIVTPTTPMAGWP IMPGDNAYGCSDGNRSIRNMTYVWYANTSGCPAVTCPGGYVEAQQGEGKLPVGVMAMA EWGEEERLLGFAREMERYLAEAYEGGRQRPKEWVDVLGVAKGQVKVY NEUTE1DRAFT_142047 MTSFSLTGAAPNKLTKTRGAKVVKPILKKLSSSPKNSLDLNRGW DEQPVDQLDIDNGNNRNSVNYRSAKDVGFGYAGGVVAAKADEDSVADLGATGNSVRVK YQHARSASQTSSGSGSRAFCHPFQQTPRTATPPLSYAPSLASFDNGRYSPTIAETEDE TATDSQQAQPQPTSHPMQSPPPPPPVRSNTTNCLRQRPSIASSRANSYSDANSASKTL RINTGRSTPTAISSHPDAHGVVTTSRSDDQLGQNDSPTGTVGGAASSTQQQSTVVSPT SSTGTPMSPLRTSLDLTSGFPRLRSRSTDIDSAARLEKIRNARRKFEEKERANQEKYD RELIKKRERKDTKEASRIEKESARKSSLSDLPRPSMTRKATATSIATITGPTRTASGF SFTKHGSGGSSKTSRSKGDVTASSFWDRSSTIGPATNPTSGDNSQPEMSEKHGLGFAS RKYESVPADQAAPPAFIANPVDHVKFEQTRRRSSGPKRKTQNYWQEFILWLRTKILRL SGR NEUTE1DRAFT_142048 MLQPYWIGSSKPLKLSHNFQPKDKSTSNPDHTTGLSAGPWFHSV AQTLSSVHDSAQPPLREVGTELTYTGADRQVLSNPVGALLTESRPVLFRGAEGQKKPV DRKRPEKLESDL NEUTE1DRAFT_142050 MLQDEGAEPGGKSGQNSIPAPGVELAAAHTNYHQIPPQSKCQQR LPPSAYQEQSSLGPSSALQRPPSLDWTLRSGVSVLPDMAGARPLIWANDISPILPNL NEUTE1DRAFT_118645 MAELMGFIIPNLDFIWSAKFWFYFHIVIWLHRYVRLLVHCVSHW TYKSVQPKKDPKFTSADVTVVIPTIHNQFEELRTSLESILACKPAKLILVTTWNKYEA LTAMAATLRKPACDHPIKIEVLHVDKANKRLQVCKALEDNHVETEITVMADDDVEWPS TLMPWLLAPFEDDQIGGVGTCQRVKRIDGDLTTRIFNWLGAAYIERRNFEISATHNID GGTSCMSGRTGAYRSRILKGYPFLSGFKSEKWGKYILNADDDNYVTRWLVAHQWKTWI QYEPECEIETTLETSVKFLYQCSRWARSNWRSNWTSLVHERHVLTQQPWCTYALHIAT FTSLAFVVDPLLLCSCWWATEGWDVNDRYTLLAAEIVFMFGFTKVVKLVGLFRKNPRD LIYLPVSILFGYFHGLIKLYALFTLKHTSWGSRADGDEHNTFRLQEKPPRSQTMLTPS GPGLDDLSEKPLRGSRKTGGVTQSRRLTTTGTAVSHNTCEHTDGGTAAVPTDLSPPSL QG NEUTE1DRAFT_90117 METRTRARPTLEPVQTKLLHHGSPGSPRRRPSIFEPALRSHCLP SPLHSSTPGLDQGMRRHERAMLDSLDQRRPSQARKDSAHLLPPIPEQSPPSRERPYDA YSPPPTLAARTRNHLLYGLSDSHLSPTSTHAGIGRRQSMPTGVPSALPTSIPSAALPS PVRVVHQQLGIGRQKAQELHGRHIFQGCFVKAVDLRKFSESSASGDNTAPQEVVMDDM GQKLRYAARAWSPGKPEGRHFIKYFSQAELRKAIPKDAPEPLVVPRHATDHPSPRRAS LSTSQKRRLDKEHRRSSAPMQSADPWSEMTLPIHYHLARYFFPLVAVYLQSGNVEPED ILELPMPHPKAWYQTFRYACTEQGELTDAIRENIKHLGGRV NEUTE1DRAFT_125678 MAIDSTTTQSYKQLKEDFVSNLSGGSVSEIAQVCAVAPVVSLVW SVLQARQSFFRPYTPLGYAIDFLLNVGALLLSVTLYSSAPLLLNLLLLTVAAFVYLVP AHTRKKKPQLPPNAQSKKSSSEQPLGVLSTKPFLTNYRGNMLIVTCICILAVDFRLFP RRFAKVETWGTSLMDMGVGSFVFSAGVVASRPVLKERAEGKAAPLSTRLKTSLRHSLP LLMLGFIRLLSVKGLDYAEHVTEYGVHWNFFFTLGFLPPFVALFQSALKVLPSYAGLA LLLGVVYQVLLETTSLKAYILTGPRNDLLSMNREGVFSFFGYLAIFLAGQDTGMLVLP RSLSRSISGSNNKTSGTVQRRSLLLNMTGWSLVWIALYFFATDYKYGFGLSVSRRMAN LPYMLWVAASNAVLLLAFYLVDALLFPSFYSAQDVKTEKELYDMATSKVLRAYNRNGL AIFLLANLFTGLVNMTVPTLDVGRVATVGILVAYMGALTAVAVGLDEYNFTIKL NEUTE1DRAFT_19404 MSTAFQTTPNQSISVSATTSPSNRQYSSFHPGPTTREGPGSGYY ANQHNAGQSSPHTSSQQHSRRPSAYDQPTGFEPHMSARTAFAQSIPAPVTSIASDQPP SSSDRRRNNMPTAVPPRTSSRHDPNTSSRRAQQAADRAAASRQSNTNGTMDPAVVDEA AARSRRQHQMTQDAHQRTTSSRGNPTPTSLPVRSQPNATSSRQQSSREASEILNNILI QQPEIDVERERERLAQAQAQAHAQAQAQAQAQAQAQAQQASNYNHHHRQETASQAVAA PGGDHGEESRRGHRSRHDHSKREKHTKFGDYILGNTIGEGEFGKVKLGWKQEGGVQVA IKLIKKDTVGNNPSRMAKIMREVAILKQLTHPNIVRLHKMEESDRHYGIVLEYASGGE LFDYILNHRYLKDNAARRLFAQLVSGVGYLHKKGIVHRDLKLENLLLDRNRNIIITDF GFANTFDPNQELSEEEELNLSDREFVKRMGLDKVNDAGWRKGDLMQTSCGSPCYAAPE LVVSDSLYTGRKVDVWSCGVILYAMLAGYLPFDDDPANPEGDNINLLYKYIVNTPLTF PEYVTPHARDLLRRILVPNPRKRADLFEVARHSWLSEYSHVVEFITSSTTTPSEIQNT TVPAEDEGEPPHLARSASVREAPKKSTASPAIGGLVSKQGTVDPEAEAAYAKQQRDNK RRTVQVEYVAPNTTTQRGDIAGQQQPSSNANRNRNESQAPVESSDSRPTTSAKDKQLS QGPSAPKDAYNNKPPVSLRRPPSSQQNGGNAPTTGNAVAPPRPSRDGRPTADNQYLSG AAGAPTQRPTTGGSMQSGRPSYAQPAPPEVADANVHGRIQQPSKGSGSSHFGVPTTTP AEQQPSESAEYGRPSISTGSRFDKVREDGAVKGHKRSSTMGEIGGKLFGRSGSLFGGR KSKRQQELQQAGEKTKRYPPVSMNNSMPGGENGSRPSLDSKRSRRSFSMGMGKKSSGG SITGSNGSQEKSNKRFSFIPPSLSRAFGYNKEDEPPALDSQQDLPIQEPSSVDQFLGR EQNVSATTVDGMYTQLQDSQQGSPAVNTQYQYGRPSASQGFMPGTMPNAGSDPSVNSM PQAPGSTPQLQAMIQQDGLYDARKGNNRANRGVLQKNKRFVDYEGDGFARPHDHSGSS GPARKVMDFFRRRGKARGGENN NEUTE1DRAFT_90126 MADSAATTPAPPAEQQPPAAAPAAAPAAEGAEGEGEGPSKKAQK KAEAKAKKEAEKARRAAEREAAAKAAGKTTGPTEDLAKDNYGVVKERKGQFELSADVE DVNLKNIGEEHVGKAVIVRAWVQNARIQGAKMAFVELREEGAWTIQGVLVASNEGTPV SKPMVKFAAGINPESFVVVEGTVQKPLEPVKSCKVSNYELHIRKIFVLAAAPQMLGMT LAAANRPITNFSDEEPAPEKAAEDGVDKLSIAAESSIPAATMLTHLDNIIMHKRAPVQ QAIADIRMEVKDLFRSYLKSHGFKEFEPPCLIGAASEGGANVFRMPYFDKEAFLAQSP QFYKQFEIAGGRKRVFSIGPVFRAENSNTPRHMTEFTGLDLEMETKKDYREVLLMLEG VLLHIFRGIKERCAAEIELVRSVYPAEEFLLPEPGKEVRLTFAEGQKLLREEGPEEFR NVTDDEDMSTPQEKALGAIVRKKFNTDFYVLDKFPEGARPFYAKLDDANPKVTNAFDF FLRGQEILSGGQRINDPEELEARIIQKGVDPKSAGIKDYVDVFRQAGVPAHGGGGIGL DRVVAWFLNLPSVHLAAYYPRTPKRLLP NEUTE1DRAFT_71433 MKEPLNNSSYTNRIRSWVNPKTHGAPGPVSLLPISNSPPITTTT TTDTTPTVGQNATLNDSSRGGNVPSAHEQPQTSTDSSSTGGHHKEDSSNGAKSPTAAS HQAAGQGPELETTVSAAAQEQEQKQKPNVAVRFGKVLKQVLFHNKLVNLMLVFVPVGI VVSQLPGSSPGLIFAMNALAIVPLAGLLSYATESVARKLGDSLGALLNVTFGNAVELI IFSIALVKDELSIVQASLLGSILANLLLILGMSFLLGGLRFREQIYNSTVTQMSACLL SLSTAFHYSFTDVNDADKKTLKISRGTSVLLSHSYLYESTPQHIIDEESTPGPAAGWL DSSSSGSDSSTDSDSSDSDYSRETVSKRMKRVMRGGHRRRKSSIISNLTSESVVAGQE RTPSFGTSDAVPGYDEATQEASSSRPALAVNLRSPTTEGNEEAVEDEKHHRRRHRYKR HMKKHRKHKHKKHHHNGSQECMNGQTIDENAEIQPDVAPTLLSPGEPRCVDFAVEPAS SADNAQAETSAGRRPFPGLRGMSLRPVARNLAPAVFVTGPDSVNSPPASSGPVPRVRY GIRRTNSLPDRLSQSLYRPQGALMPSQIPMSAVVDGAIPDKDGDHPDDLSRVSAIILL LVSTVLVAVCAEFMVDSIHDLVETAKVPELFIGLIILPIVGNAAEHVTAITVAMKNKM DLAIGVAVGSSIQIALFITPLVVIIGWCMDKDMTLYFTLFETVCLFVSAFIVNFLVLD GRSNYLEGALLCATYVIIALVSFYSPNPTEPGQSA NEUTE1DRAFT_90130 MTYDWDPYKDICHRLYVDERRSFAQVARYMAENHGFTPSRRTYW YKFREWGWPIRVNKAYSNTELIARVKELWEQNLKATEMVRVLNEEGYDINDRTLNRIR QKYNWKLRLKTIQDLRKGIIRPPGQPANGTDDDDNNGSDGDSDSADDSDNDSEEVSSE EDEEDDDEEEENAAEDNGQGSSNTHGQRQHQQQQHQKSASASLPLGPDDELTPEQRAR REERRRVLEQESAERWALKKRRRRTKGWAGLPPDPSGLPPRFPSETTLDEAKVILQLD AAAYKTLRENFEKICRENNVIKKTIAGPEKWEAMKEQMIRDSMHLRAIMWDQANMEQK KIAIDVICCDVTKRIRTMQSHMTLAQARNLLGLNPEQGRYIRGTLYLLLGQEKFGFKH VEGIDKWNEMVQKWFDTTELLQELFPPGCEHWPNAKERKRAIELLARDGMRRYRGDQA KLEKDALNPPPPKVPRKRAPPKPKPVPTPAQLEAAAKRAEKAAEKAAKAAQPKRGRGR PPKNNTVGPSTATATATATVPHTAVRLAPPESSSSEESEADQDAQDADNGNLDPDYLL QQSIEADTSFGSYSANTHVASGRGSAGANVGANTITAQSSSHTPNLAQTQSRPQTHSQ ATQNYTTNRNHMQQSYAPSNHGLTQSQTQPPPLAMYTQQQQQQQRQQQQQQQQQRIQQ EAIQRQARARQQHFQQTASVPPVQVSQPVATTSSTSTTSSSMAIYFRLHASSPLQGVV SRIWIATLSGRSVQELRSTAVANYPGVVCLAIEGIVKDGKGGELPLPVSDDMEMEAYL QHIQGMGSGAPTFSVMLVPAATAATAAGGVGGSW NEUTE1DRAFT_71437 MSIQKSSQLNPPERVKDRSTSTSPAPAAYSNFSGVKDKTDDTPG PRPAQHQQSPQASGKVNMPSPAQSHYQQQPQWQQQQQQQQQQPAHGGSSQNDYAPPSG PPPNFGHSQQHSSTDYAPPSGPPPSWGHNQSSTNDDFAPPAGPPPSHARPNQSNHDFA PPPGPPPSQRQHHYDDYAPPPGPPPSHHRPPMNDDYTQPPPGPPPSQFQPAAANKQHD WESFVPDTALFPPPPAFFTGYDRSHTTNATEEEANAGEAWCVQNPLTAPMDLDPVALD ALRTHNIRLMASPQFRGKLDWVSPGVWKGKTEKAAGDACIISYPPLYCVKYDSPLIPS VAAQRTTKSIYYEVSIPSRSAAAASNNPFLGGGGGGGGGNDDEITLALGFTALPYPAF RMPGWHRGSLAVHGDDGHKYVNDRWGGKDFTRPFRRGETYGIGMTFTNTGGRLDVNVF FTREGRITEQWDLHEEGDAEQDLPVTGLEGFHDLSCAIGTYSAVEFEAVFEPSRWKFR PQGL NEUTE1DRAFT_71440 MDIFKVLSRGIKAQPKKNQPGAPQLLPSAGAKVNPQFFHDNVGG ANAKRGKKRKRKGTQANNATESGDEDDDASDVDYFAPKPTPEELAAKKDAELKADEPK KQKPKLLEENECRQILKSHRLKFTVLAGRVPQAEEATEEKPPKKQKKQKEDKKKQEEE EKKKKKKDEDKKQIYSQPLNSFGELKYTYGIHPVLADNITRQGFRVPTEVQMGSLPLQ LRPEMALEKATGVEDVKVEKGIDFLGVAPTGSGKTISFLIPAIDAIIKGRAEDYTPET DEHILQAIVVAPTRELASQIVNEGRKLAIGTGVRVVLMKRTLRLVAESNTQEETEQEA KEEVNDSDSDSEAESEPEEGDQKSKKERPITRVDILVTTPKILLNFLCGGEKEKGKPR IIKKTLPTVQSLILDEADVLLDPIFRKQTMGIWRACTHLNLGMTCWSATMASNIEALL TKHIDKRAKRTPEQTPKPLIRLALHDELKYDIPLEAGGSARVAVLHSSLPDSVRSKIM ARFRSGEVWVLITTDVLARGVDFAGVNGVVNYDVPVSAAAYVHRAGRTGRAGREGGVA VTFYTKDDIPFVKSVANVIAMSEKQAGKDIDEKDTVKAAQGSVQKWLLDALPKVAKED KRKLKVRGVESRRTGGKATITTKSSWERRRENNRREAIEASKRRKREAQKAQKAQKEG GAAPEKAEEEWTGLD NEUTE1DRAFT_125685 MSKNWSSTLRLPKSTLPPRPLPTQHKEYIKKCADDFYKWQAANR PADDTFLLHDGPPYANGSLHAGHALNKILKDIIIRTKVQQGQRVTYVPGWDCHGLPIE LKAVSTEEGRTMTPHAIRQAARSLAAKTVLEQMDSFRTYAVMSDWENRWTTMDMAFEI NQLRLFQKMVSRGLIYRKFKPVYWSPSSGTALAEAELEYNEAHISKSAYVRFPITKDS ARIPGLEGFTGNLYAVIWTTTPWTLPANRAIAVHDDLLYHAVRVGDDAYLVADGGLER VAKVLMGEEGTPEILATVPGSQLTQLQYVNPLQGKSAAPQPFIHGPFVTPDSGSGLVH CAPGHGFDDYLLCKDLGIPVSAPVDNDGIFTEEAYPDDPSRLKGIPVLEGGSAAVLEL FKDNVLNVHKYKHKYPYDWRTKKPIIIRATAQWFADVDNIKELALKALEEVKFVPESG RSRLEAFVKGRSEWCISRQRAWGVPIPALYDANGDAVMTEKTIEHIISVIQERGTDAW WSDDPHDPVWIPASLRGKGPFTRGRDTMDVWFDSGSSWTQTDRQADVYLEGSDQHRGW FQSSLLTRVAAMAVQGPTADGTTNAVGLSPFKTLITHGFTLDKAGKKMSKSLGNIISA DQVMDGSLLPPLKVKNKDRDPNAPPPKDALGPDALRLWVASSDYTSDIVLGEPVLKTI HQSLTKYRTIIKMLTGSMEQSARAAPLTALDHIALVQLKDAMAEIERHYQNYEFNKAF SSLNRWIANDLSAFYLEALKDRLYCADGGGVLEPIFMGFLRMLAPITPVLVEEAWEHR PAWMKEDPSILHPLHQLYNSPLIDSSRLSYDEAMLRKDIPVLMETHAAIKAASELARR GKVLGSSLGCSVVIKAPKDSKALSVLQKYKDELDAMFVVSSVDLGGAIEGEPAWKYVQ DFEMGGHGCQAWVLPPKDHKCPRCWRFVAPAEDSLCVRCEDVVGEGVQ NEUTE1DRAFT_132883 MAPIRRYLRITKYSVLECRIYLDNPALAHSWLLNPRNPMLAKVI EAVRPLVLPKLREERERAQSKKSNKKRSIKDVVIKDDFEVSVFLAETDTRHSLLHKQK HFRDKVQTKLKSNSSKLTGESREAPIDVDVEAALLREAADDDDVPVLREDDSEDQEVN LNDIPTVDETDVISDSANRRTKRRRQQSSGPKNEGGTDDEAQAVASDLSDDDGLFIGD SDDAGSEGPPERKRRKSKQPAAQEEERDDKKKLAMDISYEGFAIYGRVLCLVVKRRNI EKTVTGPSSGKALTAQPGGQAMMENWITSTQLPEAAVGEDDAV NEUTE1DRAFT_118650 MSDSAIQQFDNLKPEPDQAKTINSPFLILWGFPATSRQQMWECG TPASTIKKIHP NEUTE1DRAFT_142063 MPLLVVVVVLRRLTRQAGTSFVDPALRTKLQAPQVVGFSSPLAL NRFFTCQIISSAGSAWQIDLRFVSSAPDI NEUTE1DRAFT_105147 MPGDNGTHGQTAFHFHFHPFLAVVVAGPAGLQKYNRQNVLGFFR VPVPYGKTWPCDRASGDPRCPSELCQCSPAKANPPVIALENEDAVAVVVGRPLRAGLA KTSFTSIFGRRDNF NEUTE1DRAFT_125688 MSGGSHRDAAGLSLGLIQRPKNDRGKSVRDGMSRPTVRGCCVDM QAGMQTHYGVQLVMIDAPKGSHSVLKKLLGLCSGHSAALCSAAKHRQTMMRTRRHERC QDVIELLSIHVEASCLTPLGKRRKRAAGRGAGAGRKLGRALSGGRHFDDIRVDD NEUTE1DRAFT_96569 SMGIGVRHHHGRWYGHWLGGNGGHGRNRKGVFGRVWELIGKVFF CSRSSDIHMYYRNLIPRAL NEUTE1DRAFT_132884 MTLELHVWGPAFGLPSIDAECLATVTYFAQTLSAADYLLVQSSP SAVPSHHLPALYNPSTVTWISGFDPIVTYLSTLQPPSYHHPDVTTLPSRVYANSQAYK ALLTSSAAPLLALSLYVSSANYSETTRPAYSAILPFPLPWTEPLAVRAAMAARAAHLG MSSLDTDAEMERLEKEEREREAAGWVQIPKALRKAVGGQNSGVKGQLSPEMKRRIKLE GLAAEVFDVLGEVDLLEEEDGEEGEEEAKEGGARIKVTLETKCLAFAYLALMLLPEVP RPWLKEVLQKKYAGLCKFVLEYRRKTFPESGKVLPWADRESDPAVSACDSALSIVGRF VRAVVDDIPMLGREWSRWWALRQRRVAEENSTETQLVIRRSVGESERSLLLAGAGLTL LAINVVGLGIYWYRYRGLLGAPLQTWHRPLVGLGSFGAAGAMFAGLA NEUTE1DRAFT_90147 MNGGKVTLRRTAGLYAGAAVLRLALFTLFPGLPDLLTGRVEIST PVTSFKRLQEGLFLYNHNVSPYDGGVYHQAPLLLPIFNLLPSFSAFPIFTYLLYIAID ILSAHALLRIAESGEAGSSARFTSPRRDKRWSGAIVAALFLFNPFTIATCIGRSTTVF TTCAILHAIANAISGRPFHAMVALAFASYLSMYPLLLLPPLILLCYDRQKLPATGAPN AWIKFTATMVMDVFGALAVLFQMSFFLTGSWEFLSSTYGVQLTLSDLAPNIGLWWYFF VEMFDSFRSFFLAVFWLHLSSYVGGLSVRLRQQPLVVITLLLGIFSIFKPYPSIADAS LFLGMVPLYRHVFPLLRYSFVIAAIIIYTTFLGPAFYHLWIYAGSGNANFFYAITLVW GLGLSLLVCDLAFAVLRDEWEVERPEMAGKEIRQI NEUTE1DRAFT_149748 MPKIKKKGQAGAAKNYITRTQAVKKLQLSLPDFRKLCIWKGIYP REPRNKKKVSKSATASTTFYYTKDIQYLLHEPLLQKFRDQKVLEKKISRALGRGDVSD AARFERHAARPEATGMPRYTLNHVIRERYPTFNDALRDLDDCLSMLFLFANLPSTSAV PAKMIARCERLCLEFQHYLIVSHSLRKSFLSIKGIYYQANIQGEDILWLVPYKFNQRI VGDVDFRIMGTFVEFYMTLLGFVNYRLYSSIGLKYPPKFDQLKDENGAELGAFSLEGV NMATQGETKAVTNGEEQQQGPDPKVQAEVDKIIKQLKEDESKSDETAEEDAEADDEEK PTDSIDKFEPVAPGGDVLPQPAYSSSDPSQLFANFTFYLSRETPRQPLEFILRAFGCK RIGWDSVLGEGAFTNDESDPSITHHIIDRPVVQAATNEDGDGEDNQTAQKVGPNQRYP GRIYVQPQWVWDCVNDEELKSPELYAPGAALPPHLSPFVKAAPGQYDPTLPLEAQQTE AEAIEADLEDAEKGNAEDGSDVENDMDVDEAEDDEEEEEGDEEDAEEAEEEDAEEDEE ESKTLQRQLELEAELQGKKVQNKKVDSKTKAKLEQRKALEKKAREEAEDLERAKGMLS KKKRKLYEQMQYTNNKKSAQDEKLRAKRRKLEKEKAQKA NEUTE1DRAFT_105153 MSSHLNQEDSGDEDWGKDTEEINSIASDELHETRPNRWNGPPST WLTFTAQERKAYQALEGLRRRDLSAHLYNAHALRTRAKRQETKEATNDQTEDEDGSRP RENEWAPSHRWTAWPLRTGEVVDDELLPRTADEHEAFTLRPPVRTYPSKNLEEEISAT ILRCAKEKFRSRGFPEEDDEDDHSAIHAVGEGNDGVVDSIEKGDNDHHHHAESSQLLS SPPRRNDESARYSVPLSSPVKREPVSQDESSKQGGSASHTLSSSRRRHRAPTPTYIPG PSVDDDRSYKLLRPASRRILSKLDETLTILHNARVAGLRDLSESSASDTEDNDNDAET EEPDRQQQQQQQRTLRGRPRARSPSPSAEATASEAKSNPPRGTSKRGRKRKLRIPLEG ETGQEMLERVARETHKRIPSFFRSRASSFHTTDEADADETASEAEARGPPRSYQLPSS KKTAERPNRHRARSRSRSRSVTSTAALTAAQEKDRMGRVARWGLRDWRDVLGAAALAG FPQNVIARATQRCATLFGEEMAMHTLCSSSSKRIKTMVYHPGDPPPDSSEEEVDATDI ALEQRRTVSRQPSLVRTFSPDVDDEQQEDEEVMKGVKAASEAGTEAERARGRSESPAR SRSRARRAGTPALGGGLRVRRRSVTPAAGGDVYFCPYPECARAVEGFGRRANMHRHLK LVHGRSPPASPAGSVMGRDDQDSMDEMEGGIHLDGFLQPIKISGDSKI NEUTE1DRAFT_149749 MSRPPSVTGTRSNSSMRPPPTRNTASSTGRQSALRNASAPGGSR AERSGAASPADSQVSATAGAKRKERDFDPEDEGTTNINVVVRCRGRNDREVKENSAVV VKTEGLKGRIVELSMGPNAVSNKTYTFDRVFSQAADQAMVFDEVVKPILEEMLAGYNC TIFAYGQTGTGKTYTMSGDMNQTFGMLPDTAGIIPRVLHALFNKLEVDDKEHCVRCSF IELYNEELRDLLSAEDNTKLKIFDDNSKKGHATTIVQGMEERHILSAADGLRWLQEGS VRRQVAATKCNDLSSRSHTVFTITVHVKQQTENGEDYLMGGKLNLVDLAGSENIQRSG AENKRAAEAGLINKSLLTLGRVINALVDRSPHIPYRESKLTRLLQDSLGGRTKTCIIA TISPARSNMEETISTLDYAFRAKNIRNKPQINALLNKKTLLREFATEIEKLKSELIAT RQRNGVYLSNDAYEELTVQNESRRILTEEQAARIETLETNLRNKLQELYTMTSTFMGL RKEHEATKAQLDETKDVLDQTELVLAATRKSLAEEVHLRRAHQTTEQKLAKVGDELIS TVKRTVQDVEGLHAKNRRRSDLHALNRSTWAMSQSQVTGITELVESRIAEFRKGQEEH ISSVSERMQSFVQEELDKLSTTQAFLDQNLEHFAESRTQLLEQKQKSKEEMDNVLEEI KVVRDTVKQRVGESLQAIASAAERIAGDVLGELTTFHSQLHASYSSLGKECKSIFGDL LQTISAQKAEAERLRQELEGASQTIVESNATASARIQEVLEEERRQAAEERQNLLLQI GSLINSQAERQESRLAGKTALIRQAVMDSNATFEGSMSEYLDGMNAWNEKDSRLMEDV VKSRDALKNKMKDDWATADEHSNSIQNTTKSVHAETVRVVDAQLKDLDTQMQDLDQFV SRARSENSAHHEQHVVSMENLTSTVEKSFINIADHFGERFDRIRDLSGEMDNDAKILR ESLEPLDESLRQPLAALREDIQSTKIREYEPTGDTPEKKRYEYPTDLPRTAGHETLIA GMQDKPSTTPTTSPAKRATMTAVYSDIVVSPSRSPSKVPLSVPVSPRNNPFGTPGPAT STRNKSHPIDPSLREVNPNLGGGTSVFGELSSSTMSMAPPSTSVIGFHANNTDHIEES ATQVPLFRRSNRRQSPRVLAKKKITGHAGGIITEGLENMPVTTDASVGIAGNRRKTPR LG NEUTE1DRAFT_90155 MSTPTSPPISPLLFSAQLYPGRALGWLVLGASLHDILTRLKTEP QRFPNLDVKYSPTEPTKSIVIIDLPANGIRLQFDAPEQRLRLIEVVDFTKNHIFLKAA DNKERDLVRPSTSSTPPTDNPAGPTFRHIYQRFLGPTYDGEFIDGPKTPDNAVDQYGL YVLSWPGVAFSFLMKKASYSAKKNVVDLLPSTQVPETMGIFSGDSWTQARQTLWTDVL PSLKTFTPLTKGKEVFPDEVSLIKIYGAGKLQVFRKWTDQHFWIRLGQTTPQDLVSHL GPPDAIYRKNDHKMFAHKVRTGSDALKRPDSADLKHQDDLTDTDQSSANAGSDGYHSS DDETSDVSVEGHVSGECFYNYFYHGFDVLVSKPTAPSPVPPSQEQGKNVPNVPRDWLL TANADSLVATKIVLHGNVPGSYPFNRHRRCRWEIPYLSSASDVVINSESDFPQIEESL RKEWQSIYPSEDEARKAQRGMVLNRGWGDSPGSSIEFLGGWEGDGAAAAPDAGGMAKK FDGPADSTTTLYGFPGLVFEVLKNGAVTAVTTTPIFHIGGTGYMMVNGTWVFEGWTIS PVLFNLRFQLHT NEUTE1DRAFT_90158 MTDPDASPSSNLRPRRATKQPDLDSDRHDHASTAISRQDHQVTT NLPDVSVTSSDLNDVKDDYDDASIPPDQRLPRSHHPRADDRNFSKGALRAHKHRTNGA FLLSDPALGAHTQHTQLQLPKRQSLLEHGRNKITKNGLDRSPGRHKLTGPSNEVGLGL VQRSGSTTEPSVRQPSSINDSAVTSNRGSSAGETVIGTNPSPRTSMALLDMESAHIVN MALNLSESRRIASRRIISQPVPSRLGPVPENSTGGSLRQHLQQQRKVSRNMSPRPDHS PRIASARSLQASAGLEAYQYHFSQSTLARAQKAREYLGLMAQYRRLLELLPPLKAARS ATYSTVSPPLTPNGPNFPSRPTTHDAETTIGRPYNPLQYIRNRKIRAREKKTLDGEGQ GFNDVERVSEWVDEVAKWIAKGQDRIPGNPSIPPFSPTRILSQEIPPQSLGSKPTTAT PKLKRPRVDWAVDPADMIADIYWLEQDDNKFLVEDRNWKRLFPQGGAEIYRSLSRDDT PGSSKIFSNSNSITALDKARTEQSPQVQPHGFSASRARDKAQQKLRAIRESHHRANSS IYNRDILRIHRRSFSESSDTDSDRKRRGRHGTISSSGKDILARQMEDMIAQEQRDIEA HPLYDQDTQQLKLPDAGLAMPEKGQEWPRITSTSDGADNVEGRRPASRSEPADLEGSN TKYQAKPAPAQVLAGRAGVGSLSGDGRISTDYDTSPPYSPDLRPMHDALIPRLSTDMS ARPSRPSSPIARSPSKNPLTKVRSIFRDRSNEHLAELPSHIGRDENPESTQFLYDRQP VESPTTLGFGTGMLSPPKRKTSRSPLRKIVTKGTDSSQKSNKSTGIYKLREDGNSSLK GLFRGQRIDSVLRSGVSKVSDMFWRKEAAPGPLDKYDSSDESDAESRGRSRSLPRSPP RKASRSPSNHGKANGEKNYLDVMPQFIPTAEKRHSKTKSVGGSIDPNGLLSASPPSQP LSRRSSRFELLKPPKIDIQNASPTSSPGPLEITSLRPWGPSDVPDVEGSRKSSVNTDA GGVQDADARLNAMLSMAKGRQLANSSSAGCWSIAADRENATPVPPQTIVSKREIARLR ALLLSSGIHAMEIDRRAKADKLLNTSNKTDHENANTTTADSHINNNSRLSWPDIVALS PDPSTRQQLLVRPVSQIDVFPLAANILSTSIQNSATAFQSSVAVFQTQTAPHMTNRVE ALRSRISGELTEMTRRAAEEADDAGGDLMTHQRLKVKRVVDMIDRMVRRRRRRFRWVR RAGWLAVEWVLVGFMWYVWFVVMIARVFLGIGRGVVGVGRWLLWL NEUTE1DRAFT_90162 MFGLGRPQPTSAEKIAAVENELKVVAEMHSRMVKICTLKCIDKS YREGDLSKGESVCLDRCAAKFFETHQKISDQLQKETQARGGGGFSM NEUTE1DRAFT_125697 MIFLRPYAWKWKPVGARNTAYLRFYHLARQHELIQSNALKYPRI RHEGGAPMRIPIFREKFKDVEMGKHADEEVIIHGRVQSVRRAGNKLMFLDLKGEFEHV QGLCNFNKLQVTGVELGEFKALAKLLNRGDIVSITGRATKTPTGELSIEATRLPELLT PALAPLPFKLEDEEVRIQHRHIDMLVNRKTIDTLRLRSHLIKYLRDFFHERGFLEFQT PILAENASGATARPFTVTASETSVNKNLAMRIAPELWLKRLVVGGVDKVFELGPAFRN EGIDQTHNPEFTMCEFYNAYANLDDLISLTEELICGAASHCRDLIATKLDSLPAIDMS QYQRPFQQLEFIPALESALGFKLPDLSTSSSHEDLLALLKQHKIEVPGLNKPDITLAK LLDNLASEFLEPYSLTKPLFIIHHPVCMSPLSKSFVCPKTGQHVSARTELFINGKELA NMYEEENDPASQRQKFVDQLNAAKREASLAPGVYNDEGESAMEVDESYVQALESGLPP TGGWGCGIERMVMLFSGTKRISDCLSFGNLRHVTNVPGAVRTGEDEEKAREMMDEVEK KGARERKREKGKEKEKEVMDVSDGEA NEUTE1DRAFT_149754 MAKHRNRKAEGRRGDTSETSAVPFGKDWNKENTSVNTQAGKRYP LPGTVVDLSGPRSDFFQQIELLQNHKPARYESLLDLTNTTSSVNKSNVRLFNYTREWV SLGDKKPEDVYDMVHERDFVRQFPRVHKETSEAECLRIKMFYHKMNQDKAFRLMQATK DCEPRLLLAPTPDDGILNTSKSIKTELGRNPNNRKNGLVHIMNIPEIFDNVVDYIKPA VADLTSLAMACKDTARLISGRFAVWDFSSGNFHLEKGYKNEKGGIRMNTLIITPITQV AAASPQDKPFEQEFKLLTKMVRKMCISLTSFRDLMLDQIPYLNTKLVRLMIKSMPNLE SIAITRCKQLDFTKLDSLLRIIQEKNRLAATGRHKWVRLDFYPYFFEGPTSAPNTLGS FGLTYHKPTFDVPKAVFARIMACWDLAATLGVDLLSDSSGIWHFIRRLPGPDPFWAYK AREAVFTWERYRVCSYRTFDAYRKLNRHLWNELGAAVCGDTAEKISREPKERECSGCY TLLPDYFFSNTKNLVCWGCRMGAFVANHEDSHFRDRTNRVMQIWLGNRKRWLFQELIY LPNDRDEERDALKMAKLTDKAWRYEFFEFKPGMPRFPQAIHWETHLSSTKRTRQLLWP LTGRTNHREGGPQYENAYLMAGLNPMWDERTKKRYRDVDRANRQDFFGIYVSDLHALP DWEPYNRNWEARERGKADLERKPSAYLGNW NEUTE1DRAFT_28719 GHNTSRSVVLKSEPVLWNAGRIVLASIVEPSLTERTYGREYAAS CAYCRALKEG NEUTE1DRAFT_142075 MSDEQKNVELPQETQPVTTADVPAEIKPLEVVPVTEAPVVVADA EAAPAAAAAATEATEAEAAPAAAAEDKKEEEVKPVEEGHLEHKGQPANFPKNLLFSKK FFWFGNEAVDLKSISSFKADKAADVAHHVTAWAAETGKGLLFFSEKSDKAAPHGAIHL ADASEPATDGPTKFHFTAKGHKHAFKASNTAERDNWVSQLKVKIAEAKELATTVVESE TYKKTIETLKPAAVKKEEKAPEAAAATEPATEAAAETPATEETPAATEEVAATEPVAK EEAKEEGSKRRSASRKRTSIFGNLGFGKKEEKKPVTVEAVPEPQEGETEAPAAEAATE APATEEAAATTEPAVAETSAAPVEEAAAPAEEKKEEAAETPVRPTPAKRTSLFGNLSF GKKKAASPAPVATEEAPAATTEPVAETAPVIPAVDTTEPLSTEVNSPATVPTETVEVP AATNGESSPKKEVKSDKRKSSLPFAFGKKEKATSDEEIEKPKSPSPFSRLRDTIKGKG KGKAEKSDKVEEKTEEVPAIPETEVEAEAAKEEAAPATTEEVAKPATETEATPAAPAT EETEAEKPAASVPVTAAA NEUTE1DRAFT_105161 MVPKLKDPSLFKKDVCYVNGEWVKAHSGKTFEVNDPATGKLIGT CPEFDAQDTKKAIDAAETAFETFRHKTGRERSKLLRKWYDLMVENHDDLTTLITLENG KPLADAKGEVTYAANFFEWFSEEAPRIYGDTIPSSVPGNRVWTIKEPVGVCGLITPWN FPAAMITRKVGPALAVGCTVVCKAPGETPFTALAIAELAHRAGIPPGVVNVITALENT PEVGSTLTTDPVVRKISFTGSTAVGKLLMKQCSGTLKKLSLELGGNAPFIVFDDADVD AAVTGAIASKFRSSGQTCVCANRIYVQRGIYDEFSQKFAEQVKNNFRVGNGFEEGVTH GPLIHHRAIEKVEQHVRDAEKKGAKVVVGGHRLESLGPNFYEPTVITGMTPDMAMASE ETFGPVAGLFPFDTEDEVVKLANATQVGLAGYFFSRDIHRCVRVAEHLEVGMVGINTG LISDPASPFGGVKESGFGREGSLYGIGEYQVTKMVTVGGMGKPLQK NEUTE1DRAFT_90173 MKRILGLIAYASVPTVINAVQISVDETAPSNPVFDAYVSYSIEF SSFPDYAGNNSRPNTFSENLLDNLGKITGTKPYIRVGGNTQDYALYNASLPYSLNGTI DPKRSSDYPTTIFIGPSFFESYNSFKNTRFIHGFNLGLGGNRTSGWQTLLDTVPLACK TLGGGKLFAWTYGNEPDLFSTSAQGPVRPPSWNEAEYVDQWLNGTRKIHELLERNCPD LAKNGTYGYIAPSFAGVGNKLKAPKAWGEGLNEDNNIKLFATHNYISGATSPGVTLQG TLMNHSMTKASVDAHIVEYNQVKAIDAAAPPLIFGETNSLYNQGRPGLSNTFGAALWG VDFNLYSASVGFKRVHMHMGTNYRYASWQPIATNKATIGTKAPYYGNIAVASFLAPPP SSPYDSPATSLATVKHLPISSTPFLSAYAAYHSSNLTRLILINLQSYNTTASGEGLAP LPPSSLTPRPSVTFNFTLPAAYLLKDGGKEKQVVVKRLMANGSDAITGITWDGWSYNW ELDEGRPVRLANVTRTSESERAWVGEGTSDGGKAGLGVVVEAGSAALVEFV NEUTE1DRAFT_132895 MAAKGYRLIRRPWRMRRPLYFGMVFELLGIVGVLVLFGIQQPDV FRTQFWEIGHLMGWNSSPNMILYAYANHQPLPTVPFVWSQLLTDYNVAISVVSLFILL VKMIMIIMKFFYPILGLIVSLGLTVVYTVSVYGQAGPDYADPEHPSPVPWYIAKSCSV ARPFNAEKNCQIAKGAFAATIYMLFLYLANLALSIWSMWPNKMLDMYDDDDDSNSGDE QESKPKGKLPIVNVTALHGGIGIMSGGSPSTGYYGNKEWEMQPTQQPPTSPRAVHMSG AMGGGHGGVEAPMFTPRTQAFHALDRRLPLRSG NEUTE1DRAFT_114168 MIIIIIIIIAIHPERQGTLHQEGEHKISSSSCGSSVYLRFKCQT VNLVVITSWQQYIGRSNSNGCGPALAINPSPSHRALRRAGRPRQSATENHRLRIYLSR LSIERQATYLGICQCIENDNGVTRGVRVTRKPVTVTVAVAVAKLTIAPLSAKPRWEMG LETKHGDGQTELTSHLESPTHNPPKMDCQPPRTFQGLMVSAQTRSSVSVQNGPSPGVI DRESMTRRTGFHLLRIRVQPVRVAVLWTNLQLSP NEUTE1DRAFT_125703 MTLQDTTKFRTSAEDVTNPCADLPKASAFGITTAVSGNSSQSLT NLSPASGRSVPVTHLNHLQAKDRTKTHHLSDQTAGTQDGRLPGVWAPNSGRYGPIANK APHEYLGALSIPPISGHSGHPQPLATPPFKVGPGGAQLTLGKRITAEDKPELRPFDSP SKKTLLVAHGGLHLPPLPHTPGELVSHLPGVSSPLFLSHRARQQPPTPRMPGFFANGI AAPIMSTVQETQDGVTTLRLPKGHVIATTAQGSNRSSSTPGSWTSSESLDGTMRSLDG RPLAIVAPALQAIPATELLDQDPRPVFIVDLANPFNVSSGLLHIVYANPSLKASTSVL ELLNGDTADAEADSFEFAHFKHWVMSPEANTESIRLAPTCTTFGGINWTCSTLRKRFR VVTGHSNIVSVTPTTPSPKTQLPPVLSRSSRGSISARELSPERGDSVDYFGPMEEVGS PRPRSYSEPRSPSRTIIDTSLAGLNELPMVIPMSQVYTTFDWTRIPVDDPNLGPHHRF ARSVDWASTPLGPVEEWPADLRIMSNMIMGSPHPAALYWGEEFVAIYNEAYIALAGKK HPQLMGAKYKDAWAEIWDEIEPVFDAAWNDGSATMKHDDGLFITRHGFLEETFFNWGI IPLVGGDGTVVALYNPAFENTRRKINERRMLTLREVGVRTSEARDVKGFWSMLLQGLE YNGLDVPFALVYSVTEDPESEVASINSGSVTNPPQITLEGSVGVPEGHPTAVQSIDLR TSDEGFAPYMRDSMAHPGNPIILSEDDGSLPHELIKGFDWRGFGDPCRTIVVFPVHPT TAGDMVVGFIVLGVNPRRQYDEDFELFVNLLSRQLATSMASVFLYEEEIKRGQRAARL AALDRQQLSMQLHLRTQEAVESEYRFARMAELGPVGLFIADSHGRINYCNEMWWRISG HERSASTLDAWMQSIRDEDREGVVNVWRHLVQEKVPVTYEFRFNGTRKIVDGHTVDTW ALMSAYPERDKTGELKSIFGCITDISQQKWAEDFQKRRRDEAVELKRQQENFIDITSH EMRNPLSAILQCADEIASGLNRFRQENPAFRGPDTLETLVDSCLEAANTISLCASHQK RIVDDILTLSKLDSQLLLVTPVDVQPVQVVEEVLKMFEAELNSNDITGHFQIEQSYRN LEIDWVKLDPSRLKQVLINLMTNAIKFTQGRPTRAITINLGASKDVSSAGLSYFPPRH PDQEDLTDDAEWATGEKINLHLAVSDTGPGLDENEKKILFQRFSQTSPRTHVQYGGSG LGLFICRILTELQGGQIGVYSEKGEGSTFAFYVKSRKAENPQPVPTVVDNSMSIQPLQ QRVNPQTQGQISHRSSSSAAFSLLGHGRSHAPPTRTQSAPVIADTTQTKSPDVLIVED NLVNQRVLQRQLQLSGNNTFVANHGREALVELRKSRFWNKEIAATDDAASALPRSNKK AANDGGTDGSPDGEENSNNNISVILMDLEMPVMDGMSCTREIRRLEKVGVITRHIPII AVTAYARPEQVESARAAGVDDVISKPFRLPELIPKIEELVLKYDREP NEUTE1DRAFT_149760 MLTRQATLSSTRHRLGFGSTQQAWVRMLFCAETGRAPSESAQAE SQEARDFKRPGPTYDGKWRTASFQFRDWWKDVIGEYRTRCRGNMVRLEAET NEUTE1DRAFT_125704 MVVVFVSARRHYILHPVDTYPPKVEDDIVLCSICDPQMHSHYID RAGLRRLLCCQRLTGLGRISSLDADWTGPCAPLTNKRPWLWMSVSTTVTARLKQQAPT VLKGSKPHRMEPRRFTGATLCGYHHQPQHYWCCATLITTITAPPNSDMIQKLT NEUTE1DRAFT_90181 MNDEVTATGQEGDQPSQQPITPIEPFHLDDLPTRIDNAGVSLPV ASATLSLATARPSLSDHSESTRDDCATPKEQSRDDGQKQNRPRSPPIALAKNGKEHSL PIMFRRKGEEAQHPPIPSSVAHLTPSIDGPIKPVPRRTPSRQLPPLPTIPEGITSSIM TPTSTSSPGFGSDTSNDDFIFLKSTPYTVTMPSFRHGPIRLPKPEPPTNLLAANAEDG LDWVAFQISIGSGIGDFDSDPLDYSRPSGAELNERDDIIAWFSGFGFDGYGALRTFQT AEEEIEVRKHKQENAPLHARSASSGSFLNMDSPEPPDSDIKGRAPTMNELYRIQHLQA SDRDRKHSHERSASVNDQLPFRALATHIAAHQTQQPHFLLWTSQETHSSTWPIDRQSR RDHPEKPATNTPYGHTHSQSWSHSPPPFSALTSDPTVRWDHSRGRSSSDAANNNTNTN NTPVPTSINRNQSPTLRTSPLTKTLSRSQPPHTQRHGTPQHQVQQQQSSLAPPSSRFP SPTILRSESPFLPGFAFQYEQQTVIPSSIVPATTQQDQQAQAQAPPPPQPTKQTAKKI PQAIDAARARTWKQQQQPQGSRHHHRRAESQESIKSLPQSPALNMVVSRDVNGQEYVI PMGFNLDHDLGDFLKWEAEHVAWKID NEUTE1DRAFT_149762 MSQPTQDAGPPLFRAVASSTRPLYQLLKAVGFTNKIHVEITPEG LRFSADHARVMQGAAHWNKNLFASYTTNLPPAAGNGDDDDPEPEPVSFQISLPAFLET LQIFGVADVAARQARSDIDPLVRGNPRMNHQHRGGNGSDAFSHHTLHGGGFASSSNGT CILSYPSDGGPFSIILEEAGVKTTCNLTTYLPEMPEDIPFDIDDLSFKVIMQARYLLD ALAEVGSINPERLTIVVSRKEPYLRFRTSGSHSGAGGPGVESTVDFRRGRDLLETFSV RAVERAKGERRWSQTFKFDTIKAATEAMRIASKVSLRGDGQGVLSMQFMVEVEGAGGA GGGVPGGGAGLSFLDFRFVPYATDGNEETDTEGEGNDVDMNEA NEUTE1DRAFT_142084 MSTDTKRKATEEPTSPSSAKRIKHSDSNEENEDTKKPKIPAIPF PEKAAVIEERDGEIEFRVVNNDGERESLIILTGLKCIFQKQLPKMPKDYIARLVYDRT HLSIAIVKKPLEVVGGITYRPFKGRQFAEIVFCAISSDQQVKGYGAHLMSHLKDYVKA TSDVMHFLTYADNYAIGYFKKQGFTKEITLDKSVWMGYIKDYEGGTIMQCSMLPRVRY LEMGRMLLKQKECVQAKIRAYSKSHIVHQPPKQWKNGVTPIDPLSVEAIRASGWSPDM DELARQPRHGPNYNQLLHLLNDLQNHASSWPFLVPVNKDEVHDYYDVIKEPMDLSTME DKLEKDQYNTPEDFIKDAKLIFDNCRKYNNENTPYAKCANKLEKYMWQQIKAIPEWSH LEP NEUTE1DRAFT_149765 MEEQDVDNDNAVTHGTHENAVAHVDNDNVDADPDWSETGPATKA GRVGQLPKRGRGRPRIHQRGGNTNSGRSIRTQPQAQKKPNGFDQPSGEKKTVTPCFEP RKTRATAKKEDLVIQETIRTSTEPDSRHGSRALSAGADEETLYMKTGLDLQASGADPE KSRPDYAVQPFQDLETTAKLPDQELGGRKKTERNGTATESTVSNMNQDHTQGFIQAPE PLIYPNGFGKWEQQAALSQYGEDVLSEFRGIWRKILASIDTDSQSQTRAVDVWKSLLD PNLATRFRAPLSARYRELTNRCKQKDGARNG NEUTE1DRAFT_125708 MVSRFLGATVPLAAAILPGARALYVNGSVTAPCDSPIYCYGELL HQVELARPFSDSKTFVDMPTIKPVDEVLEAFSKLTLPLSNNSELHEFLSTYFGPAGGE LEAVPTDQLHVSPTFLDNVSDDVIKQFVDSVINIWPDLTRKYVGAGELCTGCADSFIP VNRTFVVAGGRFREPYYWDSFWILEGLLRTGGAFTEISKNIIENFLDLVEQIGFVPNG ARLYYLDRSQPPLLTQMVRIYVEHTNDTSILERAVPVLKKEWQWWMTNRTVEVTADGK TYSLQRYHVDNNQPRPESYREDYITANNNSYYATSGIIYPETTPLNDTQKALLYANLA SGAESGWDYSSRWLKNPGDAARDVYFPLRSLNVLEIVPVDLNSILYQNEVTIGKFLAQ QGNKNEAEEWAKKAEQRSEAMYKLMWNSTLWSYFDYNLTSSSQNIYVPADPQAFPFEQ PSGTPEGHQVLFSVNQMFPFWTGAAPDQLKGNPLAVKLAFERIKNLLDNKAGGIPATN FVTGQQWDEPNVWPPLMHVLMDGLLNTPATFGEDDPAYQETQTLALRLAQRYVDSTFC TWYATGGSTSETPKLQGLGSDLKGIMFEKYSDNSTNVAGSGGEYEVVEGFGWTNGVLI WAADKFGDKLKRPDCGDITPAQVGKRADITMEKRAVELDVFDAKFTKKFARKGKLEKL KAKFKRRAAI NEUTE1DRAFT_142087 MGQADVQNAWVGSAGPGGFDLRSDTMTTPTASMLAAIQSCSLLD DVTQEDPTTSDLEAHCAALCGKEAGLLVLSGTMGNQLAMRSLLTQPPHGVLCDARCHI VKYEAGGVSSLTGAMLKPVLPSNGVYLTLEDVKANINLDDDVHTCPTRVIELENTLNG MIMPLSEVRRISAFAREHGIKMHCDGARLWEAVASGAGSLAEFAQCFDTISLCFSKGL GAPIGSMLVGPKDVIKHARWVRKSIGGGLRQSGVVAAAARVAVDETFGKGPNGEGGKL KGAHILAKEIERIWTGLGGKVLHPVDTNMVWLDLNDAKCSVERMNELGKESGLKLWAP RLVISYQAYENRDRVIPKLESIFETIMQEKTTKAGEDFQEADGEISQYRSK NEUTE1DRAFT_118662 MGAGCPVPGVLGLGPANGHEDEAEGMSYCLQDSDSEEEEPCIEE PLKEHRSGYCFG NEUTE1DRAFT_90195 MSWATEGNAQPISCLECRRRKQKRGVAHLCRFVPKKANKSDTAS DDGSSSGVLFSVSKKRALESPEESYFDENGCQDGENDEADEADALNALGYMPHIHHVL LGKTTKGTADVDDEPVQSKELQTAIMSMPAKPYMADCLVDNWLNGANHHYYALYRPQF RTQYDGWWATPANKRTTELTSLILRVCACSALYILDAGVMDRLERELGTDALTLATTL HTTAENLSKTVPSGKGGLAHVQQLFLTAFWYKSAEKWTEAWHALGTAIHAAYEIGLHQ DSLSDGMSEFDREMRRRVWGILYLWDFSLCLRMAAELKAVPSDNSTPTEMSKTLMSKA LKDIVQKWMDELPAAYALQNPDTQWDAENDWIVFQRRYLHLIGHMCLFDPLKQFVTRS SAEPFSDLELELRESGVLAALGLMEVSRTFFDHLASAGAKFHYAVFCIFDTTTVLCSG LVRDEARNLPHRETVLESIKRGLSMLHECTGESKTTLSLCRILEKMVANLPLSYREKG LMGSNKRVKSKSTSPSVSPAAGGLEVADTARQGRSIDSAIHLVEEPRQSDNIGSNVSG EASAVIDNNSATPEAAVRDDAGPNHAEPLQVCGLPPPPLPSVEAATTPWETTVDQFGK FQPAMYEMAQSNDPGQYGQLDWQLQQYHLNNNLDLFNGVQLLPLDGGVPTLLEHWDWE GLHVGNPRLWDSPSPLASP NEUTE1DRAFT_90197 MNQPSQPTTSAPVSVPYEQGPTGLCLSCHQIKSLVSTHHNSSFD SSSIIMTSKKKRPAPPQRQDVIVRWKNYFGAGDLEDWQRLCQDLGLDGELGSKTKCRT ALKSINVNIWDFLDAVEVGNIPQMFLTKKELVKYTSKTGRIFPRDEAKDMGPVAALLK ILR NEUTE1DRAFT_118663 MNCVVEYGKHRGLTSQSLSSHKDSLHKRNLGTSPKTPTIFFFFQ VTQHSHALP NEUTE1DRAFT_149769 MSKKKNKNKNNPIVGEFARYFGENTLENWQRLCRDIGIHKELPS ITKCREVLRTVHVNIYDLLDAVREGATPQRFGNPRQLAQYSVANRKIYPRHMAEKDKV GPVRVLLRGLFREG NEUTE1DRAFT_114178 MPANVTQAGQKDDFTHGYAELGKEGTVASSQRYLDLPTRWREEE GRGRQRMPDMPNVRQRMHDDSGNGDWLTGRPLALFDAGGRFKPEGGEERGLNEVQGFR GIQEAHYRYPCPASIHGRFGLAGATAAVQPEQARASCGGAEKLKGRNPESATTGSTWP LTVGRAHLRLFHLLALAPHHGLRRLGQWNLSRTRPAVPAIGCKRANKTFQNVIGNSDP RAVPALAAAGGGGGGPRYAASFPFFQHLDLEGKSSPQEESFWFATTSTKGRRPLVFQR LSAPPASTRGDDAMKPRLAHLGPDVAVSAG NEUTE1DRAFT_132904 MELERALLSPITEEQPAVATLAETTNTGPLVVHVHIQFHDQDLH SVTHNQTYVSSPYFEPTGRICNGLLRRIEHCSEELITRTDPTALDMIKEGSHDRKPLR FELTYRVTRAGWMGEWAVRTYRSYQQRPLTAASAKEVIIASHQTVGMFFRRHDSDFRW LGGSVLDATPETADSSLDSEVGQMSLVAIPSPRFFEESQTFEFVPGYSIEVSLRHRNP RRLIPAFKRAVKVYSRQATPLTAEMGRDLLANAAEAINRSLGPRRQRLEIHAQQCTTM TCQHRDSTALNIDVRISNGMGPAHNSLQRSIRSSLSLFHDSHGEDFVRDVEESLADVT QVIDAQINQTNDFEFTICELKGVNWSVQVPAAFTVGPSASHGRRDIQAVLDRIQTSIG DVLQGHNTAVRVNARKRGHLVFKEVIQTHEIPERLKETFQSHEEEGSVLTSRLKERIQ KDIDMIFKDTCSIDDIALEEEERHPHPIEVPLSPGLSVEWPERQNGPSLEFPPLSAKT STERVNDNPISFVKRAFSLKRRSTSSLRQSLRSIASSRSSLNSQDDSCSVNIPHFPEA PARPVSPTPATSTKSSKKRFSLGKKISNMFKSKDSKSDLKSDVKTDIKSESSSRRRFF RSSSSKSTNAVPVAPVEPKLMRAHTVTEASGGRTLHRPTRSMTSNPLGSYDATHSARG SVEQPRPFQAATPNLANRHGIASKIFETYPQPTEPRWSIDRLERPVMDTPQLYEDAKE FPHQLLTPGLTARSTDTPNPFHWLASPGADAYSTAPSTPALSLGGESSPRHSLLISPI HVRHLELKDNTSSDFEPESEPEEPEVGTGVTTVGASVAAGMEVLKPSEDDVRSVVLTP KDHTIQPEQVAEESDEEAVKSVSYDATATATSVKDTTTAPSDLHKTESEPIILDETLA SENRELGTEPAPLSNETLSEESDFPKTAIRPPQPSHETTVSVDARNANSETRLASDKG TEVQNAPVVQNEDRVNATETDYFKDFESLAESKPVANIIGSAIHASEANDATVSLVDN PADDLNGLVAEPAITQSGPEGDKAEHQHGQDCDTRGSDVDDVPPTSTEEVAHDIQPTV KAFQSGPEDQTAEPDNYEADLVASEIRDEPPSMDEVTQDCNGKVSEDESVDAPSRDET SPLAELAAQEPKPAQSSPEAEASETGEDGAKRPIEDLDQNVSADFFGDVSEPSVANHI TFEVSGEPMEARETMVQTDTSSEGSVSEHDKEWSELAEGDSISPAGSKTLVEDQEETD MINTLASLHISYTNKATGASTKGHHVFFPWTTSAVGSASEHPGSNNQDVNGSTLVAHV EIHESETREPSDLESKDEENTFGPVPVPVESKEDVLSDNDTIEITDETPNSLRQPDWV FEETDRPHSLVDTNHLQPFEALDSRSDAINVSAGPELAAESPDIQDNDHTHILPEAPL PLEDAPDAQKSEVTFPKVDKADESVAVAVATVERTPDIEEDYELSFGTVETAADIDAV EAVDDVQHVEHVEDVGTAVEAPDFQEDEKIEVNATKPDMTAQYVCSFEERGRPFVSTS DVVERGHASDEVYDNRDAGVGEKPHFDDSDTEHREPTIPQFWPDPSSVFIPFAPKEVL ENDTELFHPDSMSTMDVPILGVETVEHCDPTLPQFRSSDVLEIASRSYAVSGDTEEGF EVFEPTEAVSGRVEEKANYRDPTMPQFRPHVLLQPTPTPLVSHVQMTEDSIASQQPME ASKEEISHRGKDETEYRDPTMPQYRPNSFRSVRSPSVSTMMAETAGYEEKPVPILIDE ALIETTKFSEGDLDCCDPTMPQFRPNSILDSTPISSVSDEPVHEQGEQPDIPTEDDST ETPSRDDLSVEYHDPTMPQFKPNWDGPELPRLGQAGSALPTQQSAEYHDPTMPQFKPN WDGPELPHLGQAGAEQPTQQNAELTNLEPMEIEKDDDTAKEVNAENTESPRQLNDSTQ PERVGTEAPDTEEVRDMQAESLESDNARDRMPEAKFVEVESPEHIQPDNAPVTEPVGE TYPGTEDEEVDFDPSEPLSQPATEQSQGTQAEELPQDQFDTLFVPVDPRPATELGTIE TGANELAESDSESSSQPSSESGSERTPTPWGEELYHDQHDALFEPREGCIHQVAADDA ALKISGVSKLDRVEYRDPTMPQFRPNVFLPYRDPTMPQFRPNAVMIISPITVPERVQE SAEELDSDYIIDRAMDVLDQLESEERAGLNEEVVDQVIDLCEQVLEQSSAEDEQTEDP LEQFEANNALEQIEAETEQTERLLKELNNEQLERTMELFEEAITGQALKETDNALDEI AAEAVQTEELLKELDTAQTERVLEIAQDLEIHHSLDENEKTLEQPDIEVEYTEELLGQ VDAEQTERVKELVDQLETEKENEQNQASLKEINAEIGKTETLLEGPDLKQHERAEEIP QQQGSQQDVTLTAADKSSEKPIKPPVAGQAVDVPESFLDEFPEDKEQTPPSAVLPAEA AVAEAAAGVPLASHRFVSRPSTLGYAEIGTTEMQDTPQQPTVAVVDKAPVGESSIIPN VQLEHPGIELANLEDEGLEDADVASDVPSVEPTIGTVIVNCETWIEHTDLGNIALETP ASVVQRAAEETSSHEPIVQEAHPEFPNIEHANLEDEGLEDSELQADIASAEPAAEPVV INCETWIEHADVEDAGVQVQVPHVVSSGEETTMESTVIPVQSSHGEILAEKSYIPLAE PTPISECIAKPYYEDLAATESQSEQETSTNEPMIDSDIDKDEPLACQVSHEQDANFEE QDTLSEVVDRSLGDAPGTEDTDDSTGDVDTTNADGPLRVESLDNLSRSAEAIENKLRE PLGVESATGEDEKAEGEEVIPATDPVEEPLPLELEANIEETGDFDKTPLAIPSAKHED VIVEQEMLQNIPAAALSDLCLLEEIVPDQPISAPAIPLITQEISEPAQQLSDINSCQV FRVSPRGSVDTIRGSDVDEEEAPELYAPPPTAGFFGFHHYHENKWAKVGLFEVLINST SRRFSLPLQSLLHRKHRSDACP NEUTE1DRAFT_125714 MTSVTEETTAPVLAAAAAAAAGLTQKRVPIPPRGVDYRGKIVLA PMVRSGELPSRLLALHYGADLVWGPETIDRAMIGTTRRVNPRNHCIEWTRVPTNNVKK ELFDESVIYRIDPVREAGRHIFQIGTSDPARAVECAKLVAADVSGIDVNAGCPKPFST HAGMGAALLRTPEKLVSILEALVAEIKPAFDIGISVKIRLLETTAETEALVRRLCATG ITGLTIHCRTTPMRPRERAIRGQLRMIADVCHEFGVACLVNGDVEGRDHALELMAEYG TDGAMIATCAEKNGSCFRAKADGGLATWEEAVAKYVRFAMEVENKFHNTKFMLTQMVP GKSPKYRELTPCKSYGKIIEVLGLTGDEELVEMARRTDELMKLVTLDGSSSSSSGNDK SKVEAAGDDKKKHDLTNNVAQQENLKRKREDGDEETEKQQPPPSMRINVSEAGEAATT AAATSVAEQQSSQPVAAAVAAL NEUTE1DRAFT_118665 MASQYSVRKVGAPYTLEHRVYIEKDGVPVSPFHDIPLYANAEQT ILNMVVEIPRWTNAKQEISKEELLNPIKQDTKKGKLRFVRNCFPHKGYLWNYGAFPQT WEDPNSIHPETKAKGDNDPLDVCEIGELVGYTGQVKQVKVLGVMALLDEEETDWKVIV IDVNDPLAPKLNDVEDVERHLPGLIRATNEWFRIYKIPDGKPENQFAFTGECKNKTYA MDVVRECNEAWERLITGKTAPGGVSTTNVTVQHSSSRVAPDQLPPLPANEDLPPAPID SSIDKWFFISGASA NEUTE1DRAFT_96584 MLTRFITDVSTRFNPFSAKAKAARLFLSFLPPNARSNGMNITTQ LLPRNSTETPLLYVKFKDGKEMNLDVENMGIKSIVEEVDRHSRILQKQADLNDG NEUTE1DRAFT_125717 MSTFETLQERLTALQETTGQLKDLIERLATLKFEPGSVPLSNSI SSLANTGADGTTNSEAADLSAEISQVLREEEEDLELLQEEIVDLRSGRPGSEAEHQKT RLKEGAQRLAVELKGCRTSFRKAQLAARRNLETAQRLERQLLLASYVAVAANLAASDR GSGASTPVSTAAAPSGGAQPTSTTTPPPLPTSAAAAAAASTDAPPLDPRQALFGNRRR KQHQTNLPPSHDSEVVSASSTVTDALRRTHALIASEVAKSAFASQTLAESTAALKELQ RSYEGIDSLLSRSRDLVSTLLQSQKSDTWYLRTSLYMLLCTLGWLVFRRWLYGPLWWT LWLPLRMVWKGGKVAYGVSTHHGGHGAAETRTGEGARMEVSVGNEGMGGGRSVVGMAE EGAVPTLQVQVGGGGGGGDGGGGGGGGGGGEKVKKRDNDNDVDSESMAEKVGRIIEEN LPPLEEEKDETHPIVVEDPELEQGWIGQERIFTGEQGEKGEEEEKEEEEVVQRNPKKR MWEEPVVNSPLREEL NEUTE1DRAFT_90214 MTEASTAHTMSELQKQWAKARLGSQALGQPPAEIPTTTTMPEFN EADEDKEMAGPSPGGFPFDDDSSSASSVSSTGTVIPSPGRALFARPQGFASRSMDPIP WTTYFERELFLKEEAGPDSGSRTSNKNKPASITYHAYLTSPVGKGPLFVTHHGAGSSG LSFAVLSSEIRKRLPNAGILSLDARGHGSTTVTAASSVPGEGDVTTGQAPPTPLDLSL STLATDLFTVIQLTRTAMHWPELPPIILVGHSLGGAVVTELAKSYRLGPSLLGYAVLD VVEGCAMDALQSMQTYLSTRPQGFATLKDGIDWHVRSRTIRNSTSARTSVPGLLAPVE ELQRPELQQLPRGVAGTEGTAKPWRWKTDLAATQPFWEDWFVGLSKKFLEARGGKMLL LAGTDRLDTELTIGQMQGKYALQVFPEAGHFIHEDLPEKTAIALVDFHRRNDRSQLVL PPKVSDLLAQGKKV NEUTE1DRAFT_71524 MVINTSGPELPIRTHDLPTSTFSHNGSLEKSYTIREEPLGTTKH LRIVGIGAGASGLNMVRTLRLNLTDYDFVIYEKNQDVGGTWFENRYPGCRCDIPSHNY QFAWKPKHDWSNFHASADEIGGYLRQVCDEEHMRDSIKTSHRVEFAQWDEEKARWDLM VQDLTTGEYINDYADFLLDGTGILNNWKWPDVEGLTAFDGDLIHTANWPKDFDAAGKV VAVIGNGSTGIQVVPELQKKVEKLYHLFRTPTWVLPPRIMAWKMMGQNKELLEILGEI GIDAQENFSEETIERFKSDPEFYGRFVKAVEKEVNNGFPMVLAGSPLQTFAQQKAEQY MKLMLRGNKELCEALIPDFPLGTRRLTPGQDYLQALTKENVEVRRGGIRRFVPEGIQL ESGEVIKVDAIVCATGFNTSFCPRFPIVGRKGNLQNDFRDEVPKSYMSCAIANMPNYF VFLGPNAPIGHGSVFTLTEHIAKYITRIIKKCQTECIKTICPSQDAIDDYFEHISAFM PRTTWGAQGRSWFKNGQEDGPVTALHPGSRIHFFHMLENFRGEDWDYIYETPKKNRFY YLGNGFSTKEKGDTTWYLDNPDSKL NEUTE1DRAFT_90219 MASGFSFGFAGDDIEDDGQSTSQVNSIATPIPPAATSASAFPVQ GKPLLPPTHHDIDHMLSRLPSKIAYSLLDVELEEGKAIQIPRRELWDVRVQLMAEDDG SNISETEPGLGEHDVKTGIYEGGFKSWESSVDLVKVLASENAPTVLNRDPCVLMELGC GTALPSLALFQWAMNERKSGEKQPLTLVLADYNPSVLYLVTLPNFLLAWALSQRESTS ALADAFSLEDEVELLPGVVEAFKEFLISNQITLSFLSGGWSPEFVDLLYNSGIPSNPT GNVQTLVIGAETIYSPFALESFAATLLLILERERKERPSGHASSIVGAKKMYFGVGGS LDDFVLRMRGLGASVEPLREEAEGVRRGVVRCYLS NEUTE1DRAFT_118667 MPGSIVLSYLDISGQWMTAVAQADGLQAKHCPNEMLHSQNSEPC ISNSWPTPKSNPTNRSP NEUTE1DRAFT_149778 MKFSLAAVSAFVAYALAKPAITNTDFNIQEGVDYTLKWKDATAP ITITLMTGPNADHMTPFKTIASGVTGDSYTWTPEDVPSGTYAFKIADGDSKADENYSV RFPYVGSAAATTGASSTLSTVTKTSTSTMVSSTVESSTIVSSSAASSTDASSTVTSVA SSAATTTTTTTSSTHAASSTASAPTNSPPNTNNAERFASPLALILGTVAALVFFN NEUTE1DRAFT_90222 MASLSALRMLSAPCHTARLRLARSSTLIISPTSSSASYPFSHIT NYNRPAVDGMRYLSTLPPRVGRRIVHQLMTQAPYSTAAEQPASGSGTKFVYNIAASYV GKGLPFNPSTHVFHFNPYHRVSKPGKKAKSARPESGQDAFFVSRVGNRPGEVALGVAD GVGGWMDSGVDPADFSHAFCDYMAAAAYENDRQPTKIASAAANGPAAPAGGEGNISDN APLTARSLMQKGYEAVCHDPTIKAGGSTAVVGMLDESGTMEVANLGDSGFVILRLNGV HTASEPQTHAFNTPFQLSVVPPSMLLRAATFGGGLLIDQPRDADVTRHKLKHGDVVVF GSDGLWDNLFNQDILRLVSSTMQKLGAWKGTDAGVQVAEDLTPFTKLDSDDKPIFTLQ SFIATHIVSAAKSASMNAKLDGPFAKEVKKYYPQDAWHGGKEDDICVVVVLVSEEPAD MATPKPRL NEUTE1DRAFT_118669 MAALRSSSARVFAAASRPAFRPVLAARGMANLADGATQSQQASS EQSPKLKTFQIYRWNPDTPTEKPRMQSYTLDLNKTGPMVLDALVRIKNELDPTLTFRR SCREGICGSCAMNINGTNTLACLCRIPADNSAEMKIYPLPHTYVVKDLVPDLTLFYKQ YKSIKPYLQRDTPSPDGKEYRQSKADRKKLDGLYECILCACCSTSCPSYWWNSEEYLG PAILLQSYRWLADSRDERTAERKDALNNSMSLYRCHTILNCTRTCPKGLNPGLAIANI KKELAF NEUTE1DRAFT_132914 MKWQTLVFNLLSSITLATPVYVEPSAPSPVYTLRISGYVKFHNS HLAPHTSHLIPRSPLEVSLDPNLIFTSTNTPPSTPSSGGPDLDAHYLSASGSVIGVFP DTDNNPIKFYAVPNKDTGLVELHNWAGIPSPGSPNTSKTKAIALVGNARTGLMDLTSV EDPAVICGDSNGLDCEWTSFTLNQGAPIEGRPANTVTYAGPGGWVAFKSSKAERGGWV VRWKYLADDEMKRAAQATTTQDFVPVDLVYEPIFTIADTAE NEUTE1DRAFT_149782 MQLSIISLSLLLSRGRLSSAAPIAEECHSPHMLCFDAVNPYGVM YSGSCHDQLATIATITYSDTPPPVIPTITQIVAITKGTNFGTKDNCSTRTVCLDYVDS CGQTAYDGGVPDCKPVAYNFGSRLSSEAYYCHRNHARGVKTVEAVEALEAAVATVWQE SRREGKGGERICKKRREVELRTGLGANLQFQIPDSIQTPDRLLRLCTSQTTSFLKSVL NPSSTETTSTRLQLDPDRDRFPYPPSPPTDDLRNGASAPPPAMTSRPPLGVQQRQPQQ RKLSGPVLSQQRSLSQSQAQAQAQQQQQQHLHTQQQQQQQQQQQYDASSYLPPPPAAP TRNNNHNNFTNQNNTLNNAFPPELAQQDPTSIVSPADPSGSSPALSVGVGRYGTQRRG GSRLRLELSHNDPSDTFSSPTVIESPGLVEPHTQYGSLTQLMMPLADGPDLGDMSPHH RVSIPPQHLDADVPIPFPQRRPKAVPDHSRREQPPPPPNPARKDTRPKPYTIELPAAA PRYRIRARSDGQGGQGRSSALATASSSSTTGSSATANYGCADFFPWSGTAGNHPEDQF NDTAIRVGYSEKQFVTPETQSAKTVLFHGLKQRSGLNALSIFFAGVLWHRRNAGQVTA PSTFKPPPRVTLTDTKKEVWLKDLANSAIPLRKLSRSIPHGVRNKVLLDQCLNKNVPI DRAVWLAKCVGTNELRTFRRLGKGNNASVLEGERKWLKDWTLVIENFIERVFFSFGEQ NWKAKVNYAIQLAAHLYAEGLMDREHYLDWTVSSLESSHHSQLPMWIIIAQIYWKDLL RLRKYGRRLATALISHYHVIHAHEDRDIYVPLLSKLSRLLGTLMVATPENFVSPSVWV KYRDALKTCLPQGDEARHRSFAGINYRNEQLVASANRSQPAARIILVRNLDQVILEKP MPDEFPAQCWTVSKDKAALVRALLEWCTTPYRPGLAKVYVAHRILMHWSTLRLDVTSA VLGFMEGEALEEMECKDALYHLVSELVRSGIFLVSQYIQWLITRGGLTDPQLVMPDGP PFSRLLAELPSHILGSSQKRSRDALLRRAGFRIADDAQDADMAIRHLRHALGLPAGVT DAMSMGRPFPIKKIARRIEDASRARKAEIASWLRNTVFGGELEHSSLNAFGQHDLSTR LFNSIRTVFEAAQEFSVFADVLRMLTKCSNPEVLASIADTITRYTFVFAALSCLKNLF SILHSRLREVQEQGIGARPLLASLAYLASRVPGMDEVATQLKSDLAVSDRHNPVDACS PVSDNMVSRLSDHDGDLHEEVEKLLANGTSLDRNTMDRLFSAVIQRLQAYWAKGDEQQ RVYGILLKRLRMFNPQHFDELMTRWLLHVRGLDTRATILHLYPLLVSIGCLEMPAILA TASESPNAPGAGNSRHPQSAVATSQIVQTTFRTRYMQEVLQLFMAPVSSESLLTPEER YRFGILQEQAKKESPRELLCLIQLALAEYTCARAQNDLEELPLDKEANQDRLLGLLKL LVLKDPVGVAKALQIRGPDAQVDGWIDRMTTKLLVTAAGEGTRVTFEQVLNLTNEFTL PFCHVKLLLSLSSNDQQQNAADAGERVPSNVELFMNAMEKSMDAQRVSWIGMLPSLSP DLTHHLKNQAQARFLNLLPSARNPPSMTLDRSMLQMRLQKAENFLAIMDTIIRGSGPM GFRQHQLVPVMVERFTDILELLQTLTPFPSQAGGLGGWGGQGASAAAAGGDSHIDLKS DILNHWLPLFLNFLTLHAQTFDTSKPGNEVRGRALMVCAGLIRELDLIHGPDFDTRQL GGRIFDLACVLVDNLAEDARLQCIQALKSPSDVKLRYIFSYQDNPHANLMLCHKERPT AMAMGTATGTGSPAPGTTPTHTPGVTPGPQNAGGAGSAVSGAGGSSNGGSYFSLPGHT QQAQAQGWHAAQQQQQQQQQQSQQQQQQYQLQQQQQQQQGGTGPIPSPAISINTNLTH LNLGNNSNPPTPSPMNPTRPAMMMVANPWGGYSWVPTMGGPQERLTPFNFRQWEMLSE PTSQVGENDTAVNLMLFESRKVQ NEUTE1DRAFT_90232 MEAQQVPKTCKVILSDTIAKKLLSEVRETLAKIEGPNASKPTLA AFLSTDDPAALQYAEWSKRTCEENGFNFDLRKVDKENLEEGIIAANNDDQVDGILVYY PIWVGNHSQDKYIKETVALSKDVEGLCHTHLFNMYHNVRFLDPPTNLKKSILPCTPLA IVKTLEYLQIYNPILAYGNRLFGKTITVINRSEVNGRPLAALLANDGATVYSVDITGV QVFTRGEGIRQLRHQVHDKEGWELKDVLPLSDVVIGGVPTEKFKVPTELLRDGAVCIN FSSFKNFDGPAVKEKASIYVPSIGKVTIAVLLRNLVRLIANRPRPEGSAPEDPKDAKA RSEAFIEG NEUTE1DRAFT_118672 MNDENLQRFQMMCTQPGPQWIAVGVAKLGGGGSLGQLQTQGYVW VDSSVWTDQNDGRDCKWRCF NEUTE1DRAFT_90234 MSSFKDWALQYVLADDETAQRADLLWPEIENTRASSASVGSWAA SIQPWITITKQDDDQSDDDDDDSGSGDIIARAKALGFLADTLEALDKSLLRPEQVIRL VGFFGAMFSYDHKAGITASAKALRQLHAMKGFKPAVGVKMIEDVCKLKEDFKLQTAAT RFEIYELFLSLIQDQSVNNELQDKYGTSSGFIVEILQLCQNERDPRNLMLWFKLLPIF LTEYSTSDEVTEEIFKAFSAYFPITLRNSATPIGITADDLKNAVRACFASHYKVAKLA FPFLLGKLDQGDAVTVSVKLDILKTIKACIEQYDNPQTSIVPHIYKIWNSLKYEVRNG EVKETIDATLDVLRAIANRLDGTSTQKFDVSLLKNYIDTVVDDCRDDLANPTYTKQAG LLLMTVITTNIRAYTLESAAFVDIIRKNLRLPKSPSYTKDLLLLLNSTLKSRADLFKN RKQGHPEDEEAFKTEPSDHLVALYHDVYLPIWTGKSEETTSEVLKQVVQGLASLATQQ TLRPDGQISLLVSRSVCSEICSLLTHTLTKGLALSQNDNSTDAAVEDEAVLALQAVVA TYTDGYAELVERAKAEIEKRDWTSPTKYTLNALRDILSRLAFIGCSRIPLNVALDGES PKTFKPLQHFLKLATTLHDLFPLSPLPTSEKESLANSYIISALHGGILHFRDACFEKF EPKVLEAYSGSDRNWLEEFKGLSKDWLHQLQREDGSSEVVIEEDDPEVYREFLRICLF IVRHLYREAAYGKQAPWSERVFAQAANLAALVVRSLDENLQKSCNLAQNAFNFFSPEN LSPSGPSSIAPFRKLLILGILQGLRPAAMAELYAPQGIAETFMCDTSRMATVLLAVGE IRGTICAVLANKYKGGQSTFDPESETMKRVLNFWGEQIKTATTTDDTDPAIFEVYNTV AMHTLAGACARQDKNVLALVPILHEAIASPGPNGAIVARTIGGLVKTNELLSPENHAV VKRFYKQWAYTHFAKPLYDLALPTGDEDTAFAAGRYTTAILSLVSNCPFEVYQEDLGH LVRLLITALNNSSASGDITQPQVATSLEVLVEILANEPEALKGHLKAIITAGMKTYEE AAKNTSKGNVARALAAVRKLTLQLLGAIPKKFEERHLLAYALPMQRMLATASGDKSRE ARKVALLARENWAKVV NEUTE1DRAFT_71550 MAQVPVQTIHRDPQLFYWILFPITIVMILTGVLRHYASVLMQSA PKKLDQKAIREQRSLLHGINLRGNFHALSKKSFATRRDALVTAFESGAYLKDPERAGQ GQPNPLSDPSSMEGMMGMMKNQMAMIIPNTLIMSWINAFFSGYVIMKLPFPLTIKFKS MLQAGVMTKDMDPRWMSSISWYFLCIFGLQSVFNFLLGSDNAASQMAQQMGGMGAAPQ MFGPGVDPDKQFKAEAENLAVIEHYSVLDDVEERLLEGITV NEUTE1DRAFT_125733 MFITTKIADLVQIAPEDFSKESFVAIEDNINAKYANKVGNNIFE QAHETTHLTAVFVEFEMVVFRPFKHEVILARITHQTEEGIYLGMDFFNDIFVPAAELP DNSEL NEUTE1DRAFT_132922 MAYNYGPPPPPPGPAPPNNPPAGYPATYGQSPYQQQQPQPAAPQ RGTYAGRGRGGSDRGGYHSSHSYGYGQQPSAYGQQAPPASPYPSHQPQPQPGAYPPPQ QQWHSEQHGQHTPQQPPAPPPPQGYAPSYGTQSHYPQAQQPYGQPAYSPAPQTPYGPA YAPPAPQASPPPQPWASHHQSHPQTPYNTSSRGGRGSHSDRSGPKGQMMGPPIRMGFD GAPAQPPAPAPYPPQPYGAPHGAPYAPAPYQPYPPPTTYIPPAHPVYDGAPAAGAPRH GRGSHNNGHPRHRPQFGGDKVRGRNGHAGGHNSRGGKAFSPPAHHQKHDHSGGKKKKR KTNTLGLTPGDESDEDDENEEERLNEMIGADAPNPITNEELAAWIAERRANFPSKAKI EAKKAAVKAQTAEAKAADEKASLLMRQEQKAEKLRKQLEKVESSIKRKREQQDEGDEM RQSEPSSPSTISKSDDEKPEVLSTRPEENAVPPVARKADQSKHCKYYSTGGNCGKRGK CRFVHDPNEREKALRERELNGGKMTLQQRLLLNDKEQEDLSIIQALKYLQDKGAYKKK SASQSSSGGATSNTQPQTTGPASLPPNPLKRDAGSGLPSIPPQPEAPNANASASKYPG WNLSGFGNTGVRPNDK NEUTE1DRAFT_71556 MSKPKLHSLPLSLLALLGVALAGVSASASASPPAAEKPSSPSSS AQSDEVELICHTSDPADCYPKIFQPTHEFQIVHPDQDLPTGLHVRLNIYTGQKEAKIN VPDEAVDPSLEGLPVDNSIVIVDPEDSSEPEKQQSQGQPLKPPKNAPAYDPHGKIKEP NMETAEAQDESSAFYKSLAILKKGLDIDQALDMLEEISHDIYYGLKIAEDYDTVRELF CLANSPSIISSSSSSSSSYSSSGSTSSSSPETPEAGGPSENDLTRSRLAASIISSTVQ NNPKALAEITKHWPTLQQDHCTKSKSKDASGSEPLRTSAFRLLPSLPSSGSPSDSGPI PSIPPSLTKARISAISGLLKSPLIRQSFLAAGGMDHLLQVLLSDASQSQGQGAAEEWE KLRRQAGHLILDNFLDADMGAVLGEWPGATGDVQASDKECASRAASRGDGEKKEGQAD CWDWHAKQLADRYKKEGKGGEGHWSWELWNKLKEQRKNASSNKKEEL NEUTE1DRAFT_55546 MSSFRLGVSRVARQVRAPCVRNTRRYASDSHGPADHTHSAAGHG EHHHANAADANEELGTAFYVIFGAIPAFGALYYVSRPGKDGQPNSITKWLQKWEEHQE ALADKNALVTAALEQAAHDKHLFYYVDQLRSGHYEMKYPEVFQHGSARNVPAGTYISL DKVVEVYRKQHLDEEERKAKKLAAAN NEUTE1DRAFT_125737 MSIILPARFLRNAPKDAPAVRIGLVGAGVGYGLFAARDFTKDEF IFHEAPLMTALFNEKFSADASLMHSQYQAYKAALADGGQDIVTLAFPMLAARNGIPPA SWEDAGPIFSAESTEPDVTSSRKKFFGRNLVHGRFAGSIITREEYETYVAGVSEMLIA NPTEEDRQKACLDFFKHYAFQVKRTTAIPPASDIPHLPSPSPPVSPTIVTTNTLSPIT SSSTASASISTSTPPTPTTNSDACIYLLGSLINHCCTSPSTSSSAYVMRKRTERGPNC EWRIGASGLAHFVKPKHICVQAKRDIKEGEQLTWDYGKKEKGFVCECATCRGTFMGYC CGVL NEUTE1DRAFT_118680 MADEYNAEEAAELKKKRTFRKFSYRGIDLDALLDLGSDELRDVV HARARRRINRGLKRKPMGLIKKLRKAKQEAKPNEKPDLVKTHLRDMIVVPEMIGSVIG IYSGKEFNQVEIKPEMVGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK NEUTE1DRAFT_71566 MLLSALSAVLGLAGSAAAALTYKGVDWSSVPVEEKAGVSYKNVN GAAQSIEHIFRDSGVNTVRQRVWVNPSGGTYNLAYNINLAKRAKNAGLGVYIDFHFSD TWADPAHQAIPSGWPTAIDDLAWKLYNYTFDASNQFHDNGVQPTIISIGNEITGGLLW PTGGTSSWYNIARLLHSASAGIRDSRLNPKPKIMIHLDNGWNWDTQNWWYTNVLKQGP LVSSDFDMMGVSFYPFYTPSATLSSLKSSLTNMANRWGKELVVAETDWPSSCPNPAYA FPSDAKNIPFNAAGQSQWIKAVADVVASVPRGKGLFYWEPAWIHNANLGSSCASNSMF SNSGQALSSLSVFHNI NEUTE1DRAFT_90262 MAATTTLPSGIPPTHPAVVVTAPRAPLSIIQRPTDPPGPGEALV HVEWTSSTPLDLHQADGGLLIDLPYVAGSSFGGTVLALGPADANTPAAHHKLRVGDQV FGMAWREPRERGFQTFITTATYLMSKLPENITMQEAVTVPTNLVTVLHTLTEDLGLEM RWPLSDNSNAKEENKGDKDQKKQWILVWGAGSSVGQYALQVLKNWGYRNVIAVASKKH HQELLTLGAAVCFDYGEKDVTDLIRKHVETASSSSDDATIPLVLDCIGSLEGSLRPLT RLAEKGTKVAIMLPVIVKHATEDESPEYAMDVTKLLKGEWKEGVVLKGVRTFLYTQNE FMKNHAQPDIVPALLEQGVVKPNKQRIVEGATLLERATNALRILRDRAQSGEKLVWRV DEKSAQDLFPDLLVSKADPTKAETII NEUTE1DRAFT_149795 MPSQRRMRALLYIALAGFITLLFFTSRARHAQEPDPRSIQDFYH KTKSAMEGSRIGGGSRRGQAVVGTQKVEFDEDDAIVAKAMQERLRKAEQMAKSNANAK APNKPDDPEAVVGVGSSAHGQNHLGKGDSVETEEHHAILEELRSILKKSPIIIFSKSY CPYSKKAKSLLLGDYQIDPAPYVVELDQHPLGPGIQAELGDRTGRKTVPNILVGGISI GGSDDIAKLDQEKTLIEKIMSLAGKRLTVTNHSKST NEUTE1DRAFT_142117 MPALNDDVLLRVASFCDIETIVTLMCTSKHVYELVTRYEQSIVK DAVALYTGYDSSLFPPSGSISSSHNVEREYLYPKSYDILNELKIRTSRTEIILSPGQP LRSKIDSIFSTSGAFLDLPQIERELLMARLKETFKVVDRLGDCAADVMSMWMLPADNG NSSQKLDGDEAMLKIHDRQLAYIRSLSPLDLAYLFHLVSWAGQAYATENAALMADVDC WERLLAFKEASLRHGTIMLWAVFQPPKVKKTVDRTDDSTHRKPMTELARFAETCIRQI LEEIRIYQSTESSQNRLPPSLHMTMVKSFVEKTGRPSTRHAIEMERLILDHIRID NEUTE1DRAFT_114204 MCKITETEYKCGHSTTTSRPCEKAKESNSTWGCLRSSKAKYCSH PREIKKEHEGLCDKCRERAASKTRQGRSASKSREHAPAHNDNIKLLKGSATERHDFFL QQGAPSKESRGRSRDEYRSEFEGTRSNKRSSMINLPHDKAAIPPQRGSISNYKSKPLP NLPPNASKSSKIGGSFASTSRSTSRDGRPLVPPPRHGPYIPDRDITAVPAPLRPRRPS ESQARGNIRESQMFTNPGPAPSIPYPVPHERGRNADPRPLPLQQQQKGQVSYPPNASR HHTEVPRRRLSKTRHTEAIDDCGGRSRSASKTRERSLSRSRHGSKHDKGEKKHHQKQP SAASSFMNKLKAGLGYDEYDDDGSDSDEWVCADSRALERGESVRPSATTTSRRRMSYQ AGDSYYMQR NEUTE1DRAFT_125742 MSRALLRSVLELRTPVTCLPPSFLLPFRPAAAAAAAAPAARFLH QTAQQVEPTSQSDAAAAAATLLKASPSKVTTATPPEAPAAVPTSTPFSQQPPISQQVK ELLPVLAAQPGHYTTIHIHGKPYLVTEGDTVKLPFKMPGVAPGDVLRLNRASVIGSRD LTLQGAPYVDERLFECRAIVMGTESEPMRVMIKKKRRCRKKKHVFSKHKYTVLRINQL KINDVSSL NEUTE1DRAFT_71579 MNDMSSISPTPMGGGSHFTSMGRRTSARQALRRPPSRPMLNRSE SNPQSQSQQYSNLNDSSDDEIPVPMKLSALTKALLNDGHASEASSFAQHGAPEDLHLS PPARPPSRVTRRSALLSSKSEERSEGVRTREARRSIRGGSLQPMDRPTSPVQNDSSNN RDGSPVPRKRVVRLSNPVQGPVSFSGNVRRLSSTTANQRRTRTESREREVEKEIPSFL QQQGDAGSDSAGDAQTPVIRTVRIAVGSGSSGSKGRISLGGGGGSSGSSFAGVSGKRG TGYSDHENPEDPATIGRPQTVAPQSSMRIKRVAKVPGSFLSGPARRGRRRQSDEDEAA HGENEAMGMNQDNDGNYESHMQSHVEAAMGEQHLSFYGSNNNYRDYAASGSPVSGKAG AVRRRESNVRAMERDNGELGYKIAEPHPDMPMNNDKENEAPPTFKRAKLTPSTMLDHE IPKPVIPEPMALDIGRDIGRDLKRLSISPDRKALAHKSQNTPHRPAPPPPPKMSVVET ATAAAGASATAGAAKKRQVLLRVNGRTYTRIDCIGRGGSGKVYRVSAESGKMLALKRV SLEHADENTVKGFKGEIDLLKRLNGVERVIQLIDHELNLEKQVLSVLMEVGELDFNTL LKSRQSTAEGARLDPTFIRYYWKEMLECVQAVHARDVVHSDLKPANFVLVQGRLKLID FGIANAIQTEMTVNVHRETQIGTPNYMSPESLMDSNQYAFTSAQNGKFCIPPPLNHRQ HGAPKLMKLGKPSDVWSLGCILYQMVYGLPPFGKIANQMSRCQAIINWSYQIDFPEAT EDGSRVPPSVIRTMRRCLNREQRERPTCDELLADTDPFLYPQEFDPSVYAAAEHGRVL PVTEELLSRLIQSVVQRCKERMPTEAEVVNTWPQAYWATVRKAVMGAGNNAGGSGGGS GGGGHQR NEUTE1DRAFT_51458 MKHLAAYLLLTLGGNTAPSAADVKAVLESVGIEADSERLDKLIS ELEGKDLDELIAEGSAKLASVPSGGAAAPAAGGAAAAAGGAAEEKKEEKKEESDEDMG FGLFD NEUTE1DRAFT_125745 MPISDLLASITGEKPSSSSISTKDVPAASKRKADDDLRGSAPKA PRTESATNGSARPNGNVSKPSTARPADRPTERPTGNANPTRPAEKTTGYTGTAQPRSS TKPTAPVLTKTTSTQSKVGGTSSNGNKAPLSRPLVSRPASSAPVAGSGPPKKRSYAEI MARAQANQPMLSSVGKIQHKKVEKQLTLKERKELKAEEAKKGKKDVRPPTTGRSGVTA GPTRNGTNGTTANRQRSITPSAPAGAKSKTGQNAVEEKKVKKAALATTGYQGTARPRP GATATKAGTSTSAGASRGRGLSGYGASFSRPRRREEEDEELDDFIEYDEDEDDGYGYG RRGGYESLEEDDSDMEAGLSDIDAEERRAELQARREDMEQEALEKKLKREKEERKRAA LAKLKSRAGDR NEUTE1DRAFT_149800 MSTFLRPQNEYIERAQKLHGKRLDTEERARKKAAREGHKQSENA QNLRGLRAKLFAKERHAQKIQMRKAIKQHEERNVKGAPEEKDPSNPVPAYLLDRSNPT SAKALSSQIKSKRAEKAARFSVPIPKVKGISEEELFKVVKTGKKVHKKGWKRVVTKPT FVGPDFTRRPVKYERFIRPMGLRYKKANVTHPTLNVTVQLPILGVKKNPSNPLYTQLG VLSKGTIIEVNVSDLGMVTASGKVAWGRYAQITNNPENDGCLNAVLLV NEUTE1DRAFT_118683 MSKGRSILERIGYIPAISCYVGIYCTSHLLSARYQVMYIVWVCP VVLQGIIVTEK NEUTE1DRAFT_142124 MAIGAILEPGIVVGLLAGGTLVNRNTTYTSSTASSRRASWHPLD QTDPEALPSASLLNDEQNFEGGRKAKVEEDEYNSDSRRPWSPSRSSSSSTVVENQAIE KDLPYGKRFRTLKFMGWEREVVTPNTEIHRDRLLSRVLRKFPFLVEVWYWALIYWVYQ LGRAFTAVTLKASTVDTAREHALQIIHMEKRLRIFVEPIVQGWFLGHPTILKWTNRTY SFIHIPGTILFLIVLFYVTTTRPRARIHENHGGGALVRDWRNFVNHFGPDVYERRRRT MAMCNLLAFIVFTFWPCMPPRLLSDPTYNGEDAKEAKSYGFVDTVHGKDGDSSVWTTN KFCNQYAAMPSLHFGYSFLIGLTIATVPLRRQGRFGWKRLVAIFTGMVYPAIILTAIV ATANHFVLDAVAGACACLIAWKFNHVLLNLLPIEDWFLHVLRMHKPN NEUTE1DRAFT_105213 MYGHTSHGHVTGLYYTEAVNSWTIQRHYLPQLELSVSTHIVGSS SRTTLTQTFVNPSAEKSIPELRYTFPLYDGVSVVGFVCTINEDRVIRGVVKERYEARK QYQEAIDRGETAGLLEQLPNASDVFTTTVGNVPAGASLKVEITYLGELKNDAGVDGIR YTIPASVAPRYGDFPGTLLDAPQAVTKSGIQITVDVETPGGSNIKSIQSPSHPISVTI GHTSSGAAAGTDMSLQKASATLALGTAELAQDFILQVVATNTGNPIALLETHTDIPHQ RALMATLVPKFNLPSTRPEIVFVCDRSGSMGGARIEGLKSALRIFLKSIPVGAKFNIC SFGSTYEFLFSDGSRSYDHESLRLAMDYVSRMDADLGGTEMYQPLEAAFEKRYKDMDL EVFLLTDGEIWNQEHLFTMINKKVSESQGAIRLFTLGIGNDVSHALIEGAARAGNGFA QTVTDSEKMNAKVVRMLKAGLTPHIKDYTLEIKYAKDSDAGAKTIDEEMDDFEIVEKV SDALAIRLANGADKPNEPAKPISLFDASVDLDIEMPDADADLSLGEKFSHVPAVPEPT ILQAPFTIPPLYPFIRTSVYLLLSPSTAQKTPKSVILRATSAHGPLELEIPVAVLEEK GQTIHQLAARKAVQELEEGRGWIYHATDSSDPDQKLLKDKYEGRFSDMVEREAVRLGV TFQVGSKWCSYVAVEEKDRTEHEVNQRVSGAASDKSTESFGSKPVVRSRKMGRSFARL ASSPTETLVEECLFDTQRMPAPLFQALPSYSPASPSYSPASPPGSALFSVNPAPPADH SQTMASPPGKKKGKSISSNCLPATALYSNLADHPSFDMSMSPCPAPSALYCDQSLSDQ LNISSRHLLYSAPVSSSSAPDSDISSYFIPLEAELPVSTCKSDSNDMYVTTAARMGSN TAFGATSSNSYGGGRFGAPAVSRRIPGAHLFGFPVSNSSDPAQVEPETATPLEPLEAL VSLQHFDGSWSWTEKLLDILGQSQLTEVKVEECYGVSMPHTDMLATALVLAYLEAKLV EGRDEWEMLADKAREWLKSELTKSSEKGVVSVEELVEKLKACL NEUTE1DRAFT_132934 MAPLSILSLSLSLLTLFTPLSHASAISQGAANPPKIPSGPNGSG ESQPQPYVPQPALLTTEWTASVGADPSNHWPQHPRPQLKRDDKWWQSLNGIWRYQNLH FASSARMNVDISTLTPDVEVEGGYDGGGGEGGMPTEEKETLIPSCIESGFSGIQEMNV TGMVFKRTLEFPDNWMNETGRRVLIHFEAVDYEAVVYVNDKKLGHNVGGYFRFTVDAT NALKNDGEKNELQVVVRDPTDEPGFYTPHGKQTRTPSHIFYTPCTGIWQSVWMESVPG DNYIGDLDVSADMEGNGEVTDLGSVRCSKTTTPVKVSILENGIEVATHDASSDQEFSF QVPDPKLWSPDSPTLYNISVTMGDDQITSYTGFRTISKGVVNGIQRPLLNGKFVFQFG PLDQGYWPDGIYLPPTEEAMLYDLDLIKSLGMNMVRKHIKVEPQLYYYACDKLGLLVI QDMPSMRPAASLATDFPTPAQQAEFERQLSVMVNQFKHHPSIITWVIYNEGWGQIRDN GNYPEFHITDAIRALDPTRLIDSVTGWFDHGAGDFHDNHHYASPECGTPFYSSPNTPY DPNRIGFQGEFGGVGHVPDFKKLAPEPSLWPDPKAIATIPETYEISPDLDSYNYRTHE LLGELRAQVERYACSGAVYTQTTDVEGEVNGLVTYDRRVVRVNVTQWQSDIQALYDAA ERRAS NEUTE1DRAFT_105215 MQQLAVSNTLILEAPGVDLNPYNKILVGAVLDLFEGKSTLKHLS LWNPDATFVDPITTAQGYNRYAAQWYALAQVLSPVKIQSHEVISSGNPIEIKLHNRYT LPPINKTQDIHSLVKIWVDGNGKIARVEDRWDGELPDGALNEVCPGVSGKDNPKYT NEUTE1DRAFT_105216 MFITPQESHLISVRAGQGDLAGLAVIVKSLAQRERVTEAEVLIS CKDDFQRTAAHIAAQLGQRCNLSVSASIETLAALLASEEDKTTYFNMANRFTGDRPVH TAMRFGYLEVLRTLVTHGADPTAKNRFGDTVLDYPGEYEMDEVKSIVDEYRARFPQTT T NEUTE1DRAFT_114214 MGAATLIYEARWLLASVVLAIWVVLKIKQFCRLRHFKGPFGTGW FEIWHGLAYLSGNVHLKFKEATDKYGPIARIGPNELMTTSLELLAHINGARNRYTRTR WFYIAARFQPNGDNILSELDDNIHTKRRAQMAGGYSGKENRELESSVNIHVAELVQLI RTKYCSTEMKTNPFDLAQKAQYLALDVISHIGFGEPFGMLLSDQDVNGYIKHADQGMN AIMYLWGLGLTELVLGTPLMHLLGPSDKAKTGFGAMTANTRKIISKRLEDDPGMTKGS DMLASWFRHGLDKEQLITESILQLLAGAETAATSMRCIMLYLVTNPRVYVKLQAEVDA AVKDGRAPPYPSVVSNATQRQLPYLWACCKEGMRMHPPVTNSSQKKVPPEGDTVTVEG QTVYLPGGTNIGYCVWGLNRDQKIFGGDADCYRPERWLNEDPKKLAQMNLVHEMLFSY GKAIFELMRNFDWSVTNTAEPWKEMNAGAVFLTHDFLVEATERSVS NEUTE1DRAFT_71601 MGLSIGNRILRKIVKNEAMAEDPPEIYGWRVYLLACSACFGAMS FGWDSSVIGGVIELEPFKHDFGFIGNDKAKANLGANIVSTLQAGCFLGALIASPITDR FGRKWCLVAVSLVVIIGIIMQAAASGNLAPMYIGRFVAGVGVGAASCINPVFVSENAP RSIRGLLTGLYQLFIVTGGMIAFWINYSVSLHFKGKSMYIFPLAIQGLPAALLCVCML LCHESPRWLARRDRWEECKSVLARIRNLPPDHPYIVDEFREIQDQLEQERRLQGDATY WDLTRDMWTVAGNRKRALISIFLMICQQMTGTNAINTYAPTIFKNLGITGTSTSLFST GIYGIVKVVSCVIFLLFLADSLGRRRSLLWTSIAQGLAMFYIGLYVRISPPIDGQPVP PAGYVALVCIFLFAAFFQFGWGPACWIYASEIPAARLRSLNVSYAAATQWLFNFVVAR AVPTMLVTVGAHGYGTYLIFGSFCLSMFVFVWFFVPETKGISLEHMDELFGVTDGPAA EKSSVQGGDDIGSEMGKGDQKSKHVEVYV NEUTE1DRAFT_105219 MLVLRVEGGGGGGLEDIEAEAVWKSRDIANKKSIEDGGIARVKP VLVDVALMSSCSGYCQLHKYFKVCLVCNINLEPEPEQTKKGTTGTRGYIQLRSL NEUTE1DRAFT_149807 MSPSDPMPKLGHQNIDTAHVQLPQPVVTNLGCRSATPGRKHKLN AYAFQQDAIVTFKGYQYVAYWSNAGPDDGDTLYLCVARRLLRPRSGMPVLSNEDQVSE KTAYGWEVLELRDYKQTVDDGHNTISLGICPGDGTIHLAFDHHCDILRYRYSKRDVAT QPRTISWRPDIFSATLDCLPGILSTHKPLRDVTYPRFGFLGENMFFSHRDGKAGLGND HLYTYNGKDGRYEYIGPYLTGVQSSPYVNGMTSRDGVLYVTWVYRGFVEYPGWDDPLD TKHKQQAGPNGAENNHNICYAYSDADGYVWKNGCGEVIADLRDPAKFPTSVRGKERTI KNDCSGIAAFDIPKGKGLMNQEAQAVDQEGGVHVLNRDMMNEGGTYLWKHYHRSPDGT WTQRALQVITEGSNRGQLAISKTGDLYAILPDSTTKSVRILRATKASEFADYREVWKG DGLGGEPLVDSARLEYDNVLSVFARHNPVDSAKQAAESQAIAILDFELFV NEUTE1DRAFT_51344 ARGPWVAVSKWTGGRGLSVVLSETHLLPSLDLDQTARIHSRVTA ALATQSGTWGQPWQAEGSRGCTPGQLKTLELGSRSGNPI NEUTE1DRAFT_71608 MQLDAFITRTSGLLFRIAASANFSLQSDQATFNRALVSWLKDPD SNLPSGHIIGLCCSSLLSIRFPDESRGNDLIPEFRRFVLAVSLAHHGRRNSNKSKPPQ KHEHCARHESRSHLIRRLDGILTPQYLSNCSPESCQVLFHLVLGAVLDMGHSPLHTTT SYDSSSSSSSTAPSNLLSPECQQSPTLWLSTKNQLVRTLARDLVFLGSSKLGIKLEGT TDLEKLIIGTAISRWNEMESRIWADSITEKAATGQEPQSPTSSASPASKSPSCERERR HSSSPTDDDSNLNRNPPYWEDPPPTPPPPTIEPTLIPVMPPYHQHFTAPHTQFQSQFQ TAAARISFWSENPASYLEMTDEPESYYLASANEHNKRERAEPRPTAMPTTTTGIPRST TDPFPLRSTIEDLGSWRKVKRRTMWVVRTIDAGDQGQISIHARLRGREVNDFGVFV NEUTE1DRAFT_56027 MFGITALLLFLLATTCATAAPNCPPLGPVFEKPRNFKSSVAIRA ALANLTETFQARDEDNSAAVRANITSYSLEVFSISDTDPVIFSWHHTAPAHASSNITN GGVKKTDADTVYRLGSLTKIFTVYTWLVQDGDVKWNEPITKYVPELAKAADKAKDDPV GNVAWGEVTVGSLAGQLSGAVRDYQNTAVQLGFPVLNSSDPTLPKCGVWPHCNRTGNE VLAYTNTGFQILAYALESIKGKSFQTLMEESILHPLNLSRTFYQKAVSNLGIIPGPGT SSDAQWDYQLGDENPAGNMYSSVRDISALGRSIMRSTLLAPVLTNRWLKPAALTSEPN AGVGYPWGIRRIVLSSITNGKRVVDAYNKAGRIGYYNSLLNLLPDYGVGFSVLLASPN LPANANFNLADVLGDQLLPALEEAAREQAQDTYGGSYYSNGVGNSSLKITTQPDRPGL GIEDWVSNGTDMQYMATVLAAGYAPVNPSIRLYPTGLETVIPGEMDRKNGRAATQGKR VAYKAVFEDLNVPSRTPPSMFSTDCGSWVSLTGVTYGSMPLDQFFFEVDGSGKVVSIE NAALRMVMRKG NEUTE1DRAFT_105223 MLLFPTILTAALAATGMAAAIPSRDDTTANKGTASLLQVRNPSF EFRHGPLALAKAYQKFGAPMPEDLRAAIARFRQNQKRTTGTIATDPEKHDVEYLTPIS VGTPSQDLMVDFDTGSSDLWVFSTEMSTSDIKGQTVYDPNNSSTSEKVQGSTWKITYG DGSSSSDDVYLDTVTIGNLTVPNQAVEAAKKVSSEFTDDSHNDGLLGLGFSAINAVEP TPQNTFFDNIKGSLDAPLFTVDLKHGTPGSFNFGYIDPTAYIGNISWTPVDSSQGYWG FTSPGYAVGTGAFRNHSMSGIADTGTTLLLLPKSVVSAYYKEIQGAQYDSDQGGYIFP CSPTPPDFVFGVNNGIVTVPGDMVSYAPADSANQNCFGGIQKDTGIGFSIFGDVALKT SFVHLHSSIVPGHYADCDMRFNRMLRSYLVDFSSSGPL NEUTE1DRAFT_118689 MAILEEKKSFDASAANVRPFSESTPAYENANDVKEKMSVEVEST GEIEEEDLFAPLKMDASVVHEENPLTIRAVVTGIVLGCLVSASNLYLGLKTGFTFSAN MFGAIFGYGIIKMMSKSGQVPIIGGAFGPQENSIIQAAATGAGGISGIFVAGIPAMYQ LGAMGENATPQKDIGAIFTITLVCSFLGLFYVTPLRKFFVVQVARELKLIFPTPTAVA LTIRSMHAGAAGSIEAMKKLKCLGLAFGAALAHRIGSYYAIGILYDWHVFTWIHIWSG YKSWALNIESWGWYFEWTPAFIGSGILIGLNPAISMFAGSFMAWGIIGPVLVHYGECI GHQSYPDDPRWDSLYNFFSLHNLGKGTPSPRYWLLWPGVMVMVCSSMAELLVQYKVIW FGLKSSWQQTCVSINDTLVARGKTNSFFSKQAAKVVRTGEEVEDPAKANEQVKHWHWI VGLIVSMVIAMIIFHFQWDMHPGLTILAAILAFLFSFLAIQIGAVTDSAPLTAASKAS QLIFGGATRNSGFSIHHAQKINLAAGGLASGGADVANALVSDFRTGFLLGTPPLKQWI AQAIGTFVAVWLAPGLFVLFTKAYPCIYDPNLADTNCAFQIPSVSAWAAVAQAVTDPT VKIPLSSGIFAIVMGVVSIIQVIVRHYYLVDERAKWREWLPNWGAIALSWVIPAPVFA NAALLGAIIAALWRKYSMRTWDLYGYALAAGFISGEGLGGVVGAILTLAGVDGSTYGS SIACPAGQC NEUTE1DRAFT_52946 MSPTHIPPTQKGNPLSPLSWLKQASFNILCMGLVVGFIHSGIKN LSKGEKVLYYFLALFIWRYLRFCINIIGFWTYKAAPMPKSNANYHPGRDVTVVIPTVD PLGVDFHECLTSCARNGPRKIIIVTAGEDLYEKTLGAVAPVMEEFPLVNFLVTRTEIA NKRKQVAHVIRYINTPITVLVDDHVFWNSPDLLKSLLVPFEDNQVGIVGTNKRVRRLE GLSVWRRFWNMLGCLYLDRHNFEIRATNAIDGGVFVVSGRTVGIRTCILEDKQFQAGY LHEMFFFGLLGPLNPDDDNYIARYMVTNGWKLRIQYTNDAEIETTVGVGEPVHTKFLG QCRRWARSTWRSNASSLFTEGTVWATQPYCVYAVYLTSFTNFALFTDAALVYLFTQSS WYFDAAEPKYALAALLSWIIFTKTIKVFRYFSTYPQDIWLFPAYVLFAYFHSFIKLWA LLTFYDHAWSGRNLAALTKGEKKDDGKELSPQGLCDDGDISDLDIGPSESPVLLKTMA PKGSQSATITKA NEUTE1DRAFT_53574 MALSVDDKRPAVVDAQRLSTSWENRGTIHTLGDENNSNNTNQPE TTVPDPTPDPPSIAPPSATKTDQSHFWHLKSPQTPTSPFPNRPKHERTESTESTASES TQSSSSTEVDEKFEGQSKSDKASATTSVTQIEGINDSGLPEPPYHVFTKKEKWLVTGI IAVAGLFSPLSSNIYFPALGAIANVSHPFEQKKGSKRVQAFAPSFWGPLSDTCGRRVT FIGTFLVFLIANIALAFSKNFAMLMVFRAIQAFGSAATISVGGGVLGDITTAKERGGY MAGFSAVRMFGLSMGPVIGGIITEYLGFHAIFWFLFVLGSITLGAILLFLPETLRRVA GNGTVPLKGIHRPFFDRQLRQRYWIERDATREEDDITAPPPKLTCSTLFASFRFMAEK DVIVTLFFGAIVYTVWSMVTSSTTALFQPRFGLGDLQTGLIFIPNGIGCICGSLLSGK IMNRDYKIVETDYRASHHLSSSVEINRKKLADFPISRARLRSSLYFVIPFIICVAGYG FAITSPRLGTEKKAMALPLVLQFFIAFTQMGLFNLNSTLMVDLYPGASASASAVNNLV RCLIGAVGVALVQFIIDAIGAGLTFLLLAIVTAAFTPLLWLEWRFGERWRRERVERLE KEKAEKRAAEGGETGQA NEUTE1DRAFT_71612 MASRLFRPMRSLMASRNLSKYMVHGQPIVVQGVRIRHGSFRRRI FRTLAMGITLYVCADYYDRKVLGMLSNALDDLDGDRGHGEEEDDEEEDYVFIPFPLTE QKLKPEPYSARSPEWKEFVKFAQDQKRIQQVQEDIASLVLKMLKNQRILTNYVGPEMN VKKAWLQAFYPLVAPPEYERYGISMESPQVKKAERLFWPKPVALSLWAVATTLAKKKA MEVSQFFGLSGLFAPSEPPVPRAQGTGTTGLPSTVTGDVQRMMDHIRRQATQRPEDVQ DPGALVSARRMDPDAAAQNKGILTTMNRPPPSKEPPKAGEEKKDQEWALAQLREFIGL SPWEIFTKTYRKVWKPIRPDPPRGSMAFAGMIEFETKKHWLTAYVTAWYNPGTRTFDP NNFTITVTRLTNKQVHPLSGQTRGLSFGRDTTIDTRSGSAWEMDINNESHSIPQPVN NEUTE1DRAFT_71615 MRFLTSITLAALSGLAAAASQQSADVFILDSKQQQEQPSREVPR LPKEVVRHILLQRASRDNYGSDLRDIPSSIDTEAAISFIAKFGKSPAPLFTQTETNDP SQLVVILEGVTAENAGPLRDSLAKSSQHATFAISDPPSKVANDRLMSLFQNLGIATPE QCDLASAINPVNGDCWTGSSSVVKYDIQKSPEALDTLVDNLNRLNKFISSGDLETVLV LMPESSRSSKLNHWSVKAATGSSDLRRRFNSETVIVDDSVRGANAAVAPTQPPLSRPT NSHLQTAAKKAIPQCFTSEKSCVDQTGNCSGHGTCVDKWGGKNGSTEAGGASCFVCKC LATMDSRPGAPGKAYKTVHWGGNMCQKEDVSMQFWLIAGSTIALVGAIAFAIGLLFDV GQEKMPGVLGAGVVRGSSTK NEUTE1DRAFT_149812 MSSFGKRKPRIIQTFDDEDGDLPTVLGGEEPKNEQPVPQGPIKF GRSKPTKSSSLRRTIKINDGNDNPQETSGDAIQPTSTSTSTAPEVTEAEEDTGVPLVV RPGFGRSVSSKKKRISKLSFGASEGDTEDGGNDTTTTASSDVVSAPSKKSLSQRVAEK NALRKSALTARFGTFGADEEKPRYSKEYLEELQSSTPATPQNLASLSIIDDDDDDSLK AHSAPLPEASAAPGTTGDAMDLDPSELDGAMIVQSRDMPLALTGDAPAAAAAHVLTEA EIRERKERRARLAKEHDFIALDDSDRSDNDDRKRITLGINKKAKKSESRLIAEDEDLG EGYDEFVTDGSLALGRKAEREAAKRHRQEMAEMIQAAEAGSDAESDDSEAERRAAYEA AQRRAGMDGLHKPEDDLMETDEEGGGGGGVGGVNQIPKMKPLPELGEVLARMKGLVQG LEDEVSRRKARIESLEREKEEILTRETEVQEILNQAGAKYQAVMGGADAAGATAAGAA ATAVTSAVAASGAPTSGDALKFVTQSPLRPLPPGFAADLAATSKERGLESFGTPTQEK GPGDIDTEMAM NEUTE1DRAFT_90311 MAPAQPELKKYLDKRLFVQLNGSRKVIGVLRGYDVFLNIVLDDA VEEKDGGEKVKLGMVTIRGNSVVMMEALERIGGDERGGQRG NEUTE1DRAFT_125761 MSFFKKLKKDLVEDLGKLGLVDKKEEQPGSYSGSRDYYPPPQPP PQQSYSPQPPQGQSQPPYHGGSYQHPPPQQPGYQGEYSPQQSGYTAPPGAPVPNYESR PAPSYNPPSDKPPLPSGWTPKWDDEYQRWYYVEEATGRSQWEAPGFERSRLAGAGGSG GGEQELRGYGGDGSYPPPQQQYGGGHGGVPDYGYSGGGGQQYGGYQQPPPPQGYGGYD QQQAYGSEPVKEEKKKKDNKGLCMGAAAGLAVGAVGGAVLAHELAEDSDSDNEHRAAP PPPPPAAYGGDSYSGDYGGGYGAPPPPLDGPIPTTNVYGEEIDQSDRESIASARRNYE EALAEAQSSSASSSDQEELEEAREEYEEELEE NEUTE1DRAFT_125762 MSYGYPGQGYGPGGGHHQPPPPQWDGQQQHHHQGGYGYSNPGQG QYNPQPPQDQGYGGYHQQPPQQYQQGSYNQGQYPPQGGYGGHYGQQQQHHQQGHSQRP TGPPPDGYDIYGYPIGSGHQARDQGSHEIHEIPSGTQQFGHGAPEGYGFQYSNCSGRR KALLIGINYLGQDAELHGCINDTKNVSAFLVENYGYKREDMVILTDDATNPLLQPTKE NILRAMQWLVAGAQPNDALFLHYSGHGGQTKDTDGDEDDGYDEVIYPVDFKTAGHIVD DQIHDTVVKPLQPGVRLTAIFDSCHSGSVLDLPYIYSTKGVIKEPNLAKEAGQGLLAA VGSYARGDIGGMASSLFSVAKTAFGGGNEAYERTKRTKTSPADVIMWSGSKDDQTSAD ATIASQATGAMSWAFITAIRANPKQSYVQLLNSIRDVLETKYTQKPQLSSSHPIDVDM LFVM NEUTE1DRAFT_132947 MFVPRLLQRADFSAAQAQVVGSEFGTTPDTKPGPRLNAIGIWWI VWGAIWTTAVVAGMVFLWLKRDLPTLRIRGLALSFAGITLLHLYWISVQLGYSIGPMA PEVAEFWIMSIWFPFGIALFQAGNSQFLHVARAQARYARPLSQMESRFDEKHAQQPPE RLTFIARLRKMDYSKRMFACVTIGMIVQLFVVIFIYMISRKFHPSFGIPGTEVSGTPM EIAMQQGRGWEWWPSLFWQFLWAWVIAPIILWKSRGIHDTHGWQLQTMACCIAGLPAA PMWLIALYVPGMAPVNAVFVPPQWIALSIMFMEIFTIFVPCWQVRKHNNLRQETLDSI ATWESKKLRARNGDSNAERRGSENSGPTLSPTSTKVGEHHFTSGVRDSWKKLSTMEMG QASPPDFKNATDDSVLTMSALEHVLEKNPEPLRQFSARRDFSGENIAFLTAVAEWKAA LPPPFVRNRHNAEPDVVRQQFTKALRIYAEFISTRDAEFPINIAWHELRKLEGIFERA ARNMYGDINRGGATPFAEVDWSGSNQPTNDSEVNIVSKSDSLAVSMTPMGEFKSVETL PLDDPPPTSHATHPRNAAHYYSGDIPQTFDAAVFDAAQSDIKYLVLTNTWPKYVRERR DSGDSFVSQDETVRSRKSLHKALGFLKPLIRA NEUTE1DRAFT_132948 MTKPYHAPNKSWVDTWIPAIFGSAPGRLLDIPLKPKPLEQVYGL NIDSITEYTPINYDAGHDKSARSKTTNNDCTQPRAVGFSWHSQISETMMKPKVPRDSI PWKPPGEVAATKNQNCIHVDKTQIWKVQNTLQFTRKSDTYSLKRKKYGYTSTMRSRRL NELRTWKKALEGRQCLRRKGRSKAGTKSIEKYLKANSGNTVQSNVQWPDQTVKIGRLF IYVVGFAAISASCVGIGIVIFRPLFFQGHYQRDTVFSTVTSNDESSSSGKASLNNPQC RYTPQRLRKARLGLSPGGGNDC NEUTE1DRAFT_90322 MEEYPKEFHAALAQDGWLGIALPEALGGAGLGISEATMMMQTIA ESGAGMAGAQSIHANVYATQPLAKFGTPEQLEQTIPNIIAGKLRVCFGVTEPNAGLDT LRLETFAKKQDDGSYSVSGQKIWITCAQVADKMLLLARTTALDEVKKPSEGLSLFCID LNRGDHRLKVSKIKKMGGRAVDANEVFFENYPIPGESLIGKEGQGFKIILHGMNAERC LLAGEALGLGYAALGKAAGYARERVVFQRPIGQNQGIAHPLADVYMRLEAAKHATYHA ARLYDASKTDGSIRQEEVGVACNSAKYLAAEAAFAACERAVLTHGGMGYAVEYDVERY FRECLVPRIAPVSREMILNYISEKVLELPRSY NEUTE1DRAFT_71633 MTVLRSALGRQTRPLNLRAMSRPRSLSTSSTTTASEAASQMLHT FQDKTIVRKQTLDGNQLQKLCLTLGRRYGLGPQLDLTLGCPPAGTPVPPGYHLVYFTP SELEEDLGADGTDRTFNAPAPFTRRMWAGGQMRWNDGTELRVGEQVEEHTKLLSAVAK KSRDGSEMVLVEVNKEFWGERGLALVDQRSWIFRKEIDLSAISSSSTSSMPPIPPPRG GKSEVRDVIVGDNALPDRVFKWSPAALFRFSALTFNAHMIHYNESWTRAVENHPGVVV HGPLNLINMLDYWRDVHRVEGSVLEEISYRATAPIYAGEEYAMSSSKMNTDYGIFEVL VKKGDQVCMTGHISEASSWSY NEUTE1DRAFT_90330 MSSSPTFKDKDEDLEAQVPLNPQPSNPTVRTEQEVSGTTKLLYL AVYFLCNISLTIYNKLILGKFSYPWLLTALHAGSASIGCYILLLQGRFTLTKLSLQQN VVLFLFSILFTVNIATSNVSLAMVSIPFHQIMRSTCPFFAVLIYRFRYGRFYPRDTYL SLIPLILGVGLATYGDYYFTAAGFLLTFLGVILAVVKTVATNRIMTGALALSPLETLL RMSPLACAQALVCAIASGELAGFKEQNPEGPSGALILTLAGNGLLAFCLNYSSFSTNK VAGAVTMTVCGNIKQCLTILLGIVLFGVKVGFLNGLGMVIALAGAAWYSAVELRSKTQ KGGR NEUTE1DRAFT_53043 MPHSTLEEPEPAFCRRCKEIRPVGHTEHGRRGASGEGGLESVTA TAPRGTFRPPRMIRQDATVVRTPNVRTGTVSSRELLEDR NEUTE1DRAFT_90331 MSANPDSVTNQGQFHAKVPPSKPMTNSGHQPGHHVGNERAPEFH AKTFPPGTAPEEHTYLPNPIHEIPGQALNPDVDPSSRTDPLDAYRGPTTQDLYNRATW SRPMQGQTSRELHGMHPGKRKKEHSGLEGVGATDPHAPTVEHKVREIVADKPPPIERG IRHVIGPAPEGAEYDLPEPADTVAKENKPKRHH NEUTE1DRAFT_105241 MERRKSQGQSSERSNGDGNFRAYIPAGTASNSYEPQDIVSPISP PQPPPHRAERRSWGNDQVSYSESQRPRPFSNITPGADDFSNAAAGGVTGIALTVADQT ARESGLNALDGPAYPQEYDQQPTPGQFQSQQNPGPNARDASNYFQAQMPYASPYAQNS GPPSLQSSSQALNAAQYAPGAGTPGQTSPSRSPRQGPGTTGIYTDDPFLSYGRPQPPG LGVVDPLAALDDDDDDGLNYHRKSKRNSILSLGNGSNKSAGAVAGTAAAGGVIGGLAS RNESGNVSGYTPIKNNVQMTDTIHAASPYKPGFVAEKPGWNSTGKSRSRGKKWRLAAI LTLGLLVAAAIVLGIVFGVVLKRGGEDKKQGDSAADDMQNNGDLDINSSEIQALMNNP GLHKVFPGIDYTPLNSQYPDCIHNPPSQNSITRDIAVLSQMTNTVRLYGTDCNQTEMV IHALKQLKLDNTIKIWLGVWQDGNTTTNDRQLSQMWTILDSYGDKWFKGLIVANEILF RKEMTLASLSTLLSDVRTNLTSRGMKLPVATSDLGDNWDESLAKVSDYIMANIHPFFG GVNAKDAAAWTTTFWKDHAGTFMKSDKSKNIISETGWPSQGGSDCYPDTKSTNCPGRA VAGITELNQFMSDWVCQALANGTEYFWFEAFDEPWKIRFNTKDQQWEDHWGLMDVDRN LKKGIKIPDCGGKTVA NEUTE1DRAFT_54770 MTKLEEMLRVFEKRNFAGKNGIYNIRYHQLHGPTFPWFLGVYRF GVGVRRFTLLERGETNETNTEPVA NEUTE1DRAFT_105242 MRGVMAGKAEARRNFCKVREEDKLDGALAVFSKRIDHHQQRALT KELDLPPPRPGIFNTSLRSSGQLAEATSPTANMAPYIQEPDMLSSSGYWVVDRRIMIA IPDSSDHVDPQRLAIESPKHVIKHSLMEANPPLDTIHDLSFGVELKFLLPLLVQGEED PQPEDHREVSMTQLKDSEATRREQIYESIAKSISQAGEKSTTISRITKDASHERAFWK SHWIVKKANSAEPTPEQANLEGYVWLSVEVSSPSLPAKDAETKHRIEAVLRSLFSGHR LVANYTCEVHVHIGRTDGQPFSLATLKRLGTLLWMGEEQIRSIRNPRSPNYHNVYTWG FETRKYSRLASRAQELVLREHGSATCQDGVLVEDWQVADVLKTHPEMQAKDRKAIEEL WKAESHMELGRLLSGEKKPYRRLGFNFSAFGLEDERARNNPRTMEFRMMEGTTNINYI LGWLSICSALAEVAVLRSDGRYLMVLDRLIGHRHARSRSVGARRETDSETQGQRCGRE FREMMDDLGVPRDLYRDLEAKVTLDNEV NEUTE1DRAFT_90338 MAPSTREASKKEKAPDAAPPASEAEPGSKHKADPDSEGASESPK AKRNKTEIADSHSTKKETEETRRAGVEAEKTECQREEKDDRDKKSAVEPHGRDAPKAV PSSILEKGIIYFFIRGRVGIDEPSDVNEIARSYIILRPIERDAKLGSGPIGDAGNSRL CAIPKKVLPQSGKDRWIAFVEKTSASFQQLKDEFLASNDYETKTAGTRHSPAATPVAE GVYAITSTGSESHLAYILTVPEELGEVQTELGLKQKGSFIISTKNPQYPAPPNARLPK GPEYPEEVLKEFRSRRWMPTQPKHLDIVNTQFLLIGESSGVEKVLEPQKADEKENKEE PAEELEKLEEEDLQRLKGLSDDDSGRIFADLEVNANDYPKLQTTF NEUTE1DRAFT_125770 MLAKLPPNLSLLGILLPIFISPTRGHSSAAHELSHSSHNPLCAP LSFTLTATASHIFLASPPDPSNKTEILDTINQSWDGTVVANGNHTVNGTFTLKAIYCR PSHKSKNEGVLQILLHGATYNKTMWSGYGFGYPYDWQSYATSPSQGYHTLALDRLSHG ENDPRDLDPLNDVQMPLQTELLHQLISVIKTQPNTKTTFPKTNPLGRTFSKIALVGHS LGSYLSVALARSYPLDASALVLTGYSSRQNTLHVRSAPWASAPSVYPDRFPSDKYLHN LEYLTIATLEGREKGFYDNATDAAGRSYDPELARTDYEYSDAVTLGEVVSLGDWGVGA EEFEGPVLVATGQRDFVMCNPPEEDCVKRLWETGKTFPRARRYEVWSPRETGHDLTLS YSAGETLERVHEFLGREV NEUTE1DRAFT_90344 MRVSWGLLAFPAAVSAIENGINGWLRYAPLPNDQRPPNLLLPGA IMALSTNKTSPVYTAGQELQAGIKSILNQTLPLYHEPDKWLGSTILVATTGQFHGVKP AATLADDGYLLRVRPGNVVILGQTERGALYGAFDYLSRIAQGRFSDADLIANPDAPIR WVNQWDNMDGSIERGYAGASIFFRNNTIPKDLNRVSQYARLLASIGINGIVVNNVNAN ANLLTDANIDGLGRIADAMRPYGVQIGISLNFVSPQTLGGLLTFDPLDQSVISWWTDK TNCLYRRIPDMAGYLVKANSEGQAGPLTYNRTLADGANLFAKAVQPHGGIVMFRAFVY DNHINPADLKADRAKHAVEFFKGLDGQFLDNVVVQIKYGPIDFQVREPASPLFANIPQ TNTAIELQITQEYLGQQSHLVYIAPLWKTILDFDLRADDQPSLVRDVVAGRRFSNKRG GYAGVVNVGTNTTWLGSHLAMSNLYAYGRLAWDPNQDPQALLEDWTRLTFGSDPLVLG VVTEISMASWPAYENYTGNLGIQTLTDILYTHYGPNPASQDNNGWGQWTRADHDGIGM DRTVSTGTGFSGQYPAEVAEKFESIETTPDDLLLWFHHVNYTHVLKSGKSVIQHFYDA HYAGAETAQTFPIAWESLRNKIDTERFNDVLFRLRYQAGHSIVWRDSICQFYYNLSGI PDGTGRVGRHEYRIEAETMALKNYKLTGVNPVETASNLTAVVLVSNHTSGEATTKLNF DSGKYDVAINYFDLIGGKAHYKAFLNGKLLLKWAGDLEDKLGHTFSTKLDGHSATRVT IRDIQIQKGDVLKITGVAEGNELAPLDYIAVLPQGVVD NEUTE1DRAFT_90347 MASSASSSSARTRAPMQHIDRKELYTSLEARIRYLHSFLDFGTD DVEALSSGAKYIQALIPAVVNIVYKKLLQYDITARAFQTRSTAFEGSMDEVPDEESPQ ILHRKLFLRAYLKKLCSDPTQMEFWEYLDKVGMMHTGLGRTHPLHIEYIHIGVTLGVI QDILNEAILSHPRLPMPRKIAFVKALGKVIWIQNDLFTRWYVHDGEEFTDGMDFGVVE KEGFLHGKKVLDETSEASSSSAAAAATGSSACPFSSVVESKDWAAAAAASASDSTCPF SGASKTMEGLSIKDKKPVSAAKNNDDARSDITEKASRQEKTI NEUTE1DRAFT_118698 MKASIVLVSFLGLVSGMVLPGHRLSPSGAKLQPRSLFKREANLD YRYAPEPCRAILTTCRVTSDCCSGMKCVSADGESVCTPSD NEUTE1DRAFT_105248 MSDSNTRAYLFKFRGSDYVFCRTAGHGAEAQAQVVQKVSTGELL IRKVSKNRLDRSKCIEPNEFHLMEEYLSKPPRSPDIRPLIPKFYGYEKIQSKNGLFHL VSYWQLINGGSVKDLIMTKYNSIKHTKFNPDKRFPARLIARMLHQVFSSLQHLYTVYK KPIFHKDLHPGNIWMHFAPSGDGELSDIPDFYVGDFGYAGWDFGFNPNHMATRDIDQV MEWARLMICINLPEHQRPPKDGAVPYPDDLQRGGTIPGDAPMTAILSLYYDFCHELKQ FKDQKGRKIREPSCPPPDLSNFIRRAEALEKKLTPSLTGCRWEAIEDATYHRPGKTRG SGRGLRAISESPDEEDGETDSEPDF NEUTE1DRAFT_90351 MNYSFSVRLVTALALLPFAQAAKEVFAHFIKASIDGFVLNIASQ DESNANSLANAFRAANAVNFKLFFSFDYNAQAPWSKDAVTALINRWADERSYFKPDNT YRPLVSTFEGPDYAEDWRDIKDKTNCLFIPDWSSLGAANAATKAGGVVDGLFSYAAWP KGPSAMTTEMDEQYRTALKGRPYIMPVAGWFYTNLPSWNKNWLWKGDELWDTRWQQII EFQPEFVEILTWNDYGESHYIGPVREKSLGLFRSANAPLNYAENMPHDGWRRLLPYYI QQYKQGSKGLSTKRIPTTVVKTLTTIVTAPAPSPTSTVFRAAEQVAQQDFAVPEEAVV AYYRINPANACNNGNTTGNDPKYQEVYAPDELLQDNIFFTALLAEPADISVSIGGKDQ KATFSKTPQNGRAGIYSGKVLFTNSMGDVVVSVSRTLEDGTTQLIAQAKGGPAITTEC VGGMVNWNTAVISS NEUTE1DRAFT_51522 MCNFFKNYYIYASCTDPGLHFYKIELDGSPQGACPRAPHERFIV KGDKCEHCQGSLPLRI NEUTE1DRAFT_28793 NQRKDPIHGLPCTEFPVADVDGLPTRLWLQGRSSPRIAEVRAPK YYQKELET NEUTE1DRAFT_125775 MSFIHIDRFLAAGTRLFQLLDCSSCTWNGNVKCQKADHDRNNTD QDLIGKPQTLLQMFNTLPAPNPKPNQVQDLDRRASMHNLLNNKVLGLKTTLYPFQCRS AASMVQRESLTEPVPDPRLPKPYVDHLGKMYYVDPIAKTIVIDLAYYDSVCGGILAEE MGAGKTLICLALILATKHIPTSIPELYRGADPIVRHKVGSLMDMAAAEVTRSGAWKDI FAPNGQENDGFQYDSVINAIQRNPGWYRLPRPVSPRPTRRNATETRGEMIYLSHTSLI IVPPNLVTHWEQEIEKHTSGLRVLLQTKTLCLPAAEELKEYDIVLFSRSIFESIHSSK QATKKSSRSYQSNPLANVHWKRCIVDEGHILGNSTSGGVNSMPKTNLQLVINDLHVDA KWVVTGTPSKGLYGLENGVRGSLEQNHQVSMDLELQDLKAIGVMASVFLKARPWANTL GEARSLGVVGATKDHVHWEDYVVKPWSSRTVDRRDDCLKATLGSLIVRHPKLEIVPFL PSVEERTVYLDGSYQDILSINLFSMYIIFNAVQSVRTDEDYFFHKTQRDALASLVKNL RQASFFGGQFFPRSDIFQAIDRAERFLDEGVVNITAKDDDLLRTAIEFGRVAVSNTIK DAAHCFAQVPVFVENFPFGAGEHWSLDVSARDPVCTMAPLLLRLQERLKPFTKSPKTL DTLPTLGDIATWGEHDQRNALAHTSWEKFGRLQDNVSTVGTTDTRSNMRKKSSSSVKP FVGKTKLAQEQQGSIAGLASEVAAPLITTRLISTASAKLSYLVDQIIQHQEAEQILVF YEDDNVAYYLAEVLEVLRIQHLIYAKGITSERKNYYLATFTLKPKIRVMLMDVSQAAY GLDMKTASRIYFINPVLNPQVGAQAIGRARRISQQKPVTVETLVLRGSIDEVIVRRRE EMSPAEQRKCSRGSILDDRPIYNWILNAKILPLPTSVDKDDGPAQMAKLAEPQYIFGR SSGTYRGHTDTDLVMRDLGSDALRAVNAGRKSQRDNEVTTSGQYITATFGSNKRTYQD DDGAIHSATSSIQEDGDVMMMDAQQRAEPDSAEGPPSRSTKRLRVRFADTGEN NEUTE1DRAFT_125776 MYPPKEQEFVLRTQRSCARMEEATAARVSQTISKKGKEKAVEMP TMVEAIQQPTLSKGWPLSTTAGPVWDQQGMYDRQWRVSGQSIISTTSTVPSNRDSWDS RPHYSIINNGSITSLGSMRAQSPPAGPRTSLLAQLQKPAQVSRPKPPGRKSKPGELFA ALPGEVIELILEELRKLHFKPGTNSCATCWMRDCCNIAISARKFLKYAREALYGHIQL VGADGHHMKKRTKLTYGSRLVLLRRTLRGNLRIAAIVRSLKPPALPSAASSVEEYNDL VASVILACPNFERLVGYYPTYDHTFQRIFQALSTRQKLKEMDWILERSPLQRQKSTAA IRPLTANGSKYNLANGSKHNLANLNNSRHNLHELEHLSLTQCRMFIDFHLGWQQLTTL VVHCHPGATLAPDGILDQTFRNLPSLQNLNVSHLPRSSFTDANLLHLPPRLKKLTLAH LPGITTAGLTTYASRSAATSLTTLTLIHMNLETLPSLARILSNLVNLDTFNLVQHHAP VMPSDEMIWLFPYITSNSLRKLHWDIPTRTTTTPADDILAKSILAGGFPRLTAIRCPN DPEGVFQALCKPKERADHPTDRYRGGKMHFTPTNTSGMIHHARSPSGFDSIASSTGSM TNGSIGDDRDTISTPSTPTDTSFPLPTSSGGGGPPLKSLPIFAPKPGSLADPFLLSHR AQSDLHLARLAAQARIEAARKFPRFFIIVTDPNGEVVDRYGVGAFIGRTDSKITYLLT ADEGSGATDEGGGLVTVDRMLGDCGEALVLPPKSSKAVSEKVEKHEHRHSKSSEDKKE HRRSRSTSRTGMREWWGARSKSKSRAAAAAEKAAEKAANKVEDVMVKTGTEEQSRTRE GCIGRWNTYSGSVVDKKDRERWWHTERGRWRPVVLS NEUTE1DRAFT_52204 MRTSILSSPATRALCAACRNEASRTNAGVAFSTACASKNRNRRP EVPEQSRPRVTTTTTTREIQKHRLFPSARAHEYSTSSTPSDNTPKPQAPNSSTSSSSD SNKPIPRYYALFPITLPLGPPPSGPFDIDVRALRREFLRLQAASHPDFHHSANHDESL LQRRKAEATSSLINSAYKTLSSPLLRAQYLLKELYDVDLAGDESTDYQNGSDPTLLMT VLEAREQIDEAKTEADLEPVREENEARIKESEEKLSEAFAKEDVEAATRECVKLRYWM GIREGCNEWEEGKGFVMHH NEUTE1DRAFT_118700 MLFNSRRQSSTVQSPSVRHDAIVFKARIGIPTGSFMYEIKLAVF LLTTALGSHESKTRLESITQDIRISSHSGTG NEUTE1DRAFT_125779 MVSSEVRDLLAQVPNWSQADRPAAFRTIITTITSSPDPSHFAAD LKAVTDAIFLESLGVVATRALVIDLIDALKSLASGGPSADTINSTTSSIWLDVGKAIQ QHIQSNPTLATSLVDQTATIYEDLLAAAHESQNSFTDAAKTLAAIPLDSSQRRVTDKY KADLWIRIIRNYLEDDDATSAETYLNKLKNIIHNVADDNPVLNLHFKLSAARIQDSNR QFLAASQSYYEISLSPAIAEEERLHTLSMAIKCAVLAPAGPPRSRVLAKLYKDERSAS LEEFGILEKMFLDRLLARAEVEKFAQGLAPHQLATTSDGSTVLAKAMVEHNLLAVSRL YRNIGFDALGSWLGLDSGNKAEEITARMIEQGRLAGSIDQIDRIIYFESGLEASGEKG SGRAEVPVGKEMRRQDGMVQALAEDLERITDDLLVEFPQLVPAGVPGN NEUTE1DRAFT_71668 MPPSKLRILCFGDSLTEGYSGWGSCFTPYSTKLKEMLRMAFPDV DVEIVTDGVSGDLVTGEGSFLTRMESHFAPRNPADYKPFNWAIVLGGTNDLGSNIHPN QIFESLTQIWDMALSRKCKVLALTVPEIGSSAGGMKELLDFRRDELNQMIKTYKKPNY YTFDLHAAFPFYALSEADRERYWDDGIHFTPAGYDRMGNKIGVTFVNMLVREQSDNDR TPMGRKRRMFKDDEKVFEEEEDPAEPISAGLDKGYIVVRRRDLD NEUTE1DRAFT_90365 METHLTHLPTESILIWYTVAKHRSPKSCWVVLYGNVYDVTEFLP SHPAGDKIILQLAGRDATTEYDPIHPPGTLEENLKPEAKLGIIDDASLAKLHQKESEA RAKATGSAMGTTTHTTSAQQSEKAAPPPLHTLLNLDEIEAAAKTQVSKKCWSYYFSAA DDLYTKTHNTRAFRDILLRPRVFIDCTKADTSTTLLGHKVGTPLYVSPAALARLAHPD GEAGIAKGISSFGAMQLVSNNASMTPEQIVAEAVPGAVFGWQLYVQTTRSKSEAMLAR INKLRDHFKCIVLTLDAPHPGKREHDEKSNLEAAGEFVESASNAKTDAEKKPGGGGVG QQLFWGTAADLTWETTLPWLSKHTDLPIVLKGIQTHEDAYLAAQYARKHPGTVKAIIL SNHGGRALDTAPPAVHTLLEIRKYCPEVFGAVEVWIDGGVKRGTDVVKALCLGAKAVG VGRAALWGLGAGGWQGVERTFDILQQEIITCMKLLGAKTVNDLGPRFINTRKVERDIF DGDAGLDKNGLWTSRAKL NEUTE1DRAFT_118703 MDKQPEDKQPEYTQTENVAEKPRKRGCAGHCLKFWWAYLIAVIV IVVIVVPVVILVGVPKIAQQKLDHAELILDSIVMTNSRAQNFTMSINTEIKSDGKVKA TIEPFEGVMYLEDLLEHKPFAKVHFPETTSAKSQIINITQFTMIDDLDAFTTFNTWLL HNETFRVTVEGDTKVHVKGIARAYGVTFKKTIDMPGLRMLDGTTVTNTTVNATAPKGQ NNFFGTVRIPNYSKVAFELGNTSFHNYLLDKEIGTVYLDNLSLKPGNDNVYPMRATID DLGYVTGLAVQKPYCDKLNGVLPFKLRGKSVINHGESLSYFADALASGNQTVQIDIRG TVKRSMGFTLGCTDE NEUTE1DRAFT_90370 MPPPTEVASSNTLLPEPDSDIDMSFLNDPSTSSTALASGPSAEP PKSPYTTTRTLLRDLTLPALPNMDIPASPPLSPSDISSVERLSALTSKFDKFLELKRN KGQHFNSRIAQSAATKNPGLMDKLMRFVGVETEIWFDHDDDDDDVGHDGNRKPLERDV SLDGNGTEVAAPAPAGAEQYATTLSKEVWDPTAMPGWAYRDRLRKTQERMQKESERKK GERVEFVSGGSTTMTGSGIVSRTGSATPLGSVAYPGGMSGGTGTKVGTPSAGAGAGAV TTAGVKRKSRFDT NEUTE1DRAFT_149837 MCGIFGYINYLVEKDRKYILDTLVNGLSRLEYRGYDSAGLAIDG DKKNEVLAFKEVGKVAKLKELIDSQNLDLEETFDSHCGIAHTRWATHGPPSRVNCHPH RSDPNWEFSIVHNGIITNYKELKTLLEGKGFKFETETDTECIAKLAKYLYDQHPTVGF TDLAKAVINELEGAYGLLIKSVHYPHEVIAARKGSPLVIGVKTQKRMKVDFVDVEYAD DQPLPAETASQNVALKKSAAGLLSPNGLLGAADKSLLHRSQSRAFMTDDGMPMPTEFF LSSDPSAIVEHTKKVMYLEDDDIAHIHEGSLNIHRLKKADGSSNVRTIQTLELELQEI MKGKFDHFMQKEIFEQPESVVNTMRGRLDVANKTVTLGGLRSYIDTIRRCRRIIFIAC GTSYHSCMAVRGIFEELTEIPISVELASDFLDREAPVFRDDTCVFVSQSGETADSLMA LRYCLERGALTVGIVNVVGSSISMMTHCGVHVNAGPEIGVASTKAYTSQFIAMVMFAL SLGEDRASKKERREEIMEGLGKISEQISSVLTQDRKIKQLCEERFRNQKSLLLLGRGS QYSTALEGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDKLFRKSLNA YQQVVARKGKPVVICNESDAEFQTSDAVKIEIPKTVDCLQGILNVIPLQLIAYWLAVM EGLNVDFPRNLAKSVTVE NEUTE1DRAFT_118706 MADQERQHALASFKAKLIESREWEAKLKNLRLELKGLQKEYDQT EENIKALQSVGQIIGEVLKQLDDERFIVKASSGPRYVVGCRSKVDKAKLKQGTRVALD MTTLTIMRMLPREVDPLVYNMSLEDPGQVSFGGIGGLNDQIRELREVIELPLKNPELF LRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARLIRE MFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGKTKI IMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHASGVVIEGDIDYESVVK MSDGLNGADLRNVVTEAGLFAIKDYRDAINQDDFNKAVRKVAEAKKLEGKLEYQKL NEUTE1DRAFT_90380 MPPTQISFDYPLSITNIGTATALIHLGEPSSPNRINLITDPVFG PGSTQIEYRGLVIKKSDSPALTIDQLPPIDAVLLSHEDHPDNLDELGRRLLDARRVLT TRDGASQLAPRPGVRGLKNWETVCLDFGGEGKARKLEVTATPCKHLPGGQVVGFIVSS SDGAFGTQPDGRPNAIYFSGDTIHLEELGKMKAKYHIAVAMLNIGKATVILPGGGADG GGPLQITMGGDQAAQLAKDIGADVIVPLHFESWSHFAESGTQLANDFAKAGVEDRVLW LKRGVETKIV NEUTE1DRAFT_54040 MATLFDNIHRRAHQVHARFHPHHGDRHAERDSYMTLTIRNLPLG TTENDVFEHIRRQRHDSDPIVNPLTKETNQRTLCAVVTIRQETEEKCKVVRDKLHLTR IYPKLPAGDVKDSVVMVSDEFLGVTTIAEHDDPQFDMYFVHGLGGHAFKSWSTDKGAP HMWPRDFLPNDIKARPLDPMNWHGPKLAGRLATVGYRASAMCASAATATIDKISQNFL NHLRADRTEEGSRLARYASRIVKFLRGNDTLIDSLSIESEDLNTIVARFDQMRNHPRT RIPIVIAYEMQPMFGMRFVTDPDSAMSSFDCQTIGIEGDHRTMIKMENNQDQSYRDVA EFIIRMIQRTLSGSSIIPSSSATKLERKVFQELPFRQNLARDESPPPYKGQGSCRPST ASSASDGTSASDPSPHSQPVGLGISNPKSEENNTPSISRSNIVPVRFDDLMMPPNRIN GGKEDNRDFALLSKFDVVFLLDDTGSMMEAIEPAPSFNGNCEAKERSKWDEMIESLRY IVDIVTHYDRDGVDVHFFYRDDKDEFRIQDGQRVLDLLTNEVSPDEIGGGTFIAERLW TILTAYIDRFENYRLRMAERAPCPEKPKMLNLIVITDGAADDKDAVEDVIVNAARRLD ELRALPNQVGIQFLQIGRNEAASRWLKTLDDDLNEKHRVRDMVDTRPWDRVDEIDKSL QERLTNILLGGIDRARDME NEUTE1DRAFT_53282 MSDFPTYIKPFNKNAYRSAAAYKSHLETQRTKASPIPHHAPRTI TRPTRPPTLRVVPRYRAPRALESPKIMTDEEVRAWFREAEEEYEAKKKAGYYDGYVPA AGPALADTTNALPKRKTGMENSMHAPKKQSIILNPAARPYRAVLANPTNSLPKRKTGL ETSMHAPKNFKLSIVTN NEUTE1DRAFT_142173 MSGFPKRSAAFNPHAYRSQAAYDAHKNFINSPKQEPSDEPKPTG SFNRNAYRSQEAFDAHQNTLRGDTHPPKKQSFDMPKSSDSFIPHGYRDQAGHHSNKNT PRASIDHPKQQIQNQQFRKQRPTKPVKGSGTQSGAEGPHYMSDAEVREWFRQADIDYE NKKKAGYNKDYVPAGGHTPDFSAFRAKFPASNVIVPGMKNSLHAPLKSTESAKV NEUTE1DRAFT_142174 MASNDNRKKTFNKKKGKHTYNNKYGWTPEADAAVEAFFAEPPKK EGKEQMDDSESAPYQAADHETPRAKMENPLPFGNKGLAGSRFAVEEDIKLLPPGEKGL ANSRFATPETAEKSSKA NEUTE1DRAFT_53103 MAPSYNSKTNPNGYKSMGRRPTNFKKNLFVSPEEQAAVDEFHAF YAKLDMEEKNNRKSPYQPADHDTPRAKMENPLPPGAKGLASSRWAKKEDDIKPLPTPQ AASHHMEEDKKPLLLNNTKGPAHSRFAKKKGYNSISPEEQAAVDDFHAFYAKLDLEEK NNKKSPYQPADHDTPKAKMENPLPPGAKGLASSRWAKKEEEDPKPQFPEATKDPKPQF PEATKGLANSRFPKKPSGKRNGKVTYTKKWKFTPEEDAAVKAFFAESSEDEEQKGNGE SVYRPADHDTPKAKMENPLPPGAKGLGSSRWARKEDTFFPPSTTSNSSA NEUTE1DRAFT_125788 MPDDTGSRHSHGTTPSFRTIFAALHRRFTHKSFTSSPASSNGTV LPPSLPGTSLRKERGAIAAQACDTCRARKQRCDEQRPKCGTCQRFNVECHYREPQPTK KDKTLVEILDRLKVLDVLNVLENKIDHLSWRMDHLVATCHPNTNSTTTATHLAPPPPL TTSTGHSVGIHGVHPAVAHHHSPEGLSNGGPHALPNIASLTEDHYQYVSAVHQMLAWP AIQGHLATVQPKCPTRNLKSVATDGPAMALGAHLPMDHTIPISVQTGENYNLGPVSAP ISAFGLDWDIMQTLSKAYFDTISLLHPILDRHTFLTQTLPTLFKNGIDHRLQSTIAFL VFALGEVALGNYRGAPINAHGGRPSGMRGGSKSHPPGITLFNEARKRLGFSVAETSLE MVQAYTLARIRHMATDLERWRSYLPSNLQWQEDTPGAFPSSATAYNGPSIYSPVTTAT ATTTTNSTPAVSPMLPTPQEGTTFMNSVSPGVSRVSMAGSGVMPQALANVAASGLRSP PMSHQQPILNSGLGPQHQPVPQPQMFTADLDAPPIRYPYAHDVQVALLRSRYYYAKYL IHRPFLYKALHHPDAMMQEDAIGAAECLKASLKWPIAMSPSSLQKRFVPCPFFFTQNF FGILVLLHLVLSDKVPILTKIKDTLCGGERFELEAKKTVELYVDWIRDLKDVEPSAVW DWDVVCAMYGLEGNG NEUTE1DRAFT_149841 MDDDVMRPDTHHDPTPGLIPVPHGPATGDEALQLPFGHTPDPDH EKAIFGYLTQPDDIYTKDGTYWADLPLRERIAFVNKVQNEEAKQELKAIGRMMKKDPL SPVSWYFRNAVLPGAGLGLEGYVLFSIGNIEPLFKATWPQCWGHNATECSSNWIAAVT YLEVIGIMVGQLVVGVIGDWIGRRWGLIQDAAIMFVGLLMLTASWGFGVGGEYPITAT SSMENSVASGKLSTRNDRLHRGRKVTTAFLMQGWGQLINQALLIILLLIFHAGSGSPP YGLATVQWTFRLSFAIPAVGTLWLVYYRTYKMPHASRQLIAAKKKTNVTGYDFDSLAM TTRHFGGRLLATAGCWFCNDVFFYGNKLFQAQFIAVISGGSSSVLTGWIWNLYNVIIS LVGYYLASLLMDNRFYGRKMMQQVGFLMCFIMFVVPAFKLEYYTSPAGIKSFQAMYFL SSFFNQFGPNSVTFLVAGEVFPTPIRASAHGFSACCGKAGALLASVLYNYIDTQTKFY VVPWFGLAGMILTWLFLPDTTGLDLKEQERRWSYIRAGKPEDYHGIAIHPMHLSLWER LRGVGKHYNPELDHKQKIEDMREEWAGKERMRREKEVNGQNSMGDDLEVDDFNDQVHH YFRNTTDQGMFVNDGSAGPSTHSRSRNNSEPPFASGANSKEVTQEKIRPDSPSTTQTP SSTRPVSEKEQ NEUTE1DRAFT_90387 MEEQSKAPSLLAPPAVSSLRTGKMERSLSENIREEREDLREAAE QTLNVIMDLNLDGTIRWVSPSWVDVIGTQPEQVTGTAITDLIVSDNKSIFADVIESMQ RDDSKSKFIRFAVKVGPLSRLLPLEQAKEPTIIDVEAQGIMVYDGASGKDSHTMWMIR PWVAPREIKIDLPAFIVESLGSGAEVLASYLTQLAESGLDDPNGHPPPAPVLCRICER QIPPWWFEKHTDLCLQEHRAEMDVQMTQESLIDHRHAIVKVLDALEARHSRTLIGDPA NLPIAEYKGMPIGPPTSTTSSPGTSSPSSNAGRSRDRSSGFGHSRARSFAIRRPQARI VELLLDLCDTAIEISTPAIKETTQNPAEFRTQSPQSEGRISQVMQWETPGTNTLEQEQ GLALLCTDTEAVAKAKVEAVFRHRRILEYAERIRIEFAIVVQECIDEAMRRAAKIAAG RLSDSTEEEEEEDFEQTEDEEATSAQEEGIFPGSFDAPSTLAMALEKAHISGERPSRR LSISIKSTRSSSPKECPTPRSNRGTLGNLASATQSRRESMLLESDAGDSDGGSIRSSS VASRMPTRTESPLSEFSDLRRHASSRQRNRRSLILPGTISPRRQESPSRNGPPSSPLR LHKPRNLPFPSDSLVSPEASPMLPSSEFTSPTPPSIHHHRRQSSAAVSASTGDLGFKP PPSPRLSAVVGAPQAKAVPPSIKDFEIIKPISKGAFGSVYLSKKKSTGEYFAIKVLKK ADMVAKNQVTNVKAERAIMMWQGESDFVAKLYWTFSSKDYLYLVMEYLNGGDCASLIK VLGGLPEDWVKKYLGEVVLGVEHLHSRGIVHRDLKPDNLLIDQKGHLKLTDFGLSRMG LVGRQKRALNNGADAAPDLLKQGPFVRSSSIASSRSTSLDVHARNPSPLSTPQMTPSD YGAGLGQPSYFNLGVLSQEPRRLSANRSDSGGSEALSHMLPNLSLNDTLSVPPQAIQS PGERSEADEGTTPDFVSLSHATTQSNIDANRGTPPQQPHMPPPNWALFDPQDTNRRFV GTPDYLAPETIRGEPQDETSDWWSVGCIMYEFLYGIPPFHAPEAEQVFGNILARKLEF PEDSDMEISSEAKDLINKLLCSDPHQRLGANREDKFQSGGEEIRSHPWFEGVNWETLL QDDAQFVPQPEHPEDTEYFDSRGAVLQSFAEEMEDQSSPPSSAPAPDYHNRPHDALAK VRSQVNSNINTVKRGLMPLHIPPHVRDLKSRRLSEPVAADDFGNFSFKNLAVLEKANK DVIQRLRAEAAESQTKPISPGALSSISSPGTIGALEGSPVIANPVQRTLSAAKASQRP QSPSGIGHSNSPNRASQPSSPLLVSFVAGQGAEGRRKASSNSSSLSHQSGSFQSTVEG TRVPPTLQKAATTTTASSPSNGRLVMPPLPLSPQRTVPAHTFTSSPRNTSGPATGRAR SLTVGSQEGSGSPISADILAHHKRRSQVFDMSPSSSDTEGDKANALLRVQRRRQSSRR MSQVLDGPMFRPLDVLICEDHPVSRMVMEKLLEKLRCRTISASTGQEACRYALSDIKF DIIFMEFKLPVINGSDVARMVRETKNKNHHTPIVAITAYLKELHAPHYFDSLIEKPIS SSKLSEVLSTFCQWKPASPGQAQMLSLGLPPPVPSGLRQESLRLEDSPVSASGSSKYT VHSGGSVKEESTCSTFGDSESVATDDIPVVVSRKATGDWSEGGLGISGSEEVLVAGDD GSKPLVHAPLLTQQSAPAQMEHLAGQTKGPVPQRSLEKLRARRESIEKRRLEGCIDSA DDEDEELGASQANSGQEPHPAKHHRPKSTLPSSKLGIEMMRANSHDSMTAADSEGASS ATLGPPTAQIVTPTTDVQMELPPLVVDTAAATMAPYTTVADEEAAAVTVSTPPDLRPE TEGRKICHVEQTPRQLSLAKVDEEAAEADEEPTPRPLSRSVNQ NEUTE1DRAFT_105272 MTSSLPLQPLATYAEDPHSGPDSNPLSTLNLTILKSLSDKKTRD GQPPKRRGPKPDSKPALTRRQELNRQAQRTHRERKELYIKALEDEVLRLKEIFGTISQ DKERLAEENRRLKAKLAQNDAAGDPIAADTNFFDQMVSSPSGPSPGYTSSSSMLGSES YLPGGPSSQTTFPSPPSSTTTTSPVYRPSLGGPRDFNTVLGDGAGGLVSKRDPDLDYD QAGIDFVLTLERPCMEHMTWLLERGTGTEDRAQREPCGHALMASCPPEPFSELSPESP FGHTNTIHAHGHGHPPMPTSISGGGQRTWELSKADLATLLDLSKRLDLDGEITPVMAW GMVLAHPRLAELQLEDFARLTEELGSKVRCYG NEUTE1DRAFT_90393 MTNPTPGLSGLVDLIDSLPDLGATWGPAITTETTLNGVPYAPYS KGDKLGRMADWTADSKDGRDGRGGRQQYNRNFRDQQIYGAGSTSIFAPPVAEDESSFS VVSNVKDTGKTRFGRGAVFTRGRGQRGGAGAQAGRGGGRQTFQRTGRGGQQYGGGYDG GRGGRQNTGARGRRFGWKDYDKPQRNRDASINIKGDWKLIEEIDYNRLSKLNLETDEG EDVDDYGFLYAYDRSYDKPVVKNAERRLAAIDRAAYNVTTSSDPVIQELAEKDEATIF ATDNILSMLMCAPRSVAPWDIVISRQGNKIFFDKRDNAALDLVTVNENAADAPLDASE GSKDGINQPHALAEEATFINHNFANQVVVENESEKVNMAHENPFYNASEENVPPASKA YKYRRFDLSTSEEESTFLVVRTEIDAVQKNATDGKNQLVTVHALNEWDSKAQGAGGAL DWRTKLASQRGAVVATEMKNNSCKLARWTVQSILAKADVMKLGFVSRVNPKVNDKHVI LGVVGWKPKDFANQMNLQLSNGWGIVRTIADMCLKSESEDAKFVLVKDPNKNILRLYE VPAGSLDEEAEELDQVEEDGEEAEE NEUTE1DRAFT_125795 MPPKLSSSSSAAGKLTSSSSKTPVKSARNKGYITKTPISSKAKP KPNASILNYFKKAADETLFIGEGGVTAEDLEGVDGVGVEGEGESEGGCEVEVEGGGVD LKDAEEDEKQSNDIGGLTKKRSLTSDGSEEETKGKRVKADAVEVKKETEQEEVKLQSE EMIKEMLAVPHSSGAQSAKSVRNPRRGPFLDDSDEEDGGEAEAVEGSAAPVKSAGLSD YEGREKRDESTDKTEVETLENVTKKSRVPLLRQETSGARADTQTNQEDEPAKDGADQI DKDPQEDKENHYNDLQGEELREKRYVQEQARLEAEERRGFIGEDDDYGELMLDDAGFI DEIEDDDDLTFPPANPDAITESCPICNASLTGVTSDQATAHVNACLDGNPSPFPPPNQ TAAEQRVPNVKEEFQESANLVNDTMAGLTEGSKRFASRAAVARPGQANPFTLPGDNDD HNPGPDVKLKGTGSSAFSKLMSSHAESAAWATAAAAESASRGMPAYKRTCPFYKIMPN FSICVDAFRYGAVQGCKAYFLSHFHSDHYMGLSASWVHGPIYCSKVTGSLVKTQLRTA AKYVVELEFGETVPVPETGGAVMVTMIEANHCPGSSLFLFEKKVGKEGRTQRILHCGD FRACPAHVEHPLLKPETLDKVTGKIKQQKIDVCYLDTTYLNPRYSFPPQEDVIQACAE VCAKLDRGLKEGNEAEWERLLRMRESGGNAKEGQDVTQFFGYNSKRKGDGGITSNPTP PEEEQQAETKPPPNAFTALTSSSTIRKNRLLVVCGTYSIGKERICVAIAQALGSKIFA SPSKIRITKQLDDPELSSLMTADPREAQVHMQALGEIRADTLAEYLELHRSNGFSRIV GFRPSGWSYRPGSGSNNNNNSHEIQLPPDIDTGPPASVPVTAALPPSSLPTTHLLHGN RFRPRFFAQNVVPQRGSGREAMCFGGGEGGADGECGERGE NEUTE1DRAFT_118711 MLFFSFFKTLIDHEVTVELKNDIQIRGVLKSVDQFLNIKLDNIQ VVEELKYPHLSAVKNVFIRGSVVRYVHLPQESVDIQLLEDATRREAANQATKAKQG NEUTE1DRAFT_90398 MPPPSGQKGSQKGTGKKGGAGVMRQHQHPRSRNTTPSAGSPQVA SLPPIEQHETATLDVRFDLFRNITYDDLVDHVANNTASPDSKSLDGLLSRLSRLSEVI DKRGTNCDKGMRLLAQSRRLRESELAAERGREEERRQKEADEEERAERKANKKKRKAT ENLAPPQGNSIEYSSPVRESGNKARKLSRDDSASSSLSPVAPRTPSAMEADEKTKTEE NDDDDDDDDSDDDGQPPPPARPQANTFGDDPSTFPDPTVYEILPVKPGMTEDEIKEIY SVAVYPKSDLADIIAGDPPDKDFSNAKPSNQINFSTFSTYVEPFFRPFTEEDLAFLRE RGDRVTPFVMPKRGKKHYTEIWAEEDGAMVIDSADVKDKLPPNQPRGNIENMNDEIAE TDKLSVGPILARLLQTMRPEHRAPPADERQNGATADGDVTMNGVDRFDFGDTQPQTAS PTVNGINGTTGQSTSTQLPPATFMPESNSESWKKASHPKLDYSQVDERLKQELRHIGF LPFPPDQTNGTSSTANNVSSSNTGNNNTSSTSGSSASHPSGGDPGPTAADFDGHYDDE VAARLRLLQSRLHEQVLLNGARKARLTELVKERMAFQEYQTILEDLDSQVQAAYLKRT RTMGKKPKKARPGAAKDGANANGGSGTPGPGGAAGMARPGIGDLTRTLMERRRRWIEN MGPLFEDDVPPSEDMSPAARRIRGSKLMKVPRVNEEGSTIFPREAMAELIKREKESWD DEEADEE NEUTE1DRAFT_132977 MSGKAERLKDEGSRLQVTAAGATAGLISRFVIAPLDVVKIRLQL QHHSLSDPLIHQRGAEIIGGGPVYKGTLPTIRHILRTEGLTGLWKGNIPAELLYVSYA AVQFTTYRSITQFLQAAFPKDQNKHLPPSVESFIAGASAGGVATAVTYPLDLLRTRFA AQGVERVYPSLLQALKTIYVSEGVTGYFRGLGPGLAQIIPYMGTFFCVYETLRPRLSQ LELPYSSGSAVAGVLASVMAKTGTFPLDLVRKRIQVQGPTRGMYVHKNIPVYDGRMVK TVATIVRREGVRGLYRGLTVSLVKAAPASAVTMWTYERALKLYIRLGEAGSGRKEGV NEUTE1DRAFT_105279 MTPTSITSPDIQSLVRPVLSALPGASASTEPATTVLPLLSPILR QRVQLLSGASSDPWIRLLTYDTSKVSRLTEIAQSGSLDPHPVSGEVEIDWDYDVHIKY RRLDPETLQTLVVLGEFDLFIRLVYCVNDKDGGGDGWRVGEVGVASNPSPLDSFQGHA TLDEAEKAFKAQQISVPETKAVAQVSVQAEPVEEDDDDDYWGRYDATPSQTPGQGRSP APPSLGAGLNTQKSHPFERSASAEDAYFAQYESVQPAMDPHDPDEEALQGGAAGGGAP LNGTLLRRSPSPRQQAYEPPAIKSAPAPPPLGLNGDGNGGYVPSRSPSDKSGSDSSGR RMSQADEERMESLAHPRPASSASSNGSRMVAKLEETAEAQDRVEFGVKQHVSRTIRSL FQLSRGAGISREEFERMVRNELDVLAMVEDDV NEUTE1DRAFT_125800 MIGSMGLRIPRATSSLLGRAVNAVATNQSAVAGAGAASIAAQRR WQHYLMPLRDNFEQEGIRNFLSPGSVNMAYTEYQTFILEKLNALVVGTDFEQKDTKSI VLATARDPELAHVFNHASMAHNNHFFFDHLSPVPVKMGDKLFYHINENFGSVDTLRDE MIGTAVSMFGPGFVWLVRTQLPGQPVALRVMATYLAGSPYPGAHWRRQEMDAQTSIGS SPQGLSNGQRFLERSAAGFKGNKLEPTAPGGTDLIPILCLNTWEYAWLREYGTGVGGM GGKLAYAQSWWNMIDWAKVEEEARLETRILTGGDSSV NEUTE1DRAFT_125801 MSMSVDEIRNVVLLLSNPAWRGGTTISNTVIRNVTALTHTLTVS TRIAENITVLASKYAATQNGVIQGLLYVPDLSEKYPCVDNLTAYIPESAPRRAQLPPA NINLIALVPWINAQCARHFLISARQDPVRGLIFYKPDNSTEKPPKGSSDEWDIGDGGK WRSQAGYPIYAMSGAAGQRVMNQLSLYSGNVSEIPFGDRIAQVYNPSPGDFVRVWTEL SVSTDTALPGIWVYILIIIGVLLGAIIGISLLMHFIQAKRRSSLRRRVISGEVNLERM GIKRLRVPMEVIDTFPLFTYHYEPDSISVPTSPRSLRPDRPRGGSRTQSEAATAQSVS GLTVAMSEKAPSTVATDYQPACAICLEPYQNRVTVIREIPCGHIFHTQCIDEFLSENS SLCPICKACMLPSGYCPKITNAMVRRERAVRRLRDRITVEDSCSEYEMVDQGKPIRGG KSLRSLLGGGGDDPKAADAATIATTPSRMSIRQGSIQRAGGKQQKQVGNNNNGNAQRG IPPVLGRKRMRELAGGSDIDDGESQAPACGSFSHPLPVLGKSGRGEYGVGDMDMIPLF ACFGRFT NEUTE1DRAFT_118714 MMHSTGVSMTLGYWNLQPPPPPPPPPPLILCHLPFLSDNNGQSF RHPSVQNHSVLVLGIKSLVTDQCENGILYPILCHHHLLIPFPLCKLQRIQASLLP NEUTE1DRAFT_71719 MPGPRRLLQQASSLLLVVVALSATTVSAVPASQLFQRQSTSTCA AEGFSKCPQAGLPDNFCCPTDSTCIPLAANTAALCCPNGQDCSMIQPISCDTSKQNPL KNSQAGLKTNVFDVPLKRCGDRCCPWGYSCNTEGYCQKDADQSKTPQEMNDKPSPSVT TTATQVPISSSQSSQTTSKSIFSVTELPAGTDKPTATNKPTATDKPTETGKPAASGNQ SAGSAKPVESGKPDQQDDDDDDDDEDGGSPNLLIAGVVAGVVVFIIGGLITLYLCILN RRNKESGAGASQHGHGEKPRLNRSTSSFGNIISNPIVAEDTIRTDFNRSVSAQSHRGP DSDFAAVSGNVNPFDTPPRGSPNHHSRNNSRAKTPSEGQDSSIAYGGYGRNVSGNVPG PSAYTGTSISSRNNSPPPAAGGRPGYRTPPITPWGATSGQESPREPSGISINVFADPR TLTPERLAKSNSNANANANNSNTYSKFINNNTNYTYLINTNTYNTDDYDPFNPDNHQG QSTRPVTTFTAMMEEADLGAVARGAKYVPPTPKTPAGLLGPSVPGAGNMPNRF NEUTE1DRAFT_142190 MSHIDIDGADLRSKKGTTTPSVITIFITGFNRRLCCRLLLLSSY LQRLSNNRHSRRPQPSLPHLSISFILRLPIVKIGPNVRADLAAAVQELEEKHGIDTID VVIANASTGYVWPKVREAQVDDNNRRRICLGLWS NEUTE1DRAFT_71721 MTANMDNLHYVREHDRHDEMRQKTGSRVESFFSINNVKDRPNSV YTEFMESDWEMEEDDIYSDMEDLAHDDEISPRNSIGSSGGQPSFTTMSSYDEVQTPRS VRQRGGFPFPFEEMKQVEGPRGPHLFRSSISLHSVEYQNALSLSPITERKAQEHDHFL QHTVHLTTLPTRSKRDSGPFRFTDVELDPRTLPEWTPEMVAQWMFNAGVELNTCERFV ENDITGSILITLKFEDLKELGITSFGMRTRVWHEIHSMRNIQKPDPQPETPIEDEPDR KVERELRRNESVNKPKRKASKVRPKINDVISPLESVSIVGIEQLMPKPHSCSKGENCA KWKRNQKMIEAFKKDHPFVNIDEGGIIMVAGNPGNPQTAEAIDPFRPVSDAIPSVVAS SDVMGPGDQPTLQYLQEATLRNVMSRDPQDNVRQFLDFQNHHAVGSSEVPPTPPFELY PQQQAQGPHARLRALPKLAIPNEGGAVAGPVSARVTSARRQSQVIPSFREMSDQSASS EEATPVGVCRFGTPFSEMDVPVTMTSIPIISRDVSQSVPPDMNYRHNPAPPTRSMSRA TIRRPSFPVMPALDENSIVTPLTAVQPQNKSFSRRATIQTQRPLQPPPRVQYPWSQTN RTTFERAIAPMPGSSAVIAPSKSAGTSPTESHGVSNNPSSGSRLSPTTTQPTEDMTNG EAVSYQGLMKKRKTKMLRHEWHEHYFKLRGTRLTMHKDAATANNKTLEYIDIDDYAIA CSSNANTSKLNAAFKAMHIRRGSGDAQSKEDIAAFSFQLIPQDGKAAVKGQLRKRENS VPGPSSSSAASASGGAIHGAVNGTGKMHHFAVKSRDERIDWMRELMLAKALKQKGEGA EVVVNGSMI NEUTE1DRAFT_142192 MAVKSPDGHRMTPVILPRSVVSDKGMTCEAMMDGARAVTQFRAP LDPALAAVFCPVPVLSQ NEUTE1DRAFT_125804 MSTQSPNHHEDITKTSSVNMTTTTTSTKTKAAAKAGTNAAPKQK TQMHRRSRTGCYTCRLRRKKCDEGSPMCTACKHLGLCCEYKRPMWWSNNDARRKQKDE IKMIIKRKKLSEKSSHTIQTSINTPPGLSHSLPTSATFSDPLDRNRSASIDSHFGFNF NSPQHGQDFAAFATPQIHVNGEYMFPPFSPYEIDMKTERQIFINDIPTLRESTVSTFS TYQTPPPPGTILPSFPLEGEWTEQVFSERRESLTEETFNANFFDFACDPAMASSQVAI ELDDGDQKLLDHFVQHVLPTIFPILESNQHGSISSELVLPSLANNKGYLHCCLSIAAQ HFKSTMGIQNEEIDNDIMRHRYATITWLCEALNRDENHQPILDATLGLIFFQCIVGRP EDTLPDIPWHQHFQAVVSLVQKLDLAGLVSDITKPLAHTPFNMTLTSWIDILGATMLG SSPLFAHTYRNKHLSINNHSLGLRELMGCEDRVMYLISEIACLESLKNQGMDDITLCQ HVRGLGDEISNTEVNEGTLVEPYNANGTLSPKQLSKNITAAFRLAARIYLCSLVPGFY PAQPSCMGLVEKLTAVLQLIPSGVNGYDRSLTWVYLIGGSVSVPGSSFRDFFENRVAQ LGDVANSGSFGRMTVLLREVWLQYEAILAAAEAAAGTSSLSSETPQQQQQVNAHYVRW REVMQIKGWDYLLI NEUTE1DRAFT_149856 MLLPPLWTLLFDSRDTSTNMAGSQLKRLKASLKDQGIIGPQRSK KQKRQNAQDAKASTDKRLQRHEALASIREQFNPFQFKTNARGPKFEVTTNKPQNDKLA KGIQGRPGLAKATAEQRRRETLLVEMQRRNKVGGIVDRRFGEDDPNMTLEDKMMERFA HEQMKSHKKNTVFDLEGSDDEQEQVALTHAGKTLFDDDDIEAGRDDFDEDVSDMESDD ERSARKKFLKRLRAEEEAAEGEGEDGQPERKKTKKEVMEEVIAKSKAFKYERQAAKEE DDDLRVELDKELPELQSLLFQMRPKETKDSKGPAVMAGIDMDQLEKEYNMRLKQAARE ARAEVTDRTKTDEEKAEEGVKRLKEMEEKRLRRMRGEIVSDDEEEEEQKKSRKKGDDQ EVQDEADEDEFGLGKGIKLRMTATEMGLDDEDDFLLEDDLIASGSELDMDEDDMSDMD GSDDEDAYDPDEDELVNGGEDGESKEVATKLHAQSKGGDEDGIPYTFTCPESHEQLLE ILGSLPVTKLPVAVQRIRALYHPKLDAKNKERLGNFAQALIEHIAYLGDNFEPTWFPT IENLIRHVHSLAKTFPIEISKAYRSRLEEIKENRPLALNVGDLMLLTAIGTTYPTSDH FHQVVTPAMLSITRYLGQKIPQTLADYSIGAYLSILALQYQSFSKRYVPEFVNFCLNT LCSLAAEKPKEKLGLFPVHEPAAGIRVKGASKVTVRKLNCGDCQQPKSARSTSDDSAL KVAIISTITSVLRTASETWCKLPAFYETFQPAQRVLQHLTTKSNAAQLPAALVKQLKD TTTSISRLLQLAQVSRRPLELHHHKPLAIKTYVPKFEDSFDPNKHYDPDRERAELAKL RAEHKKERKGALRELRKDAAFMQRENLKVKKAKDEAYEKKYKRLVAEIQGSEGQAANE YAREKAARLKKNKR NEUTE1DRAFT_125806 MGWQSSVLRDERVVFTGIGFVTASLIIFAMNTWLTRWRDETIVT PNPPKTQYITQQTEDSLELKTLDTLLGHYNYAIKETAAKIICDRAANDPGLLETLLWG VTRPGYDERVKNLRALAVICVPRVLPMLHTWKAYSAIVRCLELSLDPEQEVLENDGWD DYPLRDITEKMCFIFLEQLVDHYGPEMLIRSKFVERWLSKQNWGRTEEDRQRNFHNYM LYRDNKIKRLADAVRKTGSGRSVLVRCGLMSQEGADDFAAEQADNLNLMDTSGERYNL LLSINMGRTPRAQETSAEEQRIRHRNREAIVVNDGTRPIGRDDIIHRDTEWRVLGEPG QS NEUTE1DRAFT_118717 MEAALVRQHVRGLVKAGVRPDDIAVVTPYNAQLSILAPLKDEFP GIELGSVDGFQGREKEAVIVSLVRSNDEGEVGFLGEKRRLNVAMTRPKRSLTVIGDSE TVKKGSTFLKRWMEYLEENADLRYPDVSSLALDS NEUTE1DRAFT_142197 MPSIWKSGSNAGRVKAGSKSIRGTISGPIPIPNALDDDNEFPIR SLGTIRSTNAEDELGGTQRPGITGRIASPAASASAAVEAAEGGALMKEQLFDNRHHGE SGSDSDRERSPGSRQGIISATAEISGASMGGNEVTEVISQRPKAPSDPPPLLPPHSAG ATSSSTERQRTPQRISPPQTSSPLRTTPVRVRTTPTMGRITNPPQSTVLRYSTISDTP SGQTNNSKGQPQRKQSTLRSALGKLFGRGKKKNGLAAGEQRNLPNSGRESVLLESSQH KSDPSALHRMTETSPKRSASLPLSELDRPLRSHSIGPDDIRAIESARNSLQADIGGNP GSIARRRAAAANANERRFLYVLRFPEAEAGAGLSPRPASSHGRGSRLFAGAPRGEHDD PSEIGRAITSDSGSHGMRRRSRSLSGLPDILVAGIGRAPERRRSDEIRYWRESYNAGF HSPLSSDAHVNADIDNDGVADLSVPTSPAVEKPPDTPPQPFNFGSISKQMVGMKITQV ASIDTRLGTLETRTGKLERVVDQLCHVVPGFRGPLSDISAVGGGRGTGGPGTIRTVSD GRPSFTYTAASPPPPPISPVPPRQGQQPAHSQSDISRSPHASSERQSMDSDIRSQISF GEAQTFNGFLHLPSSSATQTHSLTTATTPTTAATLSTPSHPEHPTDTDGDRPTSNSTI RGVASMPSMHEHTTTASISEYSSTNAHDPTYYNQYHALIGQLEAERQARQALEGQVKK LSDRLNALSSTMYALVRDSGSWGAPDPSSPRSALGGGGGGGGGGGRGRGYPPSHIPSV FDYDNDDDDHHPQQGDDEDEEDDIDDNVGPVRHGHHSVGVVSKAKLALVAKPTSRGSG GGGRGQEDAEAGDFQTPREEFHTPMTPTSLYTYGAFSPDHELESVGDETESGGDSSDT RAEKKQKKAARTLSLSQLTMGKRI NEUTE1DRAFT_71734 MAQVVSDFIYWLGNCMVCFPGSPTLKINNRSFKILRLLGEGGFS YVYLVQDTSTSELLALKKIRCPFGQESVAQAMHEVEAYKLFGNTPGIIHHVDYSIATE RGSEGQDKTVYVLLPYYRRGNLQDMINANLVNHTRFPEKRLMMLFLGVCKALRGMHKY KGGAGGDTSGESMEVPGAGKRKSKTRQAAVGGADEDDETEQQVPLIEEEGRLPGSGET RSYAHRDIKPGNIMISDSGRDPILMDLGSIAVSPLPITSRSLAIATQDTAAEHSTMPY RAPELFDVKTGTIIDTKVDIWSLGCTLYACLVGKSPFEMRSDETGGSLSICVLSGDWR FPDEGPGQTKGKGKAGAGGGAGGDSTATNKDDENYISEPIRDVVRRCLRVEPAERPDI DELIELVERVVEELPEDTA NEUTE1DRAFT_71737 MTSTTTTATSTTYTTTPKPRLGLSKPARTGRGLSGIATASTPNL NQLYSSQAPSLSSSRLVPPALSRKASYAALTQNSLATIPDDTEAYALHSVLNTTDNDA MPLSINPGTMSTGDEITIGDMVEVPGNMTGTVRFIGSVDGRKGIFAGVELHREFASRG KNSGDVDGVSYFNTSLPGAGIFLPLSKAVKRDAGSGSLPRTPTDLVPPGPALKLGGRA PATPSIRKFSQSVGPGAVRPESPMRRLQMTPGSRTSLATPGPPGRFPSPQPNKFSQSV RGVPGDTGKRLPSHTRKGSVGPRSISSLGNAHQPNFDDEDAASVSGHNNGGVTAGSIP GMRFRAPSRAASRLGNAHEEELEKLRTQLEDRERQLRDQAATLVEMESSLTELQALIE SSEGSLRDRSRQEEFEDKDVAQLRALLQEKNDKIALLTAEFDAHRADFRSTIDTLELA SSETERIYEKKIEELMQENQELRERTEDVDAVAIQLKQLEELVQELEEGLEDARRGEA EARGEVEFLRGEVERTRTELRREREKAQAAINGANGSPDSGPLAKELEQKEDEIRGLK AIIHSLSRDSAPGDDDDDDAMTPTAKTGESIEDRLAREKLEREVAELHAMLDSKASRE EELEREIEMLRRGNAVSESNHRSSTMTVGSNNERSSQRESRSIVVPVPQTTDKALRSR GSTLEAMPESDSYSAATDNGALWCELCDTSGHDILSCTNMFGSANATENATHKQQQSK SQSLASDLEDDDEEADEDKDDIVSAVGSMAISTKDDDIKPAPLSPTRARTQPLTPQPA AAEPTSRSTTPLEPIPTDPIVPSVTVAKAAPLPNLMESGPVAGKESGVVDASKWCALC ERDGHDSVDCPFEDEF NEUTE1DRAFT_125810 MADDNQRLATSRSAPALHASPSTTEVPSESTEPEPYLVRHGSKT FRAPRRTSTQERLDEILKAARQRAEALDTANPGLQQQPLLSPLLSPRTTETTGVGEDT QQGIVTSQPLNYHSMVQTPTSNSSARKRQTSSQSAAHSPAAQSSLTYSNNEGEAGNGS TEHHKVSKWKKRLEYFQSIELENKGSVARDHLALERTFLAWLRTSLAFASIGIAVTQL FRLNTSLSDGNDNTHHSETLRHLGKPLGATFLGISILTLFLGYKRYLVGQHWVIKGKF PASRGTIMLLSFIALAVIVASLIVVLAVQTSAVDARGYEA NEUTE1DRAFT_90433 MVTIQGKKVGNIGFGLMGLTWRASKLSDEEIFAVIKAALDAGCN YFNGGEFYGPPDHNSLTVLKRYYDKYPEDVDKILLNVKGCMLPGLLADSSPEGVRASI ENSVRLIGGKGRIDQFEAARKDPKVDIEVTMKAMGEHIQAGDIRGIALSEVSAQTIRR AAKVAKITAVEVELSIWNTEPLENGVLEACAELDIPVLAYSPLGKGFLTGQVKSFDDI PEGDFRRMLPRFQPEVFETNLRLVRKVEELAARRGCTPAQFAINWVLALSRRPGMPTI IPIPGASLPERVRENAVERELTEEDMADVERIFKEFAPVGDRYHKHGMELLDK NEUTE1DRAFT_90437 MSESHWVDWNKNTQSKDYRGSGSFSTFIIIGPVCFFLGILFAQF PYDFPLLWTSEPVQATYYDFLETHVKFLHASPLIISRILNIVIFVGLLGFFMKLFRPA ESNVLFDGASLILYVIGVGVYTSNIVKGMRTITAGIWDMEDFAGIKHDGPVSGEVILG REDTMKVLSASNTILAMVLVGVLVLQAGQWYAESKEKDDFAKGDKKDKKNDGQQQQQQ QQQQQPVEDKKSQ NEUTE1DRAFT_149864 MGGESATQSKPISAYPIRAPKPVGQYITNIYKDRISQFYAKGQW EDNNLHAMMYEGVAAGEPHVKLSVWDAPDQDRPTFDEAVKHEFRKTEVGARFGPAWST HWFKVVLTVPEDLRDKRLLELHWDANNEGMVWTHDGKPLQGLTGGGERTEWILPDSFR DGKEHIIYIEMACNGMFGAAGGGDIIQPPDPNKHFRLDKAEIVAVNPDARQLYIDIWI IGDAAREFPSESWEQHQALKTCNEIIEAFELGNKDSLKKCREIAQQYLGPDVDSARVY DTKRGKEPLVFGIGHCHIDSCWLWPWDETKRKVARSWSNQCDLMDRYPELNFACSQAQ QYKWCKTLYAHVWERVKRKVADGRFHPIGGSWVEHDTNMPSGESLVRQFLYGQRFFES NFGKRSQTFWLPDTFGYSSQLPQLCRLAGMTRFLTQKLSWNNINKFPHTTFNWVALDG TQVICHMPPSETYTAEAHFGDVKRSMSQHKSLDQDHTSLLVFGKGDGGGGPTWQHLEK LRRCRGISDTVGLLPRVSLGGSVDDFFDSLERKADSLVTWFGELYFELHRGTYTTQAN NKKFNRKAEFVLRDIEQLATIASLKDKDYKYPKKEIDEMWEATLLCQFHDCLPGSSIE MCYDDSDKLYAQIFKTGERLLKDACKALGVFQVDATSVERGIALNTLPWYREELVEIS KGDFALAKGVGPLLKLEQYEIMQEDDGVKLHKLSNGVFVLENKRLKVRVEAGTITSLY DKYDRREVIPEGQRANQFVIFDDKPLYWQAWDVEVYHLDTRKELQSSETQVHEEKTHR VSVITKTKISEQSHISTIISLSAAVNELQSYIEVQSTVEWHETMKFLKVEFPVDIRNT EASYETQFGIVRRPTHYNTSWDMAKFEVCCHKFADLSEHGYGVSVLNDSKYGFATTGS LMRLSLLRSPKAPDAHADMGTHTIRWAIFPHTGSLGAMTVRKAYELNNPLKLLESPHS FATLDEQEPPVWFTDNSNPSLILDTVKRAEDDEDVSVETPESPLKKKKGQSVILRIYD SLGGRARGTIETRWPVKRVSKVNLLEDELEEVSGFDVAEGRFEVDLRPFEVASYRLEL A NEUTE1DRAFT_118721 MAAPMPHPYQAHVPATPPPEQPLFSKGPVFPDMSTTTPSTTSRQ QAFFNMFAAHAQQVREQQRQKQREQDAQQQQQQQQQPTPASSRQSSSSAPVPQSSPSQ TQTNLPFGMKTTSPADSTQQQQQATTTIPTLDPKYLAMASRIAAYYQQRCQAIANFQQ QRCQQWANMQRAKCQEMMQATMLVVAWYIRDRIERRRRKQKRVFKRGLEQRERQRALR GGGGGRGGEKVTKGEVVRTWVLDVPIGVLPPSSSSSSSSPAAAAFTTPTATATEKGKV PVPVPQPSPEVHDPLQDQAEAIFALGGNEVELPETKTEDKDSQLFTIADNLIKSQLAR IDIPLLGVLNLDESDSEESSEEEYFEEDEYEDDYEGEEYEEEEEGEEEEGYGEGEDGE ENAEYECFGPGVYGNTGNLGPKVKVTAVPSSSQHQQEQQRTKVEDAVVMSPEGMGSEE VQLGTTGSGSAGRKRGASEIS NEUTE1DRAFT_105296 MICPSPVCFLCFLPLPESAPARPGHDITRLTGKIPLFARPIPSM WHSTTAHQPICQHNQKVHRQTEEEEGITYPIRAREEGPSQDVSHYHFPDTNGRNDGLE RVTKEIAGRMSCLVFDYILRLPVVITAIDTSRTVTAESMIRLDQP NEUTE1DRAFT_71749 MLPPRPKQVPRRWIASLGHSSCRPCVVNNIRTAAATVGRGRGAV GVGRYVTTQTSLTSAGSRPSSSVLFVVPARPVIDITPCCPRLFQLQIRGKKTRTVVQL NDLPLPPVVETAVNGPLLVVEESVQEEGTSTTRRKRIKRTILQLDQLPVSQTTLTSDP ILDAQRDIKPNEDIDSGKSKRGRRRIVKLDDSSTTPVAMLDEALLPVKEATEEAAEVE QNEPSTQRKRDKTRTVRLKEIPQGALLPDESFPPPQQEDQEPAYPSVIQQHRHNMQKF DNCVLLTRVGSFYEMYFEHAEEVGPLLNLKVSQKKTSGGPVSMAGFPFFQLDRYLKVL VEDLNRHVAIAEEYRNSPSEQIKSGGLMHNRRVTRIVTPGTLIDENFMDPYANNYIMA IHLPSNSLEGSSSFQEALNSETEQKKESLVGENIQTLKDWAPMLDAKIPTETVIRFTD DEIKAGNVLLHYVTDRLQGLSMKLQPPIRHDNMQIMTIDKNSMRSLEIKKTMREGFFA GSLLHAIRRTVTKSGARLLNEWLSAPSTSLSVINSRLDLITRFISNPDLSDAITVLLH RSHDTQRLVQKFALNRGDADDLLRLASTIKATEDIVNHLKSTISSEDNNPEEDDCLTK LIERISLEQPLKLAKRIWDAIDEEGLVQQHQLEDSETGEMLALAQEIVKDEGTEEDGR ALLPKGATAKSNRARAELTQNRNQQEKDLSKDNRKISLRNYYGEDNQVWIMKPKANRA LAKLHAELRSLQEQKEKLTEEFRAKYAAPSLTLNWKTGLGHVCVVRGRDARLAVGETS RRTRTSSSSSNSMSDPISDTTDADAADADTEPIPEPVPEPESLSTLRTLSTTRTTRTF HHPLWTSLGESLDHVRLRIRSEETALFSSLRSNVVTLLIKLRRNALVLDELDIATSLS RLATEQNLVRPILHDSSTTNGSQGMGTRTVIVGGRHPTVEPSLLSRGMTFQKNDCLIG SPGQGAIWLITGPNMAGKSTFLRQNALITILAQMGCYVPADYAELGIVDAIFSRVGSA DNLYADQSTFMVEMMETAAILRQATPRSFVIMDEIGRGTTPEDGTAVAFASLHHLLTV NKCRGLFATHFHAVGDMLKEQGLVVEDGASSATANWGVVMYCTDVEEDESGGFVYVHK LRKGMNRQSHALKVARLAGLPEPAIKIAQQVLAVQGVVA NEUTE1DRAFT_118722 MGGKSANKAGYFDKLKGLLEDYRSIFIVSVDNVSSQQMHEIRQS LRGEAVVLMGKNTMVRRALKTFVVDTPEYERLLPFVKGNVGFVFTNGDLKEVRDKILA NKVAAPARAGAIAPADVWVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTDLKLVE AGAKVGPSEATLLNMLNISPFTYGMGIAQVYDQGNTFPSDVLDISEEQLLKAFSTAIT TIASLSLALNFPTLPSVIHSVVNAYKKVLAVAIETEISWPEIEELKDRIANPEAYASA APAASTEAAPAAGKAEEKEEEKEESDEDDGGFGGLLNVSLTS NEUTE1DRAFT_125816 MALPYHVLKVCYGIVFAARGSNIHSFDSNFNPISVWKYPVKQQE TNGEKAESETPAPIVEAEELPAPEGPPAKKRKVEDGEVVAVSEESKEESKEDTTEGQT ETKTESQTQEVNRRSRRQKQKQKTEGGWAKNNKAVLEKPFVQGMYLTTDGRHLVAITG SDKTIWVFEHDGAGNLKQLSQRAMPKRPCALALTPDDKTILSADKFGDVFSLPLLFTP SEPTAAAAASTIASEVATPEPTPSAPATPAIPTPPTTDVAKAQSLSVKPQANELTVHT LRNLKALENQKRCLERQKAAKLAAALQASQFEHTLQLGHVSMLTSVAVAVHPSHPGRT YIITADRDEHIRVSRGIPQAHVIEGFLLGHDEFVSRVCIPEARRDVLISGGGDDDLFL WDWVSAKLLGKAPVLAEVKKALPSAEDADKVTKIAVTRIFDVQQGEKTQIFVICERIP LLLIYTLTSANTLQHTQTLSLLGNPLDVEYLKAESKLLVSIDPNTSSETQEEEASNGG VSSILALSFDDSESSWKVTPGGLKATEAAEEESISLSPQDLQKLLYTTESLRKTNKDD E NEUTE1DRAFT_125817 MNQHNSPDSGGVFATAAPSSSSSSSMRANDTTNGERSSNIRREI EEILNEYGEGSNGNDNSSGNGNRNEDSSASIVENEDQSQQYTMRRPQTLGDVMREPGS RAPNVMVLEAMSEETSGRNREAAMGPKEDLWLSTADGRYNSTIIPLRVGEAPQAQIFY VHKHILLKVEYFEKALCGNFRESETQSIELPEEDPAIFHYLIAFLYEGRFEPIKPAAS VLVPDKQKGKGKDAMETNGGGAGGIDSENNNNSDDSELDTDLSAAAALHRRQQRERQR EWDRTNGKHPGRHRPNCGCPRCVVEPGPPCWSCFAPRSPLPPPGIGTPYTPTGTRPPF PHPPGPEYRHRPYPERLLQGDGPGAGANRRRPGGRARLAAPPPPPPPPPPLVPPATSQ TLPPAPPAQAPSSSSSNFQPPLSSTNSTPSLTEGNTRLSTPADLRTWLLCYEHTLFVY ILANKFLLNDFKAEIARYAIDMLESAGTDAAVPQVLFLCKRLWEGLPASDPLLKMVFA RVGFLQPWRSRSSGYVYGSGNRDGDDGNGERINENGKRRRSGHSDEEGMTTGKEVDEE SGDWLHQNPEIVTAVLVEMAARREEDFYQTQYQSFGLQGPPGMGGYMSGMGMGMGRMD AGGRSLPSMERPWAAAGAGGAGRQPGTAGGLGDMGGGGQLPWMNNWEPIRPNTLRPGH TAHHHHWQVGGR NEUTE1DRAFT_90455 MKASSGPPPLTASTIDYADPVATAAKGGIPSEYSQRRALPSTPT STSDPLLSLSHPAYKLPKQLVANFASLGIKTIYPWQKQCLLGPGLLSGDKNLVYSAPT GGGKSLVADLLMLKRVLDDPAGEAKAILVLPYVALVQEKVRWLRNVVSGISRSGDLGQ KQPHLEGKQQLWARRPDKDTIRVVGFFGGSKMRATWADFDVAVCTMEKANSLINAAIT DCSISKLRAVVLDECHMIDDGYRGYLLELMTTKLLCLDQQVQIIAMSATLTNIRLLKD WLGGHSYETHYRPVPIEEHLVYDGKIYPATTTASLLKTATQLDTRNATTTTTTQGPPP STTSSLQHIRFIHPSLHREFKDPVLNAVVALANETARAGYGVLVFSSSRAGCESDAVL ISRVLPSFQEADPLIRERRLDLLNDLRSSSTGLDCKLEQTIPCGVAFHHAGLTTEERE LIANAYDAGTLKVCVATASLAAGINLPARRVILHGARMGIDLVGPAMLRQMRGRAGRK GKDEVGETYLCCRRNDLEAVVDLMHADLPQIASCLVSDERRIRRALLEVIAIKLATSR EALDEYVGRTMLACEQRLAEKETEEWEDQIRGYIEIGLEKLKEMGFITVTVDERFETA SYSTTKLGGAIVASSLDPEDGVFIHKELERALKGFVMDGEMHVLYNFTPVSGLGNGTS INWKVFWNEMEKLDESGLRVMSFLGLKPVVLNRMLHGGILKETTDEEKDTARRYYRFY LALQLRDLCNEVPIHRVAQKYDVPRGTVQTLAQTCQGFAAGMIKFCEAMGWGAMAAAL DHFADRLKAGARADLLSLSKITFVKSRTARVFWDNGFKTVAVIANADPKELVPVLMQA QPNKVRLEVKDEQKYLDKLLAKAKIITDSANRIWQIEMQQELMEEE NEUTE1DRAFT_132998 MAQKTQHVIPSEEQTNSASRTLSAKEKEGAATASANANSTKQSA NPPAATSSGTSSTSTSNVLPRMLDPSPLPPNNSEPSTDAPMGKSTQTSAQAAAAAAKD GSTAANPYGTRSRNRTGNSRINYAEDRDLDMDIFELYPDRREGGEAKKGSSKQAAASA SSATTQAATPSSSSSPTNGASQPAPRTAVNGTSAATTVTSTSTSTSRKPLPTIDDSRK GHSSNGTKDQQARQPSTSPAANGAATTTTTNGPTKSKKRKADPAAIASSSQTPTSAAN SSTSHNKRVKTISNDNNGNSKTPTNGPVSDLGTAAGYGETNLLTFENTKAMPKDGKMV ADDGTVLEVNDHVYLVCEPPGEPYYLGRIMEFLHTKNDPTKPVDALRVNWYYRPKDIG RRVQDTRMVFATMHSDISPLTALRGKCQIRHKTEIPDLAAYKRLRDCFWFEKLYDRYI QKNYEVIPTKQIINVPEHVKKVLDERWKYILVEQGRGKELTSAVKTCKRCVGYCASND SVDCAVCQNTYHMNCVRPPLLKKPSRGFAWSCAACSRAQERKLEARNTPNVLDPNGTH FDDDDEFLEDDEEDPPGVQTGMSSRTSPATEDLHQEATAEQIYQCSLWPYRYLGMHCK VEDALDYDDRIFPRASTRLGPRHQAVVVPWYGRPVQYVKPLEIKKTGRKDGKLNKEQQ ALLEAERKKRETRPQWIQDEPAGYVERGGDDTVTVLYKPPELCGKQMPNEAIDEFMDK ARGMAVELGLPPRSTNLQDVARDLLFKNDFDPKKALQQLSKVPRAEFKEPELTPAELK KFEEGVGKYGSELHLVMKHVKTLKPATVTRFYYTWKKTERGKQIWGNFAARKGKRDAK KAEAAATKQADDVADDHDDSAFDAGKAKARKKAFMCKFCGTKASRQWRRAPTISQPIA ETSGRSTKQDKKGEFIPALCRRCAELWRRYAIQWEDVDEVAKKVAQLAGRNWKRKVDE DLYKELLAADEMIANTKIRTPEPTPVPTLVHEPPAAGQEPPRKKLKGSTREKDKDKAQ DKEKEKEKVEKEKEKEKEKEKELEPAVSEPGSVASTPTPVITKKKEKVVEKPVTIEKP APPPPPPVPEIPKPRTLPCAICRQMEPMGDQHLSCKECRMTVHRNCYGVLEHRAPGKW TCDMCLNDKSPQVSLSYKCVLCPVEKTEHDFVGPPKNSHKKKTEKERERERQERENAI KAAEYYRKKQEELNRPVDPREPLKRTADNNWVHVTCAVWTPEVKFGNAKALAPIEGIP LINRTKYLEICRACKQDGGACVSCYHCKSPVHVECAHKAGYVLGFDITPVKGSRRDQH NIVTLGSESGTMSATIWCKEHAPQKGAFHPMHEKVDESGMTALQLYVQNYKQADLTLT GCARKAIQITPTTRPTSSSATSTSHQTRRISTIHLLNGDHGESAAGCRQPGSKICLTC GTDVSPKWYPIDEAQERGLVNGYCGNLGSEAQKFIEQRSVQCHKCKKEGRQPHVHPKR EPTPPPPPAPLLVPAVEPVVHPVQVSQAPPVAPPPAPVTTAPTAVMDIPGSRQPGPSP YWSPGVQAAPIQPPLAHPAPIRPPVSHPPVPVSGPVAPQVAPPPTLPPSLAPRGPSMP QSLFGSAPPRPYDDWHRQPSGHPPPLHPARQLNGTGPSPPPLNSMPSLAPPNHLRPSP SLSIANLTHAQPPPPPPQNGHNGHNGHIAHPSPYARMDGLPLSPRRVSGQPTTPSGGS YLSGGSLHSHGGPPDLRPPTDLRTDLRPHSMLNHALPPHHVTGLPPQPAAAEQHQPLS FLRHWNSHTAQQNQPPPLHHTSSYQQVSGLPPPPRDNKPKDMKTGASSSPSLRNLLH NEUTE1DRAFT_118724 MDGFPETSQQIQIGIFDAISKPLYQHLFSFLSSFLLSFLASNCD LKRGSIDVLQTIEMAYGRRSSSLIRAIKN NEUTE1DRAFT_71766 MDDAAGLAIAFEEAKKSYEQGGIPIGAALISSTGTVLGRGHNQR VQLDSPIHHGETATLLNAGRLPASTYANSTMYTTLSPCDMCTGAILLYKIPRVVIGEN STFKAAGEDYLRQRGVEVVVMDDQECRTLMERFVRESPGVWWEDIGVVGEEKREQGDD HVL NEUTE1DRAFT_125822 MVKETKLYETLGVSPDATEAQLKKAYKTGALKYHPDKNANNPAA EQKFKEISHAYEILSDSQKRAIYDQYGEAGLEGGAGAGGGMAAEDLFAQFFGGGGFGG GLGGMFGGMNQQRAPAKAKTIHHTHHVSLEDIYRGKISKLALQRSILCPKCEGRGGKE GAVKRCAGCDGQGTKIMMRQMGPMIQRFQTVCPDCNGEGEVIKEKDRCKQCNGKKTVV DRKVLHVHVDRGVKSGTKVEFRGEGDQAPGILPGDVVFVIEQKPHPRFTRQDDDLLYK CEIDLVTALAGGTIYIEHLDERWLSVDIQPGEAIAPNSVKMIRGQGMPSYRHHDYGNM YIQFSVRFPEKNWTQDPAAFEALRKYLPAPAVVNVPPQDAMTEPAELEDVEGNGAGRG FSNSPMEEDDEPQAERVQCASQ NEUTE1DRAFT_71773 MMAAQVIRPARLLSRTAHIVPGQLRVTELTFEVPLNHSNLSAGN LKIFGRQVTKHDTPIVPRTDSELEEYHRRPYLVYLEGGPGFGNREPQDHQLTKTALDR GYTLLLLDYRGTGLSTPINQPHLATLGGPQQQADYLKRFRADSIVRDAEAVRLCLTAD YPETHKKWSIFGQSYGGFVALTYLSQFPQGLREVFLTGGLAPVKRTAQEVYTALYKKV IQRNEAYYRKFPEDVERVRKVAQYLDEKSPLLPGGGEFTVERLLGIGLCLGMNGGLDL IHSTILKLAMDIEQFGFITRAAGAAFEGLVPFDTNPIYAVLHESIYTNGPGLASDWAA HGVGKTLAESEPGLSWLSSVPQALDYSSSSSSTNQQPLYFSGEMVYPAHFDCYPELKD MKETAELLAKYDDWPRLYDLDQLARNEVPVYAASYVEDMYVDADFARETAKAVRGTKV FETNVMYHGALRAKTDEVLGQLFKLRDDTLD NEUTE1DRAFT_90468 MTSQGIYTLTESFIALADEVQSLIDRKTILEHKLRFAHEQYQCL ADKYAPAVPEVAETLAKLQLPPDLHYYSVATSSSVVPLPRRIQSGNSQHEIALQIREG RKAAQLIAAAMGRASKHSDSSKEASTSPVTAADTMTTMSTVLEKDFTVEGKKKGLLAC PFSAAVTPPAVQDRASPNLGIGSVTGDGAQDQDAAALNNGTAAMDPTPHKSSDPICAA MYGDSTSMPAHTAASKCPIRFLDKHSPEEIARYVETHKHEIPRSHEVCVRRYQKNEEQ VRKLDAKYGNLVNMIEDLSHLHKPMLPSPATGDQYQDHEQVDRTSNERVANWAKSVSA SDPQAAPEYQDDQPVEDEEEREGRFDRPLREVRLGESPSRPWGIPVPAMVDQAQRQRL RSPSPIRPAVPARSLAQDGIPHKKGPQAGKCPFDHTKMAAFASSRTKVEQLSKQETVP GETAPKGTDHKVEQSTPVKEHHIIPPPIPTPQPTFINLADMPMPKDETAGQQQSPVVF NFNGPVFIGYPMEQAIQFMQQFQGSK NEUTE1DRAFT_71777 MNLSSSASTTPTHSPTSSPGPQVGVDPGGEDLPQWARPSASNIR RLSSGRPYSVPRHASHRSSSSAAAAGGRVAKLKALALKAIATGLTIAQRAITIFLGLS LLQKIAILVALTIFFSLSVVALVYSHAIFASLGPLAEKWRALPFGWLLCFGLVFMTAF PPIIGYSTAVTVTGFVYGFPWGWPIAAAATVAGSTCSFLASRGVLAGYVNGLVGKDKR FVALSQVLRRDGLGVLAMVRFSPLPYSLSNGFLATVPRLRVGGFAVATGMATPKLFVH IFIGSRLALLASSGDKMTTRDRAINYISMLLFGAVGAAVGYLIWKRTMSRADELAHEE GLDGVDALAAAAGTTAAGEVHRTADGTLEIGDDDEEDYADLEGNSGGRLLVGGNAGRQ GDLRRQSSESDISLWETDRYRDSWDEEANLGGRK NEUTE1DRAFT_125826 MVSDETYDICLPVLEDPELDDEEKTDKLQDLLRERTTLSGQALD NAVLDAMWRFRDGGGTATSPPSIRQSILRRPSPAPWRGSSTPLSSSPRLSVSPLAPPG YVPTNFGRAMSSTASPFGSPRPSPRLAFATPVPHSPNLNAYQFAANDEPPSQEVFGDY QSDNVDWLVSDDDRLSVTSSIGGSSGLNVAAPEFVSTQQADMSPFDMLRSILGTSKTD DEIGAALAMHGYDLSATVASIMDDPAQDDVSLSGQNDEARVVVIGKSMTPDQRPATPA DQQRSGVICKFYLSTGQCLRSDCRFSHDLSNHICKYWVAGNCLARDTCVFSHDPAHLV NKLHIDGSGTPPTHYSTVNVQDFNSFPSLQPGTPEQLHVFPASANTPAVGITPPPGFK GHHNYGYPDRPRSRPGSRHQQKEVPQTVPSPDDADAFPTLGAAIAKQSKKHHGKRGGH GHTGSGSSSSKEIASPGPSTLADIVKMSPSPVNINLRPNGKLNRNGSSTSIRNGENSA AAQAIPPPKHIPWLETGEKANKAYLKARQEAIKHGGLRNKFLQSAAQAWNRNDARAAK ALSLRGQSENDLMRKAHREAASLLYEERNKNNGSCPEIYVDLHGLHPEEAVEYLAGIL TENTSESRPIYAITGTGHHSKNGKDKVGKALRTFLNEWRYAYREFSVPGDRNSMGGIL GIDARSWDKSLSQDGVSLVKKDEPKDDVDILSQGVEIGEGKVKLLVRDPMAAKEPPKG PASHRGR NEUTE1DRAFT_90476 MFLSTDQHQKPDALIFEANGNGGHRVTDEDDTSTAVESSVPTHP LGIKPLGNKYFHTGTDARVNLGDLQVLPDEMLAQLLEYLDKRTLRLLGYACKFLYAQC SYDDLWKTIFLESELKNKTSFQWQGSWRATVLGLSPDKRIKIDCSNVFSDVLHRPFVC SHISLPKYTRNIPPANKIPSLDDLTYDEFAEKWSKKPFILTRCIKSWPVLKSWNMDKL HEMYSDVVFRAEAVDWSFATYHQYMMDSQEESPLYLFDKKFVEKMKIEVGKTKDAAYW NPDCFGKDLFELLGAERPAHRWMIIGPERSGSTFHKDPNATSAWNAVIQGAKYWIMFP PSAQVPGVYVSEDQSEVTSPLSIAEWLLEFHAEARRLPECREGICHAGEILHVPSGWW HLVVNIEPGIALTQNFVPKAHLSDVLSFLKYKADQISGFKKEVEDPYTLFVERLRVEY PELLEEAVKQMEEKQGNKKRRWDQVVGHDSTAGGPEHKKGGGFSFGFGLGDDIEGEED EIP NEUTE1DRAFT_118729 MRALLTFWRTFSLTRILFPLLCLFSPSIDSLTLSIKLPDAGVHL GHTRIFF NEUTE1DRAFT_118730 MATDKGLEDIPEGQIESNYDETVDSFDEMNLKPELLRGIYAYGF ERPSAIQQRAIMPVIKGHDVIAQAQSGTGKTATFSISVLQKIDPSLKACQALILAPTR ELAQQIQKVVVAIGDFMNIECHACIGGTSVRDDMKALQDGPQVVVGTPGRVHDMIQRR FLKTDSMKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTKF MREPVRILVKKDELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKV DWLTDKLTARDFTVSAMHGDMDQAQRDLIMKEFRSGSSRVLIATDLLARGIDVQQVSL VINYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEMPMN VADLI NEUTE1DRAFT_90482 MADNSLDRSLDEILAERKPNGRGSGSRGRGNRDNGAANRQRRDR NDYPRDGVRKSFRDDAPRNLDSEWVHDKFEENDHRRAPRRRNSPEPFSDARGSKIRVD NIHYELTQEDLEGLFSRIGPLVKLDMKYDRAGRSEGTAFVTYESPQDASRAIREYDGA NAAGQPIRLTLMPSGPRRNPFETAINPRPLAERITVPGGRSRSISPSRRDRDLDEEAA RKGIDRYRPGGSSRGRSRSPLPRREGGRESGRRPGARREGGRGGRGGRGGEKPQGERT RNGRPKKTQEELDAEMEDYFGGGGANQENNAAPAAEASNGAVASGPAEDDIDMGIE NEUTE1DRAFT_125832 MSGNTEAQGQEPRAKIGIISMGDMGSALASLLIANGYAVATNCT GRSQDTIDRAITVGAELLSTDEALITQCDVILSIVPPAQAAATAERIITAFKRVSSGP NPRCTPLYFADMNAISPSTVKSIAAQFTTAGLDPDRDVRFVDGCILGVTPSRKQQTSS TTALGPSSTGPAAPIPAASTSTKPVASFPQEDILHDPTTGWSYPLLPTSGPWSFTDET TFPYPYGNHFASTLHIEHMLSDPDPNSSSGAEKQQTTSSSSSSSEIGQASALKNVYAS LAKGFAALSLLSLSTAHSLRILPSFLSSLERLAPSRLNTMTRYVTTLPPKAYRWVREM EEISKTFTDEEVGWDEDKEDVFKHVAGVFRFVADETVLGQEKVGKRRRGLDLEDLCQA MGEGLEGRRKRRAVEKEGEACEK NEUTE1DRAFT_125833 MLLRLVPRGRQLTLLGKQPRNPRVFISPAIRHFYQSILSHGPEV RADCLVILASLRYFQEVQCAHTFLFNTVNELFPRTEVGPLKDSEVLKDRLNVVTAVVD KIPLWQVPGYAPNATLASEGFSIICGQSEHLLPGLWDNSDFSWSNMRNETDPLFLERM YRRLKVAPKGNANAEKPMPYSSGPPPVLEFRINPLRDDNRPVQVALPLANTSYATSTA AQQRGTTPHILEVSAWARSPEKQKLRPIHVEANRRTHVTIQTTSLKQPWPSELWFQLL PITSPRKIVSGLGNILKQVEIDGESAPASKELEDVIPKLLSMRASSHRPLKHTSDSHA VKVWALVIPDEVYKKYAKFQPPVDLAMQLPPPFDFEQASTADEKRLAGRCSKALQGLL VAGCHLREILSGGGGWGAKQGLLSLDPQDRDHYRKTPTSSSKDSENNEAEEEDDDDME GLERFLRTLRGEQNVGDIASPGSWVQFFVAPAHLERLPWDASKGVVNTRRPAEKLTLG LTDAVEEYTPTWDPDFTENKDSNRYKYLWRDPNGVEIFTYQFGALANGSLWLDLKKPS ELTATKTATATENSTAGNSTTENSTNNINNDGQEEKDLWKDLETDSERKITVPEFNLR ADIDNPLYVVKVKEPGEDEQQRREQPREEVKLFEKIRKIPTGRRRLAI NEUTE1DRAFT_105316 MATNSAAGNGAIQSPAFAPTANPTFASQGQTPSSSSITTNTNQG VPLSSEAKAQILGTSDASTAGGSDAKEEGGGGKGASEHAPGSSAQEQGVAAEEEGWNE EVKDLERRMGNGQGGSGLGR NEUTE1DRAFT_149884 MATVTVDEGRRSSIEKPTLTTPGHLHSSGPTAFERLPDEIIQQI LQAVDANGFASLVLLNAKWRSVAQQAHLYAYHLSNCPSYALSHHNASPPTVSDDDLPR LRKLFAKEVKRNLFEAYLRPRKTIIRLISNSISSSSAPGGDGIQFSPSPKGHHLLAFN SSRIHVIDVRQRDIAVTREFKILRRPAATCINDEGTVLAVLLTEMQIDIYDLKSTPPR RTQSLILDNSPRAIALSPCGGVLAAAYEGGIEVSSLNPNATSTERRAVKCEAVDSLTF SFDGTQILGTTVHAPQPNTVILTAPYYDPVSCAVEDDISVLWTTSILFPNTSRDCSHA VLIQNGKHEEAGWTFTYDRSYEIFRAVRIDDLRNGTTYFTGPIPNPTSQPKLVPCTLP AASYHGELVSAGFQGKDVWIYGIPEDLDATPETSSTGNEGTSNPSALNRRNSQPPPRN GSRTQENDPSRVPQWQAFDKLRNKLIAGRKIGHLEGVHMVKWVGDFEDSSVKERMIIG ARGITPTKPITEDDGFDFVDGGRITVIDFDYSLENGSPVEVVIDVGSKEPEVLEEEHR DIEAEVAIVRRRTVMQNRGNRGGLMRAATSAGAVPLVPALPSASSLASQPSASLQEDD DPLVPRRVGALPIPRIEAPLITEDTEEFASIEEQEALESPYAHASPRSAPTLRRAATA AAANRRLHPQAAASGPVQYRRADGRAEHPHESDADNWVPPPPPYQKEDPGDLPAFLRH AIPPVIPATMSSAQTDDYLDQMRRPKSMLEVPQSHTAIQVPQTGSSIPPVPSLSTIPP VPSLPQQLSSSLIQYHSGGSLTHLPHLIPYQEPQRPSSSGSRYVGDENIYDVSPPDSP KMSGVNLSTGGIQARSVSDSGIVSSLQVNLAASTSASSSQQSHLPLSLLQTDIAPPQQ SGLPFALYPHNPSPHIGTIMPTESASSPVVRRLSNAGTWPLVSNQPKAPVTAPTDFPH SALPVERGEYYLPAPSQDQLRRLNSRSGAPRRLSEGFHPPPDFLGDDFNNRSAYSQPA SRRPSAVEDVPLIVSTPQGVSGAFDPPGRHASGRRGDPPLLAPVPRHPRPQTQSAVIG NRPTVERLETIYSVASNGANSNAAGGPGLDIPTATRSLSTSTNGATRATSLNRRQSRA ERSAAKNIADAKKKGWMGGRSRTKSVKEKKEKKVKGKKQKHGDHLDDDARSTWTDVTS HSFLPGGMKFESSEQAAQAARERAERAGARENKREKGKGKGKGKEKEEGGEKGDKKCV VM NEUTE1DRAFT_149885 MCPSRPTRSAKSRAATKISSATIEESRIEETDADVKKGTRAKGS VKKTEVVEEKVVVKKVAAGKRKGKAQEEENDDDAEDIENKDSVQEEAKKPAPKKRKTK ASEEDATPLATRTPVESMKRKLYIGAHVSSAGGVQNSITNALHIGANAFAVFLKSQRK WVSPPLDRSAAADFSRLASLHGYSPSLHVLPHGSYLVNLAQVDKAKADQAYGNFVDDL QRCATLGIKLYNFHPGSTGGEPIEEACARIASQLNRAHKEKGTGEVVTVIENMCGSGN VIGSRFEDLKMIIDGVEDKSRVGVCIDTCHAFAAGHDLRTPEAFEKTMKRFDEIVGLK YLKAFHLNDSKAPFGSCRDLHANIGTGFLGLRAFHNLMNYEAVQGLPMVLETPIEEKG ADGKMVENKQIWADEIKLLESLVGMDAESEEFKKMEEELQRRGASERAKIQEQVDKKA EKDAKKGAGKGKKGAAAAGGSISSFFKKKVKEESEGEDHE NEUTE1DRAFT_90495 MTPQDDSVNFDIIESHKENIQALPSGRSARKLAELFSPSHGASS SRQALAPQLTPTPNPTEVKSVNDAIRAEYEAELAAFNPEEQDDPLDIYDRYVRWTLDA YPSASATPQSQLHLLLERATRAFVGSAQYRNDARYLKMWLHYIRMFSDSPREAFVFLS RHQIGEQLALYYEEFAAYLEGEGRWAQAEEVYKMGIEKEARPVSRLVRKFGEFEQRRA ALPEDAAAQQPALGSLNAGSKGWDSIGSLADRKKENTMEPKPWAGEVLKAGGKKPVQK MQVFRDTTKKTTNPMMMNKQQLSQSHIPIHHSQSQVTVNPVTGKRERVFVDLRVIYPT PDEPGTELSFEEIWAARRGWLDVVWEDESKKRPLDAMLTPKRDENMEDLTLEMASTKI VAYHDVLKLDENGKPIYPEHKAGRSAKKKKVIEVNETQIIKAKLDSPSGPKMKKRGSS SEPTMTLHTKAATDDIYDIFNAPLKPTANPSLSDDENRYDSDDYTCGVDDTSKNLATS EAGDETTVVEAIEETDVADEDDDVKSEWSDFTARKHIPDYQGDGDEDHEMTDVLDEEN GQPETSHSQFNHNEVPDENPTQGGRPLTQNPPPAPEEVPPTTRNIFVPVPPADYVPTR RPYRDPVEVANNRLPFMTPITERTEFSSLDVTYHHPNMHHPILTKTPSKAPGLHHDMR DDMSVDGEEEDGQYSENEDEDMLEPESSPLREIPEEDEEEEEQQEKFSPIPVFHSQLG QNQPAARSPLAVKSVTTSPLAHRAVSPLAPRLPGPIIKELQCNPVDELIRKKILANIY PPLTSYEGFHDHRHEKFRKGAEIRKFAQAQSAASKRGRKSTSADKRDSLNEPHPIMLQ LPGNPSTKYTIKKELGAGAYAPVYLVENSKPTKTASQTGYGYGQQKNENNNLPAHLIR HPREALKMEQPPTAWEFYMMRLAHTRLLAANTSLHHRALASLSPALELHLYQDEGFLF LPFFQHGTLLDVINLFRSESSGVMDEQLAMFFTVELFRAIEALHSVGIMHGDVKVDNC LLRLPTTTDILPTNQYHADGSGGWAARGLTLIDFGRGIDFRQFREEVQFVADWKPTAQ DCAEMREGRPWTWQIDYHGLAGVVHALLFGKYIDTVPCGTFHSRSFGGLGGNDEKRYK ITENLKRYWQTDIWGHCFDLLLNPGSYVDEEEGGNMPVLKGLRTVRERMESWLEANCE RGVGLRGWMGKVEAWSRGRR NEUTE1DRAFT_118733 MWLNISSAPLACDMQWLSEPRRLSPCRSEVPACRHTLRQTEAAA KNACQLPTRLLW NEUTE1DRAFT_118734 MPISKIHARYVYDSRGNPTVEVDVVTELGLHRAIVPSGASTGQH EACELRDGDKTKWGGKGVLKAVQNVNEVIGPALIKENIDVKDQSKVDKFLIDLDGTPN KTKLGANAILGVSLAVAKAGAAEKGVPLYAHISDLAGTKKPYVLPVPFMNVLNGGSHA GGRLAFQEFMIVPSAAPTFSEALRQGAEVYQILKSLAKKKYGQSAGNVGDEGGVAPDI QNPEEALDLITEAIEKAGYTGQVKIAMDVASSEFYKEDVKKYDLDFKNPESDPSKWLT YEELANLYSELCKKYPIVSIEDPFAEDDWEAWSYFYKTQDIQIVADDLTVTNPLRIKK AIELKAANALLLKVNQIGTLTESIQAAKDSYADGWGVMVSHRSGETEDVTIADIVVGI RSGQIKTGAPARSERLAKLNQILRIEEELADNAIFAGEKFRKAVEL NEUTE1DRAFT_105322 MKRSGRPAIFCPPGSWVRKGPTTDSRRSPDGEGRRRRGGSRAGG RPMALNVFSQVGASMVSRVAGFFFFTSSSWMNATFQLVSSSAVQMCRSPLTVRPSP NEUTE1DRAFT_71807 MCRTQAQADVASGINIQGRELLPTNVIPKHYHITLEPDFQKLTF DGTVVIDLDVEEDSKSISLHTLEIDIHNAKITSGGQTVSSSPKVSYNETTQVSTFDFD NAVSKGAKAQLEIQFTGQLNDKMAGFYRSTYINPDGTQGLLAVSQMEPTDARRAFPCF DEPSLKAEFTVTLIADKKLTCLSNMDVASESEAKDGKKAVTFNKSPLMSTYLVAFVVG ELNYIETNDFRVPVRVYAPPGQNIEHGRFSLDLAAKTLAFYEKVFGIEFPLPKMDQIA IPDFAQGAMENWGLVTYRVVDLLLDEKVSGAATKERVAEVVQHELAHQWFGNLVTMDW WDGLWLNEGFATWASWYSCNIFYPEWKVWETYVTDNLQRALALDSLRSSHPIEVPVKR ADEINQIFDAISYSKGSCVLRMISTYLGEDVFLEGVRRYLKKHAYGNTQTGDLWAALG DASGKSVEEVMDVWTKHVGYPVVTVTEKDEKTIHVKQNRFLRTGDVKPEEDKVIFPVF LGLRSKDGIDESLTLDKREDSFEVPSTEFFKLNANHTGLYRTSYTPERLEKLGEAARE GLLSVEDRAGMIADAGALASSGYQKTSGVLTLLKRFDSEKEFIVWSEIISRVAAVQAA WIFEDKAIRDGLEAFQRELVSPRAHEMGWEFSESDGHIEQQFKAMLFGNAGLCGDEKI IAAAKEMFKKFIAGDKSAIHPNIRGSVFSIALKYGGKEEYDAVLNFYRTSTNSDERNT ALRCLGRARSPELIKRTLDLLFSGEIKDQDVYMPTAGLRSHPEGIEALFNWMTENWDE LVKRFPPQLSMLGTLVTIFTSSFTKREQLAKVEKFFEGKNTNGFEMSLAQSLDAIRSK VAWVERDGEDVAKWVKDNKYSA NEUTE1DRAFT_90504 MAKDKKGKSESKKAKLAEKKQKQEKKAEKKAKVKSARIEGSDAE DVDLDAVLEEYKKQQEQFLKVTENVVDEPPRARAASTLMASPSNSNQLLLFGGEYFNG ALATFFNDLMVYYIDRDEWRCVTSPNAPLPRSGHAWTRGGNDSTGVYLFGGEFSSPKQ GTFYHYNDFWRLDPSTREWARIETKGKTPPARSGHRMTYYKNYIILFGGFQDTAHQTK YLQDLWLYDTQNFVWHSITPPPAQLKPDARSSFTFLPHDQGAVLYGGYSRVKATVAAG KQTKQGGGAGGSKNILKPMIHQDCFFLRITQPPADAPPNTAPTVRWEKRKKPANTPNP TRAGATMAYHKGRGIMFGGVHDVEESEEGMESEFFNQLFAWNIERNRFFPMALKKARA PGKKNGAGNGEGRERVGRRDRARQNEEELLRQLAALQAGAKGVDGADEMEIDALLKKQ RGEEEEEEKDEKRKVRDMPVSMEMPHPRFNAQLAVQDDVLYIYGGTFEKGDREFTLDD MYAIDLGKMDGCKEIFKREGDDWIESEDEDDDEEDDEDEDSDEEADDEEDAMEVDDKK AKYTPSDRKKKKAKAGEEAPVAETAPIAAAPEEEEESEETRVDDGLPHPRPFESRRDF FQRTSAEWQEILMTNLRWKGIQPESLAVKEIKTKAFELSEEKWWDCREEITALEDEQE AAGIGEVVSLADKAGAGGGGGSGGAGRRR NEUTE1DRAFT_90505 MPLGIQRLNAKKSHPNDRIIFIKPLKGPDEAIAQDFLERIAAQC QYEPNREFVGRNFNAGEVIQLVLKSLSGHWLPFNYVQMVMMHELAHCKQMNHSRAFWA VRNNYADEMRLLWGRGYTGEGLWGRGALLSTGEWERNTVQPGEGLPEHLCGGTYRSRG RKRKAKAPKPKLSYKEQKERRILKKFGANGVKLGEDEKVKKELEGGKKVVAKPRVAGS ARGRELRAAAALARFEGLKKEKEEEEKVKQEEIDEDETGSGTESDEYEDEDDQDGVVA VDINGKKLLDGKGRGMIKVCEDENPEDQDAQQELRELMDFNDGARNSTPKLSAVKVEP SSTHTGIPENVIQAPVSSHKNRKENLGEDASQKVSQIRSTVQSMNKNHHASTTTEKPP GVKTDPTRPPQKKENISPSSIPKSTSEAEPSSRTCPICSFANEPTCITCTICANVLDP KNVVGSWVCTSQTCQGSQYRNAGDCGICGVCGERKQRGTG NEUTE1DRAFT_90506 MKLPPNIPTALVFTFLFSLQVPLRLAAPLSHDKLFPKPVIPLTL PQIHYERIDNGRMATRHSNPNSNKDFPSPLLLSLPAPLPSHLRKSGNALWDATTPGGK LSQPKRGSSSAGPPPKPQPRSTSRPGRYPRPLKHLFAHSYRHSSSSGGRGVVDYSDFD FDFDPNNNVPRIKRTLSETADDDLNLNNFDLFSSTGLGGGSSGVNLLKTITIEPTLTI NSTSIQRLGPPAPGRPGAGSYLAAMNIGGASPRPREAPSWERRRRSREWEGENESGGS ENIGNEAPPTGSFMNRRVSSRIEKRREVEKREMNTATEHTGMDDENNKRREKETKEKK SKAGRGSRIHGKYHEALTSIAAAAA NEUTE1DRAFT_105327 MALSAASMPRTLAEILASLYLTPSRGLYEVLTDTRVSCHHQDRL RAKSASMPRLTVPMRASTPVSNIGPTRPSTGDSFDTHSSLRDDRRCLPSYRDTASEII SMYASDSQRSTHSPFSPKYRDDQRSFSMTSCSSRALPNKSSTGTMHSQASGPALQRPR SPFPYPTRLKRPGVRPSSPAVTESGAVDYSRMVEIDRASQRTIHGSYVPTYAQYGRRS GPAMSRLHPYGSVSSLSSHNSRPGSRPYARTNSSGSSAWSPGLRRQLEMESSDHGTRT PSLTSIVDMYRSSSGISSDLKYGSHRLPNRTFYYDYSEDFDTFPDGNPAWTGPLAPVP TRVPDMYRARILNDGSAGPFLNMNRYPSRDDVCEGPQTYSGATNTIKTHESNIAHDSI EEHEGEEGSFDYPDDEPPRGSMVGRKDVNGATNVPSLQAATMPSTEKRKSCHHSDASI PEKSDDLAVATAENPDEQSNSDARRITVHDESDGPEEGDDDNSRSSFGNNAIHVPQLE DPLSARTSLYRHYLRHQSVRARYTAACTSLAGQEAPATEQCPKAHESIARSAVQSS NEUTE1DRAFT_118735 MHAPTKFALGLLAAAAVATASDVIQLKKDTFDDFVKTNDIVLAE FFAPWCGHCKALAPEYEEAATTLKEKNIKLAKIDCTEESELCQQHGVEGYPTLKVFRG LEVVSPYKGQRKAAAITSYMIKQSLPSVSELNKDNIEEFKKADKVVIVAYLDAADKAS NETFSKVADKLRDEYPFGASSDAALAEAEGVTAPAIVLYKDFDEGKAVFTEKFDPEAI EKFAKTASTPLIGEVGPDTYAGYMSAGIPLAYIFAETPEERKELSEALKSIAEAQRGV INFATIDAKAFGAHAGNLNLKADKFPAFAIQDTTKNLKFPFDQEKEITADSIKKFVDD FVAGKVEPTIKSEPIPETQEGPVTVVVAKSYDDIVLDDTKDVLIEFYAPWCGHCKALA PKYDELATLYANSDFKDKVVIAKVDATQNDVPDEIQGFPTIKLYAAGAKDKPVEYSGP RTVEDLIKFISENGKYKASPPAEAEESVAASAESGSSTETGTVEEPAKETSHDEL NEUTE1DRAFT_90510 MASRVLSTAFRRGFQTSARRFESVATTSSAGVPGAGAVPPLPAR KPVGAFRGGLFGFFFGSTLAGSAVYYYALQEYKASNDLLTEDIYALQNAVDRLSKYLV TLEEKMEALERKRK NEUTE1DRAFT_90512 MNNFGVIEVASQKTKNAPGWAYVPDTGLTPAAAAALQPLNRKRA ARDKANVGAPDLTARQDAKIRKDLEALDKDSHRDAQIPIPPKAGGTRAQNKHTPNVRK ILQSQKTFANHLDDYQAFLALAESNPQLAAQVNKPTPTTTTTTTATTTARNSPAPSTI TTATTEEIITPDSSEPFPPRPDTPTSLEPSTTATPTTTEQPKLQSYPPDGTILPAYNR PPPTPHPGDDDPLLVSHVPPFPTDEELRALITAPPLSYLEARAQWTEEEEGRYPARRF CEVCGYWGRVKCIKCGARVCALECLEAHREECLVRYGL NEUTE1DRAFT_90515 MDRDTEAGSNDGTNDNNDTIIPPHTPESNTEAVDHVPPVPRRPS PKLSDDGASGALHVAAASKPTTQVSTIDISTLSFPDGSRGTFSTSATSATRSVASPQS SASGYTSPRTDLAETVSVASYPATLRPPGDLADLVTGEFNRRSRAWSLLRTQSSSVQP FEASRTGACDSLAGFEKEFDNIPELGEKGITDEQRLSLWKSKMKHYMILSSAGKPIWS RHGDTSLINSYMGVVQTIISFYEGAKDPLLGFTAGNARFVISTQGPLYFVAISRLGES DAQLRSQLDALYMQILSTLTLPTLKNIFVHRPSTDLRKPLEGTESLLSSLADSFTKGS PSALLGALECLRLRKSHRATINNAFLKCRSDKLLYGLIVAGGKLVSVIRPRKHSLHPS DLQLIFNMLFESGGIRAGGGENWVPLCLPAFNNRGYLYMYVSFFDSVETAEDNNTNNP EQPPQPPPPKPVTSPDEEIAIVLISADKESFFELKSMRDKLALQLAKNGSLALIQSAA RQGRPRIETILNTKPLPKEAGQGQGQGQLSHFLYKSRANVQCCQSSLSPAFETSSPPL PSSSPSENGSPQKTTEKLVSRRRLMTLYHHLHASIHAKHSHLKVLHLVSEDAASLAWI TPVFEFYCVAGPNMSSGIMTQCANKVVQWAKREEERLFIIGGGVF NEUTE1DRAFT_90518 MSLDPTRPRSISPESSGRESPVPKQWRNQLGNDEVAPKDKNYRK YASGVERALSLFDTALQEWADYISFLNRLLKALQARPSTINTIPAKAIVAKRLSQCLN PSLPSGVHQKTLEVYNFIFTVIGKDGLSRDLPLYLPGLASVLSFASLSVRTPFLDLLE RHFLGVNPRSLRPALKSIILALLPGLEEETSEDFERTLKLMERVKAALRPPESETITP THSSGDDFFWQCFFLASITGHSRRTGALAYLMRALPELGHQLHSDGYKAETRNGLDPE VSAKLVQLVTSPEPGLLIRCFAAGLADDQILIQRGFLDLLVTHLPLHSKVLQARAKTD DLELLLKTAVGVTTRRDMSLNRRLWTWLLGPEPTVAHEGEGGPDSPTTTASTPAYFMS RTSYFEEFGLRPLTRALLGMIKASRVGNNPADRAKPYRICLSLMDRWEIGGLVVPEVF LPIVDSVRDFKAKADSKSDFTEVLRSASVFFDGVESGLIYSEILNLVAQAISPGKLSV TDRSDKLDLVKFILGHFNVSEEEMITIHAPLTVLSILCMIEELKESDAYATTLLPDGT TLLVQALTIAASLLELVPERAFPTSAKAKAIESKVLAGIPNVELLKKIKNFYHAEQGN LEATSPPFVPQNVAELLLQKACDMNCESFSQRESGPTVAAKSKVLLLLLTKTPHRSFL DTKKLLASIHECLSSDAFITFTTYYSLLTLSTHLYSADRVSHVDLSELVSPLVRHAWS FLSSSEPKYHVETVRSLWLLQTALTPTNRDIEAAICSLMLEKDVSGTFIQRPADPGRS FSVLWSHSLQDNPSGSDRRGPKTPIFDAKAPPRLGGIDNYEVMLTRPLFLMLDALTDE RTQLFMTVKSWLSSLVGIDKIFNIFVSRFAELSCLRRQTTSAEAKAVHKFSEEDDVEL AVYYLRSLSNVFRFTPDSIWAFLAKKTIRPDSYFPSLSEINVNTLNDESSQTRVTQLY RSALGVMHPILVNPYAEPLAQLHLENPLIDMLTQSLSGPEPYVQTLLLDVVFAALKLR ELAPVELPESPTAEKRAASVTADSAKAFRAVAGGKPPSALQPPPPTLLKCILSGLSAP SSRHVLDSWISFLAECLPLYSDSIFQVLIPLVETLCSQISTTFSRLQQLFRASDEPQT HSQIGPETTLISLLNGLEQVLARGHDRLLAEEARAQVVKNPDQPQGFFGNMVSGVFSS DTPQTRSATANDRLTVLLAFQDAVRICFKIWSWVQGSDASLQDNSSGASFNYTSLRMR NRARRLLEHLFAAETLECLETVIGIWRGALDSSDTSKAAEVFNLLPALDGSRPQHTIP ALFNAIYSRTNPGALDPSRRSTLTIELQDTDVVIFLVDYARTLEDDAMDEIWQDCMIF LKDLLGNPLPHRQALPSLLEFAAILGEKVDNTNFGEQRKMRRELADLFLRLLAAIFTT RPTSFVENSSTSYSSSTTNLLLSEKKPNEAPRTLSVAAIRTPFERADDVVGILSNIVP NLPKILVENDRILTAATSISANVIGPTLRSKFFPDTVSKNTLVLLHQLSRLPNNQKSW KKDVADAFNDSRFFSSNLSLVQTDWLPLLKQWTVADKERMPEILGRITPPSTAGIVFG VGATSARLEADRKTQLNLRRIATVILAATDDTFVTELPSILDKLVELLGATATSSPSS TTRAEVYMVMRALVLRTSAIHLAPLWPVVNAELHAAISSVVAPDHSAPSDTYGNPAVM QACKLLDLLVCVAPDDFQLHEWLFVTDTIDAVYRPSAAGYQPVALVDEVSEELNGALV TPHAHHSHSRSLSEAGAAALGLDPSSLHSPTDGIDGQGQPLRRPLLGNLPGQISDEVG MERKDELVAKVLRPFFGQLSIFAFETTYKMTEVDWEGCVNGLLRDLFDERSVVKAL NEUTE1DRAFT_125849 MGPKLLKKGEKFPLDENCHHYTKLGEVPWDIQKYWHQRFSIFEF YDYDIHLTDSAWFGVTPEPVATRIARDLSTHPIADGKRVLIDLFGGAGGNVIAFALSS GRWDRIIAIEKDRSTLACAQHNAEVYDVLDKITWVHGDCFEVMKRFWETRGTKGRGRE GGEAAKGQEQEDDNEELDGLLAGFKLEECLVFASPPWGGVSYRDQEVFDLSKMEPYNL EQLYKACTMPEEEKEEHPSTQKQKRILPQALFLPRQSDLNQIAALVPEGAPKIDVIQY CQKGASKALVAYLPGNIDADKEEKKQYEENRGQKKRKQDDDQEQTIPELLPEAPKEAS EAHDAYDTSYAQDIEVDTSKVDNAEKRKRKRRRRSSHNN NEUTE1DRAFT_90522 MFNALNRFISRLDGDAATSKENQPGGFGFQVLRNTNPDLAIEPW FDFVVGINGRMIDDSDPRLFAQEVRNCAGGVVQLGLWSAKGQRTRALHIPVPADTASL GLTLQWTALSVVTNIWHVLDVPANSPADVAGLLPYSDYILGTPEGVLHGESGLSELVE DHIDRPLRLYIYNNEYNVTREVTIQPSRDWGGEGALGCVLGYGALHRLPAPLSEPVHA PGETMFDGDSEQHGHVGQQQQYQQQPQYGYNPSAPPAASQYAPATATFSPPPPVGGGE FLIPAQMVGTPPPPATQFGGAVASAPPRGKKKERPANRGGLGSMDDYFKEQEKKSREL DNAPSRKNTPVPPPPMGGPPKGGPPKTVSSPPPGSAQGEDTADRGES NEUTE1DRAFT_125851 MGGKRKNAAARGVSPTPSATSTTPSATVTTKVQPKDSAPPIATT TNAFATSTQIEPTTSTSTTKASGTTSKSSSSNIDKTAAAAGGQAWNKVFSNLLRHYQD TTPQRTKLLDAFMAFLVVVGGLQFLYCVLAGNYPFNAFLSGFSATVGQFVLTASLRIQ TTEANKSDFPSVSPERMSERMSEGVQKCTSGDGEDCPAIQGLINRCR NEUTE1DRAFT_125852 MASILEALQPHPEDHLHLRLHRARSVILTSQELVEIRAAQRTFE GAYMRTALSQFSFALIILKIFTSEFYPIGALFAVYGAAVMLVAIYRRYEGNRQFFDQE VEEDLDDDERHGTGPATATGFDGQMRQGDAGLVVDGMGGGGQRLERRKTVMVVKKKFR TSTNSVALLTGLSFAAYVTLMVLLWELSR NEUTE1DRAFT_71839 MTDTMSFQYPPPPSQNGTDMDIQHSGYMPYSVPPPGSSGMDLRH SPDMGRDRSDSYPFATKSKRSMSASQTSQPPPTPRDPTTPDQGLLSGEKRRNKLGYHR TSVACGHCRRRKIRCIPSQNDVQARCVNCIRLKKECTFYPVDQQPSQETRQKSAQRSS TGPKITSTSSSPAIQSGALSEVHSHSSYPHLSLASITNMPPPMKPSGREEYTPDPKIP PSATSTRSYEFGHHGMANWISHDAGPNTSKPNDINPNWRSYTSESPITPAFPPYTPHA PQSATWSATSMGSESSRDELTWSSSGYPVPSSRSMSFGGGGEGIASQQYPPASQTGGG GRPYDRKSSAISSDIYPSPIANIMPGIDTVSGTSQDPHPAVSAGAVPPTGYASWQQPY QYSKPAEGYSAWYGEGGDQQGHGM NEUTE1DRAFT_96660 MLVASLIVDLHPSRIMPRLICAGTDISLATYSLSGGNTIRRGNA MLGRSSYQGLLILSNVAEENLPWYLASAS NEUTE1DRAFT_118741 MFASTGAGNQPQRQVDKAKRAALIDTARAERDRLCSVTIAVTGS RFAGGFGGLPVACCCADVDVDVHI NEUTE1DRAFT_133026 MALNLIPRLPSLSVLAAADNVCRIDLTVLFPDCASIEIGVPPNQ PHSVDVQPSTIYVTVSGPTVTVPPHSDHTHGPGAGGSVWPVTTTCDEEGLVTAMPIPT GSPSGPGGPGSGGPGSGGPGSGGPGSGGPGSGGPGNGGPGSGNNGPGNGNNGPGSGNN GPGSGNNGPGSGNNNPSPSSTTTLTTLSTLTTAIRTSLPTPTTTSCPLPPFLSISTTL ADLLDLDLKLYLDLSSLTSGVSSLLDSVSNLLGADNRPASPSNLPTTQVSRFRTLPCG TSLGSLPGGNTTTIPINNNTDGPDGGNGNGNGNGDNNSGGSSAIEECIQRCEQDAIRA SLELLNIRDCLGVTVDRTTTVDNCLWFVGPRGEKLPVLDLGVVVDLTGLLGEGVESAV RDD NEUTE1DRAFT_90533 MSTIQQLKNFIRHGKQARTANPEEPARTKQADHSATQHHQPTKM AAPVSEPNLGGSPPRNHQAPVQDAYSNTQVDGHNRVAQAGHAAAHYAEADQNLAHKSK SKTKVPDENIVRLVAEENASKSKFPRYPGLERWELVEKMGDGAFSNVYRARDLEGNAG EVAIKVVRKFEMNNMQSNKHLHPDFKPKAPKAAERANILKEVQIMRQLDHPNIIKLVD FAESRQYYYIILELAPGGELFHQIVRLTYFSEDLSRHVITQVAKALEYLHEEKGVVHR DIKPENILFSPIPFIPSKHPKPKQPGDEDKVDEGEFIKGVGSGGIGQIKIADFGLSKI VWDKQTMTPCGTVGYTAPEIVKDERYSKAVDMWALGCVLYTLLCGFPPFYDESIEVLT EKVAKGQYTFLSPWWDDISKSAQDLISHLLCVDPEKRYTITEFLAHPWIAGSGPTPRD ERKNPDGVLRAFDASKIVDGDRRYDFRSPGAVNLREVFDVGYAVHRQEEEGKRRHNLG AKAGVSRLVGLDENSDEGEDVAMHDVVNGKQYHTSLEQSMRNAQIRDQQQEQRGRDRE RKAHPPPAAAEQRGYGQHSAAVTAAARQQVRERNRQKGAFELNLDGATLLGRRGVKPS AARVA NEUTE1DRAFT_114324 MANRDEWIQLSATRDEIVRSNIFVKLEDGDGWKSKPEIPTAKEI LLLQKEAEGLPENDVRQPWKSKEDYLKAQYEILRREGTEGLRFAVNNYIYNNNHGLRQ DDDQHMFVYTQVRIKSYIMTTLGPLARVEFMPQRAIKWLKSGRLKPGSLLALTTKADK FKTICKVAVVAQRPYLLGLDQTPPLVDLMWGNPEDAVFDCDLELVMIEAQHGYFESSR HSLVGLQLAAQADTPIDKYITGSHRKNETPRFIYQSPIMDFSSVVRQPLADLEKLKSL REFNVLSGLLLKDVESISFLDASQLSALHRMVSKELAIIQGPPGTGKTFTSVEAIKVM LASRRKRPGHNPPLIVAAQTNHALDQLLGHCLEANAKILRLGSRSEREDMRPYSLYEM RRKCSRGGGGKRFRELEDDRHTNIDRVEALVNSVFGDSLIDPRQLHAHGIITEAQLES LYDDEMETSPELEKLGPFSLWLGDSRIPARIVRDRQPLISETLEADEDFDYERDVENI AYDEEDADRINGKEIKLMHIWTGKEPGHFKSWNHRAQRLLRENDNLFDIPQDWRGAVY QHLQSKLVQATTPTLAGLLAKYVEICKQRRALKARQDIDMAFSQDIAVIGCTTTGLTK YRAFLAGLQPNTLLIEEAAETREGNITSALYPSLQQLILVGDHAQMSPRCDIRWLGQH PYNLNVSLFERLINLKMNHIMLNQQRRMRPELRRIVSPFYNNLLDHPSVQSPQARPDV PGMGGRNCWFFDHEWIERTNSDNSKLNDQEAEMITLFFVYLVSNVDSYQGEENDVVLL SLVRSPDPVYGRNIGFLDNPHRAVVAISRARQGFYIFGNVNNLLEAKTESSFLWGLIF NRFADQGMVNRELGLPLVCQNHGNEIWIKELEDWADNTGGCHERCRETRPCGHPCTLR CHATAHENLPCSSPCFQIVPECGHPCHGLCGERCFHDCERFRQAKASVKQAQRQGTDS PKGKTIESLLLQHGAHDFGHEEPVKRKSIVSTGSPGRGLYSHFGELPLRPIGDNWKNA EAVIQQQDRKLDEELAERNEKWLSQTHHVQDQWMPTGVTASGTRIRAGPIITQTLQLL SDLPAMTTTAAVTAGSGSHLRTGSGAGPIFAPTLNQFPNIAPIAQPELLIQLEQDDYA VSATNMKQTIGDLENDGEEDWLIDFEEPE NEUTE1DRAFT_125857 MSWVARKANIQGDVWPGLPKRFQTFLFFKIRKPDEFKTRLRSFV DEHITTAQEACDMKNQILEAKTQAQKHGVPAKILPLPGVNIAFASTGLAALGKFTFNE AAVKKDRNLSKIFKKNQLRGGLFGKGMYDDLVGEGWDEPKELRSQFKPSAGKRLIDGV LMVTASRAEDLRYKVLRVKEHFQKEPGSDDPDTVRLSNDPCLDFPFTNHGKTRPEKGK EHFGFEDGISQPLIEGLDEVAPKGKEPKAVKPGLIFARHEGDDMNQPEWAEDGSFLVI RDLQQLVPEFEKWLEDNKHNAPFAADSDDPKEKLAAYLMGRWRNGTPVDENPHHDKDP NLFRSNNFDFHPVDKHERCPFAAHIRKMRPRGDLNHDHAVIIRRGISYGDEVTPEEKT AQKSDDAKERGLMFVCYQSDIRNGFNFLTTRWASNHHFPDRKANFVGEHGPGIDAIVG QRLAHHPERSIGLPDGKHPTEARIGLDRWVIPRGGEYFFTPSIKALKEYLTGPPDYPP ELAGP NEUTE1DRAFT_71845 MNQERVSGYGPVDEPSWVPTFLDESPPHKGHRISREDWTLTCSS EDSDYPCQNAIDGSNTTSWRSGPISHLKSHISITVDLKNHYSVSALVILPPIDTGKDG LITHHEIWVSMDNKNWEGPIAYGMWPNSNRQRLSAFEPTSARYVKLTANAEATESSWI GISELNLYATLYTIPRDPKNGLWGPTIDFPVVPVAGAQEKSGNIVLWSSWASDQFHST PGGQTAMARWNPITKEVSKRIVTNTQHDMFCPGISIDGTGMMVVTGGNDASPTSLYDG ELDKWIKGPDMHLRRGYQASTTLADGRVFVIGGSWAGGSNIAKDGEIYDPATRNWTML PGAKVKPMLTDDMEGPWRADNHGWLFGWKKNSVFQAGPSKAMNWYYVEGDGNFTGAGE RGEDDDSMSGNAVMFDAVNGKILTIGGSPDYDKSWATSNAHVITLGEPGQNPDVRAAG QGGKMHSERVFHTSVVLPDGKVFIAGGQTFGIAFNEENVQFVPELYDPETNTFTELSQ NNVVRVYHTLSILLPDGRILNGGGGLCGNCSANHYDAQIFTPPYLLTENGEERSRPEI TTELPDTIEVGGKLKFHTNRRIASASLVRLGSATHTVNTDQRRVPLHFRRRMPVFGRY HVHIPNDLGIVIPGYWMLFVMDEAGTPSIAKTIMITSNVKTIGGASDEQTQYPSSRMR FQIELAK NEUTE1DRAFT_90540 MDEDDREYGSSDNEQDELMEDADDAENDTYGEDFNEDEEEEQED QYEPERDPEPEPEAEPFDESQAQNAHDPNAADQTTSQTARRVSATPSGSGSGAKWRPT IRPEYITASTYDIVPTMAAPQATSINAIAVTPDMRYWLTGGSDGYIRKFDGIATINGK QQLTVAQKHPFVDSVVKSGVLMSYWDNQEWTGQNTRDDDRILSPVYSLAVHRQALWAL SGLESGGINLQSVRHDEGKRIHCLRTNGHTNAVSVLQLAPDEKSVLSGSWDKTVLDWD LNDGSVIRRFEGSGGQISAIELRPTSGAPIPAEASDPEIITDTFESNNSKPVLNSFFS NGAHGDMDTTGQSNANDGAVNDVPSPEHESLFGSPAGSLFGDNETIGGGNAFGDDDDD NEFSRAMGMELEEEQKNQGHTDTIMDTNAAQGPGPDAIEPAENGTINMDIFNGDSSHT MSDFGHQPAANPEQQTQQQQEQQPPQDQNPIPPTDHEPIPFEPIAPASPSMVVNTAPP PAPQNDDTQTAPNIFMAASIDGTIRIWDRRVPDPVARIGNRRGVPPWCMGACWSVDGN WIYAGRRNGTVEEFSIHKARGGWQPERTLKFPNGSGAVSCVRPMANGRHIICASHDIL RLWDLQGETQATKHSKVPFVVVPGPPKAGVISSLYIDPTSRIMISAAGTRGWDGTSTE VLIGYEISPVK NEUTE1DRAFT_125861 MSLLIVVAKAAQFTDAFLTALLVPLIPTILETRAGVHHKHVQFW TSILISTYGGTFAAVSSSVPYLTRQGPLLWVLLFGGLALAAGSFVLLQFYRNLFFLVL SRALHGIAGVAIARASSSLVAGVVLTASEDDGEEDGGDDETAAVDVLTWMTPAFIQNF AISVGPVSAGLLHGFFGGQAAVFTLAYAVIALTVVFGSFVAVLLPPGRYPRARGDRHE AAGLLASREDSRNYGTLSSGGGYSSREVSPCHVSSRSIRRPSLAASEVTGTQEGVLTP SVLRLIVPMGGYIALSLIGSALQSVLPIFVQRTFEWPMAASGFVFVPLSAPAALVGLL TTPLTARYAQASRLLTSFGFIAAAPAFTCLGGLTQNKMTVQTALLATLGWISVAFGLC GEPLVKEILDAVAGGEDVIGTGGLSTGAAKAESLPSLANAWGSFVGPLLVVAVMGVSG WGGLTTTLSWVCGCAGLTALFFLGGLLTEANFESAGTSSADSSDEEAAPLLDKGNPPD RSPYYQDPHTSHSGGLDKLHDEILHAPKTEQSNLTSGSSSSSTTKARRRQFSVGNFSL ATTTQSTLPPGEGFQPDGSTASQLRFQASFETDLPIPARLQNPEHRLLMKMVPHLPET DPLLVTGNRYAVDQSSKQSNKNGDEESVGSKSKKHVVVFEEGAAPPELLQSRPHYIVA INRDGSPEVLSKADPKLHDLAMHISEETTDETAEQELPEGSRRYVVVVLNEGETGLEL TD NEUTE1DRAFT_114328 MSPTHAIHSMGSKKLPGQRSANHRSETAPSITTYSDASREKHQH QHPQTQPKPLQEQQQQLQDYLVGNRSCKPTTTPIECWLKEDIREQPWTDLRVPGRNLP QSGAWIEELSLEVVELDDLTLNLDLEEQLPLSTLTVSILGSESTPCG NEUTE1DRAFT_149906 MSRHNSVSTTSSGSSGRASISSEATSTSSVSSQNTYDKSTSPSV KTALSVLGGFRINNQGAAKPQGPYWCIPCNVSFQRKVDWMRHEDEVHDRHKRYPCPDC NIIFWDASTFNQHHKNAHGCRTCPHAEQMVRSTQRRTAWACGFCGAFMGASHRFVDHV AKHYEDNHNKAHWNHSLVIYGLLHQPVVHQAWKELFAQLYGHMARDEQPILGWDAQTT GHARGFLEGQAPGKLQDLLEFFKGGREEARRLARLSHDQAIIRSRQGVAITAIRVPVP KRSTVSTESLRHKSILQQQQQQQQFDRRNSATSGNTGFPQPRHHQQQQQHQVQPQQEP TYQKQRSFSLPFTTTTTTTTITSLAQFPNPPTNKYSHLHSHSLKFSLPVGTIYETPDA ASTTTTVDFFKNTLAATATMTATSNRQHQLDLQDQQQQQQQQQQQQQQQQNRFTIPPL NLSKDGFFDNMDVKI NEUTE1DRAFT_125863 MEGGGNPSASGSRPVLPATSPAPSPTAGGNRPASASASQPTPST SSSGPPTGPPSGGPPTSQTVSKRKRGLGLVTPTACTECRKKRAKCDGEKPCARCKYQN TECVYEVPVRQSKDTLRNEIEQLRREQRNNEHVINALRRTDKWDGVLQRLRNGQSIDM ISSWLEGTLPSGGGTLPSIDRLVGSAPGAFNFSGDPAGSYGGAIPGLAPISRFPGQGL PGQHPTTGPHQLAEFQPSQYQLVQGHPGQFPRAIRHDPEPHSLWNGQFSSHSQTVRGN SQAKAMSWTSENGQQSQSRAESWAEARAGVNSENQRFRGLDQVLATEALHSRAPPTTW TTITSDDGLVQHLLALYFCWEYPTFASLSKEHFLKDFMDGRPRFCSSLLVNALLALGC RFSSQPSTRANPNDPYSSGDHFFKECQRLFYQEENHHTLTTIQALGIMSIREASCGRD SESWYYAGQSIRLAIEMGLHRIQDDGKDSDESAVQAATFWGAFALDHAWSLATGSLPQ CSCFPQLPPKPAIIDDIEASLWIPYTDDGKNLSFLEVVLGDLLKIYTQYLNWYDRIPE VLRLGHNFTPAVLFAHMYYHFAILLLFRPLIKLRIVGSSISPRDVCIQAADAILGLVR SYSQLYTLRRTPSFVPYFVLTSSIMHLAIGATSSSTSDPAGGIGQAEPNDHSHPRFDP RVGEAISRGIADLTEMAPCHHFAEQALNILKYLAKKWNIDVDIKTIGGEDGQQRVRVD RYQTTRPVTNSLNFFVPEVTEEDFNCKWGEGVESGHGSATRAEIQPGNVKHTASATEN PLFWPFPMQGRPMLATGPELLKAGFELI NEUTE1DRAFT_125864 MDRRGNESPMEWEFDNKPPVDHTSPFASLLSKQPPLSSFSSQPS KTSNPTFGATSAAPQSNIVNEHRDTLKPPNTSFNPQLHNKPTAPQFRNPAFTTPQRRT DEQTLESPSEASPAMTDISEMPPDTPETMFDDSFRIPATAYTPLQAGKAMFSRQYFQN GRPRQVRKRKRQRGDRDVGSVRSRLDHASDGSDSDWEEGVRPGRRRSSTDKNASSGWL TSFLDTVSKYPAAPAVLSRWLQFVINTFLIGVILFAVFSCVMAVRNDLSRAADRARSN LMHEISQCTSNYHKNLCAPKLNRAPALELPCNEWEACMNQDPSTVMMTQISARNMAEI LNEFFTIISYKTWGFILSAFLVAIIGTNIGFGSLRDSGAFKPSSPRKRAEPAPVAAPP PTPLHPIFSTPAFHNPQQAYIFAPIETPRRARQQFFDEATDTDNSPDVRMMLPPRTPR RSPSKGERRRG NEUTE1DRAFT_71860 MSDAASPAGSPAAEPTEHRDEDQVNETPQDDGSNHGGNDEDNDG GNDKDSDVLSEIDENEFGDDYGSRPVDIDENVAMKLKARRKTTTETTKKPKEGRRPKK RSRGDDDVDAADDDGERRPRKVRAEGERRARKEVEEQEAQQEENLTPDERRRRALERA IDAAVKNPTKRRRKKDDIDLEEETDEQIANLKVAMEKACVADNEAREQKQPAVHKLKL LPQVTAILNRTAIQDSVLDPEINFLQSVRYFLEPLNDGSLPAYNIQRAIMSALMKLPT NKDVLLSSGIGKVVVYYNKSKSPSADIKRDAERLLGEWSRLILKRTDDYKKRHIEMRE IDVGAVKLGQREGGSSQVTLTQRPAGKSRYEIERERALAPEVRNNNRARPVGLPASYT IAPKSTYIPGQAPTDHRPIGHSGHEAFRRMTQKGKGKR NEUTE1DRAFT_149910 MSLRLIPKGTFQPVIPRRPSTSSFYLTTVQPLPAHSKDSTAQTS AQAALSPAATASNGNLNKNSSNSTNNKRKKDGLKPIITTDGPG NEUTE1DRAFT_114333 MDFIIELPAESNTKSNAKLNAPVTPATPATPATPAKPGTPTKPG TPTDPATPTTPATPIEPIKPAKSTKPTKPAKPIKFATPITSVTLIIPVIPITPITPTN FITPTDPTTPATPATPVKPITPIEFTILILFTEPNINNLFNYIIEDKNKYNIFLNKSK ILENIRTLRRYYIKRLKIFGLVREWIEKELENIPLYTYKKESSSCFIEGSIRVKIIVK GLFVRERL NEUTE1DRAFT_90554 MTVYVPPSSNPQGAALLAIFWSIHAACTIFVGLRLYCKVIRGRC LWWDDHLLIVAWALLFVNTVFTSTSVLIGYGLHTDAVPAENEKYLSIIGGINGTTSVL HVLFSKVSFAVTLLRITDGWLKRLVWFIIITLTLCQVSTALLFWLLCEPPEATWNSSI MNKKCWSPDGLLAYSIALGIYSAVCDFVLALLPWRILMRFHMYRGEKVGVAIAMSMGV FAGIAGAIKVSTIGRIVSNDFSYEGFLLVVCALVEGACAMMAASIPTLRALFLHTFDP PSQPSIDVAVESSRSAGASARRARSDWDERKYRNRSDQSILAIPPTSSSTMDRSVETD IERDLSRPLNNQFEMKDWKSAKS NEUTE1DRAFT_118744 MMPLLARYCYTTTFREIGAEQCRHLLYGDYSYRHFAVHRANLLR VNAGGGGSDQGSSSIPTLYSVVPITVLQCSVIGV NEUTE1DRAFT_105355 MTRQSRAEADRIHHQGFVYFPFMPPSEQYPALTVTRKFSKRIDP EDVDLTQREMAGFVSVYPRLYQVPKTMQERIRRWYMANRKLADSWPLDGFWNAAEDDA HDHIVVREPGVPPEAKQMAGIEDSGNGKPALVPKERKPRPPKAKKEEPSQPSTSNTSQ ATPFPESVVARCPELEQWSQQAYFAAELKAAERSFGYIRGWVEDAQSSGRSPSIVQLN ELMNFASNALTRASEAVKISSAVVEDLKSTPAPLIHPAFVAWQQQQEQTMGQDLDPQP HVEHKKRPFEVDDAEMNEGKEPTTQTEPSGAGKVRKLSTYRSAPESRSGDSTSPPHRE DHQTGSNLASSRAMSTSAPIHPAFLQQYASQQPQPDPTNHTHSSFMVAPTHGSSLLYV PIDNDNQQLESASESGGGSGGHGDSNDDDEEEEEDEEEEEEDEEDEEEDGGDDASD NEUTE1DRAFT_118745 MPSMARSLLFLVLAASSLVGATGKDAVHELQQTGKANLELRLAK SSTCTKENLEIRREWGDISKAERREYIAAMLCLMSKPSQLDQTEYPGAKTRYDDFVAV HMNQTLTIHGTGSFLAWHRYFTWSFERVLREECGYTGSQPYWNWGKWASDPVNSPLFD GSDTSLSGNGLKVDHEDTDYYPAGPGGGCIYSGPFVNMTARLGPLSPILKPAPVANPL PNGMGDNPRCVRRDITNYLSSRYTRTEDMVSLITDSSDILAFQDRMQAVTARPWEVEG TYNPAEHNGMPLMGIHASGHHTIGGDPGGDFYTSPNDPAFFNHHAGIDRTWAIWQWLD LENRTEQIAGGTAMFDPVNSPRQTLDDIVDLGVVGDQVWRIRDLVSTVDGPFCYTYE NEUTE1DRAFT_125869 MSSISQFFMYSPRSTSPLPQTESKPRQVWTPEEDRLLAEAVTKG KKSSMDPKTPASGSINWCKVASHLSRRNNKDCRKRWHYNVAHNIRKGTWTREEDQRLR EAFDSHGPRWSKVAQVVGSRNGDQCWKRWYDCLDPKIDRSPWTPEEDILLLQIVSQRG RNWTEIVNTHFPNRTSLAAKNRYSILRRRQKSASSSSASSPSSSRSRSSTPNIIRPRT KRATTTTTTTTTTTPTKAIPSPMSMTSKPRYLSPTPPTSSQLTPELGQCFTRSPLSLS DIESTSTQGSGLGFLLPPSALSTGTSSFPTTTTTTTTAAAAADAANFELFSFGNNGYN CSGDMDCAWGSCAADLMVPSAGPMSMSYASTSTSSPSPSPSMSSSFSSSSPSPQSLSL NGIYMPVVNQGLVFNFSNVPATQAQQQQQFEFIVQTPTPIGTGAPVEYASWCDHSRL NEUTE1DRAFT_90560 MPPTEDIQYAPPGSYLAYKRNSKLLLCWIVRTVQSIIKFWETTR QHDLNLTLLEVPSAGLPGQKRPKELLANPGRAGFFNRSRLYNFCRFISLHVDVIPPSI LALFLHIIHTRVTALTTWQALAARRPRPEEMENFRTLETDIRAFWVAFNGLRGFHWMS TSPGEQEVSSILKYNTPETEEDINYLVPDRFPIRFHPEAEKGKPGRRNKSKTPEKEAK FNKIKDSLPPDDFPLSHFDIDDPCYTNGRFNSEGNAWGMAAYDLFKEFMHLRNFLHDT WVEVVFDGLNSTVAGAIGIAAMNLIVKYRHDNIPEELGYISMIDYLTGYQNPDDNVQP FFASVYRVHGDGSCSGKTENQSVDMKEQFMIYTFESLFEFLSDFRTTQTGKPTERMAK LLQSWDSSADLMVLTKEEYLQWRHLYTIKLLYSLFDEYVAPILKSKEFDGDWENVIWG PKGPSEDDLSGSGSYQHRAFLSSIIKLAMEKPSSTGESTRHNIQPTTVFILQHMVDSF TVSRGWMNNPLWGPIFVQPPSSDDFFPARDLALFLGVKLDDSMNLGPGFWTSLHLLDE DLRTGEDQKIEQTHFLKHAARVCSAALEFRSTRQDQGAGSNFLWGCSPFHCAEVLVQS LTNFDHISRVLYPGFTNEPVLLVHLVERIGRLENLQRFMEDTTAGEEKRAMQVKGHGS SNNHRFIIKGGRDRAKTIMEGIQAVDFKLNELVPGKLLEGPGGSKVVVNFRDVLELLK TEVIIGVHGSHCLDAVNRLWVTARSIILFHSIERQLAAAKDPLYLSIYGDPDTKEVEN SKKDEIGGNGGGRENALATKRVRLTMRLLSRELKPPGTKTAIEIAVKAFEDEKLTNFP CTYWGDEADLGMKSDDGIIQRLEKGLASVSCPFM NEUTE1DRAFT_133038 MEALSKAPDVGEALSGVFGSVSLTAWICLLLPQLITNYKAKSAD GLSMNFLIIWLLGDIANLLGALWTSLAPTAVALALYFCFADLVLIGQCSYYNAVNARR RSRIERHARSARHSSAETQETDTTAVEDPSEHDPLLDHEHPRRSRSDSTGLPGSHRRH SMRHRESTLDPLTRIVTGEDETPDSNPWLHNTLSLVAVWVVGAAGWFISYKMGAWDVE EGLPDGGDNTISQEPQAVIGMILGYFSAICYLCARIPQIIKNYREKSCEGLALLFFLL SLTGNLTYGASVIAYSQERDYIVRALPWLLGSLGTMLEDLIIFAQFRLYSPQREPKTH HTA NEUTE1DRAFT_71874 MKLSSVILSSSAFLAGGASAWGGFGHITVAYVASNFVSNSTASY FQTLLRNDTSDYLANVATWADSIRYTKWGRWTGPLHYIDAKDSPPDSCGIIYERDCKP EGCVVSAIQNYTSRLLDQSLHVVERAQAAKFVIHFVGDIHQPLHTEDVEKGGNGISVF FDEKRFNLHHVWDSSIAEKIVTHKKQGVGRRPFPAAKKWADALAEEIREGQYKANSSD WVKGLELKEASEIALQWAIEGNEHVCTVVLPEGPEAIRDQELGGAYFEAAAPIVELQI AKAGYRLAAWLDLIVSGIEKNETISPPTLPKRPDVVTAEQKPMMLGDL NEUTE1DRAFT_125873 MLMKTALTALLGLAMVTDAAIVPNTDFSTLEQRQNRNGKFGGGN RNQGGNAGNNANKGGNNQQQANTCLAPGAIQTGSASTGQSGAGAADGQVNSKTDKANF INFCNGQTLTNGQQIKSGSCNGIPMGKIPSTDRMISAVILNPKNNDNIQASKTFTIQV KLNNIALGSFTNATSTYYSAPQDVDGSGRVIGHTHVTVQNTGNTLNPTEPLDARQFAF FKGINDAGNGAGTLSTDVTGGLPAGNYRICTMTSASNHQPVLMPVAQRGAQDDCRYFT VSNNGGGGGGGNNNNNGGNNANKNNNNNNNNNNNKNTGNNNTGGRNRNSGKNVATSQA IAGISAPAVTNSGNSQRPFAVNGATFVNKAAAVQRACAIQNNACANAVNSGKASGVTL QQCNAQETACRAAGGA NEUTE1DRAFT_90572 MPATEKVSRGKDAEPRENVYLFVPNLIGYSRIILAVASLYYMPL HPRTCSLLYSISCLLDALDGYAARYLDQSTRFGAVLDMVTDRCTTACLLVFLASAFPR WSIVFQGLIALDMASHYMHMYATLAMGGSDESHKNVDKSRSFILNLYYTNRTVLFLCC ALNEVFFIALYLLSFSSPLLSPGLLEQVPQIKQANPYSAAALELARANKMDSTVPWII AIVSFPVMMLKQIINVVQLVKASRWLAEGDLEARRERKKTR NEUTE1DRAFT_71883 MKQRFSSLDVRVVAHELSEALVSLRLANIYDLNSKILLLKFAKP DNRQQLLIESGFRCHLTDFVRTASPAPSQFVARLRKYLKTRRCTSVSQIGTDRIIEFQ FSDGAFRLYLEFFASGNIILTDSDLKILALLRNVPEGEGQEPQRIGLTYTLENRQNFG GVPALTKERLRDALQSTVQKAAADQAAGKKIKKKGADELRRGLATTITELPPILVEHV FRLTSFDPATKPAEILDDDSLLDKLFDTLQQAREILDEVTDSSVSNGYIIAKPRSGFE DTELDVDAPPAEKAKTLLYEDFQPFLPKQFEDDKAYRILPFVGYNKTVDEFFSSLEGQ RLKSKLSEREAAAKRKLEAARMDQAKRIEGLQEMEMLNYRKAATIQANIERVQEAMDA VNGLLQEGMDWVDITKLIEKEQKQGNPVAEIIKLPMKLKENTITLLLGEGVEEEEEGD QDKEDDEFDYSDSEDDADGAETTKDKAPVKRLEVDINLTLSVWNNAREYYDQKRTAAD KAQKTVQQSVIALKNAEQKIAEDLRKGLKQEKPVLQPIRKQMWFEKFTWFISSDGYLV LGGRDAQQNEMLYKRYLRKGDVYVHADVHGAASVIIKNNPKTPDAPIPPSTLAQAGNL SVCCSSAWDSKAGMGAWWVNADQVSKSAPAGEYLPVGSFMVRGKRNLLPPALLTLGFG LLFRVSDDSKSKHTRHRVYDFVERKTKGRADSLNALSERAESPHEQKPVAGEESESDD DDEDAADQKERANPLHSQRPGQVGSVESDAAQGTEPPTEELADLEINKEESVSSPDES IKSPAELEPAATQNEEEEDDDGEEEESNQPSPAAKTGTPSSSTAPQKQQSAKKAPAKR GQRGKQKKIAAKYKDQDEEDRALMEELMGVKAARQKAEAEAAAKAKAEAEAAAARERR RQQQERVKKEIREHEEVRRLMMEEGEDMPLDESEMAMEMAPLETLVGNPLAGDEILEV VPICAPWSALNKFKYKTKLQPGNTKKGKAVKEIVERWRLASGKKGWIDESSSDPEKIW PREMELIKGLKVEEAFNCVPVGKVTVMQSGGLAGSSSGGGSGKGGGKGGGSGKGGSGK GGKGKKK NEUTE1DRAFT_71886 MGYYDDDAHGHVEADAAPRATTGTGTGSASQTVTIPCHHIRLGD ILILQGRPCQVIRISTSAATGQHRYLGVDLFTKQLHEESSFVSNPAPSVVVQTMLGPV FKQYRVLDMQDGSIVAMTETGDVKQNLPVIDQSSLWNRLQKAFESGRGSVRVLVVSDH GREMAVDMKVVHGSRL NEUTE1DRAFT_125878 MGASQSKMPFEEKAVNTTDDEYVHVENGLIGEKGTITGITKNRT PEGLSFSTVSKWEGTLLEDPKNRLALSALSAANPRDVLLSRAVKIAEQQVFNIKIPFE GGPITNQRQSGRCWLFASTNVFRVALMQKYNLDQFELSQAYLFFWDKLEKSNWFLEQI ISTADQDLDSRLVQRLLHEPLSDGGQWDMVYNLVDKYGLVPQTLYPDSFNASSSSVIN SIIFTKLREYALVLRKLLSSSSSSSTNPGTATQQTLSNTKAKMMKEIHAILTLTLGPP PSATGEFTWSFVDRSGKARTVRSTPKAFAQDIYSPKFRLTSSTISRMVSLVHDPRHPP LTLMTVDRLGNVVGGRNITYINVDMATLKSACVSMLRAGYPVFFGSDVGKFSDRVAGV MDLDLIDYELGFNVSLLGADKASRLRTGESLMTHAMVLTAVHLDEETNKTARWRVQNS WGTGVGEEGWFVMSDGWMDEFVYQAVVDVDFLSKEVKDVLKQEPVVLPLWDPMGSLA NEUTE1DRAFT_149922 MADQSRYRYPSAAPPVGRRSPPMYNPARLSMPITGAGTYQSLYG GEIPVLPTTHEALPLRSPVSSTTTDYRPTTIPIDSGSYAVRKEPIPRSMSVKDHGTRS HRSATLDAASKRPVINHAPQSPVPSSIRSGSPSRPVYRTSDEFYTQPASSLNRSRSVA RAPFSANMDDAEYQRLRERTDRERTEHERLYSPRAADLYRASRPTVLYSNTPRGAPGY DEEAFVEYTKPGELARYDLDHPHRRRRESMDHYYRPAVSVSSDVGRPYDTIDRRLYDP TPNAFGYDKPGRDAISGLHDHNSVRIPAPSEVLSDTAVRRPPALLDSPLLPGSSATTE RKSRRAASRPRPVSLIQEIPSRSVHPDEYHRVREDGYYKDDDISQRGFGIRPAAKDHE ERRRSPREKRYHDERRDPREPRRRSDEDLELVRHRNHDDRDRRDYHKLPSTREYLPID DREIRRDKHVPISDIPAASAMTVASAINGRDGRGDRDIESPRRRYEEDYERRDPNLHP RTNRHAEHYEQRRLDDLEPRRLAPQEDDYDRRRSDVPETYTRVGRNDDDYDRRRPDEH ESRPRNGHGDKDYHRRRDDEIEAHKRTDYRDDDYDRRRVDDFEHHTRDGHRDDDHERR RTDDPEPFPRDSHRDRDYDHSRVDHRDTRQDDRDEEHDERRREVDDFEPRTRPSREED YQDDRKVDESEQHVKAGRDDFRRSHESFQMVDLPGGFPDEKETGAETDAESRSRHRRD TEAKLNGEPASSPPQEHSREHSRERDDKDDETHRRSRPSSAFDPNDTAGLEELKAELV AQEAKESASEGGRTPKEHSPEREHSPEREHSPEREHSPEREHSPERKLAPVEDNSQPN TEADNTAMAENEVDESRGRELVPHGQKQVRVVSPPREKEEKKPIKGILKQPKAQFPEH PPPAREEVPPHKDDKTKQNVPQGAKWTKINRKLVNPEALTLGKERFEVRDDFVIVLRV LDKADIQKYADITAQLREKRRKEYENEQNAKALVRHERNGSYDRDEEEGKRRRHHRKD REDEYDDGRREYRRHRAMDEQDALYDARQPSRSHRRE NEUTE1DRAFT_52208 LYRPGINYRIKIKKGPNSKEFPLAIGLLYNITYKEFLILKKILK NLLNKGFIRANNSEADTLILIIKKPGGGLRFYYNY NEUTE1DRAFT_55334 PIVQLTINSHINTSIGIFPFFITYGFNIEFPISIIKEGVYLGIP LLFSEKRVY NEUTE1DRAFT_28465 RKKLLVLKKTFKNLLNKGYIRTSNLEVRALVLFIYKLRGGLRFY YNYKVLNTITYIDCYPLLLICKTFRILKGMK NEUTE1DRAFT_51551 EELYIIRKYLDNYLINRFIRPNSSPIVALILLVKKPGGGIYINI NYRGLNNIIVKNKYLILLIRKTINTLKKAK NEUTE1DRAFT_71892 MSSNSAEIGELSPQQQNKEAQSNGSSAVEPSNALSSGLPTRSAV PAPLTANKSPAVDGQQDNQALGSPRHMLTGKQEHYLKRELVSEQVKWEISELNSPTAL RRFGAPFKSDLGEISPLDSELPILRYIFVHHVREFPFLDKAKEKEFWQDKLQVFLESF ASKNISSSEDRLEETKRRKLALKAQKLVELMMVSGVRTSSGFEERIRFSELEIVDANA IDTGVLSSMPEGNYLHGWDVNIAGVRITSIKRNIRYHKHAEFLLRVKRKGEKEYFVGR RYGDFSKLYKNLRLELPGKVLPVLPKKNKTSTTATGLFGGGDDSEVSSISSGSTQMVS KDAQPNGESGSTGGVSRFLSVREHRRTGSSSSRRSSPRRSVDDHRLDSPRKEENVTLF RESQRISLRAFLRTLLQNPQIAHTKAISDFLTNNPTTLKDEDLEDIVRRKKMDEKRVE EQKKFYEIARKRAADLDVYMEKFRQDIVEHNGLTNLFKEIKEKETIQDLSIQYQKFAE WLRIEVAATIYHLFLAEDNSPELFAQAKKIHSLIPYTIMKNVIRIANPAAVMSNVLDI FLAQPFGTRSLMQRIFSLTLNDGIRSVQKSIDALAAKIGDPVFVDKLKQFSDADEPLK LAIREEAVQDDVDIIVAILRSEQIYPALNADQVTRLYNAYVAFNSAVENVDEELKQGA QLFSYLKQLMKLYLRQRDKSMMLNLIEEPVTLQLFRDLFTIFYEPLVRVYKSANVYNS VTDFAVFVDDLIQVVEKCRDQDASADPNQTVQAFIDLCQRHEQHFYKFVHEVHTHDNG LFTQLMGWIEGILEFLRHGPKNGTLDINALFEGAVQSGAIDHDKAIDEINKLIAWQEA RKKWHQDKTRQKMAAEGGSMGIDAVPGGFSASDFGLDQMDLEDMQYEDDLSDSEAEQE VQEEMDPIDAERRRRAKKQDRLRRNAGEPTKPEVSEVHKLKDDFLAKLRQVLAQ NEUTE1DRAFT_71896 MSSLAWRKLAAAPTLARAASRVQNRAFSSTRPAARVIAPGPLRA KEASPHLSSKYHVIDHEYDAIVVGAGGAGLRAAFGLAEAGFNTACISKLFPTRSHTVA AQGGINAALGNMHEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASIVELENYGCPF SRTEDGKIYQRAFGGQSKEFGKGGQAYRCCAAADRTGHALLHTLYGQSLRHNTNYFIE YFAVDLIMQDGECRGVLAYNQEDGTLHRFLANNTVLATGGYGRAYFSCTSAHTCTGDG SAMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGARGEGGYLLNSEGERFMERYAPTA KDLASRDVVSRSMTMEIREGRGVGPEKDHIYLQLSHLPAEILAERLPGISETAGIFAG VDVRKQPIPVLPTVHYNMGGIPTRYTGEVLTVDEQGNDKVVPGLFACGEAASVSVHGA NRLGANSLLDLVVFGRAVSHTIRDKFTPGSKLKPIEADAGSESIEVLDKIRTSDGPKS TAEIRLAMQKTMQRDVSVFRTQESLDEGVEKITQVDQMFDQVGIKDRSMIWNSDLVET LELRNLLTCATQTAVSAANRKESRGAHAREDYPERDDKNWMKHTLSWQKQPHGKIELG YRRVIDTTLDEKECPPVPPFKRVY NEUTE1DRAFT_71898 MSLTVTSETPKMPSAFSQPTSSSSSDEPVTATSSQVNMVIRQDP FYITLDASALLAVSILTVLGMHFVHRALLEATIISVPIMLLIHNDYLNFLKLGPGGTP PTPSGYARLTFYRLFTIRDPLQAPERDPSQLPSAASSGGLPYRPGPRPSVAGLAPQRQ LNQAGPLPIYNALRSSLESLAHRYPNRFVTATSCLEKHGFALFSRHPVNVCGNGEICH IHTHSDRSMHTNLHPDDIKEVLEKGWGERHPMAWSTRGHRRGGVPETFTMVYAPRDEN DLRIVCKIIEAAIWYTACEKVEIMPESVKASN NEUTE1DRAFT_71901 MGLSNLNPLRSRDAKRPKLPMHKQLNPTSSSSPTKERYSDVDGS SDDYSSGDSSDDFSRSRPEPRRSRHASSSASSNSVLMAQRLSPTSQRRSPRRHLYRLP NKVIRVLCIALITTIVVFIFGLVRASQMENRRIAEGKVEKKPPPPPPWENFKFLTRYY GGLKTLVPVEENVPQYPRFEDEAPYNASAPSAQEEGDKSGKRDTAEGSSAATKTIPPS KSFMDHPGSVVPNSRQGFQECFLDEQKLIRVPPIQYYDGRPSGFPDNVVGSYELLSLP EDICFDRFGRYGPYGYGYSVKTGGLGVGEHGDRVGADEVWKSTKQVDWSSNIDWAEAQ RRCFKANEGRYKPVAAHKNPLQGFYIQEQDGKSKLKTRADVQSSEITATAKPAGEKEN GSATPEVPKPKGDVPRSALVIRAWDEIDWNAEKILNLRALVAELSLASGGRYDVHLLV QVRNDGRNPVWADDEAYQKRINDTIPAEFRGMVTLWSETQMLAIYQGVRDLWTKGPEL PVHGSYRGLQMAMQYFAYKHPEYEHFWQWEMDIRYTGHYYDLLSKLEAWAKEQPRKGL WERNARFYMPYVHGTWDDFKQMARVQTEHGIVGADNIWDNAIKKGGNKDKQESPNQVK TKGEKSVWGPERPKDEKDWFEHDRDPVPPTSYERDKYAWGVGEEADLITLNPIFNPEG TTWELADDITGYNETSNIGKPPRRAQIITASRMSRRLLLTMHRETAFKKHHAFPEMWP ATVALHHGYKAVFAPHPLFVDREWPTEYMAHILNGGRNGASGGSRTSVFGNREHNMRG LTWFYNAGFSANLYKRWLGLKINNDGGEQFELRPDNSADDQTVSTMRGGEGRMCLPPM LLHPVKDVVLPVEQEAEEAKVTIPESDPSA NEUTE1DRAFT_90597 MQFLTAAKLLLSASLFGAAAAHNIVLPAHGRECFHENLHRDDKM TVTFQVGDREFGSAGNLDIDFWITNPLNQYETFEKSISNGDFSFEAKHDGKYVYCFGN EHWGANTKEVSFNVHGIVYISEADAPQDPLEVEVRKLSEMLEMVKDEQSYIVVRERTH RNTAESTNARVKWWNLFIVGVVVGESLFQVWWLKRFFEVKRVI NEUTE1DRAFT_118751 MGNTLSIFGKLFDGLFGKKEMRILMVGLDAAGKTTILYKLKLGE VVTTIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDR VVEAREELQRMLNEDELRDALLLVFANKQDLPNAMNAAEITDKLGLSSLRQRSWYIQA TCATTGDGLFEGLDWLSTELKKKSP NEUTE1DRAFT_71911 MAPANIQVPSGHMATTTLKVEGMTCGACTSAVEAGFKGVNGVGS VSVSLVMERAVVMHDPDQITADKIKEIIEDRGFDAEVLATDLPTPMIARHPEQDLEAS DDSPLMITTVAIEGMTCGACTSAVENAFKDVSGVRHFSISLLSERAVIEHDPTLLSAD SICEAIEDRGFGATVVESVHKQPERESVPGAATSSQPSNATTTVAIEGMTCGACTSAV EQGFKDVNGVLKFNISLLAERAVILHDPTLLPADKIVEIIEDRGFGAKILTSTFDQPS HSSGTSTAQFKIYGNLDAAAANKLEDAVLALPGVASAKLAIATSRLTVTHLPNVTGLR AIVETVEGAGFNALVADNDDNNAQLESLAKTREINEWKQAFRISAAFAIPVFFISMIF PMFLKFLDFGKVRLIPGLYLGDVVCLVLTIPVQFGIGKRFYVSAWKSIKHKSPTMDVL VVLGTSCAFFFSIVAMAVSILFPPHTRPSTIFDTSTMLITFITFGRFLENRAKGQTSK ALSRLMSLAPSMATIYADPIAAQKAAEGWDRNADSQEPREGNAADEKVIPTELIQVGD IVLVRPGDKIPADGVIVMGETYVDESMVTGEAMPVQKKKGSLLIGGTVNGAGRVDFRV TRAGRDTQLSQIVKLVQDAQTTRAPIQRLADTLAGYFVPMILFLGMMTFFTWMILSHV LSTPPKIFLEDASGGKIMVCIKLCISVIVFACPCALGLATPTAVMVGTGVGAENGILV KGGAALETITKITQVVLDKTGTITYGKMSVAKTNIVPVWLDNDWRRRLWWTLVGLAEM GSEHPVGKAVLNAAKTELGLEAEETIDGTIGNFTVAVGQGITAEVEPATSLERTRYRV HVGNIRFLRDNDIEIPESAINAAEEINEAAASSRYKSTPSNTPAGTTNIFIGIDGKYA GHLCLSDTIKDGAAAAIAVLHRMGVKTAIVTGDQRSTAVAVASAVGIDPEDVYASASP DQKQAIIQQLQSRGAVVAMVGDGINDSPALATADVGIAMSSGTDVAMEAADVVLMRPN DLMDIPAALHLARTIFRRIKMNLAWACMYNLIGLPFAMGIFLPFGYHLHPMGAGAAMA ASSVSVVVSSLFLKFWARPKWMDKTLYEFEMDTIGGGPIKGRMRKRGAGWGLFGGVVS KVRDILGVGAARRNKDDGYVPLDNLDAAEHAV NEUTE1DRAFT_52427 MEQAKALNALEPFIVLSKSATSPRAAADLVTRATSAPNTFIFTE LLQTPQIQSLEYSHEFSSYLTLLQIFSHGTYADYTANASALPALNDDQKLKLRQLSLL TLVANDGSNGPLDYDAMQREKNQTQPPNQSYASLTRRLELSSARDLEELVISAIYAGL IEGQLDPANEMVQINSVAALRDVPARGVSGLLSSLQGWAGRCQATLQELEATMANLRD EADRRATEEQEWNNKMSQLLEDEQKGAVPSSSSSSLPFSWFNNTRGGGGGDGPGAGAG GSFRGSGYSRGGGLSQGYRSSQRGNHQQHQNQSRQQQNHHHHHQSNQSGTNSLLTSGG GSYLSFREGPSAVSPSPAAGLMGSSASFAALGGMETGSGSGSGPLGKRGSSDMDDSEE DIDDDTMDLDDEGDETKRGSKRKLTA NEUTE1DRAFT_125888 MTEPIAHTPSPQPDLNRNRLPTLFEVLSRRTLPPVDLFSFYIYM RDQQRSVDYLDFWLDVAQHMSLCRHYVRELRRSVLVGTPEPDRSSKRSSAILESMGDL NPVAGPSMWASEKEKDQDAQMSAFLREDRSITQESPQSSTDRRGRPSNLSNQRELTTD SNSPAHAVARDDIRASAEKILYTFLLPGAEREITLPGSITSDVTTAIEDYGRDDPEVF DVAKDYVFQAMERDAFPGFLRMKALGNLIPPTLMLRLMIGLVAMFGGFWTAFTLIFLN ESRQTRCWYSLDPILALVGLSEYTPFNFSRVREPYVRRLLAQRSMVVLAVTAVVDAAL CVLFILVPGKRL NEUTE1DRAFT_118756 MSSKKPTGKTQRSAIADVVAREYTIHMHKRMHGVTFKKRAPRAI KEIKEFAYKAMGTTDVRIDPQLNKKVWEQGVKGVAYRLRVRISRRRNDEEGAKEKLYS YVQAVNVKNPKGLHTVVVEE NEUTE1DRAFT_118757 MGDIQNSAPTFGARKNIDPSQNAVKRLQTELMQLMTSPAPGVSA FPSADGNLLSWRATIEGPEDTPYAGLTF NEUTE1DRAFT_114358 MSQLDPLPSDLPFRIISKTIGRGAYASIKKAIPLDAPSPVFAVK LIHKEYAVRHGRISAKQIAMEVSLHSHIGQHPNVIEWFATGEDRIWRWIAMEYAEGGD LFDKIEADVGLEEDIAHLYFQQLISGVSFMHSKGVAHRDLKPENILLSESGILKIADF GMATMFEYKGARKQSSTMCGSPPYIAPEVLHCARQRERRAPSSEQTKYSADLVDIWSC GVILFVLLVGNTPWDEPTHGNWEFKEYVRTSGRSTDPLWQRVPSDALSLLRGMMNIEA NKRFSFTQIRQHPWYTRHNPLLNAEGMVIDPIALAAQMLARLRIDLRAAVFQPPPASQ PDSAIESDWSSKVLSATQPETPINDALFDWERPAPRTLGSCAISSTQPVARNDAAAVN LTATTPRTTMTTMMTPNSIPSSTASKNKIPYSSALEALADEPTMSQFSAVPGVPLSLT QHARRFRDIVPSYSLTRFFSHVPPALLVQMLRDALHQLNVPLPSTSPNTSFEGDVDHI VTLKIKTVDGRRQSLHGDILVDRYHLVYGNGTSSMQYGGMNVDEEEELPELLEVRFVK IKGDPLEWRRFFKKVAVLCRDGIWNNND NEUTE1DRAFT_125892 MAFPVYGGHEHPSIRPYSVPPFPVKRRTADFDDENHDEDGSRYK RYRSAQKVNRGSAGYNDGSWSQHHHYHQQPVKGGSEEDTWFMAARGTHDQHYRHQVHF HAASYHQQQHNHHHHHHHHHRHAHHQQTQQQSQSPEPSSSAMERTASGHSIRAEPTPA GPGGGTELLEDDIAAERVRAHMANFRRKFPDSKHERILRSIINPRINAEDALDNDSLE SIFSAANEIFFNGRLSQRVRWDWSDESSTRYDSRVIGTTALRRAHPTTRGFETLIVLS APILKHSNYSRRLLISTFLHELIHSYLFICRGFRARECGGHTKGFLTIAKLVDDWAGP ESALYLSEAEADLERFRVGGPNDNNRSHGSERFERREHSFYPCSGIMEQRRDHATAIE VRSYNTTPNSGSSNAFHENYYQTNTRSGSFINNNTSASTSSWWIQPVRSFCTADERSP FIRFN NEUTE1DRAFT_149936 MGNGRDQMRAATATPQAPSLESFPNEIKLHILSFLEAPHITNLQ LVSRAFRDLGRDNSFWRSRCLQESSFLESLERRRAFGRLATQGLSGTFQIQPDESAMG TIWQGAYPSPDSSSTNTPGNGSWATPPTPTILKSFRASSKKEQMRIMANWDPCFPTER VSWYEEYIQRHAPVVINWLPLPHVNGPKSEYVEARGVALYRPDNPRPEHGVEAASDET LLAVSPLDDGSVCIWDVNGARGRKGAMLAKSAPGLLLINGPGTDNSKRSQRVDSGVTE CVSVDSSLHRAFFAVQNHIIEVDLQRLSVVDCESFPWSITALSAASPTVPLTVGTNLE LHLHDYRSRRRFRSAPEDDQVDFNFTGAQAMYERGIRNIFSDEPVGSWTSLAQPGPLS ILHLQRPGQQAELSDDIYVAGRFSHILHYDRRNLSRIRGSINSKARLCSMASLPSPFS KRDSELRRKGELTLQQVEGSKSLNGGRTLIAGGDYNMKGSLEIYGLAPPDSGKTLMGD LDDFAYQNRQSASASKVLSVANHGTRIVFSDGSGYIKWFERDGFTEVRRCRIGHSEEA QGPSIFASMPGSSDIARKLLPTRAGEAKQKINDNGLLFWTGERLGLLNFSARPGFTAE EFEEKVEAVDDETRAKEREERDYTETMRRALQNHTDGIMFTRHFGLGNGSLN NEUTE1DRAFT_55885 MTTSTSFGAFTSHLIGNHHINEPTINHMPASVKSAGSTVSSLPR ERSITPRHVFTSLDEAHQAITTRAAKQAAHITDNGAHSALIPGESSAGSSVNAVYSKS TTDADEHSATESHRCPTHDGFSRGRRISPTHLRRRSSSVPDFSTRTLPYPDWEEMTPA ARASYHVAKDPVDNHTPDNSINTPRETGSTVENIVDQYLPSATIDSSPSADGQHSQST NDASVHHSLDNGETVEPPQTRENITSVPFGCTSKLYRIHGSPPRIPQPPIHAVNPSVI RANLPEDHTSTPECHNNIFREHSWSTMSTASSVDIEDLLDNCDENNVGTFATSRKGNH YVEASRHESNNNQAARETTTGSGALSNAAFSTGGLTTDSDDDPFKYDRGSYTVFLQPS REREVSAALHRVSGVSTTCTNKTDHTGHVSATRGPVGSAAPPMPPSNGLTYYNSAQRD NNIPDKRIMKKLDLRSYPAQHLAWDEFDEEFDENLDAVKTDGGDWETVGSNLDAVKSD GGEWETVGSSVGQFNSHRAYASGTGFGTHFGLRTTGSSIADYSDASSLAETFGAFAST EKIMQHPMNGKYDNYVIRTLKGDNRPVFLPQPRIHRVNGYPQDSCRLFDQATTSSSQG SSTRAYLAEKLTAPFRSLTSNKNIDGRHPYRSNQSGPDSDKQRREHGLKLAELPPQTP RAHDSLQTDKSFGPESPQYSDTKGQSCILHFVEGIQQTDEESGALHSTYPAEKAIAHS LSRACLQPPPLNPKPSYQQGAEGNSFVNLEQR NEUTE1DRAFT_118758 MSFTLIFLLSLALRRQKYQSTDTMKSNKCCESEHEGVASAEVAS TERGFVYILPS NEUTE1DRAFT_71930 MSFISISPPPTPSGPVPAGLIDPGILTPSSLVAILSALLNPQTG SLTHVVQSLSVLLSASATGASLSLSFFLVPRLLESPTPLMLTQWRRTYEQTFSTLNRA GAAAALGYLLLAWRFGVRYSSEIVSGGRGMQALKLVKRGRMYAVAGALLVLGMAPYGW VVLGGVERRLVARAEAVERAMRQKGVDVEVGELGGGGSSGGLKRRQSVRGKGMERKKG REGSDKDGKGKGKEKEKERERNVEEEDSSREGDDEVGLGEKVDMKAVEVGAKYWVDQW GVLNLGRAAMIAASAVMGLSASLWN NEUTE1DRAFT_125896 MPSGNGYSYKGHGTNSQGNHYCARDYGNGSNAYHYSNTNGSYYC SIPNGSTYYNSGSGSSTYTSPSGNSYSSSGSGSGSGSSGNSK NEUTE1DRAFT_90624 MHSKVVIIGSGPAAHTAAIYLARAELKPVLYEGFMANGIAAGGQ LTTTTEIENFPGFPDGIMGQELMDKMKAQSERFGTQIISETVAKVDLSARPFKYATEW SPEEYHTADSIILATGASARRLHLPGEEKYWQNGISACAVCDGAVPIFRNKHLVVIGG GDSAAEEAMYLTKYGSHVTVLVRKDKLRASSIMAHRLLNHEKVTVRFNTVGVEVKGDD KGLMSHLVVKDVTTGKEETLEANGLFYAIGHDPATALVKGQLETDADGYVVTKPGTTL TSVEGVFAAGDVQDKRYRQAITSAGTGCMAALDAEKFLSEHEETPAEHRDTSAVQGNL NEUTE1DRAFT_90626 MRGIQVAQYVKSPQELRVTELPDPTPASDEYLIEVHAAATNFFD ILQIQGKYQNQPPFPWVSGAEFAGVVLATPSGLKDPKFPVGSRVFGASQGAYATKVKA KETALLPAPEGWSLVDAAGLFVTAPTSYGALVVRAGVKKGDFVLVHAAAGGVGLAAVQ VAKAFGATVIATAGTKHKLEVAKSFGADHVVDYTDPKWPEMVKKLTPKGKGVDIVYDP VGLVDLSTKCTAWNGRILIVGFAAGKIEKVAMNKVLLKNISLVGVHWGQYAVHEKETV VTVWQGIMKLIAEGKFKPTVFSDKEFVGLEKIPDALIALGGRETWGKVVVQVPQQEGK SRL NEUTE1DRAFT_125898 MATTTQTTTNSLPSGAGGPQQLVTQMLNHTAPHYTFSFTPFLQR TYQHSLPADRPICKAYASGNCPLKSHCPERHVTTSSQNTHGGGNTFSGGFGSLVCKHW LRGLCKKGESCEFLHEYNLRKMPECNFFVRNGYCSNGDECLYLHIDPLSRLPPCPHYE RGFCPLGPRCDKKHFRRKLCLYYLAGFCPDGKGCKEGAHPRWTADKDMEKPRAKGEGD QMLLQQQQQQQQQQHMGDANGMGGGMAQATGANEYMDRERERDRDNREREMMMQGRDR DGGGHDRHKDRFGGGGGGGGGGRGRGGWRGRGRGGFRGKGH NEUTE1DRAFT_71941 MSADDQAFLDVLSSLPGDIKRYSEDVANIVNENVDKIAETVRHT LATSQWVPEQIRPRLPPPAPSAELPISLYNRVESWVLRNKVLTTAIVLATGSILYRSY TVSAHARKTRRARRARSGGRMDVVVIAGSANLPLTRSVALDLERKGFIVFIVSSGPED DIAIQNLARPDIRPLGVDTTDPLSASASIERFATYLQSPHAAVPNSKRHRLHLKAVIL IPSLNYQTSPIATIPPSGFANLFNTHLLHPIITLQAFLPLLTARLGPTPSAESSSSGT DKKKDSPKIIVCTPSIISSINPPFHAPEATVCSALSAFTEVLTAELRPLAIPVTHIQL GTFDFAGFTPASAAREHWQERNSQGVPLRALEANPQDAESLLPWPDSARHAYGKNFVT QSSSAISTGRIRGMRGSSLRELHNAVFDVIDGSITKGTVRVGLGASVYGFVGRWVPRG LVAWMMGIRTVDELAAWQSSHSSFNSPRSGGSVRSMGSENGDEEEVGTGARTSNESFV AVPME NEUTE1DRAFT_149942 MATQQNKDNWSSEAYQSAASFVPKLATKIVQWLDPQKDDVILDI GCGDGVLDFEIAQVFEGGRGRLHGADSSRAMIQAAQKKTSDNAHLKSTCTFEVLDATE LITKTHLHYVRFSKAFSNAALHWILRPEEKREVFFQGVRDVLAPGGVFAFEMGGLGNV SEIRATLLAAVGRRVGLKRAQEVDPWFFPDEEWVRQMMEEKVGGWKVEKVEREWRPTN SDVGGVEGWVRLMAAQFLQALGSEEEREEVVKEVVDVLEVVCAKPGGGYMYSYVRLRV LARKL NEUTE1DRAFT_71946 MSFLSDYLRHKRDCNLKRAERKERISSLGPEYHAALSGKDLDTI NKPIAELVSGVQSNAISPISVLQTYGKVAVRAHLKTNCLTEVLISAAEDSLKNSKGVN LQGPLAGIPVSLKDTIIVGGFDTTVGYSSFVGNKAENPQDGPMVRLLKDAGAVPYVKT NLPISLLSYESTNDVWGRCTNPYNKAYSPGGSTGGESALLACGGRIGIGSDVAGSVRV PAHFSGCYSLRCATGRWPKAGILTSMPGQEGVPSVYSPMARTLDDLSYFTKAVIGMKP WKYDHSVHPLAWRDEVVEEFADQERRLRVGVLRTDKVVDPSPACKRALETVEEALRRE GHEIVEMEDAPDMFEALRMGALLLNADGGWWFNSYRRFGEWLDSGASLLSFGKWPSIV RYLSYLWIKYIRRDNLWAELVKQTRPISAAENWGEVKKREAYRAEWFEYWNRKQFDVL ISPPNALPAIPHDASKDTFSNCGYCFLFNLLDYTAGIIPVTHVDSALDKLPSTFDHKR LNGIATRAYRHYDAERMHGLPVGVQIIGRRLEEEKVLAVMKRVENALGKDKYKLFDPE LLNNVVD NEUTE1DRAFT_71951 MKATPLIINWHHENNPYPIYSAHFEPGGKGRLATAAGDNNLWRI EEDGENRRVEYLATLSKHTQAVNVVRWAPKGELLASAGDDGNVILWVPSDTHHATFGN EGLEDKETWRTKHMCRSLGTEIYDLAWSPDAAFFIIGSMDNVARIYNASTGTLVRQIA EHSHYVQGVTWDPLNEYIATQSSDRSVHIYSLRTKDGQYTLANNHDDKPPKIASHAKT DLPPRRISSHSPAPPEFGNRQAFTSLDPSAVAGSPNPSIPGTPTSMALPMNPPSVISH SRRSSFSSRRSVSPAPSMPLPAVMPIEASPKPHSSSYGLGMKNASLYANETLTSFFRR LTFTPDGSLLLTPAGQYQTQHQVDGGKPSYEVINTVYIYTRGGINKPPIAHLPGHKKP SVAVRCSPIIYTLRQSPPVTKHITIDTSSAEEPIPSLPEPLAKPSTAPSVMEPPPPPV PTESSVSGSTEASVQSPGPKSAFALPYRMVYAVATQDSVLLYDTQQHTPICVVSNLHC ATFTDLTWSTDGLTLLISSSDGFCSTLSFLPGELAASFVNPPPPSPARSNSTSSAITQ ASTSQGGVMSNPPLISGQVPSITATNSGKVTGLPVVTPPETPQSSSGGAGSTSLKRES SDSREANNAENSQQPKRRRIAPTLVSGGASSTTNGSSNSDVAKA NEUTE1DRAFT_114369 MTNNLNSSDPFGIGRGVHTKTNQIMHGSGRSTKPIPTALVNPHA LALSCTDFGTSFELGQAAWIMIFGKSIRSVEPKQAGKFDYRSTLGKPSSKTACKIGFE VRRPSWKMASATKHMNVKAKSMRLGKLGMCLYTSSNNVDSSCESIKTPQRYVRELPKD SGANLAQPSDSEPLSSSTREYSPSGCSYLNSPQPGEWGTDAIVPVSQVGCRADFVDLR ASFREALLSIVCRQMKLDSITYPD NEUTE1DRAFT_90646 MSRNESRFAAVVTGLALVGLGSLPAVSGFVQRFRKREARQEVLY EDADGKATVESQRAYSAKIPKTVILLSAAAGLALSIAIALLSTSKKASSVNDWLSIGA WAITIASCRKSVQAFDLGIYAFLSSAVLSAILFIQGADASESLWDHNRTLFVLRAVEL ALAGTLAVSSLSIPRRPDVYIDGEPVDRMYTVSAISRFTYAWPSKLLDLAVKKKDLDL TDLNRPDHFCRAADTSADWKRRNYRHNLWLSVILAHKKAFSLQWALTLCTAFLNFSPQ WVILQLLRILENPQVGSSYGFGVWYWVFFLGVAMIAQSWVEAYVFWLSWSELAIPIRA QLSALIFEKSMRKKDVKGAGKAKEKEKTTESGEPPVVGPTGEGTPADAPPAEPEAEAE DDSDELKKGKQNTVNLIGVDAKRVSDFSTYQNMFPGSLFKLIVSLTFLTHLLGWKALL AGFSAMLAIMPVNVHFSKKYAAAQDKLMKVRDEKMAVVTEALQGIRQIKFSALEPEWE GKIGSVRDRELGAVWSVFMADTALLACWVTSPILLSAISLAVYAALNGVLTPSVAFVS LGVFRGLEVTLSVIPELTTDLLDAWISIKRMQEYLDSSEVTVVSEDAEEVSFQNASIA WPSDEDIKEEDRFVLRNISVTFPKGELSVISGKTGTGKSLMLSAILGEVDVLEGKLFI PKAPSLADRHDDKANKDNWIIPSAIAYVAQIPWIENATIKENILFGLPFDEERYKKTI EVCALKKDLQMLSDGENTEIGQNGINLSGGQKWRITLARAIYSRAGILVLDDIFSAVD AHVGRHIFDKCLNGVLATGRTRILVTHHVALCEPKTKYLVELGDGRVLEAGSVDKLRE AGTLDQIKRLEESPEEIEADEQADTAVNSDDSVDGDGQQAEADANALKKVNSKTAARK FVEDEGREKGAVKKQIYYQYLLASGGWSYWTIALMIFVVVQAFSIGRSWWLKIWTADS DEQAFDSQHFPSGTIEQGFAYGTGIQQTSFHTMAAPSITSHNSLTYYLSIYVLLALIS SIVGTLKFLYVYIGSIRASRGLFQKLNFTILRAPIRWLDTVPVGRILNRFTSDFNIID SQMANSVSFGMNSFLNLLGVIVAGLFVSPYIVLLAGILLFICLYYAIRYLDGARPVKR LESTTKSPVFEQFGSALSGVATIRGFDKSEGYIKRMYRKVDEYSMATWHLWLFNRWMG WRMSLVGSFFASFVAILILVTPGIDSALAGFALAFALEFSNCVMWTIRLYANVELNMN AAERIVEYASLPTESLEGISPPAAWPTDGRIEVKDLVVSYAPDLPPVLKGVSFSINRN ERIGVVGRTGAGKSSLTLALFRFIEASSGSIHIDGIDISTIKLHDLRSRLAIIPQDPV LFSGTVRSNLDPFNHQTDAELRESLERVHLVGDSNSNSGSATPAPEASSSSATGGIQN KNTNIFQNLDSQISEGGLNLSQGQRQLLCLARAIVSRPRVMVLDEATSAVDMHTDALI QRSIREEFTDATLLVIAHRLSTIADFDRILVLSDGKVAEFGTPRELWEKDGGSGVFRG MCEESGEKEKLKDIVFGK NEUTE1DRAFT_149946 MAPPAPPILNGHSETVIAQTCQTYNLTPSEFAQLRDRAVAAKAT SYSPYSKFRVGAVLLSTGKVNNAAVTGERSSSSSEGTYTPGANVENASYPVTTCAERV AFGRAITEGHRGFKAVAVATDISPAASPCGMCRQFIREFCELSMPILMFDKDENFSVL RLEDLLPLSFGPDQMGIEGAA NEUTE1DRAFT_71960 MATRDAPGSSRDAVINISSDSESDSPYARSRHPSVSAQRPICQW RNSTFHDQECSHYFDCPTHVVERVISDGESQGDEEGRARPDQPDESDGEQPQLRDVSP LSQSEDEGGVVMSSPNASRGVSPLPSSPARGGYEITTAAGTNHPPVPGEQQGDGTSPV NTENLPTTPLTGSAENLIALNDSPVQTRGQPQLGYFAQHATSTGEYGSGTVTTPSPGP SRGGVPSRLREVFESPPTPTTDLSPRLVRGLSQQPPPAQRRVLEEIVLPRWQPDAEVT YCPICHTQFSIFVRKHHCRKCGRVVCNSCSPHRITIPYQYIVQPAGTPRLAPRYAGSF LGGDPRSPNFSVLGGGERVRLCNPCVPDPNTAPPQTQAQGSNHSRSHGNVARGSEGGG GEATSPDYSNRWSSYFGPSPSSDGQFRNRSVTLVPVSCLVPRRYTTSPAPLPILTRIV GSHLNNGTGLYLILVSGLDLQLDRLHRPVPISTAVYLQLHDHTAATINIIIIITNNNT SFPRKMSVRSAIGSFHHAIFPTLKLCANPT NEUTE1DRAFT_105396 MTPPLVALITAGSAGLGAATARLFARNGIRLVISYDSNGQRAYE LVEELTNISPLTAASTDRQDNYVALKADLAEKDQISQLVDNTIFIMGKLDIVFSNGAW IRLRDINDLDDNVNEEDWDTCFNMNVKSHLWLMHAAKPYLDQTEGVFITTACLAGVIV SGSSLAYSVTKAAQIHLAKGLAQIAAPKIRVNTVSPGLMLTDVAEQVLCFVKSKSVTG VNAIIDGGMVL NEUTE1DRAFT_118764 MPTKRPRSPRRLPRRKPRRQRPPVRLRRRTWLTRRIRRRRRAPR PSRPSPLRSNRRSPEEDPVDHQRLPRTPRARRKPRLLPKPPRRRKR NEUTE1DRAFT_149949 MDALRSALQPVTHNLPGPIRDIGLSLIGDSCYKKLILDIDLEHN PECLKLAISKALGIGIVAASSIVKVPQILKLVNSKSASGVSFLSYLLETSSLLTSLAY NVRNGFPFSTFGETALILGQNVIISVLVLKYSGRAGIAALFVAALAVGVATFFSEQLL NMQQLSYLQAGAGVLGVASKVPQILAILQEGGTGQLSAFAVFNYLAGSLSRIFTTLQE VDDKLILYGFIAGFALNLVLALQMVYYWNAPSAKARGKQKVPAGPIPAATSSATATGA STSSEPRAKSKGPTTRRRG NEUTE1DRAFT_55837 MPAALNSQPASPELTPVNGFTNGNGHTNGNGYTNGNGYANGNGH TNGNGYANGNGIAPGQDKEKQLNILYIMADQLAAPVMKIYNPESIVQTPNIDALAAKS VQFDSAYCPSPLCGPSRMSMITGQLPMKIGAYDNAAQILSDIPTYAHYLRRDGYQTVL AGKMHFVMDQKHGYEKRLTTDIYPGDFGWAANWDADIERPEQRLEWYHNASSIEQAGA CVRSNQLDYDEEVMYKSTQFLHDYARSGESRRPFCLTVSLTHPHDPYTIEDEYWDKYK EVEIDLPKVPRIPNEEQDPHSKRLLKVCDLWDKNFTDDQIKRARRAYYGAVSYVDDCV GKLLKVLEKCRLADDTIIVFSGDHGDMLGERGLWYKMSYFESSVRVPLLISYPKKYTP HRVTQNVSTLDILPTMVDLIGTKLVPGLPMDGKSLLPFLEGRDAEGHDTVIAEYTGEG TVSPIMMIKRGNWKFIICPADGVQLFDLGRDPLELEDLAKVLAGPKAEMLDPEYRETA EIILRGFEAEARVRWDFESITEQVLLSQRKRRFVWSAFTAKDSQSEKWDFNPIEDGRE KYIRSHMPLDDLERMARFPPVDANGNELPKGAPIKVHQAGSHEE NEUTE1DRAFT_149950 MADGKDSLRLAPDEFKQLEVLRNRFHQLTHSLNSLFNDIQRSQP LPSQESLTASAAILQKSLETIQKLADENSDLFQRIVVHPSTNFPGRTQEHILLQLLRK KLEPEVESWVEDARSVARASGLDPSKLASAQQRSNDYDDDDDDYRDEEEDAPSDPFNE QWADMFQVSMDSIRDYLMNQANEPYTIAEREMGIENVRTGLKRSLEEEDSEEEDEDED EDEDRPLAAAGLGNVNAANLFHPEHVLWLASRGNMKVPKNVDLEAIRKREKPRGRPAP AQ NEUTE1DRAFT_55178 AIYKYLNNYFTTGFIYLNSSPVAVLVLLIKKPGGGIYIYINYRG LNNTTIKNKYPISLIRKTIDAL NEUTE1DRAFT_118765 MNVGDSFLASATAQMYHRFSSRPEGYHVRVDTEVSSPRKERGPL LIFKIGPIAHITPH NEUTE1DRAFT_133072 MLRHQLNPVSWADTVLRALIPSFIADAIFSDHRKATRLHPTSYL DGLRGIASVIVFFCHYTEDNYNSLTRSYGVADNDRPETATTGWIQLPFLRIIFSGRPM VHIFFVISGFVLSYKPIKAIHARDFDKCYVTLSSSTFRRAFRLFGPCVASTFMIMCLQ QMDLYPGRNPRASWTEQLMVWKRVVFTQITWPWAWDKDMMPGYDIHLWTIPIEFAHSM LLFMVILMLARTKLRIRQMMVLGLMGYCLSCGKWAGFEFLAGLFLAEVHVLKSAREQQ NKEWESVAQEEDDDDLDKYGHREVRQGGGLVSLSTLKTISCISLVILGLFVGGWPNHY ADKTPGIRWFLAKTPMPFAKMDHLAPQKFWFGLCAIGTVWAVGELPLLKRFFEGSLAQ YCGRISYAIYICHGPVLGLFQAYVFGSPFVPAQGEPGTDDYKVAVEAHGIKGMMGMEN TTQKTMGWFLGLCVLGPVVVWAADIFMRAIDEPIVRIARKMENVCLDREAQPDQRPGG RRLEPGYSQAA NEUTE1DRAFT_90664 MDASCQCGAVTFKTPLRHPLALYICHCAECRRQTSSAFGVSAIF PRFPLPSEALLSCYKRPNPNGEFLECYFCKQCGTRLIHSTAGKNFVSVKGGCLEGLDW SKAIHIWTKSAMVPIPEDATRSEEECSVFEAFCSSQESLDQPHTVRGATGERVSSKHN W NEUTE1DRAFT_125912 MELLGHYLVFGTGSPSTVTITALPQTVTQTVVQTITQMQVIAST VTQIQSVPAASSSSQVPGVVAGAPANDGLPRASGGVGVTTVSIPASLRTSTLEVPPAA TAPSTSISVPSADTTSTTSAAVTTSSTSSSSALGLSTTSTTVEAITTSTTDLATSTST SAPAVAITSTVSVKAPSSTSTSTSTSTSTSSTTVAVGAGAEPTSVLVLPVVSAAKPTT TAVMPTPGVANGGIQLSLDVSGLSLTNVLNLGNLVPPTAAARP NEUTE1DRAFT_90667 MLYDLNIAWSPTTSASELERTLKFAKNLGYDVVALNQTITGNIP TQPHPISNPIPQLTHPHPSFPAVPPSSSSPLPTVLRRVTLTVTDPSTTNYRLVDFARS YDLLALRPTNDKAFSWACLSTTEPPAIISLDLTQFLGFHIHHRTAMAAVHRGTRFEIC YSQAFLSGNTVDAQRARSNFIGNVLGLLRATKGRGIIVSSEAKSALGLRGPADVVNLL AVWGLGPEKGTEALGTGPRAVVVNEGVKRRGFRGVVDIVQTAPGGKVRSEEEEGGAEG DAKLSKKQRKLQQKQQQGQKQKQQQQGQKQGGQQGQGQKRKNGESGGGQQKKQAK NEUTE1DRAFT_71980 MCLIFTCGEHTFRKEVEGYEGLVCRCYNCGNYSGSVIKSHPWFT FCFIPVIPLSIKGYEDISCRICNFQQPLEHRQDVQAMRRGADGNPGVPLQHQPPGAPA QGLPQGWGQKAPEPNQPMQYR NEUTE1DRAFT_133076 MASAKRGPSVLVTDARQRPSPPSAPRRMGPTGGPGRLLNGRSFT VDNVLQYSSDIPSGLPRLPPGQRPTRAAIRRSSHGTGLPNASARTGQQIVPSRTTKVS EKLVLLPETPDNEDALGDEELERRASILRASEDDNRPLKDEELDVLRKRGGLRGKSYA ERLPKVQRGEKVSRLTAYCTAQAYKMQATAEFLKKKHEAKTKLYDDCLYTVYHLPLLP GVDGYRLRSRPILKTPGTGKTVLDLEIERSERRDEHEGYWDEYPFNMHGSADSPSNGT TLLQHAHSGDDETGAHSSDHDQKTLDHPPISPINRLAPDAKRFGEMFVFSYGVVVFWN FTEHQEKDILADLTFAENETGVSLATRPLDQNDFETEEFHFEYSADVDRPRVFNDMIT LLPRSDHMVKLTISHAIAQSTKLCFFEERMSETMEGAQHVPKRLALTGKLDMDRTEVL KILGQLFKSRVDINLSSNILEKPNFFWDSEPTLGPLYEAIRDYLEIETRTRVLNERCQ VFLDLASILSDHVADAKMSYITWIIIILIVISIMVTVTEVLLRFAILSNEKAKDGANS PANVIGGGNLLTAHSRIDLRSLESKLANANLTLDDLRLWSAAMNEQEKKSICGAEIVG TTFKGL NEUTE1DRAFT_142310 MSVEVDRLPCEGSAEEAVVEFTHQSEKLSFQPPDSPDHKGVAVE AIFVAPQDAVIVTSDGNRLPAVPVTEAYKLNVLRDEAERHDGVKVHVREASGSRDRVK ETEDSEGDRVLSFPSEEEEKKRNASESTDGNLKRSMPPSYTHPLFPPLPLYGPPSRWR DIQCLLFRFSSFFLSLAFLGVIVLGSLFTSIPPAFQHIWKRLRSKDPDADRPFYQEER RRKQLREKQLRLWRRRKSARHLDHEDSEDNDESDSDVKAVGPDPIVCDIGFYARRVGL DVETHLVETEDGFVIELWHVYDPEEYTPLPEEERRHKGPDLFTGKFRREPGQTENNKK PKFPVLLMHGLLQSSGAYCVNDEDSLAFFLCKSGYDVWLGNNRCGFKPRHVLLEYSDP RMWCWNIRQMGVFDLAALTSRVLYETGFEKIGLIAHSQGTTQTFVALAKEQRPDLGEK LTVFCALAPAAYAGPLIGKMYFKFMRVITPAMFRMLFGIHAFIPFMMTMHSLLHPRVY GWLGYKVFSFLFNWTDRRWDRDLKNRMFQFAPVYVSAESMRWWLGRECFAKHKCILAT KEEWRAEEKEDRELDKLDKQERSRNEKKADKILKAAERDMEGNHKSEKSKHAARRMRP KGSRAWYNHQAPPFALWVCGNDALVDGTKLLRRFDGGREPHVKVIHKKVIEEYEHLDV IWAMDAVDQVFMEIREVLWKTCNARDLCRVPEGCEDVPAWSPDGHEDTQKEVDDGMES DSSSSDEDESS NEUTE1DRAFT_71990 MTAPNSAPASSRKRVRRRRQDKPALSAKLVLDAHVKGDVGVIAT DLFADLFPHLWANGDSQDTVHVAIAPWAPSQTPEANNWSIVPVIRSSTVAPSTVQFSP SSLALQSFATHLQQAAPSKLSGHNKGGIEILILDVSPIELDTVFVSLDGELAKRLEDG EGTFFREHPVNGKGKARADATPEEHLTAALRTALGTLKIVHSGDLFPLPLPPHPVTHQ PPNPGRITLCEPVAQGILAPTTKIIVSRVRTSKTKRSSASGQQQGRKLNGVAEDDEDA YFSAAEEDRDSKTDAQTAETDADDTEFEPQAGEDEDNLSDDSLDEIISLQVPTLPTTV TGQSTIGTGTPTMLRGRKTNGPGSVISSYTATTARPDRPRGRLFKAQGLMKPIPPDLL HPKPTSEDDEEACIYVDMRDLTRVGCFSGDWVRVEAASEPPSNGLGAFGLGSFVEQEA DEINWRPARVFGLPEGYSSRSATRSHHSRHDSRNSSFFEAQSQNSSLKPPFAREVILQ HVRTPTAVERDVQSAVMAGLKHYFERRLRVLKTGDLIAVPIDTQLGKALQESTIPGED SAIDEVLGLIAATRPGQSLHYDDVAWFRVGHVQAMKQDTTEAVDGEEAEDLWGGIACV DISLTHLEQTGSVTGRIPGTISNSWQYYLGIRKLPKHQQNPGLPAQLQILEPEKQYVS SLRRKLRELMAAATSKPALHLNLPPLAILLVSTQRHIGKAATAMQACSDIGLHTFAID AYDIVNDGGGGGGSDVKTAGFLTSRAERAMSCGPESCVLLVRHIEALTADRMVSSIKE ILADARVLIATTTEVEKVPDGIRALFTHELEMSAPDEQEREGILSSILADRGIGLDHG VDLSGIALKTAALVAGDLVDVVDRALVAQRSRLERLTAKATGSITFRDVQLAGGPAAS GLTKQDFELAVDAARKNFADSIGAPKIPNVTWDDVGGLGNVKDAITETIQLPLERPEL FAKGMKKRSGILFYGPPGTGKTLLAKAIATEYSLNFFSVKGPELLNMYIGESEANVRR VFQRARDARPCVVFFDELDSVAPKRGNQGDSGGVMDRIVSQLLAELDGMSGGEGGGGG VFVIGATNRPDLLDPALLRPGRFDKMLYLGVSDTHDKQVTIMEALTRKFTLHPTVSLR SVAERLPFTYTGADFYALCSDAMLKAVTRQATLVDTKIRELNAAAGPEGKQISTAYFF DHYATKEDISVMVTEQDFLDAHRELVPSVSAGELEHYEQVRAMFEGAKDKDKKKEGAD GHGNGVDGLESGNGNGMLAITMGDDGKGKGKAADNEVNGAGKGKGKEGVSPFQESGGD EDEGLYD NEUTE1DRAFT_125920 MASSLRELEADPALYIYTSLTAGSSHIVTATSRLETILRANRIP FKAVDMATNDKARMLWGRRGGKDPNGRVRKLPALVQEGFVVGDIVEIEEWNEYGELKQ HVTIFQDEFTQPPIGSVPPKPKYGKKKKPAAEPSAAGAGASPAAAASGMESQALPIRT LAEEAAAKAKKKKVQAATKATGSAAEPKREKKEEEQKEHGNKEVEEAKEKEAEKPAHE AAVAPSASSEPAAAASKTPKAATVEDGEDAEAGKKTATTATPAPTASTPAQSATKEAA TMKSTTEPTSTTNPLPIPTHNSSAQSPSPSAAEVAGFGLQSPTSSGWKDDSGASRTVQ SPTSTTWQPTDVDAPITSLQGALIESATDEEIKEIERAETIKEVPDQEVEE NEUTE1DRAFT_90687 MASNRKYAALPDLDSAPDIYETPELTDDNSTVPPTTVRSPSDNE FDEEEDDSAAISRSRLRIDEARSRFMPAAVDASDVDFSDRLSSKRKSYKTASRRQRIL EDGTEELGDLSDEDGAENLARKLARLKREIEEAKEEYEKQKAAAEQPKAETAGQDEEE IESLSRTLDEMSKLDDLLAPRTAPAAPAKSVRIDEGPLEATEGFASYTVTYAPTYEET HALAKAADFDHRLVILEKALGVGSPEMPEFDSNGLPRAILPILETLHNQVKTLSEAST SSLDSITRRVRTLAQEAENLEKARKNAKAAHEALASTGATPVMDPTAAEDSELTAKIN ALYGTIPTIENLTPLLPPLLDRLRSLRVIHSDAATASETLARLEAKQADMAGDIQQWK EGLEKVEAAMKDGDAAMYIDVSIITKISDYPATNHFVLHLHDPFAAPKPPKGHFNILY FATASSHTGKDMEALPAPLPLRKLFDTLEERYQGIRETVLDHSLVTINLGYVDMPSSE DEDGEDNAVVIQEGDEVAIIPPVSSG NEUTE1DRAFT_90692 MDDVDPDAPPQSSDDEGSAESMRGDLTNLLHRGRQGEQRSTSPS REHGSSPGTDITTSSSTRPATRQQKRLWDEIEDDQTDHEGSSIVQPPPKRVSTEKKTG QQQLGSQHQSSIFKSRKEISKTFGKKNGFHIPRDTKQPGFKTPRVLKIPPAAAENGKA DAPRTNFRIPDAISGSSPTNVSSVTKLTKTGSGRPRVRPAKSRSDKEKRKAEEEAKKR QPTILRIPKDFSSPFDLDKELESGFDEADITLPILLSSPLKNLDSALESNRKLVCPMC DEDVDESFFETLRARQTRMTLHQEQQFCLSHKRKSAKEEWQNKGYPDIDWTVLDERIK KHHNFLRAILNGGKSYYANALNDKIKSGQNKTLLKSEGNLTPGYYGMRGLRLMSEYLV NEFSSELRKRAVQDRLVSKRGYMVYVQSVLVPELAVRLIMEDMSQEGTEKVTEEQART IMKDSTWVGELLNEEDADHVLYEDEEDEESQNDEMGLRDEDEAHLRYEYESRLKDEDE EKPKREDRDGEKGQDEEIRFNGKDVIHIEVEKDDDGHSTISSISTLSDLGDL NEUTE1DRAFT_149962 MTRNDGGSRYSSIDSAQSSITAKPFPLTPTSSLGSSMTRPLSPS LQAGSSSSNGGHNVSRSASQGSRRPAPTRLKTDDGTQFASRSSRDFESSQSQSQSPHT QTRSHSLSQATLQSCSQPQLSLNSQQSQSPSQYHSQQTPTQQQPQQQVSPTGGSRLTQ SPTTPSNASIREHRMSELGGYRREMAAMLDTTGGALSRTSSHSQQQPQQPQQQQQQQQ QQQGHAAGSVSGTFSNLGQYAPYLGGNNGGGMSMGSFLNDSTDNLSVISQLSPGIRPM TARPSQASAGSMEFPDSAYYDDERRPSIASITTTASSQGSRTSKTRGGLQKLQQFFGD RDDWPGRDSSEISLPQPSHSGPMSTGKEHRSHSYSLPGSGRSHRDRNYSNATDHHPST FGSVSTVGGRDRDASPVPSRPRTPVPAPEVVPFLYQEADDIARYGEAPVRTSLTGPDR DRYIDSSQNPPKTSSSARSGHSIVHLPGHHKHNKSNEDPRALKPSLSREDSAASFARD FRNGSSSMMGTRSRAQSPAPSWTGTSRGLKANSISDGTSSPAPSHKKGILGRFRRHNK DKEDGSSLRSGSNHTLVHRPSRQDLTRAAESTYPASVYVLDPSEQREVPVRPGYVRQT TAPGFTTKLFTSKKSSSAKQPQDDMDEDIGPTDMHMGGGTVYHLDTNLNDMEGILTKP QPMTPLDNSISMRRESEKMIVPITTDPEGAWAAPDSWAVRDNKKSLAPQVNEDLCSRQ PSEEKEKKSNYYIRVFRSDSTWTTLTLPLTATAEDVIMGVAKKTYLPPGAQDSYSLLI KKHDLFRVLNSAEQPLRIQKRLFQQIGYQEKDGIDEIGREDNSYICRWVFLKEKEADM HLLSPDINFRNQKLNHVDLSGRNLITIPVPLYRKAAEIVSLNLSRNLSLDVPRDFIQA CTALRDIKFNNNEAQALPKSFATASKLTYLDVSNNRLQDLDHSELSKLTGLLKLNLAN NCLRSLPPTLGAYKSLRTLNISSNFLDVFPSFICELETIVDLDLSFNSINNLPDNLMK LRNLEKFVITNNRLSGPISESVRDLVSLRELDIRYNQISTIDVLSDLPRLEILSADHN QISKFSGSFERLRSLKLNSNPIVKFEVKAPVPTLKILNLSNAQLASIDESIDNLMNLE RLILDSNYFVSLPNQIGNLKKLDHLSMANNHLGELPPEIGCLTELRTLDVHGNNMRKL PNEIWWANKLEHLNASSNILTEFPKPASRAPQAPGEASPSPGAYPFPNGNKNGLLSRT PSMDDLNGDASRRPSQASSTLLGVAVSPVPSGPDNRKSSMVSLYGKGGRKTSVVSRST TQSSTGVITPSNGPRKDSSLSYRFTHTFSGSLKNLYLADNQLDDDVFEELKHLPELRV LNLSCNDLSDMPQGTIRSWPQLVELYLSGNELTSLPAEDFLEEHCLLQTLHINGNKFI NLPAEISRAKKLQVLDCSSNNLKYNVTNVPYDWNWNFNRDLRYLNLSGNKRLEIKNNY RQPQSCRDDDFADTDFSKLTNLRVLGLMEVTHTLPNIPDQTEDRRVRTSEMKAGAYLP YGMADTLGKNEHLSLFDLVVPRLGSVETDTLVALFDGKELSTGGSKIAKFLYEEFSRL FILELEKVKGKLNENPADALRRTFLSVNKLLMSLSNSADERGLDSHPSRNYAHTVLTK EDLNSGCVATVAYLSELKLYVANVGDVQGMLIQANGSFKMLTKKHDPADPVERSRIRN AGGWVSRNGRLNDVLNVSRAFGYTELLPAVQAAPDITEHTIDDKDETVLIASKELWEH LRPELIVDVARECRSDLMKASQKLRDLAIAYGSTNKLLIMMIGVANLKQRQAQQFKGQ LNATFSMPQDDPGHVPPSGIKRRKVRAEGPLDSNLARLNAEVPPPTGQLSIVFTDIKN STQLWENYPEAMRLAIKLHNEVMRRQLRMIGGFEVKTEGDAFMVSFPTATSALLWCFA VQMKLLTVDWPPEVLSNSSCQPIYDRNNNLITRGLSVRMGAHWGEPLAERDPVTRRMD YYGPMVNKASRISAVADGGQITASSDFITEIHRCLETYKESVDVDEDSLEDDATARAI RAELRALSSQGFEVKDMGEKKLKGLENPELVYSVYPHALVGRTEQHHAHTESTQNPAA LQPYGALTTPKPATMDPDSEIQFEPETIWALWRVALRLEMLCSTLEEPNARGLQAPET ELLERMKQRAGEVTDHFLLNFMEHQISRIETCITSLAVRHIAIGSGPITKLNDLRAPM NDVLSTLKEQMDELARYKAKYGSLDQADIDDATDNNSSGDVDTLDGSDTEQE NEUTE1DRAFT_90697 MLIFGNLIYVSCLLINAIAILSEDRFLARINFSPSSYDPAFGQS ADASVQAKIMNLIASVRTLMRPLLILVNTLIIIYELVLG NEUTE1DRAFT_125926 MQGSSKTDSNASSKNKKDEPAVELTPLERILQNAGPLREDGSDN YCNSILQALYYSESFRENVVNYPPSNNTSEGNTSKVKVSIRPPVQVNGNAATPGKQMP KPRQGFTPGPIPMPITQPIRPEDRPDAPEYKKKQAMIKGPVLELAQENPDAYGMEECT FTGLKDIFTALIQSNSRTGVISPQRFLDIFKRDNEMFRNSMHQDAHEFYGLVLNDVIS NVEAYARRMQESRKEIGTTSSPLNQQSSSPSLTRSVMASNLRTPETSWVHDIFEGVLV SETRCLTCETVSQRDETFLDLSIDLEEHSSVTSCLRKFSAEEMLCERNKFHCDRCGGL QEAEKRMKIKKLPKVLALHLKRFKYTEDYSRLQKLFHRVVYPYYLRMFNTTDDAEDPD RIYELYAVIVHIGGNAYHGHYVSIIKTKDRGWVLFDDEMVEPVDKNFVANFFGDKPGM ACAYVLFYQEVTFEKMREELDAEGLEEMRLATQAADLAAGAEQTNGTGNDSLTKVNSQ PTVPVEDLDPSTPGPEPFSHPLAAAPPPAPAVITKADEAATVPPKSKDELAKEKKEQK AQEKAQEKARKAAEKEAARLAEKERLEKVAKKRGVQQKEQEQLAKVMRESKQLAAEEE KRRKKEAAAAEAGTKSGPSSPRLFNRAGLGGKSLSRKSFAFLGKTGGGGGGGGGGGGS DKSEKSSDKDKSDRAESSSTEQRSNESMTSNGVAESNASSRATTGDVHGGNGFGGFSS SSSSSPLSPTSRGPNGIYSHNHHLSSSPMRTMTTPEGIKRDVRASRSASTSSILGPPP TAPERFEKPPLRDRFSFSMGRKKSSRFLS NEUTE1DRAFT_149965 MSESEEESNEGRFEIEESAEARATGAQMASQAKELVNAPPIRIL SNNSLGLSQDRFTTLDRRIETNIRRSCGSDDWRDERAKTENGSGADGLQVGRMTGGGT GDTFRHAAEVESGVQELACTL NEUTE1DRAFT_90703 MSSPRASSPSSPPAGGATGVSSARPSSPPPPGGARTAIRRRAAA DQKEKIANARPNSTRAAGAGGSSSTMLRLYTDESPGLKVDPVVVLVLSLVFIFSVVAL HIIAKITRKFSS NEUTE1DRAFT_72012 MALSADDTTLSAPGSSKEMQTHDEAMATKRGDRDSIGGNSRNSR SRTRATAAMSNSNPVAPKKKKGGFLAIFGCCGVPDDANGLDTPVPSHKLDRIPPRPAT ASRRTATPSEQPSASKTQLSEKEPAQQSQPTQEQSKNGKRVSGTSTQDQSTVGEKDGE SKQTTIVGPGSSSPLASVEPPQQTNKEVEVVEEVVNEKDEEGDVQMPDAAEESQQASH AADATTEESYTNLPPPPPGPVPAATTPPTSGALIESPPVFPPDQPQKFLLPPQAPEFK GKKCLVLDLDETLVHSSFKILHQADFTIPVEIEGNYHNVYVIKRPGVDQFMKRVGELY EVVVFTASVSKYGDPLLDQLDIHNVVHHRLFRESCYNHQGNYVKDLSQVGRDLKDTII IDNSPTSYIFHPQHAVPISSWFSDAHDNELLDLIPVLEDLAGANVQDVSLVLDVTL NEUTE1DRAFT_114393 MAANSSIAVTCDRYTELTPGPVSGLRTFRGARPEVQYEPMGGGQ FTSKEERHQLHRFQRPTLVLGKAGEAALWTPGAQTTSPRFPIVQAELSKVALTYQGVH LNKIALVSDLECFSVTLAKTGQPSQEPKNSRSKLERRGGGASNPTVIGHHRRFQGLGF WPLFLAWLACRHCLLGSGARSLLGYERPICRPYGPASCLVLTLLRLLFEMLDGGDINS RRRISQELIVSTRVLRVRYEGQDHGTSGEQEDRPQSKRFG NEUTE1DRAFT_72016 MAAQSTLRGSAAEEAIASFINKYTELIRSKLKTTTRTTRLLATL ALATSIILSGEGTRRWWRRRREDREQGRKLVRTNSWLHNKDGSRTIYVPYKDGTSKVV IHSTKPLTFEAHRRLFLNPPRVSGLGDGHVPSAQTKPGLNLAFLHQFLSLMSIMVPRW KSKEAGLLVSHGIFLMLRTYLSLVVARLDGEIVRDLVAGNGKQFLLGIVKWCGLGGFA SYVNATIKYLESKVSIAFRTRLTRYIHDLYLNDNLNYYKLSNLDGGVGQGADQFITQD LTLFCAAAANLYSSLGKPFVDICVFNYQLYRSLGPLALTGLLSNYFLTASILRRLSPP FGKLKAVEGRKEGDFRGLHARLIANAEEIAFYGGAEMEKTFLNREFKSLKNWMEGIYM LKIRYNILEDFILKYSWSAYGYLLSSLPVFLPAWGGLGGASEQQAEHAEKGGRERTRM KDFITNKRLMLSLADAGGRMMYSIKDLSELAGYTSRVYTLISTLHRAHANAYYVRGRE NELYSLSDVQGTIQKGFDGVRLENVPIVAPALWPQGGEELLESLSMVVRRGEHLLISG PNGVGKSAIARVIAGLWPVYRGLVSRPKNNGEDGIMFLPQRPYLSIGTLRDQVIYPDG EADMREKRKNEYDLKRALDQARLGYLPEREGGWDTRKEWKDVLSGGEKQRLAIARLLY HEPQYAFIDEGTSAVSSDVEGLLYETCKEKGITLITISTRASLKKYHMYNLVLGMGER GDEWELQRIGTESEKMHVERELHDLRERLAQVEKWKARREEIEKELNKVWVEGGENLA PPPYAEQEGQATQRESEHSLEQRSIGDSTVEIVRPEEETDQTEYEEATQGEHTETEAE YEDATETEN NEUTE1DRAFT_125930 MAPKSRRSVHSSTPIPMPVIVEDENAANPRHEATTEPTIPPRSP QRRSMSFTRSNGVLSTNSSLYAPSTRPAMYRSTSVPQYVPKRVPGIRAVGGEVGSVRS ISLNSNGTVEWVVPMRDRDREGWTSDGSPAQPVKGKTEDRIKREQGGKKEDTALGEWV RRRETLIRKLSARHHSSEPGPPLPTDLFPAGSYTFTAALTNLSTSCAPKRNPALWRCY PYSIYSPSANESISAASFRWIIRPTTTFSYVISSSDDPFSPTGIFRDVSLTMIDANQP SERFTFGFTMAKAVVPDVSTTSGSSSSLSSTVSSMISTTSITVDVLSSSASASQAASN GREEGNGTPSKRRWLRTAKRANDNTISREIPTPAPAICWYNQTAVRGTIWTRMRASYP AKISDVSTPLINATNVFALWPFAVELTFEQTYEEDESDKGKKAPDCRDAQGKPVVFGN NDNVKAVGERGVPVIRRAESCG NEUTE1DRAFT_133088 MVPAIKLNSGFDMPQVGFGLWKVDGSIASDVVYNAIKAGYRLFD GACDYGNEVECGQGVARAIKEGIVKREELFIVSKLWNTFHDGDRVEPIVRKQLADWGL EYFDLYLIHFPVALEYVDPSVRYPPGWHFDGKSEIRPSKATIQETWTAMESLVEKGLS KSIGVSNFQAQLLYDLLRYAKVRPATLQIEHHPYLVQQNLLNLAKAEGIAVTAYSSFG PASFREFNMEHAQKLQPLLEDPTIKAIGDKYNKDPAQVLLRWATQRGLAIIPKSSREA TMKSNLNSLDFDLSEEDIKTMSGFDRGIRFNQPTNYFSAENLWIFG NEUTE1DRAFT_133089 MPAPIEESNNTPGHQSPGHHIELVDDHPSPRHEGEAGSPALRDS KGWDGKLRVPKSAVLTNPEALSDPEYSDDDNVVPGEEVAADEGKQFDDNKSVSISALR LERFKQVARICLRQNLIQDIEGLAAVAETLQDLDLYDNLISHIGRGLTDLTNLTSLDL SFNKIKHIKHINHLTNLTDLFFVSNKISRIEGLEGLDKLRNLELGSNRIRKLQNLDSL KNLEELWVAKNKITELTGLGGLPKLRLLSIQSNRIRDLSPLREVPQLEELYISHNALE SLEGLENNTKLRVLDISNNKIASLKGIGPLAELEELWASYNMVGDFAEVERELKDKKN LTTVYFEGNPLQLRAPALYRNKVRLALPQVQQIDATFVRV NEUTE1DRAFT_72023 MPPVVFVGLLVALYVWKCMMLVVFQNKIIYMPGFPPNSRWELID NYADHCGGIKWTNERIQAADGTDLAMAVTTVPMQKGKFPTDKKDARAHVYVLYFQGNA ASIPPRLPDLSWVLRNVSDSHNPDLAPLQMTFVCLSYRGYWTSRGRPSEAGLRRDAEA GARWISEYQKQLYGPDRDAPLPILLLWGQSIGCGVATNLAATGKIPQNLPVRGLLLET PFLSVRTMLETLYPQKWLPYKHLWPFLRNHLDSWTNMETIAKAAREKGSPPPSMYILE AERDELVPPEHAERLLEKCQDLGLPVERVKSPAAFHSDAIMRVEGKRLAALGIVRLTQ KTLDVG NEUTE1DRAFT_118775 MWPVASLPRLWCFLHSSLSVSLGPGHMTIQQLTRLAAIAILPHH WAWHELVPGGEPRSLLVTT NEUTE1DRAFT_149972 MSSRCLDIPHSKSGSRPPKLVRSNSSLSFAKEAGISKRRHDLRH RRPNVATVPGTVARADGNLNPISPIVTLIIGQESRIFAAHENVLSASPFFKNVLDTQF SEGETKRILLPNEEPEIFSSVLEYLYKGDYHSRLVHDKRRDTWELEESAAAGRNGNGG REAPTIYHHSVDGEVLKDTVIYCVGEKYGLEELKRIALRKHGLQCGRVASTILTSARY AYANTPDTDSKLRAHYLALIIRGRNTFKRSGTMQLEMFHGGSDLFFDLFVALCNHVDD ISVGSSTQQNTQQSYCNENGSQP NEUTE1DRAFT_114400 MDSLIRTFSNAQTSRDGYQLAQTLSPDLPQQQLQAIWKSCGHHD AQNVIKRGIQNSTSGFEKLPKDEVQGWSDVYLAYWKAIGELLPALNQAPQSSWTKVYD AWKELLSALYRGYIGQGFEAWSIPCLYVVAKNLRFFALKADEERNNNVAAGDTSGQIF QDDFDPESEQNQKLEDCARQLNRIFTLCLNDRAPLEESRKWGIYYIINLLFKTYFKLN SASLSKNILKTLSAYRGDMPPLSAFPKSQQVTFKYYEGVLCFLEENYFQAEEHLTQAW SLCHKDATKNKELILTYLVPCHLLTTHTLPSQKLLEPYPRLQKLFLPLSNCIKKGELH AFDLALQQGEDEFVKRRIYLTLERGRDIALRNLLRKVFIAGGFEESKVEGGPRVRRTR IPVAEFAAAISLGSKQMLETDEIECLMANMIYKNLMKGYIARERGFVVLSKSGAFPGT GV NEUTE1DRAFT_118776 MGRLQEYQVIGRHLPTESNPTPALYRMRIFAPNAVVAKSRFWYF LRGLRKVKKATGEIVTCNVITEKHPLKVKNFGVWIRYDSRSGTHNMYKEYRELSRTAA VEALYSDMAARHRARFRSIHILKVVELEKTEDIKRPYIKQLVTKKLSFPLPHRVPKIS NKKIFSAKRPATFA NEUTE1DRAFT_90726 MAETAPVPDSFITVRSTLPRLPLPLISERPLVKTERLLIRPLAA SDLEALHELRTQPEVMFWTSAGKPDSSLDEAKAKLDYFLSPEGNNKSFNFAICFKEDP SKLIGIGGCHSWSSSLGWPELGYMFRKEVWGQGIATEFLKAWLPLWKALPREVTEVKC DERTLRGGNGVYENSEEMVEERLMALTTDANGKSQNILKKCGFEHVLTWKAREPRPTE DGTEMVLVDMPSFRYFPGRG NEUTE1DRAFT_72037 MASPTPETNGKIKEIFYGYMYDDPKPDEIPIPKPKPLLDALLRA LALHIINDIGDKDEKHLAPAKMAAFYKLAGHDYDRLFVNMPPQSISFIYQVQGCQHLL LPTEDDFAAPSIPALTVKGFARWQAIQILLEPQTHVPIVQFAVKNWSLKHPEFGTVFP DDLPATVFPVDTDQDTDLWHQSCATKLRAEATTREEPKEEREAPGVDPKADDFSDAKV PFSHVRPKASGSQDYDYFNKRSGPVPVSYVRPPERTDRYDPRRSPERPREPERERTSD IDPDPLDHVGRGRYAPPRKVFPSEEERTSRRPSFTDYPHIIPQETQSMQIPLRTDRIS VPRRLSQPRHYTSPSSSDAEVEPATSRSGRRHHSRSSRDSREPPPVSTRRVFNSDGVP SSRAAAMGGRSISPRSHSYSRREPPIAVVPATTSSLRADDSKRKGIGSGIKDKISNLF PGVSIVGDDRRSRSRGQKDDRVTGPDRAVRELYRDSRRKSYSDDESDLTESETDVDRR RRAKRSKESRILDQRDIDRERERDIRIKSRDRDRERDRDRDRDHDRDRVRDRSTRDRD RDRDRYRDLDSDELEPTSRRDRDRERSRGISTGTLRRDREHRERHTDRDDEDDGLDLS HSPHSSRSGGRAPGARTANGPYLAQADLDRRTGSRADIDRRDWTGGSGGSMLGGRVDT QDRYRDSRDRERDRDREGRWGSRDEMRSRERPLHRERLSSPSYR NEUTE1DRAFT_125941 MTVSQHKRSCPSGEPGDSLGKSDRSHKPRDPASTCPVSSANYHI KLKFTKPRQHQ NEUTE1DRAFT_72040 MKQPPIEELVYGYMFPKARPSDPPNFSVFLQRHLIYEVRQEVHS YYGHIDTQEAKYPGLDYNHPIHRIRLSRWTWHRRLFRAFDALRLTEWEIANLTKWEGT RWAKEKYEEEQGIIIRDTTADGFPDLTYPRDRVRIEPESSSELCREAAPEAVKEDEDE IMNEDGDEAMSDEGGIDSALTVPPAFPGHQSNVSNNVLAAPSDEEWLKIAIETGQLPR HSDTTNSELSAPRILAAAREGRWHEVPTIYRDVLQSRLGTHFGPLFGNPQLRTRHFQY GTTAEPARRTTSELRLATASNFSQRVARPGA NEUTE1DRAFT_105435 MSSNASKAGSNVLRYSALAAGIFYGFTHQRSISAAEKAAAAQRE YEHKQELINKAKEAYAKSKQPVSAVSTPSGQTVNQDPMSPNFDIEAYFSALLAKA NEUTE1DRAFT_90737 MQQRRDEIIAKKAKLAELKRQRELRATQVNARLSIGSASDIVSP TPGRAESRREIETLIQSLVGESRPVSVSTGANSPRLHGSRPNSVISAGETSISPSEYA TPALGPILASSNQTTQVLSTTLTTVYECPPSPVKEVFTYSKGVQTTDDWVPQPKPRRY SDSEIDEPPASPTKTRVSRRLRDREEELRENIRKEIEQELKAAKELVIDGVYKPSAAA NFPTRNFTDEELNAVTQSDDFMDFIDKSTKVIEKALDQEYDILTDYTLGVNDVDEEDE QNGNTGGKGRRKVKELAQFYDERWSKKRMISSIDFSPKFSELLLASYTKNPSAPHDPD GIVQVWNMHLHDRPEFVFHAQSDILTAKFSPFHPNLIIGGAYSGQVLLWDTRARSAPV QKTPLTGSGHTHPVYCIDIIGTQNANNIISCSTDGHMCGWSIDMLAQPQENLTLIAPH PSRTEDMSPTCMAFPQADPTFFLVGTEEGSIYPCHRYDRAGAKAGVDARVSYRGHAAP VMAVDFHPARGVVDLGDLVLSASLDWSVKLWKARAPAATSTVVTTGGGINVPGQEHNG VQPLIDFVREDVVYDVAWSPVKPGVFSLVDGAGWLELWDITVETEEPVARISPSPRKD GRPMLSKSLNKVKWERTEGKRLATGGIDGTVTMFEVGPDLGGKEDLKNEEWQSVKKLV QKAEHMISLKEAQEK NEUTE1DRAFT_72049 MPQLVGKEVGPTGFGLMGFTWRPNPVPQEQAFATMRAALSHGLN FWNGGEFYGQPPYNSPILLERYFSLYPEDADKVVLSIKGCGGVDGMHPDGSATEVRRS LDTVIKQLNGRKKLDIFEAARRDPKVDMEETFGVMQEYIDKGLLGGISLSEVSAETIH KAVKITKVVAVEVELSLFATEVLDNGVAAACKEYGIPLIAYSPLGRGILTGQIKSRDD LSTFPMLLHFPRFSEENFDINLQLVRQVEEMAKKKGCTPAQLAISWTRCLSRRPGMPE IIPIPGATTVERVNENAVFVELTDQEMDEIDATLAKFDVKGARYPDWIPTDT NEUTE1DRAFT_133099 MVPQPQFFVARPDTEVLRNDTGAIHRKQGPMVPLIAVDELPDWI DIGLPRELTVEQTTGLYNLGIVPHNEGTYRVRIIEPNSHEEFGWRDLSKATLVSRGAC APGQRTMAHPEHSERVPYNTPAGPTGSDSSIIHSSSCQEKSPHIPHPSMASTSTNPPR MTRAEDEAMHTMRWADLVERSIARETEAQDASRHSALLAPPPSPQFASRSHSTVHRDD MSPIGTTSTADQRASVPHNTMATPPKPAAAKTDVATPAASPPTVVPSSTGPGVNSSNT TPAAASSASVFQEYCRHWCQHGTCKWGTFCRHRHAMPTTLEGLLEVGLCEIPAWWIAA TGIGMSSKAKQTAVAVAAGVGGGGGGGGGGSVPPPPVPCTPMAHNPHHMLPNLSGMTL PATAKKLSNRKLKAQQREFNQRLRLLSSMLIPPGYGSPHHPLRHPADALLVHGYGHGG VSGQQQQSQQQQQQQQQQSQQRFERKGEKTPLDRNRKNVKVTFNEDGTVSLVTADDGG KKGGAAADQRRAGSGGETVGGGSTPAVVDAGAGASSGAALNAATGKPVAGDGGAGGET DERKEKEGLSERKPVKGLAASMHAPKQDQGQVVVTIPGNMKATCEDENEGGSMSVRFV YGRYVPVEDYETVEVTDINMNSKFLKSEPNNI NEUTE1DRAFT_149982 MSSVTPHIGQRRSYDGALCTVRFIGELEGTTGSWLGVEWDDPTR GKHAGQHKGIRYFTCKSKSATAASFIRPTRPADAPQTFLSALQLKYASDVPSENGPML PEKQIVVGGKVAEEVGFDKIRRKQAQLSELKIVILDGSCITSAYSHDTDREDHQTIRQ TCPKVVELDISRNLFTDFGTVVDICSELDSLHSLRANGNRFQNVIEDDKLNGPHKAFK GVKELELGETLLSWSEICHVASKLPSLTLLEIGTNQLSSLAPIPPAVSFTSTLVSLNL EFNEFTSLEDIAALTSIRTLRNLHLKGNLISTIMSSPSKEVPVFGKSLQYLDMSYNKV SDWSFVDALLDVFPGLTSLRFSHNPIYENPGLEDGAVLETDSSKKGTAASDEAFMLMV ARLPSIRTLNFSNITAADRTNAEMYYLSRIARQLSTTAEAEEAQVLARHRRWAELCDL YGEPAVSRQQDINPNYLEARLITVHFYLAELASSESGVNTSTEERIVQIPKSFDIYAV KGIAGRMFGLPPLKLRLVWETGEWDPVGGFDDHDGDSSEDEEIEAERERRQDDAASLA GTSIAGKKGGRFVRREVELKDGPRQFGYCVDGLEAKLRVELR NEUTE1DRAFT_72054 MPGPGVGFEYPPKSVSWCKRDLLLFAQSIGCKAEELHFLYELHP NFVPFPTYPLALSFKLDSSDVVDFYAAQKSIAIPGVPVFDPARVVDGQRRIEFFKQLP TSSEGKRFESRTKVVGVYDKGRPGSVVETQTDIVDAANNEVYSRIHTSSFYVNQGNWG GPKGPATQNFPPPKDKKPDAVFENQTTPETPLLYRLNGDYNPLHADPEPGKKMGFGGV IIHGLYSWNWACHGLLQHLGGSDPANIKEYQARFTSPVRGGDKLVASAWKTGEIKDGW EEIRFQVQIEGGKVVLSNGRALMKCVGPAPQSKL NEUTE1DRAFT_125949 MAGNKSKADGMRPKGSEKRTSGVQSASTTTETSRFLFKTATATT AKTAPASTPRNPFVLPSAAPSTGPNPSITTTITTTTEIKTHKPLSKEDYLAQAERRYL ASRARADAELRRLYQTRPFAKRAVKRYTQRRSQWAESRRRLQKAGAFLENTMGTFSNA HTLNNSRPSASASKPLRKTSPKVSPEPSSAKPPALVQNSFSIGDHPDDDCSKAPSVTS SSDETVKSDTRTVDSLSTNITTPDLSDCDSLVKSATKLTKQKTTSSSAVIPPSQTQPD LVTEQPNLKAEKFKITINVEPVASSKESKSSAQKGKEDAVPTTTHGSLTPKKSVDQKG EKKQKSSSDKADGQEIPKEKKSKKHKRDEEIDKEEGASEQQPKAKKSKTSAEPPTCSG AAMSPASIDKSYKPYPNVPPEIRKELFVIKAEEMLFNPLGYDDLVYDDNRPRSKRPKW RKTGRNTGHNDMMMSGGIGPADLSSPSHKLGKGDANKKNRSDGDDSLSKQVLKKGHNK RVAEEVASLKKSSATAESGSSFGEKREKEFKKNKYGHRDQQGGKNWKHKPNQSGSLAA KFRKHK NEUTE1DRAFT_90752 MSPAVGGMWATAALRVLGKNLARTSKMLRSKLAAAATRSSNPAQ QLQPIAVRSGVNSSRQPIHPSAWLRQQKSGRGGAKWHSTYTNINATVRRYISNTAGRQ SSSSGGQSRFDRSKLPVSNISRAVAASPGRAPFASTLRPNLTGGALPRTAGGYSFSGA GRAGGKRYFSHTPAAPAQVVQNVSQAVRAFWLSGQRAHFDGYSADGRKLYRAVGVDEE KARNKLAVAPHAPGSFIDFHISPAITALSPLGAMIPSSQSVKAEIQTAGATLLADNFL DVLSVDFARTLKDLAAVMADLKALARLGDLPISLERADLLRVRFPGVDAETVERLCDD VGVQRGIIREDPDFDADAGVQVALRFPYAPDNGCSKALTSPNVTVRTRVTSASSELDE AFAEEIEENPWLLASEHSAVSEESEGYESMSPSLKSASSEHCTEDRYEGLEGIYKFLE ECDRARAMRF NEUTE1DRAFT_149986 MKNLTIRNLTITPLELKEVERYEDPTKARKPNGLAKITARITGK SSKSSLASPTAAATTDLDGAAQEPGTAGKQDITDVHIQPFSEHKTSIPLPDASKGESL RLTFSEPNKPYTYSVSIPGPSPRSIVMKANLPPGLQDQQQVPPPDRDFTLIYMPHHSF LAIFSSTHLNRWMAELDPSYPLSALSIPGTHNSPTCYVALPSVRCQAVSIREQLDNGV RFLDVRVSCPSPSSRSPSPIKTASPRLDTPDSLPGAFPISPTSTRTETPTDTNSPAPQ PELSPQAPEPTCKDKEKAKAPNLSLVHSAFPVALSGTRYFHDLLSECYAFLDANPSET VLMSIKREGTGRGTDQNLSTYLAAHYLTPDRWYFKPEIPTLEQARGRIVLVRRFHLDP SLQAEGMGIDGSVWPDNCADGMCGSGRIRIQDYYEVGQTQHIKKKIGFAKEGLMRAAQ QVYGPSGIPDSEAAGGPMPLFINFLSGSNFFNASCWPEKIAAKINPHMIEYLCMDHGA PQKQPGELTVGDAGTGIVVTDWVGNNGDWDLIRCIVGWNARLQLTR NEUTE1DRAFT_125952 MHFSVLANAAFASSALPVPSLASAIAPRQESACLTRAEAKDIVD IYVQLIANYTDDVCAKYCASDFVDRSDSINTFIFMPLGEPTFATKEIFMSAQNANPPF PVVVDSIDAIDCEAVALRWHATFGAANLPSKGITIIGTTKREGYAQIKSLDVEFNSLI WLLNMGGKSTASPTETSPSR NEUTE1DRAFT_133106 MRFSVAAVLAIATAVFAQTDGFDVISNPYAGEKVLAGLPCEIKW APSSDPKFQGTVRIDVLGGTTPQTLDKVGTVASGVDSSKGSYKWMVDAHLGKANTYGI QITLESNEKVFQYSFPFHIIGGLADSGDATTVTVPTTAAAGTAKPLTVAAPATTKAAI TTTKASDAADAAQTTANAAAGAASGSAGDSSASGAVSSFSTVVGSSSASVEASATSSD VVATASASSTGAVATGGAAAFGASTGALFGGVAMALFAL NEUTE1DRAFT_90760 MRGEVVHIHLGQAGTQLGNSAWELYLLEHGLTQDGRKDPDSTVA GEGGSYDTFFTESSNGKYVPRSLFVDLDPSPIDEIRTGPYRQLFHPELLISGKEDAAN NYARGHYTVGKEMAENVLDRIRKITDNCHSLQGFLVFHSFGGGTGSGFGALVLERLAQ DYAKKCKLEFSVYPAPRVATAVVEPYNAVLATHSTLEHSDVTFLVDNEAVYDICRRNL DIPRPSYEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPFPRVHYPLISYAPV ISATKSAHESFKTSELTLQCFEPNNQMVVCDPRNGKYMAVALLYRGDVVPRDTSAAVA ALKAKSSFNLVEWCPTGFKIGINHQKPMSVPTASPADGGLASVDRSVSMLSNTTAIAE AWSRLDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLASLEKDYEEVAGDYNDVDV DAEY NEUTE1DRAFT_90763 MGKTKGLVAPVKKVDPSLDALFATSVGPAKPRPAAKYSEPLPTK SKKAPVPSKKEEEVQDEGDDEELSELDEEYDSEVGIGEDDEDDEAVDDSDSEDEALET LEAVVKQGKVPEEDGRKRKRKQPDQHDNLEHKYLSKLAEDDEEPAGKRLKADEQSGEK KDTAKAEKKDDDDSESADEVPVHESLAPDAAQNEIEKANRTVFLSNVAVEAVTSRSAK KTLMKHLASVLDKEAKPAQKVESIRFRSTAFATAAIPKRAAYIKKSVMEATTKSTNAY VVYSTPAAARLACSKLNGTIVLDRHIRVDSVAHPAPVDHKRCVFVGNLGFVDDETVLQ VKVDEDGKEVTEKKKRTKQPMDVEEGLWRVFGKEGGKVESVRVVRDPVTRVGKGFAYV QFCDENAVESAILLNGKKFPPMLPRELRVSRCKAPHKTLRAIEAKKLKAQGAVPETMK KGNNGKKGPGSKKSDYAPKISAEAKTIAGRAEKLLGKFTARQVIGSDKKKTFNKQDRY QANQRRNHASGANTEATGPRVPGAYKSPESFILEGKRASAADGKPKDLKFKGAKKNKA PNAGGGKKKTGRAAARASKWKVQGKGKK NEUTE1DRAFT_90766 MATGDTALSLALSSPPPPLLQHSPSDVSSPLSDVEDKHGDPDDM DLDMNSNHSQHRETSVRTDNRDGVDSDLGAETDDESKLSDVDINDSEAETERLYDTPP KDRVSRDIVDTAGEPGTRQFTDRRDRTFERSPSKLQQQIKSDYEPEHTASGRNTPSEE AEVGDEEASEDLSDEEPEFAAELPPARSPVLAKKVQIVSASPEKILTLSPRHDILKRK RSSVTEQQDLSQPFKRRTESNTFPEKLSADDVPVVDIEVISTNPESGNQSAEEDNINE PTITAGADSATKDETEPTDALEEDATDSRIKKGKRGVAKKRKSPTPDEPDGDEVEETN ADAAAEGDAVAVEDSAVQAEEDQIDDVDEEAEAAHRNEEELERKKSAWEELAAIEKQF SSFRERLYQERLEQLNQEEAMLTCENPTHPEYLAMLQCLNARREERIKTSTLELQFRM AMLQRRAVAERAQILSQYHQAVRESREKVLEELGQEWYDIQQERRRFANAIPDYGIHY PAAKSQSIRNAVAYNKEVSILSGFAKHVGFPAAPPIHGASEDQVENDLEAIARSREPV PRPIPNYPPAFHPDYASAGLPFGRTPLGPAGEQFIEQTPWANPNHPAHQMQRQQLHHE APFAEPPSGPRHHTQQPMAPYTTNGFVAVNGDGPPVHLHKGHASGAHEPVKSAKLGPE QMKREGVPQAS NEUTE1DRAFT_72075 MSGRPNSGYYERNHRQSAALIRARRPYLFKNVVLGTSITAFTLA VYAYTLTVVGQDEFEDVKVPEKK NEUTE1DRAFT_125957 MSEQIQQLNAARKMALENPGFFKQIIQGILPLTAPSAPVELRRW AADFIAEALACPALRTQDKENLTLAIVDALRSLVEAPDQDPLVLKAGIMAAASAYPVI VRWIILNTYHPPAWEAMSAIKSKILSIWENAPTPVKLCCIKFVQRVILAQTASNGTEP KHSGLDVNLAMIPPNHKFLDPRLLEAEAIGLLDRMLGGLQDNSSDALIVDATLNTLSI LIRTRPATSSRITNAVLNFNPLKLANSPMTPKNKVLVKSMTKTTQMLLKHLFVRDSHN PIAPRLNQQYERLQRSRLEIFDEANRKRALPEQAAAGYGDVKRQKTEGVAPQPPLRIQ PLAPGPHTLAEVFSLTNNTGLQGFDVTVVPTSLTARINVRTLASLDQGQLDMAINGVR DRLIALYAAAPAAAPVPVPVLGTATAQQASVNAETVALGVEEDDDAYEPDFYAAEDTE QILNKLDNAPPEESPEQNAKPDSALALGPFRLPPPPVLNPDTAVKVSQITAMRIFGPL SGLEDPLVRKPKAGLNRLAANSHDRDSWLTLITRLATRSTIGLGDGIKSEADTTSSAL GRPQMSLGNLIREMLFNYILEDWRRRIEVAVAWCCEEWYNDRLTILNKLDGPLNYERC ALRLMDGFLSYITAQDKVLTRFLSEIPQLSKELLGRLKGLCSDPTTVQLAMTSLLYLV MMKPPARELALDTVYEIWVEYEDARPLAEKYLHRWRPGFAETHAAADGAPAGNGTQAM TA NEUTE1DRAFT_149994 MSRSSVNGANDKSLLSAPASPRSSTGALSRMNSTIRAVSSFDDR ISEDSSTDTVPTSNTASQNSTLSSSVNSSVSMGSASQDQLKVQKGESKTPAPTVKLRP SPSFNNLSEKSVSSLDVPRRLGTKSSFELPNRDGSLNATAPPQSPMSDNGGSSMQETI SATEANLAGGWDSTIGKAGLGKTGRVINKLVSDNETLKRDIQIERLRAEESKNAAKLL EDKLERMVADYESRLLEANVTKTLLARKERQVASLQETVDLERKRAMDAGERERTWKD EMERVKADAKKQVDEATNLAALMEGRYNAISSHWKEQGEEVKRTVAKMRTEIASLLEE RRRDDDRINTLRDLCDQQDGNIRELRKQKEDIAAQFERYKIAQDESLKDIKAKARQRE LEQERTLQETKEVLDKLKWALSVKEKIEWAQ NEUTE1DRAFT_55298 MAAPSPPPFRNGSPSKPVPNELKQYYLCSAWKFTDRAVDYLIAK LRDEQVQDADFSWESKYGWIKTISPASKETEIRKFWQELVTEVEQDAYERAEDNIIQY NFTLKHGFKDEMIEYPDGLSESSDGDARNPSINDSEDIATRNTFPPQFSNRFPSLGTW TKLEGEDPSLTIQRVFNEDDRLQLQQDTGVEISYDLGKVVYLGAESQDKIKKAKEKLD ILLESITAPVPDVQFLLYTEGYKDPVLNDSKFDIRYLANIDPKLPSTTLLDPILFPDL PQEYARMYHVGSSIRLCPYNSVLRAHSSLFGPVILTRERTKNDMLVPRPVLTTKSERT LIDISTAPIDGLAGPILNENAIDQRLNVNDWLNDIPEGLNSVPTQDTLASRPATPGLA VLVPTISWDMPALIPTQGTKLSDKVESSKADIERSTLKGESLSFDQEKKQKSIQQVLQ AVPRFLENGPYLRGEVTVSADFGRILIPELAANATAFNGRNTRSNGWKRRDLMLTLHQ IKPGTAGQESLVAGNICFTKILSTHGLDLEGLINTKDERTSKRLWLKAPSRCWTVYSF SCRLAGKTPDQFLVEITDCGSDSFSYTIRGLTEPGCSQKLIYVNAVLRN NEUTE1DRAFT_142350 MALMNVVFDQLIGSFNGDTGTQSTLGQKTSFFDKDGNSVNQLTA RLQSNIKSPMELLELNLIVVIIGMFTLIGALINYLLHLRLEARARCLVHDRPIQHVRG LSYRVRYELQFNAMNETVFCESTKFGAESISAYRTVTALVMAENIRSRYESLLQ NEUTE1DRAFT_105452 MGAPGDAPGSPQAPVFAWTPRTPSGAGGHLGDFGDPRISMRDTH LGSPTVEPETPHKVEAGKHEDGGRRNRSGSEASSFVRLGQKSEGWMMAESREPELGSR ALLDDPVLSWPDARVLPLTAVSSIGRPSRLHQTFFNSGKSSIVRAIMDPLCGVLVPLG PHYDSVCTPVGLSLSETPEEKPRSHALSASMLPGIIRFGRDWCTKADAMEASSILKGS LYQTRYMNNSQPPTGKCGSQGL NEUTE1DRAFT_125959 MAGLIPLMLGSSKRTSLAPTQQQQQQPQQHRRHTSERTFRTNTD PTFAFAKQSVPKRQSLPVPARPSASSSTPAPASPALPVTPALPQNRSEWKRAVVEIKK LHIGKRYRACSARCIELLDKIKDKEDVRVEPLYLIYLHFYAATCMEICARPLPTASMF RTTLLQQARTHFEQAASLIGAAENSVLKQAWRSSGTFSSSGSSCHSPTSSISSSIDSR SWTPETRMSSPTSSVFSQEDLANNTTESANPMKRVKKVSFSVPHEEFAFGFSEPMVRP DSPTLGMDIGFFPATVPKVPASQYQEVELPLPINMDSQQFENCEEDDDTLNITKSIDR FRDQLHELREQLERHSANLDYQLEAMATEPPKSPTGVNGVGKEELRALDRQARIERLR RDGWQRKRFDTQRYEELCETVLAELTPA NEUTE1DRAFT_96707 MLNRLHGQPESYDKKSKYSFGRTLGAGTYGIVREASGPTGRVAI KIILKKNVKGNEQMVLDELEMLQRLKHPHIVKFVDWFESRDKYYIVTQLATGGELFDR ICEQGKFTEKDASQTIKQVLGAVNYLHENNVVHRDLKPENLLYLTRDADSDLVLADFG IAKMLDNKDEVLTTMAGSFGYAAPEVMLKQGHGKPVDMWSMGVITYTLLCGYSPFRSE NLQDLIDECSSGSVVFHERYWKDVSNDAKDFILRLLQPKPENRWTSQQALAHPWLRGD SATNHNLLPEIKAYLTKARLRRGIEMVKLANRIEALKMQEDDPENTDMPGDATLAADQ AQSRHHALSVASTKGGSSDAENATAPAEKRTLSKTIKTAIFREVVLAKVREMKEAEEA NKLKEEAETKAKSFQA NEUTE1DRAFT_90783 MPVQFAAKRLGKELNKIQNGLPPGIELISADNLEEWLLDIRVLD NNPLYLNDTYRLKFRFGQSYPIEPPEVVFVKQADRPIPIHPHIYSNGIICLDLLGQQG WSPVQSVESVCMSLQSMLTGNTKNERPPGDEEFVRANKQRPKDIEFYYHDNTV NEUTE1DRAFT_125962 MAPHAEFDIEQIREKGRKDILYLLESVRGKKNIVIEKSLAGPLN AFVGASTLRDYGADNFFFLENNNTDSSQRNVVFIARGELAHHAHAIADQIKRLQQESQ SPHEFHVFWVPRRTLVSDKVLEEAGVLGDTNVAELPLYFFPLDTDVLSLELDDSFRDL YLAKDPTPVFLLSKALMGIQQKHGLFPRIVGKGENAKRVAELLSRMRQEILAGEEAAE GDKIGLSPSTTNESVIIIDREVDFVTPLLTQLTYEGLIDEVFGVQNNQADVDSTIIGA QPEPQGSTTAAAAVVNNGPSRKRKVQLDSSDKLFEQLRDANFAIVGSLLNKIARRLKS DYESRHSSKTTAELKDFVSKLPGYQAEQKSLKIHTGLAEEIMKYTRTEHFSKMLEVQQ NLAAGADPSSQFEAIEELIARDVPLPQVLRLLCVYSCTSGGIKTKEFDHFRRLILQGY GYQHILTLHNLEKLQVFLSRSSPLASMIPMTGSVGATGTKTNYTYLRKQLRLIVDEVN EQDPNDIAYVYSGYAPLSIRLVQCILQKQYLLSITKGAASTNTAGPAAGGAQGWRGFD DAVKHARGPTFDEVQKGEDKAVKARALLSGNDGQKTVFVVFVGGISFSEIAALRFIAK KEEEAQEANRSLTGVSRTIGRRKIVICATSIISGNKMMEAAIENGTFGTESLQQESDS NEUTE1DRAFT_90788 MGNSSRTRPGQTSSSGIAKGQTKDVKEVNLSRSNRSKPSNSARH PPMKRARTPVGGHSADTSSSTSSEDIAPEIVVWTGDMDLMDSEESPFPESPLPTDDSS QLPSLSRAPEGPSVLDGDASSQFSSPLSEPPESSPIISPQDDIAVEQENPIPQSASSL SDQHSNSSSHAPSVTSKATTPVDADRPKEPPTRQELSEPQPSPLVSPSPEPPVEEQQP KPTVEEPPQPDEQPQTELQEEPTAQPERVARLSPLPMIDEPLSAAVESAAPPQGPKSP MNERKIKQESPEEVVLESARQASLPQDAGTLDVLMEEAPGTDAVVREAPTQDVSMLEA PPQDTPDREAPPLDIVMDEPPAYESLTHEAPAENPAAQSLSTLDAPAQEKTAQEASIY ATPVHEVPAQPTPVQGFLPQNAGTNTVSTDTPRRRYNVRPKVTIPPDLPLPDYAMQCI TAAEASRLNPYALHQEEYLMLRDHISHAQVTTYLNIRNGILRLWVRNPQIAVTREEAV GCAKDTRWFDAASLCFDWLVRRGYINFGCVDYRHSKRHTSKDPPAITFKRRTVAVLGA GMAGLGCARQLEGLFAQYAKKFRDMGEEPPRVIVIEGRNRIGGRVYSRPFASKPAQTP DNFHGKRFTAEMGGMIITGFERGNPINILLRAQLGIPYRPLRPDTTLYDSNGKPVDLH RDQLVENLYNDCLDRVSEYKFKQPTSKLIEGNRELIDEGKDSSAEAYKTIRQVEESTA AQPHAPPVSEQSIAPQVNLVPISSDRATGRVHTEPGTPGALKAAYKAKLLGWALKQGV SEDADLDLETPAKEPGANLGSVVDNMFAQYRDIVDLTAQDYRLLNWHVANLEYSNAIN YNKLSLQGWDIDAGNEWEGSHTMVIGGYQSVPKGLMLLPTPLDVRRRSPVNKITYTTE STAGPAVIECEDGFKVEADFVVNTIPLGVLKHGNIKFEPPLPEWKSSAIERIGFGVLN KVILVYKEAFWDEDRDIFGVLRNPSNRHSLDQKDYASQRGRFFQWFNVTQTSGLPVLL ALMAGDAGYDTEQTCNDDLVKEATDVLRRVYGSKVQQPIEAIVTRWASDKFARGSYSS AGPDMKADDYDTMAKPVGNLFFAGEHTCGTHPATVHGAYLSGLRAASEVLEAMLGPIE IPTLLITPKESSSLSLKRKAAALSSEFTTTTVTNSYGTMSSTRNPEQARLEAYDISLW DSITSQIGLRPPKPSKPVVSGYIFFSKAHYDDARKRCEAGRRPGKGKASGNEVRMMSA KMWKDASPEEKRPFEELAEESKRAYAVAMKEWTEKVQEWDRKATELKEVYERENPYVP LSALVVKSEEGVAATAASVSSGSNGRNGRRARWAGEKVGSYAEDGGSDVDVGMTG NEUTE1DRAFT_118790 MLSRAARPALRAGAAVSSRAAAPGAATFATLREIETRLKSIRNI EKITNTMKIVASTKLNRAQRAMTESRGYGATSNEVFTSAETKPLEAEGKKKLVVVCSS DKGLCGGVHSGLARFIRRRAATEPEFDIVIIGEKAKAQLSRTNAKDIVLNFSGVGKDI PTFVEASAIADQITQLKGDYSSVEIVYNKFINATSYEPTVIEAFSEDAILASPNFSAF EVDEEVLGNLREYALANSLYWALCEGHACEISARRNAMDNASKNAGEMISKYQILFNR TRQAVITGELVEIITGATASADM NEUTE1DRAFT_72102 MSSGSEDDKPLAKVNGHASAPTISKADDRAMDAADSKVHMAPVG VSIRNGPVDQDRMDIDSSVSGQAKRKSRASIDQSINYKDDSSDDEAPLIAAKKANIEK EAAKEAKSIRAGTKAKKPAAKKAVKDESSDDEPLAKPTKRQVNGTAAKKTNGVKKEES DSDVPIAKRAKKAPAAKPAAKPAAKAATKAAPKGKVAAVKKSVKEESKDITDEDDEEE EYKWWEESAKKEDDSIKWTTLEHNGVIFPEDYQRLPKDVKLLYDGTPVELDIEAEEAA TFFGFMLNSTVNVENPTFQKNFFRDFKEILDRTGGAKDKNGKKVDIKEFEKLDFSQLW AYKDAQTKAKNARSSAEKKAEKAERDKRDAPYLYCKWDGRKEKVGNPRVEIPGLFRGR GDHPKTGCIKKRVLPEQITINIGKEAKVPEPPAGHKWKAVQHDNKATWLAMWQENING HYKYVMLAANSTVKGKADFKKFEKARELKKYIDRIRADYTKDLKSEVMADRQRATAMY LIDKFALRAGNEKDTENEAETVGCCSLKYEHVTLREPNTVIFDFLGKDSIRFYNEFTV DRQVFKNLKMFKKPPKEDGDDIFDRLTTTQLNKHLSSYMPGLTAKVFRTYNASYTMSK LLQELKVTNATVHEKVKLYNDCNRQVAILCNHKRTVGASHEQQMKKLVEQIKGLRYQK WRTKMMMLDLDPKLKKKKGAAYFELDEDLTEEWVKSHQEFLVEDQKAKINKKFEKDNE KRIADGEKPLPASELKERLKAASELEAKFKKENKTKKVEAEGKGPSVEKLEAALLKLD QRIQTLELQSADREGNKEVALGTSKINYIDPRLTVVFSAKFNVPIEKFFSKTLREKFK WAIESVGNDSTWEF NEUTE1DRAFT_90798 MVSLSWRTAVTTATAAFASVASAQTWTACNPTQRTDCPPNTALG MAIDVDFTQGAVNSFNPSGGTPSYSRNDGVSFTVAKSGDSPQLTSIFYIMFGRVEITM KAAPGAGIVSSVVLQSDDLDEIDFEWLGADNTYVQTNFFFQGKTASYDRGQFNPAPNS QGQFIKYTIDWTSEKISWYVGGTLVRVLTVNDAGGQYPQSPMQVKFGAWSGGDSANSP GTIQWAHGPTDYSQGPFSMTVKSVMVSDYSTGKSYRYGDTSGTWQSIIAEGGKVNGNL GKAGTVTVTASAVAATGTGSAGGSVPVGGIGSASGDASGSNGGSGSSTHGSIPEGWVM KPDGKIVPVGAASAMARPPQGLLVATSLVTVALAAFVGCLA NEUTE1DRAFT_150004 MGLVEDVAGNLSLKNTPIIFGGVLLGLIVFRYLQVVWQSFRIAR MGLRPPKIRDSGLFGIKFIRTTIKMAAEHKTLQFWQQMFRSIGGYTGEVRLAGHRIIF TSEPENIKAILATQFEDYGKGEGFHQEWKDFLGDSIFTTDGDLWHASRQLIRPQFIKN RVSDLQCFENHMQMLFRTIANGGALNGEDQMVDMEAGNGKPVDISDLFFRYTLDAATD FLLGKDIKSLSTPVQPFADAFQEVQRVQILIARAGPLNRFVPRKTFWEGLKVIDETIN FYIDRALRLDEEELASKSKGDEGYTFLHALAGFTKNRQVLHDQLMAVLLAGRDTTACT LSWTIYELARHPEAVAKLRAEILSVVGPDRAPTYDDLKSMKYLQNVMNETLRLYPVVP FNVRMALKDTTLPRGGGPDGSQPIAILKNTPIGYSPLTMQRRPDLYPPVSEKFPDVEM FSPDRWFHWQPKPWQYIPFNGGPRICIGQQFALTEMGYVLTRLFQRYDRVVSYMDEID GGKPRMKTDIVLMPADGVKVAFFEAKRE NEUTE1DRAFT_114431 MVFVPSLRLLAVSLVALLEPVSAIWPAPQSFTKGNSSLYLHPKI NVTYNGEPVRRPNSSSSSSSFFLSSSNNVGGSAGPGYEGLFTKTPAQQQLIYKEDYST SSLSSKEIVHAGVSRALGSIFSRNIVPWMLQPRGKLSEFEPDLYKGQNWIKSLEIDQT AKDAKTSFKPALAGEVSEAYSLTLSVEGDVKLTADSYIGVLHGLETFTQLFYQHSTGT SWYTPYAPVEIKDEPKYPHRGILLDVARTFMPVKNILRTIDGMATSKLNRLHVHVTDS QSWPLQIISMPEVAEKGAYHSSQTYSPADIDLIQKYGALRGVQVYFEIDMPGHIGSLS LSHPDLIVAYDLWPYQWYCVEPPCGAFKLNDTKVDDFLGKLWDDLLPRVAPYSAYFHT GGDELNRNDSMLDEGIKSNDTEVLRPLLQRFVDKQHERIRKEGLTPLTWEEIPIEWNI NLGKDVVVQTWLGQSSVKNLTSRGHKVIDSNYNFWYLDCGRGQWLNFDNADYAAFSPF LDWCNPYKSWRHVYSYDPAANLTEEEAKLILGGEVAVWAESIDPIALDTIIWPRASAA GEVLWSGRIDPATGQNRTQLDAAPRLSELRERLVARGVQSSSVYMTWCTQDPTGKSCE YPRV NEUTE1DRAFT_90806 MATELTTGTPLADALNNAIQEKIVEVGWAGNTTEAAPMAEYLVL MLANGKTQDEVAAEISGDLLSLGPDDPTALHFAQWLFEQQNALIPQFSSAPEPVADEQ GVMDTAADNSMGDFNMDVTTDGSGNELNAPTGPKAMRNGSGNGMRGGREKRIMGQINR AMDRTHDPNAALHRIRGQSGNERIARGPPTGPRMGVGRQPRTTNTRVASIAQGLANMG GPAGPGAMGPMNPMNGMNGMGGFGQPDIMAIMEQQRHMLQQMQMMMQQSGVAPNGHGP HGHGRGHGRPLYERTSRPNFRRGGGFQAGGHQHPQSSDASQGDAAQQGQQAGEDVDMV QAKREPPNPEETVCKFNLRCSNRDCKFAHQSPAAPPNITIDVKDVCSFGAACKNHKCV GRHPSPAIKAAHQREMDCKFFPNCTNPHCPFKHPSMPPCRNGGECKVPGCKFTHVKTP CKFHPCTNRFCPFSHEEGQRGTFQDKVWVAGEGGEQQHVSERKFVDENAPEDVVLPGS EDQQADANMPEVVVLSCWGESVDFTARHADHEVLKFLMMYNTSQRCLARNTRRCVSSK WAQPASLSTSRLASTCAFGSPVTTKKRRNATSYALSATGKTRSYLTSVTPPKPETPRD IAILGGGLTGLATAFFTRIYNPHARITVYEASNRLGGWIDTEEVEVTTPSGEKGKVLF QRGGRMLKTMNDKPKYDDLVFWKLVSALELENELQVRSKDEPLGNYIYYPDHLVAMPQ PIFDQNRPLDSADSIFKTVKTLLDEPIFKGAVPAAMKYFFRGGCKLTLKDGEDQSVGE FFGRATGNRELIDNTLGALMHGVWGGDLWNLSMQSGLFSKTTLPSPEKGKIWYPREDE ELRERMFRLHSHANEMLRNLMAKGENSEYIWFKKGFSTLTDALIRVLKQLGVTFKLND PVQSISLHPSERIAIKSTKTVQNALYDKVVSTIYAKTLAGLTVNKLPSLARSTAVTIR VVNIWYPTPNLNAPFNGIGYLIPSTTPHNEEGVLGVLFDSDREHRGRNYGGDTVPGTK FTVMMGGHYWDTEPSYMYDENKCIAMAKAAVERHLMISESDAAGAVAITKLCHNCIPQ HTVGHRERMVNAHHELLRAFKGNLAVVGGSYQTPGINSALRGAADIAFQMSGGFWGNY AGETVGKTGLQRFVRPMSDSWRQAEKGDKRAFPLKFGHPPLPEWYEEGRDD NEUTE1DRAFT_72118 MSGSSVMMNGGGASFMDVDSQPPGGSKFNDLPDEIQHITADIMP LSLLLTRLSQWTHTKLQDEIAYLASKPLPQGVLNGTTNHQSADNHDTSQESLEKKVHL LNFLQDMHSKWVKTLVITEWSKKAAQVGALIDIRDLHWAKVPGPDLKTALEVLTTGQA SWMPELGYIEPPPITWEEKEAFIDNINTMLSVRLTFDEHEKLPAAFRDYNIANGRVTF KVKGEFEVDLTIGDEDFEQQFWFIDFRFLFTPAPAELPHGVRTFLENKVNTILGDQGL AGCYNYLHEFVLTQKIIEFRRQATELAMGRWVDTLKVEKLNRAMSIQYWLKPPHGLEG PSSQTAKSWVLLGVWSGKGVEGDLDSKPSSYISLRWFRDNKEVKDFDIPLNVETISAE KLLTAVIASHVEHILTSISSKLLSKPRFAQKHARLDLEISKEEPQNSSLAVQLFDNDK AIIKIEPLTGFFTMFPRSPVFLKGQMKLNTSSNVAEEGANLIEQLRFDHTVKDLNSRV RSIGWFVCRPPITQEETKAIVYSDASGSREAFKAVWLRKANWMTRQWFVMISMSLGGD QWWLVDLSPPKPNFPAGRLRLFTKMPMTSNQLTLSDTFFRNLSVYAAGMISHITDLRK LHTLKKSHTALELPNHSLPPQVRLPTIYVRLSEMLQQRPGSSSRTLTWAKDFVPIIFK GVRSHIGDEEGPADAPASQIRRDTPARIRAEARLTVIDRNKFKSLRGNVDHDVVYNHR TGQFSLKLRADMGTPVVDLLADRVQALERLMEFVEAIRLAGSNAIPQSVALREVVFTY SNDPAEPVVGPPQGPRAWNVHLDLPKDAKVVLTLEKGNPHIRALDMLQDMAQSTKTEL LPSYLPFSLPLYRVLDRIEDEWESIAAKNAGNVAIVVKAAEWVTVRFTLPAPTARRLL CLDIRTMGRKGRLLWHVKRTDEKGHERSLNAPQDEFDTLLQRSVWHAHGDGRKTFGTS AAADPKVGIETLLWSISESVKSLVGTLPPPPGTSPHPGGGTQDLQQGPQKTPQEVVAA QAAQAAHGMPPQRPKQQPPTPSQPQQQHRNVNQPQAQPQPQLHTQVQLQQAQVAQLQA QRQAQARANNSSNNNNTFVNRAHPGQPPQQRQSGPQNQAQQQQQQRYQIQQQAQAMQR MQQQQQQQAAQQHAQQQGQGPQGQRPVHGQAGPQGVPQGQPGHGGGGAGGGMGGKNAP VVVID NEUTE1DRAFT_118796 MASDHHGAAVELKDNTVIVVLGASGDLAKKKTYPALFGLYRNQF LPKDIRIVGYARTKMDHDEYIRRIKSYIKTPTKESEQQLEEFCSICTYVSGQYDRDDS FLQLNKHLEELEQGRKENNRLFYMALPPSVFTIVSQHLKKCCYPSRGVARVIVEKPFG KDLASSRELQKSLEPDWKEEEIFRIDHYLGKEMVKNILILRFGNSFLGATWNRHHIDN VQITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSAEDIRDEKVR VLRAIPAIEPKNVIIGQYGKSLDGSKPAYKEDDTVPKDSRCPTFCALVAYIKNERWDG VPFIMKAGKALNEQKTEIRVQFKDVTSGIFKDIPRNELVMRIQPNESVYIKMNSKLPG LSMQTVVTELDLTYRRRFSDLKIPEAYESLILDCLKGDHSNFVRDDELDASWRIFTPL LHYLDDNKEIIPMEYPYGSRGPAVLDDFTASYGYKFSDAAGYQWPTTSAMGSGMKL NEUTE1DRAFT_118797 MPTEAGHRLYVKGRHLSYQRGRHTTHPKTSLIKIEGVDDTAAAN FYLGKRVAYVYRGQKEVRGTKIRVIWGKVTRPHGNSGVVRAKFAAPLPSRSFGASVRI MLYPSSI NEUTE1DRAFT_55635 MATSNRYPPNSNPHQVQQAQLQGQQYQQSQAPVAPTTQTKGRPP SSQRKSRAFSFRSDKSHDTKEQKLDLHETSAEKDAKRLHTKADPTLAMNEAEPSEVAA HVKSSLASLRNVQHKDVFGNPIGPFTAQTRSQPGAIAADETVIMAQVRPTLYPLIKTW KTGMLTELDGPGYYNSRPASAMYPNRQDGSQHDLRQAPRDTYYDYQSGYNSGYSSPPQ SQGRRRDPRIMPNPQFSSPYQSPSANDYPIPSNHRSYETVASASGSDPLGYQTDPTSS DNSSIERAQAGPVSKRPSEPANDYGIGFSQNTEYSPSAFTVGYNGKPATGMNSGGVNN YQANGTPGFANNNLNNFSQGSPSVAPVTAPAPPQKDTRTLQKKSNDTIQPERPGVPEK RKSWFSRKLKR NEUTE1DRAFT_118799 MATRRIISQEKTLLEKDDRIGSSPAASEKSNITPAVPASVIIKL LAFTFAMIVIPISSYFLTVDRLFKGNSTYAGATAAIMANVVLIGYIIVAMAEDQSDQE NEKKGGGGKVEGKKDL NEUTE1DRAFT_125976 MAFSSLPEDDSLRSSSVVVDSPVPQRRTKPDLDFIDEDDEDELS IVSQSPYFTQPTQIASQPTVKPREAAIPSSPTVVEKPKLFNDVGSPVTSPAKPYVLQE DWVTLRSSSPESLQFIRPKDSPLKPAPAPSAPARRRLIQGRRRRSPSPASSPAKPIEK PVSKLKQTKIITLVSDDEDDDDYVQKKSARSPEPQPEHSQRDPEEDAFEERVLHYLNT CDPIQLMAIANVKEDVAKHMLSYQPFNSVADAESVTMAAKATKVKRKKSTRAPVGEDI VGSIKEYTKALDGIDHVIAVCESQAAAIKDATSRWKVDQTGQPRTVKNKDGTITPLSI DGTTTLIDLPLSQPELMSGCTMKPFQLYGLNWLYLLYENQYASILADDMGLGKTCQVI SLISAIVEEHEKHSQDDDSNQPWPNIIFVPPSTLANWAAEFKRFAPGLNVTLYQGSQS VRDEIADNILDDPASHHVVLTSYSQVSRQDDISNLRRLKPMVAVFDEGHKMKNPKTKL YKDLLKITATWRLILSGTPVQNNLMEMISLLQFVEPELFKDHFDDLEALFNQKFSLTA VSQGALLHSERVARARTILEPFILQRRKEQVLKDMPPKTAKVVYCEMDDVQAAIYKDY ERRFRKTDATGASGVGRDNDNNNVWIQLRKSAIHPQLFRRYFNDSTVEKMANILMKKV DQSELRQPKIEHLINELKALSDFELHLWCRDYKCIRQFDLPDGSWMESAKVQALLKLI RQYQKNGDRALVFTRFAKVIEILGECLASEKIEYLSLQGNTDVSERQELINDFNADAT IPVFLLTTGSGGTGINLTAANKVIIFDQSDNPQDDIQAENRAHRLGQTRPVEIVRLIS KDTVEELVYKACQKKLELANKVVGWSTVEMSQSEMEAQVRAAIMNGGSIATPPSD NEUTE1DRAFT_72136 MGSLIPTIFISFWRIFLDIVLFWAGKFREWYRRRNPVEVWLDVL RNASEFDEWEEAAIRLDTLLGLDLWRNSPISRHYDYKLINERLESIEVARDNGDVNAL VNLLRSGLVRNLGNITAPKLYNKSFAGTKILIEEYITAVAEVIEEIGMLPTVRRTGTN YPNNSLTPGFRGARPVSPGLASSDDGKDSGNSTAGGGSGSNTMGYMSTQMKLDFIHDT RQAFGRSTLVFQGGAIFGLCHLGVAKALFLRGLLPRIITGTATGALIAALVAVHTEEE LLHVLSGEAIDLSAFSSKLGTPANGEGKDKRNSWWTNPWSTLVRRLTRFWKEGYFLDV KVLEHCIRSNLGDLTFEEAYNRSKRVLNITVATAGQGGVPTLLNYLTAPNVLIWTAAL ASNASSSSLYGDRETKILCKDSQGNIVPWKPANTVDFRHWTHASYSERESPLQRIAEL FNVNHFIVSQARPYLIPFLQSDMHGPSLFETRNKTMSATAFLVRMMGLEIRHRLSQLD ALRLLPAGIRRFLVDERVPGASVTLVPEVTAGDFIRLLETPTKETLKYWILRGERSVW PAVAALKIRCAVETELDRAYQQARRLKAGGLRRKASSRGPMMSAQSAPSPNGSDRERW ERERERRSGTGRAKTTGVN NEUTE1DRAFT_105473 MGSFTSRRRPSRFFVRTRNKSLQVVQCLENTGNFFARRLTKSAL AMVVQTLPYRFLLKNLPILEVAAEKWMHPGTFV NEUTE1DRAFT_118801 MRSSLGSQSAASDSWTSIKLYTSYHTKDRSLEYNDDNESSAQVA SIPSSSYSGDLASDIAQCDLVTGAREMPYRARSHGCSTETASSDQTFFADEVMDRQET VATTPPLATSQSLPKGPSLTASVLGQYSEVTAQPYVGNIPSWIEGAGFVGRLARPVVS ENPPTTKPPKSKQSISSSVGSDWAYVPSSPEQASLIAGSGGWCGEPDGRHLPRVSTLD LFEDPMPLPA NEUTE1DRAFT_133131 MESHRSRSVLAALFGSSFTGFGLKNILITGVLLLCPSSSVSAKS SNQWIDIWGSMPQLVESENLPPAPYNGGDTVFKNATLRQTVYITQDASTIRLSFSNAF GGSELPITAVTVALPASRAGAGSGAIKPGTIKTVTFSGGQPDFLVPNGAVVVSDPIKF QVKAQSVLTVTLYLASGQAGQSITGHPGSRTTSWLAPGNLVSAANLSSTAGVASTDHW YFLSSIEAYQPERASALYLVGDSITDGRGSTTNANNRWPDQLLARLQKAGRRSLSLIS VINQAAGGNRVLADGLGPNALGRIERDVLARPGSGVRYALILEGVNDIGTAPLDQVSQ QSVGDRLISAYEQMITRLHSHGIAAFGATITPMSGPGQAYGEPEREKTRLRVNEWIRR SGRFDAVVDFDRAVRDRGNKTMLDERWDSGDHLHLNPEGYRVMAEAVDLEIFERFADG VNRYL NEUTE1DRAFT_90834 MGPKATEKKRKVQDAEPESDDEIAPDFDGALSQSEDEFDSDFDD NEHEQDGEQESGSEASDDEDEEGSLLSDDISSDAEGDKAMGKLVLEDEEEELEIEIPG VDPKRPEKDDGDKNYRIEKDANGNERYVYDEIDPVYDSDDSDAQEAPNTIGNIPMSFY DSYPHIGYDINGKKIMRPATGDALDALLDSIEVPKGWTGLTDIHTGNPLNLNDDELEL VRRVQMGMIPNELEDPYPETVEYFTGIEEKMPLSAAPEPKRRFLPSKNEAKKIMKLVR AIREGRILPYKPPEEREKEEEEEEQYFDIWQDEVPQEVNPLHIPAPKLPPPGFDMSYN PPAEYLPTKEEREEWEKLDPEEREKEYLPQKYDSLRKVPGYGEIVKERFERCMDLYLA PRVRKNRLNIDPNSLLPKLPSPSELKPFPTVAQTVFRGHDGRVRSVAIDPTGVAVASG GDDGTVRVWELLTGRQVWSVKLSSEEAVNTVRWRPAKDSFILSAAVGEDVYLMVPTHA SVTPALDQASRDILSAGFGYATNGQQHGAAPGKEPPAKWARPGAKLEDEGVLIRITVR STVKVINWHRKGDHFATVSPSGQRSSVAIHTLSKHLTQIPFRKLSGLAQTASFHPLRP LFFVATQRTIRCYDLQKLELVKVVQPGAKWISSFDIHPGGDNLIVGSYDKRLLWHDLD LSIRPYKTMRFHSEAVRQVKYHRGGLPLFADASDDGTLQIFHGKVPNDQLENPTIVPV KVLKGHKVVNKLGVLDMDWHPREPWCVSAGADGTIRLWM NEUTE1DRAFT_133133 MISNSEVTKEKEPVKRRACDECRARKLACSKEPDGCARCKREGV HCNYSAQKPMGRPRKRPHVDVAEASRKEAKTDDVPPSETAALTYPVSMPFDGTLNLDL DMCFLDVTNTDINFSDIFDPNFQFGSYGFPLSLDYDIPQPGPAPGQIETMPKEETRHA NQAWMDSTAAVNAQWQPVLGGYLDVNFDSIDVQASTSACSSSIQQYSSPFPKREQPEG IYPNTSHNHHGSRPASRSEVSESTVPHLTPGGSSTTSPSDSTNTNDRAVGGQPAAQCA CSGNLREAMESLRIVPTDNITQALYTVRTVTKIAHETILCSICGDPLGGSSSSAANTD TDTDTKTDNKSNNNSDENEPPDSAIQNMVLLAAILPSLSSSYTLLLDLVEKETLSATS SGRHIFFDLEGYGGLWGPIATSWKRCEDMVALLAQSLPPATWRLIARAMLKLDVYGQG GAAGTASQRPVISLGDDDGGCMIGSRDKSNGNGLQQEPCEKVEHIGLKDIFARLEERS RRRQERLEALVASGELEGVIPLISGGLDVGSASEKSISPTASTGITTTLAAAGEADEK MEGKADGKKTRSPGMRIIASAKSAMDRLLIS NEUTE1DRAFT_118802 MAQMRGPSSYGIGASSPFGGPVNEDSTESSPLDAIRKQTSKIED VLDSFSEPIKPYLPAIGRFLIVVTFIEDALRIMTQWNDQLLYLHDYRHIPNGVTHLFL LVNVIAMASCSTLVIIRKYSEYAVGGLIGVVITQALGYGLIFDLNFFLRNLSVMGGLL MVLSDSWVRKTKAFAGLPTLEEKDRKMYFQLAGRVLLIFLFIGFVFSGEWTIWRIIVS LVGLVACVMVVVGFKTKFSSTLLVVILSIFNLLVNNFWTLHEHHPHKDFAKYDFFQIL SIVGGLLLLTNTGPGQFSIDEKKKVY NEUTE1DRAFT_125984 MYGSRLFVGVATAALSITAAAQTFVSSRASSTSSSTSISATPTR AAGPNVIVIEVGKAGMWSSGENYTDPESFDKPLEWQFTLDTEEPMFFYCSARTSCSTH KMIGVINPNATHSWDAQRKYAEQVTYDLKPGETWKAEDPNYGKEDGSKKSGLSAGAIA GIAIGAAAVVAIAAALVFFCGRRGGFQKAYRKSAIQPPAPATDAAGAPAMVEAQYHNQ HNPASPVPTPAGLKSPGQASFTTFSTYPHMDPNDPYRSMTASPQQPPYPYGTPPPQQN PVSPGSPYGYNTYNGHAMSAPGSAPVPGPNSPLMIGELSSVPHSRDVNYQAPVELPSG DGTSVRPPSTMPGSPPPQYESGSNEGAKQGWTVGEENQFQKR NEUTE1DRAFT_114446 MEREALVRIPSFPLAAFLWPARSSVSQWELLPLILMVVGLFRWA AGLWGYSGFEKPPLFGDYEAQRHWMEITTHLPISQWYFHDLEWWGLDYPPLTAYHSWI CGKIGSLIDPAWFALHDSRGSHDPTLKIFMRATVLVSEYLIYIPAAVIFVRRFSRLSG VPAWTSSVALVAILMQPATILIDHVHFQYNTVMLGFVLASMSSMLAGRDFWACFFFVM ALGFKQMALYYAFSVFAYLLGVCVFHRTNIPKFNIPKFIGIALATVVSFAILLLPIVA GTLSEASRGITAHPGGAHPPLPLFADLAKHLNTEAFYYPVIEQLVQMIHRIFPFARGL FEDKVANFWCAMNVVIKLKKYPAELLQKGALVATLAAIVPPNLILFLRPNKHPGLLPL AFATTAWGFFLFSYQVHEKSVLLPLMPMTLLLAGRQGLAKDTRAWVGFANILGCWTMY PLLQRVDLRVPYTVLTLLWAYLLGLPPTSLNAYFQDGSSIWSQWATAFIHGFFYLTMV FWHVLDMFVVAPKDKPDLWVVANVGVGVAGFSLCYLWCLGSLVIESEILPPSWTGKEA KTKSE NEUTE1DRAFT_150022 MPYPFVLPTTSSFSFTSCFSCESHPSLPLNASTRRGVVREDLKA FKRTPREHQVAKLPTVVSSLIAYLPYLFAVDAGLSQQAINGEEIAVILKTAPVIEWRP TLSDNAAVAVPGKDPARVKVHSLEYEVFFVLSCLANAHTLQARTALHPLYVLSTAPVG TQQRQVAVSAATKNLLDAASIYDYLALRAEGLSSGYGMAPPCADISPAALRAQCSLAM AEATLLAVLKDDPYPAVVAQDRNKNDTEWMYKAPDIPKVRAHLFARLCLAASEHAAQA YSLCAGLARAEGGAKVTESFLKYLDNLRRTSRAKVCRFFGIDSELGGQTGTAIAWLNA GLQELGVERNPSEGGSKKSGGFGRLKEKWTEKREDKKVERGLDWGADAGRLEETRVYE MLWEKWSKQNDTIMTQVVPPSGPLLQQMPMGREIHTLKPFQPPQLDAPTLEAMRAPPE RSDDAALYPSSDEDDTTIGATIGAFPGTQEHYRTGTPNYF NEUTE1DRAFT_90849 MSDSEDHIDNVPDDAGDDLFGDEDVNDDQPLSEIGEDKLSDRDL NSGREDDVADRHHDEADGMEDEEPEFRSKTVADTPLYRHRIPKSEDGSLYSFKVPDFI KLNPLEYNADTWEPSKWDLRNARAETPIPSVMRRRDPKTGKMQSNTNIYRWSDGSVTM AVGDEHYEIQSKPLAPPSNKPYQEVQDAHYYAAAAHLTTNSLVIIGHFTEQYMLRPNK NIQDHALERLKAELATAKKERGPDMIILAKEDPELQKKQAEMAERERAKAQRRRETAA ARVDGAGGRFKGGALSIGDLEGGRRGRKRGVPGGGKKKNRRPEYDSDDELPGGVRNTD KYDLDDGFLVDSDEESEEVVDDEEEEELLDDDDEEEEAPRRKRQRTAEADDEDGAGTT SHRRRRAIVDDDDE NEUTE1DRAFT_125988 MSNLFSGINARFRGHKSPTSPTQQNPQNSLPSIHSLETSPGYTS PTLSVKLPPIQNSLSLAESIGMDPNNGSIPSAEEVLASYHLPQVKPQWLNCNYGKHIV KGNFLTLSAKPKTVEMGEWIAHQVVDHWRMLITFIKLVHDREEDGSSICNSRKCPKMS AGASHSFTWLNSRLQPVEIPAYEYLSLVQRYISGKIDDSNLFPTDPAGVSFADNPAFC TPLPESGPDWIGKRSGFPQNFMETCQTIFRQMFRVYAHLYWSHFDDMFCLNLEKSMNS CFSHFILTATTLGLLKKTDLEPMQPLIDLWAALGTFPQGCKAYEIANISVGHLLIQKA GGPPSS NEUTE1DRAFT_90856 MDLNNPPRHDASMSNSGPSAGQPPQNQPSRRRKNHRAGKKKKKN RRTSFGVTSEDMAQERMNDKALAEARNGFYSQPGNNFSNTSIDSEALLDHREQQPFLR PRRPSMLVSQSSHLTTPQVASRLKTVVASSNNSEDEHEGAPLLSSSVFQRSEGFAGYG SNDTARRDSVASRLESSRDSSKRRRATSLGATGTEGYNVNYPPSVPGTPPMGATDRDL SMSFGDIMLRDDVDSMPNSPGRRTLNEESDLVGSLERRHTIALQAEEDVCFPQDGLSE IADEDLRTRDPTAYRMKRRRRGKWPDLAILEEWSRYEKEGRSEERHAKKITEPQLING RLRPVHRGWYRAQEDAPYRFTYFNEEFQSTIHSQTISELVPPGGSFRQLFIPDPPLLS DSSDDEDDEEDQRHVGLDEVLGGQNGDPRIPSRQPSLTTTTQGYFDARRDRGSNAGTT VTDSKRTSGEHTPTDTKSPERSKSPPTEKTSKPPKYGDRPVWWLDIYSPTEQEMKVIS KAFGIHPLTAEDIMMQEAREKVELFRHYYFVNYRSFDQDSTSENFLEPVNMYVVVFRE GVLSFHFSQTPHPANVRRRIRQLRDYLILSSDWISYAIIDDITDVFGPLIHNIEDEVD DIDEAILLLHSEDDPNFPSDNLKEKSEAGDATSAESGRDMLRRVGDCRKKVMSLYRLL GNKADVIKSFAKRCNEHWEVAPRSEIGLYLGDIQDHIVTMTSNLSHYEKILARSHANY LAQINIRMNERQEQTADVLGRLTVLGTIVLPMNIVTGLWGMNVWVPGQGNEGDLKWFT AITAGLLLFGFGCYLIAMRVYKIV NEUTE1DRAFT_72168 MSTRQPSRVVFVGNIPYGLTEEQITEIFSGAGRVLNFRLVYDRE TGRPKGFGFAEFPDYDSAASAVRNLNDHEIMGRKLRVDFSNETVSDEDGGRDRDGGSN QNAPGSYNAQPPNGGGYNTAPPASAAPPALGPLPQGKDLPPDVTCTDAISRTLNTLPP AQLLDILQQMKTLATNDPARATELLNQAPQLSYAIFQALLIMGLVSPDAINSVLDNPA AAAAPSMGTPVVPNPMGGAPYGAYPGAIATPPVAPGPVGGYAAPPVAAAPIPAPTAAA APGQDAEALMRAVMELPQETIDKLQEAERQQILALRAQFSAQGLR NEUTE1DRAFT_118808 MSNNPYQDLLNKIQQTARSRGGGGFPGGRPPIPPRGLGPALTGF ALLGGGAWVLSNSLFNVDGGHRAIKYRRVNGVSKEIYGEGTHLMIPWFETPITYDVRA KPRNVSSLTGTKDLQMVNITCRVLSRPEVTALPQIYRTLGTDYDERVLPSIVNEVLKS VVAQFNASQLITQREMVAKLVRENLAKRAARFNILLDDVSLTHLAFSPEFTAAVEAKQ VAQQEAQRAAFIVDKARQEKQAMVVKAQGEARSAELIGEAIKKSKSYVELKKLENARA IANIIQEAGGKNRLLLDSEGLGLNVFEDRRSKDN NEUTE1DRAFT_118809 MQIKVRTLTGKEIELDIEPDTKVAHIKEKVEEKEGIPPVQQRLI FGGKQMVDDKTATDYQLEGGATLHLVLALRGGGW NEUTE1DRAFT_125994 MAGPRSLLDMALKLAIDNAQDITCLDDVPPKIASQILKAVRTPE HLRTIELHSGDEIYELTAESWRRFIERKYGLLHNKHRWEPSNPKSWHKVYEKYGKLQK ESDELATEALRAKMAAVNDQKSSRTTTIVSAQVARKLPAPKARTFGPGFRGFSTSSDP PMKRATFLQKATREAKLDAHRRKLAKPSVMLMARKTELTRAPDRLIEEKRIQNQFDID SAPIIEGPRIRPATSSRQQKDQEARLLQIKNGGKSGSSGHAPNVLSFDDDDNDHHYDN SRHNDKGASGDDKGASGDDMDDLFGDLDDEDARSPPLKSKRGLLSASPGANSQPRAAA ASAKPATTSRPPPASTTNAGRSSNIGRSQPATSSSSGRRPESSPGAKRKQVDIFMKPA KKVRRV NEUTE1DRAFT_72178 MASWGLLDDKEENELHKSRLLNVEEKPFKRVTKRLSTLHSLTLS RARQAPTPPPEGNGAAAGTTEQEVQTPTVTGDSATSDLAQLKEDIILDFAAFDSSIAR LQFLLTANERERERYVAERERILNTAQAVRNNTVQLRVQLEQARATLEERKKFDELAD KITNNPALRTRAEQAANLRKLEEEIAELKTESETYGITWHERRDQFAKIMDESMRLRR LIRDEKEEVERREGMGDDEGGAGDTEADAGQTPRPGISSGNATPRPDSGLPTKSGVES GDAAGTPHPVSTLGARTPARDTPAPGDENQSFLKPPHDVSGGYSQTTSQEASREGSIS RGDGNEATQGTDVEMTEVKEDEEEVEEPDSPLSPLPDQTPPRPRITVDGPNVDEDTMD TT NEUTE1DRAFT_72184 MEAAFAKPVDEVLSTFGVDPATGLSDEQVAQSRAKHGRNAIPEE PPTPIWELILEQFKDQLVLILLGSAAISFVLALFEDEGGWSAFVDPAVIITILVLNAV VGVSQESSAEKAIAALQEYSANEANVVRNGQITRIKAEDLVPGDVVDIAVGARVPADC RLISIESNSFAVDQAILTGESESVGKDFQAVVSDDKAVLQDQVNMLFSGTTVVTGHAK AVVVLTGSNTAIGDIHESITAQISEPTPLKQKLNDFGDQLAKVITVICVLVWLINIPN FADPSHGNWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSLP SVETLGSCSVICSDKTGTLTTNQMSVNKIVHFNQDGTDLEELDVEGTTFEPKGAIISQ GKKVTDLAQNSATILQLTEVAALCNDARLDYHPSTGTFSNVGEATEGALRVLTEKIGP CAPSDCPPKDRVHYASSWYEKKYQRLATYEFSRDRKSMSVLVEHDGQQKLLVKGAPES LIERCTHALLGSDGKKVALDRNMSELLMKEVVEYGNRGLRVIALASLDNVAGNPLLHT AKSTAEYASLEQNLTLIGLVGMLDPPRPEVAASIKKCKDAGIRVVVITGDNRNTAESI CRQIGVFGSNEDLTGKSYTGREFDNLTPSEQLEAAKTASLFSRVEPTHKSKLVDLLQS LGEVVAMTGDGVNDAPALKKADIGVAMGSGTDVSKLAADMVLADDNFATIEVAIEEGR AIYNNTQQFIRYLISSNIGEVVSIFLTAALGMPEALIPVQLLWVNLVTDGLPATALSF NPPDHDIMRRNPRKRDEALIGGWLFFRYLVIGTYVGLATVAGYAWWFMFYSEGPQISF YQLSHFHRCSTEFPEIGCAMFSNDMAKAGSTVSLSVLVVIEMFNAMNALSSSESLLTL PVWKNMMLVYAIGLSMALHFALLYTPILQTLFSILPLNWAEWKAVIVISAPVVLLDEL LKAVERKYFVQSTPSSTSLDKKKDL NEUTE1DRAFT_72191 MSAHVEVLSTDLRRTKIKVTPGTYMVDVLGEACQKFNLNSDKYQ LKHKQKLIDLSGPFRTSGLVSGAKLELVQKSKSAAVVSIALDVNGQRYTKKLSSDMTL WQVMRQFEVAEKGLNLTGRGTPKSSTGPQGTSGQLYFEAPVVSIMGREYTSMEDLQKT LSQCGINSGSIVLRVSFRETEKTLYEAMQDIGQYLKEVESDQPKTQADEPSAPVTGSA PAIEEPTEDLTLAAGPKPIKESTLAEPELQTGSVEGTKAAAPVAPAPEPVPKTDPMDI VDEVVAPPAVPPRETTTTVSEPSDPLMPTGVFNAPSSTTPIAARIEVHDSVYEPTIAH AQLRQQQLLQRAQNQRLKSDAELAADAAEEAARLAKVTQVDIKIRFPDQTSAQWTVAP EHTGAFLYQAVKGVMTHPDQPFRLILPGTHPIVAVQDGNKRLVSDYKLRGRALLNLVW DDAASQEAREAPFLKGSVASRAQEVVVPDVSQEEGEEEKDVQAGQSSSSGQEVKRKGD HGLDSDAVKKKLGKLFKLPGRK NEUTE1DRAFT_118811 MAAESKTGLAVGLKKGHKTTARVSKPRVSRTKGHLSKRTAFVRS IVQEVAGLAPYERRVIELLRNSKDKRARKLAKKRLGTFGRAKAKVEDLQRVIAEARRA GH NEUTE1DRAFT_114461 MESSVPDYYPEPPSLVGTVFVRSVFFHHSRPEVVWVHGQPDKAL SKSMTLVKSENGSVVASDTAVSLAGLVAAHAPQSAGAQSARAHPDSDTHRRSWQELAS GRITATTKLAIVARLGVGERRRVLKLAIRELGGKKARVRELKSPAVVLEEGVLARLAR LVIKSLWHEISAGGLALSPLSKYLAILLSGAAHCAFESFRVYKVTSQYSTYIISKVRV GDCRLTLAAEQGNGVLDCYYRSLRAYGATFNLLYSLIHKTIGYT NEUTE1DRAFT_150037 MLMMNLHALMALIPLVASLPSMPSFGNRIKSLSSHDDQCPFPES YKITSLQVWTPKNNNTHPIVVDFQYADNETNIETSCYYNGTQPNAGPEGLAPRYACEN PTVQFQWIQKTSMLSIIELACPNTAESGFMAVDQFTPRLSCVDTSNTTAFGEGSQCFS LDFAIIRNFTALDPIAPPGGN NEUTE1DRAFT_72200 MARLVDITYCVGTTGVSKPFSCVSRCKDFPSMQLERTWNTGLLL SDSCGYIAVDHGERKTGGRNGGGTVVISEPAIIVAFRGTYSITNTIVDLSTVPQEYVP YPAPDDDEEEEDNIGGRNRNVGKGRSDNNDEKCTNCTVHMGFLASWRNARDYVLPTLK AARAKHPDYPVHLVGHSLGGAVAALAALEIRLSLGWQDVQVTTFGEPRIGNQALVDYL DKAFGLDIEEDNDAHETRAYRRVTHVNDPVPLLPLTEWGCRSHAGEVYISKLNLPPAP EDLRLCQGDNDPECMAGAESSDGGGWFDGSLREVTDLLALAAAKGTDPYFQHRDLVAV DGDDGDTGSDAGAGNDGVGIGGESTATALTSKTNRLFPTRLKLWQLFFAHRDYFWRLG LCVPGGDPADWGREKYNLTQTKDSFVFGDGEL NEUTE1DRAFT_72201 MANERESKTFLARLCEQAERYDEMVTFMKEVAKLGGELTVDERN LLSVAYKNVVGTRRASWRIISSIEQKEESKGSDKHVAIIKEYRQKIETELETVCKDVL EVLDEALIPHAATGESKVFYHKMKGDYHRYLAEFASGEKRKNAATAAHEAYKNATDVA QTELTPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSLSEESYRDS TLIMQLLRDNLTLWTSSDNGEPEAAAEAPKAEEKAEEKAEAAEEKAPEEQ NEUTE1DRAFT_72204 MNHSYRAVSSPGRLSMPSPAAPLALSDFILQRPLSPYSFAYDGQ AAASPDATLAEGDHQSSQPQLLGETSRTRSAATVPMAKLGGDSPAKYPGLSTVSIGAP SPSQEAVRKEEGTSESLSTTTPLESPPPVTAQNWLATRQQIIPGRNVKKADWIRGWSE SVVNLGNTTYCCCSESFTARGRGWKGKGGDLTSGVRAILPVTRSSSGGLDTAVSQKHL DRNLTARSTPVSGAYGNRQQKATAPPVRPSRLSGFSAIISKEAPDNQPKMTKNSWSTT PRQPAVLNGTRGGHNQLQSESDDESSDSDDPRAKIGLKVSYARLQRAAKLLERNKS NEUTE1DRAFT_114466 MEPMEWLYTTLQHMSNASEDTYAGDQCDDVWVDTVFVPTLQGRT SERLAKGTLTWLLQFDGRSGRVTDQSDTRPPKHTHRLVHDDPLSALPLSRMIPPFMWK NRKRRRLLWKRIQISDSPSSNLGPKTGGLGGFIRVRLSGRVKSQIAVPFSVQSEFPFG AFPVNVLVWARNASQTHCVVIGLADGFANQIKHIDFAIGVLRDRKFKTVVAIVRIYSA SYYTTFTRSNGLQIRTYKVASNRDKSRSRIRTFLFYYYYIPK NEUTE1DRAFT_90897 MDYNSSTSSALWAGQSPSLDKSSQILIREYPHRAIAIASESHAL ILRHSQSTSEAIANGSLASVASARPRSGSFDNMPSKYVHDELDAASVYGQPLGRRDPM EHPCVELQKLLSNGTFYYSTDFDITNRMQDRPADAVTFDIDNFDESFLWNSYMIRPLV EFRSKLQEQERDALDASRILTSAIRGFCRTWAIPQASAPLRAAKTGLPSYLTIISRLS CKRAGTRFNSRGIDDDGNVANFVETETTYWSPSGVVFSYAQVRGSVPVFWEQQAGLLP NQQKITITRSPDGTQPAFNKHFSDLEQAYGAVHVINLLSVSKPGEYELTSLYRAGIRN CPLSRPEEGQSRDHALLRATEYDFHAETKGPQGYEAANEIRRYLEGSADGFAYYLAHE ADDGEERAGSDSTSPPGQSRYVVVLQQEGVFRTNCLDCLDRTNLIQTIVSQMAVETFL GHQGEGAASDFWSRHANLWADNGDALSRIYAGTGALKSSFTRSGKMSLAGAIADVRKS ATRLYVNNFTDKQRQMTIDTLLGRMIGQGPVHLYDPISDYVSMELQRRSNEFSTNEKI RILIGTFNLNGKTDGIDEDLSSWLCPSELGNAQPEIVAIGFQEIVELNPQQIMNSDPT RKQLWERAIKATLDRHYNRADDEKYVLLRSGQLVGAALCIFVKASALQNIKNVEGSVK KTGLSGMAGNKGAVAIRLDYANTPICFVTAHLAAGFTNYEERNRDYTTINHGLRFQRN RGIDDHDHRPVYAVFECTVNIVNERIRNKISREIYERRKADIGGDMTNLAGDQSDDED LIGYDAIEPGLPPASSDRQKWWLENGKMPRSAIQPPKPESPAFQTILNPKRPANPYMP TDEPDWVNIPRSESRLSSFSSLSTSPFEHVNHSMLLSTSASNSAPRRPLPPPFDPSNL PAKVGRLQLNEDGKNGKSDTPPPPPPPRRQTGMGALGSTSGPGMTTSPVTRKLANTAA TAGAGPTQATATPPLPMRSMSVSSEHTIKQKAAPPVAKKPAHLAALSSPESNTSAPVP IEDMMTLSKWKPLQPECSPNPPLSGLRGSLANGSSTSLSSLKDRTGDSSVPLQPSRGV NTSTGVAPQARYTPPGGVGLVGMADLRQKTQVPGRKPVPMSALAPGPALVQKATKPEA PQPPPPRKSHTVNLLDDDDSNGIQMGGWQALKPS NEUTE1DRAFT_72208 MGDFSNYGGSDEENAEIKRLNAEVDADTDNFENWEKLVRACEGL EGGLNRNSSPQALATLRATYDRFLLKFPLLFGYWKKYADLEFNISGPESAEMVYERGC ASITNSVDLWTEYCSFKMETTHTPHLVRELFERGATHVGLDFLAHPFWDKYLEYEERQ EAQDKIVAILNRVIRIPMHQYARYFERLRTLAQTRPLLELVSADALARYRAEVEAENA PYGIQKSEPEIERDIRAKIDAQLYTVFQQTQAETTKRWTFESEIKRPYFHITELEHAQ LANWRKYLDFEESEGNFGRIVFLYERCLVTCALYDEFWFRYARWMSAQEGKEEEVRNI YLRATTLYVPVSRPGIRLQYAYFEEMSGRIDVARDIHAAILDKLPDCVEAIVSWANLQ RRQSGLDAAIDIYKAQIDSPTVDIFTKAALVTEWAYLLWKVKGSSEEARACFSKNVQW YSDSRHFWQKWLEFELGQPTNAELEEQHGARIKDIIQMIRSKSRLSPAVKQELCQVYL NYLQDRGGKKAMKEFLALDRELYGPQSISVITKAKLGGKENGVAMGELDEPTRQKAEA RFYSFYQLHMDPDPNAQGPASFN NEUTE1DRAFT_72212 MAASRTATRTLRALRTSTRPALTAAPRAAFRQGGRRLYSSEPAK SGGSNIWAWAIGAGALGAGGLWYLNQDGASATPKVFAPKFDDYQAVYNEIASRLEEKD DYDDGSYGPVLVRLAWHASGTYDKETGTGGSNGATMRFAPESDHGANAGLKAARDFLE PVKAKFPWITYSDLWILGGVCAIQEMLGPQIPYRPGRQDRDAAGCTPDGRLPDATQAQ DHLRNIFYRMGFNDQEIVALSGAHALGRCHADRSGFDGPWTFSPTVLTNDYYKLLLDE KWQWKKWNGPKQYEDKKTKSLMMLPADMALIQDKKFKQWVEKYAADNELFFKDFSNVI VKLFELGVPFAENSERWVFKTVNA NEUTE1DRAFT_52321 MASLRTAGMLRHLAHPCSHVRSLRASNQRRWAQVHDVRFLATTQ QPRSVIEKYRQKLENKAKEEGLPDIDALKQAYKDKIERLRKETDAATKLPGAPASATP TAADAAELDATATTITTEAAKPSSNATPETPKKPVSSGSGSGSGIKPLSEILDMTKAR DLPIKELSAIWRLRYATNPHSLCAVIPSETYSAMDTLARSRPQFVLPVPHPEQGAEIH FLQWTWDAATATSTVLFTQLAEYKNRGEFAQPHTTVTHYMDFSGDKGVVLMQGQVMED RGVKPEDAQWLLMCLQRFYGGWDGTGGEQGQQRANERRNLLDWFASGDQRFSVEKLME EAERMG NEUTE1DRAFT_126007 MPFNSPFKDLDIPINIDVWSLVFDQQNVPFPTSRRAMTCAENTT LSHTWDHIKAASVDFGKGMKDIWRWRKGEVLALYTPNSIDLATAKTPIVTLGAIWAGA VVSPANPLYNVEELTFQLKDSGAKAIITQAPFLKTAVEAAKNAGIPNDRIVLVGQHSD PSGTFKHFRSIRCVDFPTRFRKAKINPEKDLVFLVYSSGTTGLPKGVCLTHLNVVSNI LQMADVDGRYWSATGGLDGEGDKFLGVLPFFHIYGLTCALFMCLYLGWEMFVVERFEL EKALQTIQDQRITAFYVSPPIVLAFGKSPLVDKYDLSTLKVMHSGAAPLTSELTEAVW QRLKIPVKQGYGLSESSPVVTCQTVDEWAKFMGSCGKMMPNMEAKLVDEEGREVADGE VGELWIKGPNVFKGYYKSPDRTKEAFSEDGYFKTGDMFHIDKYGNMYCVDRLKELIKY KGFPVPPAELEGLILGHSDVTDVCVIGVDDRSQATEVPRAYVVLRPGIEASDSKAQEI MEYVAKQVAPHKKLRGGVRFVAEVPKSPSGKILRRMLRDKVKQEERAAGSKL NEUTE1DRAFT_54329 MQFKLAFVFTLLTSALAAPCDVDTRGLSKRALSAQSYSQFQVSS GVGGNALAEVDENNLASVSAADLAIIKAARETAEDAETKAGGFNEAIKAAGGTKTTAG AALQVGKIKNKVLKLKLFTLAMQIEQAKGGKDNSAKIAEETKKLNNNIALDKAAAGQK STSVNFQGTSQP NEUTE1DRAFT_118817 MQFKSILTVLATGLSLVAAQADAADSTMTSTATMTLTVTITSCN PTVSNCPGNAPATSTSTSTSTIETPSVVLTTSSVAPVETTSSSSIVVIPSTSSSSTLS WSFPQVNSTTFAGPTASQPLTSALITQTQSAIVTASQPAGPSSPVTAGAAGLAAQSGL LMSVLALGAALLA NEUTE1DRAFT_126009 MSALSNGVDTTASSSKMPSTAPTSTAAKGAISNNITTTIPSSSN PSLNSANATTKSHDKTTAPLSLRLPLRSITSPQNGSNHNNPTTAAADPVLAALRTASS SSDIRAALAALHVRESSLTSRLSSVLASHQDLARSLSRLDNLRAGLGSQVIAARSVSN NMLAGAADTASHLSSRVRQLDLEKQRVEDTLRVVELVAELKACVAGVVGSMGAPQDWE AAAGYIARAARVPEEIVRSGFAAAVVPTVEVPDAPWVTLENARESLCVLFLREFWKAA KEDDPAKITRFFKLFPLIGRGDVGLDAYGQYVCQGVANRARAILKDGVGAVGGAANAN APPTLRPKKDGIFYANALNLLFGHITQIVDGHGGLVERHYGGGKMIKVIERLQGEADV QGGIIVDSWSDERGVDRALTDAKSYPFSFLVQSFLPQNRGFGAPPRLNSPAPGTDGRN SEDEGVNMREVDALLSEIAIMLSRWSAYSHLLAQKCTKAFQLDEWPTGLSLRMSKPID SSPPFIISAVEDVMYIANTVIIRSISTSQRGVIDSVLPTISSLLGSDFVGMIQRKMRD ECYPKPVIQGGFPPEDKIIQFIVLINSLDMAEEYLSRIITGILTPGEQSVNGGTGASS QASSLKNSFPFKNDLKEVTTRLNNLHHSFTAKATELLSEGIKVLFSQVVRPRLRPILS DTFRDADYTMTEEELLDLCAANDENEDDVLEQVTRRFEEGWDALMKPIARLMTSKTFS TVLDHTAQHLARWLEKRVWSYAGSQTRGASPYGAIRMERDFSGIIGTISKGNYAVREV FGRTLQILMVANMEDEEWEELIVTDGAGGEDGEDGMLWVLSEDERRKARTIVRVA NEUTE1DRAFT_72221 MDRLGAWKDNDDVLPFYQKSPGQGTFATPEEIEQSRSGCNTPRH RITSAESRGVADDFSYMTPAEAATHLDTSLTHGLTPAEALSRLHDYGPNEIPHDPPEP LWLRFVKQFQEPLILLLLASAGASILVGNVDDAVSISVAVTIVVSAGFVQEYRSEKSI EALNHLVPNHAHLVRKHTGAKPADGDDLSDIGDVAGSVTPPEDVLDAKSSKVMAAQLV PGDLVLFTTGDRIPADVRVTKATDLTVDASNLTGENEPVRIVAEARTRHGATGPAADK DNSTNVVYMGTLVKSGYGQGVVFATGGNTHFGTIATSVSGTESPRSPLQLSMDDLGSQ LSKMSFVIIGLISLVGWLQGKKLLEIFTISISLAVAAIPEGLPIIVTVTLALGVHRMA KHNAIVRKMPKVETLGSVNVVCSDKTGTLTTNHMTTVKMWFFGTDDALDVDSDDEATE TKPDPAMLRILRIGNIANNARLAMQYTENGAGARAVLSSTQGKNAPSTYTRWVGQPTD IAMLDLLDRFKEHDVRDSIGPRLSETPFSSERKWMGVTIGSEGGKSDKEFAYIKGSID KVLDACDTYLSKDGREFVMDTNRRQEAIDAAEKMASRGLRVLAFASGPVTKPAKNKSP ATSTVEEQYRGLTFAGLVGMSDPPRPGVSRSIRKLMRGSVKVIMITGDAETTAVAIGK QLGMSVTTPAVGAANTSGVRSVLRGDEIDAMTDEELAAAMDHTTIFARTNPDHKLKIV KALQSRGDIVAMTGDGVNDAPALKKADIGIAMGKHGTDVAKEAADMILTDDYFSTILH AIEEGKAIFNNIQNFLTFQLSTSAAGLSLVLLCTCLGYKSPLNAMQILWINIIMDGPP AQSLGVESVDKDVMNRPPRRRGDAVLTNPLIARVLTQAFIIMVGTMLVYKHEMLGDGQ VTRRDTTMTFTCFVLFDMFNALACRSESKSILRGEIGLFSNTLFNWAVSLSLAGQLLV IYFPWLQEVFQTEALGLLDLVYLVLLCSTVFFADELRKWWKYGARRHMGVNYSQAV NEUTE1DRAFT_72223 MFWSGTLLSATGPLAKAWLSANQERKVSKVQILQHNLQDSVDAI IAPNDAPLALRLSGQLLLGVVRIYSRKARYLLDDCNEALMKIKMAFRSTGNHDIPTNL HVQNSESLMLPDQITPYDNLDLLPPPSADYLASQIEEVTGAPIMARKVQVRASQRDIN LQEDFNNSQFLNNTMIDEEELALANNDDLGLELDFGMDIDERPSKFMDTTIEMGRDAP NARAVEDDIFSELSAGPGKQRGTREPSLGLDLDYGDGVRIADDEGDIQMGDDDLQFNV ADHSEIPEGLSTPGAPDMARARISESPLSDIDDEFAKEVEMEYSRHNNSDMYEPGEDP TASTIIAPQRSKKRKLLQPDEQTMLSNAQIKEQQSKRDNILKPQSFGTQDPYLMALLD LQKNGAFVSSVLLENRSDSWADELSDMLSLSTFRPFDLKRKRDSAIADMEVDDEDGTR KSPRLELPDDESTVVGRAESINGNQSETAEGTEIELAANDDGAVFHDEDQERPGSRNA NSPVPNFDETIAPIVHPADSGPVSIGTKHAVHILRDLFGAEAANNADKRKKTAVVFQD LLPEGRTTKADATKMFFECLVLATKDAIKVEQKEGALGGHIRIRGKRGLWGDWAEREA GGEMAQQSQQQQPINPDEPQPSIETAAQPIEASA NEUTE1DRAFT_72228 MWSLLLLHPFWNLVLSCILLISAFPGADASDISIAVSNMTLTAT KFTPEVLLTAPRRSPAIPNAKGTKALFTVTTYSFETHRKTSQIRLLDIESGQSTLLVD DLSASEPTWIGDDEFLYLKSGEKGNTTVLVDKIARPGSQAYEVTTIKARISNIKIKEL TNGTVAFVCSASATPDGQLSNPATAKKPYSSAKVYTSLFVRHWDEWLGEDKNSLWYTA LEKKNGRFTLTGNGLVNALAGSKLESPVPPFGGTGDFDISPTGLVFVAKDPELNPALY TKTDLYYVPLKTFTEEQPPSPQIVETPGLEGYTNSPVFSHCGRKVAFTRMRSKQYESD KPRLVLLPDIGDLSKTEEFFASDDGEGAWDYRPETILWSADDKSLYVTAEKNGRVHLW EVPSNPADAKDTPSVIKTADGSVNDIRLLAEAESSSKLLVSSTSLVDNSCYSIVNPSA NSTDIVSSNSKQGKTFGLSRSSIGDITFKGAGDYDVHALVVRPSNFDEKKKYPLCFLI HGGPQGAWQDGWSNRWNPAVFAEQGYVVVSPNPTGSTGYGMALQNGIKGQWGGRPYED LVKAFEHIEENMPYVDTDRAVALGASYGGYMINWIQGHPLGRKFKALVCHDGVFSTLN QWSTEELFFPIHDFEGTIYDNRAGYEKWDPAQHVNEWAIPQLIIHSELDYRLPITEGL APFNVLQSKGIPSKLVMFPDENHWVLKPENSLVWHEQVLAWINKYSGVEKETQEVKEI AIVEDKRQKTM NEUTE1DRAFT_72229 FDGSASDFHRHPPRQSARRQTSGNRGTDHRHSLYSRREATATFA GSAKVNKDLTVGRRGY NEUTE1DRAFT_133163 MGAADGAAGIPYYEKQRQHLKELLNKKKLLEKRLLAQEESIYQK ETEYLENTPAGNIITGFDNYTKGTANVAAQRRKTGLTDANRVFSRSSISYNPAAQQES QTPASSAPASHAPTPVSTSFNNKDGASSAPTPTSATAGKANSKKKKAATSGGAGVEDS ETDSRETKKARTNFGAVRK NEUTE1DRAFT_150050 MLPSNLYSTYRQYKHDTDVVASWLAQTGKTLGCAAELIASNSAS PANPNLKSARLKGKARKSAKPHAAAEAHGQAKGLSERQKYVLALKNFVPLAEFIGTKL SEARVVEIPSVVPVAIERAITVRKGFARLLQDHGRALELESNENHSYFVSVLEKVRHI LEPLFRDSWVRRALPKLPKTTASTNPFDLLEFYDAPDFDLAGDSTGNSKEAAKGKETV DEGVIVDYEAEDSASSLVAFATLFRDVRALRMQVRSLWAAYAKGELSLPSVAVATNTA INIARFMEEDAADSVRLNRDKKNPKDWALFYCQMATSSQGIDITERTPGCDINLSAFP MLDESMTIAFILLEAWISQARASSEVVTYNGKFGWYDLTIDYDSAPTDVKWQQDKAAF LEVAPQFDLMGRFNTTPPDALPEGLYSDMPVEDELLRGLRLLLTRKEKVLPFWLAFAT TIHLDVRRVLGRQSEKPFWAMMRYNMAIDDSITQNLEYYENLTIKGWEQMHGDRQLIK LQNEARWFTENPLYKVIESTGLLTSRDNKEHTFLKMHPLFCGLWIHYIRTTYHLLATQ FERCWGGILYTGHLYNALCNEGLIDDAAKWDDMDLFYRLQGGKDKFFVGAAPTERDSY HKQLCMMMGFSATSFIASTRNKTRKLVPTKAGPRSLVAQGKVSSMFHNQYRPSMEGIK LSVDDVQAILNKKSQNPQKTPQATPSQLIHQLALDIEAEIPETSVDYLAMHRQCWDFL RSLEGAVDPYFRRVAGPGWIEREHQLPFVVGYIFSTVLDPVGGESKMTEFLVLASKVY KEAVAPYRKDVTFHLETIQDYDKMLSHPEDKFLGPMLNELSLEEPEDEEWVDSEFEEM MEADREIDAMCRKFDPLWDEPED NEUTE1DRAFT_90925 MYPGSPDIVVSVDLGTTYTGVAWMTPKTPIQVISDWPGSGDRGE RKVPTTLVYNANGTLSSWGFMCADDELEAGVPGGAKQRREFFKIFMDADTLADAQQQG LTSAPQSVYEAQQFVTDFLQQVYVHVRESIETQIGRRGIGNGWTDLAVEFLFSVPTTW TSQSIVNSFKKVIREAGFGVEGPKHSAQVDLTEAEAAAVATLKTSAVSFSMGSVFLTV DAGGGTTDLALMQVTSLNMAVPQMASLHAVNGVGIGATLIDRLFMRLVQRRLEACSDA AAHIPPDLPLRLARSHQFRTVKHKFGERAYMQELFRIPIEGLAYNFSHAGLGIENGRM VFTLQEMQSLFDPQIEGIVNRIMGELEWLRTMGHLHQVQYMVLSGGLGSSAYVRDQLQ QRFLVHQHPNASDQVAVIPCNDPQLVVVRGLLLDRQQRWESGGATSVLSTRIARASYG VIVQQIYVPAMHYNEDVRPDPFEPGKRWALNQIQWLIKKGDQVNPNAFLVKSFQIRLA ETETTRAWNSQIVVSHNAPSQLPSSMKQDGAYKLCEVKSNLNGVQQDQLVKVNKRGTC WSRGVTFYICQFDVRVIVAPADLRFELWFGGQKFSGNHEPISVDWDQGGSKVKGM NEUTE1DRAFT_72234 MAQPLVTTVIVTTTLTDKSQGITFLSKLTPAPVVVLEAESNASS SPTCSPAVSGNSPDRDSQCQTTPAPAERRGGVASGAAGGIAIGCLIAGIFLGIAAAFC FFSRRRQRHRGHGDVTEVAMTPSKDASYAQVGPGMQKQDDDVMPQLKNFLLDSLSDQE LAAELRDRHHLIQQHVENHYHFHPLDATRVNRQSLVAALSQLGLGEASTEGPSAETIV SFALDPNTRQIALQHVLSTVIFTSIDPSARSPLSMLPPPVAAFLQSVPMDEEHGVRRQ VHSQASTLALHWWRVLSAFLLHPQRSQRTALTPTDAALAPQAAAMAQALNSFLRYFVE DSDTARFQQESHLREVIVECAKFGYLLFSQPSDWCMLHVAGHEQVAGTVRSYREGIVC AAGLVKRTDKDGRRLGGSAQTSGTLVVPPVVG NEUTE1DRAFT_51664 MPPRFGKRPRRLRPPGSRQSLQQLTYSGYLPSCALDDVDGPLKD GRFCPQKRQVFVTQLDQVLEVDNTGSCQLETGTDCGYGGGVVEGDIEEESFEMRTRQR GNLEERLARLARDNFSCKSKLKAGWFRTRL NEUTE1DRAFT_90927 MATGVDAKLLKSTKFPPEFNQKVDMQKVNIQVMKKWIASKVTEI LANEDDVVIELVFNLLESGRYPDIKSMQIQLTGFLDKDTPTFCRDLWKLLLSAQTSPQ GVPKELLEAKKMELIQEKAEVAVVDLAHHRVSVIKRTVAHQEEDSEIHMFPEEVRHSD VAEDDGTTGDGDLELGRRLCGRGPALVRGHPALTEAGDLLLDPLALLQDGDGAGVGAG ACRGLEASATVGPYRLLDLLAFPDREAAPRLGERVVVAAAFIPITVLLDVDHAAEAEA AVLALAAHGALTDALVEGMNETAA NEUTE1DRAFT_133168 MESIQLAQMLADLSDLNAAQESQAALAVVNANKSASQPAPELSH HLKPSTEQPPIHPNQHQLHHQRVASATSLLSRTASPARYDKYGRPLTTPPMTRTHSNH GSIPGTPRRESEPEDDVDRAHSLMALYEIRTKLKQQDTTSIDKLRDKITALQSRYQTE KKDGADAKISRFIYPKAQSPPPS NEUTE1DRAFT_118822 MASLKKASKVVCIGRNYADHITELNSAKPKQPFFFLKPPSSIIL PGEGPVIRPRGVDMHYEVELALILGKRVRDHDASDLQGALDAINSYALSIDMTARNVQ NEAKKKGLPWDIAKGFDTFLPMSKLIEKSRIQDPHNIELYLKVNDEVRQNDSTNLMLF QIPRILSDISKVMTLEAGDIVLTGTPKGVGPVVPGDVMRAGIRVDGKEIEEAKIEIAV EESTRSYEYAET NEUTE1DRAFT_133171 MDHSGHDHSTHMDHSGHGDMHSGHPGMGEGAPRCSMNMLFTWNT ENLCIVFRQWHIQSTFSLVVSLLAIVALAAGYEALREGIRQYEAWTNKRVETTPHPRE QYRDDDDVEPQLEDEERLAETTMPWLLGQNVAAVTKRAHAIKSVLYGIQNFYAFMIML IFMTYNGWVMLACSLGAALGYFVFGSRTTATKETACH NEUTE1DRAFT_150059 MSASNEVGAHTTGDFPQDHEEFQTIAQSIQGVQDPEILFPTSTR TGELPTEGQEENSKEDGETAKDQEEEKAIDSEASHYWDASTMKPLSPASAAAIARLRA FKPPPFPLWDRLPISRRAAVLLLLFADKRGDLRVVITMRAASMRSFSGHAALPGGKAD SVEETPYQIARREAWEEIGLPMDDNKLPSPFRIEHLCYLPMNLARTELVVRPCVAFLH ADNKVAPAADESLIPRLNAKEVAAVFSAPFHNFLRANDDLPKSDDDGSQEKPPPGKWY EGSWTNWHEERWRLHFFYVPVNNQQVAKPGSRKQLKAAEEKSSNKSAIINPEDDEDLP PPTAALARGDEEESERYKVWGMTARILVDAATVAYGEEPEFEHNSHFGDERMIMGLDK LGMLGEKTKKESVFTQEDLKKASEAAKKASEASKM NEUTE1DRAFT_150060 MDETTTTPQRPSCRADTPRPSSADEANITSSSPPGSRFRPPKPK DVAVYEKKPLPPIPPKRRPAASTLSQKDPNSIKSVYTLANITGAGPPEKINNRQNLGD IDWEKEEAEINSIIAKQLTPRGGTPISTSAFPAPRTPKPLHISPADSERTLKSNLSQE IISPQPRSPVSNILQLGSFGSNKSHPDSPPLSPTGQIHNLKVKQLSGPVSLPEREDRF SNSENNATEKHTTGYISPVSSRSSFYSQYRDSTVSEAGSASLAGSSPPRRYDSPVLKE YFPRGKPHPTIKNRLMVRKAPSPIPRPLQPRKIRGSLKGRSNKTARTMSPDPPTDSDH SGVSNTEARELYHETTAQIARYTAARGGGSWAYPNALSHPPHPGSSVGIPSSQTAGGP GHARFLGEDGTPQTTGDSKRESRQPPPVRPPREDELPLALPRWDSAADTLVTPDLDRT EEGEPKARSSSQLSQVSHQSHAGSSSPSPFLATSQNAALKTRPRNRAQTISVSTFGHH NELRRQWDSGSPQLEIGAPLPLALEDNLASITKFAKHGSHHIRNHSDGNYSPGGGDGE NHTSLFRRSLVSKVFRRVSGSTPGGGGGASPSVPTPTSTPATISTATATERGPIRYQT AAERYHDQSCKTIAAVGTTSSVHRPRTADGRGAAGPSSFSFSTAPSTSSAIGFLLPQT LKKSALAQKTNELFVGGRLARKTKPGRKREDLKNSIRVLGDGARVEAPVEFVTGMDLL SLSEKPARQEGHDWL NEUTE1DRAFT_126024 MDGDPVVEPELDSFSLTFPLPYRVAFIVIIAVWGWGLNLQFLHN RKIDVPSLIRYPGRSSPTQLPHHHSTYRLATLLSLSSAVSIFTFWSFTRRDPKRVIDY DWIPMTNLAVTAALFVLPLRRLSVSHSGRRRLLATLRRVSVGGLAEAKDGKFGDILLA DVLTSYAKVLGDLFICLCMFFTRNGSATDRPDRSCGGTYLVPLLLTIPSAIRLRQCLI EYLRVRNSPFKESTGWGGQHLANAAKYSTAFPVIILNAMLRNANTNGTPTTSLYRAWI VACLLNSLYSFYWDVAKDWDLTLFAGVQERNSPDHPFGLRRRLLIHKPVVYYFVIALD LALRCTWMIKLHPHLNTISDFESSIFLIEFCEVFRRWVWIFFRVETEWIRNTSTGLGV DDILMSNFNTRNEDEEYVD NEUTE1DRAFT_142420 MKVVVGNGWTSVLGVNGGSTPGAAPGRQAWFLSKELVRNWQGGA QNVVAQALAAGMMQRVVTGRGRDQGVDVGSSPKAKKSARDRGLATPAYLRQLPQYR NEUTE1DRAFT_72255 MSLTATKKPPPPLTSSPYPFAAAPDIIRAHQKDAYFQGVLTNQL TDLHRRVRGARSAHSWATETRTAADLLYLCLTTLLGNRTLGEEYCDLVQVEEPSTGGN GRIPDTQSPIEPSSEAGGPRLPSLQRRAGYIVSSVLVPYLFSRLLPSIRAALRKRLQD RLTVLARQGRGDTKGATPSTSINKGGGFSTVSTLEYRVKRYLLTHLTSITSGAHLHAL TLAVFYFSGAYYSFSKRLFGLRYVFTRRIEEGQGGPAGYEVLGVLLVVQMLVRGYLHV TAQLSSTSPLSAEEASTEASIRERAFGPGAAGTLDVSLDENAYSSNNELLVETGTSGG KSGNQRSLADIGRTTHTPVPKGGRANYDLAANEEVMGWIKGRQQRMCTLCLEELKDPA ATQCGHVFCWSCIGDWVREKPECPLCRRETMVQHILPLRVA NEUTE1DRAFT_90950 MPPGSRTDIPRPSFYRIKDYEELIIPTKDGEKLSAFYIRGPKGG SNSKVTVIMFHGNAGNIGHRLPIARMLLQAAGCNIFMLEYRGYGISTGHPDESGLNID AQTALDYLRDRAETRDHKYIVYGQSLGGAVSVKLVSKNQGRGDIVGLILENTFLSMRK LIPSIIPPARYLASLCHQVWATDTLIGNVKVPTLFLSGLQDEIVPPTHMKQLYHLSNA PIKIWKPLPNGDHNSSVLEEGYFEAIAEFIQRVSSEDPEKK NEUTE1DRAFT_96745 MAATPVEAITGRRKWLAALLSRDTICTRKELPSGAGGVTVGDDM LAEASEG NEUTE1DRAFT_72262 MVSFSCEVCGDVLTKKKLDPHRQRCWGANFTCIDCMTHFPGTEY RSHTSCMTEEQKYQGALYRPKKGKGAQNQNQNPNQNRNQNNVQAMAQPAYVEEVAEDF DTWRQYDNNGNAPAQAPTPPSATEAPVNVFDYMVNPTPTTSRVSVAEEQPEQKESNEM VRYESKTKETTENAVVHHETPAPKKKSKGGEKEVKKDKKRKRELHIETDHPMTDAPPV LHSGLTGGISRMMSRPDVFPPSPESAETPASPLKKSKHAKHHKSESLGNSLMAMIAGS KLKKSKSSKTKESKDTKEGKEKKSSSASPSSKKHKHSHSKSLEVPKEQKMIEYTPGSK DGAVEKDKDNQVVIFQPRAERFLSFVNKGPESDKGVSLNKALKRYHRERSASGTSVSK LAEEKELWRSLRMRRNDRGEIVLFCV NEUTE1DRAFT_90955 MADVQPATHQGGSDRGGRGGRGRGRGRGRGGRPRRGHHGSERPN TGGDASQPVQSAESSRNLLETANVNVPPPSVLTPDAPSGHSREEGEGRRRRGGGGGGP RRGRGVSSAQRSIVVSHRGRAPPPGTAAAPSTAEEHDTASGAGLSADAPAFVPGQPLA VPTQQPRAAAAKRQKEHRPAPSNTSKSSAPDLTTRIHEDIASGLYECVICTNELTRNT RIWSCSVCWTVTHLSHECGERCCPGEKKAAERRKKKNRGPNENYEAEHICLQCPSLMD KLCICGKEIMKNRPCWNNEVHCGLPCGKKLKCGSHVGKKTCHKPGDCEDAGIPGSHCT QACGKVRKSCEHTCAEQCHAPYPCKEDKPCQSKTFITCPCQNRKQEVRCMATMLSPSS ARESSLKCDDECLRLQRNRKLANALKIDDTHTDDHIPYSDKTLKMFKENVNWSQTQER EFRVFASSPDEKRLRFKPMPSSQRAFLHSLAEDFGLDSESQDPEPHRHVCIFKTPRFV AAPSKTLAQCARIAKATASLLKPAAAAPVVAPPKQEAFNALLLKEPRFGLTIEELDQA LAADIKSVAKSGLTAVGFTTNFLPTEEILIKAVPASTAAAIANSPIAPTPQAIESALM TLKSAVTKTVSLSKLATGGVVLCHADDSLNVVRREAESGRGGVGGWNAVASRGSWGRL GGGSKTSTAEPEKAAAPLTRSFVTLRKIMEKKPVVEVKKRPEVVEEDWEAAAEKEEEQ EQEKEKSGTSGSDSEGEAEGQSKIVSVDGDVQEGNGVTSDTERSVDTAAHEEKEPDQS LVDGRSSSASGEQVEIETEMVAATMNA NEUTE1DRAFT_126029 MEPVPETERMEEFRPSPLPSLRVNAPEFDYLRKPPTLRRSTDPS PASPTSPSWSTPTLPYRPRASSPLSTLHVRSRSAVNLAPPMARTQSMPGVNGSVTSTA RLRRPSSPLRLVHHASTTSLSSVPSTPTSVASSPSYRAYDSFSSNYYTTSMPSTPTSM RSRSPSISSLETIPDTPDAEEAALEAERIAQLKAEAEAAEGGGDTKSKANADALSRGR TLGFVSRDKRKRWSVCGAERRQDLDLETIWED NEUTE1DRAFT_90962 MDSSATTGRSGIGTGQGSPAASGQPISKAGQAIPNLRDRIPKLE PRRRKQEPSNPTPVPETPALPPRPDPSTLVFQTPVRRILLRKDHELFLASPSFNLILS FVFSLSESVADTPISAIKDSDLSEPVKAILRILDETEALCKESPPNDQGGSRFGNKTF RLFLDKVKQRGHQWHAAFLGGKLPDAAVTEASAYLNQSFGNRTRIDYGSGHELNFIMW LLCLYQLSVLEQSDFKAVVLRIFARYLEVMRLIQMTYYLEPAGSHGVWGLDDYQFLPF LFGASQLLHHHFITPRAIHQELTLEEFGHDFLYLGQVAFVNSTKTVKGLGWYSPMLDD ISNAKNWEKIEGGMRRMFVSEVLKKLPVMQHFLFGSLIPAAEGMSEQDPNALGSEENE EEGGEVEVYDDSDGKRHVHQPTGWGDCCGIKVPSSLAAAEEMRKRGQVESLRRIPFD NEUTE1DRAFT_72274 MATVAPEASLNALPRADGSARYSYAGYTVTASVNGPIEAQRRDE HAYEAHVDVIVRPSAGVGGTRERHLESILQSSLSQIILVKNFPRSVIQIVLQVESTPE NAYVNTKLVQASLNLPIIPALLQTAVLALLSAAVPMKATATSTVVAVVSDDGSKKIVA DPSPKDIKEATSLHVLAFTSHDELLLSESEGDFTVKEWDGVYENAQKICCQTASKQDG IDMVLDDDASSPDMRHFLRSTLETKVASDLHWK NEUTE1DRAFT_118828 MRTHRPFRRLTGQVQLAMRLDNPVSVAKSQSGRIRTRHIAKITA TLSAQSHITRQSGDCDRVGYQSAQRSG NEUTE1DRAFT_90967 MPLPAPILSPTAIHPALSDSATSPAPGSGSAGTSHPNAQNHSSD SPHPPSYPHPLLLPLPRTRPSFASLDQCASDGVPQARFSDDADRPKSSYATLGRASTL DCAISDADTAAGTPNLRHEGLDSVTPRQTPKPAFASPFISLDTPVQTDYNPVRTALSP ASASASTFAHSQPPPHVPGHKKNALSIESVPRQTIMKALASVARNNRPEPLSLSGMLS AHNMPQDGDNRPSTSSSQKLCDALNGIALSGSTSLQSPCFYHNRFDDAVDIDKVLEEI KNDEWMSHSRLVQTATGVREVSKQLQRRPIRRAVKNVMIVTKARDNQLVVLTRELALW LLRTPRYGSDLGVNVYVDAKLRNSKRFNATSITDENPRFQTMLKYWSPDLCWSQPEKF DLVLTLGGDGTVLFTSWLFQRIVPPVLSFSLGSLGFLTNFEFERYKDHLNRIMGDEGM RVNLRMRFTCTVYRDGPLGQEMEEGEQFEVLNELVIDRGPSPYVSNLELYGDNELLTV VQADGCIFSTPTGSTAYSLSAGGSLVHPDIPAILLTPICPHTLSFRPMVLSDTMLLRV TIPRSSRATAYCAFDGKGRVELRQGDSVTITASQYPFPTVVRTDAEWFDSVSRTLRWN TRGAMQKAFDASSGGTGSDAGGEQEEEGWDIDTDSACYASEEGSVSASPLRRQMSLLG M NEUTE1DRAFT_133183 MDTLAPTPSTPSPQLSSAVPGLAHEVEHEELSQSTSQNQVFESP LLRESQTPAPQLSSQPSGEVERHPKGKRKRTTAKDKAILEAAYNANPKPDKAARQDIV NRVSLNEKEVQIWFQNRRQNDRRKSRPLSPQEIAALRYGGMQILSSDNTLPVYTSEPE NTSPIQVVSSLEQEYSSPREVASPSKPDDHETEQNHEDTLQEDTLPLPEPQIQPPEEQ QSLPHPVYATPAQKQRHAIDDDSLLMSQHLPSSVGYLSSRWNYPAHSHVLSTPISFDR GDDSFNTFPPSSCSSSTSALRPRLSTGLDGKAEVITSPPQNPASLPPSELQSFDSACR PSYQRGPSESPVTLPPISTITSSLPSSQNAAPLLPRLARGRSRDVHAWEFACDAENCE DALTVQAKHESRGSAIAAISLLRSTTSSTAGGSPLQLSRSNKRNASISRMTPRADSSA KKPRLTKGLDAIGRLETPNKNNTWAGKRQSFTADTPADFSHNTKPKSSSLFISPQGNE SDKENWSPDENGNPRFSYHPPSSAIRPTNRRLLPSGPLSSSFHDKATNPRRTPARHAL IESSPSFSLSGNRTNTGPLDGGGRGQHYRGGDKRGGGGSELRIYEDFAATTDHNTPTA SRRAPPFEDDGSDEVERFMRGEISPSKKGDADAVAGLLSLSQGNWR NEUTE1DRAFT_150072 MGRGNGFFKNPHPGFYNREQGRWYCSCINGPQLAVRLQVKKEGP NQGRFFYTCREPRDLGAIPGQCGFFLWEEAAQEREKKAESRPVVQHSEYVPDFASRER MPRLPWFPPYGEGLHFVDEPYFGCYEVEDYGWAPDTTVDDDLDSVKVKKEDMDDSASG LSAIKPSKRKRPAAAAAAATMREEKEYGDLESDEERQLVQILESNPPHPSQEQQRRGT NVPAPVLSQARTTSNTTGTAMPHPGTRTPNPQRTYDASASMLTPTSRGNTTTSASEPG ATKRVKLSSDTRGPSPSRPPSTTTTKLTTSTNPSQTQGRSYQTTPTGGGIGRPTTTTT TGPGSCDDYEITTSILSLLSSERGVSDATRQAIRDKLNTYALRMRGVERGRDMTRAAL KAKEAKEVELKARIEELERERKVAADRVRALRDGLGELFGDVDGCVDGGGEGRA NEUTE1DRAFT_72282 MDQADIPALLSRLASDEDAARKMAVFKLQSSINDPAFADVFIAS GGLVVLRRLIMTTGGNTLAYSLQSLSRLLEVDMGWDIFEGPNAGELVERVVELIVTNP LVNILRGAMSILVGLVSHSQSTARGGTPRTPGTFGFRALKPAVAVYPQFFELVIMQLQ SADHALCANALMLINALIRDAVSNDSGPTLLVSSAKGTVPPGEEWSKFIKKLQDLGLI KAVYNLMQSSSLQDLAHSLLEFQTLTKILLQKWRAVRVDLERPEHRRALKGLHLASAP ERRQTNGGLTTLSPTGRPSTAATVVAREEGEKETGGETAAGRKSSRRHNPEKWRRLGF ETESPASEFEVAGFLGMMDLTDYVRKNEDGFQKLLLEQSSRPLNERCPVARASLAVTM ILYEHFEIEKCDLDDIRNGGYYQLIDGGGKAHDKLFRPLLLQWSRLHTAGLHAFFRMW KATGATRYDFDKVAELVRILIDQVVGQASRSKDVLEVEDELHEYDSGRLRELQMDLLE LSFEDQWGSHLFQVREELKHEALQFVKEQRIRCLLQGSWFSKPQPHRSEHSRSESLKP GQEKGSRLYQPWRFAKLSHNRRYLHYADFAEQTAHDPGLEALTEKIDLLSTSSVVSNV SNADDAAAAGENGNQASSSLTSLTKDANSASAAAKSTTKITIYSLSENVAPELASLAA AGKTKEQPILTLHPLNHSLASEWLDGLLMLLNQAPITAETNKLVTLVSDYGLKIRLLN VRAEAVDNGPVPGGGQVPSREGLDEDYYYEI NEUTE1DRAFT_72283 MATQQAAEELKQQGAIEAAQDPNSSVTAENAEKKIVDESQQLGI TAFTFDPNASAAEKAAQAREAIPDGLRRPKGLAIASDLDDGTKADIDLPPPSKAGALD LPTTADGNVIEDGRTPEQIEADLIKKVGWAPRFGWPKDPEEEQDLLDHATWVESNLSE NYFGEWYHNVAVIVFACLSSWLVAVLGGGLAWVFIIMACCSTYYRTSIRRVRRNFRDD ITRELGLKKLETDNESVEWINSFLVKFWPIYQPVLAQTVINSVDQVLSMSTPAFLDSL KLKTFTLGSKPPRMEHVKTYPKAEDEVVIMDWMFSFTPNDTADMTARQLQNKVNPKVV LEIRVGKAMISKGLDVIVEDMSFSGLMRLKIKFQMAFPHIEKVEMCFLERPKIDYVCK PLGGETFGFDINFIPGLESFIQEMIHATLAPMMYAPNVFPIEVAKMLAGTPVDRAIGV VAITLHGAQGLKNPDKFSGTPDPYASLSLSKRQPLAQTKVIKENDNPRWNETHYIIIS SFNDSLDIDVFDFNEIRKDKKLGTASFPLENLEEINEFENERLELKYDGKARGVVSCD IRFFPVLEETKLSDGTVEPPPESNTGILSFTVEQAKELDASKSMVGQLNPYGILLLNG KEVHKTKTMKRTNQPIWPNGSKEILITDRKNAKLGVALKDDRDIAGDQLLGTYQIKLD DMLELMAKGQDWYNLAGAKTGRVKMMAQWRPVAISGAAASTGGYTTPAGVLRLHFINA RSLRNVEALGKSDPYVRVLLSGIERGRTVTHKNNLNPDFDEVLYIPVHSAKERLQLDV MDAENMGRDRSLGLTEIFAGDYMHKDPETGEWLVHEKKELHQDGLRMHGKGVAKGVLS YTVAFYPCLNVADPEDDEKDEQSDQSSEPKQSLDVPRSSDAGQFSSTLERTNSIKPEN GGTSRPITPKTPVTPTSIAPSRKSRDEKEPPKLHLNPQELLQYESGLVIFKLMEAELP KSQCRVEVCVDDYAFPSYVSSTSRTKTHTFDEIGDCFIRELEFSKLTIKINERSEKQE EGGKENTLARLSGNTLDTLKQCLNNPTTLKLKNEDGKVYSIKVSLKYVPVRMQLDPSE SINNMGHLRVDVLDAQNLPSADSNGKSDPYVKFDFNGQEVFKSKTVKKTLNPTWNEFF EVPVPSRTAASFKATVWDWDFADKPDFLGAADINLGQLEPFRAQEVRLTLDGKSGVLR LRLLFRPDYVTRTRQGTSTLVGTFSVPGKIVTGVAGVPLKGGAAVAGAVGHGVGKGAS FIKRGFRSHTKRDDDSDSMTSSSVELPTIVTNAPEAASGLKRSAVITEGDGSSPNELR HARTRSVGASSVRSAMLPGASSGTASFTVVSASGYPPSTDVYVTITQMKDGKSKAVGK TKHRKSSSGTVKFDETFNIQCTPDSQFKIEAKEHHTFGSDDHLGESVYFVDESNSGQE KALKVGSGTVYIKSGFAPSESAQDASSALSPDQSPKSSSMRRSFLSKGARSPSRDGP NEUTE1DRAFT_133187 MANFLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILMPNLYHNPAFDPKNRMNASQLQNHFDAFYEDIWCEMCKYGELEELVVCDNNNDHL IGNVYARFKYEDSAQKACDDLNSRWYAARPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKNPSPELERELELSTKKWLKMRPRSRSPTRSPSPEPTRRRY NEUTE1DRAFT_90981 MENEPPIISVQEDGRGTKRGRIMGKLFGRVKEDRERDRKPSHDN TGASGDLNDFFHGSSDKLQVAHPGPPTLAKLDTKTAGSTRYPNSLNVQSPTDPRHDLA IRARSYSPRIRKNKGLSVKFTDAYPEVIGHGGDECDVPTVEISKRKQVRSPMPPTTTA PAWSLDGGGRPTGSPGAAQKNDPSFTPGPLRRTQTGFANIPGTGEQELAENHSPQPRP RGSPATNPDEKRRSFIELHQAEMREAEGQAFANAVRSASISSQATRTSERPPLSATNS TSRGQYTESPRDVNLPQLYQPSQSDSLSQPTIPTSPRSRLGPSPIPAQAPRSPQTYTT QHSPLHMQPQPSPLPTSGLTPGLEQSPPTSFINQFGSFRSSGRSLEGENFSPNAAQQP PTPAWNHDQSALDDALSTFATRSTHLFELFRLHSESVRPLLSSTPEQLVRAALWWFLT GRMALENAVRERPAGLEAEQRNSLAKQQAHADLAKAYWLSEKMVPEISNSTSALVDAE VEEVRKVLASNLRKLAGSMQRNGFMPPEEALLPQAIDRSIWIEYPSLTQDIISLLWGS SSSALASTQQHQPGIGMLDALPLGDSDNFFSFGRFRIEAFLMEQGRESQRLHFPCFLS ILRPQKQADIMFVIASQNDSVQLRISGDKSLGPVWEDVRWRPETLTLDVRLPRGFALV LQCSQGSFKTLRSMYEFSAKVHASLYAKPDERCLFRSTLRAFQYVDNDPQARQFPREA TPGCELALFERLKKEGAATGPRTYHRGYRIAVVTGPKVKTLSGVNQVYTPQTLIQLGS CRNEANDPALSLKFENGRLKGSMVLSFKDEQERSQMHSLLIGSALQKDEEVFCEVPLK VVWFSERYGDSAHNGLKQMAGLSWQRVKVINDDNDGDRPPCVLADKLRAVYDFQDGTF TDRINVAPGELRLRLDVRNPSCIMVFRQPQADATLAVTDPKIPRELSEGMAECLEVLQ QSPTIRTYMFPSVGELHAFESAITGFKILFDGIASAFAISRRRMVVPIHKKWEAGSTR IQIVQQDGVTQMLTFFENFTHGQCMGFTLKGTDIFEAFNRGGKAGLKIADAKFPLPKV LPQNVTDAQAAADTAFLCLDLPELPGEHDDITILFDSEAERDKLGLCLPAPVKGSRLP KK NEUTE1DRAFT_150077 MMGLVTGRRRATSTASSKSSGDATQDVAEDDTLVVEPDQGNVLS HIISQLRPGADLSRVVLPTFILEPRSMLERITNFMCHPEMLLPIPEIDDPVQRFVAVV KFYLSGWHIRPPGVKKPLNPVLGEIFTCYWDFPDKTRGYYISEQTSHHPPKSSYFYMV PGHNIRVDGTLKPRSKFLGNSAASMMEGIAILSLLNRGKDPQRGERYILTQPNMYARG ILFGKMKYELGDHSYVRCPELDLVADVEFKTKGWVSGTYNAIGGTIRRESTGEILYEL SGLWSEEMYLRDVKTGHKEMFFNALHAKSSPPIARPIEEQEERESQKIWAATAKAVKE RNHELATIEKTKIEDQQREEAAKRINDGVEWHPRLFRMVRGGPGGSEEGEEDLEWIIN ATIDGDTPEKQAEQIMAIYPILKGQRFVEKNTIPPRTPVASPSKEAHPPVPTIDHEKL DHKEAAAGSEPVDTAPKVHPPLEPSHESTTEIQKLLVATGAVAKEGPLIDFHEDMAKD LPPIKKVDTDDSHDEFVDAQN NEUTE1DRAFT_90987 MSYSWFGAPTPFNGTTPNGGDSASENLNQWYQSGDQAFILVASC MVLIMIPGIAFLYSGLARRKSALSLIWVCMMSSSVVMFQWYFWGYSLALSSTATNGFI GNLRHFGLMNTLGAPSPGSQLIPELLYAFYQMQFVGVTAALVVGATAERGRVVPAMVF IFIWATLVYCPLCCWAWNVNGWAFKYGVMDYAGGGPVEIGSGVSALAYSWVLGRRNEK MMLNFRPHNISLITIGTVFLWFGWLGFNGGSAFGANLRAAMACWNSCLTAMFAAMTWT LLDYRLAKKWSLVGWCSGTISGLVAATPASGYIPPWASIILGVVTGVVCNFGTKIKFM IGIDDALDIFAEHALGGVVGLIFNGFFAADYIIGLDGVNQEIPGGFLNHNYKQLYIQI AYVCATMGYSFVMSAILAKGVDMIPGLHLRASEEAELLGMDDDQHGEFAYDYVEVRRD YLAWTPAEKEQRQDGDIIVPQHGIEGHQELLNSSRHGPTMSELPHHEEEDPREKAGST SE NEUTE1DRAFT_72299 MRSPINPQAPGYSPVGLAEKEELVLRPLLAHDTQPSPGQRLKRK LSILLASGAVSLAVLFAFNILACNGTLFGIKPRPSELASPTPLQARDDQRSSGEEDCP CKPTSTVPDYFNTSPGPWIGKTATGKAPFMAQTRTFDHAATYVPNAPLQTQVPIQGWH PGNLSIFGMMGFLTPYTPSTGFGVDEWPLPDGAEIIWLQMVSRHGSRYPTGGSNVESF GARLANATGKFNATGELEFLNNWKYQMGTEILVPRGRQELFDSGVLHAYMYSSLYDPN TKIIARTTTQDRMLRSAENFLAGMFGLEWPNNVTLEVIIEGSNLNNSLAGYMNCPNER EDGLGSAARDIWVGHYLQNATERFSKLVTGYNWTLDDTYAAQTLCAYDTVASGYSRFC SLFTYEEWIGFGYSHDLQFYGNNAFGSETGRAIGIGFQQEVLARLQNHTIPYSETQVN VTLDNNTVTFPLNQSLYLDFSHDTNIVSILAAFGLTQFEEDLPADKYPGEHNFTVSHM TPFGARLDIEIIKTPKPLKADRSGYEDEGEETKYVHFVLNQRTVPLGWSHPECDAERV DGWCEFETFLKVQEKMPGLARYKEVCFADGGSP NEUTE1DRAFT_133193 MEPYTHIDTPRPYFSSDMSEDDSEADDAFRSGIDFLSVSRFCHV QTAESRFGADVGPEIKSFVYEPWHSFDIDDDVSDDNTDDELSDEIDSIELNEHDWAIG VTPDMVATWGPFAAAPLSQSAATMPPTLLPQIPTWLQAPGVADDLLPQLQLPQQQNQL QQLQQQEEMGWEQRRQRFPRAQRLRLNLTALSQKYNNKIHVFIPRKGPNFLPRPCLVL KPKKLKTDWPVRPAIDQSFGHQINHLMIGNLGHLEVLFFAFDDGDVGAYYTHTIAHYI VSASRQREANGRPPPRSLIPREFFHENVGESAWGIAIHQESRLLAVSSNRAEVTVFAF ALRQHRHHKPKDDLTSYLDPSPQIWPGQTALGLERDVRTRTRTWKIILPLDSRGSNIP NISFCDDEQGCAEYVVAQDIKQRTWFLDIWRLGYPPVDIMHETSKMNYVTPSHIGWGV MVLPDRSFKQTASLHECLGLPPSEFSGGTLRSAQLWLDTTNSLSYVRDLANPLSYLIP APHNSSFIGPERIAGFLIEEDLDEESGAEEETEILDAARPWTNVDFGLTDRRSIILSG EHPRPRQAPRAYLDDTHDGIHMAQFIVPRFAHVPDSSSLRRERYSRWRTPAVPFSKCD FFSRYATKNMSILLTSSTDVCLIHMDPQGTPVLCRQVLPYHNHLNRHQSPYDLHRDYS QRIGMLLHVPELSLVVLGSLCGRVALVRLTKTAKLFYGAPVRRGFRVEMVLPRRSEED KRMRPWCTLHGIAISPMPDPKTDGISLHKEGDEGQEPALKKWRLVLHYLDHTILTYII MKTKDDEDLLVV NEUTE1DRAFT_72302 MWKAEAALSKPWKPDDSSLAFSKRPLPPPSNETFLSSAGYKLPL RTKGRDIVDQNGKRFKLSSVNWYGASDELFIPGGLDVQHRDVIASTIRSLGFNSVRMP YSDEMVISNPEVPLRLLAANQDLVGKRALDVFEATVTALTDAGLAVIVNNHITTATWC CGADPCDAGWANDFLPGSLCRVRQTEAEWIDHWVTVMTRFVDNPLVIGADLRNEVRGL WGTMPWERWAAAAERAGNALLRINPDWLIIVGGTESGNDLTGVRRRPVELDVANRLVY SAHVYSWSGWGSMGGRYSKRTYASFVKAMRQNWGYLVEENLAPVWIGEFGAPDRPGIG DANYWQNLLRYLKAIDADFGYWAINPRKPKDNEKESYSLVEDDWVTPVLDYRMKDMTE LMRQ NEUTE1DRAFT_142442 MANATNPCPAPFLSEALFNDGGFVDGRLCQEVGKGLRCCLPCPM TDWAYPDEFNTLGEVAQWVAVAGTACCVFLLLSWIILPVEKTHRHYLSLCLTTAVLFM NLGFVIPLAAQPDECYDAITPNSMQTNSPCAVSGAFLIFGGWGGVMWVFLRSLSLHLQ ICWQVVVGRNFMWFSQATGWGIPIIGIIMALVFSGVSFRFGSTCHINHENSLADLWVP LLIFAGLTIFIQFATFGYCIKVYLASLADNSASTENSNLPSYTNSIRTMTPKQAYRRV RRVIQLQWRGIAIVLIIVTDVVLFSVVFVFQDNTVEAVRRDPSTALEWVACLAMMAGD KHKCLDKAGPLVVDMPTVGAVLLLLSINGLWLLLLLGRWSMVTGWRDLLMTVPQRNKR EFVSVDARMDDLKKDTRSYEMLSRENSGKKMDDSVTPSVVTPISPTYSAYNTYSMRSP INGSGGDGGYRSPSLSRNNAPGEGTTSPGPRNYHDSGNRSGRRTPDYFGSTARYHVPT RSFSSPRPPRSPPPTVTWDARDTYARASPLPEESPYGQVQGAYGQQPMGMNRI NEUTE1DRAFT_28700 FCCFQCGSILLGGTSPEEWSRFRNLKRLENATAPFELLEPQVPS NAKLQVPSHNHPISLLHPPP NEUTE1DRAFT_114510 MKLTSASIRNVIHPTAAFVPSVPNSSSPHSTEPESQSWLHSQLN PKNRIDSLELLENPLWRIDGCTGQGTQFCALPLFLGNHIPPLRFDVFLPQEAGSCQRL RDLLDLDAAFHTKDTVRVQRLGISRHILRTLQAWTQSSQQGDNKHSFAEMYSRNLPFG SRIIFENLEFDIRNIKITIAQTFHLEGQLLGLSKLGAHLGLPQDNLPEPIDIFKLHIV RQLTESVCLVCIEDDDSDRGMAMATDDTANGTRAKKRKLWILKALTSGTKYLYTELRN LLQMPPHPHVISRPVRLVTKQCQFGGKNAVVGFIIPYHPGGGLRDTLPLLRIHGQPAL KDQLKWAIQLAQGLLHIHDKGQMYYPDLRLDNILLSEAGDIVMVDFEQRGLWCEFGAP EVNALDYVRILASDSLLEDNDLGLCIPEDIIARYAALLTRELPDWEALETCENYSQRP EGHTSFNIAWLCLSKVEQEAAVVYMLGKLLWCIFEGQSGPDKAAFWQSYAREPDLQFP AMRQTPTGLRDLVDQCTRGRRGVLSSLITRRGSKLVLVHEKDTHQGSCLLDGTVTDRE EDEEEGEVLQVAKNWWITEVKAAEDFLQMRHEMKERGEWNENYFDRPSLKEVINRLED FQKRSSQFGE NEUTE1DRAFT_114511 MSTKWQEATASVVTVRWWSPTADELERNVAESDIYSALTNTWAW ELGGIAAVSIAALATLGSVALRLSPRQCCDDLRRTVQAISHWMCLASTKTAIATGQYY PACSLMSVSRDSIRDIVSYALGGLHVHSFANVQTSNCPGQRDNERYVDRGQCDVVV NEUTE1DRAFT_55267 MPQSRAVATLSSKETGKSIRCLTHVVGRTPDPDPRPDYILCCPK IGRDYFLLTSFKPPEPPPTTGGVHRPPRTD NEUTE1DRAFT_126043 MSTSYTDDASGHEKSQEKSPPRGRSNHDPNNEFRKPSIAFAAEP GCFPPGWSGGPLASCLGDYLCPPFSSFIGPSEYSPLPPTTQHEYGGVRPAMVDGVASF QPTTPVSMSSTSVPSDPINLFGNGGDPEIRDPFLASYPPLQPTPLTGMQPLTAREEMI YPTTSPIANYDGSPDSSRAAVKNAGVRPPQPHLGSPTVTSLISSSYLAELDPSVYGRT GSMPGTHQGYNMTEQAFGVKNDTIRYEMKQRSSAFDMFPQSRTISARRGPFKNHDQRE QTAHTRKIGSCIRCRMQRIRCNLDPENEKGPCLGCKKIAANTTKIYRLNCLRWKIMDI KLFKPGQVKDHKWTERWKDSVVDDIGNWASSEVRTIRVTEGYTGKWIELQVRQFEPQP GDSLYRKWVSKNGEVKRAEVPAFAIVDMESAKTSFNEYIKRALGNCCTYLLRKEKLLQ RTYSLAIKIMKHSSTHETERRLIKSTLDLWMSVRLTTKSFEIVGEERLGMPQNIIDDP DSPLCGKIPLPPVMGAQIDSILIHQVQPQLRRDTLEELQKMTQEKKQRTWLTTYLVTF ILLHNIALITKHDADYAKKHNLGRRFAREDSVREYNIGANTLLAYFHYCNRAIYPFSA ECKDPDLQSMAELDGDAMRFVRETRNLVAEHKSDWEQVLAREEYEDQYYYVSQLYEPN WQPRVMT NEUTE1DRAFT_91003 MSSVNSGPVLAAAIAPIVGNNDFPFAAATNAEAPALPSGFPSEL RSKLAWTGSDFADESKYILCLTGTDLAEVKQAIAEYKSLDQDGYLVEPNTFQLPTLGL KLRALGQDVHFGKGFSVIRGLDRAAFSVEDLTLAYMGLQSYIAEQRGRQDKRGNMLVH IVVDDSTKQAAEHHRHSNKSITFHNEEAGDIVSWLTRSTAATGGKCIIASAYTIYNVL AATRPDIIRTLARSDWPFSMPRFQCRPVIFYEDSKLIMNFGRAALLGNQAHPRPQHLP SLTARQVEALDAIEAIAKASQMEIQTQAGDIHFINNLAVLHRREGFVNGEDASTQRHL VRMRLRSTEHGWPIPQELTQEWDEAFKNKGIKRWHLEPMPAYYFPLRSQPN NEUTE1DRAFT_133197 MAPSSRHSSNLGQIIEYIPDRLYLASYSEPPTEHTLFPYPDPEP RSPRKRSQRTIDQVAERKKPYYFTVDDTLLYNAFHHDFGPFHIGHLYRFALQFHEILG AKENKDRPVVFWSRADPRSRANASCLLACYMVLIQSWPPHLALAPVAQVDPPLMPFRD AGYSQADYGITVQDVVYGVWKAKEEGCCVLETFDLDEYEKFERVEHGDFNWITPNFLA FASPQHTPVAPTPEGTEQWSLLPKTLAAVDAHPTLPQPFKNVLRHFSERDIGLVVRLN SQLYNPSYFEALGINHIDMIFEDGTCPTLSTVRKFIRMAHETISRKKGIAVHCKAGLG RTGCLIGAYLIYRHGFTANEVIAYMRFMRPGMVVGPQQHWLHLNQGIFREWWIEERVE RRLRKEIAAAAAAAAQANGTAHPSTPIRAVSKTSLGRSSSKNGVSTPSNRGSVRTPLG EIDRDSARDNHIGVQEDYLPAPTPGQPRKTQRGAVDRQKGYGRGPIQTTVEEEPVPMT EVTTEVITVHKSSRGPSSEEEWNLRRSRRRQSAAPTSPGQPPERSVTHQTATTKTTVY QVMDNDASNDIENIGTAAARIKAHDHAPRTSSASGVLTKIRGNTSAKRHPPGSVREAG VRKTSGRVGSIGNSTTSPAAVSAMRKISGM NEUTE1DRAFT_118840 MVGLIDGKKVRSRSQIVCERLCANKGKPSSQAGLGRYTARQGTV YCTAGAGWDERAKSKS NEUTE1DRAFT_105548 MATDDNFPCSLTLRVPFPDARLASVALQALRVDKELSGLVKREL STVASPGSEDAGETVLQVDYKATTNRMLRVAVNSFMDSLSLVLEVQEEMDVDVIESEK ASI NEUTE1DRAFT_72317 MNRDEDTTEYQGRSRAHRPLAHRDRLPTSPNRTRDASASRSRSR SGRSRTRSTSPRSDEDEQQRRYRSRSSTSSRESRSRTSSRSRSRSYSRSITRSRSPRS RSRTRSRSRSSSIRDRSRSESPSRFQGRRPSKSHAEQLRPNYRPRLELSGHTAAISQV RISPDGKWIASASADGSVKIWDATTGNNLDTLIGHMAGVSCLAWAPDSNTLATGSDDK AIRLWDRVTASPAHACGDESNRGQGPREYIRGGSQLARTARGGRTGMGPLLGHHNYVY CLAFSPKGNILASGSYDEAVFLWDVRAGRLMRSLPAHSDPVSGIGFCCDGTLVVSCST DGLIRIWDTSTGQCLRTLVHEDNPAVTNVCFSPNGRFVLAFNLDNSIRLWDYVSGTVK KTYQGHKNSGFSIGGGFGVVIDNKDTQDDYRHGNMGKPFVVSASEDGDIVMWDVVTKQ IVQRIEKAHEGVCFWVDVNGDTMVSSGQDCTIKVYKNVRRKKHDRRLKFDKPNGISAI DGTNGHGNGRGIEINEAMGDDDL NEUTE1DRAFT_72320 MAELPTEISIVTLNCWGLKYISKLRRERLTEIGRQLAIADPQPQ IVALQECFCHEDYLTIRRETRYILPYGKFYFAGAFGGGLAILSKWPIEESTMFRYPLN GRPTAFWRGDWYVGKGVACAKIRYGPGPKHIIEVFNTHTHAPYESGPKDSYICHRTSQ SWEISKLLRGAAERGHLVLGLGDFNMLPLSLEHQIVTSHAPVQDVWRVLHPDSSVGPA YHHAEKARGRAVPTAEFNILENCATSDGPFNTWRWLPKQQKRLGIGKPVVTVDPSTPD LRGKRLDYIFAGAGDVAANGGGWVVKRAAVGMMMRHPELGCSLSDHFAVEATLKFVPL KQQRDENNNNSPFSNSHQTIKPTTTLLNDADNHLIQTGTCLQEPSSQGTSIAEADADT DTDDNPTYTKQLLAFNSPPPRLPPSGYDQILSLIHKYVAREQSQLKWRAYHFFSWLGV SIGCLIAVWFVPRNFVAFILMLVSTLGLVGGTLDGLMSLLFFRSELRALKEYEFEILT AKALAEGKTPVVQQAGTGEDIDAGKQW NEUTE1DRAFT_126049 MPGGDFLGLLIRENILHESVARFYVAEMILCVEAAHALKIIHRD IKPDNFLISASGHLKISDFGLAFDGHWSHDASYFNCHRYSLLNKLGIHIEGDDQDKAE GRSLQATMKWASGIMTGIDKHEKKITDDGEPLLSWRNRCGNRTSAMSVVGTSQYMAPE VIEGKKYDARENRLCSKRYRFKDLINMSMTAQSANLGTTGGPSIGTSSYGHHRKQQPE SAAPRDFAGRYVFPYDAEDIKAHRWFRGVPWERLHEIDPPYIPHLRGPDDTHYFDDED PISDWSNSSEESEPEDPSPTNLSSPVISDPYGSSSPISPTTPSMLGSLKDLSIGSRPP NPKHKTTSVAKQALQGFRRDVEKWALTAIATPYDRNRLHNLDSQIDERFADLASEDRE MLKQYVRVYGKKDRKRPRDKLLRDKNTKAVVMDVRKRTAFMGYTWRRMNHDGNGAVRK GLERIWPNVGSFASDGNPRGEDGTFDADGVAFGGSGGYTESVHVNHVGYEWEEDRAAA VKALRKGRLSWL NEUTE1DRAFT_126050 MAPLMPVAVFGLEVPPGEILIPAASEFPAIIHITMAALDPTKAP EADGQGNIPALPRSTLKIIKATGHDHDDDDEEEDEYLQSLLGGGDSDDEANGGPSDPS KSKKAKQEAAIKKLMAATQEESDEEMEDAKPNGKKGKGKGKASESDEEESDEESDCCG DDDLQLEDYVVCTLDTERNYQQPINITIGEGEKVFFCVQGTHSVYLTGNFVVPEDDEE DSEDDEDESDDEDYDFPLGGEDDDSDDMSDELDELDGTPRVKEITSEDEEEEAPKLVD TSKKGKKRPAEDDAEGLDAMISKDDKKLSKKQQKKQKVEEAKKEEPKKETKSDKKVQF AKNLEQGPTGPAKDKLENKKPTSTVKVVQGVTIDDRKVGSGRAAKNGDRVGMRYIGKL QNGKVFDSNKKGAPFSFKLGKGEVIKGWDIGVAGMAVGGERRLTIPAHLAYGSRALPG IPPNSTLIFDVKLLEIK NEUTE1DRAFT_105554 MVHIATASRDLDLAHQRRGSSTSLSSAETLTSSRELTSSSCSEA GESDIELSVDSEMTPNMIIHAIVIPNYKEENDTLRETLEVLASHPQARATYDVYLAME QRETDVENKAMLLVNEFMRRFRSISFTVHPSNIPGELAGKGSNLAWAARQLSQRYTPA QRKDVIVTGIDADSHLLANYFTLVTTMHVAHPQTATTTLYSAPIIFDRNAHNVPAIVR VADIMWSAAGMSGLHEGSYVAPPTSVYSLPLELVDRVGGWDCDSEAIGEDLHMYLKCF FALNGNLTVRTVLSPVSQTNVTGGGRDKGLRGIGMDMKARYKQALRHMWGALDTGYAL RKMAEVWRERKHTSRAFRPLHTSPDGSDGYIPQAQLDISNRTGFFSDIVTDTLEGPDY TRIFVLFHRLFEAHFLPVQMTILVIGSTLYLWLVDGAADAPGIGWIFGVCNVLRTLGF IEVGLYLFLYEKFHKICVETREKEMSDAGLTKGMHFSHRAPKKNWIDYFMVPLVATAY GSIPCAQALIWHFWTLDLVYTVSKKVTRQRGKSVSSTPEKKVETMV NEUTE1DRAFT_118842 MTRPDVAMEIARSVGPSINVEEGCLLKDYVDIEIVPTQNDEAHT CQSCRQGKYGVKPRRSSHRCSLTLRATWIMGGMDKKRAHHYKRAGAGIDKVPTARIWS GTVEHNIWMMSAP NEUTE1DRAFT_91019 MAADGSITDPLLVTVLDTSRAAREQAVVLIDRIQEALDAAGNGP LPLEAQAEISKQQKLLNTNIAQLRGLHRSAHFKARETKGQTAEVRHEVDVLHLQLQNL YYEQRHLEGEIAACESFEHTYQKLPLIPVEEFLALHPEHADDDENDLMVARINHERTE REALEQQRVELQKRKQKLIADNKKRRDDLANLDKDLEKFIDAAKPIQKLFEKVV NEUTE1DRAFT_91023 MECASPFSFAAHDDNTEARTSGAANAPERPALRNRRKSQLNARR KSFVNSIVDGEEALLLKMDAFLVELESRLEYWENYGELTLDSSIEVALSTLQAVRTRC SHVSEEVMGAGRRRLHVLVETLETSYHEAMAAAGSLNEKAKVGIDLLDGLLEDGETQA AKLREKGLANAAESLMGEGKRVMEEGIERAMRAAENLEDHIQRAINQAREKGLISYEE LPIPWRINPHIQKGYRFSENKLACIRSAFTFSNELINIWSHAIGLILVLAVAFYFYPT SINFSQSTTTDIVIAAIFFFAACQCLACSVIWHTMNSVADVNLISMFACVDYTGISLL IAASIITTEYTAFYCEPVSRWVYMIATAFLGVGGVILPWHPRFNGQDMAWVRVAFYIG LSASGFLPIFQIWLTRGGMSVWEHYSPILESLFVYFLGALVYASKVPERWCPGMFDYV GGSHNLWHMAVLGGILFHYNAMQEFFSNAFRRAQDACPMY NEUTE1DRAFT_126056 MSLSTKNTSSGSVRGRDPGTNAMPLLSTAPGAPVAGPPQPAPSA TTMCAFHPLPHLPPPSPAAGNETATLSVGGTLIGQRSLRRLQSAHSLGAKALPQPSLI SQQRLQLQQQQQQHQELQHQGQPQSQPRRQPQQQPQHQHRLLPGQPSPHTRHASFNYR STPQRGRANSDAPPVPIPLGQQYSTMAATNRRAMRGTSSSTASTMSLEKLLREGPPNG DVDTALESTRLKILDQGVKSDSDGMSSLRIYVWLILLDAPIIETDTYLSLIHRGASPA YSKIRNDTFRTLTTDPLFRRRVSEASLIRLLNAVAWRLHDARGDRTRDHSIASLSRRS APSEPGSRPGTSGHDSITGSPASKSRARALTLTTEGSEASGVASEPGTYVQGMNVLAA PFLYAARSEAEAFVAFHQLLTQELPGYIRGAIDGVHKGLALVDKVLSIVDPKLSLYLM SKNLSAEIYAFPSVLTLCACTPPLPEVLRLWDFLFAYGPHLNILCIVAQLIMIRTKVM ESPSPNKLLRSFPALQADLIKRTTLTVIRMIPDDVYAEIVVHAK NEUTE1DRAFT_91028 MSTAWGKAGRFTAKALGIKLQDKDPYDEVTRGESIVSNHTTSTF VEEPPHTVEFLREVIPSGRQLGDYALSLFPFTSWIGHYNLQWLLGDLVAGITIGAIVI PQGMAYAQLANLEPQFGLYSSFMGVLVYWFFATSKDITIGPVAVLSSLTGDIVANVMA ELPNVPGHAIASALSILAGAVVLFIGLIRCGWIVDIISLTSLSAFMTGSALNIAVGQL PTLMGIKGFSTRDPAYLVFIHTLQGLPRTKLDAAMGLTALFMLYGIRSLCNYIAKRWP QHQRVAFFLSTLRTVFVILLYTMISWLANKDLPRGTSKFKILFDVPRGFRNAAVPVLD KTLASKLAGSLPATVIVLLIEHIAIAKSFGRINNYTIDPSQEMVAIGVTNMLGPFLGA YAATGSFSRTAVKSKAGVRTPFAGVITAIVVLLAIYALPAVFYYIPNAALAAVIIHAV GDLITPPNTVYQFWLVSPLENGIYCTVCLSFAVLLFRILKAQGRFLGRVKVHSVLGDH VIGDDPRKPVDGGYGTFAGSGSSDAPYRNIFLPLTHADGSNPEIELDNPYPGIFIYRF SEGFNYPNASHTLEYMVRYIHQNTRRTTLSHFDGPGDRPWNDPGPSKRKLKAATRAGI DSNEVGVNLHLPTLKAIILDFSSVNNIDITSVQQLIDVRNQLDRYASPDVVDWHVACI SNRWTKRALVSAGFGYPSTLPDGQIRRWKSIFSVAEIGGEQSAAATAEQEVNEKEFGP TLSSRGRAAQQHQRGSSMDIESGSNTDDAEAAGNLKATASGSERIPAAAAAAARSRFG GGRTVAVHGINRPLFHVDLTSALQSAIANVEARAEFTAATKSSIKGMEHLATPTPTSQ SQENFQGGNTSDGSVTTNSKPTPGASLPPYDMVDPQKEGLSSP NEUTE1DRAFT_126058 MEAQMSTQEQQLCQALLAMPGKYRYRYSEEASRELLLTLFWSMA GGKNEYMKLFFPQGDPRNTSLKLRDAQGAVEGAEYTEAARGKACGHIFKQGEASYACK TCSADDTCCLCSKCFEATDHTGHMVRISISPGNSGCCDCGDLEAWKRPMFCTIHSMWE GDRDKGKGKATELPEDLVRNIRMTIGRVFDYMCDVISCAPEQLRQTKTVQSIQEDERL SRLSSTYCGGETDSPGEYAVLLWNDEKHTVVDVRDQVARACNTTLANGLKAAYETDAI GRSILMYDSSLEKLLEVAEVLERIRVTVTIRSSRDTFREQMCGTIIEWLRDISGCRVG HDHQLLRNIVCEEMLKPWRKGSPAAHAIVGKDGIDDEDRIDQEENVPSGFYEQQGRLL LQARQAARRMAEAREAGEDEGDEDDEDGDDIIVSGGEMDEDEEGDEDVMMLDEQGDAA GDDVAMTDWREVRVVQVAPAAAGGRPLPPPPPPAPTTATAHRRAIREREHTPDDSDTA EPLIAPNIYTKAHLDIPKTPGSPKAKTSPPNPGRYWLQTPAGYVEESSIPVAEDLFER VRLDWLILFDLRMWKKVRCDLRSLYISTVVTIPEFKRVLGLRFAGLYTTLAQLYLIGD REPDHSIINISLQMLTTPSITAEIVERGNFLTSLMAILYTFLTTRQVGHPWDVASNAV LSFDSGSVTNRRMYHFFLDLKYLFGSPHVQERLRTEERYMMQFLDLVKLHQGICPNVR AVGEHVEYETDSWISASLVTREINRLCRQFAQSFRNVKEHGLQYVNNAIRLAAKVTII NSLGAERHRFNQSEIKEEISFRNLTDFEFEPTGRSFKVVHFVVEEQPNSFHHALHYTL SWLIECGKSMSVDDLRKLLTFETCDLLAKPQPMGRKSMPRGNFTPEDYLLVAFDFPLR TALVVCDPSRVLASIVDRYGMEMWIKGFFEQKSKAQDDGQHLDVVEDMLHLLVVLFHE HEDFHKLLDEMTIYKAPEGLTDVGTFELKPEFIEDVDPYIAHYNKNQREESEMAWRKC MAKKTGQSIEDVVYEPNLRPITSGVFAGLSDFTRTGMFAQIIYYSLLYTLSSSKFTPQ VPTTRVETFLQVVLHLVLIAIKEDKTGEEDGQQPSFISRSLSHQARSNFMPENPTAKT IVALLNLLTAEDAFKTVHPKIHLILKKMRQQRPHEFESAYHSLGLSVDHIDAGLPDSQ NTDEEREKKKKAALARQARVMAQFQAQQKSFMEKQGEIDWGDVDDIDEDEDMPAAEEH KNFWKYPSGTCILCQEDTDDRRLYGSFAFFTESNIYRQTDLQDPDFVREAFKTPENLD RSAESMRPFGLAHENRQIVQKVDQEGKIFEAERSVIGKGFPSHLSRSGPVSTGCGHIM HYGCFEAYFDATLHSAYYVLGAARPPDQIQHSFATYTARTMVHSLAEDSAQLFGDAWV DLGARSRSSGTPFSDSFSIISATEVSSRGATPAEGNSMMRELVSAYRRLRDTLRKNGI NSHHDLPETVRDDELACSDALARSVGFSIAAIEIQQRGVEVDYGMTLLEKIPEQVLTH LRILTETVTTFITVGGLREAGDNRIDTEFRRDSERQHCQLFIAQYKDAETDSARQPYR EYPPLLSQDPFVFLCECVFGVVPAQRFEIAHIVRLCYLAEITKVVYHMGRNMPATMWM SKIFSDRKDEMSPELANFASFCETVVRMDLGFSERYRVQGSTGENKAFDQPGLDSWEG WYRFIRNYALTFLRKCAILLYARYNIDFNSRVSPNPEQKELERLTETLKLPSFDAMLA SLTPDSRISQLVSGWIEHQTCWDAEHSTLAADDNKLLPPSAVLSHPGIFELVGLPKNY ATLIEECTRRKCPTKGKDISDPMLCLFCGDLFCGQSICCAVEDREGRGKTMRIGGCQQ HMRKCQKNIGLFLNIRRCCIFYLHRLSGSFSNAPYIDKYGEVDLGLRHGRLLYLHQKR YDSMLRNLWLSHGIQSFISRKLEGDINNGGWETL NEUTE1DRAFT_96764 MSALYNLLFRNNTAFVGAVFAGAFAFELAYDNGMDKVWDKINKG RQWKDIRHKYVEAEE NEUTE1DRAFT_126059 MSQPTNSGIITDKNGERVIPESKRADGSTRKAIKVRPGYRPPED VEVYKNRTAEGFRQRGKGPVPGAEGLKEDKSDQQLSAAANKNAKRREARKKAKAAGEI EGEDQKTADAAAPALKPEELDPEAEKEKKARNLKKKLRQAKELKDKKDGGESLLPEQI AKVIKINELIRELDALGFDSEGEPKTAKASSEPKEDST NEUTE1DRAFT_72346 MSTDPQTPLTAGSILNLDVLFNNPVFAGGMGLAGLGAAAAFGRR AAIRGAGLLKRQMLVNVEISKRDPSYNWVLAWLALPRDNTGFIAQRLTRLRNLSVSTT TKSITPGKDEGSSHADFRVQPGFGRHIIRHKPGVYIAVNREKASTTQTATGEPHETLT LTLLWPHRHVLGEIFTEAHQMAHRFHEGKTVVYTAKRMEWMPLGKPRLKRPLGSVILD KGVKESIVDDVKEFLAAQQWYTDRGVPYRRGYLLYGPPGTGKTSFIQALAGELDYSVA MINLSEVGITDDLLAHLLTQQPEKSILVLEDVDAALVNRRPRDSDGYSGGTVTFSGLL NALDGLAAGENRIAFLTTNHIDRLDPALIRPGRVDMMMRIGEATRHQAAEMWDRYYGD IDADHSGRERFLNRLDELGLFGENNQDPDAPKRHTSTAAIQGLFQFNKNDMEGAIKMA EGLIPRTFVAENPSSDGSMKSPA NEUTE1DRAFT_126061 MAGQIDPIRAELVGWTPRVPRNLLASLPPLGRKTAPKLPQNISF SPPLTFELPVGERDGSHPLTAFARAPRTTEPRPLHYLYDSLPPIPALCHLSFVVHPTV ATAPRSNWPAKMSRFSTGRLLAQNLFQAFNKPVFPSATPLWTRAFSQTAARRDADSES SAKLMESLTRGIVGMAADPTDLTGDKLATNIGLRDTEDEPYHFHIYSHKHNTHITVTK PNRDALISLSCGNLGFKKSNRKHYDSAYQLGAYVVDKMHQMNLHNKIKKMEVVLRGFG PGREAVIKVLLGNEGRMLRSSIVRVSDATRLKFGGTRSKKPRRLG NEUTE1DRAFT_72352 METMQTEFKQSQEWNESTKALAASANQIAESEAIRRARQAYEAT SGTVSSTAGKVVKTTATAVGKGAQWTWETPVMKGVRKGANITGEVLDKATKPIRETEA YKNVKDVIDDGSSSRYGGWAEKEERRKRREKWLKESAGKGPQILEEDPNAGTNVTLHK DAAWKEAWRDFRDSNKFVQNVFGLKTVYEESENPLISTARSITDKIGSFFAENETAQV IKKLRELDPGFNMENFLMELREYILPEVLEAYVKGDVETLKLWLSEAQYSVYEALTKQ YLQAGLKSDGRILDIRGVDVLKARMLEPGDIPVFVITCRTQEVHVYKNAKTGKLAAGM EDKVQLVTYAIGITRIPEDVNNPETRGWRLIEMQKSGRDYI NEUTE1DRAFT_53953 MPNFEDSSLAHASFDPRVKQALLCLHYGYPTAVFTYYIISTTLA VCTLQTKSAQENHGRRRSILWLLVFIILTYVLQLIDLGVKSFIQHGFPAEQDVTIGLL SCILVFGVEFAGLVHSKAPVWYPFIGSFVMALLLEPLIAALSFLTRSSSTLSYSDIFS ISTISARYLALALAVAAHITATCSFRREKGSDAERQGLLKASNSSSTTEVFANQDGDN STNGYGSTSTNSTDESSSPPNDRVESPYERRQRQAAELMEKRLKEKGNWVTYAKSFMI FFPYVWPVGHRGLQLRVFLVGCCLMAMNFIHVLIPRQIGIVMDSLGGVNHKDPWTQVL IFAGLKLTASEAGISLLRQWLWIPVESYSTSRISTAAYSHVLNLSSDFHDSKSCSDIE MAIMSGTSISNMLESICFQAIPMLIDMGVAFIYLSVTFGPYEGFITIATATVFCYITA IMLSGLKEVRRSQVSAYFEEHYVRHAGIQGWSTVSSFNQISHEETRYSDAVNNNVGHY RKVLLGYYLAYAFQSMVLLCGLLAGAFLAVSQITQGQATPGQFVMLLTYWSQLVSPLT FFASLGKSISGDLIRAESLLDIMQMKPTVLSKEGAPPLDFQGGHVQFENVSFSYDKKK PILKSVNFQVDPGTSVAFVGATGAGKSTMLKLLDRFYDVTGGLIKIDGQDIRDELIST CSLRARIGVVPQNSILFDDTIMNNVRYAKLSATDEEVYEACKAASIHEQILTFTDGYQ TRVGERGVKLSGGELQRVAIARAILKRPAIVLLDEATSAVDTETEQKIQEALGKLCKG RTTFIVAHRLSTIMNADKIMVVTGGEIVEEGSHEDLIRANGKYAELWSKQIFIKPKVK DATEVKPTSVKKTSGIVNDLTPDKTKSELVKVESSTALKIRPANQQQSPATEAKDTPS HQKEV NEUTE1DRAFT_150101 MGDDMEVDNAPLSPQQEDAAASGGANANSAKVAAMQTHTKATAV RSIEGWIVMVTNVHEEADEEAIQDKFGEFGEIKNLHLNLDRRSGYVKGYALIEYPTLE EARAAIDGAHETKLLDQTIQVDFAFVRPPPGKTGGGGGRGGGGGGGGGGRNGPGNRNR GGRSRSRSPVGRDE NEUTE1DRAFT_91049 MYQLRLKNNPFGSPPSSTGDHDTVSTTTEDLSRNLSAFSFNPDD EGTRKASEDYRFPTKRHATRSGRFGSRQQQEQQQATMLNTSAIGRAFPEWTGPINDTA PTTQNFDTNMGYNPYPEVKNRKENVRPITELTEEHLLNYGLDPKKKTRVEMQPRVENE SDCSTILSRSPGRGARRSRFSNANMENRVASPEVPKRSLQDIVSKIRTEKEANKNQLA QSALPPKQSRPIHASLNAFLNSDLAADQTNAGLTEGRSFFLPAFRHLPDWTSGALRFD AMKDGVPVFVKSGKNGVRLGQSGDHESINAVGIPEEDEQIFVSMDKLQEEVRELHDHD AMLQREAEKLQREVNQLQSELKRYKTRRPSDSAIGSDSDGSFGRHAQANGYQLETQIA QLQNRLDQASRQVGVQDIHSTALAAERDEALHQASLARERAKRLQAELESTQKDLEFT LQYRKDKDALQNENITLRTTNEELKLKNEALSQANQEISNKYDKLRREHLSAQKDLAS TQEELASLRKKYDAILEEKKLIVQDHASMERNNESYFKETKKLQAQIAARDQHIADLK RGINTRDQMIDNIGGLTTNTAVIELNAELEAEIERLKQKLQNQATRTDDRDGSSSAKE SRIRALKEQNLELEYEKQKLLEENQRLRAEYEELKGQWIDDRHKVVRLNQLLTKNNTE FLKTMNDNTEDCVRLEEDFKNKEASLRQKLERREAAIKKVKQLTAQINEISQRELLGK PTKVTRIIEPRNGTSTGYAANDLTGKSGTMNVDDDPTTELNLTQGTDFASVMDNEIAK LRQTYRDLEDQQRQIENDNTTQPSQTGDAVQSKSQPVGILKKSSRFGFDEDTGRFSVA SHHSEDESVKTATTSKSRRPSSMGVQGQETPRPASRVRRNSDNPTITTQTDKQSSLGH SIPALSKEARRVLDQICNHKSDNCNVCVRIAANGHHVSPSTKAPITVEDMRKGKKTVR VDRPVPVSDRAVNDHGNYEEEPTMRPAMAPGDALAVLIKEISDEIEHLQMELKHMNEL YFSLDKSTGQRERRRIMGEIKKLQAELETKSGQLYKLHDVLEGQKNAGQLMDDSELDI TILSDLLRVNGATENNREASWNGFDE NEUTE1DRAFT_91054 MRLTQAILLLAAGAAQAASSWSFDDASISVAAKKGSDAIKEKFS QKAPLTKPVGLGSTDTVKVILTAKEDGKAKRPHQAFVTIKEQETGLEAPFPLTVKDTG KAVVQISQKDLPVQFLLSPKPLKASVVIGSFGEARAVNTPVFDIEIQTDPNTPLPKYE APIRYGKKPEIHHIFRPDPQSPPKVISLFFALAVAATVPALFISWLLLGANLNHLTKA LGAAPLSHLTFFGSIVAMEGVFFMYYTSWNLFQILPVAGVVGIVTVLSGTKALGEVQS RRLAGER NEUTE1DRAFT_72364 MVTMARHIGLDPNNPQWHRSSTSLSRHLLSALLLTTTITMGVHA YTPLSTCTLQHLPSGPSSDFDIHNGFGSSSLLAPILIPRVPGTEGSRLVQQHFVDFFS SQLPDWTLEWQNSTSTTPATGSQLIPFANLILRRDPPWAKAGNVKRLTLAAHYDSLFR PEGFIGAVDSAAPCAILMAVARAVDGALGRRWEEVIAAKEKREGGGERDAGDGLEDEE GGEEEEEKGVQIVLFDGEEAWERWTNTDSTYGSRALAEAWQSSPYEDSSTHSNRLESI SLLVLLDLLGAGNPRIPSYFWDTHGAYGDLAKIETRLRKLGILETAPASPFLPDSEKP YNRFTRGYIQDDHVPFMERGVKVLHIIPTPFPPVWHTMDDDGEHLDLPTVRDWAKIMT AFVAEWMDLDGVLSQESCAAQKEKGSGSMEKDEL NEUTE1DRAFT_72366 MATPSLLRSNKKAAAECVSDDALIHLKTYKYSAVDKSPVSNYIL KPYWNAFVEFLPLWVAPNMVTLIGFSFIVANVGLLLIFMPDLVGPGPSWLYFSFAFGL FAYQTMDNVDGKQARRTGTSSGLGELFDHGIDSLNCTLASLLETAAMGLGTTKAGVFT ALCPCLPMWFSTWETYHTHTLYLGYINGPTEGILIACLLMAISGWYGPEIWSERLADN LHLFSFLGLNEENLGDTSFQDIWVYFLIGAMFFHIPFCIINVVNARLSRNEPLLPVFL EWTPIVVFTLSVGTWLYSPYSTLMDENHLVLFCVTMSFVFGRMTTKMILAHLTKQPFP YWTVMLWPLIGGALIGNLPRFGLPAVTAQFEHLYLWAYLAFAFVVYSRWAWLVTTSIC DFLGINCLTIPLEKQMANKQIAREIAAQAAAAVPVGPAKKD NEUTE1DRAFT_150106 MVAYSTTLLALASSLTLVSADYWVNTSNIDIGTKRNWCLNELAS CPLICQQEDLGPVDKNLCDADTLEYACVCGNGQTPNLTEYTLTIPYHTCVEWVQECIH DNMGDNLAQAACAEDHPCGAKNPKRSNATTTSTASATASPTASSTGTGVYNGLAGGSS DAADSSNNGGHKQNAAPHMLESISATLIFGSLFAGFAIML NEUTE1DRAFT_126070 MSSVSAESDLLPGAITLQDNEHDDGPDGANVYGRDRNRACWAKK VDIVDYILVNGGTANIGAFVVWNIKVETLNGSRMNIRKRYSEFDSLRKRLVQTFPNFE AAVPLIPPKSILHRFQPKFLEKRRAGLQYFLNCILLNPEFSGSPVLKEFLFS NEUTE1DRAFT_72375 MSAPYWGQLPPLATVARSRRMSGDVTPTTPSDSRQSFDIHSQNR QRPDRNSVQTTRSEVQTDSNSSPFASPTTPSFMGHGPAPPPAPVPYGTGNKYPPELLE KRLRRRSQNQDYDLDYALDTGPPPPAVPEAPTGPPMSYRYPAAIAEQQNSYLNSSPGS SPLTQDVGSAGFHQNQTSAGEQQVSRDTSNASTSWRPQRIVNGSTRSANMPPSAGANS HRRRVSGLDQPLQRSTRRSSASQPATRQRMFADDRSPLQRLQLTLDSIAKEESGEGPT PQAEATDGPTRKGAPENSAYEQTEVKTISPQVPVQIQQVALAFQRQDQPSQTMPVSST TSTRPDQGSAAAKGPLSQNPPEEGRTYGANGGRVARTQVPDPRIPMNAAVRTSNLPQR NLSFRERAANQEAKLPNGINEALGPMPAPRKVVKKPVAEPWPRQSQEKQEALESAEVR DHQPVEDTVERAVKDDQSQQFGNITIGASAEPARVASQRKADKMLGRAPIQQPQQYTT PTERQIQVQTEPDPQPPVESPIIPAETPQATTERMPMRGPNHPHPPNYQHQHQHQARR DVRRDDQGRDLRRDRDDAEPGHHISNILYHARDDLEPGQGEFQPTKYLEEWKNGTVGT LGGPLLDLGNVSPVGDKGAPQFRRRGTGGLRPRRGEAFDGEYDDTYGHPKLGRKGETL YVRPVDHLDEGKDLSRDETDNGLFEKSRSAPESLPAGVTDPPGSFNARKKRARIDGEK VGKYKDVRGFRLHAERGYTFWRFNIEVELREKQQRIAYRINRGPATGFWVPAKGQAMN IMFHSCNGFSLSVDPNQFSGPDPMWRDVLNTHQSQPFHVMIGGGDQIYNDKCMQETQL FKEWLLIKNPLHKKDIPFSAEMQDELERFYLDRYAMWFSQGLFSMAASQIPMVNMYDD HDIIDGFGSYPHHFMNSPVFSGLGNVAFKYYMLFQHQSVVDETEKSEPSWILGDKPGP YIHEVSRSMFMFLGAKVALLAVDARTERTREEVIREDTWKRIMDRCYGEIENGKVEHL LVLLGVPVAYPRLVWLENILTSRLMDPVKALGKAGLFKNLLNHFDGGVEVLDDLDDHW TAKNHKEERAIVIEDLQDLAADKSVRVTILSGDVHLAAIGQFYSNPKLNIPKDKDFRY MPNVISSAIVNAPPSDMLADVLNKRNKVHHFDRDTDEDMIPMFQQGVDGKPRNNKHLL PHRNWCSIRLYNPGNTPDSTPGQSVIGINAYGLPPNGPSLLRRLSLSKTRSQSGPTYR GPDSVRDRTRPPISNALLRTLSRRGPSASADQVVPGGRGSPAATGKPPGLLKRTLSGS SISGKVGDFFRRRNSISSQRPRVGDEGIDGTWVPESDAEQAVYDDYAHVGDEYNIPKI RGGIRDGMSARVGLRGGGGEYHPTPPVPAGIGGDEFVAGDESYFTAKPVSDHNRGVST GGEYPSSPITGFSNTGRSGPTGMGMGIFQSQATSASLPERDFAPSQPSPRSGGSMFRS RTTGGDTRTIKRGDAIPRIMADGALEVTLNVEISPCDPGGSTVPYRLLVPKLSYEYEG EDHDHDHDPEMNAMVGEERTSSPGQVQTLESRQREEMGMEMGMVMGMEEKPITSGGGG LRRLLSLKRNGRR NEUTE1DRAFT_133220 MKLSAIATPLGLAALAIASNVLPRDFDSNDYYVLHLDARTSPTE VARSLGLSHEGPLGELQDHHLFVGRKAEHDIVKRELTERRRRKRSLGDEPHVLDSVLF SEKQILRKPWEKRMVPRPAGPSRRGLFSDQEVDWAVKKQNEVIGNLGIRDPIFKEQWH LFNTVQTGHDVNVTGLWLEGVTGKNATVAIVDDGLDMETDDLKDNYYAQGSWDFNDKG PDPKPRLSDDKHGTRCAGEVSAGKNKACGVGVAYDSRIAGLRILSKLISDADEAVAMN YDFQHNQIYSCSWGPPDDGQSMDAPGILIKRAMLNAVQKGRGGLGSIYVFASGNGAGN GDNCNFDGYTNSIYSITVGAVDRNGDHPYYSESCSANLVVTYSSGGGDSIHTTDVGNA CSDTHGGTSAAAPLAAGIFALVLQVRPDLSWRDMQYLTVNTAVPINLDSGEWQTTAIG KQFSHMYGYGKLDSYAIVQAAKTWKKVKPQAWFYSPWIHVNKAIPQGDTGVAVSYEVT QAMLDEANVERLEHITVTMNIMHTRRGDLSVDLISPNNLVSHLSVSRKNDEARAGYDD WTFMSVVHWGETGVGNWTIIVKDTQINSHEGVFTDWHLKLWGESKDASKAKVLPMPTE EDDNDHAAIATTTLPATTTTLPPQPIATDTKPTTVSDHPNRPVNSKPTPTDASNPSPT SDEQTSETTTPANSTWLPSFLPTFGKSAATMVWVYGSAALIGVFCISLAVYFWLARRK RLRNNPRGDYEFELLDEEEAEGLAGGGSSAEKRRAGAGGLAGGKRTRGGELYDAFAGG SDDEDADDGVYRDRVDEVGSGSGSGSERASGSGSGSGSAGNGGRQARALRSDSDDESG HHVVGDDDDDDESDSDDGGHGSRPLRR NEUTE1DRAFT_72381 MAVIDQDNFSNISWHSEQNAESAASTAQVHHESNSSPEYARSGP DDGRPGDNAAGMEHDELDHSGGEILDCTVSDPHKENDGTKDAYVSYLITTNTTFPSFQ KPKTTVRRRFTDFVFLYKVLCRDYQACAVPPLPDKQRMEYVRGDRFGTDFTARRAYSL QRFLARLALHPILRKADILHAFLESPDWNATMRSRSVRGSLASPGGIGDSTLGGSAAA GGGGGGVFDTFADSFMNAFTKVHKPDRRFIEIKEKSDKLDEDLNHIEKVVARVARREA DIESDLKDLAEQFQKLITLEPGVETAVRAFAASVEDTGSGLKKLKDHTDQDYLGSLRD MVAYSGTLKNLLKAREQKQLDYEQLTEYLNKSRTDRDMLASGQSYGTGSALMSGAGGF IRSKIEDVRGVDHEQARRDRQRKLELRIEELTREVEVARNESESFAEQVSREVESFDW IKRVEFKRQFSGLADAHIEFYGEVMSVWEQYVMEMEKEGVVLPA NEUTE1DRAFT_91077 MSASSTVARRALVVNPFLGAGVALPRQGLLAASTLLARNSRHIM LNNQTSALVFPVRPITTSGTSTHGSGPPAGPPPGFNAEEAKKPLPREAATLPVKSKTI AGEVQEVTKKTTEALAGTEASQSQLAEQKQSGAEKSEGKKEEPKLTLKQKIKKEAQHY WDGTKLLAAEVRISSRLAIKMAAGYELTRRENRQLRRTVQDLGRLVPFSMFVIVPFAE LLLPVALKLFPNMLPSTYEEQKSKDKKASTLRATRKEVSDFLRKTMKETGLPLTQVTA QKEEFSNFFRKVRSTGEKPTAQDVIKVCKIFKDDLTLDNLSRPQLVSMCRYLNLNTFG TDMMLRYQLRHRMRQIKRDDRAISYEGIETLSVAELQVACASRGIKSYGVSPARLRED LQTWLDLRLREGVPSTLLVLSNAYMYGQTDGEVSSQIEALTGVLSSIPEELFHEIELE VHNAEGAATNKQRLEVLKEQQELIDEELQQDQENQKTGFATPRDTEDIDEDHERHMQA KADGIEKAQVSEAVDAEQEALTAARVDQAGEQKSEKTTEK NEUTE1DRAFT_72386 MALRRAARVILVGAPGVGKGTQSERLLRRFPQLSSISSGDLLRY NVQQRTPLGIKVESVMKSGGLVSDDIILRLISNELTQRGWLNSTHPAGNVLTLASSAL SAEGAHSFQDDAEVAAFLSSPAQARGFMQSQLSNDPAHSFLLDGFPRTATQAKRLDEI VPINLVVSLKTPVEVILERISGRWVHEPSGRVYNTTFNAPKVPGIDDVTGEPLVRRAD DDEKVYLARYKKFQETAEPLLEHYARQGVLWEVEGMSSDEITPKLISEFERHFVE NEUTE1DRAFT_150113 MLIQWAKVAFLVTAASAAEQSFSFLPRHLGKDDPVIVKGRDYVF NVTLFNEMMNAIDVMQEVYFQPWVGTWPSAIDWTAAVLGTHIAGTLETLHRSLEIAAS RGIEILEGWSATENTISLYFSQLIGFYFGQNIFALRQEAFDDMLWVVLGWLDTTKFVD GYSGTSSRPLSDFGDGNGVEFPTETLRNRTWHGTLWTPAFAHRARIFWELAAAGWDTT LCGGGMNWNPRLLPYKNAITNELFIAASVMMYLHFPGDENNSPFISSEHSQQPRSPPN HSTDKKWYPHDPKYLAAAQDAYSWLLSSNMTNELGLYADGFHISGYSSGSNNTKCDER DEMLYTYNQGVLLTGQRNLFSVDPDPSYLKAGHTLIQNVIRATGWDLARDSPVDDLDK LKPGELPPWRGLGRAGILEEVCDAKGECSQDAQTFKGIWMHHFTAFCSPITQPQPQLH HTTKTTTAPPPGPDLWKSIAKEHSAACLRYSGWLKHNAHAAARTRDSQGKFGVPQTSE WVPAGKLLPGGGGGGPGGPTVVYKDRDSKVQRPLTGSCQLSKGSKKTGLNVAEECSRK VVDDGDPNTRGRGRTVETQSGGLAVLRALWELSVQGE NEUTE1DRAFT_55109 QIPEVQTPTRWNRNDCLPLLNGNDDPPGPRIPQKTGRYHSSEGG CTRKLHGSSALGNNKLPQAHSGQPAC NEUTE1DRAFT_51649 MLLNWLLIVTTLFSLSTCAPIEHEDAITIMGMTDQKDMSGKAGD PPQKYFRRFAEKALGYQEQKAALKNLVRTFLETMRDLGIETWLMHGSLLGWWWNKQIM PWDSDADVQVTEASMYFLATYYNMSVFHYKTPRLPAGRNYMLEVNPNFSNGDQSDWMN VIDARWIDTESGLFIDITAARYNLTHPAGEGMMSCKDGHEFRVTISTSVKSSGGD NEUTE1DRAFT_126079 MATSRQERMQERMRGAHSHQVADISFNFEIPLPVEEPSSEEPTG HDEASSPTKSPDTALAPAPTVETQPIAGQVLTRPTPNTSVKRSRRSPPSATSIAAIHT QPQPPHPRATSPSAASAQSRSPDTNDFSAHNDKDSHTVISERSTRERSSGLRRTSPTR TDHGTEDVTSPIVDKHPSPAQSQSHQHLKSPEQLPPQPISSATKAHHRPRYVSPTALT EEVDESPADAPGSGRRRPLRVDEPGSAVIGSSALLQQVLKELDDGTEQEPEQSSPLER AASRKSGDLFAVRRVSAETRRSSRLSGSSPPESVASQDLGEEPSPSKAAQQGGTERDR DPGLEAGDRQRDTRSAERTNDGVESERPSRGKGKNRGQEDDTEKAQEISDKEAAQLLG RKRPRRAVPAPSSQSEARPPEEEPLLKRRRRQIEPADTAQQKPSKARGERLERAPTPV SSPPQEQQKQPKQQTAPAGRRRTTQKTPKAKQSRKTSKKDDAEDQGKGEKAEAVPITV QRFTKPPRASDDADELNASVLDAEIPFANRAGVNAIDVLSELCEGLIAGFLARLEERA RAADDAATKREQKTKFRTMEAFQEELRTRLLERTIALDTLHALRKRVRVVQKEKLGLR EEILRIRAERDQVSLRMDAIRIKHEVDSKEALRHISLSSAMHDIDLAVERGQAAPELF PAEQKMADLANLEFLISRVSGEVCNKGNGGGTLKQIKDFNAFLERAASVLEGR NEUTE1DRAFT_72400 MGWFDGWFGSSSSSDESDPLGKLDPKLREFLARESPVKYTTQQE QEQQQQQSQAKQHLASAPAAPVPTAKVPATEQPQQEQQQQQQPAVPRASLYQDGRYAH LWKTYRPQYEIEAETKTDHEKLMDVLEGFKERKAMIGKAALENCAEEQLDWNNCMKHG SWADRATMCRDTVRKFERCYNMQSKLLKALGYLQTYGRSPEVDEDIQMHADALYHRML DQEAEIEKCKAEGRPIPAFPSLFENSTYKVPVYPTKTSAAPQSTSAAGAGTEPGKDPY EPSQAIVESWQEQLAKLPEPERAAEEEALRAEHRAKAIMAKNLQELWNEQSKEREQRR MEGKETIGDKIKRVLGTPNY NEUTE1DRAFT_91094 MQYSARNLAACLLAICIHDTLATQPAIPVQDSSVNSETTVPIAT SALGASVAPGTTIPAEVVASSQESIQSLTSIATPAASEASAGELRPLSGLASSAVDGA ATLASTTSELIPLVSQISTTAEISEVSPVQSTEVTSAAISTAELSSLEASSTAVAEDP ASTNSVALETTTENSAFPSSVALETTTTKAFATETAGTETAGADTTSTTASNGFLTSV ITESSASASSATADTSRAAITSRPPGFFPITNSTTPAASVVGGGKPHGTGAAHQSLVT GISKSPSSSGGVHFGNSTQTSGLSTAAPASRGGSGSSLTTLTTVSDGMTQTLVTAITS QTSGVAQNASTTATTRSNSGAGRPIDLMGGAALIAGAGSVLGMLLL NEUTE1DRAFT_105586 MLSSFITCVIPLLVISTPAAAATSQFQIQSAGNTTVSSYRNAVY FTNWGIYGANFQPQQLPASQITHVLYSFADIKPDGQVALTPSRVSSDTYADLEKHYPG DSWSEPGENVYGCVKQMYLLKKRNRNMKFPPVAATEEGRRRFASSAVKLVQDWGFDGL DIDWEYPTNAREAQDFVLLLRACRQALDDYARQYAPGYHFLITIAAPAGPQHYGVMDL PGMNPYIDSWHLMAYDYTGSWDSTTGHQANLLPSPKNLLTTRFNTDQAVRDFVRRGIP ANKIVLGLPLYGRSFEDTDGLGKPYSGIGAGTLEPGTWVYRDLPRPGAKEEYDNLAKA TYSYDASSRELITYDNVLSALVKTKYIFLRGLGGAVFWEASGDKAGAKSLIGTLATQM KRLDQTKNLLAYPASRYANIRAGVPES NEUTE1DRAFT_118860 MEGSWPLFETTDSIIEVLWDIALAVFVIRVSFYYFLLTFLTSLL LGYIAYAELIPITTFAHFLSSSGPDHNNRILCLTILLTITSAIWAHLVLRHYEVPRVA YLRLAICSMALAFMVSAEMVVAFVLYEEGYGGWMWEEMKSVAGMAWGGWMVMYAVMPV LLMRAEKRMEEKMDDRTGGLRLRLGYYGGKTVLHKLPTINEEKAVM NEUTE1DRAFT_72406 MTVSTKVFSLEGKGLKLDTAEDLEAHIAPLRAMDDVEEVRLLGN TLGVGACKLLGEVLATKKTLRVANFADIFTGRLLNEIPEALSSLLTSILNLPKLNTIN LNDNAFGLNTQAPLVAFLAAHVPLQHLYLNNNGLGPHAGILIADALSELHAKKEEARK QGQDVPYLETVICGRNRLENGSMTAWAKTFRLHNKVKQIKMVQNGIRQEGISHLLSEG LNHASQLQILDLQDNTFTLKGAKALAKVTPGWTELIELGIGDSLLSAKGGILLSEALL KGKNKKLEILRLQYNEITARGIKGLALAAEEALPALKKIELNGNKFTEDDEAIIALQD LLEERKERFGGDVVAEDEWGLDSLSDLEEEDEEEEEEEEEEEEEVEERAEKLIKEAEA AQEEPVVQVKDKEVDELAQKLAKTEL NEUTE1DRAFT_126086 MTREHSPADDSPLSSMASSDEEEIFADEVPEAVDEPEEPPTKRI KVTTGSTASSAVIQEPEADPDFPDGMSDVSSDTDGDIPSSPINARQDEDDFQEQVTVC AWEGCKVGDLGNMDRLVEHIHNSHIEGRQKKYTCEWIGCSRKSLPHASGYALKAHMRS HTREKPFYCYLPECDRAFTRSDALAKHMRTVHETEALRPSDPVPKSMQAGGGPAGKSA KVKIIIRRPDSHAAGQDDSVDDASGGDDGADFTPLTEEHGFTTKELDMPLDRLMKLCR LQVKLVEAEGEKLRKDCKLWEELYKQEWLEKEILLDQVVRSEQAWHARRQAVLKGMAD VQLPEKAVANGTVGEDDTAEAARLTVEE NEUTE1DRAFT_142486 MGNDSISTYAFASLGWLSLQAVPLIVWPTFISSMLTENGGHAHA PAVVNVVETYFARYLGFSQLTIGLLIVTLSGALPLTTNVTSPNDPNSPYANAVVFISM FYHTIGAAYGYSRYTSSGGHVGYLLGFTGSAIMSAYALWLFMFAGEQGKVNATTGADK RTSNFPFKNAEAEKRFDGDKKRVTRKEI NEUTE1DRAFT_91105 MSAILSVDDLNDFISPGVACIKPIETLPTAAPSAGDVNSSLEVE VILDGQQPEAKSNAPPAEILLTDCLACSGCVTSAEAVLVSLQSHNEVLNMLDSAPALK LVGPDANGKYSVEGLENSDAKLYVASVSPQSRASLAAACGNGVTEQQADRMIEQLFLG EQGLARGGKWGNKFTWVVDTNTAREATLVLGSDEVLGGLIAPSDKAATPVLTASCPGW VCYAEKTHPYVLPHLSRVKSPQALMGTLLKTSLSRILDIAPERIWHLAVMPCFDKKLE ASREELTDAVWAGDGKPGRGVRDVDCVITSKEVLMLAASRGYDFFSLSASMPPQTPRF PDQLIHDFLFRPGHRQQSREAGTSGGNMHFILRHLQAKNPGSQIQTVPGRNADVVEYK LTAEAGEVMFKAARYYGFRNIQNLVRKLKPAKASRMPGGKPFGSAKRPAGKASGLDYG YVEVMACPGGCTNGGGQIKVDDQVVVDRKGLAVKPGPQEQKEWQKEVDEAYFSGDESG SRAQDESLDLVVDGISPSHIRNVLTHWSTLTGIQLERLAYTSYREVVSDVGKEKKMTD TERVVQLAGKIGGGW NEUTE1DRAFT_133234 MGKNDSVPDAWDDDWESLADRAAKEAPSPEPEKEVKMTKAERLA MHVEAQRKLWEAAESEKEINFLAATSSVPLTTPFKPAMKVLSRRPVIAKRDPVTGLER STQQDDEDEDESKNKKQETPEEIRMRQQRELEEKQRRYDEARAKIFGESRPTGPAATD RTTDGEEDVEVCIVPTAGRKARLAAYRSTRNQLPESCSTQTIRRSQAQPARGGRQRTL RT NEUTE1DRAFT_72420 MNSDELMPDSAAATIPANNSIGNGNSSAAVTWNTKKFRDDYDML KIRLSDQRFSSTNFRDPLMPCPPHHRQYPGHNTPAVEKRLKELMTRIKGSLGGDFR NEUTE1DRAFT_142490 MSGPAESAAGQTAPASHSAGSHGHGHGHGHGHGHGAGGFDLLRR ATQAMMSSRPAATEEDGSDQIGLDSPRSGVATPQPDLHDKRLPGIMSYFNQVRSQSSF SRFLPFKANEQTTAASEAVAPQTEEPPRASLTPRLPLNAVEQETHSVSGDEGSLLLAY EALGPVADVTPPADDQMHPYPTPPTSQRSSVRNFKGDAGSEKPRDATPPPNSRPASLH QMNVPDGGRGIGVARRSSLLAPLTATVDDSSVPAPHLSNPASPAPNVPNSTSSLRGSS GEPEDLSYPSPSVAHLTKLTDVTATKSGASTPTRALSTTQPSQSEEKQPEETSRTNSE GSTERDNRTASQTPTPSTSSGGAPASRGKLTIKVGEARGLRRCRDPYVVVVFQRSELI SPGPRRAAENDDDAAIAAVQTGGIPIQRQSSDSGRPMAIPMRSRQSSNTSLTDFNTFR RRNSSQSRRSFTNPTWDAEAVFDVVDSDMLVDISVYGQGPSGEEFLGHVDFQANKAEP IRGWFPLQGHADTMAENAPTGELYVEAHYQRAEQKHFGPEDFQILRLIGKGTFGQVYQ VRKKDTGRIYAMKVLSKKVIVQKKEVAHTVGERNILVRTAMSDSPFIVGLKFSFQTPS DLYLVTDYMSGGELFWHLQKDGKFEEKRAKFYIAELILAIQHLHENDIVYRDLKPENI LLDANGHIALCDFGLSKANLTKNDTTNTFCGTTEYLAPEVLLDEAGYTKMVDFWSLGV LVFEMCCGWSPFYAEDTQQMYKNIAFGKVRFPRDTLSLEGRNFVKGLLNRNPKHRLGA TDDAEELKRHAFFADIDWDALSKKLITPPFKPQLKSDTDVSYFDPEFTNALNANGSLN ERAAALARGYATSTPLSPSVQANFQGFTFVDESALEENMHDRHYNRYEDDEMDDVADR RRTDDWEDVHGADQRAANRMSGVVKSNTTEDQMFGGTNFDP NEUTE1DRAFT_96785 MLSRTSSARQAVAAVARLARPQMRTFIAPTVSRRADFVQELYLK ELKAYKPTPIKESDAVGQVATFNLPKPPKSPEEADLASSLKEYENMAVEVEGQEGADA AAPAAVVEDWLVEEEEEEGAHH NEUTE1DRAFT_91120 MCNALHSTKSSADKPPPKICSGGQGILILMDEQTSAASIVVHAT TPKYCASGADNVCFRFGIPQSSADSGSGNIYFQIQAPSTYSWVALGTGDQMKGSNMFV MYQDGSGNLTLSPRLGTGHSMPEEDTSSSAAALGLLAGSGVTNGVMVANVVCPNCETW NGGSLSLTDTASKWIAAWKSGPSLATTSKSASISQHDDHDQFQLDLTKATVSSDSNPF LEADIEDPETGGGGGSNGGGGSDDPGDVVGPGNPFAPVVGGRRRDAALVAHSGIMTAT FAALYPLGSMLMPLAGSWIAHAVWQTVAFLMMWIGFGLGIHVAQERNMPVLGIMHHKY FVKYRERGAVSYAHIWWGRILLILAVVNGGLGLKLTDASNSAVIAYCIVAAVCFGIYA IIKSWAVVRRGRQGGAHFRKSDNFTHQQRYPVGRQPYRPRNRV NEUTE1DRAFT_133237 MFRSPATPALKTVRGFHSTPAASGDEDSDCCLSPDPRKGLATKS SRSTLMVDDVVSANCSPSLRPVVSPAMSGISMLRMHQDIQALSLDTAASSRASSMTSK LGRRVLSGGPARQTGYKRSNSSGTSSGDETASVATESYEINLEHDFAVDGNLSTTIGS TEAVEIGSDGGVARSHKMTADDFESLRCLGKGTYGTVLLVKQRTTGRLFAQKQFKKAS LVVHKKLVEQTKTELQILESVNRHPFVVKLFYAFQDQEKLYLILEYGQGGELFTHLNT EKMFSESTAAFYMAEMVLALSHLHTTLGVVYRDLKPENCLLDSEGHLLLTDFGLSKVA IESEECNSMLGTVEYMAPEVIQGKKYGRSVDWWSLGALGFDLMTGNPPFRGGNNAKIQ ENIVKQKLIMPYFLTAEAKDLLTRLLRKDPAKRLGSNMPKDLDTIKKHRFFRKIDWKK LAARELEPPIQPMITDPELAENFAPEFTELSLSPVVSRLEERYAAAAAKDDLFGGFSF VASNSLLDGDGFGMRVGTNML NEUTE1DRAFT_91126 TLFFFFLFSVFSSFSLSLLDSKNHILSRLFTLNAYREDRRRQFH FLLQVPDACDSKPSRTQFDHRTPRRRSATQRRALKTKADRGFEGSYL NEUTE1DRAFT_72434 MHPARRAYVEEAEPEQDRGGMDLDSVPLDRDYDIPGAGAGIAPE KASVLLSQFERKRLAASIAVPTDDGRVRAKLREMGEPVTLFGEGPADRRDRLRELMTQ QAEKGGQESADVEMRDAENEEDEAGDQEEEFYTTGSPELLQARIDIAHYSIPRAKKRI EFQKKESTIPLRTHVKHRKEIKEKLHGFELQGSQTAGERHISMTRISPDGNMVATGNW GGQVKLIEIPTLEPRKTLRGHTNKVSGLCWMPGATLPERNVSPDTVNLASGGAEGQIH LWSLNQDTPLRTLSGHSQRVCRVEFHPSGKYLASASEDTSWRMWDVETGTELLLQEGH SRGVYAVSYNSDGSLLASAGLDSIGRIWDLRTGRTAMILDGHQDGHIKPIYGLDWGSD GYRVLTASADGWIKCWDVRKVERIGGIGAHTSAVADVRWFKGLDDPLEGVPPGEDEKG MQIPKKSGTFMVSAGFDHKVNIFSADDWALVQSLSGHTGPVASVDVSRDGKWIVSGGH DRTVKLWGRNDSRSLYDS NEUTE1DRAFT_91132 MLDLPQITLWVAGVFFHRFYMRRSMVEEKGGIHHYNIAATALFL ANKTEENCRKTKDLIIAVAKVAQKNTKLIIDEQSKEYWRWRDSILNYEEVMLEQLTFD LMVGIPYHPLYEFLNMLEQDIPLQQQESSQPQQDLSKQKQHLVRNKAFRNAAWTYCND LCLTVLPLLLNARDIAISAIFFAASILKEKVDDFDGEAWWKYLKGDEGKVCMAMDVIT EFYKENPLRKQDNRMSPSPTFNLESTRRRLGTASSQQEGDGTPMETDRSTQSPRSSSG RHRDNGNTNGTLEEYEERSQPQHIKQEGGISASEAQNGSATKGSSEAKSASVIKSEPD SATSGLDARTVQAPVSSISHRGDSDALLKEAANNLAIHERRPNDSRLQSPPGAKRKSS VSDPAAEGEPENKRARTVTSDEDEGEINGN NEUTE1DRAFT_126097 MEATSERSNQDIVQNRFARDSDLTISTNGPQPPTVVQFGANDPK ELARASTLVAPYAGGVDLNCGCPQSWACAETLGAALMEKRELVRDMVIETREYLRRDG WGVGKERDVDNPKGRSVSVKIRVHKDLRKTMDFITTVLGPSQDRHIDWLTIHPRTRQT PSSTPINVEALEILTTNFGDKVPILLSGDVFTLNSLPFTSPLLDPANTPTLTSKSITT DNVEKKKTPQSPLLNLPKLSGLMSARAILANPALYAGYDACPWEAVETFMSHLARAPL PFKLVQHHLSEMCAPGMGPNKNALLSKPERNAMLSCTNMVDLVDFLDEKAREKGYGDE FGLRRVV NEUTE1DRAFT_72443 MGSSNPVIGLLGGGQLGRMLCEAANPLGIDIAILDEKNSPAKQA HNTNRHVTGSFKDPVKIRELAARSDYLSVEIEHVETEVLEDIEKNGVEIKLADGSVAI HKPPIHPSWRTIRLIQDKYLQKEHFRTSKTKIPIADQMAIESGESALKSLQEAADKFG FPFMLKARKGSYDGRGNFKVNSEEDFAPAIEALGKLPLYAEKWAPFVKELAVMVIRTE DDEGNLRKCVAYPAVETIHEDSICTKVFMPPRGVDDNVCEQARKLACDVISTLWGRGV FAVEMFLLEDGSLMINEVAPRPHNSGHYTIEAVPYMSQYKAQLYSVLNLPIPDELTPR VSSSIMLNILGGAAPNSHHKLARLARTTYGDDFDVYLHLYNKESKPTRKIGHITLTGF SSIEDLEKAAEPFISMVDQIREERLAASAEQLRPQEEAKASNKTNSGGANPAEGNNSD QPLVLVTMGSDSDLPVLKAGLDILKEFKVPYALDITSAHRTPKYMMKVAGEAAKKGIK VIIAAAGGAAHLPGMISSETPLPVIGVPVKATHLDGLDSLLSIVQMPRGVPTATVGIN NSTNAALLAIRILGSFIPQYQEHMSKYQLQMEEAVIEKGGKLRTGGDVAYLEGMKKTK NEUTE1DRAFT_91141 MSSQKDMRRADLIIPYQEPAAKTDAPEFQSTLSSTLPMAAIFMR NRYIGWAAVVFAVQSWLGESEDTKKSTSTPGYFSVGMSLMSLAVTYLPLFLPPPPGMQ QGSATDAAPPVPA NEUTE1DRAFT_91142 MKPATLAAALLSLCASLVSAGVVITPIKPEQVVPKNAGDCFFGV TTPLGCGYAYGRKMGCPLEADVDDNKEDVDRRQDRWVMGLVCMQS NEUTE1DRAFT_150134 MAMGSIGDTLWDVVICGTGLQQSLLALSLSRSGKNILHIDPNDY YGGAEAAFSLQEAESWVDHLATEDKGLFRSASITKPDVVGLSFPRAYSLALAPQLIHA RSELLSQLVSSRAYRQVEFLAVGSFYIFKAPIDPEQQPSLVRIPSTREDVFSTTAISA KAKRGLMKFLRFVLDYDSPEQRDAWKPFADKPLVDFLQEHFKMDTELKTYIITLTLSL DGRINTKDGLFIVHRHLTSIGHFGPGFAALYPKWGGISEIAQVACRAGAVGGAVYMLG AGIKSMDTATDEIELELTSGDKVKTKLLVRGEESVGDEPSISRLVAVVDSPLKTLFES TVDGAPTPCVAVVALPPGSLTTPGEADTDPVYVFAHSSGTGECPNGQSVLYLSTMATP NSKEILSRSLESLLLAVDCDRVPQCLYQLYYEQAAGVKQSRLDGLILDLPGPSLSLLM DDASLNHVREAWKKVVGDAVDDAEYMVFTDREPVDDDDDYE NEUTE1DRAFT_51557 MFYSHEILTNQQYGVATVWLVSTFGLRSSNRKISRKAIQEVNVR KACETILQPGAPIALRLQGSLLYGVSRVFSQQCSYVLTDAEKIHMHMRCFYNVLGGTE NALDPQAGEAKRNQLILPDDPNFEINMGLPAFRLDEDGNLASLFQSQASCKTSSQFSP LDRFNLTPDGSGSFLLGLDLPQSSPADPLYSQHSSYSLGPLSQHKPDDDMMEFGEPEA NAEIFGDWGIEIDADGNVMATVDEPELPVLPRPEEERTRATPFGQGHNGSELSFDDQG DLLMSGGTAPPPDADEVPGLVPDQVEQAQEDQLMEEVEEAQAIAEEPVVEAVATPVQR RQRRRTVLVPDHDTKISRHELKSWSTDYLANVERANNASVRAAAMNPTTAKKHAYELI FGRGVGRVGALESGLNSGNHRSHPLAALFSGANFASEALGIDIDEEVEAEGRRSRRRT ALEALELEEEDAERRVRRRLNDENGEEHQAQAQQNAEPQTEAGRGGLPTGGVEEDAEI GRRDGSALPDIPSDAPWNRPSSLVPGSSAKGDNHHPGSSRQVSASPLHSRGIHLAQLP PIDRFSDAGSYAPFLHSGGAPGFSSDPMMPPGEDEPQLPHLPGGPQGGRDLLRRTPSG EAETTATQAAAETSQVMRDALDRDGHNFLAYVNMVAKARGETRSLGGGGAPPSSSHAH GDGNDRLLRQWVAFDELLDEPRDRTRQVATQAFYNVLVLATKDAIKVEQDMEGFQPFG EIRVGVEVSEAEMLALMEEDGDE NEUTE1DRAFT_142501 MSDHQPRHGRRDSPVDDREEYVPYVSCASTSAASKLQAQYIPTQ FPPGPQPPLGAVRYVPPMPNQFPAHSASGYIEGLYSTFPTHYTSFPLAANAPQPYDQF DSTSYRPPTPKIDQFTTAATLFSRVSTPDYEREFDNEPIDTVPRSVNGSPIPPPAKGS GTFHTTPEVPRPPPPSPENFHIPLKSLKLNDLRSSASIGYPAPGDKSAACQLCDWHGH TAKICPLGSDKKDNKQPSNDAVFTNKEQNEYQDLARKVSHIIQNVVPDGNQPPATNET MIQHILKCLASHPGNPEYVHKQLGKEEHQGQWLCIKAMCDNKWEGPVSMATREIDCKY CKKNLAGDPVTLCYQIKPRDGRVALYREVGTLRV NEUTE1DRAFT_150135 MATESNPALASLPSNVREIIELASQRDGGIPFRAKTAHVHRTWA GTFTSLPELYIQPKSVPEIQKVVRLARHARRRVTTTGCGHSPSDITCTSSWLVNLDNF NKVISVDHLTGLVVVQAGIRLYQLSDELDRRGLALPSLGSINEQSIAGAISTGTHGSG IKHGLVGESITELKITLANGETLSCSPEDKPDLFRAALISLGALGIITEVTFKAVPAF SLAWSQAIDSDKRIFEQWEKDLWSQAEFVRIWWFPYMRRAAVWTANVVDPVDLKTGAV KHREPPTSYYDSWLGYYVYHNLLALSRWIPRITPWIEWFVFGMQYGFKNGEVTRIGAV QPSQKAFLLNCLYSQSVNEWAIPLHKGPEALQRLGAWLQNLKPGDPGYVEHGIPYSAE GLWVHSPVEVRASDSTVYTSREANTRPFLDPTQSDGPTLYLNAIMYRPYHREPTYNAT ERYYLGFEWLMRELGGKPHWAKTFTATQADLERWYGDDFQRWGAVRESVDPEGMFVGP WHRRYLLESLQRDRFLPLEEIQQTTKKVPARQGGGIEVIGIQNLVAPN NEUTE1DRAFT_126102 MSESDKYELLEKIGHGSFGIIRKVRRKADGMILCRKEISYLKMS QKEREQLHAEFSILSTLRHPNIVGYYHREHLKATQDLHLYMEYCGNGDLGRVIRNLIK NNQYAEESFVWSIFSQLVTALYRCHYGVDPPEVGKTVLGLGSTARPKPPSGGMTILHR DLKPENVFLGEDNSVKLGDFGLSKVMQSHDFASTYVGTPFYMSPEICAAEKYTLKSDI WSLGCIIYELCAREPPFNAKTHYQLVQKIKEGKIAPLPSVYSGELFATIKDCLRVNPD RRPDTATLLNLPIVRLMRKEKEVVEFSRTLRTKEETLNKRIRELDSKLSALETEKSSI RAEIDASLRREWEVKARLEIDRLVAQEIESLQQKFEQEVQARVEAELQRHGRGPMFNS HGQQGSFSSTAATLVSDYNLSSVGSGDGDFPSTTDITDISIAESTDGSDISKKIPRTP FHRAQTYSSAPAESVLGTPMDIEMASPSPITIASLSLSPRRMALTKAPTTNPRMIFGE EPTSTDKSNWEVPRETEMIDSGDESEAEALVPSPKRITKSSKNPFSTGTTRSRPSLNS QQNSNALPIHGLRSKQTLATRSKTVSGVSSIGQHPLRSAPSAPTLRDRKPSPTRRLSR IPSVTGVGIRLSANNINNSSNGGSDAPSSTVTSNITARTRGLKRMSSTCDESSFSQQQ NNQPQQSLPQAPPLKKIGLMAAKNIRGSSLVELHQARAGGRPISAIISNEAKLRAFKE HATIAASAVDSSSSSSSGSGQSQLPTRPRSQPQPITANFEQQQQQQQSNTNSISSSNS AGSGSATGTGTGAGTKSMPWPVAPVWNREVETEEMPSPFIVKTSKRPASFVRPASNLS QS NEUTE1DRAFT_72462 MVLRKDQLELKLKDDEQLIRQGVLRNEHPFDDSPEFHEFIHACR RGDLKHCQELISGGVNINGKDKYDYTPLIIASLCGHYELVQLLLESGALADPDSFERE RAVYNALNNKIRNLLLSYDYTKTADPLQAWSSHITSLLVREIPKTTDISLLTASESFH LHKFILSSRSPYFRRKFADAPETSTWKLSHSIPVEAFRVVIRYLYLGELPRDLVGPRS TATEEEVFKGIGKLCKQLEIAHLWEAVLSTTDRRLARQRHQDEVQRAQAQVTAYFRDT VLKHKFTVDTCRVGDVKWPRDNAISANCLLRTDEFDEGEEDVVEPAEEALISRNGIPI GPTAALNSTNGTSNGAKKPKRSVLFPVHKAFLIRSPYFETMFSSEFMEAKETEHLHVI KVDCLPEVLEIILTFLYTERSDCPLELAPDLLYAADMLLLDKLKTKAAVAISTLGSGT SNALVDRTHGGAAEDLQLPPPATSTSTPSSGQQQTPTGSSPEADGQSVEVEPINVYDV IHAAWDLEVQRLEDFAARYLASRLEDYIDEEEFAELIQESASRLKRREETDTIELLDD IRYYLGERFRFRFEGDGIEEMLNEEGEIDAAQIEDLALEAEDGEIRLEQSTDGQREVG GEEVGKSTERDGEEEGGGGLRTLDGKLVEDEFVSDAVNYQILLEKIDKMLDRLKLGA NEUTE1DRAFT_52623 VLFVKKLGGRICIYINYRSINNITFKFRYPLLLIKETLNIIYYT KIFIKFNIIIAINRIRIK NEUTE1DRAFT_114569 MSRKERSSLSYPIPRSHFRRMIYTEGTKCVDIDMDQPASQEERR SWVAGTAPAIYVMNYLRELPPLHRPPPYKQSVDSNEGENEMSSEWERRNRNIIHLGSL IEETIKRHFYSFVLKLPGIILPSREIRK NEUTE1DRAFT_126104 MAPTTLTPQYPAQPYGFAPPPSPPLDDSNKCSLPSISNLLVMAD QGSPTSETSPQSQQLHFSKPDNRPNSSQFGNPASIRANLPPSPPMSSEASFEGYRSPS SKPASQSQGSSNYYYETTPPLSQHEADSRQMATAAPRAPVQSSTFQTQYPSSAGYSSQ SGMNPYYPPMQPTPPPQQQMSGLYYQRPLPQTFTPAVPVPVTLAPVTGANPWQHHHYI APSSTASFPQSQDRYICQTCNKAFSRPSSLRIHSHSHTGEKPFKCPHAGCGKAFSVRS NMKRHERGCHSFESSNGRSSGNSNNGASA NEUTE1DRAFT_142507 MGQSTAWKPLAEPATARSDDDATVRDRQIPPADTLGVPSHYSRS LASSPIIDAARRCPQGRLDLCLVPGPSRSLDSWEMHNGIIPVSAYGAQEGAKSPRAVT SRVS NEUTE1DRAFT_118869 MPMPSLDHDYILTRSYWRWRSLILVQPVPCPKRYPAAAITDFLI PCGTRSRRRFDEEEEGRLKLA NEUTE1DRAFT_91160 MWSLSRLPVFGLSFLSASATILQNGQPRITTFPDTRIDPSSYSF NTHPRNASEISYKGRWDSKYVSWWSAPGIQFGYTGQIVAVTFGNWTSDGVLVGYRISG LDWIFTNVTAGGTHLFVSPETPGSDLMAPISPSIFELRVTNWAYGIQIESVHVAKGEK LIRIPDYGRRIEVIGDSLASGMYTSYEGLSSWAYGLGAGLGNTEYSITAYPGICAADQ NCWGNPHGQVHQWFYTSDTSPRASVMYGDNPEPWDFSKRPAADIVVINIGTNDQNSHN NVSTTIYIDALTRIIQGIHGKWPKAQVVVMSLWLGFYQSGNTYLPNAPQGFVNEIYHM YKWFNSDDYLRNPIVYDGVTKKTLKTGKKTKPFVHYFNTTGIMQHNDIGPQWHPTDVG AIKVASHLQQFIKMTFGWEFYATGPEVFHETEYWNDESGY NEUTE1DRAFT_105612 MEARTCFFLKHPVEELESKARDLVESSNFSGSLRIAYHQQEGGG GGGGGGEEGRHWELTPTPTPNPNLTAILVTFIVAANL NEUTE1DRAFT_126107 MSTSSHVPGNAVPDTQLGLSNEEIQLLRHGQQTGGGGGGESTSS RAASRASSQGLLVMDPSSLAALGRHFDRVMQRIQQQLEYLIEQSQQVNLEVYDRAGNL VDNADREIARYHSLMAQIDELELDFDRISHIREIVAGFRQRAEDIERELEISGSGSNS TAIHWKI NEUTE1DRAFT_133249 MSFASASHYINDVSQQGDRRLYGGSEHSSSDEDGDHIMGDDKFG SPATMAKSQTTSSKTPKSRRRGTATTIKAPKRARTAGGGARKGTAKGAATTGGGGIRK KIDTSATTLPSEFDDGGSPAGSPAGGQDGGGSGMSESDSGPYCICGGPDNHRFMIACD RCEDWFHGECIDMDKYTGENLVQRYICPRCEVPGRGLVTRYKKMCSLDGCDQPAKIYE DAKEERSIFCSPEHCNAWWDQLVATLPRTREVGMDNLTRQEFMGLLNPPDSKPGHDDP PWHLGDEPFGVPPNFWNTADLSVVLTPEERSILDRSAADRYALGEEIGLCKKMLQLLD MAVKRREAAIMAGKGTAKDLCGYDTRLDTVGAIHAFSVFLKSDEGEAIFKRGRMDAPT DLLALLSESAVRIKSEHEDEDDVEDGDEEEDEEGSVNGVNGWDRRNGINGVPKIDPLT AGMCTKKKCKPHSGWMGILSKNVKHTMKELAAEAKEKLDTETRVRASAAGRYRRKMKE DNRVVVLYRSSDEEMSDEDEEMGGQ NEUTE1DRAFT_72474 MNMSHVIAPMRTFSSVLSRTPARQCQRFISTATTTRPTVPRLQA QLHQPVAQRRTYKTVEEAKSKYRSGPFSWKAGLLFVLTGAGLLWYFEHEKQRMQRKRI ADATKGVGRPKVGGPFELIDQNGKPVTEKDLKGRYSLVYFGFSHCPDICPEELDKMAA MFEKVEAERPGALKPVFVTCDPARDTPQVLKEYLAEFHPLFIGLTGTYDQIKAMCKAY RVYFSTPSKVEPGQDYLVDHSIYFYLMDPEGDFVEALGRQHSPDQAAKVILDHMKDWQ EYEPRSLATLQLQANL NEUTE1DRAFT_91169 MNGSVNGPTIRPRRSALKYEGDTVQIAEPETPSVEEHHVKKQFS ASVAKRLGGRPAPTSNPSRTSLASGTSQADIDNSGSPTVLTASPAPMDESPAHGPQGR HRNKIDRISERLVAQVAEWIQHEKTKRETRKTVKLLRRRKTPPIHVNGEPTVSSPTRP RADSISSDSSEVSLDRLQKILNDGMSALGLDQVPQLGPRLGRRRSRRSLKAPPARTAS SDTEFFDGDVVVPSCDVFLDNSKTMSYSGGKATTDDTPSQASRREEKEKQAWLTFKNE IIRLAHTLRLKGWRRIPLNGGETISVERLSGALTNAVYVVSPPPESILPPQEGKRQPE KVLLRVYGPQVEHLIDREIELGVLKRLARKKIGPRLLGTFLNGRFEQYFNSTTLTPEN LREPETSKQIAKRMRELHDGVELLEHEKDEGPGVWRNWDRWLDQAEKTAMYLDNQVAA QLQETTRHKETWKTRGFVCGVEWPVFRKMVQKYRKFLEDYYGSPTKIREKLVFAHNDT QYGNILRIRPDDKKSPLLQPANEHKQLIVIDFEYAGANLAGLEFANHFSEWTYNYHDP VTPHVCDATKYPTLEQQRRFIKAYVDHQPKFPSVPGVSTPSVTPSSTDTPRPGMLQST NSASSIVEFMLDARVPPGGWKEEDARREAESEKRIKELLEETRLWRVANSAQWVVWGI IQAKIPGLNDGAKDVVTTPEGSVPDEELEAEEEADAFDYLGYSQERAFFFWADCVRLG LVTEEELPENVRCRLKFVEQ NEUTE1DRAFT_133252 MGVVTQRVIPRDTRGPTKARKPPMSTLNESGGLARLVAVRPTTG RQYEAIRATSFPTSTDIVIGRAGLGWILIIASRGPKRIDVCDSCALIFLVLDLQATSH RSPSISSSTISNPNSCIPFWIRFWFWFWFLPLLLVPSMNFDNPDVSYAEELDSPRGPP DPQYLTADPRPPSNRSGGTFGHPEERRVGSSALDSDLSFTSRPAYSAAAAAAQPTPSV SHPPSTIISPSEFARSSSDNNNTSDQQVDSGATDHRRNGHGTGAPTTAGGISRDHLAH ASPIVIQGPPRVLPDLPPLPPPTFRLQQQQQLLQQQPQIMQRNPYQPLPGGLPVDSGL AAGPVQDFKDDLAREAGVVTPGVDDTPYVQYAIEALTRGNRESGYSGDGSSGSEWGAG GGGGGLGLGTLPVGYVPAQHQQQERHQPREGESTEPSSTLDPALKQRFEEDRRLLQTP FQPKNPAVSADSLASTLLKNGPRPAQPHEWRPLDRDALIAKVGEPRANGLPTLDFLPM PLTARSLVAFMLMCLFMAAALIFSAVWSHMQNGLTGYVSIYGSSYFLFRILPQFLGGL LLLWAQFIIITAFRILPFVHLASPNIRERDGALFEDMYPRSFLWPPQFIGGWKVWVPI LATWVFGMTLPLLSSLFTVVFVDDVWMWATVQGVAWTAVALYLVMFISTVILWRYFAT LRSTGLAWDPRSLADIIAIVSETNTADEYRGTQLASNRGHIRFALRHQANVRLGYWTW KDGRSGVWYTLGSPMDQGFIAVPLPDQLTGKGMTKFQEKQGLMAAININPVAGSNSGS GTGTGMGTGTGTGEDYDMEAGSDGTPSPQGRYRYLPWCLRTSPLLLLLTGAFILLLAL FIVSFLPATRITKGFLPGLPAAPVQGAFSAANFLYSFLPSLLGLILYLLFQTIDMHLR ILQPWAAMSCSNRKGGASADASLLADYAACGPVTATFHALKNGHWRMAFTSACSTLFI LLPVLGGGMFMALTQPAGALVDDHDDVPTSEEQVLMFPNFPAFAIVLALCVLYFLALL SLLPARKPFRFPHGVTCLAEIISYLANEDLLGDLAFKHCRSREELKEKLGVGRGVPET RPRWTFGLGYGGSTGVAGEETLGVRRARRFTVRKSHIKFGGLDQPFLS NEUTE1DRAFT_118870 MVMMFGFNAIMKLFGGHVCLGPGRLTARVTVPPLRRTFSIFLTS INFFVGRPVMFQTHDIKPRCGQSIALEFGLLLN NEUTE1DRAFT_126113 MSNPRDFYNPITPTQRQQRTLPLRSATATPASDDASLTPSSHRT LRRTPRFQAARSPSPAPRPSRSSRRIHLEAASVGIAPQPIFATAGPPGLGQPPQPHGS FQQRPLQDSSDDLNEDTFPPQPSFIPERTSSLSHSNPLRLSRHTKSAILWALEEALRQ PYSFSPDLDEENASMADLLSGGVGPATSNGHAVPSPRPTVPSQTGSPQQVIRGPRMIM RERAEREARQKAEREQMERARAEEEARMLEETRRQNAERRNAAAGAAPGGNIATDPAT QRRQKRAQDAQGGPQVQPGNPPQADVPQPLRPARTGQPNQAPQGFTPAAGTGGTAPGQ PAEPSAPNAGTRMKNSFPHAFERWEALSAHWEGMTSFWIRKLQENTDEFDRNPISAQL SRQVSDLSAAGANLFHAVVELQRLRASSERKFQRWFFETRSDIERLQETNAMLENALE EERRSRTEAARQAQEQERETAKTQKLLAEMRKELLISKDEARRAWEELGRREQEERDR TLSLQQGHPTIVGGVQVVPMTQGVPNRQASTRDHQRAQSDTSDYVAAPNPQYPQYTQG SAAQPNTTAAAQPSGAYYQQQPGTSTQQPGDYGAGSGAGYDEAPRPEARQSDQATEDF ETPTSNPATNYPPSSSQYGGTYPESSGYGGQGYAAPGWETMPRHHHPTRLSDVLEEDE RSRTSASRVSQA NEUTE1DRAFT_126114 MATSRILGSAFARPNLNALTSSLPLPSQISRHICSAASGLPRAT QKHTRTAQFSSLIGKTGGLSRSNGREARTYNTKSTPSEAPPFAFAFDIDGVLLHVATP IPGAPEALKFLNDNDIPFILLTNGGGKHETERVKDLSQKLGVELTTDNFVQSHTPFRQ LVEGPDSLREKTILVTGANAEKCRLIAEDYGFRNVVTPADILKAHPEVFPFDPLLDTV YTATARPLPRPIFTPGSGMRLSDALKIDAMFVFNDPRDWAYDIQLIVDLLLSQEGYVG TYSPKNGDAALPSCGWQQDGQPPLYFSNADLLWSTGFHLPRFGQGAFQAAVVGVWRRL TNGHELQRRVIGKPYSETYQFAERVLTTHRHEVLRRRGHHEPGTLKSVYMVGDNPESD IAGANDFQSEAGTEWCSILVRTGVWSPEHAGEKALQGRFKPKVIVDDAREAVRWALKR EGWTGPAL NEUTE1DRAFT_91180 MDFHSQLPGRDPRPTLQVITTYPPQSQTSNYSYPLSGTSPGRES VGSDISSVPSMVEDQGSDISAEEEYQYDHTSRTRGSWNCFWNNTRAHEKDLQTTNTLA RPISHHAGRCTTPSTPERKRSSRPTLTSSRPDSGFAQGNNQWPLTPPYTSHSHQVPRT FNKPLPSPTYSLFPPTPSSADSNSSSVPPPGRPSFPRPWTSDTLRLEQIPPPPRSPVV MRRKSGFGRKRKLGGEPLAVTVSPHNTKSPTTSTSASLVFRARPSIIPVPSSTGNFSQ QLAPTTYQTEQSSLYLQPPYLTPIDFRRPSPTNLHRNSCSGACPRPLSSRDQHQQQIR THQQQEIKERPLPFLPLPVQPQQRPPRSSPPSPVVFPAPPTSPLPPLQPPPPPPPSPP PPPPPPPPPPPPPPPPAAAVAVPLPPVVSVFETDSDDEEVDSDTGSDEHNHFGAAGGV VESFARRLMRSLFGAQGRHHYRHDTDRQTQKSHHHHHQRSTSDYTRSAATTTTTTTTT LFHKAIHLRRPRSDGGGNQSPQLGAVMEEAEHGYRKSCSNASECETGSSAKQNVLGRR GRFWGRNGSRS NEUTE1DRAFT_133256 MLRNFFRRRHGDEDVMPDMVDDGSLEGDSTGIEALTALKRFEKM HRLDPNLPLDELDEVETALNSANIEKGTEIDQILAEDNSPYPEVRASVRNYDVEMPVN TIRAWTIGVLLCTIGSAVNMLLSLRNPSISLSTLAIQLIAYPIGLGWDLIFPDRVFTV FGIKFNLKPGPFNFKEHVIIVVMSNAAYGGGALYATDVILAQEIWYKQSFGWAWQILF GISTLCTGYGLAGLARRFLVWPAAMIWPADLINCTLFYTLHDHSPSDPARTNGWSISR YRWFLIVMAGSFAWYWFPGYLFQGLSWMCWITWIWPDNVIVNQLFGGQSGYGLFPITL DWSIISGYLLSPLASPFHAIANVVGGVTFFFVIVSLGIQYSNIWYSAYLPVQESHSYD NTGKRYDVLRILNENLHFDEAKYHTYSPLYLPTQFALAYGLSFAAVAAVIVHVGLYNG KDIWNQWKLARHQEDDVHMRLMKKYRDAEDWWYIILFAVMLGMSFAVVCAWDTGFPWW AFIICILIPVVWTIPIGIIQAITNIQLGLNVLTEYVIGYMLPGRPLVMMMFKNYGYLV MSQALYFIQDLKLGHYMKVPPRVMFWSQLIASVWSAIVQIAVMNWALGAIPDICTDGQ ANQFDCPSAKVFFTASVIWGAIGPARMFSGKALYSSLQWFWLVGAVAPVISWFFVRRY PRSLWRYVNFPLVFGGSGWIPPATVYIYYCWGMVGTVFNFFIRRRKTGWWLQYNYLTS AALDVGLLLSTLVIFFTLTLSHTKAPEWFGNTVAKSTMDTTAKAVQKLVPPGEIFGPR EWP NEUTE1DRAFT_150148 MPRGRGSGYDFPKPIGACPPPKPSSGVIGTGVLKGGSNDGSDGR DKEKGTGGSGSHGGRGF NEUTE1DRAFT_126117 MATQQPTQTDDGAQAQPPQTNPAKPTEISEPGIYVALTHDHLNY QFVTDRVRSPEAGAIVIFAGTTRNNFNSLPVQHLSYTSYAPLALRTMLTICRSILTKH GLKGIAMVHRLGVVPIGEESILIAVSSPHRQAAWRAGEEALEECKAKVEVWKREEFGG EGGGVWRANRDGAVGVKVDEPRIGKGEGDEKGDEGGSGNGGK NEUTE1DRAFT_150150 MPEDYASRARDTGGYRRRSRSPPPAYSRLPGSNDLRPKDGEYYR SREDALSPSRSLNYDNDGEYREGGGDNDGDRGRPDRGGGNRYGGAHRGDWGRHGGGDG GGGGGGRHYDVDDYRGRGRGTSPSGRHNGGYREGQSSRRHNDAPAPAPGGKIIVLQGI PEDATERDVLYGLNLVTQDPHMSTDQIKIVRFRYDSAGRRLAVVEFKRRADAETFMEQ YHPDVSFPLEHTRGANSEYITLDIFFERNRSDMDDFRGDDEDWDCFKCGAVNFSYRAA CFKCKTERSDDASYGYGYGGPSAGPLLTGETDEDPQQMPSQYLVIRGLEASVTEEVLA RGVMKLFREETTKAPTGTHKLKSTAPGSNTANLGAKPGSLRRVFLIRNRKSNESWRYG FAEFATVEDTKSAVAKFRNSPRFTIASRAVVVSFIHTGVFIPAFEVGPDEDPRFSFQP IYNPTIRLKYWDERVYPSIHVVSVEPKSEPEGADKSSYQKDGSATDNPLTRQASLLKK FKKKEPAPGEKGIAMAPQMQMWAKKAAELHGKKLAAAGGGLGTAGLEADDEDQEPDGP LNPHWTDQYLSYADWDRMICLLCNWKVPSQETINNHGHIPYTREDLLISHEVIVHNHF KDPETKEKAAAKLVSLGNEPRSIARRTPRLKHDAPPVYTSYADFDALYCHLCHRAFKH AETVWRHEQESELHKRMLSDAQAKERAVAELAAKGKVLLTMVPDKKLRREEQRTQRYR DRAMERRQAFLQPNKPGGQLSKQMLAGLGASVSAGGDKRKEQQQQQQLQHAADDEAAA AAKKSKGAGMLAKMGWTTGAGLGAEGTGRTQAIATEAYAPGVGLGAEGGKLGDASEEA ARRTKGQFSDFVEKTRDKARERFEKLQE NEUTE1DRAFT_91189 MKSTLATLLALASVAVAENGVVNFPLNRGVPHFRVGNVRQNVKR DTYSQALINNITGGAYYAEVTVGTPGQKVSVVLDTGSSDLWVVSYKADLCTDPSIQRQ WGDSCDKTYNPTKSSSYKVLEEDSFEIRYLDNSTAAGDYITDDLNIGGTTIKSLQMGY ATKTVRGAGILGVGYSSNVASTQRYPNLIDQFVAQKLITTKAYSLYLNDRRSDTGSIL FGGIDKDKFIGDLSILPIYLAKGQAEPIHFEVEMQSVSLALTKNGKTTKIISTDPSLS QTSTIAILDSGTTLSYLPSKITDQIHTKLSVYVDEIWTGLTFIDCQYLTSNPDLRLSF TFGSNATISVPVWELVLDLLGETQSELPFKMPFKNACIFGIQSTAGFQEDNFDEDWAL LGETFLRSAYVVYDLTHHQIGIAQANLNSTTTDIVELSGADGGLPSGLTGVKEQQTSS DPSGNNAESGSGSSTDKDGGAKETETVTAGSTAATGTAASGAKETDSAAAGLSARGGA VGALAVASLMGFLALVGGAVVAL NEUTE1DRAFT_114584 MFNFSMGADEALLTLGKEPRGANGTRSQETTARIRRSIATCPPV SCCDANHGQPISQRQTTTKATEYHPQNLPFPQHSWVIFLDARTSFVSCEKESKKKKNE FSPSINPALSCFGLPLQGSILSANTTAYVVPACVDTPAVLKRGREVALDAASLENRNE WFGWFCTKRTAPG NEUTE1DRAFT_105626 MQTGCTPWPSRVRGGMPSHVSAFIVQLPPPLPLTTTSSQNDLNL WVESYAALLHCHGNRPKNCRTWAAWASFLSDDVRCKNIEAALQAGERGEEQSSRWTRI NLVRG NEUTE1DRAFT_118873 MSIPVVTTIELQSLPKLTSGKVRDLYEIDDKTLLFVTTDRISAY DVIMANGVPQKGAILTQLTAHWFKVLGERIPGLKTHFISLTPPPSVSDEDKSLIRGRS MQVRKLKVFPIEAIVRGYITGSAWNEYQKTGTVHGIPQPAGLQQCSPFPKPLYTPSTK AELGDHDENISPEKAAEIVGDKYAARIEELALK NEUTE1DRAFT_56089 KGDRGPIWVGFLASFYKDFGLQCHWPVEGHLHQFDGTPPSLPSV IIHCREMPIWRDYGSNGGRAGRNGGRRSEGWRFGFCSAHASSTS NEUTE1DRAFT_126121 MHPSFLTSGIIDLLEADQRPSFIVALTPHPPTIVYTNPALDADA RLPDAITTRKRNNIQLWEWLTDLSGACPSGTGQAAANFSFMNIYWTRTIVRPDMVVVG ANDQPPFSEPPSQSRVDEASPVGSTVPPPVRLIPVKDDAPESIQTSAATTANTTTIAA TLAVPSLTSSMSLAPPDYTSLSRTRSGPPTLPLGSAEYPLASPEMIQSLKRSTTDPGW ILPDILPDWPPTLEQTFHQILADSRPIAIYWGTNYVTLYNEAFSKLCGSKHPSLLGMT VENAWQEAGEQLKDAMSRIARKEDPTIEDEWRFFIEREPEVEGGPHWLEETYLKWSLV PILEKERCVGFIHPVGDTTSVRLWERRMQMLVELGEDLPAARDVNGYWNKTLQELADV DPCYDIPLAILYSVEAFEEGSAMKIGSDGPTKQCRLAGALGVPEGHPIAQTAINLSTN EHALAPALREAVTAHQPLLLQTKDGTLPQEYLRGLQTRGFKDDPCRAVVIMPIRPTKK EDAMGLLLLGLNPRRPYDNDYRQYILLLSQKLTTSLASVVLLEEEARRGRNAAEQAAY DQAMLKEKLAIQTKEANESIKMFEALVEFVPVGMCFGDPQGNITFANDAWYKMTGFPG KCPIRNSGFLACVKEEDRQNIVTAHEKLKTEHYVEYEFRVKPKNHTNVTPSLTRNSPS FEKAGLDLVPIDQSKERHIFATAKAERAPDGSIIRTMTCLTDVTAHKHAAEEAVRRAQ QAENLKRMAEFATVGMYDMDLEGRLLGANNVFYEMCGLPKIDPTEVTIRPLETCVWAE DVGLVMKKLKKMVAEDKVQNVEVRLRTTWTADDGAGHKMVMPRWVQATLMPVRSAEGV IQTFTGCLSDVSLQKWQLDREKQRKEEAIESKRQQENFIDMTSHEMRNPLSAIIHCAD AITATLSRVQELVGGDHHGSDSDARSYVSEGTADNQPNHELRAEVCELLDNGIDSAET IVGCAQHQKRIVDDILTMSKLDSKLLAITPITVNPVQMVKEALKMFEVEARRVDINLS MVVDQSYYDLGVKYLDFDPSRLKQVLINLLTNALKFTKTGPTRNVTVGLSASLTRPTE ATSSVQFIPRSQESYAEDSDTASVHDRGEPMFLLFEVKDTGQGLTEDEKKSLFQRFVQ ASSRTHIKYGGSGLGLFISRRLTELQNGAIGVASQPGVGSTFAFYIEAHHPSERSLRD AEATAVAAKAVMSDLMTKAPNIRSMRPSNPHRGSTSSPRPSPVPSSPVVELGGLVTPP PQIRGIMVVEDNLINQQITRRGLTSMGFTVDVANHGLECLDKLQRTDRYVSDQGSGTA LPMNGSINNKGALPALNGSISPLPPPVNGSFLNQNQAPPATTTIATPATKFPLSVILM DIEMPIQDGLTCTRNIRELERQGKITGGRLPIIAVSANARIEQILEAKEAGCDDVLIK PYRMPELLEKMRIVMGTVANANAAAAAAAAAAAAAASAGPGAGQTGLASSSAASGSGS PGGFGGLGEPVVQRQIQTGEKEQKQDTTTQAQRGSQSQSQRQQGSEEHENQHKQAAGQ SQAQSQAQAQTQAHTQTQTPNQGLSPDGKPVETPWTSPSPSPKQTTQTKVELVSMQQL SP NEUTE1DRAFT_72504 MTADKRGPRIHRMTLFKIPDETNQKRLLDAYHVVAEEQKKLASQ KDGKPYILQLTAGKTLPDQKARDYTVASYIEFASMDDMRYYDNECLAHAMLKEIGPSL NLSEPPTILCFEKSNLAAAQSEP NEUTE1DRAFT_105631 MSLDNLDLLQPRATTPADEYHWYLLYQLIRGLEWLKLKVQQSGD DDDDNDDDEMRMQQQQLLTSEARESLKELLDKEIEEVNTDNLLYAENLKHEDQANKFP QEEESYPHDLRLGKFRQQEGYEERLERFRREWTEEAALERIAELFGVWSDVRKKLGLT TEKDMRAVREHMQKTVADREAVRFKPTYSLYPKVQVLEERKGK NEUTE1DRAFT_105632 MWFQLQVGFPFGSKIWEYFGFGGKHGLAFEYDPIWEQEPEATDE YSRDHRLKLKENTPTDKREPSDNQKVFYGYDGRRYVEVYSKYVEGGGDRLPWFYTEGL KGEDSSFREGSCHSFIAVIEFGLTMEFLNELSENEWGDQEKHGPALGLLACLR NEUTE1DRAFT_55714 MEHTFNHQKWDPIRKAYPAYWKLWNDTLLDRMDFDMPPPPPLSP GSDDSSPSPPRPPRSPRERPPTPVDPYGGERSYHPIPATAFFWSSPPLPWPPSSSELD KADHSTGQAPASPRYVTVLPMQDLIIIRPSSWTPHIHEGYWQAFEHGFPFGAEKYGFP GFCGWNGFAIEYDPSWGEELTKGATWRNDSTWLNDGSFKLPNGTVGIMDIIFNAVSNL SEHEQIKTIWLIDYRLKPKHNTPAAIGRLDSSQRVFHGGDGCQFVEVYREFVESGGRY LDEYYTEGIDGENSAMSQGSCHWFIKGFEVCMFGDLYDSDESVDSSYSRYPPHIGLLA CLP NEUTE1DRAFT_72508 MAATVPAPSLSFSRPTFAKLSPHPYLLTNLTDPENPCRTNGRAP HEARPVHIHTSSLAHANGSALVRTGDTTVVCGVRAEILPVTNIPQYRPRELSYGEEDN DDSADVDELKDYDLLVPNIELATGCAPQFLPGVPPTTLAQTLSTRIYSLLHSCKVVDP KGLRVWYTPPKETREEGAMEVDEAQEEEEEEEERKPELKAYWVLYIDLLFVSFDGNPF DAAWTAVMAALRDTKLPQARWDPDREAVVCSRSEEAKMALGVRGLPVACTATVFLEKE HGEDSGTSAERKSRHWILLDPDRLEESLCKETVTVVVDCSGEETKVKSIEKHGGTVIG RELMRGFIGVAEGRWQEVKEASK NEUTE1DRAFT_91204 MTAWASNLRPQVTTSCLVLGAVGVSLGLSVRMLMSQNSTKKPII IPSPRATHLPQLPKEETSSLPYPPDALPGARDVETPYGIIKVFEWGPEDGEKVLLLHG ISTPCLSLGILGEALVEKGYRVMLFDFFGRGYSDTPTDIPFDIRLYSTQIFLALASSK LPWTGDNGFHMIGYSLGGGIAVSFARYYSHMIRSLVLVASAGLIRSEHVSRRSRILYS EGLLPERLLRWLIRRRITPQPATTTSEAKLASEVVAATSNSEQSTQKHHKNSDASGGD SFDNAVLSPHRPNSTVSSVMAWQVQHQQGFLTAFMSSIRHAPIYEQRDDWLKLGQLLA ARRKTSSPGADEGAGAEPLPGLLGGKVLLILGSTDPVIVKEELIHDATSVLGEDGFKA VVMDGGHEIVMTKGKEVAGLAAGFWLSS NEUTE1DRAFT_142529 MPRIFGRRSTSLKLMSPPDSMPLSEQEHHNSSDNHHDSAYSSLL DPMVRAMEPPEHRRTKSTPSGWMQGFATSGQDVSHRTWLSAAERRSSSTGERPSPRKL VKDPNGSGRPSFSVELSDSDGEKEKGVLRRQLARLRSLYRREKSEP NEUTE1DRAFT_118876 MSGRFAFNKGLKEVRFLFCQTGEHSAATRSFLLRNYPAMKKDNP ATPVLIRDASGTLPKVYARFEFGKEKSQSLEGLSDQQIEETVSSLVKNNS NEUTE1DRAFT_72515 MAPMNNFFRAARPVFRNTFFTSNAARNGGKRFQSTASSEQAQES FFKRMWNSPVGFKTVHFWAPVMKWGLVLAGISDFARPAEKLSLTQNAALTATGLIWTR WCLIIKPKNYLLAAVNFFLGIVGVVQVSRILMWQQSQKNKTLPAAAEEVKENVKEEVK KVVKA NEUTE1DRAFT_72518 MSSESNQAEAEAIAPHPQHPSSRPQADHQQPESRDPELRNDGLP SQTGQLTTHLSPNAALTTPTTLTTPTTPTITSPEIATASTPVTALTSATTSPPSANRQ RASTSGPIELDTALSHPGSVRINVEGAFIVEHGSASPPQTPSGSSSRGGSPSRQESKD IRLPNHTAIIGGSLAKLVYFSREPHSTEPGGRLNFVSFETDHIDDCLEFMRALKRKHQ MLNESNIGPELCVMATGGGAYKFYDMIRDVLEVDVIREDEMECLIIGLDFFITEIPRE VFTYSEADPMNFVDPSTHRYPYLLVNIGSGVSFLKVTGPRDYQRVGGTSLGGGTLWGL LSLLTGARSFDEMLDMASKGDNSKVDMLVGDIYGTDYGKIGLKSTTIASSFGKVFRLK RQAETEAEDSGGLSPVDVDEVLSSAAEAGGPSTSSTTLPGNKHFSSADVSVSLLYAIS NNIGQLAYLQSQIHELSNIYFGGSFIRGHPQTMNTLSYAIKFWSKGAKQAYFLRHEGY LGAVGAFLKRQPQNWGRRGSFDQATVMEARMNARTRTRDADNE NEUTE1DRAFT_126129 MGLLPLTYRRPAYVDKNNISLSDEPSTGQNTIGNSPARNSEAGS EKTEPSLRPVNSGSIAGIPTALSFDKIIEGGTCPPCTVRDFMNYLIYVERSAENLQFF LWYRDYMKRFEQAKTWDLALAPEWTPAMEEEAIHKIQKEQAEKARKKPNCKTGDVTVE IFKGTDFEKGSTPVLSSPRGRSTSDLPEGTRTGSNDPFGTPPDTPRGYHQETFSSEYN GSSMATTYRTQASDAFATAGIKPPFTIQPFRKEIDRVIATYIMDDAPRQLNLSDKEQK AVLQALAHTTHPSAFRIIITPIESALRRQAHPNFIRWSICNGNPARVFFARGLGVALI LISTVVAIVLALSNAGRGYRALAAIGWVLGISTLIAAYKGMCVVLHGLHHRHIRPWEL FMAEEEDGEEGKAKRSFDSFGSGNSYEDEPWLVRYQQRNVIRKIFDREVWIQEPMLRQ IQDTIAVQSMLCAVVLSAILTAIFVAVPGGDLF NEUTE1DRAFT_118878 MTAESKPPFPPFTAETARIKVKAAQDAWNTKNAEVVQMAYTPDS IWRNRDTFLQGRPEIVEFLKKKWSRENGYRLRKELFAFTDNKIAVQFWYEWYDESGQW WRTYGLEDWTFADDGLMRKRQMSGNDVKIAEEERWFKEGVDVNEVAITEQHW NEUTE1DRAFT_105642 MSALKAGDSFPEGVTFTYVAPSGDTDLTVCGLPIQYDASKEFAN KKVVLVAVPGAFTPTCQQQHVPSYLAKLEEFKSKGVDQIIFIASNDAWVMAAWGKANG VKDESILFMSDADCKFSKTIGWTQGDRTKRYAIVIDHGKVTYAELDEVPKSIANTGAE GVLAKL NEUTE1DRAFT_53204 MRTYDDTFSGQRIYPGKGKLYVRGDSKIFRFQNGKSESLFLQRK NPRRIAWTVLYRRQHKKGISEEVAKKRSRRTVKAQRAIVGASLEVIKERRSMRPEARS AARLAAIKESKAKKQETQAAKKAEKAKNAANPKARVTSKQGAKGAPVKVAAKSR NEUTE1DRAFT_126133 MTDADAIASAVLEEFSKLPAKRKPSVRDNGLHEWVPMAGIVAKG PNGLKCVALATGMKCLPASKLPQTNGVAIHDWHAEILAIRAFNRFILEECKRLALQGD GASQSEFIYRRITAQHENQGQQGTAGVWQGQPFAWREDVSLHMYCSEAPCGDASMELI MAAQDDASPWEVPSPTPGDLPTEAQAPTPASADDTDTPLPGRAYFSQLGIVRRKPSRG DAPPSLSKSCSDKLALKQVTSLLSSLTSALVSPANAYLSSVILPASQYSAVACSRCFS SSSADGGRMAPLLDTSVSAKLEGAGYVFREFRVETTKMEFAFSRRAILPPNNNSSSTD MTDMADVKDTKPKKLIASNLATSWTLNGPRDGEGLVGGVLQGRKQFDLRGASLVSRRK MWTLAREVCSMMALDDDDGEIKRVLGSTDIVYDEIKDGSSLLEGRRRAKEETKRVALK GWVRNTGGGSFKLE NEUTE1DRAFT_118880 MSDVQERLKKLGASARIGGKGTPRRKVKRAPARSAGDDKKLQAT LKKVNVQPIQAIEEVNMFKSDGNVIHFAAPKVHAAVPANTFAIYGNGEDKELTELVPG ILNQLGPDSLASLRKLAESYQNMQKNEKDAEEDDIPDLVAGENFESKVE NEUTE1DRAFT_53805 MAAKKPVNWWLWTKMLLGGAAICVGGPAFTYWLTPTDEELFQKY NPELQKRSLERRFERQQEFDDFVTKLKEYSKSDKPIWTVQAEAEERERQQRASVQKSL ALADEVKARKEAMRREAGLPLEK NEUTE1DRAFT_91233 MDTSGEANPDLESFREQWRAEQPPAPPPPPTPQQQQPTPSAAEL AAEHHLHPRKPPPAPAKKAAAPRQDYEDDYVQPRSFDAPNDEAAAASTSTQGEWTVKK TTKDPVTALDHYEKAVEREAAGSLGDSLKLYRKAFRMDDSVDQKYKNKYFPKSKAKPP ATASPGTAGGAESAATAPSSAEQPQTMKELINSFAGLAIEPEGPPVEGMPPPPCPIAS LPEELLVHIMRDVAILDVADFVRLAQVCKRFAFLVATEDRIWRRICLGDEFGFGSMHY HWQRQITWEPLTEEDLLTEFEEQMQAQEKARAEKAAELDKGAADEDDDEKTAETPDVS LLLPLTPAQRAEKHAEESRATTLVFYKTVYNSSWLRMWRLRPRIRFNGCYISTVNYIR TGQANANATTWGSPVHIVTYYRYLRFFRDGTVISLLTTAEPADVVYHLTREAMTLHAG KRQAANNTALTHLPSAPMQYALKGRWRLASAADNPAAPLNEIEGDLIVETEGVGKYIY RLDLTLKSAGKMGAKNNKLVWRGFYNYDRMTDDWGEFTLKHDKPFFFSRVKSYGVLGE NEUTE1DRAFT_72543 MAWSKTTRIQVMLAIDVMFFLLELSVGLAVGSLALLADSFHMLN DIISLLVGLWALSLTKRATTDQFSYGWLRAEILGAFFNAVFLIALCVSIVLEALGRFI NPPTIDNPKLILIVGSLGLASNLVGFVVLGGHGHSHGPGGHDHGHDEHGHDHADAHEH DHGYEHSAVAEEGRAGVASDAHNHQTGRTRKSSNARHARFTSIEDMQIYPASFRQEII EASRSQPEEEESSSENSSDNENGGHDNTNTENTPLIGGSNGHNHQHYGAHSFSSKKGR RNSNVHREHNHTKPKKASKGGHGHSHADMGMNAMVLHVIGDALGNVGVIATALIIWLT NWPGRFYADPAVSLFITVIILRSAIPLTLAASKILLQATPEHIDLKQIREDIQDLEGV VSCHHVHVWQLDDTSLVASLHIQVDFPISAAGGDKWMELSRQVRQCLHEYGIHSATIQ PEFCLDTTHNHTEEAATSPRPDDSSSSLPGCGKADGKCLLACVEDCSGRGCCVVPGVS RPESTHSHDGDGHHHH NEUTE1DRAFT_91240 MDRWSSSSGPDGAINNPWTKLPFHGKYVELQRKANENRHKKHLR FRSGSILITDGATPTGRQIATILNKKGHYRIHALYPPGYFPPNVPPTERKRLAYPVDK MHFLKPVSGYENYVSWYDAVVEICHKHDIHYIIPQHDTMAVFAARIRELRRRGICVHT PRLTSIHSIVDKLAMYRMLRDLGIWHHPWVFLGKETNSQHAIMQTAGYIEDSGNYPVM LTDRTEGSDSVYVRIGSKKQLEDYILEDERKSATEFLHQTQGIFNNGKLEKWHGWTLL PVQQETTSHFRKTSAHYQRAVLVLQKIGMHLKWHGGLSVTFWLPIDETEAEPRVMRID MGIHEPMNAYYSRVDLIDALLDLRLPENSGTEWEDGSRWQDPDLAFDMPQNLAEEDAA ADVTGKGKTSVGQNDVGDDDDSKHFPLRVILMPDFDPPTRWRGVQVQATADVNSSSAS RLSRELTVVASSDDDSSPEDKINSWRTFHTLRTYLGVEGHGGDGPEETDLAIFEAALP NGGRCKWKTGVATRQFVLESEQRITESQEKSMLKRLATVLGDTTWRKAKEEHTPFFLN PWTTSIQNMYNVAKQVIDLSVKGKPGPTLLSTLLRDDWPRPNPRGLRRDQYAKIFKTH LWDGPEMPDGPWGLALPVESHYHAKRFRVHSQKGVPSRNVAYQFFVRQGRADNTGTLL PLGHVSPNDPNNEVFCTPVMLPPTPFDLICVGEKPETWNSWTFVPDPYRPREGVLIPS HDLPRTKHIVIPDDRQPWEMTPGRCFFYRGSRGHVPRQNRPYRYRMDDNLRYMVHDRK RKLPNPPKDWYFVDDTLPPPVSLKDSFQPIVPLVRGIPRTDIAYFYHHTTHYSRSYMG IFVPADHRELVQKPVYNFYRPTLLEPVPESPPTSSSADQSPQLDSERDTEERGTPAEP EQEQDPKNG NEUTE1DRAFT_91241 MTTPTASGNPTTETVHTISSPLDAPLEGDVHHPGLGEQESQAEQ SQQPNGGQQEKQMHREQHEEHTEEQQQGQERHEEQHQEHHQEQRPDQVHEQHQERQPE YTGPAVLHVPTATIGIVESHGHSPRARKDTNSSISTITSAATAATSCSIGSSPSLTPT SALPTQNIFSLKDGNAPLSSSRNRRRTGPLAPEARGKAALIRKQGSCANCKRKKIGCD ARHHGMTWEELAQKFPNSAEMQQLEAIVPPTPNFHTQESRKHNLSTPSPEFMDIDSSP TQQQIRSSFSESRVRTPLPSKPRQDRASTVSFPQPNGGLSNGNVLMSNSHGATVRASE GFARDRYRRISALFLRWQDDEDPALKNPMDEFREVLGEYYDCSCQTKIIPSANQNHNV SMWVLDEILQFLKTDTEDELKMLYWSSHSYLDADRQMILASSRSSEAQPASCVRWSAI QALLDHASPDVVVIMDAAYYPNQYRFMRRTNRSLELLAASTQANPERGVFTRALSDQL RIRAHLKLLGGISIADLHARVTTSPLLTMGARVQELLGSGVGGMSLSPPLSSCPPVPL YLQFAGSPRTSSIILTPKINRELAVMAGDDEASGRKGGTGGLVYTFRVPAGANQDIWE EYLSTLPDDIKGRQEFVGAG NEUTE1DRAFT_118882 MRLPSSVAAKVKQTKPEEAVYDVERTLFGLLERKSITVPEPTGN FFDGLVWVILVV NEUTE1DRAFT_150172 MHLPSSLLIAAPLLANVSAEPIRIPQRDVSVVSTSQQLAVRALP NSPSGGYAPAVVDCPKTKPTLRKAVDLSNEEKDWLSIRRKNTIQPMRDLLKRANITGF DSETFMNEAANNISQLPNVAIAISGGGYRALMNGAGFVAAADNRIQNTTGAGGIGGLL QSSTYLAGLSGGGWLVGSLFSNNFSSIETLLSENKVWDFENSIFKGPKEAGLSTVNRI QYWSEVAKEVAKKKDAGFETSITDYWGRALSYQLIGADMGGPAYTFSSIAQTDNFQKA ETPFPILVADGRAPGDTIISLNATNYEFNPFETGSWDPTVYGFAPTKYLGANFSNGVI PSGGKCVEGLDQAGFVMGTSSTLFNQFLLANISSYDGVPDVLIEAVTSVLKEIGAKRD DVSQIIPNPFLDWNNRTNPNADTLELDLVDGGEDLQNIPLNPLTQPVRAVDVIFAVDS SADVTNWPNGTALRATYERTFGSISNGTLFPSIPDDWTFINLGFNNRPSFFGCDVKNF TLNANQKVPPLIVYVPNAPYTALSNVSTFDPSYTMSQRNDIIGNGWNSATQGNGTLDS EWPTCVACAVISRSLDRLGRQTPAACKTCFERYCWNGTVNSKDTGVYMPEFKIADAHA LDSGAVAIGKMVNVWSSVVVGVVAATLLL NEUTE1DRAFT_105653 MTRARIGIQCGWIRSLGAAAVCPVSNCARARHREAAGTSVDDWR QIPGITSAHGQRRNRRVEDIPGFPLAQLPSASTATRLHTPTLAEQSH NEUTE1DRAFT_150173 METTLPLPFLVGVSVPPGLNDIKEGLSREEVSCLGCVFFEVKPQ TLEKILRFLKRHNVEFEPYFDVTALESIDDIITLLDAGARKVFVKTEQLADLSAYGSR VAPIVTGSSAALLSSATESGLLLSGFDQTASEAAQFLEEARDKKITPFFIKPVPGADL EQFIQVAAKANAIPILPSTGLTTKKDEAGKLAISTILSSVWKSDRADGLLPTVVVDEH DTALGLVYSSAESVNEALRTQTGVYQSRKRGLWYKGATSGDTQELVRISLDCDNDALK FVVKQTGRFCHLDQSGCFGQLKGLPKLEQTLISRKQSAPEGSYTARLFSDEKLVRAKI MEEAEELCTAQTPQEIAFEAADLFYFALTRAVAAGVTLADIERSLDAKSWKVKRRTGD AKGKWAEKEGIKPAASAPAATSAPVTKEAAQETTPEKITMRRFDASKVSTEELDAALK RPAQKSSDAIYKIIVPIIEDVRKNGDKAVLSYTHKFEKATSLTSPVLKAPFPKELMQL PEETIAAIDVSFENIRKFHAAQKEEKPLQVETMPGVVCSRFSRPIEAVGCYIPGGTAV LPSTALMLGVPAMVAGCNKIVFASPPRADGTITPEIVYVAHKVGAESIVLAGGAQAVA AMAYGTESITKVDKILGPGNQFVTAAKMFVSNDTNAAVGIDMPAGPSEVLVIADKDAN PAFVASDLLSQAEHGVDSQVILIAIDLDEEHLQAIEDEVHRQAMELPRVQIVRGSIAH SITVQVKTVEEAMELSNKYAPEHLILQIKEAEKAVDLVMNAGSVFIGAWTPESVGDYS AGVNHSLPTYGFAKQYSGVNLASFVKHITSSNLTAEGLKNVGQAVMQLAKVEELEAHR RAVSIRLEHMSKSN NEUTE1DRAFT_17445 PRDARTIELLLTAQGVIAFEQRVPLLLLDFAYRHTSAVLSDALH LSADPYTSHAGARPSASSGAAPVNVGDATITSNAVQLAIASRLNFQFRGGSGGGSGGG VSKEWMMEMAREKNKVALPKVSVNEWGVRLPSERFVLNGVSWGLKGDGWIAGGDETSG DEEEGSDEDMEDAM NEUTE1DRAFT_133281 MQYVRSLGDSVSTAWNSINPATLSGAIDVIVVEQEDGTLACSPF HVRFGKFSLLRPSEKKVEFRVNGVKQDYAMKLGEGGEAFFVFETTDTIPQSMQTSPIV SPASSPPLNADQSGPLQEPESLDLNSVEGKTRSSSFNHRPPPTALLARDDPIKGEWSP FRPHSDGLLRQSARRMPSDGSTHEGSEEGDSHTERSRSPPPLTAPEALQRAMNLSREL AAANIPNHISETGDLMLDMTAFKNNEEDAFRAEILARKILTDELDGNYDIGALFGVDE HGNLWIYSSIEAKEAAMKRTMDPLRSASHMGNIDAASDPGYQSDASDASAATTLPIHR RADSDVGQMTLQTPPSSPGSSAAGDPNRNYAKTLRLTSDQLKALNLKPGENSMSFTVN KATCQAYMFLWKHEVPVVISDIDGTITKSDALGHVLNMIGRDWTHAGVAKLYTDIVAN GYNIMYLTSRSVGQADTTRTYLAGIVQDGYRLPRGPTILSPDRTMAALRREIYLRKPH IFKMSTLRDIRNLYGPDRTPFYAGFGNRFTDQISYRTVDVPRNRIFTINSNAEVSLDL LSLNKLKLSYVNMTEVVDHYFPPVTTLIKGGGEDYTDFKYWRDQPLDLDEFSASDTED ERSGGRKTSAPAVVDDHESEYEEDDEEEVGDGLADSYISRNSVDDYEEGSVASEDGDY DAEDDGEDDDEDGEEEEGEFDDEEAAPEHMVDSAMLESVYVDADANEGIIDDEDAEGE KIVEEEEVDNEEEEDGGLGAEEEEGLRRAAADLEQAMKEQERKREPTEAQLQVPVEQQ SRDGSSSSVSPKVIEPDADAEIITGIKDLTVEKEVS NEUTE1DRAFT_118883 MYSQTWESLKPTILHQRPRQFFPDRIFSSSGSGRELPFCAHMEP SGISPERLQIEQRPLQ NEUTE1DRAFT_150176 MLWTSWPSLVTTVLISSAVIAWWFTESKTTALNLVAAGSLFASC WAVAPDRVRVLATSLYASALNTITTARIDLWVFRHASMLLTGGAVFWLVQRAWQTLWK PVPELISILGVDVPDPPEVSLAGIKPNSATVNWTRAPPNRSFQKFLIQVNGVVVGDVP ANQDPAIVVSGLKPDHFYNVRVIAVGSNNFQAGSRVVRLRTFAQDGRPQLGNARLPSS FTIEEPSRMKQSEPLDENASSRPPILALETATALEGGASSTRDGISAAAAGPRRNTVT RRHSPSTASLDQPLVREEAAEDPTQTLPELTQRFESIRKETEEVTQLMTKEEDDIKRL LNELEAEKQEKRREQKKKEEQTEKLRRDVNLTDRVMRNALSRKAHKEKAYKEKQNERS KFHDDIAKWEEDIEDMRKGREGFEQETKQLQKSHDQEVERLRDANSDLQASCVGLEAE LKELREQVRALEEARKKLPGGEEDAEWREKEAELRRNWHRRHRELQETMNFENRTTRR LDDHLRSLTMQIQQIPPTPFGLYSQANTSGLEFESGALGQTKRRSRTTSSLANVSVPS PLPTYSQLDPTVPVNGGYASVRSANAPPGFAQGPFMMGLSADMQSRHDENSRATSAPL SPSATALLPSNILDDLDDDEPSPAARYAHDNFVSQSGNSLDNEPQSPTSSGESPSLVG SPHGSSLNLAFPALSGDHPDPRSLNATTGIGSPNRAVGSERKIGSLFTFPRTRAAKVM ETEGPMLGSLKNGQSQSFPRQTDENDALAKSRRISLSSTWTVFHRHSATPEVLDGPSP ASRMFSTRSFNPFASSSRPAAGIFAERDPSSPRPASIASNEFPRPSTESGSIWGPPLA SDGAGLVKTSRIWAQDAPWSRTPSRRPSLHGSPSALKTTLASADDEILDEGPLPNVHE VGVIGRPPPQHASKDKDKAIGRLNPNAPAFSIGSLFKKEKEKDGAKKAKDKGKDKTTK NKEPGSASPSHVTPIVASIEMLLESPTESRKSRDGMLLEPTRTSVSMTESHESLSLEH SFSNTPSEALSTGLSGSFKEDNVVRKLFRKGSSGKFSLAGRSGGGLFKKGPGSTASAS DRGVSIGDRSSMDNLEDLGEDALGPAFLARSYESVTSSPNVGPSASASNVKDKSAKEN KTPGVSWLNFGKKGKKERGSVELERERTQVMKPEV NEUTE1DRAFT_72560 MGGDVPSTFGSASSSSSSNGQTDKQVERLPMPSRNPLPLSASQE AQVRDIFYARVRRQCTEEIKAFAECALGRTFSVPFACRAPHRVMNNCMKLHATPAEQD AAREEWFALRMERARERERKARRKAEQEAFLREWWGLPEKDREEARRELEKLNQKERV EQLRKTSLRCGGPGLDWVPQGYEAVAPSPTSGQDSSPGFRTTITLDAF NEUTE1DRAFT_51051 MKNPIPESSLVQLPKGPPRELPRIQYAFTTDELGKAHNETQWYR RDAVRKAAQKSWKSYRAFAWRHDELAPQSLTGKDSFAGWGATLVDSLDTLWIMGLREE FDEAVRAVGAIDWNKSSSPHCSLFETNIRYLGGLLSAYDLSGREILFKKAVELGDMLF AGFDTPNHLPANSYDFKKAKIGELSASSRQSLAVLGSMSMEFTRLSQLTGDPKYYSIV EQLKKGLEKTQEQTNLPGLWPKYIDLIEGTRPRSDTLFTLGAQADSAYEYLSKTYLLL GGLDPSYELMHVKAMDAAVKHLLFRPMLPSGDDDTYEAGSPPPPDILFSGNAVSTQRD KSHLQPRVQHLGCFAGGMFALGGKLFDRPDHVQIGEQLARGCAWAYEAFSTGIMPEVS ELVPCGEKVEREAGEDDDHLTQKCPWNEAKWRQKKKKAAAAAAAAMNTRFAASDTTNS QTTTLPKPFTKLLDPHYLLRPEAIESLFVLYRITGKKDLLDIAWRMFQSITKATETKF AFSAIEHVHIQNPGQPTTKTDSMESFWMAETLKYFYLIFSPEDGGAAEGSGGEEEEGL TLDGWVFNTEAHPFRVPRPIDG NEUTE1DRAFT_150178 MSSLRNSVQRRSHKERAQPLERQRLGLLEKKKDYQKRAKDYKKK QEVLKSLRQKVAEKNEDEFYFGMMSRKGPGSAITYDGKKRNFTGTVEGDRGNKAMDVE TVRLLKTQDLGYLRTMRNVAAKEVRELTERVILAGGADVDDDSEDDDDGDDFEDLNED MGGNKNKKKSSSGRTVPKKIVFFDAAEERQQKLQEVEDQEMQDFDSEEDEEKAKEAGR KARNLARLQNRLKQAKKKLKALTDAETELEITQAKMAKTRTSGGITKSGRRIKVKERK R NEUTE1DRAFT_126149 MSTATTTTQAGGGFSLFPNTNVTPRPPSRPSRAATPQGRPSTST EPSSSREAGRQSPIGRVILREGKQRSASNSNNPWQSALDSARQQREHREQPQEQPQRP PLPENMSSDPIVETSVAAPISEADAPPRCETTLSEAETLVGQQSRGAQSSFANPPIAY TPGPSNTATVATPTSIPEPPQPPQPAAIRSIFPRYNPELPLDRQDYYPTQASPTHIPQ SAISRPMYSARDTDQQSEVGPNAGTNGPSHTRNRPVANPSVTSGSANQRWPVTRSHEP PVLPTVNTTEELRGLWKAANGWRATQLEGRKYCLKMASDAYAPVYTLSSSSNQPFYCL RVDPTSASALVSLSRYDPNKTFKGDKSTSAAVGISAASAVASSASSSTSSASSSPIPS ARASSSTPSPRPSSVFSRNPDGSGGALGGASISKSTKTKKHDAKHWQEVISTTLEAAT RKQPPNDGLVAQLWPLTAARLVSDRATTDAATVAAAENECGRLVWDSDSGNHYLVHAA LAMPFCITVERNAAYSRTEYTLEHIESPNHLGRLTRDGTGQGWLEIDTAIASHVEAVY LIDVAIAALVLVAHADEQFTRVETFEPPPSLLRGAGGGGAGVGGSQRSSLAESLSSVL RRNTSDEKKNGNKDDKKKRKKREKDLVKGIKTRVEQFELDIEAQVNADMKAKNEKMPG CTRGLIAVLKFAFKAIIWCATLVFKVFMLLIKGCGKGAR NEUTE1DRAFT_126150 MHLRLSTTTTFSLRPSLTRTSPPPLIVSFAQRHSAVYYTTSPRE SFSITMSKPKGHVVVIGAGVIGLSSALALLEANFTTTILAKDLPAPFESIDPRSQINF TSPWGGAHNRWVPPFPAPGPSSTPLTPTQQAEHALRTREHAFSLSTFRRMQLFQRQGQ DQQAGITFLKGIEYLESPGPEYTSLTAQRAASQELGLPGHFRVLQSHEFPDDKVQWGC EYDTWCVNPMQYCLFLLGQIIARGGKVFKRDVRSVGEVFQLFSDSVQEFGATIPRADA VVNATGIGLGDDEMVFPTRGQTCLVQEPCDATVTRQNADGTWTFCVPRGFKAGTIIGG TKEPDNWDPKPDPEVRERLLRAFEGTYPRILADGKTRLTPVRDIVGRRPTRKGGLRLE GEVVDGAGFVMHAYGLGGRGYELSWGVAEGVVEGIQGHLESEKGSRL NEUTE1DRAFT_72572 MDPTAPEPENPPAYIAAARRHGIPLRQSAPIKRGPMPLQLPILD HLRTKRVILASASPRRKALLNQLGLNDVEIFPSDKPEDVEKGKITPEEYVAETARRKI LHVYEQALRQQEEDEQNKNVEKPADPALVISADTVIATRSGRILEKPRDEQDHIRMLK HLRDTRVHRVLTAVCVLAPKDNAAHPGYELETHVEDTEVYFAQARDGLPDDVIEAYVK TREGADKAGGYAIQGIGGMVLIDKIEGSVDNVVGLPVRKTLQLAEKVIFKQGIEDDDI GSDEEDDDEEPYQD NEUTE1DRAFT_126151 MGTYHLKSPAPARQTGAPLRVPLARPAISQVQVRHRLCSSCASA NPVPTKPAAPDHRDLGTQQELFMTSIYSPGSPIFLPNGARIFNRLVEYLRKQYVHYGF QEVITPTIYKKALWAKSGHLENYADDMFTVTSTSPSRADVTEAGEADEYGLKPMNCPG HCLIFAAQKRSYRDLPIRYADFSPLHRNEISGALSGLTRVRRFHQDDGHIFCRPNQIE DEISKTLEFIRRVYKDFRLGSYRLKLSTRPENHIGSLEDWEHAEGSLKRALDNSGEPW TLNEGDGAFYGPKIDVILKDSDGKEHQTATIQLDFQLPKRFELEYQAPAPELEAKGET TTDPELLAVSGPVRPVMIHRAVLGSAERMFALLIEHYNGKWPFWLNPRQGIVLTVNDS EPVLQFARNTQKVLLGTDSADVHVSPSGLAVDLDDSARSLSLKIREAKTKGYGMIIVV GPKDIANGTVTVDATGGLQTGDKPAQKNLVMAPEELLQFMKTKVDAYE NEUTE1DRAFT_91270 MSGRQPPGQPPNVSSSWRMVEGGENDSFDTSLMPDDYDGNDMIL SSQSDHSHIDGSQPYSLDGSQPFSIGGSQDESLESFLHRAEDDDQVIMRTPFRPSIPQ SVRHASRENMRHRIQPSPQEFYMPMVDVDSRLVDSRRSSARSSMTVRQFPPSLPGLRH RQTHQRVEEMRRSRLGTSKRQQAPEIQGFFDRFFDSVPDAVFNTFSWALGVVGLAFKY AQKPIAILVSVYLIFGLLSMVQNMATLSLYTALSPVCRVPGAKWLNLPFCLDMSAKTN THIQFDPVIEIQDRFEGVLEKAAESASLPLEMKRSELAIRDLRTMVRYSQLHGKEQLI LEFDGYIDAASSATWDLQRFNTRVGSTIDSIISVNRWTSRYIDELSDDMKHRGILGEW WDWVFSPFQPSTYTERKLLDQYIDHTIRVSDKIANLIREAQAVLITLQRAEDHLEVIY DFVTRTQEHIQAKKEEVFWELWTYIGIKSENRKSYNNQLALLRKVEDQRSEAVKQVSE LIVELQNIQVSLNGLRDRVGEPEVARDAGLEIPLYVHVETIDRGVERLESARNKIRDV ENERVREALARGKEELPELEAKAYNRRIPGLGW NEUTE1DRAFT_126153 MHLIPKELDKLAISQLGFLAQRRLARGIKLNHSEATALIANNLQ ELIRDGNHTVADLMALGATMLGRRHVLPEVTSTLHEIQVEGTFPSGTYLVTVHNPISS DDGDLARALYGSFLPIPPNDDSVFPLPPAAAYEASKQPGAVVCVKEAKVKLNEGRQRI RLRVTSKGDRPIQVGSHYHFIETNPQLEFDRARAYGFRLDIPAGTSVRFEPGDTKTVT LVEIGGNKVIRGGNNLASGKLDLTRAEEIIAKLQKAGFAHAPEEPVGDAAAFISEPFT MDRRAYAVMFGPTVGDMVRLGATDLWIKVEKDYTVYGDECKFGGGKTLREGMGQATGR SDEETLDMVVTNALVVDWTGIYKADIGVKAGVIVGIGKAGNPDVMDGVTPGMIVGSCT DVVAGEGKIITAGGIDTHIHFICPQQAAEALAAGITTFLGGGTGPSAGTNATTCTPGA HYMRQMLQACDSLPLNIGITGKGNDSDPSALREQILAGACGLKLHEDWGTTPAAIDSC LTVCDELDVQCLIHTDTLNESGFVESTIAAFAGRTIHTYHTEGAGGGHAPDIISVVEH PNVLPSSTNPTRPFTRNTLDEHLDMLMVCHHLSKNIPEDVAFAESRIRAETIAAEDVL HDLGAISMMSSDSQAMGRCGEVILRTWNTAHKNKLQRGPLPEDQGTGGADNHRVKRYI SKYTINPALAQGMSHLIGSIETGKLADLVVWDPAWFGTKPSLVIKSGLIASAMMGDPN ASIPTVQPVIARPMFAPMVAKSKVLFVSQASLDSGAIEGYGLKSRMEAVKGCRTVGKK DMKFNERMPRMKVDPERYTVEADGEVCTAEPSSELPLTQSYFVY NEUTE1DRAFT_150185 MPRPPKSKVAEIVKPVIAAPGPARVIDVDNFIRVRDSVYQRLST IQDLIKSFSQDYLRQTNLLLGEGTTLENGGDLEHLQTSLTGMLPGFLVAPQPMVEEKK ERKKRTHDPNAPKRPLTPYFLYMQTARPIIANDLGEEAPKGAVQEEGQRRWSVMGAAE KQGWNNAYQYNLRLYNARVHSYKHGNANAKNMTDDEALKYADEYNIEMPTAAQVEEVP TDQDAIAQQLQQNVVPEVSEEKEEQQQQQQQQPVPVSKTPIKKKDTRRRKTATPAEEA EPAKPVVAPASPENKKRKRNVKAAEVEEPKKSGRKKTKA NEUTE1DRAFT_126155 MATFKPYPFVLGFLVIYLFASPALAFGAGNIAGISKIEGQNWRH GDIEDALLKIVMARAMGGKTFDKLSVSRVYFGNWLRDYSQAIDVGTVKAVSAEAIRLL LCVLGFLTFGYGSREFEVTADRLGCYRPEDHIDNPKNYADNVDARDYDPRLRGPVDEG TELAIDPETGMKNYIANENIGIMSSAEHVRKLFSKCIRLARSYKETNDKTELYEALRL MGTGLHCLEDYLAHSNYTELALIEMGERDVFPHVGRDTKLRIPGARHSVYPVVTGTFG GVDFLHSVTGELSDKVTQSEIEDLEGTLKAGRNGDTSLLRDLLDMIPDGLIGGDKKNK IDEIQENAANSQLENMSVSPREPEEFTVYVQQVYRQVMPVIEFHDDLLQTISKAIEKI PVLPKIIEQLEEQLSLFVFQIIAPVIIPLIDQVKNELATGSTEIINSSKNEQLVVFDD DSCTDPTHSMLAKDHFSNILNEVAGRTASKVVSWVVPQLMDAWDDDSVDVDQLLNRII YGVLHHPAQRDMGEDGAREGRQMMFSSVEEWWNDLGEDAREEYRQKLSRDGVLNGENH KEGVQDCGHGCGKPLGMHKNFKNEAPETMEDRIAAAAANQIMGGVKQGFSEFLSGQAN NNQGNLLGAVAGGILGGGFNNEATSAYRSSGYTPDGGYSETTTEYGYDGNRYGQAEYT ETRYDDGSQRQEYSRYEQDDNGYEDRRYRRDDDEYREERRYRRDDEEEYGGGGGYSRR HEDEDLAYPDPERPSSPSLLDRIAQRAKDAFEERRREYESGERERRWPF NEUTE1DRAFT_118888 MARVYADVNQNMPRAYWDYDSVNISWGVLENYEVVRKIGRGKYS EVFEGINVVNYQKCVIKVLKPVKKKKIKREIKILQNLAGGPNIVALLDVVRDSQSKTP SLIFEYVNNTEFRTLYPRFNDFDVRYYIFELLKALDFCHSKGIMHRDVKPHNVMIDHE NRKLRLIDWGLAEFYHPGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGQSNSDQLVKIAKVLGTDELFDYLDKYEIELDAQYDDILGRFQRKPWHS FINAENQRFVSNEAIDFLDKLLRYDHNERLTAKEAMAHPYFAPVRDEATRARYLAGET IN NEUTE1DRAFT_133294 MERPTKRSRTGPAPFDDEEIVGDELNHQPEEVNQLRDPGYQLEQ ARSFAAFKLKSAFENIFEKYGKDFDGIGDEIDLRTGAIVVDNGHIKSLKTATIGVESD DDDESESESGNEKETTSHGQESKKNATALQTVPRPLPSMAPPSPFGAFGPGYNSFPGG PPLLSNTMYPVQTQFQSPPMPFGAFSSASASIDPAWRAPDLPPPVSWGGFWTPGTQSR FKTVAKVQQLAARTNHDQEAEDDDDILLDVSTTGATKATENTPVINKKLAPFRPPSNV SSPANHQLKTFAATSTPKSVDNSDVAMADGEKKKNTKRKTPAKKTPVKTKAIKERIAS LMDESGIQIAGSPVVDSPSADTQPVTDTQPAVKQTPVAEKSAIEGQFSVVRNTGAVKR RRTPNTKTPTSEKASLKQSADLLRASLVKSDPVSAAKESFAELEFETRPIVEHEPSIE KGSGQVSVEKPRVEAPVVEDHAVGTGQKTLKRQTPSRVSTREQHFGGPSSNPSASDSN RPALAPTVEQPSVGTELVVEIQSSLTVQNGPGQPYVQETIARESAVEKTSIDEGAIAE IEPPSAKGDGDQSASISATVTKSVNHDLPEIPSSADSRTARRKRRLSMRDQSSPAEAK RSSRKQVASRYEQSLFNGVTNANTTLKYYSPSRGALVTKPSNQQLWVEIPVDGSLDPK AFQVVVDDDNLIPEVPAMEIGPETTNTLTVEEMARNGVAKPQVHGDHETQTEAVEERS PPLNDLSHEVFTRNVVDSAYAFSDEDEPAIPKARVRRTEPIQPNPKPKPAEKSNVKEF GIPTPASSFDRNSQSTESQATLEAELPMEELPIISAIVITQTARQTPSAGSALLDKTN TNSEGPSLPKPVQVIKARRGRKPKTPIDLSVTASEVATSTPAVTEKPSEPVPIITALA STRPKRQSLRLSRGRRDSDITIAESTTPQHATATMSQHEDESLVIQESPQGTPEPESV DEHKAGSPASLTLTATIPASSKLTETLAPDQELLENSFLEEAIARQRFWSPKPESIIL GHEPSPPEPRAETLLEKEPEQPTTPVKTRSRRGGRPSSAASLPTATVTTTTKVKTKTG SASVSQPGRRKVQTPSTITRTTTTTAPMASSRTRTTLTGTFQKQSQVQTPGTTKSTKS LLGNNNTTILSLISSDTDDEDELTLDFGGGTPSGISKKGVVKPTSSTATATATTTRTK KTAAASTTTPLASLLLRTPSRKKPPPPPPPPPAAGGGGGGIRRKTTSLAKIVSSSIIS VGRRSRSTSSPPTTAHAVTPTGTGVGSEAEGEEGEEEFVGSEVIQTPGGTLRRCGEAG FRCDRDFCFGCL NEUTE1DRAFT_91283 MNCQKCRTPLKLDSSLEDLNPAAYDLLVATHSQQTPKKALSSIS ESSTTHRSLHERERRSLYEKALRSSHQPMFKRHAGPGRGGGGDGALRDNPAMSFVLLT ESQIASSDALSLQKNNRSSAQDLFSDTYSSNNNTNNNNVGASEEDDMANAHLSDQMER ILKLFEVISARSDIDHPICIECSDMLVEEMQKKLESANREKDAYVNYLKELKASEPTD EEIRAQEEATRKAKQAEKELLEELQALEQEQAALEREKLELEAEIREVDIKEEEFWRA RNAFNTTLIDFQNERDSINSKFDHDSRQLEKLQRSNVFNDTFCISHDGTFATINGLRL GRMHNVPVDWPEINAAWGHALLLLVTVAEKLNFRFEGYEPQPMGSTSRIVRIEPASTS MASSFYPSRPVDANAPPPPAKRTVLELYSSGDFPLGFTFIHRKFDTAMVAFLELVRQL GVHVQEQTRREGNPLSLPYQIQGDKISDVSIKLGVQQDDSWAKACKLTLTCCKFLLAH ASNVSASSTARANALGL NEUTE1DRAFT_142565 MAPMDVNESGSVDSFEAVGFKTVKHQRIPDDEMPDILYSIQYCT SNGRLMETRESAKPLDPKASNTDLEETSKPTPVLEIRTTVYARYNGSDYYDEPHYPPP DRYWSGSDSDCSDNLPRTRRNREPHTKDRTEMVIHSDHLKAALSAVVFYYREFSPEHG IIRAPYQVLVDHWKELELYKLNQPSCHDAEYADTTAKHIDVLLSFLKMTFSERFVAER LRQEDPRGPMATFDNFWTLLKPGAVIYRDRDGTLVPYIISLVRLEGPYDAQGNGEGNN TYYLEFWNIQYIRGLLRRRMKSCTISAWRGERLINSMSVIPERFVTHAAEVAAENVKL GRLYWELAKQPSYMEYDGQVTNGDTAASGRGYQTTGRVIVDCEGWERFGDGGDRPRRN RDHLNLDILPQVQSKCACPACCSNNKSSPPNDEGREQQRSEPSPFVGFDKLNPTTDSL PDNASLYLHVLSPTIPAFILSERRWAYIHLSGLGPVRPDLDAFKYLVLDPAIKLTVKS LIGKFAAAAPPSSSSTTEPPLPADTGREDILTPQQTASSSSPSSALAPWPTDFVRNKG LGRIFLLHGSPGVGKTCTAECISELARRPLLALTSGDLSTSMSSSSVERRLSYFLELG ERFGALVLLDEADVYLERRRTRDLKRNGLVSVFLRALEYFRGVLFLTTNRVAAFDDAF TSRIHVALYYPELGEEERKKIWGYQFERLERESFSSSSSSSSSSSASASASASASDGS DGTNNQQVGGGGKRSFYIPQSTKEYAFHHPSVLALKWNGREIRNALQTAVALAETEAA ESHPGGGLSSTTTTTITLMDKHLQAVVGMSSGFKTFMKGVKRNNRRKGKKAALLRAGV DVDEDDDDDDDENGMEDADEDDGEQGEEESDEDDEHSGKMPKLNLHAPC NEUTE1DRAFT_150191 MARTPEPTQGSSSSSDDLNSTLTNSGSLRRLLPVGLEEAASRPI APVRSTASASPTSTSTEQLTLTKTQARSAVPTGRSKRQATTAACGACRRRKSKCNGTR PRCSACHDRGTDCEYDTNVAETHAQALKRKYDELQNSKSAAEKVFEILQTRDEKEAEE VFQRIRRGADPAAILRHITFGNALIELALGPETGYRYEFPYLPDMPAFLQRHDNVYLD SEVYACVLRRSAPGIPAVNAQHRQHLTHPSFSGSQQQPYCTVSTAGRSINPYLTHGSA VDLHSDPYHKPYHSATVENSRLEKMQPSQWTSVSTDDALLRKFLHDYIMYDYGWNYFL HLDYFLDDMANGRHRFCSRLLVNAVLCIGSYHHRGLQGRAEYWNPANIVYLFLAEAKR LFEIDSELERPIPLSNDPNGERRLREWEERRLTTIQAGLLLNVLYLFNGSDKIGWRYS LRAIEMAHEINLFGPAQPDMDREMRDVREFTAWVVFTWQSVNSYHYFRTPIMRHPPQV PLPDPIENPQWYGEIWVKYPASQSRFPTHLGFLFKAKAELWTIMNDFSLLSFRDHGLP LNLSIPQVLGFYNRLVDWLHKLPEPLSPRKIVTPHQIKLHMHFYFILINILRPIVTSE WRNGTLSGKTIPPYTPHDAYINATVRFETLIRIYYLRHGFEALDSFLMQFLGALAYMT IDAIAQNPAAPHVETLRSTVLLATKGMWEQAQSVYVARAVLRVLTSAMRPEDVQLLKK FANVEADTDIHSAPLEQPIQSDWPTFVVRLDQNPDTVRLGKTLSNKLERLSLDLTTSS PPVESTPRPRNWSVIL NEUTE1DRAFT_91293 MAPTVLHLRSETKHLEHRSALTPTTTAELIKAGYIVNVERSPER IFDDEEFEKAGATLVPEHSWVDAPKEHIIVGLKELEEKDFPLKHVHVQFAHCYKQQAG WENVLARFPRGGGTLLDLEFLVDEHGRRVAAFGFHAGFAGAALALEVWAWQLNHSEPF PGVESYPNEDALIADVKKAVKEGVEAAGRLPRVIVIGARGRCGSGAVSALKKAGIPDE NILDWDMAETAKGGPFKEITDSDIFVNCIYLTSKIPNFVNMESLQVPNRQLRVVCDVS ADTTSPFTPVPIYTVATTFDKPTVPVDGLTSGPPLSVISIDHLPSLLPREASEAFSHD LLPSLLTLNDWQNSPVWARAKQLFDEKVATLPESALQK NEUTE1DRAFT_91296 MAVNGNNTFLDHTSAMEVLKEYERRDGLDIRDLMDSKLQGGLTY NDFLLLPGYIGFPASAVTLDSPITKRITLKTPLVSSPMDTVTEHEMAIHMALQGGVGV IHHNCSPDEQADMVRKVKRYENGFILDPVVITRDTTIGEAKALKEKWGFGGFPVTESG HLGSKLVGIVTNRDIQFETDLDKPVSEVMVTDLITATAGVNLLEANKILAESKKGKLP IIDKEGNLVSMISRSDLTKNLHFPLASKTKDSKQLICAAAIGTRPEDKDRLAKLVDAG LDIVILDSSQGNSMYQIEMIKWIKKEFPDLDVIGGNVVTREQAAALIAAGVDGLRIGM GSGSACITQEVMAVGRPQATAVYNVSSFAARFGVPCIADGGIQNVGHIVKGLALGAST VMMGGLLAGTTESPGTSFVSREGKLVKAYRGMGSIDAMQDKKAGGGGKDAQKSNAGTA RYFSEGDSILVAQGVSGAVAHRGSINKFVPYLAAGLKHSLQDCGMTSLQELHECVENG TVRFEIRTASAQLEGGVNMESYEKKLYA NEUTE1DRAFT_133299 MSSYKPHPLRQTQTADYSVNRQSSTTSTSSSGYSASSIGQSDIS RPISSLSTATSVYSQYGHKRGQSEASRLPPSLSGGSHTLPSTPTSDNIYKNARQSLRP TSQASTGAAPASSSISTAGSRIAPRTPTPGHHDRGKSVDISKLSIGEYETSTTHRERG QSLDNGKPLATERPRISPTGTVHERLASFDVSKSSVPERPRISPTGALHERGHTIDMG KLSISDNTRTSSGMSPTVTSPPATSPTTPSTRRPASMFLSRSDSVRGGSDNGSMALVH AHSSPIALARPDLEKLGRSSTSQLRTLSQFAQDGTAGELAMISPSQEVVGLRGRRRLQ RADKSVAARQKNGGFGWETKWMDKQRQFLQAYEYLCHIGEAKEWIEDIIQRPIPPIIE LEEALRDGVTLAEVVESLNPEKKFRIFRHPKLQYRHSDNIAIFFRYLDEVELPDLFRF ELIDLYEKKNIPKVIYCLHALSWLLFRKGIVDFRIGNLVGQLEFEDHELEAMQKGLDK LGASMPSFGNMGADFGIEPAEPEETEEERIERELAENEETIVELQAQARAALQRIQLG YTMQHLWDNEDWIIDLQSRIRGDWARQVADYRLQMKNFAVQLQSAARGFLARHRHAET KAQTNFAIELQKTARGFIARQQYAQTCFTIQLQKAARGYLARRQQTQTEEQFKGNEDV VLKLQSMVRAAKVRNVIREQHSQLETAAGPLRDIQATFRGFLARKQLAVQHEKTESEG ATIAQLQSAVRGMILRKNLEEERASLESNIEAITSLQAAVRAMKARTEVDAQLQALEG FAPQWTAIQAAFRGKVARSDVDVTKEELQKHSTEMGLLQSHIRAAAVRREISEQLESI GETEDAIIDLQSMVRGMLERMRLSDDLDALTQETPNIIDLQAHIRGCLYRKECGELLD ELAVNTEEITELQALARAMLLRSDVAMVLDELEQNEESVAAFQAAAKAFVVRAKYEEK KRFFRENMQKVVKIQSFVRAKLQGEAYKSLTSGKNPPVNAVKNFVHLLNDSDFDFNEE VEFERLRKTVVQQVRQNEMLEQYIDQLDIKIALLVKNKITLDEVVRHQHNYGGHANSL LANSVISNANQFDLKALNKSSRKKLESYQQLFFTLQTQPQYLAKLFRRIREQGITEKE LKRIEHLMMGLFGYAQKRREEYYLLKLISRSIREEVEACAALQDYLRGDYFWTKLLQN YTRSPRDRKYLRDLLGPLIRDNIIEDPALDLESDPMQIYRSAINNEELRTGRPSQRPL DVPREVAIKDPETRELFIDHLRDLREICDQFLLALEDLLPRMPYGLRFVCRQTYEALC QHFQRDHPQNVLQFVSNWLWRFYLQPALLGPESYGVTEKQMSPLQKRNLGEVAKVLGQ IASGRPFGGENIYLQPLNAFVAEAIERLAHITNSLIDVPDAEKTFDIDEFNDLYAKNK PTLYIKMADIFSIHNLIAAELPYLCPSRDDMLREIIQDLGNAKNNENEMTAGGAADIQ LFLTPKLHDMEDPEAETKGLFMETKRCILYIIRVQTGNNLLEILVKPITPEDEQKWRT VLREDFGDNSNTRGAYSEANMIDVTRMSYYDLKRTALENILRLEQMGRISKQNYYQDI LNAIALDIRTKSRRRVQRQRELEGVRQTLGNLHEKAKYLEQQRKSYDDYIEQAMATLQ NKKGKKRFLLPFTKQYNHQRELERSGRVPKFGSFKYSARALSDKGVLVSWTGIPERDW GQINITISCDEVGIFSLEGSRGHIQMPGASALVPIEDLLQAQFEAHQFMNLFEGQLRL NVNLLLHLLYKKFYRTHSIALHLNAWTDGRDKRCLTEED NEUTE1DRAFT_91301 MNVRNAPLSPISQGAPSDWNYPPNDNNLGQYNTPPDSANPAGAM NGGFSPMPQSPNGAPSPPPSVGRSSTGMYARSVKSQSQQGENQELVLSDHYISLKRYL SATSRDGNPKPPPNKARDKLQRLTEVQFLELSTDVYDELKRREQVARRGPNAPPETAP PDFLLPQDNFHPKRNQARQKLSSLGPPRFRDLATDVFCELERRYPNFANLEMPLNASP IAMRGPPSRSGTPNNGMGGFPPRGQSHRRPSEASSVRSARSGPPMPPMMNGGYGVPPS PGLPPNGDYGRPMPKQFQSNTIVPNKSTMVEEDDEGNLMSPGQGGDMYGNGRRSMGQA FEADRKLIQDYENQVRELQEKVDNMEMEMRKKDEELNNMQSGDGNKKDWDETRQSLET KLAEAQELNDSLQRELDRIREEHEDETRKLREGLEDGRQSGGSSDLARENEELRQSLQ EQQQITDEVRREAQEFLREMRTLSQQSGAAWERQTELERTIESLEKEVKEWRSRYART QTQLRSMRDSSEGVPLEQNAGKYVREKGFVEDSGLVKDLHVTKFQIAIDELLQRARND NPERVIDSMKAVVVSVRRIAKDIDENPQNDSILQEKAKLKARVSSTANNLITASKNFA SSAGISPVSLLDAAASHLVAAVIELLRAAKIRTTPAGELEEEDDGTVTPVGSASFFSP RNGQSQTSSVASAHEVLAQPPAFQGLGGGGGSRISVDSSAYSANSPRESYADSKPIAP DPRRVEDLKFYLQDKTSVMVETIQNLVQLIRSDANINQLSGEIAIITDVVGKVVAETD SCLGSSASNTVVDVVRWLSKCSDRLNEAGQHGIDLDAQGSSPTSREWRMWAQTLPPIA FELARDTKELVQQVDRLAAAGMNDGADDFA NEUTE1DRAFT_118893 MHNRPCPRSEQAYLLVTMQPNAKVKNEINRAVAIGHTLGRREAI KVTANACCHYISCWGVGRECPRPRWHLM NEUTE1DRAFT_91302 MSAVDTTTGAASSSKPDQNEQNGQNGGREDSGGFKLKFCTVCAS NQNRSMEGHLRLSLANYPVISFGTGSLVRLPGPSITQPNVYKFNETSYDSIYRELEAK DPRLYRANGLLNMLGRNRQVKWGPERWQDWQIGMPRTKHKDDKGADGMEGGVADVVIT CEERCWDAVIEDLLNRGSPLNRPVHVINIDIKDNHEEASVGGRAIVDLADSLNKIAAE EREKVGASAFDSGSVGARSGFDERVPDVLAEWQERWPNLPATWTLAWF NEUTE1DRAFT_150197 MTAIISPASSPATSPPAIDTSASTSAAHPPLPPLMTSPPARRSA LPRPMSHASKNRLSQYSTVSSIPSRSRPPSQLFPIYPSSLPYTLVRDFAYPVGHPMHY GPPPEPSRPPSGMTTPASEQRRLSDPPTSWDRHSWENSWSSDGWGRANDLPAMQFADG PPWSEDEDLQSPVVSSRHKKNKSAGGSGGSGYGAGRSGGGSVINPSNYDRERGYYVGT SGDGSERYYVNQGGEANGPGGEYVTYPADQARHSAYQVADQQPRQYEDDYPSDLDDSS PGGYHETDESRYSRDYQFTITSPDEEMHGKAVALYDFQREDENELPLVEGQIIWVSYR HGQGWLVAEDPKTQESGLVPEEYVRLLRDIEGGMNSLTGQLSESPVSPVEAGTPTQAE HTAATSGGSFGHTPTQSLSSNSGGGSGVNGNNNNNNSNNNNNNNSSNSNNTHSTPTSS SNTNGYGHSHSGSDYKYHQPVVSTFSTSSKDLDPYPQHLLGTQAGQAPPQVVHYHGQR GGSQANTPTNLFHDAGLMRRGSQDTVGRNERRGSEQGTLQTLLGARLEKTAKEGAIEN QSISEEAEPEVAMDTKPQKTGEAAAEADR NEUTE1DRAFT_118894 MSLFVASTRSAFRAAAPIKRSFQTRRSYATEPSKGGSSSTILLG AAAVGLAGAGAYFFSGAGAAKKAEASVKQVTEKITPGEIKKAFVGGDQGWLSLKLEEV ELVNHNTKRLRFRLPEDDMVSGLHVASAILTKFKPFDAEKAVLRPYTPISDESAQGYI DLLVKKYEGGPMSTYLHDMAPGQRLDIKGPLPKYPWEANKHKHIALVAGGTGITPMYQ LIRAIFNNPDDKTKVTLVFGNISEEDVLLKHELATIENHYPQRFRAFYVLDNPPKEWA GNKGYINKDLLKTVLPEPKNEDIKIFVCGPPGMMNSISGNKKSPRDQGELTGILKELG YSPDQVYKF NEUTE1DRAFT_150199 MAALLPFKVPQISEADMFAFHEAHFSNLSTGHFESHFLRPNDSV VASASATADEIEGGHAEEEHYHEEYEEDYYDEDDDGLGYYPDGVKRTLTDEQIAIFRH SELEAMRRVRESAKIKKVMDPAADGVVVIEGAIGEQLSEGEIDSSPKEAGVGSSQHQQ QQKKKKESNNRNKNKRRGGKKNNHNRLDGGEQIDLRKRTWDVVNKGLASLDYGEEEHG QHSDERSMQRRRISYDD NEUTE1DRAFT_91313 MVLSLQPREDGPVSLQVPKASQACINCRKQKRKCDKSVPSCGLC ARMNRQCDYSDSSSAPTATDVAALQARLAELENRLALAVGKETTTSTSSSSPSTNSRD NAATTHPAPPVINSRGPLWLPASSRFPSALLLDVDIFKWAQLSVPAPPVAVPRDVYDI LSSGKTVQDCAAEYFDTVHRWFPFISKKRMTLGHTLWEAGPDLAMLFLGMKLIVTLPV EGMDSADNPGMILVALYEYGQGIYPAAWMSVAACARYAEMCGLPGFKESWAVLGAVTT WTESEERRRAWWAIYILDRVICLGNKKRFVLPEPEKHYLLPTDDDAWDSGDPGRALSA GITTPLAQPQGRFARLVQSSMLVSRTITHVRTTLRNHQLGGDIDPFDINEVDDLINTL TSFSTLIQQELLPSLAVLRNQNSTEPTSSTSSSTSPPPPSWHFLTPSSIPLNPPYHHH PPSPFDFSSSLSPQPPPLDPLSLPPLSLTHSALFLLYDVHCCPENLLCGTGAQGFDNF QPKTAIQQALQVRAVAGLRSLSSGVIREMCMELLDAVMLPQGLAKVSPLCLDGMYNGM ATLRWLWKEGGDDGGIGLGENGSLGEGGGSTGGNVGVLQAAEDVGRCLSRLSSRWRVA EDYLGLVGLF NEUTE1DRAFT_114638 MAYSKYSSDRAPGREHIPLYPKGFITIRIIQLIIAILIAGLSAF GVHIFAFDGDVLILAVAVMTLISSTYHLITRFSTPKAYNYWAVLAVDILVLVLWLAAF ALLASEIIWFFTLWTSSSESIPTVDPYYDDFTPDEDSYDFYTYGILAALKGGAVGSKA RSSGGDDDGRYGDSGLDYIYDNDGGYDESAVVATLVAPICVIAAAALGGIEFILHLVS LIIHSVFLHRHRAAGLHSKPVQSSPSSNSNSGSDNSNTILCSPNAVLAPAAIVTTPNE KLPYHHHQEIDSTPISVPSNHNQQPSAPAPAYTPVFSQQQQQQQQQQPYLQQQQQQQP HHQRQYQAYQQQPQGQAQGHPSVYYHSPVSPQTTGGTMNYTQFQQPSWAVSPVPTTPP VPTHYGPTGVVRAGIYQLP NEUTE1DRAFT_126170 MSPADSLPFAFLPSSFRSFCSSSPPSLFFPVLRRGLAVVMVEAS SKKAEEWQRYYTAGPHLAGKNYSQALWTKEKWEEFGVQSHIVDYEVYINYPVDHRLAL LNKDDKKNDSSSDNWRVSFEATLEEDILDEDPSTSLPDRVPVFHGYSASGNVTAPVVY VNYGTYQDFEDLLKANVSLAGKIAIARYGLIFRGLKVKRAQELGMIGVIIFTDPGEDG EMTEENGYAPYPEGPARQPSSVQRGSTQFLSVAPGDPTTPGYPSKPGVPRGPVDGAIP SIPSIPLSYAEALPILKALNGHGPKASDFNKWWTARTGLGHKGVHYNIGPTPDDVVVN LYNEQEYVTTPLWNVIGIINGTIPDEVIVIGNHRDAWIAGGAGDPNSGSAVLNEVIRS FGEALRLGWKPLRTIVFASWDGEEYGLVGSTEWVEEYLPWLSAANVAYLNIDVGVRGK VFSASAAPLLNSIIYSATGAVESPDHPGHSILEKNWDKKIDTMGSGSDFTAFQDFAGI PSLDVGFGAGKDDPVYHYHSNYDSFYWMKKYGDPGFVYHRTMAQIFGLLIAELAHPPV LAFSAESYATALNGYVTQVEDKLNAVLHPLKEEEEVDISTLTEQVISELRGNHYNSSS SSTAITSSTSKAAVKAFRDSFKRLHDAVDKLTEKAARLDAEAEDLRSQAREHIPWYHW HQKIKLGYQIRKVNTKYKYIERNFLFSQGLDGRPWFKHVVFAPGLWTGYSGAVFPGLV ESIDARDWSNAERWVDIIEACIKNAARKL NEUTE1DRAFT_150202 MVTKNKDGPKLPKQQLAILAIARFAEPLAATSIYPYLPEMIKSF GVPKNEVAKWAGFTGSIFSVAQSMAAVPWGRASDRFGRKPIILLGITSTMICFILWGL STSLTMAITVRFIMGAGNGNVGILRTMVAEMVPEKELQPKAFSLMPLVWSIGSVFGPA FGGFFAQPAKQYPSLFGNIDFFKKYPFALPNIVAGIIFFISLMTGLLFLRETLESRRG HRDWGLALGEKLTRPFKRRGPHYHHHRNNRRHSFVDDGASAPLLAPSSLSTSIASSVE TDPPTLREIFTPQTIINLTSYTFLALHSVTFDQVLPVFLNYPRVSPHDRTPSNTHLPF KFSGGFGLSSDKIGTIYTVYGIACGVVQFFLFPWFCARFGVLRCFRAATLLFPIVYLL TPYTALIEDTHTRYAVFLTLILVKGVAVIIGFPCTTILLTNSASSLRILGTLNGFATT FSGLGRAIGPAMAGAIFTWGVTGRDYIIASWWFLSLVAAIGAIPSWWIVEGEGPSRSL QTDSEESGEEEEEEEEEEGVEVLNEGSTLVSNFQGSRAGSAVDGGYGTIKKDAQEAR NEUTE1DRAFT_91322 MASTPPQIRQERPESPDSDLSTASPAGSEHNRSSYFGGDDSFDH NIQSPQSPRSPQSPRSPRSPQSPQSPQSPRSPRSPQSPQSPQLLSPLQPVRSTPIVPI MDNNSNTSGGPDSDDDDLANSPSDHGNNAEPTVTQFQQDQQDRSSPPPFLPATVFQGS NNNYNSQRTQVKTPEPRNNTTTPTNEPITITNQNSSNQGNHNHSLYQTLNPIKTSINP TPRNILAAHTLSSPSTPGTLPNFDWDDFVTRYTESLSAADAQEQELLEEFQQLVQYFN VWASSSSAHDTDRAVKRLQTRERYVRLSEQNLAQRRKHLTEVVRAFQSALALLSQSS NEUTE1DRAFT_91324 MICCSLCDRSHQGRVPFLCAVDAHNRLYPFRVQNARVLIENEEL ERTVAEEEAEGPSRKPYLDRLKSEVAAANARTAEIMAQCERLKRQTEQTRKEVEKKKD VLARKKSDLSAMSTGVAARRNRQLEETQESIRRIRFKWAACADTMASTRSFLCEEAAR LFGLRQIRKGSSKRYELGGVEIIDLHALNSLSPEVINTSLANITHLVMLTSHYLAIRL PSQITLPHQDYPRPTILWPQASYQLEEPPFPAAMLSGQHQQSGLEGPPIDVEKTRSFP HRPRPLWIEKPLPALVKEDPQTAGMFIEAVCLLAHNIAWACCTQGLPFGFDSRDTYDE LCNMGHNLYRLLIGDNLHRRSVDPNMFPKSPSPSGQGNETTPSEESTTANNNPRLVID RWSHGTIHNFLESLEGKEWLDRCRLPSPHRMSDRLKKKLINEAPMLEWEKIEGDELDD AFEHDDGRLGVLVKRRRRREEIGDREGRVNGDFGVESVATVVNAGGLSGAVARERGIG SSGNAAGSTDAAAAVAGTSTSSANTRGTSGWTKLKSR NEUTE1DRAFT_105689 MEDHLSWFLTFVWLGVCTLTTVVTLPFGLCAFFLCTMAIAHPVV AWEKAEVIFWKLVERLLEYLDLVYLFRLFLSVSTGLVLAVLVTKLVRIGLKHPRYRKV CRRISRCAETVWFVASKGVSAWKVFSQWVIRFSQAVCLRQQRTGKVYLSLEKWYLLVI IPRYVPPPTPTPFIAPDNQTVDQSEMTVEHQAVPQYLLCQREGWQGSENLDDGHLVNR STREDVARPIASPVIPEQAEHTQVAKSTTAVSAFDVVHASPAPPRPSIPERVKGLELL MEKTAREMGISVEQFKARQATSSPTIVEEAAPGSTAPNQPQLPEADTQHAPQPMPVRS TRYERVLKKLSKSRRHVPAPRYDLFQSDSVYEEMVPESLMAVAVSRPAAVAEAPISEP VVITEPTVAPEPIHAEMKLTPPEIVITPPSPVLVSRTIVEDQVVGSGISSMPDKLAPK PILAEKPIREIVTVSSVPPSVVSQLQLSERNTVSEQEIEDLRLRIEVLRISPSVAVST SVDEQKTVGNGVQSPLQVPVLATLASPADDLVPSVVDSVEDSVLMEPVSARPSDDDVE MGEDSSVLSLAGPVSNGVAHSEVSPDRDLAMTDIEVATTVNAGLSDDQQMQDGDDSHQ DWMHEESPVVSSDMELFPPQQSPETIVFGGQAVQLVPLGSQQPIWQLPSVFPEGQTDF NFEFTMPSLIQPQIVEHDMVDVPHSDMVTTFSEYPMVEMTSPLNFIQTDTTMEGHFDY PAPPALTPGQQQQPWNIVEQPTAATSDRVLVSDTIDFNMLVDPNLDNMFDNVVSPMAL QPSPVSVTAESGMGLVTPASQAVDNRASPVTSEQRPVVADILPEFDINSVHPDLRYVD TPLDVAVTQGIAPAVEQPSEQDVAQQQPEQEVSQSAPATDEYPGVEVCEPEAWAQLQV LQNYVLEHDAEENNWQSEYPDLPQQSDLEYPEPLEHPAEVDQTQELGSPTFTPDVDDG ASNGSLVLSPPGTPTSIRSWDYSLFGSPPPVCSAPTPPNRSPTPPRSTGLDFTNAMFQ PTLPVGAPVFTSVSTFQPILPAAASGSNQASPSNIFGEDFRPDHTTPDDPNFRVHDAA WTTNEMDRMHQAYLVGQRLTQADVASASLQVDIMRQTEGADIYTEHEEDSDCSIESSD EEVRIPISQRRIAMPRSRASRAMATAPVTPSTTATPPAALGMVSEDEEGPQRADEEVI RRRPLAKLPRRRRNLPSNSNTGN NEUTE1DRAFT_91328 MTSQQDVTLPPTRIPRKSISGGSPTKKRAEKDNATVDIGAMGAG RKKMRSKSMGPGSLDIFKSGNGNRRASLAVPSRPPPRSILKPTSLPEIPTFKSRQSGS QVSGTDQSTSSFDSGEGGTRVAVRTEEEQQAAAREREEKERAQVEKDNNERREARRKS LANRRVSFAAEATLHTFHEIELPQDSTTSTDTTRRASSSTTAPSPAPSTLEASKPLPS PDNAEDDTIAYDSDLEHADSVAEIQAEEMTGSSDDSDVEDGTMMTVEAEELTSASIAT PRSHFSADSSGDLDENLRLAARRAVTQRLDEDEEVIAGFAGWGKKNNAQGSSSQGSGQ HTRSNPTQADQVPDTDMDADMDMTTAVGGIIRRMSPSPEGDDGDDMDMSMDVTKAIGG IIAQPIPSTIQEHSARPAARSLEVSTTSRGDRTMELPTAVRGNQHSRVSDVSQFDTDA NEDMSMEITTAIGAVLGGTSFGNALQESRPTTNNGTNDEEDEASMMDMTVSVGQILRD RPGNEANDTQAMDITAVVGGIIKTAPTPQQGSTSQPITGPTAKENVRPLTSTAVIRTS PKRQRSAVVDENGSPGLAAFQNNGLRQSLSPASSVAAAGLSNDAPSSPLQSSPLRSSP TRILPTRSSPDRRPLARQPSPGKAPETQLGTPVSKPAPRMIGSRSSSPIRAASPMAKT TPLSLKSKLFRQDPSTGLNTPRIVLTPQNRRLSGVGADRPGLGSPKVAEIIDRRDSIG ETAPDFVPSQPDGQRRAVAFADPRMMEAELDKERREEEERENSRRILDREADGERDAT VNLMEMIQGLTPKKKPLRGRKSLAVGSARGLLGKRPVELDQDIDEDTPEKDGVKRLKG HQGSPVKNIRLQAPPSKAETTTGRETVSESVDQTSNNTATPTIPSSPTRTMTPRNQGR FKYVANDQPTITMDFDHTGHIDENAEQRDDDGERIHLQDFLNMTSIRFMELTTTKRRH TIAPGASRDSTSAEDKDVTFESCVVAGACTVPMLELYQHSCRELKKYISEGRRIVRDI ETETFVENPPLFKEYISATPELKLLMDNQFKNVKSHARLLSKAMWYEWRMKLQEGLKE GLFKISEGMDKDDELLRKQQELLSSVLPSLTKRYGALERELENLEAVEKELEDCDPED LEAARAELTELDKTIAEKSKKIEELRQQVEEHQAGVQSLADQKQQCLDDITAADKIRE ECRGWSLTEISSLKARVDELEKNSGWAINKIEASVMFMTYKREIELAFDLASFKQQNE QGPTIDIRYIAHKRERNVIPLTPERIFFIECISSHLQALSQSSLSVNRLLTIVSDAWD KADAAAEQIRLLNLSFPSKVTRTSDTTLEVRSSLLLAPLQTRVEVCLVLEKILSNKNS DALDIRVTSDAKVLYGEQFTKSKLNDFLKNKLGKQVLGKKEQQQARGNKKKQQQQEWS EVVLELHKRLMAKGAKVGHSGQTQLANGSANTPQHLQGLQDQGVALK NEUTE1DRAFT_118897 MATELTVQSERAFQKQPHIFLNSKTKVKSARPGKGGRRWYKDVG LGFRTPAAAIEGQYIDKKCPFTGQVSIRGRILSGTVVSTKMHRTIIIRREYLHFIPKY NRYEKRHKNLAAHVSPAFRVEEGDKVTVGQCRPLSKTVRFNVLRVLPRTGKSVKKFAK F NEUTE1DRAFT_72641 MAGDPSQNVHQDLLPHVHLLSTFRYAFQPRVELPEVTKWLTAAP KIARDTAPFFWTYLDCPNDGSIYLTWQPSARRGVEFSSDGYIWSGPEIFYHQPAGNGL VLEAYIQRSGFRPGEQHTIHSRKRFRLVPGPAPVPNAPQVDPNLWIVHYGPADKQDRI HVQMVSVSPQMQQVINYRQQLFQMGQIVKKEFMLSDRVNWPQLPLPGRGQSMYAPPMP PRHIPPTMAYPPHPGAVAGSSKRRGGHGQPPGAHPSQMAGGQLQSLEGAFDDEEDVSR GDLFDHLTLREVSIARYQQNHEWMEEILSSPYQIGQIEVSDLGLGRKGALASLTEGIF EAQSTEAVDHGPKKPYTGHLAPGVADEFRKRVLEKNKADMEEIARMKADHEKALASLR KHSAIMQAEQDLRFAVQETGSEAWRLEGRLEDDEDGSSPQGQRNAKALEEILASVEKI VGKPATVVHDVHRVEDGGYQESAPEPEPSLPPVGQAPPMSRQPSQAGSQASGAIIGDS DIDMGGTAAGLLDQMNTAGGFSTASTPQALNLSANPSSAATPSAPNVASPLPPATNQG GDDISMEDADAEASAKVATSAPDQVPAASNPSGATTASIPQPPTTAGHSDAVGVPAQQ VTQPPSKPASVAPTPSGEGIDFSSLGDLDTAGEALAGYDPPSVGPGGDDLGDLNMDME DSAFGDAFHGVSHSAGADQSSPGAGLGSAGNTPPV NEUTE1DRAFT_105693 MSGPVARLANIIVGGAVKPGSQSQSQSQTSANDAPSADIGLIGL AVMGQNLILNMADHGFTVCAFNRTVSKVDHFLANEAKGKSIVGAKSTEEFVSKLKSPR RIMLLVQAGKPVDDWIETLLPLLEKGDIIIDGGNSHFPDSNRRTKYLASKGLHFVGSG VSGGEEGARYGPSLMPGGDEAAWPHIKDIFQSIAAKSDGEACCEWVGDEGAGHYVKMV HNGIEYGDMQLICEAYDIMKRGLGLSHKEMGDVFAKWNKGVLDSFLIEITRDIMYFTD DDGTPLVEKILDKAGQKGTGKWTAVNALDLGMPVTLIAEAVLARCLSGIKEERVKAST KLEFVGRANKFEGDKEQFLEDLEQALYASKIISYAQGFMLMQEAAKEYNWKLNKPSIA LMWRGGCIIRSVFLKDITAAYRKNPDLENLLFDDFFNKAIHKAQPGWRDVVAKAALLG LPTPAFSTALSWFDGYRTKDLPANLLQAQRDYFGAHTFLVKPEYANEKYPEGKYIHVN WTGRGGNVSASTYQA NEUTE1DRAFT_133314 MSYPGPPGVSKSTTHPSLPPRPPPAKQPGGFKPAFQPAIHSARS FSAAPSPSPAPGYPATPTTAAATSSYTAAPGYGPTVGAAAPGSYGAPTPYGAHQPAVP GVGGGRGGYGQANTTYSSYPQAAGYQQQAAYGYGTPGTGSYSTPPQIRNPFPVPNAAP TAGHHGHHHDANYDPVEAAQIAAWQSAYMPKDPNDPNNAVNKVGGAGNVPGTRAAAGA YGAGAAQYGAAYGAAMDPAAAAAAAAAGAAGTSTDPYAAHNGSGATGADGEKKKTVVR EGGGKKWTDDTLLEWDPSHLRLFVGNLAGETTDESLLKAFSRWKSVQKAKVVRDKRTT KSKGFGFVSFSDPEDFFQAAKEMNGKYIQSHPVVVHKAKTEIKPTVLKDDRKGGKWKD KKNTQNKEKKSRSGMGAGVGHDGGGSSGGAGGGYEPHLGPAHGSGVTKPGQKTKGGLK LLG NEUTE1DRAFT_142586 MSTAGATQSAEAQMRRRCGGYLPIESYGLIGNMRTCALVGMDGS VDFMCWPEFDSPSVFCRLLDKDKGGYFIIHPSAHLGCTTKQQYLPSSNILQTRYIHED GVVDLVDFFPRPMKTQTVIKGLKASAYREMTSVQEELKQWLVRRVECIRGKFSLDVEI FPAFEYATEPHTTTILQEENIPFATESKTVTFHSKNVKLQLDVSIDRGEEEYHSYPTV RFKKVMKPGMLGEGVVASIDLLEGQAVSFILRNDIPNHVTEQITNMVLDTQQHGTQTY WYNWISKCKYKGRWREVVQRSFMILKLMTYEPTGAIIAAPTFSIPEDIGGVRNWDYRF SWVRDASFTIYILLRLGFTEEADAYMKFISDQFCRSRFANGGLPIMFTIRGETDIPER ELTHLDGYRGSKPVRVGNGAAFHQQFDIYGELMDGIYLYNKYGKPIHWDLWCSIREML NYVLTILDHPDMSIWEVRNNKQHFTYSKIMLWVAFDRGLRLAEKRNFPCPNRAKWLVA RDSLYEEIMEKSYNKEMKCFVQSYENQTILDSSILIAPLVFFIAPNDPRFLNTMDRIM LPPEKGGLTSTGLVYRYDTEQSEDGVGGREGAFSMCTFWLVEALTRASVYEPKYMVRA INLFENMLSFSNHLMMFSEEISRSGEQLGNTPQAFSHLALISAAFNLDRVCEFNH NEUTE1DRAFT_126180 MSPPTQEGTSPTTLSVLSLDATSASSPSPTGDNTPHALPGTSSA FTSGIGTAGASGHATPTRRTGTGSYNLDISGDEDPHVTVDTVSVPAVLSTTPSATSTL KSKQHFDLAICADDEAVKHTDATPYLNSDSFEIEMAPISGVGGGHRRRRSSLMNPVGA SSSSRHRSPRPRSSAGKGIDFDESKLVGDDTRVQEVAGRYDGPSDDDLSDEDLHIDEE AGLTGADKRRKSRKRSRNTRLDQRIARDRISEAEKLEADRAVLKNAVINVTLILLWYF FSLSISLYNKWMFDPKKLNFRFPLFTTATHMLVQFSLASIVLFFFPSLRPTNGHKSDL GQSRHEPERPVMTKWFYLTRIGPCGLATGLDIGLGNASLQFITLTFYTMCKSSSLAFV LLFAFLFRLESPTWRLVAIIATMTFGVVMMVAGEVEFKLGGFLLVISASFFSGFRWGL TQILLLRNPATSNPFSSIFFLAPVMFLSLMSIAIPIEGFSALFAGLKIIAEEHGMLMA PLLIVFPGTIAFLMTASEFALLKRTSVVTLSIAGIFKEAVTISAAAIVFGDTMTVINV MGLLVTLAAIAMYNYLKISKMRQDAQNNVHRAHMVGGDSGSGSSSSASTSRSGSRSGS GGSSSERDGEGEDVEDEGRGFLDGAVAETLFTADGDVVKRSPDSPATRDRGRSADQRH RAD NEUTE1DRAFT_133316 MTPPPYLYHALLRPSILQILRAAGYHGARTSVLDSVTDMAARYL DALCRLTATFAAHNNEEPETGELVPTIVDVRMALQQIGALLPEKSELEQLYNGVEDTR GVDAFVAWAAGPVNKEIKRIALDSDEATDYLNALKLKHSKNDDDSRYTGSLLGKCIEH GNVEVEGGKYHSIALWEEKMKEAARRPTSQPQPQEEDKPEGINGDREDSRPPSSGLSS IGDQSIADPMDLE NEUTE1DRAFT_91347 MDPPGPVKRTLTLKINTQSNGSSQAGRTGSPAVTPGPGHNLSAA SPPPQTPGGSQGPRKIKLNVKGTSSQPPTPAGPRPPSHSNTSSQPTQPRISTGSSAAP NIFMSKPKTASKVFLNAAARKRQREESEAEDGPTANGIGGESRPKKITLKPPSGTTPV QTPLLAPKVRLKSQGKIPTRPLGEGYDSEADDREVDPVIEEQLILRMMPGEHCDYLRK AVAERKVGVPKHLGGADVTMKFLDEEGRRVMFVIQGQPYAAILVDLPTITEGMKTWDK KSMVKSADICQMILVFAKVKDEAEAKSVPLPKAVEHGHRWPHGITPPMHDARNRRFRK RLSKLEIQNKEAEVERLLAADREALNSRYELDGGMGGEEDRLEDYFGDANVQNQDDDN LFDDLEAEFENAADTPAEAMDAMDFGAATPTAIGGGMDLPTPPTANTGTPTAAQTEES AAEAVSEEDESDEDDEDDEGDMDDDGDEERHDEVAGVKAEIATLRKQLASYEEQLSKA ISIIMKKRLEGSIKNIKSEIKLKQSSIGEVSEED NEUTE1DRAFT_51840 MVAASSRAAFSTTFSVCTPPAATPAAAAPATSTAEAGLAPVPGA ATTGEQAKAAISSCPAGTKLNGLNYFKNKADPVALPDEEYPEWLWRCLEVQKKTDDAA DADAGDEFSKSKKQRRLAMKRARAQEAKILASGDLEALAPKIPLQKQSVNLPGAVNGG VQDAVLADEKREELRKAMRKERKAKIKESNYLKAM NEUTE1DRAFT_118902 MPTPESAAFLAKKPTVPPTFDGVDYNDTKRLKQAQDAIIREQWV RVMMGRLVREELSKCYYREGVNHLEKCGHLRERYLQLLSENRVQGYLFEQQNHFANQP KQ NEUTE1DRAFT_91358 MTDAPAAKETKKAESSDSDSDSDSDDSESEEETSEEEKPAVKEE KKESSDDEESDSDNSDSDSDSDSSESEEKSESEEPSKKRKAEESSSEEEAPKKAKTEE VADDKSTLWVGNLGWGIDDAILLAEFEDCEGAKSARVVTDRESGRSRGFGYVDFATNE QAQKAYDAKSGALLEGREMRLDFAAKDAGNKPQDKAANRAAKHGDTISPESDTLFVGN MPFSADESVVSDFFNSVASVASLRIPTDQESGRPKGFAYVTFNSVEDAKNAFEQLNGS DLNGRPVRLDYAKPRDNNGGGGGFGGGRGGGRGGGRGGFGGRGGGRGGRGDFGGRGGG RGGGRGGFGGSRGGFQGKKTTF NEUTE1DRAFT_118903 MTATGCYQLRLRLRRELTLVIYHTDSTTGPNRSFTERIQVDGRR RRKYSPTNAAKIGENDGMQNIYLQYVAT NEUTE1DRAFT_150216 MASPDPQRRPVDGRRNDDSWVEIASQPSSSSLSSIGDEIVTTGL RVGNNSYPRRRRRSQQQAPMPASFIVSQPNPPAGTSSQDEYDETESEEDRVMTSSTEG VHPAASLPRQQTAFRSGLPVDSEDDSDDDENATALGRPTNTARGAFRPQPNAFSHPPS YMMQRHQSASPSHPYHPAQSRPSMPTRSQTRSHRASHNFLSPALQADNDAALRASLTT LLSCAAAARGLPKGEEASPGPAGTGVMPSSQPMEFRLVPESELMAEGPSGIGLPLNQA KTRQPARTASNSSAPSAPRSASSGREQQQQQHQQGLATTERTKRGANPASKLARTAKK RRANSPSPAGVVSDNDVASPFFLSPTMLTWVVSAGVVVLVSVVGFGAGYVIGREVGRQ ESSSALSLASAGSAASNASSSCGQEFVRSTTSGTLKRFRWGSVGRSVVA NEUTE1DRAFT_142594 MSQSTHHESTSNHENTLENTPPTAPPPPRDGDDDPQEDDPFYDD PKAIEQAWTENHILINKDSDTPIPLPSHGGAGGVDSTISMGMSGS NEUTE1DRAFT_126188 MPPPPPPPPPPPPGMGGPPPPPPPPPGALPGRPPAGLPNRGALL TDITKGKALKKAVTNDRSAPIIAPTSGSGPGLAPPVPGNRARSDSDVADRNQGGSTGM EAAPQLGGLFAGGMPKLRKTGGGVDTGANRDASYLSDPETTSKSAPKPPTVSAPRPPP GAAPTIPGRPSAPTFHPSMPNLKKTANGASSKPPPPPVGKKPPPPPGSRKPSAAIHSS APPSAPPPPPSFAPPPPSSAAPSLPPAPPPPPPTAAPRPPPAPSRSQPPPPPPPSSST SNLSQNIAVQAAIRAASSASSPAAPPPPPPPSAPPRSTASSPSHSAPAPPPPPPPSSA PAPPPLSHSPSTRSARSGSGIKSMLDPSNFILTPNGTGGNKSPSPVHSGGGSARYIVQ DPRWKFASEDTFPKPREFIGGPRKYRAGRGSSVPLDLSAL NEUTE1DRAFT_96826 WDPPDPVGRVGGESRGYPLPRVTNGEQVEDRRVRCGEPRECLGD LEAQGQTSTQTAGLGKGVKKRW NEUTE1DRAFT_91362 MLLRPHNLRATTVNLTTATTGRQSRQTCGLIYSRQQHARPAFAP VSAPFAKRSLVTLSAGTQLAKLTQEGSAVSIAAIRMLSTTSSLSKVKRVPSPLQSAQK KSPKASQSQNQPSSSSPSSPSASASKFTSPQKLPKAQQPPATETAPLQAQGQHATPDP DRVTKEFLAKQGIPSEHMTLTALRALVQPTVPSAASASAAAAAAAAAAAAAASASAPD SSHDSQPPAAGAEADQTGASDLLGLDLDGRNARASAAAPGQPQPLTYLSFNKDAADKI SDAAFAIISHPPVVITPLLLKEYVALQASLGKPKTLPYVLGLYAYKAAPKLSSGKLAY KKRNPDMPKNAVDPEVAEMALDAALDAKDLDAAVGIVENTYATKAFTRAELLKKALVP MTGLGLTPVAAWALAKNYGTWQDSMETVMATNVAFFGMLAYVGFTATMGIVATTTAND QMKRVTWGPGIPLRERWIREDERAAYDKIACSFGFGEVLRYGEEEGEEFDVLREYILS KGMILDAVNLMPGMQ NEUTE1DRAFT_150219 MAGGFEPIDPEQCQHYAHFAAHLDRTAFDGIYWSLFVFVIIMLF LASWKYSGTCEALANSRAGTKHYRHKMQRCLLICTGYFLLSIIALVMEVYALLALQFC DGEDLMSLYWSTWTMLQVGSLIAILGIILAAFNAIRDRKNPPWALALGTPVLVVAGIG HAFHGAMRKRVQRVRSRSLRSRSRGRSESSLNGISTNDLPMSREETIRVEDCPSGDNN NNNEYRAKLLGYTPEGAPILQFVDDPGNSIDPERGTILGKNTMNGQIIVAFNKKSMIM VSDGGNSSDLSTPTNEKAAAASPSSLLVPPPVPFPSSPRPPPVVRIAAPCRPSDEEVE EAEVHVSPV NEUTE1DRAFT_126191 MSEANKCVHQGCGKVYTDPQECCKPRVLTFEEFMTIEPCTEGKH STTDLPPKIEKNEADPSLLESLAATPPPPTRTPVPVAQHAPTPPPPAPESDDDEEGIE IPDGRVCRRKACGATYQKGANREGEKCVHHPGAPIFHEGSKGYSCCKRRVLEFDQFMK IEGCKTKDRHLFVGSGKKEAAAASGGEEILEMVRTDFYQTPSSVIASFFLKKINKDAA KVEFKPNSIVLDLPTTDSPVKRYKTEVSLFGPIVPEKSSYKILGTKLEVTLWKADGSS WPVLRSDDRPTGEILQVGRAGRV NEUTE1DRAFT_72674 MRLTSRLLQSFPSRITFFRREGCGLCVQARSVLSDVWDRRPFEY KEVDIVKPESKAWRDLYDFDVPVIHISKAQAPEEDPQLSSKAVKLMHRFTVEQVETKM NQVEES NEUTE1DRAFT_150222 MSSGNPTSKMLAANSLKQIFTQGSRPAMGVWQMLPGANISRILA RSGADWVMVDCEHGNIDDAAMHEAVPAIAALGVSPIVRIPDLQPSMVKRALDSGAHGI LAPLIRTVEDVKNLVTAAKFPPEGKRGFGSPIAMQNFNPMPTFTEYLQQANESLLTMV QIETQEALDCVEEIAPLVDVIFVGPFDLGNNIGHPIIEGKMDPELDEAIERILKAAVT AGKKCGIFCTGGEQAKQYADKGFHMISVATDYTLLGAAMADSLSIARGQGKQDKPASY NEUTE1DRAFT_72679 MSAGLVNSYLNSWSTLLPEPFCFFDPSSSFLCCFGRKINWSWHP KFLQRAVERVIRSPDDDEPSAEIELGTHMIRGDTVCLVGLVDEPLDESIDWTKVKGAT IGTTKH NEUTE1DRAFT_72682 MAPKQRVILDTDPGVDDVLALLLALSASPEELELAMISVTYGNV PLQSCLRNVVALFHVLEKEMKWREETGKSTRGFGALNTHKPIVAVGPEHALEDEILAA DHFHGADGLHGVHEKQPHLSPADTWRSLFSDEEPKGEKVEPPSYSKYFTPSKAPAHKE ILRILKESPENTVTVVAVGPLTNVALAAAEDPETFLRAKELVVMGGAVNVEGNVTPVA EFNCFADTVAAARVYALTSPNPISTMPPVIHGKSFLPPYPAKLSKQLKLTLFPLDITT PLELRKKYFYETIQPITKSGSPLALWIETFMTGIFNKVEAMLGDGSEPGLSLHDPACI WYMLTQDDPAWEPVAKPEDIRIETSGQWTRGMHVVDRRQRAKPGEQSSKVETHPADPL DATTFDDVPGDDMGWLSVNKGNRINRMVKTPGDEKFAAILMDRLFG NEUTE1DRAFT_126197 MGISFSTLAGWVAIICVAGFYTYNYKEQQKKRELARKPSQRHKL EEKQQETRKEPKEKIKKQRTEPPSKIAEEPEKAQKPKQKAAKAKATPAPKAAPKAASK AAPVSTTTKPASYSSDEDDGIDNREFARQFASIKQGTVLNTKKQDEKRQKSVKQSRAL EREVEAEPQQATPKGVSAPSSTTGVDADDDESSVASPEVKAQDAGDVSDMLEPTTSGP SVLRLTDTDKVKQKKAKKEKPMEKVETKKQRQNRKKAEAEKAAREEAEKARQVLLEKQ RREARIAEGRAAKDGSAFMAAQAAKSSAWTGNGANGSSHSDSGAENNGFVSVEPLDTF SSSTPAAAQPAKAPAAETKKPQTWMSSLPTEEEQMQLLRDEEAWNTVQTKKSKSKKNK ASATDSANESESAAAKPQEASTPVPTKPQAVNGNKSSKIVYQQSAFAALSPKEEEDEQ PHGLGEESYVCIMSTHGGMVHGDVYLLVVFLSSFGPFHREDTAHAD NEUTE1DRAFT_91382 MSICVAIQSALFYYLACTPCLQLRHEHRTQKKAKKERKEKARII AEQPHLYRHPDPYNTNPYWAEEIRMGPCLPKKNKPNDASKSQSQRGLMWESRDGVSIA TGSTHAISMAQPMTATPITPTTEETNNKPVGFVHPPLVDHDDAVSAVLSKTASATTVG TDWNMKRYQREDEELWGYDDESIRTSYKFMDAIKQAGSSAGRYVESKLGLEKQVTEED RYNFYFSTKNPPVNDYHPPVVSSKPSHKNARAWMLQPPPPAKVMEGKVPVSRSASTMS VQSKRTMGSTMSTDGRPSLGRIVGDKALEAKIQQRTANGDGYLDPELYSVASTMSRAR SKRATNGSMARRTSSRLTTRSQDLSTGSDGSDEDNSSTKAPKRRTTRRRSNRNAKPDS DENEEDAYISKSPESLSSTHFPPHAAQRPKLPTIKSAGDARLASEDRTLSSSPKVRPT SRSSTKVVLQDATNSSSSVSSSSNKMNQKERMIGSIDSGLAMHA NEUTE1DRAFT_91384 MSSSNESLPITPQAFAAALEDLPVSALHLKVLEIYNSIAHLKYS NEQLRPFAEGIEAPLGSSTGGESAQNQPDPDCVEAIRENEQVIVRMEERVQLIKNEVE RRGLSWTEFERKDEDSDDEEQGQPMTNGTSTTTTTTQHPAWMDGTFQTGVIRNGEIVM DDVAGSRRQQEQQGGTGTGAGAGGSLSDEELRRRMEERLRDLGGEGDEEEGGMHL NEUTE1DRAFT_91388 MNCSLGVNAFRPGVQVAAHHFSKACSRLLVAAAPTTRCFSSTTL LPSTAQNQWQAKRGRLSSSTPFPGPSSISRIAVRTLKFAARNRVIIHYVDLPPNYKDE EGLPFRVDDLAAGEVLQLFGPQMTTPAANRLLRILHGRRVAGTLDDPVLKVNTAGYTP KDRRIALDYLRKNIYVDEVVNAGLRAEDELAALEKQQEEDAQAQAQAEGEDNVTKQLG YKSRFKMYQDDTEGLDESEQKKPEPRSVYGKSAFDAIRARNEAIWKEKLRKQEEERKK LEEEYKNGKAGPLAKADDTPKREVSAKRKEWEEWAMSDLKEPPKMPAWKRLLPSTVFV ALLVAGFLTYSQLYVAPSRENRLWPDCPPAAATVAVLIGANVLIWFLWKVPVMWKPLL RYFLISPATPNAFSMLGAVFSHQVVWGHLIVNMPFLWFFGTRLHDEVGRADFLTTYIL SGSVGFLTSLFWLVLKGNFHMTTLGASGAIYGISAAYFWMHRFEGFKIFGLPPDPYTG VQGLAFIGLFGGLNIWGLFSRNHTMDVVSHLGGMLVGIIAGHLMEQKKKARLQGAGNT QLNLKDQKTGSGVLDTMLEKN NEUTE1DRAFT_133332 MDSHEAQGYAHGDQNAQDRNPHASSSQWRHQESRAFAKYEAERA QPQAPSRHHHHPSIAREADARGNVNDLADFLNKSRISPKEADTRPPTEPKFKPVVLGA TEAREVLNGEKHVADALAPESVPNDKEVAVGPLLNYRRMEGNQWVGSVLVVTRGGGRE QSYRPSLFLRKAAMVSMSNGNGAANGHSSGSRVSESQGICLYSDWRNTFWRFDLHLEM EPYETKWEYWLSDYLVFASNTKPKVNAFFVPAITESMRIMFHSCNGFSVGTDEEDWSG ACLWNDVMRRHQEAPFHVMIGGGDQIYNDGVRVSGPLRTWTSISSPKKRRNFPFPESL RAECDDYYLNNYIRWYGTEPFAGANGQIPQLNIWDDHDIIDGFGSYVDDFMKCDVFRG IGGIAHKYYMLFQHHLPPPASTYTSDTTSQAQDEAGQGIDPNQMIAAYVHPAMTEPNY IVGSSPGPYVAEHSHNMFTKLGARIAFLGIDARTERTRHQVNYPETYEAIFSRLRQEL SHAIQTEKPFKHLILLLGIPIAYPRLTWLENIFASPLMGPVKLLNRRVGFGGSFFNSF DGSVDLLDDLDDHYTARTHKRERNGLILKLQQIAAEFSVRVTILGGDVHLAALGRFYS NPKLNIPLEEDYRYIVNVISSAIVNKPPPAAVANLLARRNRIHHLDHDTDETLLKIFD KDPGDSTKTAKHNQVTMPSRNFAVITENSPNNGTHLQNGHDFHTSSPPPQSTPGETFP GHKDGRYALGKGEVSAGTKHKAADPAEHGTGSDGSLDVCIRIEIDQHNPEGRTEGYGL TIPTLSYKGPRPPTIAPPPGSAGSSGSRGTGGTGGSDVPEVPEIPAGVR NEUTE1DRAFT_51242 MSNANPTMPKLDDNNTDGSSVDSRGRRRRRNKGALQKQGGLAGP QTLPRLADTKPVRLQLGLNLDVELELKARLQGDVSLTLL NEUTE1DRAFT_118914 MERCCDLKLFNMEEGAEILREIPKPQQAAFLHPSHGHPYPWRTP KDPAYSDPVIARLLAGTSGRGSSMYAM NEUTE1DRAFT_91395 MPPRSLPTPVSSADMKAQEPPPLASLQMLFELPPPAIMPSNAAG TPPQTPEKRYPMQPSETVKSRRRAATVTGPSRNDFALPPPPTRSRKIIQMKPREVAVA PRPAPTSKDSTTGKSGSDLVSTTTKPVSTTPPATTGGATKKQSTAAGRKIARKTAHSL IERRRRSKMNEEFALLKSMIPACTGEMHKLAILQASIEYIRYLEDCVAKLQARHQSSP SEEESGIKSLPTPSGHEPFHPEAVFTFAARQEVRLDGDVEMSDSSGVDSPASSPPLAA ITSSHQPSASPGIPPQQPLRDRHDSISLALTSTDYQRHYSFSGSSVMASPAGFGSEGH LYGGNSNMHDTRAASISGLTLTSPYLVARNDLDHEATAALLMLNQQTQPDRRMSTTNI DGPAEAAATASGTVRTGRGMSVRDLLSA NEUTE1DRAFT_72703 MSARRLQRLSLTTSSGLRSARTSSTTINSALLRPQLHNQRSRTA IPSIARTNSTLATFKVPTVANEPNQHYAKGSESRHKLTEAYTALSKNLPVDVPINIRG RTLSKADTKYQVNPADHKQKIANYAVATPEMVNLAINAALNAKPAWESLPFADRAAVF LKAADLISTKYRYELMAATMLGQGKNAWQAEIDAAAELCDFLRFNVQYAEQLYAQQPA HNSPGVWNRVEYRPLEGFVYAVTPFNFTAIAGNLPGVAALLGNVVVWKPSDSAILSNY ILYKVLLEAGLPPDVIQFIPGDPELVTKEVLAHKDFAALHYTGSTAVFRKLYGQIGAG VAEGRYRSYPRIVGETGGKNFHLVHKSADLRNAVVQTVRGAFEYQGQKCSATSRLYVA KSIWPDFQELLIQETEKLKVGPPHDHGNFMGPVIHAASFKKLSTAIDEGKKDPDLQLI AGGEYDSSVGYYVKPTIFVSKTPDHKLFSTELFGPVLTVYVYDDYVDSTTSIYDIVDG ATEYELTGSIFASDRTVIRFAEEKLRNSAGNFYINCKSTGAVVGQQPFGGSRASGTND KAGSINLLSRFVSMRSIKEEFNSTTTVTYPSNEVE NEUTE1DRAFT_51132 MPPITTVARFVDAVTNQKRGEPFDSDDATSIYTGGRLLIIILSS AIPVVAIFLAAIVLCLCWRKKRRQIRVFSRTVTPVDDEEIATWKIPKSEEAGFTTMDD TDVDGKSRSATGDRLNTSHGKQPSTSLVKKPASVIVYINPEDQAAGETRKSFDEGFMP RSAAHSTHSGYYGRTSIDHTPLPPTPILARAPNSRAGLTDDAVPGDVPFILTPKRQPS RLHKLPPGAHAVVSSPGPRHGRKKSSKSSTSSIGGYSNFNNNNNYQNNRGAYYQGGGY TSDTGKRFPGRHSIDHARTRSMHHSRLQSGVTVPPRLSLGDDALSRRQFMREEEIGRA IG NEUTE1DRAFT_142612 MPPKKTDGTRRSDVSVARFVIADEDTTMATGEPTLSANPASALV AAAIERDERLPPVSTTSEKQSTPVPSTAAGRASSTTGSAAGGGGGGGPTSPSESKRGG GGASEKDKEREREREREKERDAITIEDLTLPKSIITRLAKGVLPSNTQIQANAILAMT KSATVFISHLANAANEHTVSSGKKTIMPADVFKALDEIEYGFMRDKLEAEFAKFNEIQ TSKRSVYRKKVAAAKKAGGGGSVSGSGVGGPGSVAGGGDGGGSNEPGGGESHERAVGG DDDSGSNQQNQNQSSAATTSTTDREREAGPRSAKKAKVDTSSSSKMEVDGEEQDQDPS DAETVPDEEVEEDEDEEEEEAEEDEDEEEDEEEEEEDGQQGEGEEEDDEERAGPPDED EALDDDSD NEUTE1DRAFT_51953 MSSSLRRTSGASRPTSSRSISAPTPSLTAATGLPFPALPASPVK QPSPTRQSQASSDGRKRKREATGFSQAGDGLLKASVVLRPHPSTLTDKPRILSPLMLL PREHLPLSALDLVQPQGDFPPSRFYESRIKILDLEGRLGSNILLARSEANRMLYAIER ESDGLYTLCKLGSWVDVDVLAQAATVVSSQRMKSCKPSQLEVAAPGPLITPQMYKETK RRKLAIEEIQSSLTRKRSNTTTTEQESLSHLPTPTSGSPESKSCENQCIAEPAEVPTV SNKPDLPATLPHPAEDVLSQPTAEGIFQNIRMQYFEALYHSKGSLAYFAKGPLSRARA AFHLDCDSNLEMSHLIDFLRGLVMTTALIDKKYRETLPEIIGKMKTIVEDSEQGHARS KPRKKRVKKPKLGKDGLYPSEEEHIERWWVTHKPSTTQEDEKMVAATEAKHHISALRR RETQLQMIIILEILALEPLNRPVVAAEDNELPGLETQETIGGTKSEGNKKKNKTANLP LLLDMHADRLCIWQSTTLDEVKALTESQPSAEEQEASAENNNSDPLRDFCVDIIVPFF SARLPELCDSINRKLGGPVIQAPKEKAKTVAATKPKPGAPGKRVAATKKENERTLQRV LSNERMRRSVSRGPSGTIALLRSASATAIPGLKRDGSEPLLGMVPKTEAVAVKEKNVS IFSRSAGPSANSEDAKAKKKARLEAELKEAISALKKPNRALAGKEIVEEAERRTAQPK SKLNKKQPRAAGVQIKATPANNRFKDVFATESQPLHLNHLFEEDGPPIPSSASVVPAS TLPRKFTNVFATSTTPNIGANPSFEGGNEAAQIPPRKQMQETPLRKKSVPHISLPQID EEVEEATPVPPKNKELHVQATPMRKQRFTQQKLPVPRPEGHLQVQAATTTPQRKRAVQ GTPMRKKSLQSLPQIVEEEDDPLTHSIPSSSPIFAKRLTIASPFNRVAKQQQQQQQQQ YLVPPTPLGNRSGNEGAAMTTADFPPSSPGFAFFETPLNNAKTKTAMSTTAAGGVVDD TPIKSRLPSAFTSMTTGAGGSENNGPFGSSSSSSRRASGGGGVGGVTKIKKEMDNSPV SIYQRLGWDDTDDDLA NEUTE1DRAFT_91407 MASELSPRAVRFSQTDDEPIARLDKHKTVSRPNPRANDSDNSNS STDAHDDLHVREQIDELGSLSRYVESHSGSVPSLVPGSLTSSLPLANGSSSRRGANSE TYANGTPSRPQRPTAPARTPSNTYQPYNQRRPPQGQPSSYMANNPHARDGSRPRPLAG TSTFRAQEREYVRRLRQQDYNNDYFDAYGSGEQYDSDSEGETPSSEGAFDSYDDAHIM FASNEDIQITEEDLRDPESRERLEWHGMLEAVLTGDVVRQEKKRLIGSNDEAFGKSAH NVELWLGIRARLCGRHLPVQKRVVEDARAALDRSINDIINFAIAGESEAGKPPFEQVR DVVNKIERIESLYPSSRELMTALKPGVYSAYQETCDAVISWYNVNEMINTELSILKKW VGNDALDFSRTKEKSPSGNGLSDESSFLDRLMKEEGLKSLHEDKGTEPKSLKKKSRLD GISRVITKAKDTLIQNAEGFQKRHLPPYIEELLTLISFPSRLIEEIIKMRLAYAKKMK DSAQQNPMMQDQMISQFQVLLKLAIKIKREYMEIARPEPGWDLPPCIDEDFDRVILEA LKYYFKLLNWKLSGNKNTFKEAELLFQEWGFGNEIGRYLAHGDVEVAEQFSSLTYKAW NRLSQTFEKEVQRRPKESVAEMTKRYKQILDSVRVRQRMLQRFSRMLSDNYENACDYT IAFEQPQMLHQLYDRLIETGHFQVYSASPEHKDILIIASPTLHNRDDEIQVLMGTFTY EAAIEDPSDPYLLIIKAEDPPQWLGPVHTLRVRNEPLDIKLGHMRLIAGGCQPRLLNA RKAFVDRIDMQVDPVVEQRSNLQKVNFRLMEIRKVAFKLSNAFMDSVEAIRRQTRGLN CQELIQTCFIFATEFGQRSLLYMDNNRRTMNNLKLTKLALDWVSFICDDCISSDRKTF RWAVQALEFAMGMTRGRHILGLGEDEYARLRAKVAGCMALLISHFDIMGARSSVAAQA EKKRLEGLVNQIKRLNKGQMLDDNEAAKYYQEHRLEELAKVDNYRKEILLQQSAMGRV LEASNEVDRSLAWLSSTATNFTMRWQQGHFVGGGTFGNVYAAVNLDTGQLMAVKEIRL QDPKLIPTIAGQIRDEMRVLETVDHPNVVSYYGIEVHRDRVYMFMEFCSGGSLANLLE HGRIEDEQVIMVYALQLLEGLAYLHELKIAHRDIKPENILLDHNGIIKYVDFGAAKLI ARQGRTLVQDIASTKPNKSMTGTPMYMSPEVIKGENAGHFGAVDIWSLGCVILEMATG RRPWANLDNEWAIMYNIAQGNPPQLPSQDQLSPEGIDFLRRCFMRDSTKRATAMELLQ HEWIMTIRNRVVEPATPSSDAGSSTTSQGALNPGNSSRGGFPGDGMY NEUTE1DRAFT_118916 MGLEIRKWKDRGIVSVLSGRTPGSVLLLALYYLSLSAALLSLAT RERNQGMNNRI NEUTE1DRAFT_91411 MIWRLQKVCSTNACIDDKTLHRCFGMLLLGRQDSLPHFFSSFGR ITNLLPLAHLRGVDELGTMDPELLKYYQQQYYPGMGFAGPQHTQHHGPQYQVWSPNSY QWTVLQQQRAAMMVGPGSLHPSKQTEPKPRLAKDEVELLEREFAKNPKPNTSLKRELA EQMGVEVPRINNWFQNRRAKEKQMRKTAEFEAQQARERAESESKPSDDQDQGTITEFY GLSNRHQPLGLSTASIGSEDGVNNDQSDRQRPNLEQSDLALAGNASAAATQSNASPSG SDSDGFAPADYNTPHSSGPRGYGLVSLATPTPVSNSYGSASSHMQYSQPAPFPYGLNE PPRPVDGLPIATTMPQHNGLLGEANQFGSYTERSMFMHPSDGPFPSQMGGQDGTGNGT AEHPTVRMSIEGAINSENMSPSSGTGSSPVIADLRLRSPPPPSDIASRRNLRRPAPLG PLSLRTDCVGNGPKTGIDVAPRRMDNARTIRRMSSATGSLTGRIQKSLALNSGGPRSP FPIDRKKEALLQGIQNVQSPPIMASLNSAMSPMTNGGSDDEQAYTFGSCGAVSGMSSL PPFKSEAGIKTPPGTPGLGVHFRDPFAYSAPADNAWGYVSSDEPLPTPGLCSNGGSEL DYSMAPQQQLSGYVTSQPVTPSFAAAIGPTYNNVYGTNGGTHLARNTEYTFPESYQPE SSSRCSPAGQLRAKQQQFQFAQNVTPQDFSHEK NEUTE1DRAFT_91412 MGSTFIDTSGADFSAPPVQVTFDGLLFDMDGTIIDSTAAVEKHW EAIGKEIGHSPEVILQTSHGRRSIDILKELAPEKATLEYVQHMEGLLPKKYGNDAVEI PGARALLQALIDRKKPWAIVTSGTLPLVSGWLDVLSLPHPEHLISAESVVNGKPDPAC YLLGRERLSLSNPNAEVLVLEDAPAGIRAGKAAGCKVIGLVTSHTVEQVLEAGPDWVV RDLGSVKFVPESSGEGKVTLEIRDALVLKN NEUTE1DRAFT_91415 MTMNKLDNEGHEAQHLDVSRSALTHIIIGVIAATKVSEASWQLI HLALGVSVLRHKARQGQPIDGADLDLVWGLVRDAVTDPVLAPLLPGASRSAQGFLSVP LCSLIKDNRIDELWRLHVWLPDGHRGNRDFAIHSHQPFAQSWILAGEGRDHQYAVTEP EAKGALDTPYAQYRIAWSGTGKGHGTAYVPHQSYSIVENTGKIVHIKQVETALHTRDM SYTVGAGVLHRTKVPADTLHATLFYFDSSRGFIQDAPVLGPADGESYKQYRDVGSQPP SSLANMVEVVRSFERLMGEGQQCMHSVNLELALRNFNSALALCESGAASGAVPDGDRY KQLVFTKLGGTYRRLGKYEQAKDLLEQAMAMSASSELRIEASGELGVIYRHMDLMDDA KRVLRVQYETAKEF NEUTE1DRAFT_150239 MSGTDDHGYNALDYATFAGDANAQDIVFESLRRQAGDLDDSDIL KPKSKRTPVRLRHKIQKASAAKQRKDRKLAKKNPEWRSKLKKDPGIPNLFPYKEKLLN QIEEDRIRRKEQEQKRREMAKAAKTGQDTKTGAEGDVEAFDDDLMDDDDAMEEDGSDV DDESNPLAALVASARKAAEQYDRELQSGDEMDDDDESDDETDGEPTQSGVIEVPGGAS SRKAFDKVFKQVVEQADVILYVLDARDPEGTRSRDVERAVMAAAHGGKRLILILNKVD LIPPPVLRGWLTHLRRYFPTLPLRASNPAPNAHTFQHRDITVQSTSAALFRALKSYAV SRNLKRSIQVGVIGYPNVGKSSVINALLSRLSNSRGQHNACPAGAEAGVTTSIRQVKI DSKLTLLDSPGIVFPSTAGNSTFTPKNQTEAHAHLVLLNAIPPKQIEDPVPAVTLLLK RLSATPELMDKMMKVYDLPPLLRDPNNGDPTMDFLVQVARKRGRLGRGGIPNIQAAAM TVVTDWRDGRIQGWIDAPVLAVAGTATAPAPAGGKSATADEPLADQKVIVTEWAKEFK LDGLWGDEEETATPDVEMQ NEUTE1DRAFT_126214 MASLKRSFESDPFAQNISSKVYVRSTKSGKVQKIVREVYLRQDI PCSSKLCNACLKIAPRDAANRPIPFVLSEKPAGTSVFTQGHYIVPDTNAFLSAMDLFE QSSAFYDVIVLQVVLEEVRARSLPLYNRLVSLTKSEDKRFYVFFNEFRLETYVTREEN ESVNDRNDRAVRKAIKWYNEHLSKASKKAPAVVMLSNDRDNLRKAKEDGIPAYSLANY VSQLKDGEQLLDMIPELEERDALIEKKPGQFLYPEHYTLSKINTGVKNGLLHQGIFNV SPYNYLEGSIRVPAFPKALLILGRENINRAVDGDLVVVEVLPKDQWKQPSTQVIEEEA VTMNENPTEEGSDLISEKERKVLQEEAKRTLAKTTEGHALPTAKVVGVIKRNWRQYVG HIDQSSVSSSAAQGRKQDSVFVIPMDKKIPKIRLRTRQVAELLGKRILVTIDAWDRTS RHPTGHFVRSLGELETKAAETEALLLEYDVQYRPFPKTVLDCLPKEGHDWKVPTSMDD PGWRDRQDLRDLLICSIDPIGCQDIDDALHARALPNGNFEVGVHIADVSHFVKPNNAM DTEASIRGTTVYLVDKRIDMLPMLLGTDLCSLKPYVERYAFSVIWEMNSNADIVGSRF TKSVIKSREAFSYEQAQLRIDDASQQDELTNSIRTLLELSKKLKQKRLDAGALSLSSP EIKVHMESETSDPIDVKTKEHLPTMSLVEEFMLLANTSVAAKIYEAFPQTAILRRHAA PPKTNFDELANQLRVKKGLDLSVESSRALADSLDLCVDPKEPFFNTLIRIMATRCMMS AEYFCSGTQSYPEFRHYGLASEIYTHFTSPIRRYADLVAHRQLAAAIEYEAVHPAVRS RGRLEAVCKNINVRHRNAQLAGRASIAYYVGQALRGKATEEDGFVMKIFSNGFVVLVP KFGIESLIRLRDLAEPEPAATYDAETYTLTTTGSRELKVELFQKVRVKVTDQKDETTG KRGVKMELVSTY NEUTE1DRAFT_54470 MYAAQPNGVDSATINPAALNTGPPGSTGDDGGDKQPRKRGRPMK SRPSGGVPEPVGQGPVAPSIQQTLPPQTPQSQSAPLPLPTQTPTYAPAPAPAPAATPL QASPPKTTPTKTTLKALPTVRDHTTDQLGPGGDEYLPREIDEAGEKKVMPNGQLTGNR EYRCRTFLVPNRGDKLFMLATECARVLGYRDSYLLFNKNRSLYKIIANQEEKDDLVNQ EILPFSYRSRQIAIVTARSMFRQFGSRVITNGRRVRDDYWETKARKQGFTEADLAGEK RPGGTKAREAAAEANQNSMLGGPHGEIIYNTNPGPYGAPQPLVQPGMIGPPPTTSTRM PVITLGPDYHDTRSRDYSTILKTGPRQEITGPPYQDRTQTTPLSELHAQAHHAAEFNR AVNQQREMRGDYLQNLWRRPHDQATATNLNQPVGSEASAVPTSRPAASSHAPSSGLQQ PAIVPNQSPQMMMTAAPYSQPLHAQASATQGTMRGMAPAPAQNSSKPTNSSSASSGSM PQPGQNYGYTNSQMWPPTPQTPQHSYSAYTAQPQQPHSQQSPAPQLRHSGSGSQVQPN NLSYSTMPSMNQGYGAPSQGMYSNDQTPRQYMHQSAPSPAVSQPWTQQQQTPATWWTN QPQ NEUTE1DRAFT_150242 MNDDDDTHDDGQAFLEQSYFSYIIPSASNFKADTNSPPSLLEGI SQRDVLFFDETADVYLVLRTPHQYEDTLRSSLSRIVVTLEAQIVNGHGSDREGPPAAE IIYTGLVPDPADAIILSTGEEENEKEKAEEEGDSKADYGKDLYVYAIWRMPVFVSRPR IRLQAPSIVFAATAGLKLLDPRKSRDMADEGYMQSCAPAGLNLLESFSDDPMLGGIQP RLSALRVSRVAPVTQTKQPLRLFRGMQSLRLRVYPVVHTRVRFARPNTSPPSPALIAL LEVDFTPFFDCEAALRKIDLAVTDGTVDDLNNQDLMALPLHCVAHDHLTFLYRLAPRQ LEIISKHPSRELIITVEVAVLVQPKGADPCTPKLTMSWTTPLDFTLPVNPGFGTPMTK PIERRHKPSQLSISGGVDSMPLVSPSVTRPDALPSLEASITTQRIFETPVPDFGITVT FVGPDRPVYAGEEFAWTVFVVNRTKPEGATGTSSTSTSTSANTTTTTTNGIITTRSNS ISITNAISGISGIGGDGIPTILTTPSTTTTTNTTSTTSGSPASQRKLALYALPKRRRN EVRTHRALSTAAPSNKRDPLIADAVLDDNVVHAMQRSSVVDSTEVVCLSADARVGPLA PNACAVVELRFLALKEGVLGIEAVRIVDLGSQEHVDVRELPAIVVRAGRKDGEKKEEG GDDKGGEREVFEEVSVE NEUTE1DRAFT_126217 MNPLPGGPFPQPGLTWQEHRTPDGRVYYYNSLTRVTQWTKPEEL MTPAERALANQPWKEYTAEGGKKYWYNTETKQSSWEMPEVYKQALGVGTTTPTSAATP TGPFSAPGGGHQGGYGGGQSDNYRPDRDRDRDYRDRDRDRDYRDRDRDRDRDHRDHRD YREPLGESRQLTFGNNNSAPAFVPATADPEYATPEEAEAAFVKLLKRSGVQPDWTWEQ TLRAVVKDPQFRAIKDPKDRKAAFEKYCHDVIVQDKERAKERLTKLRADFATMLRSHP EIKHYTRWKTARPMIEGETIFRSTNDDNERRQLFEDYRVELRKAHKDQQIALRKSAMD GLIELLPKLDLEPYTRWSEAQGTIESTAEFQQDEKYKSLSKYDILTVFQNHVKALERT FNDSRQEEKNKKLRKERKNRDNFCALLAELRKDGKIKAGSKWSKVYPLLEHDERYLAM AGQPGSTPMELFWDVVEEEERALRTTRNDILDVIDDKRFEVTPKTTFQEFEAIVKDDR RTANVERDILELIFERLQEKKAKRSADDDKHSERQQRRALDDLRSYLKRLEPPITVNE TYEQVEGRIAQSNEFKAVASDEARRSAFEKHIRRLKEKEEEAERDRLRRRDRGDLYRD RGERSHRSSARSVRSRSPEHDPYEADRRKAIAERERNFRKSSMAESLLSDRRSVDGHH DSIRDRDRDRDRERDRDRRDYRERDRERERDRDRDRDRERDRDRDRDRDRDRDRERDR ERDRDHRDRDRDRERDRDRDYDRRPRHDELNHYDRERRSRDEDRERIYRRRVMDRDVD ELPYGDERPSSSRRTRPEEDEHDRHDGRPPAKRVKTEPSTTATPAAGVPAAPATAPAV KDEATSPARDGVKSPQPQKQQEKEKTPPPPAVVRAGSEEGEIEED NEUTE1DRAFT_54937 ANKGIYPRLLRIAFDFYSIPFIVDDCKRSFSSAKLTLSSQRHCL VADSINIIETIKF NEUTE1DRAFT_150244 MPRPGNVLGLVGTNGIGKSTALKILSGKLKPNLGRYDNPPDWED VIKYFRGSELQNYFTKLLEDDLKAVVKPQYVDQIPKAIRTPDKSVKFLIEGRRSLDNL DEVLDTLELRHIYDRDVTLLSGGELQRFAIGTVCVQKADVYMFDEPSSYLDVKQRLSA ARIIRSLLRPDDYVIVVEHDLSVLDYLSDYVCCLYGQPAVYGVVTLPHSVREGINIFL DGHIPTENLRFRDESLTFRIAEGTEEFIQEKSRAFKYPAMEKTMGNFHLSIDAGSFSD SEIIVMMGENGTGKTTFCRLLAGVLKPDGTKRVPEMKISMKPQTITPKFEGTVRQLFF KKIKAAFLSPQFQTDVVKPLKLDDFIDQEVKHLSGGELQRVAIVLALGIPADIYLIDE PSAYLDSEQRIIASRVIKRFIMHAKKTAFIVEHDFIMATYLADRVIVFDGKPGIDAHA NKPESLLTGCNTFLKNLDVTFRRDPTNYRPRINKLNSQLDQEQKLSGNYFFLDDPEKS S NEUTE1DRAFT_54802 MSTSPESGPVFGHVSPPADDSHFNFTNDTESTSDLSDVNAAEAD PNDDADAQSYQPADEAVSPIQKPELTLEEASDSASDNDASDDGDFDAPDSPRSAQSNE VVSASSSRTVVKRKVAHATEDDYIRENPALYGLRRSSRPTQRRAQIIDSDEEDEPSES DATPVSRKPAKRRRVERSLPASKRGTPARQPSIDDSDSDTYGGAKARSFQRKVRRQQE QDPALAFSEKRWSSRRAAQVSAGAYQESDAEEDEEDDSELTPNYWSANVEDNSPYIEK ILGHRPKEGVEVTVDSTRHDFEYFIKWQGQSYPHNTWETTETVAGFRGFRRLENYYKK VVEYELEMQFGGDDISPEQKEQWYLDREREQEALEDYTKVERVVAVRDGDEGTEYYIK WKGLQYDECTWEAESLVAELAQDKIDQFLDRCNRSWQSDRKQTNPDTRSRMTKLEKQP DYIKGGELREFQMKGLNFLALNWVRGNNVILADEMGLGKTVQSVSFLSWLRNERGQEG PFLVVAPLSVIPAWCDTFNHWAPDLNYVVYLGPEAARSNIRGYELFVDGNPKKTKFNV LVTSYDYILADADHLKNIKWQVLAVDEAHRLKNRESQLYIKLNNFGVPCKVLITGTPI QNNLAELSALLDFLNPGKVVIDEELEALSTADNKGPTDEEQDEARRIRTQEKLRELHQ SIAPFILRRTKETVESDLPPKTEKIIRVELSDVQLDYYKNILTRNYAALSDASNGHKQ SLLNIMMELKKVSNHPYMFQGAEERVLNGSTRREDQIKGLITSSGKMMLLDQLLAKLR KDGHRVLIFSQMVKMLDILGDYLRVRGYQFQRLDGTIPAGPRRMAINHFNAEGSDDFC FLLSTRAGGLGINLMTADTVIIYDSDWNPQADLQAMARAHRIGQKRPVNVYRLVAKQT IEEEVVKRARNKLFLEYLTIQAGVTDEGKALKEQFKERGLKMDEAKTAEDISMILKMR SQNLFEQSSNQQKLEQLDIDAILENAEVTKTDVDDKINLSSGGIDWDNWMQVTDVKVD DLALDWDQIIPAEQLAAIKAEENRKKEEEYLARTIEENAPRKAALKGSKNSAENDRAE RLAKKRQREREEQEELEEQRALLSDPKRPLNEKETRNLIRAFFRYGSLDDREEEVVQD ARLSDRDRDFLKSIIEDLVVISRRAVDANNERLREEEARAGKPLAKKDKKAVLVDFGE VRKVNAETVVERPPQLKLLRRVLREHADILSFRLPEASKAAAYTCDWGAREDAMLLIG IDRYGFGAWTQIRDDPELQMHDKFFLEEHRVDKREERKKTEAVGIQSPGAVHLVRRSE YLLSVLLAKHSNDAAAKKAVENHHRSKKLLTNNGHRRGEASSISGSPAPLGVKKSIPH RDHHHRTLSNANDRGTPRPDHKRKYTDDYEERSSKHRRVEDERRGTDSKLDKKKDKAP KVDPETLEKWKRDRQKAVERFHELAKLNNDEIDSSDNFQLVWSLLRPLKANMERIMYS KERFPAAKERAKILGEEIRAFGNFLKQLEEKNPLDGLEPQFWEFLSSIWPIGEVKVSG RRLQRMFGDLCKKDSENKEDRSQNLKKPSRQDLEDGEIASDRDDRRSRDPYRDDRRED RREERREEPKRRPNYYENGDRLNFYRHRRDIDRGAPIRHSWQSSRSPSQQQRPY NEUTE1DRAFT_54658 ELERHEGMIHKGKRKTYSTWDSLVVTDPTTSQAIGGLSMGERTG SRVFHHLWPYVLTVTQ NEUTE1DRAFT_72738 MKGPDSDSAQEIQVRTDGPPPTKRRRTAAPPRPRTTDRIDLENR VEEADENLDRLLTALRRKKKIVVIAGAGISVSAGIPDFRSSTGLFATLRGQHKLKASG KHLFDASVYKHDDSTESFHTMVRELAQLTSQAKPTPFHHMLASMAEEGRLLRLYTQNI DTLDTQMPPLATNVPLNAKGPWPVTVQLHGGLEKMVCTKCSHLEPFNAELFEGSEAPL CAKCKEQDEVRTTFAGKRSHGIGRLRPRIVLYNEYNPDEEAIGNVSKADLKRVPDAVI VVGTTLKIPGVRRLVKEMCQLTRSRRDGITAWINIDPEPQGAEFKDCWDYVIRGKCDD VAELVNLPRWDQQDIGDPTTWLVDENKEKRLEATLSKSRVDVLLQRKRKSPLSDDEDE KPLAKVLVQKQGGIPTPSASPKPRATLPARKSTTKTTAKQSILNFGKTTSSKETTLVS AAITTGRKPLPGSAPQRKPRQTKKKEVVKPQNAINRTFKATKAVAAPGKENAKQIPFD PDTSSDLSSPPRDFDKDVPTVLPSLRPTAVKVPRPTMTTRSRSRTPSVGSSQSGAPGS GRDITLTISPKSKPRGIGHLID NEUTE1DRAFT_72741 MSGHDIPIQLLDGGSIDVQHHDQMNAMDTAMNLDDVDVDLFGDS VMVDTALDGLSAPRPMPSKHLRQRLDDLRTHGCHQAIAWSRQGTIASITKDGRSVEFR FLRCRPEDAGWELSEPYLYSPAIIPMHTTAGPIVHLAWSTTTPTPELAVVDAVGRITI LSLTIHLNRPFITRKWDADPVDDLHAVVGCYWLPLLNPRQFGVMYGPAVWVQPEDAKT PGDGLYKYESSYTKPTGPNHPNTNKSALVSITTNGLLRLMFQNNNTRLEETAIELESI TSSDDLITHAALCNDKNALLIALATASKQLRIVKATISWGNDKPAEKQAHPQNAAITA SISEQHVAVAPLLQHDSNDPVLDDSMSQLSHIEFLPSIPKDKNLQAHHNPVVLTVRSH LPAEGASLYEQEPTSVIDRWEVVSEQPQTLHPAFAQLNTGNSMTNPNSMTRLRKLDAV VIPKIVVSVQVMYLGKVVCFAFSDGTIQYRDRVTMMELFNEQNVHRVLSPHDVGFQYV NDTPCLQVAFSPTNCSYVQISEDWDIKWNSMRYTLTDANTALQTAQQSAVMAALTLAL SSAITTSINFDDILALARPYSDNPQFAASWVKDLVNLLKLNFDFSDEGHYDSLVRSPL FQISLSILNHMGFRGEFRPRPFEGKLSFLILQMRNFIVLVSLSLNAPKSLGISPLDEA EVVEVLVGCVTWAHTLIGWMVDCLFDLADDPAFISILKEQKRFPDLANFLRARGDVSL HLLCCSSARGFIMAACRRLAHLEGMSNRAIRYWEASRPKTENNDGSGGGGGGKVLPDS LYFAYRKMQRATSSSLIKVQELNEVLQGFAQDVRTAYQASLSRRQSGNNQAQQQHPSP QQNKNQPQQQQNAEDQFIKKAQAHCELDMLLGANPPPCFREVLLKFFTQTLPVFKSHV DPAKLYFANYEVLEIEENPRILAARKARRKYIDVFTKREIQFPTSSGDARGGGGSSGG GSAAAAPAGGGAGAGGEDDGKRGGITGAGEGQTPSQNTLAPGGGISIKIELGTGSTPN LKGVSTPAGGGGGGGNAKTAGGSNAAAVGANAANGGVGGGGGANINSNGTAENTSNQW RRCVRCTAVMEDFPGNHYRAGFTFVMAQQRKCMCGAWWALVPKTTGGEGATEGW NEUTE1DRAFT_91440 MVSDSGHGAEYRQYLPDLTIPRFTTMQKQDAHEYAKEFINGGNP PWLHGLYLHWRKLFQDPFKGITNDGTVRPNLFTLQDEGMPIDSIVSATTNLLSLLSPQ QRSAVTYHIDSPEWRTWSNPEFLLSNKGIRLDEVPSATRDAVLAVLHSTLSPEGYAKA LGAMRINGFLGDLVQAPRVCNEFSYNFVLFQTASGPSTSQPWGFSFYGHHLCLNVFLY KTQVVISPWFTGAEPNLIDAGPYKGTRILQEEEKLGLQLMQSLSAEQQRQAQVYKLLR DPAMPKGRWNHDDQRHLCGAYRDNRVVPYEGVSVAGFTEEQKRLVEKILEQYLLYLPA QAREIRMGQIREWFDKETYFCWIGEFGDDDAFYYRIQSPVVIVEFDHHSGVFLTNKEP AKFHIHTLLRTPNAGDYGMALRGLLEGEKQEYVWEG NEUTE1DRAFT_91442 MWMHSLCAVKRDDLGRVLCVRLLPIPVRPALETWSVNIDFDIAQ SHSMMPMASASQLTSPFFSQETASTNNSSQSSLLSSQASQPSTQASRASSPLEPDPEE DLDQEYLDEIDEHPPDGWTGYLSAQYQTHIVDNLKQTVFEKIDQLKASITSLQQNHAS QEKASTASVNEFRATHDQIFSTLRDLKPIPSRLSALETHVRQNKEQAGYALSNLDDKV SRLKTDLGVKYDGAMRGLKSVQQQNMSLLEALEVLQGKIRNLQQQQTQTNERLSSFQN EIEKALAPYQGLSHEAMELLRQLHSRRADLMGLMDRPTTATSGSNVPLIEAGCLSPQL RKRFPLERNDHLPRPSSPAPRSRKPPLQTWKPLLSEPTFKT NEUTE1DRAFT_72749 MSLSRSPSPVPGGGWASPGLTNNLSPSGRSSPTKAYAGSNGTPV MWERQKNMGGAAYQSFSTQNQGFFSRHMRRISSSLPRFKADNTHGAEREKIGQGRWNM PRLGRIRGITGRMSRRTKMLLAFGLLFLLAYIIFYSTPLVYYYRRTSWLGGGQKFVII LAANVGGGVMEWKGAREWAIERDSIRNKKKYVAKWGYDLEIVDMSTKKRYAHEWRESW EKVDFIRSTLRKYPKAEWVWWLDLNTFIMEPSKSLQDHIFDHIEDVTYRDINEYNPLN ISHPPADPYLDELSKSPIGDGNPNSIHLMLSQDCSGFNLGSFFMRRSTWTDHLLDVWW DPVAYEQKHMEWEHKEQDALEQLYTAQPWIRKSTAFLPQRMINSFPTGACSEKGNDPR IHYDQKDRDFLVNMAGCEWGRDCWGEMYNFRELSYHLNRSLWERFKEDLLCWIWFKLT GKKVKF NEUTE1DRAFT_72750 MPSSTDNVPQPQQTMEMVPPQDAIISQQPEHCAAASSRSAAAAA VQRSALAARDLTAPWARRARQR NEUTE1DRAFT_55387 TDAKSCQGHQLPANPSPAKQHGGAYSRPHRSSAAPNLLHDGALL NDETQF NEUTE1DRAFT_150252 MAVNMNGPMAHPGPSFHPTVNNRKEEWEDWFDDEPFTPIREEEE GELPSLTPTFSSPYNTNNTNYTTKPSTQRISSSTARLSMQRIRRVKSSRRQKAQNAKA GITLITDMTKLRQQQLQQQLANQEALNKGKGRDGSGKFVDAAALNALEGMASDESIGT FAWLRKKKSSSPASATPIERRMDRLAVDTPTVAELSPSVGGIMIGFAMPSDSNVVISP QTAVVVTPVDLDRYFSPPPTAKQPVSVWSPDTPDSQFTISSYRIAGPSAGTVPAVPAV PNKYRDTGATEFYFSDNEENLLTPKGYGKSSPPRVTTTVYFSDDDDDMATPVTLFEED GSPLAVRQRSLTKAKSPVSATLTVQSQQGWWDQITSPFTQSPVMSRTPVSSIQEEDDK QDWWKIGNNGSLSPNNNTLGQGSSGIAVEANRPPRIIIQDVSQVEASSSSYNPFATSS TATASSLPAAAAMPTTVPMVSQPQSHAEKARILIEENESTPAELPPPYSPPKRNQNVR NAQNVENVRYRAVFPSNHPLNSMYPPSPGPVSPGLAQTMTSQGAIDLPYVPARPPPVR PAFHHGDFPNRAPASFVSATQRLKVEQKRRRNEKEEAVARKIGGMWRGRGCIPESGCF GRAGREGRKRRRWCLAVLLVTLGLIALAVALGVVLSRPKAGKPAPYSPWLNLTDYPPI PTGISTVIGTDSVTDTSCAQDPRFWSCALPRDQTAQAEPFAADQPSFIIQIQYDNSTQ QAWNVTGQAIPTPIPTQDAEAAASPSQTGSNKSSVTNGTEANKTRGQRPQSGGEPMGF ISVVKSLLRRQTQQAPSLGFIPRPSPPEFQDIYFLGNTTDGIVSQDKAGEPTPFYISI LRTLDDSVGPNALARRDEDSDSASNQLNERQSKSGGIDLFFIPPVLNPDGTGAPAVLM PFPTQQPLRLFDRGLPTEHFGFYTYYNKSVYLTGDASSDRVGGSTAFDSKTIITWLSA RYKVEIWTRQVNATRLLGSQSNGGAASNNSTRPGTFPYPITVTLDTHGGIPGRKFAFS RGVGAGGKILMNDTKFILNNMNQTGDWVNPGATFDPSFGGMDGGTGGCQCRYTNWVKL NAGGA NEUTE1DRAFT_133354 MHIANFNKVQSVPAEPRHKQEQNRLLGHVTQFKRQKELLTLAIE TSCDDTCVALLQSYESTERTEEPEMVARLLFNKKITSDQRQFGGVHPAVAIEWHQRHL ATLVEEAIRSLPEGKTPAYKNTRLPYRTPDLIAVTRGPGMPTSLATGMEVAKGLALAW GIPIVGVHHMQAHALTPQLVEALDRPPAPSVASSPWEERQQVDAEVKTASRQQEEAQH PNLDYPYLNLLVSGGHTQLVYSASLMSHLIQCTTDNIALGDMLDKAARKILPPSMLNS GQNVMYAAALERFAFPRFPTGADEREYNFKYTPPATRAAEIEQHNSPYGWRLSPPLYA SRKMEYNFTGLGSQAQRIADSLDIFSSYENFTEQVLSLENSPKSGSDLAPSPDSSTTI LSPALKEEPHQIEQRRYLARATMQLAFEHLASRIVMVLQQQAKTSGEQQKVKTLVVSG GVASNQFLRHVLRRVLEVRGFGHIRIMAPPVNLCTDNAAMIAWTGTEMYRAGWVSKLD MLPIKKWSMSSTGEQGGILGTEEKPFYVRR NEUTE1DRAFT_150254 MDALVARYSRPAYQQNELFSEEEQQDLCETQPPLSLKFAMPPVA HPSSWLRAATDDRANPSCPIKIAHGTTTLAFRFQGGIVVATDSRATAGNWIASQTVKK VIEINSDLLGTMAGGAADCQYWLAWLGMQCRLHELRHKRRISVAAASKILANLVYQYK GMGLSMGTMCAGVTKEEGPALYYIDSDGTRLAGNLFCVGSGQTFAYGVLDAEYRYDLT VEEALELGSRSILAATHRDAYSGGFINLYHVKESGWEKHGFNDTNPIFWRTKLEKGEF SNVTSDFNEDDSTRV NEUTE1DRAFT_118923 MEMHLALERHPQVLRAEFLTISTSLFRLRARCTIQGLKEQMPLF SPLQIFVFPQHQSKAK NEUTE1DRAFT_72761 MAVVITSDDDTFFGSPLRRSQSQPKFNTKRSGFHTSASTSRLSD IYHHSSKAFDHSAPSSAPSSPRGIHLESSELSDSSTPVTNPSLTSDSDDEDVLEAAIS RRGFGGRLSRYEEDVGYFNHVEHSGPPPSPRTDQSYTSSPSGNDTSTPTSRPESPELV YDRAEDDIAIKIQPSRHVDYLSHNWREEDIWSSWKHIVARRGDYANSARLENASWRTW MKSKNNLGTVSPETLNWLKDCDVTWLYGPLQTGPSIHSNTSSNDRTRMSKTNSFAKKP ILKKRSMSELMLQRSLSASSLVKQAAAAVQAQQKGCLKKTSRRPGLDRANTDFVTFPF SSRGLSNDNSLFATAVSSGLVSPSGERKHIHFNEQVAQCIAVEIKGDDDEDDVEPEIN YNSDSDDDAIMMKRTKGKKLRPILKRSKSHSHSGGESRTIAMLPSTTLKYREDTPEPA ESAMKHSTGVFRGTVLSPSSSQETLRPAKKSGRFFVDSDDEDDEDDEPTSNWSSAKST EGSLGGLRRSTSSASLLKEPAGMRRTESGMFMPYEQGEDSNSEGLLGRVIDTVNTARD IVHVIWNVGWKR NEUTE1DRAFT_114693 MFFGKPHPALPNGYLNTTAISIRAQIGSPGDVKEHRTSRREQIP GNEKRGLFWYEGTRIVTVKGIYLFMSGTAWLHKRYRSTYRLATEAQLLLPDKEGRGIA LPINDQTKRQSSTMSSEESHEFSDKPRGNNCETYGDLLNVITDGSFHLSFLLCVHPLI FD NEUTE1DRAFT_126230 MSQSEKENQGSGEGKTQDMAIFSPPVVRSGAGALNRALFTKTVN LAAAAVNDNRLISKYRKELEHSKELLRQDRLSPIVNHPDKALADQGKKCLLLSPNVKA PEPETWGAVLKEGVQKKELSVIPCELQLNYDYWTYHDIITSILPEELHDDIPSGFNTA GHVAHMNLRERYIPYKKVIAEVILDKTTNIRTVINKVDNVGAESEFRTFQYEVLAGPD DMQVQVTENACSFEFDYSKVYWNSKLEAEHRRLINMFEPGEVVCDVMAGIGPFAVPAG KKGVFVWANDMNPESNKYMQVAINRNKVSQYVRPFCEDGRTFIHHAADSVLEAHKNGE HVLIAPKPPSRAKKAPKPEPKRVDIPPTISHFVMNLPATAIEFLGCYRGVYAGHEDLF SAESGRKLPLVHVHCFSFKADDETPLNDICERITKYLGFPVKPGNPDVEGEVAVHDVR DVAPAKRMFCASFRIPREVAFAERV NEUTE1DRAFT_91460 MFKPRDIEAYRRDEKLGHKMLTPEGRIRLLKPYLPSPPLHPSEQ ASKRAMEEQQRLGVRRFLKTHWHLLVFTIIHALFSLYIRIRQAYHQVFNKVSSIYHHH HRAPDLIYNDVKDLRRLPNHLSVILTLDEDARGGTGLAKLIEEASDIAAWCASARIPQ LSIYEKTGTLKGYLPRVHRSMTQKLAAYFGPNTPGIGIRAPHCPSMETAPTLAASRSE NDGIKHLSVMILSAEDGRDSIVDLTKTLADMSQRGKISTSDITIELVDAELSESVMGE PDLLLLFGPRVELVGYPPWQVRLTEISHVQDNEGVNYQVFYRGLLKYAGAQMRWGR NEUTE1DRAFT_91464 MERGGPGTTRSPGPGLFPKGPSFTIDDFSSKDFIVRDFVDSLAD TAFPANRRSNPTSASTPFDPKPLIRTFENALSQLGTLSKELQEKESELLSQVRRAEIQ HDQTLDTLGRKLDQSMAQFEALDLTLNNSSTNSGSMNGHGRPDGGGNIAVQIGEKLEE LDRKRRKAQDANFLIQCWTEVSETGQLTSLEEIQRQGGAENKVRCAVIARQLMRISQR LDPFSWVTGGLRANGIANGATRKHNTREALEKFCEHLEQDLLKQFNNSYRRQNFDDMM ECAKVLYDFHGGASVIAAFVNQHQFFIDRDQLITDEVTTDGEMWEQLADPDSDPPGVE PSLQSLVDEVKIVMQEESFIIKRAFPYYETVLIKFIQRVFQQSIQQRLEMLLDKATTI SSLAYLRSLHASRSYIGALVEDLKTHGLTEHPEPCSAQISQTLDQQLEELFVPYLLQN SYIDRERKSLEELYNSLLFKFTLYHSRRKKAPSGFMAAIAQQGTQLLATAKDAYMERL DSSDLTPTQKRMMLKVAGLQDNSSNKNEIEVSEEHGRLSVQNAKRMIVWLAESVRRTL EMGSGSETPKDINILLNLLLTSMGQVYVETALDAALDTATSQENIKTEPDLSYLPHIK PAVTITNLMSRFITTVLIRLAESNTALRRSMEAQAKQAIEATERKTNAVLKSTMDVAV NWVAKILAGQKKTDYRPRDNDLEGFVDLQTPTCQAICTFLASKVAAQAALAIDGHNLE LFSSELALAVHSLLFEHFKKFSVNATGGLMVTKDIAKYVTTMKEWPLTKEVQAIVELL TEVGYLFIIGPEALRERSKFLATGSGGAGGGGGGGGGGGGAAGGAASGGGSGKKLQKA DFKAFVQKREDAATPGIQGVLAGL NEUTE1DRAFT_142640 MNYSRPLDPSTSTNSQQPTREEKGKDKEAMENEDEGQGDIIAEK TPEPQLQSQKGDDDDDDDDDDDGDEDEEEEDEYTELFQVLANVARMKVFGERMNNAEG GALSGGLGKAGWYYEVQYFGMRGFSEHIAGDGISDNGGSFKEGESEEESEGHGDVGRE EGEEKGQMEEDKEEEEGEEEKKEGKGEEGKEREDDDEENYVIVTFLGEQTDSDDESWY FVEITSCDEEEDSDDDEGSKDNKDTENNEDKKGNVNRTESNNTNNNSKKGNKNNGHDS DNDEWHDHHHCHHCQSFRSIIITQTTTTTNPQQQSHPQLQEQDRLSLVNPSFEILQSS PISFTIQLAIPGAKRRDISVAYDLNTNVLTLSGVLYRSVLSLEDSPSASCSASSSSST SSSLESESETDSKCRPKAGINQGSQLKSNPEFEADLELWLAYEQASRPAGVDSGSGSV LLLGGYGGEEEEEEEQEEGKQASGGGGDGDGDGDSSSTDTDDSDNKQSQTQTQTQTPP PTTTERIPFGQILDPVIFCSPTPTSECRLSPSSTPEEQKQHEEVNNEKIKVGLEDGMP TVVVPLAVEEETENENMVGIVI NEUTE1DRAFT_150259 MNSSSWSAPDHSHHPALHTAADDDFHQFLDINDMGDLSDALDFD FRDFAADQHTAHHAGRHAADHLLHSSGGEHLDTPMTGTDMSMILSPVDHAMLRHGVQQ QQQHQQHQMPTITTTAPYQNAPTALIQPSTPSEAIVNTIDAQIQFLQQQKLHAQHQQL QEQQAAYFASQQNHIVPPTPQSLELTAGSSQNYYAQSTLSDQHHSGPQKQQQPQQAID YRYTRIKDQHDMSFTPLVSPAVTPLETHFPIDTPFAVPGAYFSPLTSPALHAQNDALG IIDQRLGMMSGSSPREMELEPPAMSQASVSPGDLARKTRKNAVKARAKSGSGIKQSPI SKPIRRKTATTPMLNPQALNQLVENAAPSQERQHPLTPLIPTSSSSTAGVTDSENGSI SPENLNDVVLPVEMPPPPLPKPRSAKPSPFLAPQASGSAVPINLQPGRPGIASPATPA SLMKLSSPSNRNPSVVGTGSHDPMDPDHIENFELPDSINFSSAPKPAPVVTTLGTPAL DPLQKAAAPLQTPSLPPPPSPVVAKPLALPSAALSSPQLKPDSAHSLKRTPQLAPMGR SSKKRASVTSIQMSPALRPRISPSIKPLLPGGSAGAEDAASILLATKSNYQRILEGNT VPGVSYPSELSTNLTSKRTSHKIAEQGRRNRINSALQEIATLLPKAPAKEGGDGDGDG HSSSGGGGGSGGADREDKREKEKDKAGGGIPNSKASTVEMAIEYIKQLQKEVADANKR AEEAERKLVEMKMQGGAAMGSGSSVGDAGDLEAPTTEANPVVDEGLKSGGGDAMDE NEUTE1DRAFT_72774 MPLPEPEVPLTNACAVIFDNTIYTYSADAFQSLQLTPGAKWKKL EQGEKVTGGVCVGATTGTAATSSLFIVGGKGQTEGYQGIQKYTYSTGKWESLQLPAPV TQDRLLHGAIYLNATDSILVYAGNQDGSTGPSTQTYAIGASPPYNVRSFEASVPPAIN PILLPWSDSEAVMLGGSTSNTQVMLFKPETGWRDSGTTLANPIAKDTSAIKAALVRGD DGSQNLYTFDMTQSPNMVQRILLSTGPEQPIQNAGPAKKRDVTIQEEERSISEKRDVL NVLTWPHYNATLAPTSTRSNYAIAQDADGMVVFVGGNSEEPLAMFNAKDNTWYNTTDM LVDQQVIIAAFTSSTTLETSTVTSTTASMTTSTSLAKSEASTTLVTATPTAASSVSPV SVATAAVSSNTESSNDSGSKSHTNVILAALLSSIFGLAIVLFAIYFCIKRKGRQQARK QTGGARGGSGGPGEAYDEKAGYGFPPDTKGPFRGHGHQTQDSCGSMSSLAMIGYGTNH QKPGRSAPGHKLSISNAFGRRDSDDSTFKAFKSSISKPVPVSQSISAEPPENPFLAAH EKEVSFAPSPAQPMPRNPAAAANVDPEIRRSSGWNRYWSGGSALNVIGLGNNTNSAVI NQGSQRTTVVSDVSSRYSKNTQHRMTQDSATVPALQAYESRVSFNRVNSGSPTIAHRD HDDEKLYEGMSGQIEMPRPVSNVSSISGYSSGIPASVHDTWDPTEFTKPWGANRAPSS AYSASIYTTPLAPAGRVPSQLVQQQQGTRQQVVRDDMSWLNLGGKNGR NEUTE1DRAFT_72776 MAPPTGPRAGTGRAPPRGPRATGGGGGIQKRRANPRTDRDGDVS MDAPAGGSGRGGLASGGRGRGSKDARGGRGAVNSRTASRVVQNLQNYVSGGPVGKTQS NMATLKIHGMSNSKATGNRDGGLRSLLTFLERKASKDKAVTIGKSIMDGDYVWITVRK DDAPEILRLNGFTYAGAPLTITETTERMPRPEDKISKAAEETKQKLTAVLAARYNPEL KLLDLSSLGTDPILSSMGTFDSKDRAEKAFKVLVVIASQQYKTAAEKREGIQAISLAS NGLNDVMQVFTLATSLPDIKRLDLSSNNLDSLSKISKWQHRFQYLEELHLTGNPITTQ PDFVAELIKWFPSLQNINGQIVRSPQEVAEALKGMHPTPLPQFPSNLRDGEANVASIF VQVFFPMFDTDRARLAAEFYDDDSWFSIQAIANSGRNLPWKTYLKYSRNVHKLGEKNP ATVQRLFTGGNLIADMWKALPSTRHPSMENPGAWLIDCHTFPNLADPTGHGFATGLLI TVNGQFEESDTTENLYGKRTFTRTFILGPSKPSNPPPVHPYRVISDQLTLHDWTPAET PAGQAPVANQVPQVPVTNAVPPAEPVILDDATKNQLIQEVMKRTGMTAEYSELCLSGT ANWNFEMALKSFEEQRANLPPTAFINAV NEUTE1DRAFT_118928 MLGGGATKTTRRSKGTEVEVEGRRFARDRWLNMRTEAASSEATG EYEDVQESSAGQY NEUTE1DRAFT_114701 MDDDDFDDIPDEDLMLAFTQATSNITSHHPSNSKQLASSAKPPA QAWPISDSARRIVIPTVSQGQATATGRAKTASKPTTSATTSRPSPAQSSQRKNLRQTT LWGGTLEEDAQPAPQAVSNRPFRADMPPEQPTHHEIDIEEMKTWVYPMNLGPIRDYQF SIVKNGLFNNTLVALPTGLGKTFIAATIMLNYIRWTKTAKAVFVAPTKPLASQQVQAC LSIAGIPRSQATLLTGETPPVLREDEWATKRLFFMTPQTLMNDLSKGYADPKSIVLLV IDEAHRATGDYAYVKVVEFLRRFSKSFRILALTATPGSSLEGVQDVIDNLGISHVEIR TEESIDIRQYVHSRDINTITFDPSDEMMEVRDLFSKALKPLVTKLSSQNIYYGRDPMS LTTYGLMKARNDWMAGPGRHVNQGTKFSVIATFAILQSLAHSIKLLNFHGIKPFYNNL AEFRTTEEEKGGKGSKLKRQVLEDENFQKMMDMIEGWMKIDGFLGHPKLEYLCETLVN HFMDAGEGSNTRAIVFSEYRDSAEEIVRILNKQPLIKATVFVGQADSKRSEGMKQKQQ IETIEKFKNGAHNVLVATSIGEEGLDIGQVDLIVCYDASASPIRMLQRMGRTGRKRAG NIVLLLMKGKEEDKFNEAKDNYATMQRMICEGSRFTFRHDLSSRIVPRDIRPEVEKKV VEIPLENSQNPELPEPKRSAARMRTKPAKKKFNMPDGVETGFIKASFFGQAGAKTAKP PARPPAPKETDFIAERPKNESVLLSTSQENELRRNYTKIPLGHSKVEELDIDWYRHPT SRRVVQKTIHVKHGEYTKRCVKLFRRLAKSQAPANRYTKPYGETDTSSWELIPLPPFA DETEGETSRKGQKKRPRLESGQEAEEAEQYAAPKKRQATAKAKSTGVSKQTNKPRARH TALISDCEEGGNEYDGNVDDDEQSRPRNFRSKGRGRGSGRGKKSQPKQGDPNVDYGDD CTRTSDMEMGTDGSDDGADLEDFIVSDGEVTSSLQHRPRGSTSPTTAPDAGSSSLSSK TGRKQQIPDSFASDEDDGDVFGSRFVPVTASAAKGSLPSTARREKPKPFYVPVELPAT QDTTDGDDDLPDIEFLSAKRKREGTGTGMRTGSPGHAKVGDTSKGGGGGDQTREKPSG GAASHARARKRTVVMDSDDDQE NEUTE1DRAFT_91476 MSDVENVDYLQPGFDPRSLTVPRLRSILVTHQVPYPSTAKKSQL VDLFNEHVVPLSKKYLASRTAKRSSKGIVDADTQSSASTDFDQELPPPSRSTRRSRSP RKVSRVKLEEDVQESHAPVTPAAARGTTSQRVSRSAASHVSHAPSVSDTDDTGHEAET SFQETSYQETPSTLGRNLRTTPAVKHESDDDFFKRTPATASVFTNDNPFQAGSPAAPP STMKTPSHRRKTSALDSTYTRTPSTVASRRRTDGPQYEELETPDTTSSIRSYEPSSTS TVRTYQLPFGKHSMPKTPRSPEYSEMSMMSNVADSSYIVDSSYVETGEEFTPDEQLAL MQEEIDNPALAVQRNKQVSRRERSPLTTPLWVLLTTLLVAYAGWYRQEKIAVGYCGLG RESRPLVGRSIKLPDWATEVTDKIGLHDFEVPEWAGPFLEPDCEPCPPHAYCYEAFIA RCEPGFVLKPHPLALGGLIPLPPTCEPDGEKARKVQAVANKAVEELRDRRAKYECGEP LEPEGKPLESPAIDEQELKESLSKKRSKRMAAEEFEELWAAALGEVKARDEVEVHVTD SYYEKGDTSGFPTTKLSSSSLARLPYTCALRRSVKLGLARHRLSIGGVILSLLSLIYG RNAYRTHRAVARQIPGLVDQVLDRLALQKEIAFESEGDDDAFLFLPNLRDDVLRSVHR LAERERVWKKVAAVVEQNSNVRTGQRESSSGEIARAWEWIGPSRPGITGGEARRRLKS NNGYRVSWGPDVKTEAEVEEEQQQVVKGEEDQQKYETPTHPPARAGGSRFGFRKWTEG RPIY NEUTE1DRAFT_118929 MVVVLCVHRPSWLSTIREELRGTKATWKLNVRSKLNCLPQNSSK TLFNRPIVDF NEUTE1DRAFT_72781 MDLVNHLEGRLLFAVPKKGRLLQACLNLLEGADIQFRRENRLDI ALVKNLPIALVFLPAADIPTFVGEGRVDLGITGYDQVQEHDAGVRTVARARRQSGEWS AQDGEKAKPQGCDTVMELGFGACKLQVQVPVKGEYATGKDLIGKNIGTSFVHLAQEYF ARLELEQEGLVDADASQLAGRKLKTQIIELSGSVEAACALGVADGIVDLVESGETMKA AGLMAIDTVVESSAILIKSKAPSNPEMVDLIAARIGGVITAQKYVLCQYNVERTRLAD ATKITPGKRAPTITSLETEGWVAVSAMVEKKRIATVMDDLTTVGATDIIVLDIHNTRG SRA NEUTE1DRAFT_91481 MSTWRGSPSVKGSTETMRMLLLTCVSVGITFTWGVEMTYCTPYL LSLGLTKGQTSLVWVAGPLSGLIVQPIIGVVADQSKSRWGRRRPVIVIGSIITALALM ALGFTKEIVAYFIWDPTYARACTIAVAVLSLYCVDFAINAVMSCARSLVVDTLPIQKQ QSGAAWASRMGSLGHIIGYGMGAIDLLQLFGTSLGDTQFKQLTVIAALGMLVTSSVTC WAVTERVLVTVRPDPRRQSGRFKVVRQIVSTLITLPPRIRAICYAVFWSWIGWFPFII YSSTWVGETYFRYDVPADTRDSSDALGDMGRIGSTALTVYSTVTFISAWILPPFIRAP EDNTFTHRPPASIASLVETVNKYKPDLLSAWIASHLMFALAMFLTPFAASFRFATVLV ALCGIPWSIAMWAPTTFLGVEVNKLSGAPDPILSTSSIEMRFVNEPAAGAVEEVTTAG NSSTGELSGIYFGILNIYTTIPQFIATFMSSIVFAILEPGKSPELATDAHPSEHAKTD GPNAIAVCMFIGAISSLVAAYATAKMRDI NEUTE1DRAFT_150265 MAAVTVSPAASPTSTTTSPRRKPVPTTGLAAIASIERFEWNDNA PSLPGVSLAESPRIRESPITPVKVNFQSDGWAQPSNANIETDRSYDGLQPISGALDRA LPATPGAPTPPPHALLNEEPRQETYSHSEARSTQSAWKESVKPLPGLELPSVARLKQE ASSQDDLSSDSSRASHGRVANRRSRESDTTVSTSKKPNSNNSSTATSASSVSGVIEAS DCPDNSGDISLESSILEDGSARAEQVPQLAYHHSAFLPRPASIAVDQDLRARSNSSLV DTGGAINRHLTPNSFNRRSSVPRPQSAYSVYSDFGSRGHSPGLQNRTPSANSRNSPDN RPQSFAELLNVPYPQPAPAPLTLDNSQLRSVVGTNASLLSAQKTLEMYRQNVKKTTDF STQYSFAVFLINTAKEQGLNFDELRNSKNLKPGRSSETLSAEANQSSPYELVREARAI LQKLSNNGFPFAQYYLADGYASGLFNKGKEDYNSAFPLFVLAAKHGHAESAYRTALCY EFGWGCRKDPAKAVQFLRTAASKRHPGAMTRLGKACLSGDLGEKRYREGVKWLKLATE SADAIYNAAPYQLGCLYETGYGDDIFKDESYAAELFTQAADLGHPEANYRMGDAYEHG KLNCPRDPALSVHFYTGAAERGHPAAMMGLCAWYLVGADPILEKDEEEAYEWAKRSAE LGYVKAQYAVGYFTEMGIGCRRDILEANLWYVKAAEAGDERAKQRLAVIRAAVSGGTP MEVAPARNAKIKKSAEKDDKECIVM NEUTE1DRAFT_72792 MAGERPSQQQRVPSISSFPETLPSPNPNVERDPLAPTPEPAHPS GIPQRKRSLIRPERNRIGKDHPNYHYRKHAANMNTLPSSTGHDPIYEDLEGATDDVSG TGSRNDDDVSEESPPRRKHSTKMRVIETEKSGDERRRRRKSDTTKHGKIVKASKGQRE KPGGLPTPSFWNIYCGFVTFWCPGFVLKCFGMPEMAQQRAWREKMGLISIILLIMGFV GFITFGFTQVVCGKPPLRLRINEVGSGYMIFHGSAYDLTKSHHPPAEGIPRRPDGLGA NVIYDLPQHYGGQDGSFLFQNVNGKCKGLITKQENSDVPSDKSGNLAWYFPCNTFNQD GSSKPNTTIPYYLGYACHTTANARDSFYLGLKSSADVYFTWDDIKNSSRNLVVYSGHV LDLDLLHWFNDTQVTYPARFKELRDKNTAGNQAIRGRDITHAFQSSKDKQIAECFEEI IKVGSVDTETVGCIASKVVLYVSLVLILAVVLARFVLALIFQWFISKTYAAAKTSQTS DQRKRNRQIEDWTEDIYRAPPRLPGEVGSSVAGSSDRQSKRSSAFLPTHSRFSTVYGN ERGNRKPGLPTTMASQNAAGQLLHPGAIYGQGNESRSSFLKSDAYGSSSSPADGPGPA GFIHEAVVPQPPSDWMPFGFPLAHTICLVTAYSEGEMGVRTTLDSIAMTDYPNSHKVI LVICDGIIKGHGEEHSTPDIILGMMKDHTIHPDDVEPFSYVAVATGSKRHNMAKVYTG FYDYGTNSAIPLEKQQRVPMMMVVKCGTPAEASKSKPGNRGKRDSQIILMSFLQKVMF DERMTELEYEMFNGLWKITGISPDFYEIVLMVDADTKVFPDSLTHMISAMVKDPEIMG LCGETKIANKRASWVSAIQVFEYFVSHHLAKAFESVFGGVTCLPGCFCMYRIKAPKGA QNYWVPILANPDVVEHYSENVVDTLHKKNLLLLGEDRYLSTLMLRTFPKRKQVFVPQA VCKTTVPDEFMVLLSQRRRWINSTIHNLMELVLVRDLCGTFCFSMQFIVGIELIGTLV LPAAIAFTFYVVIISIINSPPQIIPLVLLGLILGLPAILVVVTAHSWSYIIWMFIYLL SLPVWNFVLPTYAFWKFDDFSWGDTRKTAGEKSSKGGHGEAEGEFDSSMITMKRWAEF ERDRRVRSTYWAGSRDNVISGVGGSNGWGSSQPRGHEQGRHFDDYFSDA NEUTE1DRAFT_126242 MKTQILLPTSALSARHRERRGHNSIRKQKKACERCPCLPRLGVL ELTSEPAPAHQHPKQGNHGSRRPVQSQSFTAPIAHSDFRPYPPAVAMRDTNMRNGNRP QVSQGSHGTGALTDMPSGNSAVAGSSWPTIIPMSTIAGEEDGVINYQVENHQVVIGQP LNHFSESGSEYEDVEDEEHQHEDGQGEQEVEEHDDDNDGHESLFYGHHYLHTDQMLGH HPQPSFYLGLDGYLSDDVDMSDDAGAPLVNYLQVANLLTNDMDTDADHEDSISMTSSL SDEEQFSQYPPAPPAPFTPFTLDLVNQMLATTGQGWLPTTTASQVISGIINTVHPQVP PTTLLDTFPHPAHGPNPHSMTSLGPSNHCLTQLLYDWARPDHRGQGVHLPRGPYPWPS RIADLAAQKLSRIRYTDLAGDQCDFQGVNWEELGVTRQEARQRRLLTYRNYVNVSGSD KWDENCPDVSLPGTDSFFKFRRMDFKSNINLSHFQLRNILATTSRTKIFYPGSGAVHQ FNPVSGKTRVVMKLGDAQGSHVSTMAAGHGVLIAGSFNGEYTLRHMDSDEPESSACHE GIITSNQSGITNHAQVYQDRNSDRPLAGFASNDAVFRVLDITTEKWLFHEVYDFPLNC TAVSPDRRLRVAVGDHKNVFITTTESTLGGGKLQILQELSGHRDHGFSCAWADDGWTV ATGFQDKAITIWDARRWTDSNGSSTPLCTIRAEMAGVRNLQFSPIGSGKRVLVAAEEA DYINIIDAQTFRSKQTVDVFAEIGGVSFTNSGQDLTVLCYDPTRGGLLQLERCGMSQM MTGDFDERGLDYRYYGRHMGGSGDSDWLQSSFTEEKRIKNSVVWRSQRRAALADLGPF NEUTE1DRAFT_91493 MKGILVAASAAILAGGASANRLHHRHLHQPLFEKRVHNDTEICV PGCTTIWTTITGPAGLYTPPAPPSTAVPATSSAITTSEALPTLSSSLVFANSSSIVVP STSSIAIPSTSSIAVPSTTEVVVVPTTTSQGPVTVPTPIQQTCPTPGTYTFPATTVVL TETKTVCGASSTVVPSGTHTLGGVTTVVETATTVVCPVATTKTAENGVVTSVVELTTY VCPSAGTYTIAPITTTVTDSEPVTVRVPVVETYNPGTYSAPAVVTTITETDVVVYCPF TAVEPPVTSTQAPVVPTTSVAPPVVSVAPPVVSIAPPVVSEAPQEPSSTSSAVPVVSA VPSSSTSSAVPQPTAPTGNLGGKGDKWAMTYTPFTQDGQCKNAADVMTDIKAIANAGF TTLRVYSTDCDTLPSVGAAAEATGLRLILGVFIGTAGCENGSPNVSEQIAAIKSWGRF DMVDLVVVGNEALFNGFCTVGQLRDLINRVKSELGSAGYSGPYTTTDVVSAWQSMDMT DICNVIDVVACNSHAYFDANTAPEQAGTFVAGQLAIVEKVCNKPGFVMETGWPTAGEC IGKACPGREQQKTALAAIKQEMGKKVVFFSFSDDHWKQPGSCNCEQHWGCGEIFGVTL NEUTE1DRAFT_142652 MARDRKENEEDGEDGEDGVDGVDGVGEEDGSSLWPTGRRTDAVL DYSRTPSKSSQSSARDGRMGLHVPLCKLWAAPGTKLSLRMALRGPMATVTDERMAPSQ NLRTK NEUTE1DRAFT_54700 MQSGDIATWKPCETREPKPEISKTEGKWFLGNAGPGASYLDLSF FFPLDLPRYFSSRNNQLGCLGISRAEADPMKPSDEKIPEISNEALEDFALPLEPDLQY LQDSQPKTTKRSAQLSIRQRLKQWEAENPEPFHSIPSDFALPDRPINAFTSKRSEHML EIENIDNDNATAQVHDQDLIDTQVTPRVQAGDLVELKSEDWNIGVLAVCLGSFNGIDH FYAVTGKWFASSNFKSGFIVKNFITNSADLQPVIGALPSTVGDMSILVELQKLRAGPT REHGAGLITKMLDFQNTSRQIYQNNLERLSNPHRHLPEEEQLMTLGEIAEFLLPPKLK QSSTGFPPAALYAVYNNIRTFFDDFFHPLGQGTAGPSSIIFHVAARSDIENVARVERM VRDHYSPELLDRKSRREHDRLEPVLRQARLAIDHSRKMREWSSHGMLGPTTRVLPAST YSWSQDALTVIGVIHMWAASDVFPRSSKYQWIGAAVLRALGRYQDADVLDATVGWTFL QEIGWLMPWEIHARHSLRLPGLQVNRAGGLLPSPEEQQPAELAEDVLAPLRQDFSTST VYCIDAPNAKEIDDGVSLEKTDKEGEYWIHVHIADPTSRIRPDSSLAKRAALMTQTSY LPGHVDKMISDEAVVAEFSLAPGRPSLTFSARLNEEGTLLDHKVTPGRIGDVVYITPQ DVATAVGPVDAGIPKVSTPDVVLEVGTPPSAEDEAPTRKMTKPDELSEQNVKDLEILS RLAAAIHKVRIQKGSVPIFLPSPSADFSLVNARIEHTPSGFLACTNDPYISVKYSVSG GLHPIVDDLMGTANQIAALWCYERGIPIPFRTNLLAAQNEEALRAYTQDVIYPQLIAG KQPSLEEMRTLRNLLGGHDIAATPTFIYTMGVDIYTKATSPLRRYSDLLVHWQIQAAL LEEHKRRAQGSQSLVIRKFDKYHLKPPMDDKQAARLGLPFTASHLENKVFPHLRVRER HAKTLANIDGRNELILQALVRAWRFGEGKEGQVPEKFTYTVVNVQNTCVRGRINYFDL WAEIDLDNLAGFSLLNNMRVGDVLTVKLADVNVHMRKIVVKPVEFVQRGPERGRLFSE EQEGEGEVENGGEYIDVEHEVLQPEERPYNARYIDLYGTN NEUTE1DRAFT_105766 MDSKSGQYTRIGHFVDIAETDWSTTEPAPKRTIKRPRATARAQP SNADTTSSVSVSSSPSIQHQPQLPSLRTLFPPECFPAPTSATMPRRQADEDEDEDYTP HSKRQKSLPHRASQEEPLATDSQCSESRFDFELDAAYTAASYRPNPRRSATVAYDRIS QTSRTPRQPRRVEQQEELAQCQQSESNRKIQQNQCVDELQTSPNHVTSEVSRVSRHLH FTGPQQQQHHRHVPQSQTSSNAPVKPSPLSNMSLLRDFEHLPTELTAASGRPVRGAMA RALDRMSNQNQAGSSSAVHFAGEDFRSDSRPAKSPISREQQRKQNLIMVLYRSIFDSK LKEFPSLMTFRPIPDTWQEPSPCASSAPPAPQSLNNNIPQRAGFDAFHQHSLNSILRM KTPYGGPAVPTPPLGYESYSFQNYPSTHKTNEYHSTAADVFGQAVPSLRTTPKYHPTN DMTPEEIQRYHNDRRLFVKMKAAWVDNDKILRLREQKGDEEALQTLWDVAQVFRARNP VNPSAESVKWFVDKRDEILRPRGRSQRQSASAPAGEEGGASGNVEDLLDAAVAAEEME VDLEPMDVEELENELGNQEMPQAENDNGGDDEHDVDELAPAFGMLQQLQQQQQQQQQQ QHQQQQALVSHSIHYQDYDADVDTPPETQSETDEQERHGRAHSERAQSKGRLGINDLL N NEUTE1DRAFT_91498 MSPAIATQPITPQTISHGQLLTPPMSHAMPPVKLRLRARKTSES YGSRNADQPSQRKRIIKRPPPLKGLNRRHRAFDDKRGREESNEQDSDQYQDHDRNQRN NQLQQAQQQPQRQTQQQQQQRVTTPSVPPQLLPPFGSRPQTPPRSRIAPEIIPLGLDH SDYHALHADNANESQQATAPGTDVIVEDGEPWSSEEDSILIELVLDKLKLTKSDWQDC ARSMGKDRKSVGTRWKSLMVSGDVGLKSNSSRRSGIHGTWR NEUTE1DRAFT_114712 MSNPPVPVSGNRGDAKPDTRRQSALYKVVMTPIIFVSFIISLAI VDLKYSMHRSHYHAERQPSRLPPWLHRIVYKYRPYHYTAVDENGKPVEDIDGYYHSKQ RKLMAMEADDAFQMRRTVVASLVLAGMVAIWGAWWALRWVLGKVCWV NEUTE1DRAFT_96856 MSATPVFSKDAAPPAGPYSHAIKTPAAIYCSGQIPCDSEGNLVE GTIQEKTAACIKNLKAVLEAAGSSIEKVVKVNAFLTDMSNFAAMNEEYSKWFTHKPAR SCVAVYQLPKGVDVEIECIALP NEUTE1DRAFT_105770 MASTTATPEATLRQRNVPASSKKAKNGVSSDVETDKVPDAVAPA KSGSELEYKLALVLITGLAFLTRFWGISHPDEVVFDEVHFGKFASYYLERTYFFDVHP PFGKLLFAFMGWLVGYDGHFHFENIGDSYIRNKVPYVAFRSLPAILGALTVSVVYMIM WESGYSLPACLIAAGLVLLDNAHIGQTRLILLDATLVFAMACSLLCYIKFHKLRHEPF SRKWWKWLILTGFALSCDISTKYVGLFAFITIGSAVCIDLWDLLDIKRPGGALTLPQF GKHFAARAFGLIIMPFIFYLFWFQVHFSILTRSGPGDDFMTPEFQETLSDNIMLANAV TIDYYDTISIRHKETKAYLHSHPDKYPLRYDDGRVSSQGQQVTGYPFNDTNNYWQILP PGPDDQKLGHPIKNHDLVRLRHIVTDTILLSHDVASPYYPTNQEFTTVSIGDAYGDRA ADTLFEIRIEHGKANQEFKSISSHFKLIHNPSKVAMWTHSKPLPEWGHKQQEINGNKQ LAQSSNVWLVEDIVSLPADHARREKAEKKVKTLPFLRKWFELQRSMFWHNNQLTSSHP YASLPYQWPFLLRGVSFWTQNDTRQQIYFLGNPIGWWLASSVLAIYAGIVLADQFSLR RGLDAMDRRTRSRLYNSTGFFFLAWATHYFPFFVMGRQLFLHHYLPAHLASALVTGSV VEFLFSTDSAEPEYQPSKSGKKVAPTTKRRLSARERLAGQSMAGAWIATAVIMVLVAF GWYFFLPLTYGYPGLTAPEVNRRKWLGYDLHFAK NEUTE1DRAFT_105772 MSNPRRRPAGGLTLKTNMLLQKGATFHSPTTPASGDSSERVFVP PSLPRRSHTNLDDVIDSRCRRVALALDAIERQLASSNDTFASASRSDKCIPPPRGLLE RNLDSPIMPKEVEPERRMLRPRTRRSSRHHDSDSGLGSSIASTSEKDASSKAKTTRTS AVARSATARAASTPDLPGLGDRATNRIVEYILKPLLAKPNLKEFHSLVLECPKKIQDK EILCLRDLEKTLILVAPATVQHLGDRELTRPRDLPYTSGYFVDLVDQFYNYARQIAES NKTKEGANDMDIDPTDQIKIHGGPHINGRLSELVRVKKNGQAISLATGLPVDLCDKAP ETPVNFKRSQSEEALDEEEVMRSMARRKKNASPEELAPKRCREPGCNKEFKRPCDLTK HEKTHSRPWKCPVKTCKYHEYGWPTEKEMDRHHNDKHSSAPPMYECLFKPCPYKSKRE SNCKQHMEKAHGWTYVRTKTNGKKPSTLPSLGPDSGHPTPQLQNIGTPSSDRSMSIAT PSDDWNAGLYQTNIEFPAYVPEFNFNTIPQQLELDYSPIDNGTPSPDSGMDHNSAYQD LNEFTLIDDIYGATMQLPNQVISPFYLKDMGQHLGAYTAPDLCQPHPAHISPIGQGNT MLFTPPTSLGEVDDGFEDHDFAMSNCNNVPGDFILYPPTTDAYSKPTFTESLFANVDI PSMAAGYSQPSSQDILHAYPSDWTSHDMNAYF NEUTE1DRAFT_126248 MTDKGQFEKGHNIPVTHQSFPGKETAMPKPQPLWDEIPTADGKS QKYRAAGKLKGKKALITGGDSGIGRASAILFAMEGADSVIAYLPEEEEDAQETKRRVE AYGQKCHLVSTDLRDRENCKKLVDEAVKIFNGQIDILFNNAAYQMVVDDIKDLSEDQW INTFNTNIHPYFYLAKYSLPYMKRGSTIINNASINAYIGRPDLLDYTSTKGAIVAFTR GLSNQQAGNGIRVNAVAPGPVWTPLIPSTMKKENQEQFGSTPLGRPAQPSEVATAVVF LASEDSSAFSGQTLHPNMGTVVSG NEUTE1DRAFT_126249 MAAFKLALASAFLIRLMGWLPVLSGLTAASLTIPLNLHLPRKQR DQNFGLMRVRDYKAHLLAEALLGMRQIRYSALEDFWEDKILASRDDELKQYWRVALGK CLIFLTVNFAPLLLASVAFTVYVWQQGSHIKASVIFTALGLFDQLDDAVSLLRKVQVN LLEAWASVSRLDKYFGRLDKQVVTKPGSLIAFEDATVAWPRPEDTDEVGDAQAPPRGA HTMLKDINVKFPPGELSVIAGKTGSGKSLLLAAILGEVKLIAGDIYVPSAPEPSEDEN ISDQDWIIPSLTCFVSQTPWIESGTVRDNVLFGLKFDHLRYRKVLCACALKKDLQLLA DGDQTEVGPRGVSLSGGQRWRIALARALYSRAGIIVMDDILSAVDTHVSRLIVGEALT GELAVGRTRILATHHVDLVRPYASCLVRLSSGRLKSVVYKAPESQNIRPATSMLEPGS LTWTRPDGNGAASSSSSTARVTESRQTGEEKRAAGWVKWDVYKAYYEASGGALNWALG MAVLFLGHVLGIIRTWSLKELAQRASSVEANSQTSSTTQYHQTYWQATFGYVSGQHMP WSSKLCIRFWISTYVLVCFLVGASQLIRDIAFTLIGMRASKKLFEQLTHTILRAPIRW IETVPAGRVLNRFNSDMSVVDKPLATPTFTFLQAALLLMIIVVTCSSVSLYVLFFVIL LFALYVYIANYFIYVAREVKRLKSVSNSPIYDQFSSVLSGLTTIRGFQCTQSYTNNLY QLIDVNSSANWAQQLLKRWMGFRMGMLGAVFVTIVASVVALGGVGAALAGFSLSFAFR YTSALTSLLEAVAALELGFSSCERVLEYIEVERESEQGLDAPAAWPADGNIEVENLTA SYACDLPPVLSDLSLTVGAGERVGIVGRSGAGKSTLASVLFRLLEPSRGSVRIDGLDI STLKLTQLRKSLVIIPQDPFLFSYAYEIDLLHLLMWILMHSVNRGTLRSNLDIEGRLD DTEIYDALRSVNLIGSTYNNQSYPPSFYTPVMGPSTAVSTHPNTASTTPLLAPIVVPQ TVDFTVEPAAEEVDMFDDIHTLGDNRVQITPFVLDTSDIDPLNLLTFESHPENPTPLT SILEVEQTPTSEPAHILEPSPTEEEPISPPPVTLPAPLLPLPPSPAISATTTATNDAA PDSHRINPFTNLSHPIITGGSNLSQGQRQLVCLARALLTRPKIMVLDEATSAIDQATD AAIQRSLRKCVKSTGTTVLVIAHRLSTVADFDKVLVLDKGRVVEFGAPRELVRRGIER DRERHRQDDGGHGGGASDHGERLAERSIGSNDYFGDDECSEENREDADTSTFWGLVKK STERDRLVEMILGEDH NEUTE1DRAFT_72817 MESNKPENDVKSNKRTHEEFTEGVAQDGSGDDSSSDDDMGPQLP SAEAPKKKRRVLPYEKLYLKAMPKSARYSKSLMHKEQLTFLTMTPITDFLITTSIDGV VKFWKKVTGELEFVKEYKAHLGEIKSVSVSQDGRSFATAGADKTIKLFDVNAFDLLAV LQLDYVPACVCWVHRKGAPLPILAVSEEEKPVIHLYDGRGQQEKPFHTISGLHRSPVG IMAFNDRFDCVVSADDGGMVEYWQPSGSYGKPESVFKFKSATNLFEFKKAKAVPTSLA LSPDGSRFVTVSFPDRKIRIFDFASGKLQRTYDESLKVVEEMQQAGTALQKLDAVEFG RRIAQEREIESPALRNKFNVVFDESGHFILYGSYLGIKVLNTYTNQVAKVYGKEEGFR PLSLAIYQGQPQKKGVTTVAMAASANPLLQESETRDPMLIATGVGKVRFYMFTNDKEI SKSTRDVQNEKPTVLGGPKKVEQKKAAETGTSAVIHTTYGDIHIRLFPDAAPKAVENF VTHAKRGYYNNTIFHRVIRKFMIQGGDPLGDGTGGESIWGKEFEDEFSSLKHDKPYTV SMANAGPNTNGSQFFITTEKTPWLDNKHTIFGRGVQGLDVIHRIENVKTHKDKPVEDI KILNIDIM NEUTE1DRAFT_51857 MHPLQRVASPSRGLSALIHMLGMVSFMTSFSYLHLFPRELHLGF GGAFKFLTIIGLGLALVTFAVALLADLILSPSLFRIKNVLSVCSAPLAVLISTLYWGI CAINKNLVVPPDMAIPVLPDVGFHAMPAIMLTLDLMFLSPPWTVKGYGAMTISTTIAF FYWGWVELCFSKNGWYPYPIFDILNTWQRVLLFTFSALLMTGSTMALKWVYGKINSIE KFKKDALRPLKDE NEUTE1DRAFT_105777 MYLPCKSAHPGAQAPKPGPSGSPAKCRRPPAFGFVTRACPEHTL LAVFPLLRRLRTSKANHMHANRRNPSIFLPQLFHDDGPSTAWARANLPMPMSVL NEUTE1DRAFT_72822 MSQHFYIENRNVGNQAESEDWRIRGYNPLTPPDLLQHEIPQTAE SKQTVINGRKEVVAVVNGTDEKERLLVVIGPCSIHDPKAALEYCDLLLKEKEKHKDEL LIVMRSYLEKPRTTVGWKGLINDPDIDNSFQINKGLRLSRQLFVDLTSKGMPLASEML DTISPQFLADLLSVGAVGARTTESQLHRELASGLSFPVGFKNGTDGTLGVAIDAIGAV RHPHHFLSVTKPGVVAIVGTVGNEDCFVILRGGTKGTNYDAKSIAEAKAALEKSGLRQ RLMIDCSHGNSNKNHKNQPKVAAEIAQQLENGETGIMGVMIESNINEGNQKVPEEGKC GLKYGVSITDACIGWEDTVATLDTLAQAVKKRREVLSKNATA NEUTE1DRAFT_72823 MLARTCLRSTRTFASAKNGAFKFAKRSASTQSSGAAAESPLRLN IAAAAATAVAAGSIAWYYHLYGSASAMTPAEEGLHATKYPWVHEQWLKTFDHQALRRG FQVYREVCASCHSLSRVPYRALVGTILTVDEAKALAEENEYDTEPNDQGEIEKRPGKL SDYLPDPYKNDEAARFANNGALPPDLSLIVKARHGGCDYIFSLLTGYPDEPPAGASVG AGLNFNPYFPGTGIAMARVLYDGLVDYEDGTPASTSQMAKDVVEFLNWAAEPEMDDRK RMGMKVLVVTSVLFALSVYVKRYKWAWLKSRKIVYDPPKESTTRH NEUTE1DRAFT_126253 MSSSKLSPPQSQASRLPYNDVMSPALANDILNGFNVDFSSPSQD RDFLASLLTSGFAPPVEVRKLWEPSLMRGMEEWKMMMDCAHSLRDQAYRVLRLEREQD HGQSRVMGDPELCLLPFLGMIMAGREEGCLFDGDTCAGSLLGKRREGGGGEKSSAQVG RGGGKRVKKTTGVMGRGVSRFWAQDDTAKTSRQGTRGRGEIGVSDAVRKAMNGHEPIS EGGKMALPTRVMSAVHRSAGPSQGERESKAKKSRLTDKTKLEHSKFPDEPSYAGTWSP QRSLASHSSPNENLDVALGDVSVAGTSSFDEYVLAANVRITGKRTYKSPFFSEPPPWP ISTSKASPSKSKTSTSKSRSPTKKSRNPHPPGISCLPIAPLSTPRFGLIQEEVAADPF RLLIAVTFLIKGRGTMAIPLFRQLMDLFPTPEALASADPSEIINLIRPLGLSVNRCSV IQKYARMFIECPPCKEKRYGVRNYPRPGDARGVKVGKEFTGEPDDFHIGKASQAEFDD DDDRINAIKFAKEHAIGCAWEIGHLTQGPYALDSWRIFCRDKLLGRADDWKGKGRHPE FQPEWMRVLPQDKELRAYLRWMWMREGWEWDPITGEREPLREEMRKAVDEGRVVYDEC VAVVGKHWWTQSPSTPRNRFHSLLSRLYLPQNHLSSDEGQMMRTFSNIRKAPALSGSD NEUTE1DRAFT_33641 SAVRGITTLTLLTGGFFSVFAQKHPNGGYAKDCIFTGASLREGH WLGCNCLNDDVAIFGYNYTWLDLNFCLGNRNGTLESYDTGNYTTTCRDCRILNNYRTI FLNCLCPDMAHVLHNTTIDLNTTLYNVDGCAGCYNHMGNKSWDGPP NEUTE1DRAFT_126254 MAPTVVSNINPSIRRRRTAVFISSDTQLLQDPSVTSDASFSFAS KSESPDLGLIPPPMAQQPSSYRQTVIRAGDEVEFFSGELDRHLTDLRGTTKEKRAQVF QLIDSYHAYSRKRADRLRERQPRTLTLRENEMDIDDNEIDDLAVNFDEGYRKAEEEAQ TWDLLRRMLPLEYGQDETSQLKQHIKPSLQSRNQWWNDFMLSDSLAREQKVVLEWLQS SASQGPPVDDVVSHLQESAERGDILAHGWLHTRTKIKLQKSVNGYQGSLDPRAFGFAE SHLSSNTLVTQLDPDAITRQARKLETQDDFFERAIWLGCFEMLRRGFSMAEIREWCSM RTELWRAASLTPLPLANPEDEDQPDFDPRSLVLWRRMCFSTARDGGTSDYDRAVYGLL AGDIASVEKVCETWDDLLFAHYNALLRTRFDSYLVKHGGDEAARIAQQFPAFNAVLHH GDPHSVGKRLVTLLETDLRTSNEATRPSKALQGAIIAHELGRYLTNQGLVLAKHANQR SKSNLIPPVDLQVPDDLTHPKYFNLSDHNSLRVLSHVLIIFTTLNRLSETRTISDAHQ EAMENIIAAYISFLRLANLEQMIPLYCSKLHGQRVYEALSRNLIHILDNGARRVQISI MNRLGISVSEFVKRQPEIFLSDVHDLLQPCEAKGKFKVLEDGPATLKYGRIVKPDFFG DDEAEEFDQEEGHIICSLEWLMLVDDLFVETCTYVIRAYKYFLKRTRLRAARALSQRV PGREIIRRKTGILVGGEGDDGSVEWFADFAASELPEELLALYAGDKEAVITQVRNMWE LECLVKALDSMETLSSLAGLAREDSTNSRELWQHTNKEVRAAKAYMQPVLKGWLLETI QPDPDFQALREAYIPETVLALVSSLHFAGATLSRDYLLECMELAAVVAEKASAVSQEF VKSGRIKELVEAFASCSKALAIFASEKKAISKETKKIREHGWSRELWSVKPQ NEUTE1DRAFT_118942 MTLQDDLAANPPCHPRACAIQNCLTRNGFDESKCQKQIDALYEC CNAFYEKNGESASTVSCPKASLLRLKMEQTKKAT NEUTE1DRAFT_118943 MADNAENGSGNAGSDAAAPAVEHLNIKVTDNNNEVFFKIKRSTK LEKLMTAFCERQGKTLASVRFLFEGQRVQPTDTPDTLEMQDGDCLEVHQEQVGGW NEUTE1DRAFT_118944 MPAKPVGVLSKYGINRIKSMFLDTPPPYDPNDSTLRTPVLLGIT YATNRNKK NEUTE1DRAFT_114725 MFARSNSSERASPKKATPPSPSYMSSEQFAAYLKDLRASRLNRP GGARPLPASKRDTSCTTAASPSPSSRSSFTQAPRLSETASSPIQNGTSEANNASSPTE LSPGRSSVTASVGSKYSNVTRGRDYYPDRPARLLKPSEVVPSATYIERGQRWMEKEEA VSLRKAMEDMDLKGHSKNASGGPLIRPDVGPGSGNDEAPEQTEEERRLYEAALAEAAE LVWQHENPGKERPPEPGTPYRYKPHLRKDSYAHARTASVKYGSDVAPTGLARDTSTYS MSGSSTDGEEGQGSSRSPVSPASARPLSFRLPGTPRASHERVRDGSPESGNSKSYSTF SGSSGYLRSGSKKDIFGEPQKPFPGDKISEESEARSSSSSLDNFAQIRTPEQLGAKPK NPLNRVQFAPETSPAADGVASSPPKDGSRYEKYEIHRNPVTQSRNPQYTTNVRPALPW ERKKPVQEEVPRKHGMEIRSDEIRQATSMKLKDRSVKLPTPSAVSDNPGRPIVSFDRQ WKAPDEAADDTPEGPSRFGKSDDRSTPLVPGRFRSQQSQQPQEEPQQTQHHQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQRALPQQPQRPRQQPGSTPNVPVIPVSNGFSTPP SSSSPTRRPLPVPTPPSIQINPPPPSSSIPSISVPSITVSESASSSRSNIPQIVLPGG SHDDGPSIPVIVTPDDKSSTSNSSSSRRPLPTPGAVGRHMPARPRSHWSPAPKPAGSR ATARCHECGDFIEGRFVSLAGMTERFHPQCFTCYSCGTSLEALEISPEPDEHRAARLE RIARRTAGEHFPETPGQTMAEDGDARLRFYCHLDWHELFAPRCKHCTTPIMGEHVVAL GHHWHFGHFFCAECGDPFERGMTHIEKDGYAWCVSCQTKRTERRAPKCRKCRKAVIGQ YIRALGGEWHDECFRCADCGGGFDDGQIFPREGRAGTVPGCHNVVIRGCLGLVSVAYL IGIMDVMVKSALEDKQGVDDIPQRSGTTIEAGQIGDDGRGE NEUTE1DRAFT_133382 MTLGPNPSTAPAPSRQNASFPPSNQAPMANARAAVEPESKSLFD ESPEDGDAVKEDEFKEGGYGWVVVFGVWLVNMHTWGLISSYAIFLAYYLRSGSIIGAS PLFFAFIGGLSTSMALLVSPLATYSIKTLGTRITLFIGAVFEAGSFIGASFSTHAWQL LLSQGVCFGLGMGFCFTATVGIVPQWFTKRRSFANALATGGSGFGGLTYALGANAMIT NLGLEWAFRILAITCFTVNGCVSLIIKDRNKAVGAKHIAFHKDLFFQPEYWLFVGWGF FGIIGYIIVVFSIADFALQAGFTSDQASLASAMFNLSQALGRPAIGLLSDRLGKMNVA GIGTLIASVVAFFLWIFAGKYFAGLIIYALFGAVAGIIWPCVGPVGAEVVGIQLLPAA LSLYWIGLVFPATFAEVIGLSLKREMVGAGVYLNVQVFTGFMFFASFLSMWLLRSWKL RQMEYLGLYEKGQEAAIHNVAVIHPNEESKHEVVSTRRQQNIVVSYITNMFVMKRL NEUTE1DRAFT_150284 MATSMYQHARHYSPVHSLRSQWACRLQMPTSSRRFFTTCQPLHG SYADTLPFLRINSNTRVIFQGFTGKQATANAKDSLAWGTKIVGGVIPGRTGEHLGLPV LPSVRQAMEHLKPDATGIYVAAHQAPGAIEEAIEAEVPLIVAVAEHIPVHDMLRIHSM LKTQSKSRLVGPNSPGIISAVGKCRVAVASTTRAGLGQSLCIGVGGDMVPGTDMVEAL SVLEKDPDTEAIALIGEIGGMGELEAAAWIKEYYSRSKSPKPIIGLIAGVNEAPGRIM GHAGAFTFLGEPTAKAKIAALEEAGVTLVNHPAKIGDTLKTKLREGTTGSGTTSRSQP FATGMQRRQLHFMRGPGRRSPAASLSVHNKQQYQQRRSIYLDVNQCFDELRARGGINC GPYSGHGTRRLLAVGIDRSARSPAILVAPSIEDWMEGAQRTSAPVKSFPFDYRRGPDE LAIERLASYLQLSNKGDSAVDSLRRLIETLTTLFYEKEGLLLTTHIVERLREIKFVHA RFAFDQATFRNGTPPDKIKAMYVRATDEPAEVKAERSGIVYIKLPGEDATIGTLVNGA GLAMNTVDALADAGGKAANFLDTGGKATSETVKESFMIILQDPRVRVVFVNIFGGLTR GDMIAHGIIMAFKELSLTVPVVVRIRGTNETEGQKIIADSQLPLYAFDDFEVAAAKAI ELSRK NEUTE1DRAFT_118947 MSTFGSPGALPTTKPTPPQRGSFPLDHDGECKHVMTTYLACIKR VKGVNEDECRSLAKAYLACRMERNLMAKDDFKNLGFKENEPSSTPKPAAEAEKGVKGE LRW NEUTE1DRAFT_118948 MSMGNYKGLSACIPEKDSDVQIGRPATCAEWTTSEGPVSRSALR PPLSEPAVRSPAGSQELRINGQAR NEUTE1DRAFT_91539 MAALGDDLLGVVNKLQDLVFNTIGNDSLDLPQIVVVGSQSAGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINVPADDDAEDATHPSYRNPNAAGRNEWAE FHHIPNRRFTDFGDVKREIENETARVAGTNKGINRQPINLKIFSPHVLNLTLVDLPGL TKVPIGDQPTDIEKQTRNLISEYIAKPNSIVLAVSPANVDIVNSEALKLARHVDPMGR RTIGVLTKVDLMDHGTNALDILSGRVYPLKLGWIGVVNRSQQDIQGNKPMEEALRSES EFFRHHPAYRNISTRCGTQFLARTLNTTLMAHIRERLPDIKARLNTLMGQTQQELASY GDMHFSGKEHRGSLILQLMTRFASSFIASIDGTSTEISTKELCGGARIYYIFNSVFGS SLESIDPTSNLTALDIRTAIRNSTGPRPSLFVPEMAFDLLVKPQIKLLEPPSQRCVEL VYEELIKICHTCGSTELSRFPRLQAKLIEVVSDLLRERLGPASNYVESLISIQRAYIN TNHPNFLGAAAAMSHVVSNKQERERKRLIQEERERRERRRLKELGTNATETPIEGEEE STTLEKTDNVHVRKTAGKGARSMSPAVRENGPGSIASALNGARSNSPSRFNGGQGVGN AKESFLNYFFGKDGAIVPGPSNSGNIGRHVNQAMEPTFSQSMRRPDDRQVRAPAQSIK VDDDMDYVGNAKGTELSNDGEPAMTDREAMEAELIRALISSYFNIVRESIADQVPKAI MHLLVNHCKDVVQNRLVSELYKETLFEELLYEDDAVKKEREKCEKLLQTYREAAKIIG EVV NEUTE1DRAFT_133385 MTGDGEDVHPGPVPKRSGSVPTLRDDQDRNSSIEVLDSSSAAST VLAVPTQTEPDVGMTEAPKMSLLGKRQSREHAADSEHSSESGANVKKVKLAQDRARQA VALNKLPKDKSRLSAEVWHHIFSFCPPRSLGNLLRVNKLFNHYLDPSSSLVNREVPLP TAKGVLGFLKPNVIWQLSRRLFWPQMPAPLRSMAEIDMWRLACSLKCQNCNKQPAQSH AALSETCSTAAAPCLGPGLNGVAVIWPFASRLCGRCLVMTSTKEIDFMVTQSTPSAVL QGIAFAYVTPDLRIQPSNTPDTDKLKLFSATDVAEVDKEFHDVQALGPGAMDEWMKGL NNRGKNMQNQALRWEKWYMSGGVTRMRTELYPGYVNIKVSSIPNSNSATPAASPTSYP IALPATRAQVPSSQASSQPRQERTAEEVAELKAIRKAEIERRALLLDPPLTPDVLRHL PAFEAAMQIVLPFQDKDWDFLKPRLLTQRGEAEHRHPGLLVVKEEAQQNRTERRQLEA TLATTKEARELIDKQWEETQAPLRVRIAGLADEAIRQTWGKGKKVTRDNCAKFAVDVL CYIRKRFYAEVAEKAAAAHSVGREVPVDPPEGPFTQKLTLENMKWIFDTKIKPHTESY RKELFYCNGCDSNAKTFGFEGVIQHYAAKHTTALSLGTIVVHWRAEWPQYPPFRYEHQ LSKQLPHNHGFRPSFVGSLGVPVLPQGHDYPSTNGHAGLPSFQTYPAPGYHTALYPEQ YQAILPPVYPQGHYNPAPQQPLYGQPPLPPPQQQQQQQQPYIAQPPLYPPYQHPATTY PPLATSEPPQGYGPPPPAVQAYNYNSFQANAHSSYPEIQPPGYPDGYQIRLEIVARSS RETWNSLGVIRDLPGSIRVFVTIHHLVKRFHAMFGEVPPFSMFIDGLSNNKDMRPVRN VNGLVCKACHLKLGNAPHVVEERKAFSLPQLVNHFQSKHIEPMQAQGVYPLLDWIMDM VLLPNLETLSKLRTCGEPQRGMLADALPYLFQPRLTSAQQYPSPYPVLSKGRHFEFSG LELNGLAGREQPYNGSPAPRQHLSAGHPSGRDSGNMVLPTLTNGRSDAPQIGYVSEQQ PSLNLSVPDTSDFGRDVQDEGTMAEARNLLDDSSDMDNQDRHVNLHPAMESARLSFEE AKKVEAGTEERNKGWNTSRTESGKSYSSYHNSGAGEHANRPREASLVFHPATHTPPRP SMAVSRAMQPLSITGHEEMSNLQHQGHPSAVDHRHRNLNDRSYTIEHGMAAVSGAAPP SRIYDRYDEDSRRSRSPVYGASYQSVPAGRDRSLLMQQVAPAYLSGARVPPLNDEVEY SRYRSQTVVSEYVPRRADTEVYGRPPPRPEYHDQDQIPRPEYYRNLVDARSHSRQLAP ALPADTYEIVQVRDEQGEYLIRRPVRRDAAAQSFHDAGRRAHRDANPDGAASYADSEQ PVCTADSREAPSAAYTRHGTMVREDTERVARGRDPYYEEYDPRFPGAS NEUTE1DRAFT_118950 MHTQLVYFALFAGLAGAAGLESKSIYAVEAIKSIMPGALTDCSQ FEFSKECRTPEEAAPHLINSLKGRTTGEIAMILSLIGVESGDLKFRINHFPSPGHPDQ GTANMMSPMYVSEYAKDLNLPIDGKSGPEILQLVIADDFRNFDSARWFVDKHCSDEVK AKMKMGTDEGYSDYITKCVGTTMADDRQQYWDRAKKAFDLSG NEUTE1DRAFT_91546 MRPERQLSVVLGLLLSAAVQVGALTSSEWRKQSIYQVVTDRFAR SDLSTSAPCDTSQQVYCGGSWQGLISKLDYIQGMGFTAVWISPIVKQIDGVTKDGSSY HGYWASDIWSLNPSFGTADNLKGLSKALHDRGMYLMVDVVTNHMAYLGCRSCINYQGL NPFSSQSYYHSPCTINYDNQTSIETCWQGSDVVSLPDLKTETDGLRIDSVKHVEKSFW PGFESAAGVFAIGEVFQGDPAYLAPYQSYISGVLDYASYYWIVNAFQSTSGNITALAN GMNILKAAAIDLSLYGSFLENHDQARFAHKTSDMAIAFTMLKDGIPIIYQGQEQHYAG SDTPYNREALWLSGFSTNSELYTWIKRLNQLRTHVIKKDDGYLTYRAWPIYSDSHTIA MRKGSSNKQVVGVFTNVGASSSTAVTLSSLASGFSADEPVTDVRYLFLTVSSHFDHQH RFRSSYINFVGGLGNTTALGSWNTANAVTLSASKYTSSNPLWYVSFDLAPRNVILYKF IKVNSSGTVSWESDPNHKYTVPCAAATVTSTWRK NEUTE1DRAFT_126266 MPAPSGQHFQDCSTQPLTYLPLYHDLSAPESFYSSNPARQIMTP TPPSTTSSGGRSPQEQFRVVRKRNRVPLSCYPCRSRKKCDRNHPCGNCTKRGGTDAPS CFYATPPARKKSQCQPGASPDDMQNRIDRLEGLVLSLVHGGANIDLPPAATATNAAAS AGGHAKGQGASSTTTSSSSAFLHLDDDDGAMQDGEGESDIDDGLATSLGVLKVDPDRG KSMYVGQGHWHTVLADIAEVKNYFALHKKDLEKSYEKVMLSKPPTACEGLTFLFESTP AAEIELRAELPSKSTVLTLCGRYFNSMDNAVNIIHPPTFHQQLRNHWLDPSRTPIMWL GLLYSVMCLAMLSYHKVGDEPPEWKGRTLEKAAEYRLRTVQCLRVGDYTKPTEYTVET MILYLFAEHSSRWDADVGLWLIMSQVTRVAFRMGYHRDAKWFPSLTPFQAEMRRRTWA LIRMCDVFFSHQLSLPTMIYEHDCDTDLPHNLADEDFHPDTKVLPPPKPDTEPTNISY MMAKVKLALELGNILQATGRIRNPVHYDEVIRFDAKLREIKANLPPHLRFQPLSGCHD PLTLIIARFNIDILFQKIICLLHRRYMSKATQNIKYNSSRLRAISSSLESLGHLATLH RESQPRGRFRSIKWFVTSIATKDFLLPAMLIVLDLHYDNTLRRTKTAPASPPWTSEQR AEMIKSLEVTRDIWKGLADESMDALKAFNVLEIMLDKINGTQSSQHSGSAIEIPTTAK ATEFSDPVQEMRSEHSAAITLGMLSGSIDASTTSPTHATQGLDGPNHPSLNMNVSADP ATFGTGPLSMGLTPDYLGNTMAGIGDTSPFSSLFGDGGHRGFHVLLTMDYK NEUTE1DRAFT_91550 MSTIKDITSIEAWNNHVSSLPASTLLIVSFHAPWAAPCAQMATV LKTLASEYPVTEPLSTSWVSIDAEELSEISETYNVTAVPFLVLARNNQVLETVSGSSA VKVRHAIETHAKKSAQSGIEASEKTPAIANGAATAEGQAGDVVPQDPKKQKEELFRRL GDLVKAAPVMLFMKGTPSEPKCGFSRQLVAILRENAVKYGFFNILADDEVRQGLKEFA DWPTYPQLWVDGELVGGLDIVKEELANDADFFKPYSVKANGDASAGQS NEUTE1DRAFT_96875 MDRRLIRKDIPNTLSSLMVSLPHKGITLRKVRCNTNSSLLLSRA VVGTDASKGAWRLSVAASWAPNAANAALTAANAALSAVDIVRISITISTVEGGC NEUTE1DRAFT_114735 MFVVPYWNRTRNAAFLRRFFVSTPTAPSSAFLPLLRPISPFASP LLRFVAPVYILIKSDLVILRYNCIVKFPNNKEYTIFKLKIGAVLTVVTYPRSPVWLWS VYWIYSVPYIVLNLYLSLITYNIYYSPKEGCSQLENK NEUTE1DRAFT_133390 MASLNLSIHGPSIKSSYQGVINGPAPSSASPTYAHWALFSVQAP LLNAFQDAGAKESILKVESTGDGELSDLIEDFNEGRIQFAFVKVKDPNSGLPKNVLIA WCGGGVPERTKGYFTSHLAAVSKILHGYHVQITARSDGDLSPESIVQKVADASGAKYT AGGSAPASAVAPPPVAKKPVFTPTTSTSASSFNPIVAARIRNHGGEDDDGWGADAPPV TRTQLEKVASAYQPTKVNIAELRKNKDDLRSDETQKQDDRPEDIVKGGYQPIGKVDIA ALRAQAKKTYDDRPVTVKGAYEPVGKVDIAAIRAKAQQRPTEPEELETPRSLAERTAV FTQAERLTELPKPKVAKKFVGSAAFTGTKAPTPAPLGFSSPAVPTATPIGAASRTFAD QGGKTPAQIWAEKKAKQGGAVAFASTPSPAAAPIAAQKSGSEWKSGYAGKSWAPVQAP NYSRGLESHATGESASQEKYEPEAPASPAGGISALRERFKDTAPVAVSTGSRSAPSVP SPGPATGEEGHTPPPLPTGSRPSGGFALPGLPSRPSVADAEEGNDERQLEEEVRALES ADQHEDEPSSPARIAVPIARSAVPEVEPAGNLPPRPVPVSQDLPKEEDLPVEEDTHDP RAAALNVASESLGQGVEVQQAGSDGGKRALVQYDYEKAEDNEIDLREGDYVTNIQMVD DDWWMGTNAQGESGLFPSNYVEVVEDDEPAAAFSAAPTVAATQAPVVPSSAQAEAVAP ATPADQVAGPTATAQFDYEAAEDNELSFPEGATITGLEFPDDDWWFGHYNGASGLFPA NYVELDA NEUTE1DRAFT_55630 YNPFIIFRWMANINIQLYTSINTIFNYVAKYVSKAEKRTKSYNE IATEIILQITARNLIK NEUTE1DRAFT_72862 MYSHTPPLLPPKPSGSHEVTHINTAETSPSPRPPERPETGGPGA DGFQQGGLTHNGQTISDPGEQWLPKFLQDKAKQDDLAVILSNPSLLSALTHSPETIHP SLQASHDTLQSALAENVQRAAQLLNLEARLANQRSSTQAQLLSTHALERQWRAKQAEM DHALTPFAPVRLYQRLSQGLQEQEAVCCALEESFLDSGGDGTPASEREASDWVRKYRE AKKLYYLRQERKERWDEGRVGGWR NEUTE1DRAFT_91560 MFELRPACVFDTKHIDHRSCFVAESFAPVLPNPFLTLGTLGQQT PREMDSLHITEFGSERYLDKVNRPQTSITPPPSTAAPTSQFLLPFRGAYPPEAEDATI RASEQKRTEKKGFLSFRKFHAKATLSSTSLDQCERRFLATEPLPRQSTSFDRQFHFLP TELQVEIIASLPLSDILNLRLASRSLHALISLNEAPIARHHLDNHVPAYAKRLYPPPA PSALNLHYLCGIWHRLHVAAKLSYLMCEWISRELFLRNTEEKKKAFAPQRERMRRRLI PLLFTIFHFFEIYREHHLKYLAENDGKGLLREPYTINPIEAQIMSLYDDKTLLRVHEV FPLVISSFCRRLRPPSYVGLVERSIRGYLRDKPPDEVHVAILCIGGLREVERIWEVKG YNTRRAAVDNWYNSITRDTAEQEPKKKRGMLGLKRKKSSFGMGKNSLGDAVVANARVF GEVSMTARDPMSDLVFNTSLSTGRPMGPLARDQLKLLLSDLPILQKIFLETAEALILE RKIVERASDIKRNAQIFTDLICEDRLEEEDQWWYGTVAPESVRPNLEAIEEDVLE NEUTE1DRAFT_142686 MATASGPKAARPADATTSAPGRHTPAQKVTPVPVPKPGSVPSLP PSANQAVAVGRVSNIAIDSATASAVQGGFGLLTPAESNLQDAANGPHRDGRIRNPVPS KLKGKTDESKGNFSVMHMGVAGRAEATERDAQAKRTSESTELAAKRAFENGYVSHRRS KFVQLPDETAKPFVPATTSAQAPYMSGRQTPLNADETKSEQARLLTLLRSLQPLLVVD QICKALAFFGGIPGAPPPANGEFPQSAEANGSGSLFVGWIAEIFPKLGGNNGQQNLAP VRQMDGPEPVRRKRGRPKGSKATKVRKDKGLKKGSSKDIPDTDRTHRGAGTDRNWVDA ECGDTSTPDMVDANVMLLAQAASPHREPAHVEAPGITRPDTVTSHVAATIPARTIQHH GSGNDSLVVETPGNMKKRGRPKGSRNRPKVSDAAVLPRQAVQPADDSYTSAQLSQTLE GTQYQPANASFTAVNSVASVQSAKKKGSRPKGSAPRTQVDAPTETDISGPNELQTTVP SIAKQQRTVGLNGNPTQKQVQQSVHSDQGLQIPSTSTVPAPTTIDSAQVPGNAGQKRK RKTAKDVGVVDNINGIGSTTPSSSAVALPTSSTQQGSQYIPAITTGVGIPAAKRQRRS RDSRLKGKKSNDMTVPGSIEPAVSSQIKAATPAPVPRPNQAPATAPATFHTAPEIGSE VTPVSSSVSLEQVMPLAHSPQGHFDVQSPTLENYEAQLQAQLELKSNIESQHHSLVPR PIVTKNHQQQQSQQRQPPTQQHSRQPSQSHTQHSMSQSQPHPRPESSAQQPQPQRPHS ISQQSSQQHQTSVSQPSTQAQLQAHQSQTPSPMMSQQQNRANHPYTQYRPSTSPYSQG QHQHSYPSPQQQTQNFTAQQQAQTTPQPTPAQQYPNTHQLPPYGSIQQPYQNSHSHYS GTQQSVASQQRYQQHLATGTTTASAYSTHQSPQFTASSTNAFGSADTGYRGSTGSTSN PTYGSQQGQSSAPSAASTYRTSSTHNMVHQSPTYTTNPGTALHRSSTTHPTSQGIQAI SNMQSFAGTNNTEWDFLNPSTLDSAGSQGALAMSGAGYAINTASVRSTSNSGTPFTAS TMANFDASGLPSLGGNNRYFELGRR NEUTE1DRAFT_54812 MAPTTRYSLVSLPVRIFDDEPLSELTSTIGQDNGDILEFSIPSF KIGTLDALVQHADDLTKLNAICEAVVSKVADSLAGILDGDEDKISQQKMVNDKPTDSY ICSFQWNKVRYRAEKPLGELIENLQKDIQNIDNDVKAKFNQYNAVKTNLSALQRKQTG NLATKSLTPIVQPDVLIQDSEYLETHLIVVPLSARKDFLRSYETIAEMVVPRSALQIA QDDEFILFAVTTFKKTSADFLHKCREHKWTPRQYKYVEGGKEEEQRELERMVREEKKV WGEALHMGRSGWSESVMVWAHVLTLRVFVETVLRYGLPLEFTTPKQAKKVKTALDKAY SYLGGNAFGRDKRGRFTKDDASLASEMAAAGYSAGDANEYTAYVYYEFEFP NEUTE1DRAFT_142688 MATNITWHPSLSRHERNQLRGQRGFTIWFTGLSASGKSTVATAL EQHLLHIGLAAYRLDGDNVRFGLNKDLGFSEKDRNENIRRIAEVAKLFADSSTIALTS FISPYRADRQIARDLHANSTQAGDDVLPFIEVFVDVPLAEAEKRDPKGLYKKARAGEI KDFTGISAPYEAPESPEITIRTDLLSVEECVKKIVDYLAEAGLISGTKETR NEUTE1DRAFT_96881 MVAITSPPSDAETVSLFTPPDDDAQAKENFINSHPLTLSLRTNP DFIESRPHMKIPEAWRKRNLTGGVLMGPGKVVVPPFSFSEKTGKNFVQISHVGTDLCG HMGVIHGGFLATMLDEGLARCCFPVLPFNVGMTAKLDINYKAPAMADQYLVLRATTVK VEGRKAWVEGHIETLPSKEGEEPTVLVTASALYISPKQAATMAKVYPVK NEUTE1DRAFT_150299 MGSSKSPRQRKRKGMGPAFDEAALAQLTEKIDKTLAGVGTDQHA SPKRKRPNTTADDRDAKRRQKSLLGTEEGQQDRSSKDQSHNTTKEVLLEEILALGGDE DDLELVANVDSDNEEGPGTKSASAPEKSLDKSFHDELAKFALSLGFQNIPREDDLESV SSENPVDEEQDEEDDEEEEDDAEQTKQDDSQDQEQLLAIPATVKSESTTTTVQDTLRG KQGKNKLGFEPRPDWHALDLEGLPAFTPSADVRQYSSAIANLKAYAEALLKEDAARYS ALQASSSTQKFMSTIMSSGTLSDKVSALTLSIQESPLHNQKAFETLIGLAGKKSRSQA LAALGALVDLLGNGTVLPSNRRLRPFNMQPALLAALQDQAVLHWVQGQKLPANLTDSH LMMWAYEDWLKAAYFRIVQLLEIWSTDQIEHSRMRALEFVFTLLRDKPEQEANLLRLL VNKLGDRERKIASRASYLLLQLLNIHPAMKSIVISTVEQEVLLKPGQGLRTKYYAIIT LNQTILSTKEPAIANTLLRIYFEAFLSLLKTGSLGNLEALNSEDKSGSSNRKAKKGKQ NHTEASANEQDTTQKLVSALLTGVNRAVPFTTADDETMEKHLDTLFRITHSSNFNTSV QALMLIQQLAVTKHLAVDRFYRTLYESLLDPRLITSSKQALYMNLLFRALKNDADVRR VKAFVKRLVQVLNLHQPSFACGVLYLISELENMFPDLHSLLTVPEDNEDDGVEAYKDV NDDAALQSSLLREDAPTSRRATGYDGRKRNPEHSNAHRSCLWELAPLLSHFHPSVQVY ANNLLVRQRGLPKPDLANHNLMHFLDKFVYRNPKITETKRGSSIMQPVLATGGASQTV VSSKDGAKKQPSVNSASFWNLKPGQVSAEDVFFHEYFARIGKPGDMAKSKKAFKEKSG LDGEEQEEEAEDEIWEALVNSRPEVEGELDEDESDLGMDGYDDSDEEMDTVGLDMDGP REDFEGSDSDVPFEGIFDDSDDSEEEAAVDESAGEEDASTAKKKGRLSRKEMRGLPTF ASADDYAEMLAGEDGMADE NEUTE1DRAFT_91576 MLPRSIPRLSKVWIPSGGFAVPGVEDAHSKLVRAGFLRQSHAGI FHMLPLGKRVQDKVERLVAKHMEESLAASRVSLSSISSEALWERSGRLQNIAPELFRF PDRKGSAYLLSPTHEEEITNLVAQTVRSYKDLPLRLYQITRKFRDELRPRHGLLRGRE FTMKDLYTFDTDLTSALDTYEKVRAAYSRIFNDMKLPVLAAKASSGDMGGDLSHEYHL PSPFGEDSVITCGSCDYTINEEIATTRVAHEICQADHVGVWRAITKDRSTLVNVWYPT RTIRTDTGQVREYTNSDINIYAIKPLVPDLDAAVSDATEFWAAATEGPDATAKRLVNL FDSRLPPSFSGSLKGSASLPMWPDTIKTGASTLQVQCHGSSTNGGKPLNFLRIRKGDN CPQCSSGTLNVQKAMELGHTFHLGTRYSQPLGAKISLPPPSSSETKPSTGDSGTSKTV SMQMGCHGIGISRVIGAVAEHLADDKGLNWPVAIAPYTCIVVFAEDNYDDAVEVYKHI LNGSGGQDERLDIILDDRQKSLPWKLKDADLIGAPVIVVLGREWRIARRVEVQCRRLN INEVIDVNNLPSFIAELHLRL NEUTE1DRAFT_55956 YINNILIFLFRSKKDYLVKVCKVVERLAVAKLYLDPKKYKFTIK SVKYLGFIVIISINI NEUTE1DRAFT_52444 INYKVEVKKNENSREILLPYRLLYNILREEFLILKKIFKNLFNK GFICVNNSLAVVLIFFIRKLGGGLQFYYNYWALNTIIRINRYPLLLIKEIFRIIVKAK NEUTE1DRAFT_53554 MTKLESMLRAFKSRNFAGKNGIYNVRCHQLHGPTFLWFLGVCRF GVGVRRFTH NEUTE1DRAFT_142693 MPVLETRVYNPGASPITIIPSAAATAATTPARINPSKYKIASPS LTNLTYIKEKEE NEUTE1DRAFT_54148 KKTFIIKALKVVAPSINLEGNIVLDVVTFNAGPTNSLPTNYNTP IIANTLEKVRKYLIKENLNKKGAAIVYFRPFNGFI NEUTE1DRAFT_53438 KTIVTRTLLVGFLKLLPILYILWRDISINYVTLFLKLRRAGRSV KYEFMLIIVNRFIKIQYFVLIERLLTEELIEKFINHIYIFYSFLITIIFNRGI NEUTE1DRAFT_55033 MYSIHILTLSLYSISTTIEISSNSNSYYTSNSDKLTPTSPAPVT TPPLTSTSIPNKGSSENSINFNSKSKYDIPNPTTKEITPQLLAFTKEVQKVLNNWGKD YSIAFIRSQVANYWQGKPTYYRLICNRYNQPKRSTTKLKKTKNRKYSY NEUTE1DRAFT_53402 LDNNLTKEFVRLSTSDIASPILLVRKLGGGIRIYINYKSINNIS FKNKYPLLLIKEMLDAIYQAK NEUTE1DRAFT_51434 YEYILFVVNRLTKIRHFILIEGLLIEKLVEKFINYIYILYSLSN TIISDRGI NEUTE1DRAFT_55535 MNPVRITFNSNSNIITPVRIISSSNSTTTFTRIVSGFNSRSITP SSLIIIETPNRPIMNNN NEUTE1DRAFT_114744 MSRVFINLDSSLNEPVNNISTTSSLGVECKWVVLPAEPPQFSSG VQLTILSIGNEKEGEANPVTVGAITEESTRIRSGARIIGNIGSSKIIDLLQRKDIHYL ISVRSEYGREDWRNNL NEUTE1DRAFT_55525 LLESALTNRLKPTTACRKAVKDYVRHKIMPDKTLTVAAYAAGAS LAAITLIYVFGPTYFLDNDPSANSTSVFSIKRNGAVGLINPANDCFINSVLQALAGLG DLRLYLIRETHRRCLGGGWVYAQTIPSDVWGSGGEGEGDETSKWRVRGGVPEWKAEGL QMGIVTKGLKDILDALNERPIYKKTISASPFVRTLEIAFGQRISRQQQDAQEFLQVVA ERLCDEYHAGQRARSYAMRGAEQPTTKISLNSKTSDSEALDRPLPLRASEQVSGADQP AATAASAVPNGADEERAEEGFPFEGSFESQIECLTCGFRPRPTQSTFCTLTLNVPHIP TTTLSACFDGMFKTEYIEDFKCEKCRLLHAKAVLEADIQRSTSESSREKARTALASLE LAIETDPEKAPEGVELPDPRYAPKRKIARHIRMTGFPRILAIHLSRSIYDMSNFSQKN LAKVTFPELLPLGGLLQQRKYKLLGVVTHKGNHHSGHYESFRRQNIYPPFSNPGTFQP SGAYIYTSSPSNINPGQAIQPGSGQARTPGVDGGITPANEPRSTSTSRERDTDTNSLR SAAASARSTLIKIASKPSSRAGSPDITGSKPHNKTPNTTVKSKRHKSSSRWWRISDDK VKEASTRDVLGMQREVYLLFYELERENS NEUTE1DRAFT_96883 MGNNLCGSHRVCYETRAYVSMGTSSRLMLEPRALSIHLMFPDLI EAVMLINSRSVICLPLTGAVGIPCP NEUTE1DRAFT_91582 MDSAQQNSSAAAGGGSSWGAFLKSIASFNGDLASLTAPPFILSG TSLTEFSSYWCEHPPVFAAPAKESDPAKRALLVLKWFLTTLKQQYASRSEQYGNEKKP LNPFLGELFLGKWEDEAGVTELISEQVSHHPPATAYNITNLPTGVRLEGYNAQKASFS KTINIKQIGHAVLTVPSPTSDQPDTFLITLPSLHIEGLIFGSPFIELDGASYITSSTG YTAKIDYSGKGWLSGKKNSFVASLYPTGKEKEVLYNVSGQWTKSFEIHSGAAKHNSKS TLVDSWDPEKQHHTPLTVAPIEKQHPLESRRAWSKVANAILKGDLEAVGQEKSRIEVA QREARAREKAEGRMWQRRYFSVHTDAPDRVLTLLGPAIGLAEHGDADKTGGLWRFDAT KAEKEREQPQLSDEEASKIAKELLGQ NEUTE1DRAFT_91585 MVPNPPRILVVGAGSRGRAYARAIQSSTDGIVTAVAEPNKYKRR QFGQSFIWGSHALLSEGAQFDDWRDFIAYEKGRRARILAGETDVPPGVDAAFVCVLDE MHREVILALTMLGGLHIMCEKPLATTLRDCIDIYEALRTNTNAKGEQMVFSIGHVLRY SPHNMLLRKLLLEDRVIGDVLSVVHTEPVGWWHFTHSYVRGNWRRESTSAPSLLTKSC HDIDVLLWLLCSPASYIAQHGETPHLPSTISSTGSLQYFKRSRKPAAAGTATNCLSCP IESSCKYSAKRIYVTQDEFGLDSYNTDWPVNVVIPDIESYSDMNVARETLLHELAKDY GTNTPALQIEQSNWFGRCVYESDNDVCDEQIVTITWDDDPVPSLPSFPHELGQENVLV SSPSRGRGSKTATFHMVAQTNKICQRYTNLYGVDGEIFADSSTITIHDFRTGETTTHC PRNEDRGHGGGDLGLTRQFVRAVDKVKNHGWTTNRAQTELVGCTLEEVMRSHAMVFCA EEARKNKKVVDWGEWWAKHVEAGGTLPFGD NEUTE1DRAFT_118962 MEVQTVEFKPFTDQKPGTSGLRKKVTVFQQPHYSEAFITSILLS IPEGAEGAFLVIGGDGRFWNPEVIQLIAKIGAAYGVKKLLIGQDGILSTPAASHVIRK RKATGGILLTASHNPGGPKNDFGIKYNLANGGPAPESVTNKIYEVSKTLTSYKIASIP NIDISTIGTKTYGDLEVEVVDSTADYVEMLKDIFDFDLIKKFFATHPDFKVLFDGLSG VTGPYGKAIFQQELGLGSESTQNCEPSPDFNGGHPDPNLTYAHSLVETVEKNNIPFGA ASDGDGDRNMIYGAGAFVSPGDSLAIIAHHAQLIPYFKKNGVYGLARSMPTSGAVDLV AKKQGLNCYEVPTGWKFFCALFDANKLSICGEESFGTGSNHIREKDGLWAIVAWLNII AGLGVANPGVAPSIKQIQKDFWAEYGRTFFTRYDYEDVDSEGANKVVGILRDLVADPN FVGSKVGDRTVTEAGDFSYTDLDGSVSSNQGLYARFSSGSRIVVRLSGTGSSGATIRL YIEQHSTDPATYDMDAQDFLAPEIKMATELLKFKEFVGRDEPDVKT NEUTE1DRAFT_52909 EFKYIIIIINRLTKIKYYIPTVNLIVKKLIEYFIKKIYSIYNLP DSIVSNYNTQFIL NEUTE1DRAFT_126285 MDHNNIDIPCALHLFQSCCHEAERAANVAVALEQLREALPESFH GHLIALAGGIWDSSRRLRDLASNSQSHTERVPLVLDYLNIILPCLCRTLNDILGYYED ISLTREMRWRKMYNKMTQEAGGVPLPQRFVLYNTFLVMLGYLLNRSPCFDPNILETLR GHLVELREKRGIPPLPKQVGSVSLADIVTSWPTLTDPVRLGLQSDLPACMLTTRDQNA HWAEQIFSLPLASRTELKHIRPSKACGPFFRRDQLAIPPEPKVLFRRPFDSDRTSVVA YLNPIDQAPYLLIRSLQGEVAWFSSHGVHELCIGREGSALQLKRWSRSEQCSKLWAAF YFITWEEMVLFYCTFVALKARNRLTVQINPTEFQLQREKRLFQAQIVDDGYKHSLVVY EDQQTHGVRLHAAVWDGELKQCPVWTTFVTAQAQSSTWISRRSKHRVWLRNIQLYVFC KNYRQEVQRQNKSNAFEIYFVTEQADIGLIWDIGASKFKEVFTAMEVAGGSSDTPETT TVPLGR NEUTE1DRAFT_118965 MPKAAAKSKTTGKVEKRRAKKDPNAPKRGLSAYMFFANEQRENV REENPGVSFGQVGKILGERWKALSDKQRAPYEAKAAADKKRYEDEKQAYNAEADEEES S NEUTE1DRAFT_51523 MGAALGPTFFTGHFDINEARTQLLEAKATVELRNYIFESAVVVR PVLRAIHGGTEASPVERSVFTVISFSISSSNIADVCIAKRDILPLIRQRDLISAEAAE QASASYQVRDHILDLELERLRVGQHNATLASELLRLSKTTGTHNVQVDGSTKLGRKLG KTKGELRVSRQKWKTIKGATSALVAGTGVDWARDERLRDLVLDPPGFSTKGP NEUTE1DRAFT_126288 MVYDVLIAHTGQRLEIDSRTITSLNGLKESVASQSSIPVECLIA LTPQGRSLRWQPTQPETEIYIYDSRLTQRSQPGASSPPLSELPLPRYNAHTPPNSIED TRSIPAWQKLFETRRAWAIDVVEDCARMDAATRERYAEMDVMLRCLDAAVANLENAVK GLENKYVELKEWSTSAQADYSALATGLDRYLSLARGIAISSSMAQFMTSRDDGGWKGR PQRQSTLEDLVDLELARQAGKLAPSALRKFKDRITNLDKAATHLFQDADTLMHKFETT MSRSALSHDGESSHLLQDIEALANKIDNDYNVTLEYTSSTRDTLLQVSKTAAHHTERL LPSIQKRALEMGDILCYATKARNSLAAESIEFMRSITEITSDSHSVKSQISEAGQEDE LATFDHLRLIQQIPYLYASFVAEAIRRREWLDKVKQDSTTLANEMAIFHEEEAKRRRR WHKSIGAVFGPAPTADSKVPNLEINLRGDDGEWPLMTRKDLDDFFNALRNQKADPELV VEIEKLIADMDNPTRQQSRRMKAFKNGSVHETALGRSGLLVRGDDDLLRSLQADKTRL ESKLKTAESRVRRLEDLLHRQTQASRPNVGNLFQNPSQQVLDRNDSISSLRNPRAVDD RSRSLDGLETLIHRTQQLETELNTERERCVVLEREINALTTLHNDLKGQMDEANSTKK DLLQNMEALKREFTEERKSLEEEVKQLKARLEYTEDEIEHFGESRENEKASYDEKVHF LELEVERLTRERRDDSLKADDQVVLLQNEARLQRERIAAQDIELRAAQDEIRVLSKRL EAVTEDRQKYRQALEDIWECLAPADDVPTELPDLLEGITGKAADILNTKQGVEGDMSL MKLNVDTLQNNIRTLRSEMEVTKDRLTEEESVSLRLREKFSEERAKVVAMEGELAHGR EQLHEFRVKIADGETGSEYMRKRLEDEEQNLASMTEELAARQSQVQRMEEEVTRFKAK LHQTQMQLSELSIRLESRTECAKDLTQLLWSQNDRLTRLLERLGFSISREEDGTMHIQ RTPRSERSLATTANPNDSDPSSSLRRSSTLNARPVTDNADLELLQWMSSATPEAEVEK YKIFMGLFGSFDMDVFADAVYRRVKDVEHVARKLQREARAYREKAHSFQKEAHDKIAF KHFKEGDLALFLPTRNQSTGAWAAFNVGFPHYFLREQDSHRLRNREWLVARIMRIQER VVDLSKSLQHDQAGETRKDGARGETESLDDDENDNPFDLSDGLRWYLIEAVEDKPGAP STPGLAKSTVAANNVEAMADMRTQGHISKARGLTGRGGTPLGIEGVSKTLSKSLESRR SSTGSRKTLPFVIGASSRGRESALASETNSLRAVPADNNSSAPTNAAQPYMSPTDKLK DASLQETPLQTNSISAEGESMTIGARNDQAILQPSQTHSEVRNETESLIGS NEUTE1DRAFT_91606 MTASALSKWPTCLACLRRLAQPFGTSAARHGEPRARAVPVIRPI VHIQTRAASHRMRLQDQGVVVRLLEDIPKFGRKHAIFRIERGRMRNEWFPKNKAEYMT PARFQELGLTRDAIGEVDRSFVILSALEAATRPKPEEQKTEEPVPEVQISQVNVPDVT PETAHALLSELIPNTLTFHREPVPIPISKPKPALGPKISPLIARHVPASTPETPSTGE ARRAIFGSVSSSDILNQIKALVSGHEEASRIILEPSSVKIGGLAEDNDRIRHLGRWEI EIAVARAGGLDPVRKSVEILPSAQ NEUTE1DRAFT_91610 MTSIPQPIRPLGPCEVYSSSRHALGFYRCLANTCRYAVPWSVLQ GKSVPDVLEAAVANLVLRLPRLSVAITGDEASRPYFASVSSLDLSYHLECVELRAELD FHARDSHLLHMLEAQHNRLWPDVGFRPPWKVLAVYDPRPSQLEDRLILDIVLAIHHSL ADGRSTAIFQASLLDELNKPLVRPSCLEDHVLRMPSKPHGHISPPQEELVKFTTSWRF LAGTLWNEFVSGWLCKPATDLPWAGAPIRPDPYQTRLRLVTIPAKAVSQLLANCRANG TTLTPLLHVLILTSLARRLTAEAATSFQSCTPVDLRPFIQSGFHVADPAEVFGVLVTS ASHSFNSSRVSGLREQASGEKIWSLAQTLRQELKDWVETIPQDDMVSMLRWIANWRGF WLNKVNKPREHTFEVSNIGSLHGSPENTANADRETGSKWQIVRSVMSQCAIVAGPALC ASVSGVVGGPISIALSWQEGIIESELVEGVAHDLQLWMNQGGPVHGQRLP NEUTE1DRAFT_133408 MEPSGSDGVDVLASRANGRFASSRAGNTPSRRPSVWSVSRSKSK DKHGASGRGDAAESRPVSSGSSDSKHASPAITRIPRPTKQQRSLQKRTPYTLTDAYKQ VEAEEAAQNTPSPAPRTWRTRSSSSTRNKLHKNPPTAFHRQMSSSGRDEPFMSASSPG ALVRPSDVSDSDIDEKLHQHAMAQADAEKPASRANSILSRSKFRSFAEMGKDLARKTS RSSLDSRSNWLSRRLSGVRNDASSGISTHETPSWEQIGDSVLLQASTVAPAEPVTRPV TMPPNHGAHWNKNAEDDVAADDTQTFDGLFSNLARSNSKIDEIRLLEMEAASMFPDDE RGSATGPNQLTRGRKSSRTNTKIEELRARESRSLLRKAPIVSKLDGISEYDPEYPSRP PSSELDRKPSKESARTSTSGERLHISDDLSKLPVRRSISSGRSHTRDGDGRVTPEEAP TPAFDIGASALVPHGQTDARDNLLKSAHDKEGQNKAGTAHRESHGDRNSQESHCQSQI TGDNLLTKEALPRGEDQSVDQGRELKGAIRQKKLDSAKSEVRLSVGFTGLHRDLSVDT ASSCRSNPTQSESDPTERIYGEMSLFAPMENQSERGSQRALSPDFHEDDEYEAPGQTP KPNRAEVVTQSLPTARASTGPATMVKIEDLEEPSRFAVKPMDDFSDTEVAGGGSIQGR DGATHSKASSRARGRKRSMSPRKIKHTLSARGDRTLDRASLVRPHRRSKSLSQLHLPL VNSANPPTVKDDLFDIHRTNQIEDSTLDDLADLLESKDNVRGPAYSASIVPRAGARNE TMDGDNDIEVYKRMSESLKTGLLGIRTAKQGIERLETSVFHADARDPSHQHIGDASSM CSACQDDSSDGRTKVTYVHLPLPQLYQRQPKFKFTLLGLILFLVSLWYIGESTMCSMY CKTQYCYPGKPCNWSPDDPVWGYSIPVKLDQLVTGGRGKAFANQATLDITDWIADMWD AATGADITKVDTSHYTWEQKRRHRRRLLKKGLVRPSGERKRGKARRAS NEUTE1DRAFT_50971 MGGSMFSSGNDPLHTPRMPPHIYHHVKAACHAALRTMFVCVAGP IEGPEKEDYGDLDILVAMETRLVFPTSPRRCVPRGVEELAVDIKAALNAERSIVNPCN NSIHLAIPWPKDEPQLGEDRQRYIQVDICICKNMDQMFWALFKSAHSDAWTLLGSMIR PFGLTADDEGLWLRIPEIEKLDRKKSRVLLTTDPVEVLHFLGMQVEGYWSEPFPTVDA MFNYIATCRFFTVNESSSGPPQDNSSTKRNLKTRPIFRKWFYDFLPRLRADGRFEQQC QSVSGINDLRVHVRDEAFRAFNVEPIYWAQLKDWRLKKEPEAVKNLIKSAIPNDLDPQ YRGSLLSAIKKIIMEDNRELGWLRPISLRDDEGFYDKDMTRAFVEENWEAIGKVAFKK YSDAYYAGLQSKKLKNAHGTAIQSQ NEUTE1DRAFT_96889 MENGATSQSEGKDPSGFLGEIIGNPVTVKLNSGVVYKGELQSVD GYMNIALEKTEEFINGVKRRSYGDAFVRGNNVMYISAD NEUTE1DRAFT_72918 MALFPRGFYGSYGSDPSFTNLFRLLDDFDTYTREVQGSAPETGS RRHTQPTRTFSPKFDVRETEQTYELHGELPGIDRDNVQIEFTDPQTIVIRGRVERNYT AGTPPAQVAGVLTEKGEPHSPAAHHATVEDDVDEDNRSEKPKAPAEKYWVSERSIGEF SRTFSFPGRVDQNAVSASLNNGILTITVPKAKKHETIRIAIN NEUTE1DRAFT_91617 MANPFRHLRRSAYLTEPTMVTLPVVSSLASNRIQTRITITNQEL LLLDRRRRLMGKRYRGIMRHQVPILVSHARCNRSMELKWLQPLAALGKVMLHRTGAID CNCAFANFQDEATCAAAQQKLHDSKFQSVRLVSRLRKSTVEGALGVTAPTGPAASTPP GSSTQNVGGFREGAGGTVVNGSTEMAAAVPTKSSNAETKSNAAPVIHDMAVQRDRYFV LKSLTVEDLELSVRTKVWATQSHNEETLNNAFKNADNVYLIFSANKSGEYFGYARMTS SIDDDPAAAIEFAPKAQPSSKVDLPKAIPTEATEFAPKGRIIDDSARGTIFWEVVRED EGVGRTSQELNSVAIEDSLQAASEEDTASVKSTEGVGGEPKAWGKPFRLEWVSTIRLP FYRTRGLRNPWNSNREVKIARDGTELQPVVGRKLISLFNRAQSPGRLVCSAPAQHLMK VECEEGLLCCEWKDYQSEQVPGHGWGDTWYAIASWFTAWIFVRATQCVNSWKPTILSG RRSVL NEUTE1DRAFT_118969 MAAMFSQNPLMNGPNYSFNDAPRTAAPEGARQHRFDPYTDNGGS TLAIAGADFTIMAGDTRLTSGYSINSRYHPKLFKIGGTTADQKDATIVLSVVGFAADG EALKERLDAICKMYRYRHGKPMSVKACAQRLSTILYQKRFFPYYVYAILGGLDEEGKG AIYSYDPVGSYEREQCRAGGAAASLIMPFLDNQVNFKNQYEPGSGVGHALQERERKPL TRSEGEVLVKDAFDGAVERHIEVGDGLQMMVITKDGIEEVLLPLKKD NEUTE1DRAFT_150315 MGRSIRSKALLQIAALSASNPAASFDQSDLDKLCRVSVTQGHSA PGAVNGRGPSSPSSVPPGGPNVGRIPMTIKEYEVLFALCKAAPSLTTAQSAQKLTRHL VPYLMDSHSQTFALSPFFREVEPSPTESLSYHVTTALLSLGIGHATHVQQEVSDSIWA YLKACAHATQTLTEANYEGPAALDDAILTATITVALLGFLDAACAQADFWKSGGRLSL VERLRNMLSPPFLVAVETAFSIIRNSHSHDRYAKEWRRWLRLYDEAGRPLGALLLQRS FMRLLVAATSLLVAEPEALRSTHVLDILMSGDGLRQSLSDKNGDADSRSVELYATVAM DQMNDLESSADFDSLSPAKQRLAFAVKGAALISYLNAATLNEEAADSDVLMPWLEDTL GDPSSMVDEDLASIVLKSMALLCRIIPSYAANVSRLLPRFIVQGGYKSQTVTVASKCL AYVLQMLSKDAVITTLYTLGNVLSPGGERATTNGAGGETRNDTLEVYGNRQSAGSAIS LQLSGEEESSAVQGNAVQAICEIAGICKDVKVTGLAQSMLAQKIVRLSSPLDTRIITG AATLAISGSQSEFRNLLKHYAALAHNAVVENREGILLAIGRARNYLSCNITRDSPLYS IYLEHLLDGIISKGDAHGLPSQSAKESDMELAAREIAQLLQPLALFMSTNANDIGFGA EADDDTYSMLRDSWFNIVVHGFTPSTDRGKRYAGELRVMAIYSPPLVLDSRGEQDESD IELNPILRRGESSDRESLQKKYLSELIPSRAGEIKGLSYRKVIFLNAAYLVESLRADA GDCTKALSYFNEPSMRRGEVSRTMDGIMDAVMSRYLRRALGGSNPTFSAQFAAGQLAR IFCACCHRIDRVQQAAFGCADRIIRDIPSALCQRSSLFALLELLSLMWTSCLEAETDR YEPRTTFKSSRGNVTVELSHDYQFRHLTLERLHRKAKEWLTSAVNTAPADVKGLLQTY LSDFDDDASYGHMSLGRSFAVDIGSVIPSTDQRLALLDVLGDYRINTASDFMAQYTKR QEYRYTEALPDRSLEWQGFMHPDQATSSLPSVTSDSLDAITALAHIEKRVLSRKTTPL TDVRNTLRRAAALLCRSTDDECSIVHYLVSIPFGIFTKQSIKLGVSLWLGVINENPRM EPRILAEIAQQWEFSIQKRLGLFSSTICNPDPFFLKEEFAPADSASVAKRKQLVHNIL APHTRLLQFFASHYNATRLGNPDTQQIFLRLLEVTLTAMKLSHPHPMAREIRFQTVLF GLRVLATSTTMGTTAQWRLKDLVLSAGLSWFCAAPCWSFGSNVLQLKTEITLISDVLM ALKTTATVGAQTVGKRSLASKERLLQLLLENEQSRLTVWVQPLGEPLKTPHHLIHQTT ANKNLVEAAIFPLVRIAWLESPSLAVHLASRFPYPRVKQEVRWLLLNFPAKAIKEPEA VATLVDGSLPEDVSFQLKYLLFWAPVNPITSITFFLPAYRNHPYLIQYAMRALESHSV DVTFFYVPQIVQTLRYDALGYVERYILETAQFSQLFAHQIIWNMKANAYKDEDATIPD AIKPTLDKVMGHMIDSFSTVDREFYEREFAFFDEVTSISGKLKPFIKKSKPEKKQKIE EELRNIKVEVGVYLPSNPDGVVIGIDRKSGKPLQSHAKAPFMATFRIQKSKGGVEETE DMIEESEADSDDAAKASTIEVWQSAIFKVGDDCRQDVLALQMIAAFRGIFQTVGLDVF VFPYRVTATAPGCGVIDVLPNSISRDMLGREAVNGLHDYFVSKYGNEDSLRFQQARNN FVKSMAAYSVISFLLQFKDRHNGNIMIDDAGHILHIDFGFCFDIAPGGIKFERAPFKL TSEMLAVMGGSPDHQSFKWFEELCIKAFLASRQYAEKLSQIVLLMMDSGLPCFKPESV KHFKERFVLEKSEREAADFMKDLIRKSYASYSTGLYDQFQLLTNGIPY NEUTE1DRAFT_91627 MASPNYAHSYPGGRSGPFLCLQEPQPPAPKGHSALASLIRNRPG ISLPNPLNPFRDEEEALAGLGVERRLVDDESPRRDERRMSAVLNGPHMRSMRLIGNSN PRYRWERYWKTEDELATMKKSIREYYERCNYLVQQYLYIDKLLDSSLPHDLLNEYNDL PPWAFRGGLEAPVTTPPTSGAAAEPAPTFSKTRKVKRTPKDIYRPTETTPLFGGDDHA VDDEDSDQVASQETRPEIPWLEDDDVDSSASIVTLAIYINFAANAILLVGKLAVVLTV PSVSVLASLVDAILDFLSTAIVWITTWLISRQDQYRYPIGRRRLEPIGVLVFSVIMIT SFAQVALEAIQRLMSNDREVIELGVPAIAIMLSTVVIKGMCWLWCRLIKNSSVQALAS DASTDVIFNAGSIAFPLIGYYCQIWWLDALGGLLLSLVVIFNWSQTSGEHIRHLTGFS ATADQRNILLYLTMRFAKTIKQIQGLQAYHSGDKLNVEVDIVLDASTSLKDSHDLAES LQYVIESVPIVDRAFVHVDYASYNLPTHMEQQHNI NEUTE1DRAFT_72933 MPRFSVAFGRRKSTAENADNAPAEPSFRVLERPDAGGNKFHEAG KSFDGAMRLGGKAPSRPKTSGSDIHHDNLFAGINRGSASSSTTKATSTDNSSRHSNSS TAPSSADSGDVPVPPIPKSSSAGLLKAAGRTFSFGGGKKPLPVSPAEQEPVPPLNSGA QDYNQILAPTRPRATTTSTSTTVTPPRVEDDLGMDLGGDFEKMVLGNEKRVTMVGKQN NQNSQTTQPGLGPRSLTGNRLSQPSPIQVDRTAKVEPAQPSWTSQRSNDQLLSPTSPP HSPRNREMTSPPSRHMSPLAREATRPNASPESNPRKPLLAHQNSDTEQGDGEDEEARL LMDSLSTVSKYMDEGGAQSISGPSTGSRYRRGGNGLPSGFKDTALGDDDSLFDTNHSY AYETTVSRNRAQDMQSQGANKVMTPAEFEKYRKDKERQDRERQMDIARNQDKSTAGEE DDEDNYDDEEDDLEKAKQQAKQRKKQEAHMSVYRQQMMKVTGESANAPMPRPNLQMSF STPNLQISATGPATSDNSDEDEEVPLAILAAHGFPNKNRPPTRLSTMMSNPNLRAAQE PSYQRPGSAAGGAAAAIGGHLPAFARNLPQDPFLGAGLVRNNPRENFALGGGSPAPVY PNPAGPPGGLIGVIASEERARAMRRGSPHIEAQRPNSGAATFDPLAGIPPHMLYPNKP NLTPGDQAQIQMTQQMQQFMQMQMQFMQMMAGQNGTGAPRPEGHMATGSIGSIGSAAG MSGGFAGLPPMHGVPGGLGLGGPDLRHSFMGNESMLDLPPPRGDAQKRTMSMVQPSSA SWIHPQQPAGFAPSIRVTGGYAPSIAPSERSNVGLPGRYRPVSQMAPPALPAMSATVQ PLGASHMRKSSTMSGVNQPTVTAKQSGSASDDDDDEQGWEDMKAKREKKRSLWKRKKS VSGDAGTLIM NEUTE1DRAFT_118973 MVHTKGPAPQHHDGSGLRIGIIHARWNDTIIEPLLAGTKAKLLD CGVKESNIVVQSVPGSWELPIAVQRLYSASQVQSSSSGIGTSAGDLLGSSTTDLASLS NTAASAVSTGPFDALIAIGVLIKGETMHFEYIADTVSQGLMRVSLDTGVPVIFGVLTV LTEEQAQARAGLIPGSHNHGEDWGLAAVEMAVKRKDWAAGKIE NEUTE1DRAFT_126302 MMDLEPRWDGDSMATASSEWMYRGSSSESLPSRSFTASFLSCSH LHLNPTVNIGTMRSSALLSGAILAILQAIPSSALPASDAKSAHDPIFGYGIEDIIWEV KAHPDGPTMNVTGTIQQVYDALDKANPNFRKDFGIDNTADSPVFATATESDSSYELES LVCNVFDYAQRTATTKGIEYLNNVPGTPANGPGPGNCGRVSCSYESAIYWCNDNPDVK SLDSYGSIGWAAQFVLNSHNRDCATIWGELPEDNGVKGRVFVKGNWNVVVRKDDC NEUTE1DRAFT_133417 MPIFALPEDTRRLLGSALVITSPIFLVKELLDNALDAGATTVDI LISPNTVDRVEVRDNGSGISPSDFHHLGRPGHTSKLSSLKDLESVGCKSLGFRGVALA SAAALAGIAICTRTSAESVATILHFSREGVAAARGHKPAPAGTSVCVTDLFGAYPVRH KRAIGEATATVLKVKGLLECYALARPQVKLSFKVLGGKQSWSYVPTSGAALKDAVVQV MGRELAAQCMLQTSPFPVSDTETKIPQTCSLPLAQQPSKFVFEAYLPTTTADIRKICK GPFISVDSRPLSFTRGTAKKLKAVFEKHFKGSLIPENSSKLPGSPFIRLDIRCPLGSY DPNVEPSKYDVLFHNEELVLREFESFLLSIYPAKRNDAGASSMDLSTEANGCVYDTGV ADEHISTPQVETVRADSGPRIGSQRKRPVTPSRNDRRLSISSTAPLVQSWSVDMSAGD GALGDGDDDLDVPTQTGKGAAPTTPPTDEEPPNQSKEGLNPWTIAKLVAPRRQTQRNL SSPTRESGHVGPDEVPPPRGQPVEVPGRRGNTQDQPLRKTQPTVENNRTTTRTQTCNA LLNVGEAHSQPANQRNSKPTVALEQNPYTTQGSGRRPADRRSYPTDAGRSLGMVQSKL SFGGGYYKRQRKTQTNEIHELEPLENTVPNANGGFVRPNQPLMGSPGQHTGRRTMDNT RRRTIAQTKANSLTDMLSGEMPVKSRTVDDLSSSIVEGEEGVEAALPTNDPRGYLIRR QQSLADKSQRRKIQRLKTNLLPLETTPLGFETHQLALTLLVDPQTLARSMERTSLLDS YLMEGALEDTLVNSISPEEITALESRVKLLLSQTRHQS NEUTE1DRAFT_126304 MPVVSPFDLRFAPSTALAPNRSSELEDGSVHVPGLCPVSAVCAQ MSAGCCVDCVNLTSQKMIPPGASSSHVSSRSNVRSEQPSTPLLTVLSSSRMARTDKDG PRLKCLFLLALRLLWVQSVTAVLIPFDNCLDKSYQMDQPTRLQWKPLFIDASFDDQDD RHTLKLTMWGNVTGAATSGNITLPPPGSPDWNDTSKHDGKIVAVPEPDSANPKVTTLF THINVLTYSEATERTDFCNQSLRNAHCPLGPVWEVNSTNREDLPYVTYTKDFYSSYAF SSFASEFSIVYGDSGNTQIGCVSATVTPDLGNLAWMLKFLPLIVLVFVGVATIFAAIF SPWGTSDVFHWTSNYGRDPDLLRLVTPGFGDCLQYIQFVVLTGGLTLNYPGFYQPIVS QGSWSALMFNQSFVSDSPGWISLRDGIYFTEGKYGLQRLAHLVGVGEVEDVWAGMMVW LMAIIGAVFVLTQGAFLVKWLYRSVRKVPEEDLRHKNLPFTLGNVVRLVLNYFLLPIV ALSTFQLVVATHSPAYTVALAVVTLVLIIGFAVWLLHLIATSRPRAFLFDDLPTVLLY GPLYNTYSDEAAAFALIPVFLSFLRGIAIGAVQPAGVAQVVLLAICEIIQILTLHAFR PFHSPTSMNAYHTLFSGLRLVSILMMVAFVPSLEVEEGLKGWVGYAILVVHAGVLVLG FFLNAVQTIVEVVARLLGAGGDDVRGQSRGGLSKIFGMRQLSRRMPRRAGGPISHSQL SSAAMLDTDETFKAGYVMNGGRIQSELAGSMGLMTKHHRHSSSVLEDVSLNTAVRNLD NGSFTPTTPGEANSFSFAASAQPQGRSPSAFGMPTVDPYFRPPRRRTTTESAYSPGAK PGGSWTSADWSQKRLSQSGVPLNDTAEFDPQTSRSATPGPYMIAYPPRTDYTTREVDY YYGLRGERLNSDAPSRKLGTGPADPTGPMASAAGWLRNILGRNSKEKGKGFEVVRSAR MPPAMRTGAVDYEDESPPEGIPVAMGVIRNGPIESDEEDNVRQANADRDTTARTAAEA VPLRAAEGTNDDMRDDTETYHDSEGLPAEPDLATVSQSSRTQTVTRRGNRTSNEDNGP SPLLSPPRRSSKRNSYHHTRNGSVSNTPPAQPVLESGPQNARPASSLAVSARLPFERT NSQKRLSSSSVGVSEDLSSGDAYAGSRTGSGLNESYGFVNQGNVNRVEQGHEPDLLGS VAEVGIIGRDNSRGSSQTGNTSRYSGL NEUTE1DRAFT_142725 KKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQNASH YLLSTNDKTIKLWKVFEKSLKVVAENNLSHDLTPASVAGGGGAPRALPHTQFRSPADL KLPRLTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRINLWNLNIQDQ SFNIVDIKPANMEELTEVITAAEFHPLSCNWFMYASSKGTIKLADMRERALCDQHAKM FEQEEDPSSRSFFSEIISSISDVRFSHDGRYILSRDYLTVKIWDVNMERQPVKTIPIH EHLRPRLCDTYENDSIFDKFEVVFSGDAKNVMTGSYNNNFMIYPSDPDKEVEVVLQAD KSAFKAKKVGVPTPINSSTSPTANGGKKGNSRAGSPAAAGQGQRMRKETDADQIDFNK KILHMSWHPFEDSIAIAATNNLFVFSAL NEUTE1DRAFT_114768 MTKPVSYQSSSWDELQTKLNAVPHRGHGRQTKTPNLEPPSDNSV QVLNNLNHSTPNLDCQKHEPTTTLIFKHTFPRITEYSAFVLEQLSQYHHQHSSATTVA WKAQQDSSPQEPQRRRRRKQDKNLRGSHCRPNESAIWIKFPPGSECINPNTVFLLQNA SERTNEQTNPQPKIMSDQHHAPTSRSKPPPPGEEEASAVLKLGEFQDVDTLTLSEASL VINALMTKRRKDRKDRNETDVLNKTLDYLDAFARFKQKENVEAVERLLSARKELTKFE RAQIGSLCCDSADECKTLIPSLADKITDDELQELLDEMAKLMST NEUTE1DRAFT_114769 MALDLSRKPPHVHLPEAAPLVAQRDGGNCPKGYQWYTCNVAQGI PYAGCCAMDPCRPDMVDCPDRYKPGGEDATTTITKTATASTSAFTSTESDKESSWTST TSDTSSTSTISSASSTPSATVTATPDAPPIVVHKELSAGAIAGIAVGCSLAFIFFAIS VCMWWGRRRAKKDEKKDAMETANVSSFLGPTDRSNPAETAQNKNVSQSSSHFPLGSYQ PVPTPIPCEYRGRDATSSGSPTNTNWPGSPMDYESHRFSGATTMSTPASHNSASTPAS PNYPSRSASELDSTQAYQQGGWGKRFEPMHEIPELESNERPPQHTRGPNN NEUTE1DRAFT_150323 MATKSSSAAAAGGKRKAFGKHNEDGRTKAVKTTSGKKHIKAEAE DNESSDESSDAEFHTGETTTSTPNESGNGSNETKSGTSSREAHAKQKQLAQERKNAKP LADEVHRTKKLWEKLRRKSHVLKEERQQLVEELFTIITGRIKDFVLKHDAVRAVQTAV KYATPVQRRQIAKELQGTYAQLAESRYAKFLIGKLLVQNDKEIRDIIVPEFYGKVRKL INHAEGSWILDDVYRGVVTKEQKANLLREWYGPEFALFRQPKGTEVTGDLSKILADEP SKRTTAMKYLFDMANGLIQKKMTGFTMLHDALLQYFLNLNPESEEFKEFFETIKEDET GDLLKNMAFTKSGARLVCLLLAHGTAKDRKQLLKAYKDTYQLMSGDNHAHAIILAAYD LLDDTVLTSKTIFSEILGKNEEKDAEHVIFLANDLNARTTVLYLFEGMSKALFPASHA TDLEILKEIHEIRTKTSKKDAEVRRKELVTAMSSQLLAAIASSPADLVATSFGCQFVT DVLLSATGDKKAALEAIASTAGGDPNAEAPKDAYQALPPHISTTIHGGRMFKTLIAGG RFDKATGSIQKVDPPLNFADLLYPVIKDHIVQWATGPSSWSVLAMLEASDFSSKKELL KTLKSNKKALIKAATEAPASKKEEMEEKSKAKKGKDTSAPKGNQGSKLLLEKLDA NEUTE1DRAFT_72951 MGREEQIEEREVLDSIFPDEIQDISDTEYRITITLDIPDDDAEE TPSMVLTVRYPEDYPDKPPFLELASAPNAPPHQYINIADDRFQLLEGIQETIEENLGM AMIFTVVSALKDAAEQLAVERRDAAIKAQEEAALAAEREENKKFQGTPVNRETFLKWR ESFLQELEDQRIKEEEEKAAEMKKARIKEPVKLTGKQLWERGLAGKGDEDLEGDDDVP VEEVQKLTV NEUTE1DRAFT_72956 MAPTKPKNKSQKDKAKARARGAAQPSNVNARELLNNASAMLEVG DIENAAKTAKSAYKAIGEDGKLAGAALSLLGHIYVELGEIEAAREFFFAAVKTDEDGT LPEDVAGGPEKFLWLAQLSEEGGQDSVSWYDRGASALRLQIQTLSDELEKRPHGKEQQ ELLITEKKRRLAAILCAVAEVYMTDLSWEEDAEQRCEALITEAIMLAPELADTWQTVA NVRISQTRVDEAKEALRRSMALWELLEPEDPNVPQFPTRVSLVRLLIEVGMEEKAIDV AERLIIEDDQAVEVWYLSGYGRYLLGEKIKSGELQAPEGETWQDIWRLSRKYLAQCLR TYAAIEYEDERLGAHAQELLDSIRGELGVVGAEEDEVW NEUTE1DRAFT_16460 AAFAFPSCVESCVNVSGCGTDNPQCMCKFAGKGIFLELALTCMS YYCEAELRRADDTLIDIMEAGCQAIRQPIPEEKLEMAEAWASRLVKDLPPSPTIATTT VHHPLPTTATTTHVPSPATTTKPTVVPTTLESPSSISTSPSAATASAPESTSSINTAT TLRPQTSSTKPANEAATSAPAPKPRPDSSPYGPFVGPVPSSAVMAVMVSLPFLHWELT FVYLAVAGFLFA NEUTE1DRAFT_72957 MSYQLQEDINTQGILQAEILPGASERLDELKDDFTQVYHILSDV KREILTQIPKWAVQYVQECTIVPRLGFLIVVALNPETGEGAFNGTGLADDEWHQVVKD EQRAYYKNRTMVELDDMYGDLTEEIADEEVEVIVELAGAVSEHEDALIRALELLGELD SLLALAFAAEKYNWAPPKMTSENIIDIEGGRHPLQELLVPSFIPNDCILRGGCASENG NEIDFVGVRDEPKEPSALVLTGPNNSGKSIYMKQVALIIYLAHIGSYVPVTRATIGVT DRIFTRVATRETAMDDESAFMTDLKQAAFSINFATRRSLILADEFGKGTTMEAGAAVF TAYLHHFLELDADRPRMLVSTHFHDVFNRGFLKPEEGVAYAHMEVRLNPEAEEREEHI TYLYRLVHGRAEHSLGLMCAAINHIEDDVLKRAGDILGMLERDEDIEAVFASPSHEDI EALKAAELVARRFLATDIPLGGRDTSSGVCSIRDILRGFLSYKEDGGDVGDVDSE NEUTE1DRAFT_105842 MTKDIEPSNIGGIVIAKPLSIPFEELVEDFAVSGVAEENRRESL FDALGRVGSFGELCGSRCKGEEVDGKRGSTGLGDLKFRPGGVDRNDDDDDVDRLSEAV EPVHLETWIAMTPGAVKFPRVWKMVEQHLNVFQGLKRSRLHFYERKK NEUTE1DRAFT_91659 MTWISNTITVFGGLLLAHACYSAQEHAALQSFRAAATSTLTSST PVASSLPVDIAIETIAATLVIVLGLVLGTRPLRPIQWRVWAGKIEREGEEGFLGNNGE VEKDYMGNPFTYLESRPSFVDIRRQRKEFAEWIKNGGGGTGKK NEUTE1DRAFT_126312 MSQAPNSSIYITPVPVPVTGSPPLCCWRTWRQCPSRHIRNQGQD MGHRSPILYPTLKVLIPDFNNSISAPSVSNLNITVSYTDKTAVPMALSPSTPATSPPT PARHAELMNSNHRNSPLRNLTRSSKIEKKPPRKKKSSTDSKKLEGKVAALLLDKPLSQ QKVPEDLVLTNMEDYVNRGKEVRQREVESGKNPGKVKRPMNAFMLYRKTYQGVAKGWA DEHNHQVVSRVCGMSWPMEPEQVREQFKAWAEKERENHQLAFPDYKFTPTKPSKAPKY KDGNESDCSDPEWDPTGSIRSRTLPRARSLTRASSDDFDDHPPYVMGRLTYPPYGYSA GMHNTMAAFAGHRSPFEYSNPSKAMPAPYEHHPGALRDGSYYGAQVHTPPRHLHHGMS VEDIVMRRTPSPTMAYSGGRPHGLSMSNAGAHDLNQYSQPMFTDHFTASPAEYDLPTQ QSLLLKQEHYDHEYEQKYDSHLEPKYHHHSHDSQHDQKYDPHHHERKYHVLDEPRIDP SLENVYTTSAGAGIHSMIGNDGGGGGSEDKTSMTQATTSSTQSAWQPNTLGSSGGAGP MASGADSDNGSGSQFVDSFMFEDEHMRALSGGNWHVESVDPPLPDGSHFDTSWMMDQP AVADAVVKTELVSHTP NEUTE1DRAFT_118979 NTCSWSPRLSSFSCVCPHQKSATLSKPTKAVSPRTPFSFISFSE RGRHGSQPVNKHLATFLPYAATCICPVPSSRKQRRIGTAISSST NEUTE1DRAFT_133425 MPTSRKRALQEGDTSNNAQPPKEPSVLHRIRNMWQFANLFQFIL LFGKALKLDDSLDIEDLEAECLKPGSMVLQDIGLGILKFLSSHRGLTHDVFEEYTRRQ FLAKAPEKNPFGTEDLPSKFADFDVFTKIRVLQQMSQLVMCTPERLRERTEEQKDMDQ TNWRIEPYGWDSKDRTYFVLDDNRIYRLTEAPAPAPKPKKNTKKAKAVSRSSKRRRVS EAVSEGGDAGDEDSVTGQPDEREDDSLGGMKWECLAVSLDEVRQFLATIQKSKDPNEK ILREQIQDHLVPILEKQEESKKRKQLQREKELQALEKMAHAKRSSRIAGKLEQQKAEE QAREEERKRQEEEAARRKKEQRQAKMERERENRLMSREQRLKEREIRRLQAEEELAQL SEDSKSVGSGPGRMSERHRQAAIEKNRRALQELQDEEDDWIFDCICGVYGQVDDGTHS VACERCNVWQHSKCLDIAEKDAEQEDFHFICSSCRRSEAEKSQQPRVIKLKVNRHASS SPPPQQVVSGISYSQAGRSQLVVELGAQAMSRSSTDRISEENPRSGSQNGPSGQQEAR TEPTDLPGEKEGTARPVSATGSIGHSPGRRELNPFSSPHPTLSPPNQSPGKLRAYDSI FHPSAPSSAVGREESHLKDEGLLPVLPPPAGNGRWASPSKQPQRPSSPLGTKSSPAAG ARIVSTPLPQLTPVQSDPHARNGVIDRSSPLPPSRAGLSPTKHSPPVPPRHQVNGSAS AGASPSGTGRAAPVFPPSAALAPSPPQQNLAPPLKPLKPADPAKSQPQT NEUTE1DRAFT_126315 MSDHPAPSPRRASVSSPSHQQSIPLCDLRPVSEEQEGGGGRTSV TNNNVRDSGAGLHMASPSAAPMSANLPGFSTYWEHPFPPGHDDRSAADSLKDHMALQF ALPPNIPGHGHEPLSSASYMPAANDPYSVPHPYYEERTDTDSVESDRVPLKPAAQPIG RLDAPEGEAVPRDSFQTVSDLGSGSSRPRNTMLGFDLENNFTADRYRSYGDSLVPSDG RRSRSLSTSGALSRAGSIMRAMSQRVVMISGEGDLIDQQTQRDRSRSPSMERPRTGHA SGPMLVDTSYPSQIEENPTEKRPESHHVFPQQHPAFRPRSRNPRNNPLKGKSLGIFSP DNPLRLWLCDLLVNPWAEPLILILIVLQAVLLAVEAAPDVLADGNGRPERWGSTRIDW AIFVLFVIFTIELIARIIVSGFIINAPEYEEAKNKRRFRERLAEQYRVIFRPQRQRSK AAPLLVRVSFLMGFFWLLFAIIGVQSFKSSLSRQCLWIDPDDPTNFQAAYTPDMTFCG GHIDNVTGETMPWVYSHEKESLIDLKNGTSRAKGFICPRGSICIRQENPFNGTVNFDD IGHSLELVFVIMSANTWSDLMYHTIRSDFLPSALFYGAGILIMLLWMTNLLIAVITSS FQVIREESKASAFTADEPPGMTSSRVDDTMRRPTLLQRIYNRTKPLWILIIAFGLLAQ SLRSARMKSDRERFVNIAEPVVTLLLDVEIIIRLAADIRGFHRRKRNLVDLGLAVATS IILVPPIRNSGQVYNWLTAFQILRVYRLVMVIPMTRKLIRLVLGNVTGIGNLMSFVFL ITFLMAIFAAQLFRGELPREDDGGEEIRIPFNTIYNSFLGMYQVMSTENWTDILYSVT ASTHHLHTAWIGAIFLVGWFILAYFILINMFIAVIQENFDVGEDVKRLEQVKAFLQRK ELGHSSSSNLALSKVFNFGRSRKQRDPLDYGPAMMEMLLKEAVVHDFLDDDMDPLQQS SPDGQPTSHLNSSAAMQHDGVTPGVLSSFWGKLVSVFTSREPNPFYSNVNLHGLGENL DPRTMAAQAMNATRRRQRQQREYLMRHPNYNNSLFIFKPRNPIRRLCQSLVGPGRGTE RFEGAGPNKYAWYTFSTLIYAAIVIMVVLACITTPLYQKEYFEKYGENAFDWFRWVDT GFAIVFTLEALIKVIADGFLWTPNAYFRSTWGVIDAIVLITLWINVITLFTRNGAVSR AVGAFKALRALRLLNISESAMDTFHSLLIVGGWKILSAAFVSISLLIPFAIYGVNLFH GKLLACNDSSEGIVSLDDCFGEWNSTPYNNDWPVLAPRSVANPYFSFDDFGSSLFILF QIVSQEGWVDVSFALQAITGRGLQPQSGAPYRGQGNALFLVVFNLLATVFVLTLFISV FMRNYTEQTGVAFLTAEQRSWLELRKLLRQISPSKSSYDESKNKWKIWCHKRAIEKRG KWYMAITSVLVLHLILLLSEFYSEPDWWTNTRDALFLLFTLIYIANIVIRIVGLGWSR FRKSSWDMFSLITVTGAFATTILFMTNTKLDTYIQLHKFFLVAIVLMLIPRNDALDQL FKTAAASLTTIGSLLATWLVFFLVFAIALTQTFSLTRFGDSTDYYVNLRTVPNALILL FRFSCGEGWNQVMEDFAKIKPPLCVESTNFSDSDCGSTAWARALFIAWNIISMYIFVN LFVSLIYESFSYVYQRTSGLAMVDRDEIRRFKEAWRSVDPSGTGFISKEAFPRLLGEL SGVFEMRIYESHDSVRQILEDVRGEDTSSIRHASIISTTQFQTSLDLKKLNERISQID VAKVRERRRRFNIFFEEVMVSADPERGISFTTVLMILAHYKIINDSKSLRLEEFLRRR SRLQRVEEEIHRRVVLGFFDMIYYSRKFQRHMELKRSARLTAIPQLGVPEIYVDNDHE NQTDNEQQNKDSNSKNSARASGSGSSTAAGTNFFESTPTNPFGSGSSTVNLGGGASFL SADDARAAHHRSWSGASADLSSFDTSYGHPLAGPRMNKSSNSASHRNTHAYSFELHDS SGIGGGNAPSPGGWGGGSGTMDSMGLGGGSALDDNNGGRSPGGEGAKRGSSVSPSKLS SMLDDSVWVASIRRSATMRRSQNDTDWGGGSRTSGGGYSGAY NEUTE1DRAFT_118981 MHNSRFHSLAARLTPHGLRTSLRSRRSRSSSSSSRTSSSDGEVE RLVSPTSSTASRSTLNRAGSNMSSLFGIRLVPSEPEDEEEKAGPKELTNVLEPRPTDD MYFCSLEEKMQMPSF NEUTE1DRAFT_105848 MGTSGPVYDALISLDKLTCWNTGSPDAEEGVGGNKREADHTEAA KALRKLQSCKNSFDGL NEUTE1DRAFT_55675 MALPFTTSQDPDSINGRLRRLMSRKRVRSKKEDKRRAVESPVPT TPIVALAKRYDPCSFRTRLADSGLAPELGQFMANHLVATTTTTTTTPKASNGCVAVER SLGRPGEVPPTPLRATMRVSSRTLRSRKRSRQEDDDGYTPSASTKIITVPSSILIVPS DEDGSDSLAPTPLSPGFDLVDIAFSTVEEFEDTEMVSWNDRRRDSGPINFDANMSTMR MPFSYQHPRGTRRSVVDMVVTTDSAGFASDEWSVIADASMIPTFGPSDVMKQPIHQAI VRSFVSRCRAAKRRMMTSRRHVSCRHSSTDMTAGQRASIGAVH NEUTE1DRAFT_126317 MPNLRTRNPRSLANENDENSTATTTRMTRAKAATLHVDELSMPA KTMQTKKTTTSTTTAATAARTVGKRNALGDVSNVTKVEVAGTKKAIAKPGLVSKAAQP SGIQKKTTTATSRTATTARRALSNKEPNKTVGPSAGAGTIPAKRKPPPSTSKLAPTKE SAPVESEPARKKIHVEEPEKKKVLKTEVKENDAPSKAAKPIAEPPAPVVRDVVPVQPV YPPGVKDLDTEDLEDPLMVAEYATEIFEYLRDLECKSVPNPQYMSHQDDLEWKTRGIL IDWLIEVHTRFHLLPETLFLAVNIIDRFLSEKVVQLDRLQLVGITAMFVASKYEEVLS PHIANFRHVADDGFTEAEILSAERFILSTLNYDLSYPNPMNFLRRISKADNYDIQSRT LGKYLMEISLLDHRFMPYRPSHVAAAAMYLARLILGRGEWDETIAYYAGYTEEEIEPV FHLMVDYLARPVIHEAFFKKYASKKFLKASILTRQWAKKNAVLYGVVDAEISLDKLKD RPVDQLP NEUTE1DRAFT_118984 MLQPQFRPLLAGTASFAQTVLGRTVARCYATKAATQPGSSTSTS NTSKDAKAKIVTPYVRDAGMMRTYKPHTPGIRHLKRPINDHLWKGRPYLPLTFPKKGQ SKGGRNHSGRVTVRHRGGGHKRRIRMVDFERWIPGPHTVLRIEYDPGRSAHIALVKEE ATGRKSYIVAADGMRAGDVVQSYRSGLPQDLLDSMGGVVDPGILAARTCWRGNCLPVS TIPVGTQIYCVGSRPDGKAVFCRSAGTYATIISKEEETREDGTKVMTGKFVNVRLQSG EIRRVSKDACATVGIASNIMHHYRQLGKAGRSRWLNIRPTVRGLAMNANDHPHGGGRG KSKGNRHPVSPWGTPAKGGYKTRRKSNVNKWVVTPRVRNMGVRRNKKTT NEUTE1DRAFT_150334 MPDDSEDLLIPPAHARSISPSHTGYTPPTSTTLMMDDLRHTRTG GLKRKRGGWVVVVSYVFDWVIIAVAGVIGYIFGAKTPNKRPFSLYDPNISFPFTVKET VPVWLAACISVIAPIFFIAVISLIFVPGATVPRGTPKALIWKRKLWELHIGWLGLALS IASAWLITNGMKNLYGKPRPDLLSRCQPDLANVAKYIVGGYANSSMNGHLVSANICMN PDKAKLDDGFRSYPSGHSSSAAAGLIYLSLFIASKFAITIPFLASGTNVDAASFSAFP SRTRHNTAMSAMGGPESYEMAPRGPAGSGGGRTYTGGSIGAAEEKRLARHTRAVAAVR LQAAAPPLYLLLICIIPWFASIFIASSRWFDFRHHGFDILFGYLIGLICAFFSFRYYH LPISQGAGWAWGPRSRDKAFWAGVGSYSYSTDHNVGEYYRAGDEEEALSPDSLDHGSS YGRPVQVRANGTGLESNVGPDQPGGIITGRKPPSLDVDQ NEUTE1DRAFT_91678 MDDFVSESESDYASYWRDWFISSRGNEYFCEIDEDYITDRFNLT GLNTEVQYYQYALDLITDVFDLDCDDDMRETIEKSARHLYGLVHARYIVTTRGLQKMF EKYKKADFGKCPRVMCSSHPLLPMGLSDVPNSKPVKLYCARCEDIYNPKSSRHAAIDG AYFGTSFHNIFFQVYPTLVPAKSVERYIPRCYGFKVHAAAALVRWQNSQRDEMRRRLR KLEVESGFKDAEDEAELDDDDEEEEEEEEEEEELAAMDEAEGAQQQHAAAAAGTAPGG VAAGGEGVH NEUTE1DRAFT_126321 MLRPDAAIFVVRTSLGYLITYSLATDADSRVYKPHFSSHTNVQK RRQTHAGGPGAIAPDQILWGPGEGSGVRDVSVRFRMVIKVDAGIESALALDDELVVAT RKPAAVQCIRWTPDSSGSQTSTELLSRMGWVDKKVMVREMTHDRRMNLSTWVTSDGKA YAVQRITGSQLEPDTNQPPDPKKLFKGYCFYTPQTPGEQAIRCIVNAVFSLIAVGCAD GNIRVFSAKDYSGNIPASHVHNLPASNHTCGRLTTLSYSPDGYCLFAGYEKGWATWSV YGKPLSNSFGADQTIASTTGEEWISGVLDAAWIGGGCELLLVGRAHESIWLLEMARSA VTGCYNSANLFRTVLQSTSSVMVYRGYDLPDLTSISAEPGLWHTARIPASYLMNHWPI RCTAISSDGRYVAVAGRRGLAHYSVNSGRWKTFVDGDAENQFMVKGGMCWYQNILVAA VEANRSFELRLYSREASLDSSNVLHTQEMSAPVVLVTPSGEDSLLVYTYDNLLYHFIF APFGGTVRLVEVGHIAFHGIIRSPARVRGLSWILPESQLLDGDPSQDVAHASVLFLVD GKLVLLRPSYNEGGLKYDMRVIANNLEFYVSMRDQPFVGGVLTPSEKQVFTAAADDSL RNSLWIFDGSEIKTWTDVEPVLRATSGEATRELPPMTSIPIDFYPLSALLSKAIVLGV ESDLIQRRDVSFSFFRFSIRTHLFLPDILRFYLSNNRPIEALRLAQQYEHLEYFAHGL EILLHHVLDEEVDAHPPPAPEHAILPRVLSLLSSFKQYLDIVVQCTRKTEVRSWRTLF AYLPPPQELFEESLQRGSLKTAGGYLLILHTFDELATASEQSVRLLSRAMREGDWELC KELARFLAALDETGDTLREAMELAKMRVKQESELEDGHGSVGSGLGTMLGVPQGGGAN GASSTTSSLISNTKGIIGSDWDADRRTISDAGSVVSANRYEG NEUTE1DRAFT_91684 MAPLLRPRYSLPKEKFSAYFGPLKSQSYNDTTSGPRGGGGSHHS LSTIAWNPLGTLIATGAVDKTLRVWNPEKPNVKFSTELKGHASPIEKVAFNPVKDAEL CSVSSDGAVKIWDVRTKACVNEVKVSGHATSLAWAPDGSSLLVGSKNGDLFHIQPSQS SIISTHHQPVGTNQMAFCWSGDKVFLPTNEGRIRILSYPDFEPVLHVNYAVPEGESTE FTLKGHTASCLTAELSPTGKYLATGGADSIISLFDTKDWICQRTVTRMVGPVKSISFT FDGSYVVGGCEEGGSLEVTHTETGEHVHTFKTAGTCEAVAWAPTRYCLAYSDLGVLRI IGVDTDRK NEUTE1DRAFT_72988 MAPSSANPPDTMMVSETQNNKPTNAEETAGGATAGVNSSTPKQK RLACEICRRRKLKCDGTKPSCSTCSRLGHVCAYDERRKKSGPKKGHLKALAERLKQVE TLLKTQEAPRLSADGTPTAPNIVDGSGLSQPSQHAAAAAANFNVPGPPHGMATEGSMD QWQFHGDPSSTGLDDFNLGNNLGLSMSSIGGDFTWEMIGLGLEEPLPPQETIDELQVP STMHQVYFDKIHPSLPMIHRARYFHAMNLAPNQRPPVCLRYAMWTLACSVSDRFLDLK ELFYQRARKYLELDTIKGFGEHMITVSHCQTHVLLASYEFKWMYFPRAWMSIGSAVRL CQMMGLYRVDGGDLEVKQCLPPPRDWTEKEERRRTFWMAFCQDRYASIGTGWPMIIDE KDILTDLPASDEAYDLSHPQETQSLNDVTVHVGPGKLSSFGGVILLAYLFGRNLIHLH RPTEDDRDDDLNGEFWKRHRQMDNILLNVSLCIPSALKLPQGLANPNVVFTNMCIHTS TICLHQAAIFKADTNNLPASVSTECKMRCITSAHEIASIMRMAAHLDLDRLNPNMSFC LYVAARVFVQFLKSRPDDGQVADSLRFLLSAMNALKRKNPLTESFVVQLDVDLEALGA KVPKLKNAFSRSKDGV NEUTE1DRAFT_118989 MKIRRVRSYVGHRWWVLVLVLALVHVLAGDERGGEDEDDGVDVD ADAFGDADGGDGPKMMCQNWAWAG NEUTE1DRAFT_105858 MSDISDSELEATQATQEMLDPRRLGEQHTGFSDQDLADIICILI PYTDGARKVVRRMVDVAPAFVMGRNDTNRIHMDFGNDDEHTFNLAPTDPSEHHLAFRF SSELKNPRDGFVLGRNHECDVMVGQEERTISRKQFKIYFNEHGSLMIEDLSLMGTIVN GQWLNSGRRRPQANPPPRPQANPPPKMWTLGSGSVIKILNSQPATNEEEKRKNDIVFL VDLFRDSPQEFAVRAPVIATRQLSPLPMLGSNGVSTWKGSDKYNFKGKIGQGAFATVY MVTDKMNGRPYAAKELEKRRFMKNGVLDLKVENEMKIMQRVSHPNIVQFKEHLDPDDK HLYIIMEFVGVGDGDLGKYIGTNGPFSEPDTKTIARQLVDALGYLHDMNITHRDVKPD NILIQSRFPLVVKLSDFGLSKMIDHDATFLRTFCGTLLYCAPEVYAEFEEYDELCERK TRRSYPRQPRRSQRYDHNVDIWSLGGVLYFALTTQPPFPARNGVGHTEFLHQIMTTFP DFDQLIKADPPVSLDCRDFLKQMLSRRPEHRPTAKMLLSHPWIRTPHYSPNVAPSCSQ NGGVDELLEAEASQLSLTDRPAATPVREEEGDVTVASDDNLLPKEHINDAEHVSGSEE DKENYAFRPATQTKHLFGEVGQSALGSQGDVIAERINLPATPKSGGTSKLFRSKAEIP DSQDNSEDEGDILGQDVLAGQWPQILPGDSQDYSASSDPNASVDVPPKGNLQSLEGAA SIMGQLEMQSCRGFVTPSVGADSMRSKRKSSFAINTNPRSSTDNEPVSKKRRSNRVGD ILNREIAPIENEDELLVQVPPIKTYSEKVTSPNPEPQIKSAYWDSLDPSSSHLNYPEM TVAQWNAFRLAAEDRNKRDKSNEKFAPGKSPLWALAEKYFPPMSKIKTDLPLLWTVAK ESGVETGTVKRRPKEIAAWAYLRSSDESILPGISIRISTSIVTWGSSPENTQRYENNE GYPDKPENKIPECALKIMVWRENNFDPSIRSVPWTQYWGDNEDFYFYIATKAADGIYV NGRPLPSNDPGKRESSCKNWMRLYNGDRIIVWNDPNDDGTLNKIEFVFECSWGGSQLS RESSVHRTPELVSEEVAEKLDEICVKAEKSMKKYAPVYEMYPEDSADCEEPLIPARGS PRGSHGQIFAFD NEUTE1DRAFT_126325 MGRNRVFSFISQFSALHRDSKTPTPAPSPPNDLRVRDDSDPKPY SPTVSLPTPAPSPPQLRDSREPSPDGPSPIFDKVPEPAVASSTTPVNDPFAQSVTGKR RSNSRPLSMVLSSQQPLMESNEEIIPELQPIFALLSSHANKLYQEGYFLKLDDQDIIL SLWDAAELDTAGEDGEVLPKFINLTDASIKMIESLPTRSSDEQPLQNILSISTAGRNR YLLHFNSHHSLIQWTSGIRLAIFEQSTLQEAYTGALIAGKGKSLNNIGVIMERARTPV QEWVRVRFGAGVPWRRCWCVIEPPSEKEVQKAQKEFKKRSPYDRSHGPVLKGQIKFYD SKKDAEKKKKHSKPIASITDAYAAYAIYPQAKALIDGSALVKIEGSITIHSEPPSSTE GFVFIMPEVHPAVSGFEMLLRFLFPTWDTFALYGRPGRLVASVLDPRSLMFAMPKHKR YGYLELLDVSGLILADGSSAWTEQQWKKKMKELTGQRMNAVEDAPTSHSRSASRSSKR LSFGQNPASSSKPRVGFSEEAGPVRSSRSLSLSRPSQRTDSAPPDPNREPVPSTMAGH PRHSRNISDTQLAHAASAHELDGPGAPQPSMRGPERARTFASDLASTPERVSSEDESA VRSQLTNDLQEIQRMQAPEPVNAPPAFSHGAGSRPQQKPYHSPEMRRAHNPLTSPSPT NNGPSGPSPGLTSPHMKSPQNPSTASLTHSPDNPASGRGTPPMTSYPGSTTEGRRTPP HSQGSPRSNMPGRSPPVTRKPLPPGSPAFRRLSEDSVSSLPVRSGCSAGGLHQQVEDA NLWLTMLERKNSVQSYSDSRSIDAASTASPDYASTHRSTDTQESADRERPRAGILKVV GDTSVSPHDANGSSSGKTDLGIDINFGPTFNYSAMKSSSSKPTESSAQPSRFFSPNTG RKSPGPGTSYSHFRQDSDDTIRRTVPWQPGSGVKATTAHNALSPEQFVQQRAAAPPIP IFVHQRSPSSQTITDLRATAPSSPLRRPGVHGGAHSRHNSAELLSSGRPASRGPVAVL SSAEVSSHLSAREQEYVARATGTPLIAMASNKSEPQPKSGLVGAIQQRERERAHMRQG VSGQAVAHAIDQRQRGHYQQAQRVAQQAAYAQQQAHRFSGHFNPNVVSNGAVSVYKFG ISGLDNVYTVHTGRNQPLQPDNGYESRQARPRSELLAQRSSYALGDPTAAGHGGGSAV GVGPPTDTPHGSYPLQSPSSYNVGGQNGQAQFPVFGRH NEUTE1DRAFT_72995 MRRLPPRLLASIPHASPWGAVPLLLSSSVANHTLQNLRAGPRLR VVAQWQCHPQWQQQRAGFLTGPASFHPADNDTSRASPSPTIAREASTSPTPTLPGLEE AGHDEQSPAGLHEDGITEEELPKLPFRFDTGIGLYAKRPPRPFPPPFLSPPSGSFSDP LSTHDRSRDRRAAYVNGKLIRGYTNGDDAVFASKYFVCANDGVGAWSTRPRGHAGLWS RLILHFWASAVFEDAVRQGDTYRPDPIAYLQQAYEQTMEATASPNDWQGTTTVAGAQL HYRRKLGEPGQDVAESDKGSASGGATNLTDGDRPSYQSTDSAGHAKVQGETEKLDNSG GSDVEPLLYVTNLGDSQVMVVRPSTREIVYKSTEQWHWFDCPRQLGTNSPDTPRTIAV VDEVPIQEGDVVLAMSDGVIDNLWSHEISEKVCECIEKWRAGEVNVSKGVLRGTVLDN DAGMMGFVAEELMEAAKAIALDPYAESPFMEHAIEEGLASEGGKPDDISVVAAICKRN D NEUTE1DRAFT_91696 MGKSRKAYKKLMRSFEQLGFRQPYQLLLTSDIVLDTVKLDIMNL FQKTLNTKDIKPMITQCCIRALYAKNKPGPDRDPNVPAAIERAKTFERRRCGHLMDQD PLTERECMLSVVDPKGKGQNKFRYVVATNDEWLRHRLRSVVPTPLMYCRRSVMILEPM SEASQQIRDREERQKFKDGIIRRSLKRKREDGDEKEDSEGDSDSEGEGGQGQEVNKEK STDATGSAEQEQKEKKKKKKNYGPKQPNPLAMKKAKKEDDKKKKSPSDPQRPKKPSDT NADSTAEGKAKRKRRRKTAGGAAEGGGGAGEQSAETTAAGVKEE NEUTE1DRAFT_126328 MPGLGAGPAGDDHQQASATGVNKQEQKNTVAVSRPGSVQEPPEL DDFGLPIRKYHAPMIVTTTTTTTTTTTTTTPQPHPIPKATTSVKDATSTDDDAQDVGK TTATERNMTLSSNHDDQKSDKPGPGRENRNSDAQAPQLSSGARHEHCKQQGESSTALP ASRNPSISNTPKALEMPSEPPSKEAKSPGTQEHTVTSEAKPVAVKEPPKYQDMAIAEV RKDQELSPGHKRENSTVAMGGAGALGVAGTSGFSHQQLTLSQPSEEKKKDEDDEWQEM PAYAPYDIYDDDNKLIAREHDESEEEKVQYAGLGGAGKGYTRVLLDDDAESQTSMDEN TQYLFKDPGGGTGVAEEDDVQRDAVSQLQATKELLTEGQRIAYVGLTRLELSVMVREV DNLQQGRSKPQKEVTFAAESMKMWSQKMMMRLYSHMDISPAEQIMIEQLAAHGVMPQD LTPVLMANARVRNPMADEANKRDSTSSASKSSRPNSTPPSPDSESPAEPPPPYSIDDK SELDESVRMPSQMPTSQKIDIDLRWTVLCDLFLVLVADSIYDARSRTLLERVAKDLEI PWVDICRFEKKVTDALEMQQAVEKENWNEEEHKENRRKMALKKRYIMMGLATVGGGLV IGLSAGLLAPVIGAGLAAGFTTIGVGGTTGFLAGAGGAAIITSSAAASGSIIGVRAAN RRTGAVKTFEYRPLHNNKRALTQGLQQLLGSTILMSLMSAIQLPVVLTKLSYLIDNPW AVSLDRATAAGLILADSLIDRNLGTRPVTLVGYSLGSRVIYSCLQELARKGAFGLVQN VYLFGSPIVVKTDEYLRACAVVSGRFVNGYNRNDWILGYLFRLTNGGIRRIGGLAPIE GIAGIENFDVTEFVVGHMDYRTAMPRLLRECGWMVENDEFDEIEEPDPENHQERQREL INEIEEVRKELEREGRERSGSKSGGAFSFFKKKKPKRQEWEVYEDSAKAGGGASGSGG KTEDKDGNNHGVLFDVDAIRAELAKEAKEQQKKRAVSQNGSGGINEDALQVKEIKSTL PPMQLKVPPPLGPPAPVIKGSRDHLRETRSASLGAVPPYRGFSSVEYNNRHQSTVSLS NDRKEENVWNSPPLPPSTTSSSRRYDYSAVHAKSGFGADEYGYNGGGRQHDDDGEIQM TFDTAFEASPPPPPLSKPSTSSYGKLSSSSYGNSSASASTPARPEIKSVQTVPVLPAA NNPWADFDDDDDFGKEKEISMTFA NEUTE1DRAFT_118990 MGTRRVYFLAHGRVQGVFYRKFTANRAQELNLTGWVRNRSDEKV EGEAQGDETSVVQLIKDLKKGPTHALVSKLDVEDRELVDGESGFQVRRG NEUTE1DRAFT_91704 MSLDPPTYLASLQSNIRQRPIPWDGAVRAGTLTEEQLAKIRAVD KVKRDVRRQVIEANLDGYKTLFAGNNGQRSVLELASKRPDVCQYLLVLLSDLLDDVPA LSKAFFQSEDSNPYKNILPLLAHSTNTEDPIPLLTSIVLVRLMAGSRDESTATAEKAL PMLFSYLASLTKNSDAGLQDIGVQEYSQLLYSRVCRQQFWKQRSETVGPLIDILRAAA GISGGDASANLWSGTTAPPRSGFEASVGGGVGLQLLYHVLLVLWQLSFEAADIGDDLN NEYDIVLLYTHLLRLSPKEKTTRLVIATLYNLLSNNQNSLLPTAVLARLPQFLQNLSS RQFTDPDLVEDRDKLRELVEEYTKTKTTFDEYVAEVNSGHLRWSPPHRSSVFWAENAR KILDYENGEIVRKLAEIMQQPWENDKAVLAIACNDVGCLVREVPEKRSQLERYGLKRR IMELMGEADENVRWESLRALGGWLQYSFDTK NEUTE1DRAFT_150345 MASQKSPLSWFPALLLACATLPQYAAAAPYPQPEPQANVQWVTS IWTDTLTQTHTSIYSTVVAAVPTGGPDCVPNTSLGESACGSICCAASQKCQYAGQCMA KGANDPPFLGSTLVGHTYTTQYSAPYRPTSGATGTAASVTASSTGGAGGGAGGGTGGG AAGGEAGGGTADTGLSGGAIAGIVIGTLAGVVLLLLLCACCIVRGLWHGFLAIFGIGA GNKRSKETVVEEERYARHSGRRDSHGSWYAGAGGGRPSGAAARKESSGKGLMGAGAAL GTLWLLLGMKKDKKKESSRRKSRSENSSYYYSDYTSSGSPSSFSSNRRTRRSARSASA HGGAGRTRTASRVTRTTTRVSRVSSVPPPPPGQRRISRSPGPMRG NEUTE1DRAFT_118993 MRYIHSEETLEVPENVKVSIKSRIVTVEGPRGKLVKDLSHIAVN FSVIKKGIIGLEIHHGNRKNVAALRTVRTIINNLIIGVTKGFKYKMRYVYAHFPINVN VEKNAETGNFEVEIRNFIGEKIVRRVVMQPGVDVEISKAQKDELVLSGNSLEGVSQSA ADIQQICRVRNKDIRKFLDGIYVSEKGNIVEDQ NEUTE1DRAFT_96916 MSYFFATPVDIDIVLDDADERSMVDVKLDKNRREKAPLYMDGES VKGAVTVRPKDGKRLEHTGIKVQFIGTIEMFFDRGNHYEFLSLVQELAAPGELQHPQT FDFNFRNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDIWVYSYRIPPEMNSSI KMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGVAPN QYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKFSTRYYLSLVLID EDARRYFKQSEIILYRQAPEIAAAAAAAPPGQNALPAPPPNDNRLAGIPAA NEUTE1DRAFT_91712 MSARDFEILYKETRLNLEPSTSSSIVQIRVGSHNHSSFSRSTTA SARAINAADDEKAYRTRNIATASSVYYRKHHVSPRAFHWRVLENDTVLSIRAADVCKP NPHQQHNDIDAPLVLNLRFSSPIRTSCIGFSDPEAHDALCVHVVDQSNQLHTITLRPD HFRKRPATDGGLGELCKSYSPPGFGFKQPHRLVVVNHEQLIVTMHDGGILRFDRHSRA NANGAQWKETIYNVAGWGQSLQKLVPFKRDPTVRYGNTNMELTAATSTAITNAGIDGA AFLFTICLDHRMRVWDVRTGQIMYTGDILNAKRDPQDIARWTIDPSQTNLIRIVDNGP GQCLVVTYSPIGSGEFKFWKVKANAQGSIHGPELWTLWKNNITYRVQKLQVRPGSASG PFDDSWKMVNVDSSAASAQTSGPCDSVDSTEKWLDLVFFPGRFTKSTLETALVMYEKG LGTYQESNSKSNKNIAESICSVLGATTTLDRSSVGGPDYEQFRGTSETQWTRFWRLLL ELDKQRGEALSLVLDPVDGMIWVACADLLSAIRYCSDLDRIYHNIEAPSQKDQDVAAL VSAGLNFVDVFSDSMLELSRAALRAELFEESSLSDAERMQQFYDKAGFWRQVTEEDVA QAVETLGQNFQMVTPRLYEDLFELITAAGDRNSQEVRAPFTGFGRKLVIRTVQETIEL HWKILFSQLILLVHMEDEIEDEKSALHARFDVGLVYRKLVDALKRLEHLRWMVKTELT IPVPKADHSSSATSVATRGKEETYAITALEGLIGHLLGLPESHGKPLLSSITDVVLDI CAPTSSTELDNGVMQCWLLKQGRPDLAKELNPFAQQDPFSTYIHGRVFLALNEHSTAA QCFKKASVGLSTERTTVQRHSAGVLDDTEWKLLYSGLPNYYAHVVNLFERQRAYSYVV EFSKLALQFIQDNQDDENSSSQRTKHNDVKTEMLSRLFTASTAISQFDVAHSTLLAMV DDEVLQKSYLRKLVEKMCEAGQNTELVNLPFSGLQTTVDEILVEKCRQVRDVLNGVPY HQILYAWRISHNDYRGAASILLDRLQKLRQIGEGDKVGVSGEDALDTQVTRQYLLLIN ALSCVQAKGEAYIFTEILSDEDDEDQDVKLNGKGGVDNLEDHLDDLLERLDTTAGRTT RASAAAASSSEAQQEEDRVLAEKLRQFSTASELFSDEPRRRLLTLADIRKQYQQELDR IVAIQNNQFGFSDGFGGDDGDILMS NEUTE1DRAFT_73021 MFNPDPKKPIGGNIIAHASTTRISLKKGRGETRIAKIYDSPCLP ESDCLFAINEDGIGDPSPKDMEKMNQ NEUTE1DRAFT_51714 MAKETFFMSWELWQQMTFALAVAIVAVFCAGLIKLWWTNRIVRK QEILDEEKKARINDMRSTGLRPTSSKRAAASTIPFGVRAIQSGVQVDGIWISRPATPT ETKPTSAVTLVYLGAEQQRREKIKGAAGVTTTARSLVTPDGSLLQGLTDSESLESASS GAIPPPIPRDQRHDYPHRHGLRIPHALNEDTLRRLEGQDGGAQSPQRPAVHDIYVPTR NSQRQQEARDGHIGGPTRGDQRGTGSKSGDSYSDSAHQRLPRSGSGRSYNSSSGGEGG ERLYEPRGAAGRDVAVGDPFGTPSLQVIYQQHSRRIQSPR NEUTE1DRAFT_105869 MPPRTSLTSSFSITDANNEVVCPLRNQDGSSCRKRCIGEKRYRS MQEHIRRAHPEHYISKLPATEESFLLMIKTQPNERPQNQSTPGPSAHAGQAKGLSHAY HRDGSSAPGTPRNGEEQYTGAALLPAATALAQLHNHKLEQGWESDNDWHSDHEGKRRP RSSIELPPIHLTNADVTSAPYSGYDSGRPREILPSILSNSPPGRSSTLPPLHRTLGPT RTRRQSISKRGHQGKRSKGATSEWLRRLQNDSQHELLRPGGSDRKASSAEPSADFGKR WEDLIDAATSATEDIDEDRTPVSSQGPAKFNPRLTSQIPRSPVSIHRSSLPPLQQHYN YTSYQASPLQQALTPPSYNPEATDAFPSVESGESGENFHIGSRGLSDSSPSYSSQNTQ IYCAACREVSLLRESYACTECICGLCRACVDVLMLEQGARRKCPNCATIGGRFKPFQL DIR NEUTE1DRAFT_118996 MHISQLYVMKDMPQPTSELDRITLSKPSTCVHLLSLCGGFLTSV SSAGLHVRSFSRVQG NEUTE1DRAFT_142759 MAYNRSYNPDELPRFAEPEPRQGTSPAPPQQSPTTARYETKPPP PRPVEHRNTGFDQRQNTYDPQRQNTYNQNAYHQNAYTQNHYASGSADPHRLSPRMQQG PPPDRYGMSPPPSATGSRPAHHNLPPVSSRPPPSPTTRDGADPTLLPLFRAVDKDGTG QLSERELSAALVNGDWTAFDPHTVRMMIRMFDSDRSGTIGFEEFCGLWSFLASWRTLF DRFDMDKSGNISLDEFNNALVAFRYRLSPRFVELLFRTYDKRGDGVMSFDLFVQACIS LKRMTDVFKKYDDDRDGYITLSFEDFLTEILRQLK NEUTE1DRAFT_91726 MSAQEPPSKQDEFWIFGYGSLIWKPPPHFDRRIPGWVEGYVRRF WQVSEDHRGTPSAPGRVVTLIERSYWASLADRHDSAPAKVWGVAYRIKADRVAEVKEY LDIREINGYTIHWTPFHPASDVDPALLPPAQKGPIQTLVYIGTPDNAQFTGPQDPQEL SEHIYRSKGPSGLNRDYLWGLEKALDELSPESSDEHVKDLSNRVRAVAARYKSEGKAD PTDETDAATEVIEVNGTTEATHDEHHHHQFRRAGSVDEQEETEKTS NEUTE1DRAFT_27845 GLSWNTDDNMLRAKFEEFGAVEEAVVVKDRDTGRSRGFGFVRYG NDADAENAIANMDGQEFDGRRVRVDKASDRAAGGGGGGFRQGGGGGGYGGRGGYQGGG NEUTE1DRAFT_114802 MARPLESIKNRKEPFGETGHSYIAFPALPFTNYSHPSDHDHHRH ERRSDEYHHTSARLRTREARHNHQGAFDVPASQPEKADYVHTWLQRSQANRACSPEPV PGDRPRKVSPRAHMVKQASQKKKRARSESPEPVHPRETHSPNALRFEKKSRHNTRLDK YEYKPEVDRNKRRNQTKEHRREDSHGSLEDVQRHTRSKYQHAQRMNEHDQRTDHGTAR RQSPEAREVETISRRPDHRSRAVESGRRSRRQQAEAKEMKELSAFFTGRADGEKAEAE KIRYRPHREQRYDTATARHPASDICQRRTHDSPSPSLLPSRSTGSTRQPSPASLRPDP HVSSCGDDSVLVLPNRPSSRSTTYFTWSTSDRDPNARAPVSNDSISEKPRKSIQRSAR KLVLQEREIKGPHVVSEDFKIRPASRAKRHPRKSIVYQDAQVQTSFDADPNQNRQYAK NPIPQYRDSAIMTADDNSNLKQRVSMLPAEPLPTMDKRTVDEQPSSNITVTTLLDVPP QPQFQPQPQAWVTSNAGDRLLSQPFVAARNLFAQGGWQTYQEPSSKQASGRYTKLVPP ERVRALIKFQGLFHALQLSFCMLIRRVRLVKVWKITSTGSSKKLCKVPPTTKKWMDYS PMVQT NEUTE1DRAFT_150354 MATSSVLRRALLYVPASSQKFMTKSLSLASDNITYDLEDSVTPA NKQTARTQLRDHLSSLSNKPASIGELAVRINAVSTPYALDDLTTLASAPNLDAIVIPK VNSASDLSFVTDVIRHAALQRHSSDPTASPLKLIALIESARAVMDLRSICAASPYLSG LIFAAEDFALDLSITRTPGLAEFLYARSAIVTAARAFDLPSSIDLVCTSYKGPEGVKQ LEEECQNGKEMGFNGKQCIHPSQVEVTQRMFAPAEAEVEWYVRILVADDKASAAGRGA WTLDGKMIDAPVVGKARAVVAKAAKCGMDIENIKAKWKDQKPE NEUTE1DRAFT_73037 MMEATPTPGSLSWRLSSHPITLLTFLAFRSSSLLVYLFGLLFTD NLVMIFIITILLLAGDFYYLKNIAGRRLVGLRWWNEVDPNSGDSHWVFESSEPGTKII NATDSRFFWLAIYAQPLLWVVLAIVALFSLKFIWLPLVAIALVLTITNSLAFSRCDKF SQASNIAGTAFSSGNIAGNIASNMVGRFFSR NEUTE1DRAFT_133451 MRIATQRSWLLAGLPLAGCAASLNSHTDIVMFSDSDGFTQGTKE ILGKPAVIWEATTFRWNITNTSGTTVNTVNLYSNTTIIEPASLQSLAKNSQKIGSWPD LTLGMAPQGDIITLPTSTSYPVNLAVETNATGGSVTIDNIWTILNLNNPSAQPAQPAP LKLLYFDVVWKNGGKTGRSYSRLFTTIGNIAPDHTILEGYGLNPLLNNTDPVFPEEYP IRDDSDGGGQSAGVGKPTESSSPIPGATLATPTNDGGPVSSGTGEPSNLKSKKGISIG AIVGIAIACGLLGLALISGIVFFCLRRRHKQNALRDGTSRGVPYGGFAGGGNRNGGDE LIAEKEANAGVIHDVTSAPHSPYSDDLVGMGGAGANRNSGSVIIPNIDSGVSPQVVLP GVDGRLEGDGDSPVSNAPSYHMMQQQQQQQQQQQTPHDHQSGRSFTMYSDHQSGGGEG GGSPTTLHSGGGGAPSFTHGGAGTESNRGSLISQQQQQGRSLSTPYAHLVEEGMTEEE IRRLEEEERQLDVAIEQHAGGQRGSRHL NEUTE1DRAFT_150357 MDASAPTSELTTVPIPEPAAAVAPPVFFRAGKKKRAFRQRAEET SIEPTAQTESATTINIGNDATPTGQAAASTTEPAVSATVATATTTTTTTITGKRDGGN DKEEGGLSVAEVLRLRNAKKHRLGGVAFRAGDEFSPTVQNAEQAIVLHDGVGGGSGGG QVQEAAILGGVAKRFAPQTGMVGELVNRHMEEYIESELARRKRLAAEHRAQQEGGQNG SSNAMATTTTSGMTNLLQADPTLSVGGGKVESQRALHGKLMEIDLGEEARARNIAETE RARRRLEGQILEEEEDADGRRKKVRLGPDGKPWRSRNCRDSDALKRDQQVEEFLRENR LDVYDVPSDQPEYAAGLEDDEMAADDRIAEEFRRDFMDAMSQRHRRRRPAVNATARPS ARNQDAEILRGPKLGGSRNARAAMREKLLREQEQQKRR NEUTE1DRAFT_126343 MSSSLSRAFTTRRIRQFSQSSEPDHPIQPRSNLQKVSMTSLRHK ISAPVKLVHTTNVLAYNAPDLHPQSATSTKSSFLKSDDDLSDSTHTRTSSPPTSPDID EAPDRGLSLEPNHLSCYFTADKLTTSPVTTHQPSPLAEAPLVPTRAPSHTKKSYDSRA SFNFSQPRSRSTSVSSVSTPPSPSSYQKKRPQVSVPPSVSHSIPSATASPASPSRQRR ETESPARSHRTEFSHSHHPFGQELAQVTEIAEEYGVKEKLNEFAAEEQEMAATGLCKF IAEDYLKEIRGFFVTFYGVEPVEPSTPAATPASAWI NEUTE1DRAFT_150359 MSMITTTAWVPRGFAAPFPTKYTFDEKEFERIAALAKLQLDDAQ DDLDEAKEKEANGNVSDKEDDDTAMKVDTRDEIEIDDDLKEYDLEHYDDDDDNVGEAQ QMGMFGNVKSLAYYESNQEDPYITLKEEEEDDEDREDLQILATDNLLLAAKIEDELAH LEVYVYEDAADNLYVHHDIMLPAIPLCLEWLDIPVSKPGVDKDSIGNFVAIGTFDPDI EIWDLDTVDCMYPNAILGQGGNPEDEKKKKKKKKSKKANDEYHVDAVLALAANRKHRN LLASASADKTVKLWDLHTTKCAKSYSYHTDKVCSLAWHAVESTVLLSGSYDRTVAIAD MRAPNEQPMRVGVESDVENVRWDPHDPNFFYVSTENGIIHYFDARNTTKDPAVSKSVW KLQAHDESVSSFDLNPVIPGYMATGSTDKTVKLWNITAEGPSLVVSRDFDVGKVFSTT FGPDPEVAFRLAVAGSKGTVSVWDTSTNAGVRKFFAQKVPTKATDEDVEDKLVAVNDV EDSSSEDGEDDDDDEDESGSDQDSMDEDDE NEUTE1DRAFT_133455 MGRDDNEDRSFKRRKIDFNPLRSDDRFSDLPSRTPHKGPRGANG NNGSFNSRASTPRVGSRYSGGETPRQKEFDGPEPGVNDDDATNALDRDWYGGDDDLGG HTFGDDSHNPFGDEGAWAAQEREAALAEKKIGQMARGMSVRQIQKQKDADAWETNRML TSGVAQRRDLGQDFEDDQEGTRVHLLVHDLRPPFLDGRTIFTKQLDPVPAVRDSQSDM AIFARKGSKVVRERRTQRERQRQAQEATKVAGTALGNLMGVKEEDTDSALPIAVEEEA GKSKNMNKFSEHMKENEGASNFSQSKSLKEQREFLPAFASLGVKDLLDFDFMDPPPQD TISTSLFDLWALGALDNLGELTDLGRKMNAFPMDPPLAKLLITSEEYGCSEEMVTIVS MLSVPNVFYRPKERQEESDAAREKFFVPESDHLTYLHVYTQWKANGYNDGWCVRHFLH SKSLRRAKEVREQLLDIMKMQNMKMMSCGTDWDVIRKCICSGYYHQAAKVKGIGEYIN LRTSVTVQLHPTSALYGLGFLPDYVVYHELILTSKEYMSTVTSVDPHWLADLGGVFYS VKEKGYSAREKRITETEFNRKMEIEARMAADKKRQEDQLHEEELQLEKKAAPSKDKKV VKAGAVVKPVRKRAGRGF NEUTE1DRAFT_96922 SGLEVSQEQLDGWGLVALQGPEAEAVLADALDGNTDLKQLYFGQ SVYGKVKLDGGKTSAPLLISRGGYTGEDGFEISIPPSETVEVTEKLLHVGGAEKVQLA GLGARDSLRLEAGMCLYGHDLDETVSPVEAALSWIIPPNRRKADAGYYGAETIHSQLT PKSKGGNGVARRRVGFIVTGAPAREGAEIVAKGDPTTKLGRITSGCPSPSLGKNIAMG YIKDGQHKSGTEVEVLVRGKPRPAVVTKMPFVPSKYYKSA NEUTE1DRAFT_91753 MAVATENGTQTANGQSNGQSNGHLNGNGPVEHHIRYIPFAYSSE DSEATARRLVLAIRPAWESADSKVEFVRFTDGITNTLLKAVYKKAGLSKDEVNKEAIL LRAYGNGTDLIIDRHRETQNHELLMRYGLAPELLARFENGMMYRFVQGSMTHPEDLRK PVIYKAVAKRLAQWHAVVPCITARTGHSRRNSKNTDFIVPSEALGDAEFQQIIDSVAP GKPPPNVWTVMQKWIFALPTDTEAQRERQAQLQKELTRTVAELSQRPGLGTNGLVFAH CDLLSGNVIVLPKSQQTPADDSNGVTAKDTTIDVTFIDYEYATPSPAAFDIANHFAEW GGFDCDFSVLPTRVQRREFITAYIRAYYAYQGEKNGTTADYDEAAEVDRLLNEVDVFR GLPGFYWGIWALIQATISQIDFDYASYAETRLGEYWAWRDEISGDRQRAGKEIPLREK RWAQEQ NEUTE1DRAFT_150363 MAYPVLPSPDNFLAVALVINRSRDGPRFVFHYPPHVLPPQATAA ARDGDDLDDLDEEDEALLHRVTHAVGLDASSSSPLKESELSQWNHDDHLITESGTQIV PWEHVAGFPTKDLENILTPARAYHKRLFQVSLDSLYCVSYPIYVPENGVWRKKSKKQQ KHRDHDDTAPTDTDGPVRTSDKGPSDVDQLAAKPAEEAEDKKSSMTMFNLVFILNPRR HEVADLVDILYTHIIKKVNKAYKYCQQRGDFIWKESKKILALKDKGREDKRKMSQLWE EILSNSSLAASMQDIYDAISRNRIAAIQLETIEGVVTHSVQIPVPFHVPDLPQDGQAE EQLGLWLTTANSLQADENVEEPENLDKTFALLLMAEEKKVINELLGPESDPTTQAMIE FVRRCKPNLSFHQVQQQASSILSPAQVRRFAEHFIFWRRAIAIPPLHGRDMYIVSPNC DLRRLPQAAAQWARQFPLSPPLPNFLAELSVAPRPYKLHCPSKAHRPLYMAMLAWLMR GGWVTQLCTFAYVVVWPEIIYEVEYELEAEEIAHEKAKAQNRGREDQGHKHDDMLSPT TAADNGGDIGGPGNNVLAAALSEGPLAGFAGSGFLSLAAESTSASDLDSSAATLRDLS NSQSPTLSRAQPASTPINEFLPTLTSPMSTTSLSTLILGTSDYMTNSHKDNYNNNGSS SPTASYASANTLNMLNPPRPTLQLTHTICEDTNASAGTSSTHHQPTPAEQAAEKARLE RIADKAARELAERAMAHARKAVPQATRHPSVNHAKHLLGMSPHIILDAKKATGKESLY LSAIERRLRGRGGEVATTTAATVAAAGASEAGTSSDAAATDGAGVEGSGTGPEDAAAA GAGNGNNNNNNNKNSSSANASANTAPSNDATNIASPSATGTHTTGAGAKTASGTGTSG GGRTVSGSASAQRGTKDWDERVANTWPQFWKYFNGRSALERIALQEDMKRKEAWSLLT AMSEYLLCTRHW NEUTE1DRAFT_126349 MGGHSGGGIDPNDLAMGANFHGSFQNNYLNHTNNSSNGFSSGSA LFGDDELLDGLNSPSDPHVGMQGHGQDFGGMNDVGMNFPDSYHQSLPINQAHTNGYSS TPDGDPIASPFVHNFSHFRQMQHPQSFGTSLQSPMSYAGSPLANTDMNSDGTDPNFLS AKAHTRIPQTMQRKSSTTRSPLTPKAIDMAGLSVNSGSFGPQPIRTSGPHHEKSHSAQ WMQTPQSLSSFPGSGFSSPLQAGLHGPHAQINDILLKGGTSMPAKLGTATSSVSTQEA KRKRRRESHNLVERRRRDNINERIQDLSKLVPAHRLEDEKIRKAIQNGTPLSPTLAGI SSPSQATSALAGPGARRAAGSTAGNITTGLPIEEKDKGPNKGDILNGAVSWTRDLMWM AYLKIQQQEELINALLERGGTVPFEITDDEKRMQTELMEAIARGEDGSGKTRGFSYSR TAGTGLRVPHHTDYKGEPLNTNGVNGEHLSTSPAHGGADGKFNDGLDAGDFLEYEDDG ADMEFKEEDEYGMDLTQ NEUTE1DRAFT_91762 MASASFRDSLSSLGWSRREADIPVNTSQQRGLLSSIKSLNPFGN GGYVQLPTTEGPGAALPAPTRREEEEGWFVLSRWDRMLIFAACNLGALACFVLAFALF PVLSLKPRKLVILWTLGSILFITSFAAMMGPWAYLKHLTSGTRLPFTSAYFGSLILTM YFALSLHSTILTLLSALVQMAALIWYLVSYFPMGADGLRVATSFGARRAAAWMSG NEUTE1DRAFT_96926 MYLPGRLVDRLIVLPGFAPHGVSPPPRPPTPPMRSDRASRLRAS QCKSSTPPPNSSDAVRRVNLLSSTESLRLR NEUTE1DRAFT_150366 MQQTSSNELSPIGAFLMRILWLLFTSAANCLQLLDATLWPAWKA SVMWLDHHTIGTIISIVDAVTGTHSLSTITPFGMLARLAASLLYKIIDWFPEVPSFPE QRCGSNYRSCSTQTTTDDPNISAMLEFFGSGLDYLTEFFATGLKSVVSPFDGNTPKSS TSSLVAVPRTPVRQQSSLANKTKSTSPSFYGSDDDAA NEUTE1DRAFT_114816 MSLVSPICGSAHRCLGSWFLLAEVASNPCHAQTISFCRAVKGVT RTTAEDPESACGDACMCKTAVHMQTFQDSEQLANADPHPAPMDDDKFITTTSPHSVLG AAKPFECRFSLEAKKRAFSLSTPDARWGNVPPSNYLTTTLGYPLALSPMQQLLIIKIL NKEAKEGHVDDTIQFFVNQLYAAVSSVHTRKSDARTHTETMVQ NEUTE1DRAFT_126353 MSGTTFSYAQAAKGQAAAQPSTKDDVSSATAVPSATPNEAEVTE TSEAGKTTQSPAEQSKQNAESLSVTAPATEESNKTARENEVAPAQPQQQSEDKISRSV SRTSRSNESTDGRKGRKGKKARGSEKEPQNEQQAEEEKEKVKEAPKVILSEAPLPTVN IWAQRQEAQAAKLKTSPAPTSDAVSAEAKNQDSESQSTSVNGANGDKPHRKATDLSRA ADQHQRRNGPRGARVDKEEKNAVALPPVADAASWPDPKSAASASTEAPKEKVQEKTTE KESQEDSGSSKKKTWVAVDIQPTVVFNTPLPPRGSKTRGGARGGREQGSTRPHNTNAA NASNVTSQNERAPTTGGPNGHKRAGSRPRETNMPTRANTQAHISKRTSVDAASSKDAR KLSVSANNEQARDSGAETSIGSSVKEGHNEAGTTPEAGPSLSSRSHERHHKGTDSTKD GAHHGVNGQQYSGREGRPERGRGGYRGRGGHNGTSGSHQSGYTGNGQYGHSSYQSRQH NSPPQHAGQFSGSYGQSTRGRGKWTGSNQNAGRNGSAPAFAPRMAQPHEYSVPQYPVP YVYYPAYDPMVPVIKAQVEYYLSLENLCKDTFLRRHMDGSGWVFLDVIASFRRMRELS KDRETIRFACSLSDKIEFVIGEDGQERLRSKESWSKFVLREEDRLEHLRHNGPTNYAP YQTPFHQMTPYGAPVIPQNYPVAATYAGYPEDQMFQAGYVNPAHYDPASNGSAVNGYQ QLSAGVPDYAPPVAALTLESLTNLSDNAVEQMVVVLAYDDREGQSSSQATAVAGYESN DSGHEQTNGVNGAAVENGAKVVVWLDQYSPDSANVRMDRQPYTAIRQAALNQRQKATA GETPKEMLTLYEFWSKLLLKNFNDKVYSEFRTLALEDASKEAPNKQGLKCLLDYYNAL LNNDITKLWPQDRAAPELLQHHFQDALKADRAGSA NEUTE1DRAFT_133463 MVTVDDRPRLTEEEKKQNHIQSEHKRRTQIRNGLEAVSKIVPGC ENLQRSEGVLLHKLVEFMAVHMEERQKMIEELESLGEEVDLQMKVCLRNLDDYHKNRS KPEEGAMSASRSPTKEPGEQPGEQREGDDSHAGY NEUTE1DRAFT_119004 MASLIAGATEAFQKAKRILTAAAGAATVDAAMKDDKAGSKLGLA ESVIGGLLGNRVLNGSKKNIEEDEKTGRSRSRSRARSRSKGPGGGLAAIATAGLGTYA ANKLSSRSRSRRRSTDSYDSRDGSRDRRHRSRSRSVVDSARKGLAKLGIGNGPDDYER DRHDRRHYDDYADSSRSGRRHRDYSDDERSRSGRSRSRSRGGGTVARRRDASRGSVSS SDLGDSDDDRKRTKKMRAVATIHAAHNIYQSVEKHGVRKKAVREGELSPEEAKKLRQR AMLQDAASVGIAALGIKGAISEMKEAREVTHETHEWKKKKEERHQRRLKRLRATANQA NDFDGDGRGDIVDFGRRRADNWSSSAPPKATRLEDGPYYTDGNPYGAMPRNPSPPSGN SPGRR NEUTE1DRAFT_119005 MKENDYRLSLYTYIVIFRKEAYIVYHCHSLNLSDLTCFFIILMK PNLLTIIIIIIMKRKE NEUTE1DRAFT_73077 MESSRGPPRVKNKAPAPVQISAEQLLREAVDRQEVNLQTPTQRF ADLEELKEYQGRKRKEFEDYVRRNRVRLSNWLQYAQWELEQKEFARARSVFERALDVH PNNTQLWIRYVQAEIKNRNINHARNLLDRAVTRLPRVTSLWYQYLYVMEMLGDIPGTR QVFDRWMKWQPDEQAWSAYIRLEKRYGEFDRAREIFRAFTAVHPEPRTWLKWAKFEEE YGTSDTVREVFQTAIQTIAETLGDDAVDERIFIAFARYEARLREYERARAIYKFGLDN LPRSKSMTLHAHYTTFEKQFGDKEGVEDVILTKRRRLYEEQVKENAKNYDVWFDFARL EESGGDVDRTREVYERAIAQVPPTQEKRHWRRYIFLFLFYAIWEERETKDIGRARQIY DTCLNLIPHKKFTFAKVWVATAHFEIRQGQLTTARKTLGRAIGMCPKDKIFKEYILLE QKLYEFERCRTLYEKHVMYNPANCQTWIKWAELERGLDDLERTRAIFELAVSQPILDM PEVVWKAYIDFEEEEGEYERTRALYERLLEKADHPKVWISYAQFEINIPDEAEEEEET EEEVEEKPVSEEAKARARKIFERAHKSMKERDLKAERVSLLNAWLAFEKTHGSAEDIE KIQEQMPRKTKKKRKLEDDTWEEYVDYIFPADDQQTKNLSSLLAMANAWKQQAAGGAG GAAVDEAAQ NEUTE1DRAFT_73080 MSSTLDELLQDFEDSGSEAGGEEYGEGLLGDGVDTTGGSGVDDA HVPMNDEDQDEGMGDGASEDEDEAMGGVGADNSNAVEDPEEAKAKVEKMHLGGVRDVR TVATLMKSLKPVLEKIAHYQAQPAQANDVGHVEDHPEYNLLTNANRLSTLIDSEVALV HKFVRDHFSARFAALESLLPNPIEYCKVVAILGNSPMDSESMKALQLSIDNPLGLTLK SVLDGPTLMIVTVEATVSKGQFLGENEIQRVTEACLMVVDLDKAKKTLTEYVQSRMNI FAPNLTALIGSLTAAQLLNQAGGLTGLSKTPACNLPAWGSKKQTSSALATNVGIRQQG FIYQSDIIRGIPTDLKKQAMKMFANKIVMCARTDCFHQFRDGSEGERLKDECLDRLDK LQQKPNSKGARALPAPDDKPSRKRGGRRARKAKEATAMTELRKAQNRMAFGKEEKEVG YGTGDATAGMGMIGQRDDGRLRVTQIDQRTRAKLSAKSKGWGGASSLNGGAASSLRGL AGGGSGIGNINLAASKGLRTSGVGTTVGSATAGTVSSLAFTPMQGLELVDPKVQYELS RKRKADEDRWFKGGTFTQVGNSGGAGEFKKPALPPSKKQDTGATK NEUTE1DRAFT_105893 MHNKRDGKVAVGAETDRHKGKITRSTAKQKLGRRMDSWMLEIER FGNVALTAPGWRGVGCHGCAKIVDNLDIPIHQEPTWQETAKTTLRGNRDRDWPICLST SLASHEFLAVGLRRTRNRHGRPRATPPIIGKLPIEAPEPCASCGKE NEUTE1DRAFT_53743 MGAAMRCLDGAMAGQCQHYSINDYGLRNTLEGTVGSSCPVRRDL HAWRLLNLQPLAALAGRPQQWHNLGNTPLRDGRQVGSQDARRIENGV NEUTE1DRAFT_119006 MFARDANGHTVQLLNDDEPQKAPQVQYQPMPYRAPSSFGQPYHP ALTRSISSSPNTPELLRSDSYDSQMSNEPISPMTPIYDYSRGYPIDTRPYEDYSDAHS YIGAKRPRTMSDSRSMSYEDDAASPAATERAGKRYPCRYRDSHGCEKTFTTSGHASRH SKIHTAEKAVPCSFQGCTKKFTRADNMKQHLETHYKDKSRSSARPSLAGERKPSSSGK SSRSKSTTSVTTVAAAASPVTLPLTPGVGSAWDMRGLNLPLLSPSVAVPTPSSGLDAL AMAVACQEGSS NEUTE1DRAFT_119007 MKRNAQLGLHTCVYFFAKHVEVPAPARSKHLPLNISACNSVQMQ VQDLLRVTDEKRRHIYMLMHGLRLIRQKVRRVEE NEUTE1DRAFT_91783 MKDVEDIHRPMLNSLQSTSAKMKKIVLSVNAGSSSVKISAYSAL FGQAPIQLAEAQISGLTAPPPTLRYTRHGEKVINDEEIKDQKVSTQADAFDILVKALI DDNGVPEISSKEDIAYICHRIVHGGDFVRPKLISDETYHNLEKLNDLAPLHNSTSLVI VHRCMSDMPKTTFNIACFDSQFHHTIPEHIRTYPINQDIARNNHLRKYGFHGISYAFI TRATAEFLGKKVEDVNIIALHLGSGASACAIKGGKSLDNSMGLTPLAGLPGATRSGSV DPSLVFHYASDVGKLSPASTKDLHISRAEEILNKQSGWKALTGTTNFGTITAALDPSS SDTTSHLSPEEVAKMRLAFDIFVERICAFIGSYYVSLQGQVDALVFAGGIGEKSDRLR AAVVEQVSCLGFGPVDKEANETRVKEELDDSHDVIEIAPPNSKKGKRDGREHRVLVCK TDEQFEMARACAEDGEFWR NEUTE1DRAFT_53955 MTGKRFTEDQRVLLERMLTLRDKLSNHDITFILGFDERTIRRRR YEFEANGRIAPPPDVSKNAEKLKAENLEKLVEWLKYNDDALIEDMQKFLKEACDCNVS RATISRQLKKVTKEDRQLGRVKRLKAREKRAAEGRDFDFTAPSRSATASVDRDSVVGE PQSQQQDQQQQQQQQQQQELGHHQQQKQLRKLPPGQKELPQVLQQQGRQHQQAQAPPE ARFEPFQPSGLDFPTPEQALLSLSRTQPQGPPLPLAESSRAAAQK NEUTE1DRAFT_105897 MPRKKRASVVDTLLSVKGVARLLRNFTVQDRLGPDNLVATDLES DSRYALFQASCNGFSEPSTRTQSIPRTPTTVQLPTQRGTRSMTSSSRAAAKSKSPRIG GSNRRKTMREDTNLESSGSERSIIRQKKSYGEPKDDDRFNRESASVFAPKSAITKNEP RRDYANVSDGYQCQTKKVYNGRSYQRQFTKRAPGRQVRFVKSNAHLRGLVDKLSTPEY DKFEAHYQKMLAQKQDKGLNNPKSPHSPSPTPNPDFDAPPLSSQPAAPELLTALSLPA SSISMKTRALPSASVPRSRTATASGVSVSMALPSAFRTNASSTAATVSSDCDSTAVLP TALKTPSHAISRAPSCAASRTPSITVSNIPSHTASIAASRTDLRSPPGATSRTSKTRQ HGCESFITTPAAASMKPTLNSRKSTSNCVDPIMTPRPESTKVASMYRNSINEECSHAQ SNEKVDEHGDKQHGVQVNQGPGEQGNGQVDEHVDEQFGQHIDDQANNQGNKQTDSQAK NSVSTSRETAYGGHAITPDVAINGNNETGSNTCPLNGLLPEVYDCKHDTRHCFACSRP RDVRYVDFRHNYCRGEEPQRSLCRTCHRRLDRDMELDLKPNNSNKRTLTDIKKFHWCA QCSTIRSHKFHEHYPSGTEVPPRHQLCHPCCKWAKLSHKSELALYAPAKVDDDASNNS KKRESGDKKDHAGNSLHRHKDIRPADDGPRDSPAVVEPTPSNIQAQEMQYLKASAPVL SSDPGHQHHPPKSHAMTESLVSRPVPESSSQGQTLRPVTFSAPGKHDPSSRLDVYYSQ SWQIPVDDSPPPQRKRSTATYSYDQGSKDQLHENLHVNYHEILGQEDVCNHQAKHHRG THEISLPEILLTVPIGDVHITQQTLVSDEICPGSPLHDHLSQFSWKDGDARHHSGYQR QPSMQSHASNQTVHPSDNNFTSCRNKENYPNYPPQHATPRQFHWPTGRGEVDNNQPKG LSDMFYETAEGKRADAFFASMSSWSEPNSKLKPRNGPTDNYNTWPPGSYNTTEPAAYE YLEKRRSKRPSVIPGSLPHGHVRNVSDSLSVETVGVWQGVTPGAPKAEVSEPESPAGE EVIILYHFLITPSPIACTSLPGILSIIFTDLPHSPSS NEUTE1DRAFT_150374 MSADSLERLASAGKGDSTRTLLRVIILLLVAGAAISSRLFSVIR FESIIHEFDPWFNFRATKYLVANGFYKFWDWFDDRTWHPLGRVTGGTLYPGLMVTSGV IYHALRALSIPVDIRNVCVLLAPAFSGLTAYAAYLLTNEMTPSSSAGLLAAIFMGIAP GYISRSVAGSYDNEAIAIFLLVFTFYLWIKALKLGSMLWGALCALFYGYMVASWGGYA FITCLLPLHAFVLICMGRYSTRLYVAYTTWYALGTLASMQIPFVGFLPVKTSEHMPAL GIFGFLQLLAFLDYVRSAIPSRQFQTFLWVFAGSIFGIGLIGLVVATSAGFIAPWSGR FYSLWDTGYAKIHIPIIASVSEHQPTAWPAFFFDLNMLVWLLPAGVYLCYQKLADEHV FVIVYALFGSYFAGVMVRLMLTLTPVVCVAAAIALSTILHTYLKAKSPTPEELLPDES TDGTSKKASKSGLRASNKPYIGIYATFSKVTIVGLMTAYLLLFVAHCTWVTSNAYSSP SVVLASRMPDGSQHIIDDYREAYQWLRQNTKYDAKIMSWWDYGYQIGGMADRPTLVDN NTWNNTHIATVGKAMASREEVSYPIMRQHEVDYVLIVFGGLLGYSGDDINKFLWMVRI AEGIWPDEVKEREFFTPRGEYRVDAGATDTMKNSLMYKMSYYNYNNLFPPGQAQDRVR GVRLPDEGPVLNTIEEAFTSENWIIRIYKVKDLDNLGRDHASASAFERGQKKKKVIKK KGPRVLRVD NEUTE1DRAFT_119008 MSSGKVKAGALWSKNKDELTKQLGELKTELGQLRIQKIVSSGTK LNKIHDLRKSIARVLTIINAKQRAQLRLFYKNKKYLPLDLRAKQTRAIRRRLSKEDAS RVLEKTKKRTTHFPLRKFAVKAA NEUTE1DRAFT_119009 MSSAAAPQTKTFGKATRTVPHSSEKAQKWYPAEDEAQPRKVRKT IRPWSPRSTLVPGTVLILLAGRFRGKRVVLLKALDQGVLLVTGPFKINGVPLRRVNAR YVIATSQKVDLAGIDEAKINEIAQPKYFTAEKSKEKAGEEAFFKQGEKPQKKEVSSSR VADQKAIDKALIANIKKVEFLASYLASSFTLRKGDKPHEMKW NEUTE1DRAFT_91795 MAATTSSTQGWAQLRQQARSLETQTEMLLHTYAQFSNQTNIPPK PTEEERHTETRLRELLDKRESVISHLTRLLTSDPSPSALKQNNLSLLRDKLSDHRRDL SRLRSTLESARTRANLLGSVRDDISAYRAANPEQGEADYMLDERGRLDRSHDVADSVL SQAYAVQDSFASQRERLAHINRRITLAASQVPGINTLITRISARKRRDGIIMGSFIAF CFLMFWFFS NEUTE1DRAFT_126363 MLSRATIRARSTAGACLLCQWRSFSVSYQRLANKTPSPQTTKPS TPPSTPAPAPDAASKDAASATPVSPIESLRESGPLANAPRAYGKALDTFTPTHLSRPI GMHNPPNPGENTGIDTRTLQQKRDDFVNYEKHLKKREMLKSKISRPYFRDWRNMQFHK GKTFLAPPRLFKADLSLYFPNLHGRTLAKDDAAKVADTTPLLEGHCSVVTVFSSMWAE NQIRTFVSPEANPVLQSVLKQSGGRAQLVQINIEEDAMKAWLVRLFMGSLRKKVDEDD WKRYFLVTKGITDEIRESIGLLNSKVGYTYLVDHNCRIRWAGSGDAEGDEKEGLIKGV QRILDEMTKEGVGKNYVRKTAAVPELKAEGAVAAQ NEUTE1DRAFT_91800 MKNMFLRQSSRAVGRHEVSRLSRRGLSRVAPTATSIITPSTTSS PTRPLPGNSRSSALAQQLPSTRRAFHATRDLQVIKPVLLADIGEGIVECEVIQWFVEP GARVEEFSQLCEVQSDKASVEITSRFAGVVKKLYYEAGEMAKVGKPFVDIDIEAGPES KEVEALTPPEPVSALEGQQAIKGEAISTSTPQAVAPELKQSFIEAPWARQTPTTPSHA PVTKQTGKHASLATPAVRHLARELSVDITQIPGTGKDGRVLKEDVYKFVQARDSAPTL YPSAATPTSPGVTAAAAAAAATAASAFSSPDATIPGPQKETSVPLTRTQEMMFKSMTR SLTIPHFLYADEVDFTPLVELRTRLNRVLSKSGLPEGQVSKLSYLPFIIKAVSMALYK YPVLNARVELDSNSNGKPSLVMRSQHNIGVAMDTPSGLLVPVIKNVGSLNILSIAAEL ARLQSLAVAGKLSPQDMSGGTITVSNIGSIGGTYLSPVIVDREVAILGIGRMRTVPAF STVPGEEDKILRRQICNFSWSADHRVIDGATMARAADVVRTIVEEPDVMVMHLR NEUTE1DRAFT_114832 MTDLGAKRFPFVSPFVALAYYVYRSNAESLVTLPQDSEWAAEPS TRPQMSGCNGQRARYRTVHQWQFADAHTWAANTRREEPGELQPPRPSNYVPNKKSLPS NELGTNEMPMTELPLLRSFLRSDSTSKIFGLARLYYTHTLDYTRYQEVLGDYKNVTSW LSVA NEUTE1DRAFT_73106 MAPIALSPRTSLPTSHDNLNLHPITKREKFPKANIITLAVLLTF LLSISLFFAVFVPRWTRKKKLKEHQQTVREMLQAEERATGRRARGSSNVGAAAGRGFG AGQRQGQGHGQGQGVTEGGTGGGGGEAIPMDDVAVPDGNGGWVEPPPPYVVDPKPAYH P NEUTE1DRAFT_119014 MQSFGELPCSALLAKVTKIRFQYANLPCPYPLTPSEASTPVEKK KKKNQQTKKAMT NEUTE1DRAFT_150381 MLSYNTPIPVNGQNAGPTAGMSARPPFKTVGNNGSANGVSSNDA VSGTGRHAPEEQHIWLVTGPAGCGKSTVARYLAESLHWPYIEGDEFHPPANIEKMSAG IPLTDADRWDWLTALREASIRTLDQGNSGVVLTCSALKRKYRDVIRVAPYFTPNLHLH FIYLDASEEILLQRVLARQNHYMGANMVHSQFEALEPPTPAETDVIRIDVSRPADMVM ADALNQVLHTIDGIQKDQSQ NEUTE1DRAFT_126368 MDAQELSQYLADAPPSVVRLEVEKHFDALTDKQKRYAHFISKAS FAGNRIVLRQVSPESESIYDFIIALHKASGGDWKALAEKAGVDEAGLNAFLQYAAQFL GNSGNYKSFGDSKFIPRCDENVFASLASTSPEAEKHYKATNGAIFSTDKPGLLHLGFT DQGHLTTYYPDSPDITKDEIDAVAKWMQKAGLLPENTRLRKTKDGIFELLIASAVTSI PSEGGDIGKDSEYKITEGPLEGKTIKLVYGDYAAEMKAITEYTKKAAENAENETQQKM HTAYAKPMVECNIGFIETYRDPAGVRGEWEGFAAVVNQERTRAFGELVRSAPSLIPLL PWSKEFEKDKFLSPDFTSLEVLTFCGSGIPAGINIPNYDDIRQQEGFKNVSLGNVLSA KAPDEKIPFIADSDLEVYKKYRDAAFEVQVGLHELTGHGCGKLLQETSPGEYNFDHTN PPISPVTGKPVTTWYKPGQTWGSVFGGLAGAYEECRAELVAMHLSCEFQALKIFGFGD GTVDMDGEAGDVLYASYLSMARAGLTSVEFWDPKSQKWGQPHCQARFAILKSFLQAED DFCKLEYEKEDLSDLKIKLDRSKILTSGRKAVGDFLQKIHIYKSTADVENGTKFFTDM SGVGLEYWGTKVRDVVLKNKQPRKVFVQANTYLDEATGKVSLKHYDATPEGIIQSWAD RE NEUTE1DRAFT_133478 MSIAGMPYPFFTGGPAYRSKVLVPSEEMDARNSREPDSHTNLIS PSFDGRTLAGSDLVMVTAAELGAPFLRLRGITAAHLPPPGTSPRELIPFLTALLRESV PFIDSVAPKPSNRPQPTTSLGTARSEWKPLKTKSSKVDDNGNTVVSLYERTLSAPELR AIERSAGLATSNTDDPETWACRRSVHRDSNVPGDGSASWGEFVTCFKDKHVECEEAFT PSIVGTRVLRDYDVAGLLLDDEHGDRYGNPTMKLVEARHKIGIKPLLKDRVFTVLQVT CSLLPREAGGAAADCDEILVISIPMSDIENFTTTAASPIKGKSSNKEEDPFRKDSIKG SYVSVERIRKLPTTENESQAQIEWLMATASDARGSLPGWVQTMAVPGQIAKDVTLFLE WVAKEREKKGGLVA NEUTE1DRAFT_150384 MDGHFGHRPANHSQSQSQTQSHNHGHHGSLDLRFQYLMDSSRQR HTPLSSSATPQVSPSTANDGSRIPTNTTVGYESHDDLCVDHCEVLGLYQGGSQFPNHR GLAANSLGHWSRRYVNGQDALLDTPHVDLFAHNLPSNDFMPMQQFGAWSQWQDHQSQQ SMQECGEDCQSNAEDSCCDSECAMTGKCTNIACANGEDVCVDQSCPERSMAFPSDLVD GAAALLSINHGSDMLTQGFNFSSMGGMNSMCGMGSMANMGNMNHMNDIGSMGNMNGMG GMSNINNMNGMNNPNHLNSLNGLNQNMNQVINGMNQSLSRNTQQTSLLSPSWLPNPLG NITSHLLSAHGDGESSNCTRPCLLDDPQNYPNCHIPVSFNAAQFGQYSSVASNFQASQ ALQQCGFEASDPEAYIRHFNTQHRPYFTNTELSKSNNSNGQLALPNLIPGSGGVRSSR TLTATEALSSSPATPLDTSDSGASMNTPSPLTPVSEAKTRAQSPSHTRSSSADTSETS YSDNMDGDNDEHKCLWREDNGKLCAQTFTEAGDLFDHVSNVHIKHASKGNYGFLCAWD DCPRSHPGVHGFPQRSKIERHMQTHIGHKPHVCKICHKGFSAKQALTQHMYIHSDQKP LECNICFKTFRYPSALTMHMRVHSGEKPLQCPICGKKFSESSNLSKHKRTHEVKGRFN CNVRGCCRNFHRQDQLRRHMKTHQKDVVDGRVVDIYTSQLPLPADFDFPLKEGSADPT VFRDESAEIGDEGSH NEUTE1DRAFT_105909 MIHPFATISKELHDPSSYLKSSAGHKKQLPIVRAESDQLQFPQF RPANPKRTAV NEUTE1DRAFT_91811 MGSCFSKGSGSGSGSGGNFRGEGHVLGGGGSNPAAVAARPAPAS STTGGGGYGTVNAPASSVPPNVTTGRTTGGSVSGGRTLGGASAGPRAGEGGTEGGSDD PKSKAAQAAMARFNRDQGSGADLSKKLRAQKGMTRDALLKEESERERARRAADQAAEA RSYN NEUTE1DRAFT_150386 MARLRDSRSPSPAGSLSARKRKDDDRRDRDRRDGPVDHRRRSRS PIDRRYRDRDRDRGRDGRDRDSYRRRDRSIDRRDDDYYRGSRRDGDRRRSRDRGLDRL RSPDRRRDRSRDPDREYRPRRDDSRDRARVRREGTAESSSHRRDDARARDQPKPGSTT VKENEPAKSTPTQPQTEAEKKAERLRKLQAMKQKHALKEAKEADVTAGLTRKLFMEMD QRASGAVVGSGTNSPAPASPAAAESPPSPAPYVGKFDPKAIARNAKPARASSPVRLGD VKLGDVKVGAPVAAAASIAGQGKGVASGKAGLLQTGRPISTFGFNKSADALKTTTKRK IDMGDEEIIKRKLVKLPDLALENADDIPYADDDVAEDAEKDFDVLLAGTEEDRAEAQR LLRERREEQIQKESMAMEIDSAPPNMEVATEPVAQNPTAMDVDDEVDPLDAFMAGLEQ TASGEESHSKADTQTEKKGNIPPEAYFSDDDYGYEADGTDPTSILAMASKKKKKDIPT IDYSKLDLNPIRKNFWVEPYELSHMSEGELAELRLELDGIKVSGKNIPKPVQKWSQCG LTRPILDTIESLGFEKPTPIQMQALPVIMSGRDVIGVAKTGSGKTMAFALPMLRHVKD QDPVTGDDGAIALIMTPTRELCTQIYSDLQPFAKALKLRVVAAYGGNAIKDQIAELKR GAEIIVATPGRLIDLLAANGGRVTNLKRATYLVLDEADRMFDMGFEPQVMKIFNNVRP DRQTILFSATMPRIIDALTKKVLRDPVEITVGGRSVVAPEITQIVEVMDEGKKFNRLL ELLGELYADDDDVRSLIFVERQEKADDLLRELLRRGYGCMSIHGGKDQEDRNSTISDF KKGVCPILIATSIAARGLDVKQLKLVINYDAPNHLEDYVHRAGRTGRAGNTGTAVTFI TEEQENCASGIAKALEQSGQPVPDRLNEMRKAWKEKVKAGKAKDASGFGGKGLEKLDK DREAARMRERKTHKAEGEEDDVKEDAPAEDGEKKDKTKVAIQSAVSAIVSRDASKAET DDKHAIPAGAVKAGHHASSGKSGGALDKAASAISEINARLARAGQLRPGQPIDNKGPD AGAFHATLEINDFPQKARWAVTNRTNVAKILEATGTSITTKGNYYPPGKEPGPGQEPK LYILIEGDTEVVVGNALSELTRLLREGTMAAADAESRAPASGRYTIT NEUTE1DRAFT_150387 MFSQIALTTARQLRAGTTLVVRTAVAASTSSTTRSAAPSFLRRS SVVFQQRGYALTRSKKTAAESDDTAATVTKTNKTRPAAAKSKKSAAEGATGAGARAKT PRAKKEAAPKKPKKPKAPKKKAPLTDEQKKKLRIRLLKQRALFKEDPKTHRVPAWSAY ISEHMKDVLKEGDDIATRRGYFKTLAAQWKELSDAEKARYQAKADKAHQDGVATRAAW VLTKTPMEIEDANYARHALKRLGVTSVVHPIPDDRRPKRLRSAFLFFCMSRQKDDMYA GKPLPEIAKALAEEWKSMDDAAKQPYVDNAAVDKERYLSERAAAAAAHATA NEUTE1DRAFT_91817 MKDPCCPSRSTGVMNKKSATEILVHITAPSRSKDDANYRALANA YHDFEPTTRTSVFSKTISPNVVVHQAAVRPQPVGNGSLGPSTQPNQILRSSEVAGFVE TPVSSWRDAANNLASPSLRMRHDEPSQYSQLSWQPPASVVQDSLPDNNVTLSQFCTPT RLLEDYLHPGDSSQFLSPVIERAPRGQQPTAARIQSQHEGYSTFPNISHISQRRQVVA GDPHIALLPPDSSLQGNNQEHAAEGDTQRTLSSPIKTAKKPHVSAPSERDLLCRQGAR RMSITEYSPSRQTPADPRGKVIPLSPVINANNKRRRPVQHGPSPSSRDSDFTIASSQP AAQEQVPPTDTILCKQVLSKPCLSSSRTESEPPPIKCRRTSPEPAPAPAPSSRAAAPA SLTFLSVPSSSANPASSSGKPLVRSSSDIGPRQDAIKSNQIASRSARLSSVLELYSPC PPTSDYVFDLSSSSSKEKGEGEAENDLSLMTPVLAKLAIDLKLPKRFQPSLRTRALRP YERGYWLVDCTSWGRELKESCWIFLADYLGSGAAGWGVWCTQDEAFTRLRLYCWGAVV GHLYLVLYIISKREVLYTGTEWVDGDGEVVIRMEARSRSVMATGVGTLSAR NEUTE1DRAFT_119017 MASEKKPELGSVMVIGGCGFLGHHVVRVLLRDYICSVSVIDLRC TRNRRPESDGVQYFEADITDAAKLETIFNQVKPQVVIHTASPAAQSNDSVSHALFKKV NVDGTAAIIKACQQTGVTALVYTSSASVMSDNKSDLINADERWPVIRGAQQSEYYSET KAAAEELVLQANRSAAAPSLLTCSIRPSGIMGEGDTMTLYHLIKLYQNGKTSVQVGDN DNLFDFTYVENVAHGHLLAAVALLQTSKLKIAPLDHERVDGEAFIITNDSPVYFWDFC RAVWNAAGSPHGTEHVWVLPRDVGIVLGFLSEVFFGIIRKPPTFNRQRIIYSCMTRYY DISKAKKRLGYKPLVPLDEAVKRSVKWTLEQQKGEKLQ NEUTE1DRAFT_126377 MGFMPGLTITTRVPPDAGTTSPIGSSGTAGDVGAPDAETPQNAP SPTRPPVSPITPTQESARLSGPDGHGDGPIYDEQAHRHRHRQQHTTFSSSASTSSHSL TPPFTSANNNGNNNNNNIPSFARGRPTFTHSQVDQVGIPPPPPQPIAFDDNPDVLALK SAITILQLQRQRATADIQALNRAKAAALSDPGAFVADLAAGRIGMDGDPLLNGPEPTG DGSSDDDDDDDDGDEDGDEDMNSEGDSVDGEDDGEDDGEDDGEDDGEDDGEDDGAEVP SSSESPHDPDGDISMSGAGAGNTLSTSTPNCNSNNTRRKIPSRKDRVSRTTTTTTTKQ PQGPSKTWRSLPKPQTVVRCPPINWAQYAIVGESLDKLHREQLTAPTLGVPAVLSVGG VYEFRGTAAAAGAAPGGGVVSVGNGGGYAMGDTTATTDRTSTPPTTTMATTAGTEAQQ SPGETLGQGQGQGQGQGQFPTLGRNEQPQRLVGIAAPYTPGRDKIERTTGRKGAKR NEUTE1DRAFT_150391 MSKTGSSSSFPTRDDDSIMAIQRTSTSRSGSSSVSSKSKTTTTA KPSKARGGGGKPSGKFLGGIFRKVADWASTSEPSMQALSQYKQEAFQRAGVSPNDSEA HTKLHAPMGKIPEDAIRPTTGPTPEEVLMRKKTERKKRQIEEELRRGSIGSSGRGGSS PVRLGSVSSSTMSGGRGFGTGTGTASSTVGSGSSRKGSVLGGSSAVPWDASGW NEUTE1DRAFT_91823 MSTKNWPHNGHGASDPDRDRDDLAVGRSSVDTHHHEPDEHTRLL PNRVDSTSISYLSPDDPAVSPYNLWTVRLVRAITVIFTCLTFICLLFFAVPSQPARVV SIVTAALLLVDVVMMLAVEKTRHEELWVGVASVIWATLMAVWAVAADRTVQWGKTEEE ERLTGRPETRRTLLEWVQVLLSSIMLTLVSIVVLLTTCTLTLRALDARVAPPGERYWV DEDKYQIHLFCSGPKVDAHGNRTTTVLFEGGEDAVERELWQFADNAVKNGSIARYCFA DRPGIAWSDAAPSPLSASMASDVLSQALSRAGEDGPWVLASAGIGSLYSRVFSSRHGQ EVRGLLMIDPLHEDLLGRVGAPGRGFRLWLQGVLSPCGLDRIPAAILRHRSARDRIYG GSAYQSGGVIFAKLQESLVANSLTRRDVVSSRTIQYRTTPVVVVTSGVQMRKDSEWES KTRRLIKSGGLWRDAR NEUTE1DRAFT_91826 MASSDYGGGPVGDREYTHGHPQGHPQGEVAHRREDEHLDITPTI SQNGAPTNAHLTRIPGSPALSAADATDLESRRSGEKNRSKPKGSRTASGQVRVCKKCG EPLTGQFVRALDGTFHLDCFKCRDCGQIVASKFFPADDNNGEGQYPLCETDYFRRLGL LCYQCGGALRGSYITALDRKYHVDHFTCSLCPTVFGAQDSYYEHDGHVYCHYHYSTQY AQRCSGCQTAILKQFVEIFRNGQNQHWHPECYMIHKFWNVRLNPPQKALGPVPDDPAG RELVRDEEERMEEKVYRIWSVLSTFEESSAACISDMLLHVSNGAYVDGVMVAKKFIWH VDILFHSADRLDATMERLDMTGLMYGREAKLLCKKIVAFFSLLSKTQDKGAPHYLKLL IRICLQGALRIEKERDTADGLDGFLDELNDLEALKTEDNSTTTLQLTSGMARLSASDS DSCTLCKKPIEDECAKSGDKRWHITCVNCSRCQKELGRSLQDARLNLYDSKIFCNNCE TYSSDKAPPFERVSKLQQYMFLLQVALARLLEILRMHGALPSAAEARGHNGIDAGDGR PSMDGRQRGFPGGDQSLHHRESSYESALNDVKRLKSTRLDKHLSSSFRKARTSRIMDG PESSSAQPGSGPGSGIDGGPANGRRMRIEEDMGPGDDHDMMLPNQDALTLADIPRIVA AEQAREQRTGPPSRHELLRSPATEPSFGGASSGSGHKRSYSDSKGGDPRAAGEPSPQR VGRRYFSELSALEYFIIRHLAVVTMAPMVEGEFTLVELIGLIETRKTPNFWKNIGKAF QKDGRKKKGVFGVPLEAIIERDGAESTDGVGPGTLRIPAVIDDILSLMRQMDLSVEGV FRKNGNIKKLGELVEKIDKEGNVDLSGNNVVQVAALLKRYLRELPDPLMTQKLYRLWL AAAKISDEDKKRQCLHLICCLMPKCHRDSMEILFCFLKWAGSFHQVDEESGSKMDIKN LATVIAPNVLFDRTATSTLGSDPMYAIEAVEVLIANIEEMCLVPDELVQYISDPAFLD GELTTKEILKRYSERNTGGFGSRQYADVVEIVGRHENPSRPPPRRVESDPTSWQQETS VRTVTENAAYLGPGPTSGGGGGGGGSGGNNGGGMVDRTGGPGGAVSPQKRGGPGGGMG PHPLSQSHGDGGGPGGPQQQPIPYGPAPEHVAAAALGGGGGGGPGVGGQGGNAVGVGG GDGAPGGGGGDYNRNGGSTTGGLHNHNGQQQQGGGPRKEWRNSGWGRPNNGVTTGTA NEUTE1DRAFT_150394 MDQSVFRITKELSDLQKDSDLSLAVACRDVDVRNVKAMIIGPHE TPYEFGFFEFVFVFNKNYPRSSPQVQATTTNDGRTRFNPNIYANGKVCLSILGTWRGE RGEQWSAAQGLESILLSIQSLMSMNPYENEPGFEDAKEAQDQKNQKDYIQKIRHETIR ISVVHRLEEYLGINPDGTFAPTSVSGEIASLGSGESDMDILDEESSVPFEPFKDLCKR RFLWYYDSYLAAVEKGKSEVKDNQSFARMPFESLGNGMEGKFNYTELEKRLKVIKAAL DAETEGWAAEGLQAKAQETTVAVNLQRQFEQVVEHFKRRDLAHSIELEDGNPFVWLVT YFGKPMTNLDGGLFRIRLCFSARFPEEQPRARFETKIFHHRIAADGTPCYFAPQNRRE DVRTHLEAIIDALEEESPPYDPRTLVNPEAFKLFWGSADDRKMYNRRLRRSVQQSMEE F NEUTE1DRAFT_73134 MASFFDIKARKAAAANGTPNPEKQTKETPRAHPWVEKYRPKTLS DVTAQDHTITVLQRTLQASNLPHMLFYGPPGTGKTSTILALAKELYGPELIKSRVLEL NASDERGISIVREKVKDFARMQLTNPSAAYKARYPCPPFKLIILDEADSMTQDAQSAL RRTMEMYSKITRFCLICNYVTRIIDPLASRCSKFRFKSLDQGNAKKRLEEIAQLEGVG LEEGAVDALIKCSEGDLRKAITYLQSAARLVGAVAATGKDGEQKETEGDDEMEVDAKL VTVKVVEDIAGVIPDDTIQRLLEAMRPRAGVDTYPAVAKVVEEMVADGWSAGQTMTQL YQAVVFDEMIPDVQKNKIVMVFSEVDKRLVDGADEHLSVLDLALRISGIMAGR NEUTE1DRAFT_91832 MDSFQETLEAVQKVLQPYTRPREEAAHIRRILTLHLSSGLKDGA TLTEPLSLTESSEVPPYSQDTRGIQSNYLLNHLTNLKVQKKQEKLLVVKKNLDLLRQK PAASPDFLDHQKLFRVCRPLPEVPTEIINSLALEETSENITASSSVRLEDLEETLLEN AKSHSFAVQPEEINPKAKLQALNATRTELINWVETELGKASGEIRDGGGEMAGQDDSQ VQRDQQLTHKTQLLNQQLTSIKEKYAEYLSKRKALLELVTTQLRSLPSLDFPAITAFS SSPSAASPERSSTQAAAAAAAEAPTPAPIIDHLLTPTLARLLTLSHEHKGLMAHKAHI TTLLTKQLRENAQVLDHLVEESQLIPAHATAVVVQKKKHDDDPNAMMSSRLVNPWVSA ADSAKIATFEVVVEKIEKGQIALEGAIRMLGEADRLLGRGLQQQQQRQQSVKAACGEE EGNDVDDDDDDDDEVGEESDIWLVEGQKSLKITNSTGGSGLGTRYDEGGRKGKETTGH REGGSLSMWNMVDVDVGLGKSG NEUTE1DRAFT_126385 MTDHHRDVSQYKYSAMSNLVLQADRRFVTRRTDEATGDPESLAG RLSIKDMGSRVARDSAPKPKKAGAMPDVERGSLREGADILQREKKKGKLESATRGGGI LTGADALIEGLRYRPRTQPTRDAFNLILTIVAEHLGDVPHEVVRSAADAVLEYLKDDD LKDFDKKKEVDDILGVSMGPKQFNELINLGKKITDYDAQDEDEDAGDARQEGDDEIDG RQGVAVNFENDEDDDGMVDVVRDESSDEEDFAEDEELPDSNEVVDGEGGQEEVVEDIL NGEAMVIESAPEGKKSGSDDNSIPARDIDAFWLQRQIGRLYPDAHIQHDKTMSALKTL SGEPDEPGGEEKQLRDIENDLMELFDYEHHELVQKLIANREKVVWLTRLARAENEEEK NTIKREMASEGLRWILDELEGKTDGGQKKIKMEIKMDIDSGAFADKEGQKPERPEGQL VGGLQPRKLINLENLIFDQGNHLMTNPKVRLPEGSTKRTFKGYEEIHVPPPKKRNDPS DAHVPISEMPEWAQIPFSTAKSLNKIQSKCYPTAFGDDGNMLVCAPTGSGKTNVAMLT ILREIGKHRNEAGEIDLDAFKIVYIAPLKALVQEQVGNFGKRLEPFGIRVAELTGDRQ LTKQQISETQIIVTTPEKWDVITRKATDLSYTNLVRLIIIDEIHLLHDDRGPVLESIV ARTIRKTEQTGEPVRIVGLSATLPNYRDVASFLRVDTNTGLFHFDGTFRPCPLRQEFI GVTDRKAIKQLKTMNDITYHKVLEHVGQNRNQMLIFVHSRKETAKTARYIRDKALEMD TINQILKHDAGTREVLSEASNSVNNTDLKDILPYGFGIHHAGMSRADRTDVEDLFASG HIQVLVCTATLAWGVNLPAHTVIIKGTQVYSPEKGSWVELSPQDVLQMLGRAGRPQFD TYGEGIIITTQGEMQYYLSLLNQQLPIESQFASKLVDNLNAEIVLGNVRSRDEGVEWL GYTYLFVRMLRSPGLYQVGAEYEDDEALEQKRVDLIHSAATVLKKSNLIKYDEKTGKL QSTELGRIASHYYISYGSMDTYNKLIQPSITDVELFRVFAQSAEFKYIPVRQEEKLEL AKLLAKVPIPVKESIEEPTAKINVLLQAFISRLKLEGLALMADMVYVTQSAGRILRAI FEITIKKGWASVAKLALNLCKMAEKRMWPTMSPLRQFPNCPVEIIRKAERIDVPFSSY FDLDPPRMGELLGLPKAGKTVCSLVAKFPRVEVQAQVQPMTRSMLRIELAITPNFEWD ADIHGLSESFWIIVEDCDGEDILFHDQFILRKDYAESESNEHIVEFTVPITEPMPPNY FISVISDRWMHSETRLPVSFRKLILPERFPPHTELLDLQPLPVNALKAKDYSALYLDW QQFNKVQTQTFKSLYETDNNVLICSPTGSGKTVCAEFALLRHWAKKEHGRAVYIAPFQ ELVDLRFQDWQKRFANLRGGKDIVKLTGETTTDLRLLEQGDLIMATPLQWDVLSRQWK RRKNVQTVELFIADELHLLGGQMGYVYEIIVSRMHYIRTQTELPLRIVGLSVSLANAR DVGEWIDAKKHDIYNFSPHVRPIPLELHIQSYSIPHFPSLMLAMAKPTYLAVTQLSPD QPALIFVPSRKQTRATARDILTACLADDDEDRFLHVDVEQIQKLLDRVQEAALAEALK HGVGYYHEALSLNDKRIVKHLYNNGAIQVLIASRDVCWELDCTAHLVVVMGTQYFEGR EHRYVDYPLSEVLQMFGKALQQNKAGRGCGVLMVPAVKREYYKKFFNEALPVESHLHN FLHDAFVTEISTKMIESGEDAINWATFTYFYRRLLANPSYYSLTDPTHDGLSQYLSDM VEATLKDLAESKIIDFDEDDGTVAPQNAAMIAAYYNISYITMQTFLLSLTAKTKLKGI LEIVTSATEFEAIQIRRHEEVILRRIYESVPVKMAEPVFDSPHFKAFVLLQAHFSRMN LPIDLAKDQEVILTKVLSLLSATVDILSSDGHLNAMNAMEMSQMVVQAMWDRDSPLKQ IPNFTTEVIKTANKYGIRDIFDFMEKMNPEENADYASLVRDLGLSQAQLAQAAEFTNN KYPDVSLEFELEDKDNIRANEPAYLKINIEREVDEDEEFDPTVHAPFYPGKKTENWWL VVGEESSKTLLAIKRVTIGKKLNVRLEFTVPTPGRHDLKLMLMSDSYVGVDQDPAFSV MVEEGMDVDESDEEEEEEE NEUTE1DRAFT_150398 MSDDSTTNAPAAAAASPTPAAEKEAVPAPATTQAGEAKKEEPAA ANAAEEKAASASETAAPAPSEAPAEQESDKAARDTEDKPVDKSDDKVTDKPEQDQTEK SDVEMADASAAQTPAPESAAQTQQTDDASPAASAAATSKSKSSSSRRKSVGGGSKPSK KAGKTKQLHLDAQPGDHYYVKLKGFPQWPVIICDEDMLPQSLIKSRPVSAMRPDGTYR EDFADGGKRAHDRTYPVMYLHTNEFGWVVNTELIELDPTAIKDMKMDKMRKDLAAAHH LAAESHPLSFYKEVLQRFQEELIEQEKAKAAKAAATPKGKKSKTAPSEDEDVEMADAS DEQPQTDKKKAKKRKAEEPVEETPQRSESVKKPKIKLTTNSTAKTANGAAATPKTAKP AAEAKASKAKPAKKTKETEEKKGEKEAATPKEQELTPEEKHTRKEREVLFLRHKLQKG LLTRDQEPKPEEMKVMSEFLTKLEAFPDLEVSIIRATKINKVLKAILKLENIPKEEEF KFKPRSQTLLDKWNKLLAGEAASAPAPSADKEATTNGVNGKAKEAEKEAKENGSKTNG AKGKNEEPSTSDKAEETPKDKDGDSKMEEADAKAEEKAEKKDMEEKTEKKDAEEKTEK AETEETKSSEMAEAAA NEUTE1DRAFT_126387 MAETHDETPVFSEEDDHRAVYIFNPRAGHHTSLAQEKTARPVKK RRVSSKRAWSASAADHAGAVPHAEEETKTTTTAAAAFSQLFGGKESVEAAGLRRQVFD TAWPVLDGRIKNVLREANRTTLEDVSSFIRDAEGDATRGTRIPAGFIITGPNIASQDV LFEQLSEALGKATQARFVRLRAAGAPNLKAALKKVIRDATAQVSLDGGDRDEDTEVAL GRDGRKYLDYDLEGLQAFFGAQQDSSRRVVVAFEDSEAFESSLLTDLLGLFHSWQGRI QFSVLFGIATSVELFQARLLKSTARQLFGAKFDVVQADLVLDNVFKSAIAGTQATLRL GPTLLRSLLDRQRDQVAGIRVFISSIKYAYMCHFYANPLSVLLADEKSLTRQLLQPEH IQAVRTLDSFKAHVEAAVDARQLKHAQLLVEDDGYLVRQMVEQRQKKQDYLMQLLRSL HLLTSMDLVPGRFTDLYVAALAGGLDLTPTIDGIKRLGADDVPALINRLLTAIRTGSP DLDLPGWEDEAEELISSLCEIRDEIEALAEQAQEKGQQALKSKFTAQSKVLRTTVIAQ KVQLSQDTAKLTDEDKAFGKAIDALVDLLTHHIYSDPVDSLFLHEAWAYDTEAPYRDV FVPRPGTTFERALTRPHAYLGCECCSKANGTLSATLPTTCILYRLYLETGSLVNVADL WSAYYALVGEDSVDGGLGEREALVQFYHGLAELRLMGFVKSSKKKADHVAKVKWLL NEUTE1DRAFT_33727 SPTFASKLRQLALPLAPLVQLTSGTVHPEFPQTLLSFWLLTDDQ LDRLASFYHQRTPCQWTAHYPCPVSWPAGMGIEEKRRRIGRFIGLRGCESPLPTG NEUTE1DRAFT_91842 MFSLDWRGLVLPFAYLIVLTGTFMTFSTIYRKRKAQQSANLAPW FGPHLQRNVYLSLLHMEPENGSEKAPKVPESVLRAALLRRAVEDIHRIIQIRAAKAAC SSLLQRGSIGDDLWQRFQRAEKEMEEELRDVVMEANALAPNWGQSIFQTANEIAANTV LRERLDEIQAQATQEKEWWEKRRATVRSEFMKELEGDETGTGTPADTSSVTSSPSNKK GKN NEUTE1DRAFT_105928 MLLRVRGPDGMLRLTLEKDDTFADLGRQLIPKLPPTVDPKTITF SNHPTGSDAKNLSERPENSHGDLIFVTYKHNDAATDGPGNGEATKSSVLSSTNRLNGK PILPAEDLPIDPPPLTSPHEHIKNPWETVRQSPLDDRLDRRDGKIPRGRDHKMCRHGP KGMCDYCMPLDPFNAKYLEEKKIKYMSVHAYLRKINSATNKPELGASFIPPLVEPYYR VKRDCPSGHPQWPEGICTKCQPSAITLQPQPFRMVDHVEFATPQIIDKFLNPWRMTGC QRLGILYGKYLEYDVVPLGVKAVVEAIYEPPQVDEIDGVTLNAWENEKDVNEVARLCG LEPVGVIWTDLLDAGKGDGSAICKRHTDSYFLAAQEICFAARLQAQHPKPTKWSDTGR FGSNFVTCVISGNEQGEISISAYQMSNDAVEMVRADIIEPSTDPGQMLVREEEDDDGS VSRTRYIPEVFYRKINEYGANVQENAKPAFPVEYLFVTLTHGFPESPRPVFTNDGFPI ANREFVGEAQEASAVAKILKVNQKSDQFDVSNFHLLCFIRQMSVLSKDEEALLCRVAT QHDLADAFQLRATEGWRTLHMILESTGERLPKRPRTEDASFPSVDRSYLSHHPLMQRN HNSTDEPLAKRFAAVRLNEHRPPPPPPPE NEUTE1DRAFT_91848 MFGSQEENINERAPLLGNTQSSCNTPSEPTSKVSRKVAWLHHPR RQTIALILSTMLASLIFIGVLLTCIALREGKKSNGLTPPMVNLTYTSYEGIRLSNGVN AFLGMRYAAPPLGDLRWRAPVRPKRTNTVERADQFGPICLRAGVNAPSPGQSEDCLFV NVWAPANVTEKSKLPVWVFIGGGGYNALTNANWNGSEVVQKSGHNIVMLDFNYRVGMW GFLASQRVKDDGDLNVGLLDQRMLLKWVKKHISAFGGDPDHVVIHGASAGAGSVVMHL LAYGGRNDNLFVGAMSESLFFPAQPYVKELEYQFDRLAQQVGCSIVNSSEQLTCLRSQ NVTSLQAANHAQHFPGHDGPLLPIFYWTPCVDGDFLQDLPYRLIRRGRLLNVPILFGT NTDEGSVFAYDSLTPSDLTNFFTTNYPHLTPSDMRHILSLYPQLPPLPNRNPWYPTTS LAYGEATFICPSNNFLDAFVSASPSPSPSSSSPPPPSNQTQRRKAFAYRFNVRDFTLQ AAGVGVPHLFDAAAIFGPDNISGFGAGEGSSYKTYNAPIVPMFMAYWISFVRTLDPNI LRERGSPEWATWGRDSSSLDESGDADGSEGGEMEDRGRRGRAKRLLVELGDTRMEEVG EEESDRCRFWEALGDRLEQLRRVS NEUTE1DRAFT_142815 MTSTTKDPSTSGASPDSDSEDDYMKMTFADEPSTTTSSKSGKPI ETSLQRRLRLQREGEIRGRVKSKAELAAEEEARREAALSTSLFSKFVPSPSTAPSFTS PSSATVPPPPPPPPPTAAKSKGLAMMAKMGFVPGNALGAGATEGRTEPIKISIKDGRE GIGLESDRKRKMREAAEAAGEMAKKARVDEEGYRERLRREREEARLERQVLAAQKVAQ GMDEDHENEVRGGSEKGSGEGEVQKSEERDDTDGGNSQARRRRTRTDCCRPLRSIPVV YRGLIRSCEEARREAMMRHDLEQSSTLSSTRLPTYVDDELDMDDKHALGKDTTTVHKP WNTYVMAEDLDEEDPELDEFNTLSPDERLRRLVQYMRNKHHYCFWCKAKYDDAEMDGC PGLTEEEHD NEUTE1DRAFT_73152 MLSTVQQAGRAPALLRHGRRVPVQASQLRALTSGAQNTSIFQTQ ANAAQRLSSQKRWLSASAAAAAASQPAPDAKAYIQSGVVKPRDHVDVKKVLVIGSGGL AIGQAGEFDYSGSQALKALKEAGVASVLINPNIATIQTNHSLADEVYYLPVTPEYVEY VIQREKPDGIFLSFGGQTALNLGVQMQKLGLFEKYGVKVLGTSVRTLELSEDRDLFAK ALEEINIPIAKSFAVNTVDEALDAANKIGYPIIVRAAYALGGLGSGFANNEEELRNMA ARSLTLSPQILVEKSLKGWKEVEYEVVRDANNNCITVCNMENFDPLGIHTGDSIVVAP SQTLSDEEYHMLRSAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSSALA SKATGYPLAYTAAKIGLGHSLPELPNAVTKTTTANFEPSLDYIVTKIPRWDLSKFQHV KRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPRFVGFQGDKFEDLDFELQNPTDRR WLAVGQAMLHENYSVDRVHELSKIDKWFLYKLQNIVDCQKEMQQLGSLEALKKEHILK AKKLGFSDKQIAMAVNSTEDLVRAARKEFGIRPWVKKIDTLAAEFPADTNYLYTTYNA SSHDVTFEDKGTVILGSGVYRIGSSVEFDWCAVSATQALRAMGEKTVMINYNPETYST DFDTADKLYFEELSYERVMDIYELESASGVVVSVGGQLPQNIALRLQETGKARVLGTD PRDIDRAEDRQKFSEILDSISVDQPAWKELTSVEEAEKFAEEVGYPVLVRPSYVLSGA AMTVIRSKEDLKDKLEAAANVSPEHPVVITKFIEGAQEIDVDGVASEGNLILHAVSEH VEQAGVHSGDATLVLPPANLDQTTMDRVKEIAQKVAKAWRITGPFNMQIIKAEDPEGG LPALKVIECNLRASRSFPFVSKVLGVNFIDAATKALVGKNVPEPTDLMAVKRDYLATK VPQFSWTRLAGADPFLGVEMASTGEMACFGKDLVDAYWASLQSAMNFRVPEPGEGLLF GGDLSKSWLTTIVDYLSPLGYKLYAADNEVKQFLESSAKTKIDVEVIAFPTNDKRALR EVFAKNNIRGVFNLAQARGKTVFDVDYVMRRNAVDFGVPLFMEPQTAMLFAQCMAEKL PRPEGIPSEVRRWSEFIGGKPL NEUTE1DRAFT_126392 MGVQARPPPPAASGLKCVDSGERKPRHRPKPGRQRGPELGQLAG LGMPMSTGRCTQICEAQTAVESFYYPQLFNAPTITLPLKPRRAMNDDSEPSFAARQQE QEQQQQQQQYIPPHNANEDGSRRNDNHERSTELNGSAHDDNDDDDQTSREEKRKLGLK KKLQFMSHLQRNLDMIYFAYLCTLYYMECSFLRLFVRIIPHFMFITPKEGPVLLPAER PHVFAILGPNLICILAHIISAPPVAGEATRGYLHGGVIVDFVGQKPPTTRLGPLFFDA VILAIQCLMLAIHTEREKLRKVVNPSLQPLWAGPGQVGQQTGASGSDGVRQPETSQTL DAEERGVNREDEMALGDGEGTETDRLVAESRGGQGQDDEQRLGSNYSPASAGIDLFDV MRSGNASVGSFHPVHAIRTVGNDYQTAAAYTVQSIGFHAAAVAGNRGVRGIAGITNRA ARRGT NEUTE1DRAFT_133500 MSGEKTDFIRFTGHRAFTHRIVLSTLTGRPVHISKIRSSSATHP GLAPHEVSFLRLIEAITNGSVIDVSYSGTTITYQPGLITGTVAGVNAGAVGDVVEHTL PANNTRGITYFLLPLALLAPFSKAHMNVRFTGPGVITSATYLTGDISVDSFRTAVLPL YGMFGIPPARIELRVLSRSCSGPGGRGGGGVVELRFASQVRLPKTLHLNRRPGRIRRI RGVAYCTGVSASHNNRMITAARGVLNQLVSDVHVAAQYDPAPLVGDKSGAKKKIGIGF GLSLVAESSADGVLYSADVVAPPEGGVVPEDIGARCAYQLLEVIAQGGCVSNVSAPTL LTLMAMGSEDVGRLRLGREVLAREETIGLARDLKKFGAASWGIRDVDDDGETTDLVVS VKGTGVGNVGRKVA NEUTE1DRAFT_91859 MPPRTFALELSRTSSYVCQSCIASIGRARPNPQPWLTRNASSAA RAARRQRAPTTTKQLEEEQAQRFQEALLREAQKGEPADTDEGPTEPLAVNYFNEETEG QYRQLRDGAEFGAVSGGIDSEISEAINDLEQKMVQTIQMLDSLEKVGGSEQDKAEELR KQFKKILREKYKGKTGPEGESYGVLRIPGFSGVRQRAVANLNSFLARDSVVKGGVPKP KDLFDCWKYYSAARSSLATQWSNVPREVWDFLWMILSHEGEGVENPNRMHHIYVLAKD MQAAGVVLRDSQQLLAIEAMFLEGWQEEAIEAWKKAVVTIGSKPETFKGYYELGVRMC SLHGDTDRAMRAADTLLKSSESPNPRIMIPIVRALAAKEENVEEAWEVYRDMRALLGD TMQIEDYDEVIACFLAVNHIEHALQAFVDMMFSGPMDIRGKTRLPTIVGNHFFLGKWL KRLIGAGDLDGAYKVVTFLQKKGVAASPIQLNGLVGAWLRTETADNIEKADQLAWAMI KARLDFVDLRRRAAAMDRPIRLVPRDGEPTPDDYVCTTRATVETFSLLAENYSARGLH DELEELWTAFELAELGPNSFMINQIIKSYTESKGPQEAIDFYEQMTKKRHVRRDAHTF IALYNTLSVNRVVRRDSKLTADDAVIGRQFFQDLVKMDWKFDSVDEYTYLPRTVLFSM LKAKDHAGMIVAARTMKELFSYSPPEQLLIELAAGTATLRVQSKRNMDRIMEASRLIE SLIRKHTQVFADLGGDPAKMTTQEKDNQLCAVFEELVLVKAHAQNAERSAVNNILAQA AEEMGVFDIVFTRDPAVIRRYRKVMKNKDVEDDVD NEUTE1DRAFT_142820 MASAQQQFSPKLRRQTAEQGSSASQVILSPFWKNLARIEQKQQA AGTPPTLHSQGFLRRKRSGKRNSLRRVPLTGFATGIGLTLRSFGFLGNGPLRRYAVVV HSVLVGTGVLDDALRRSLGGSS NEUTE1DRAFT_52571 MHGNRLRDIFPAHHAIKLEIPERMDNWLFSHLLARPATAGKVCF VEHCSMLTASQTDRRVTVNWKPSTIELGGRSTCADRSEERTTCGGCSGRIPDRSLHLC HASVLKRYWANLEDRALMNSHGLDISAFTARHVIQIGLHGVPLNDERLTCSAYTFHSR PGHPTLQTGPGVFHLPIRGPLVTVRGRLVHGHRLPRGSAKYTVGL NEUTE1DRAFT_105935 MMSTGKRRASKEVATTTERCGGIAVCRMVLIGLIRPMKLWLTGT QKREWCCSAVGSPVHLTSFASKQIGSACLNYDVWIPQNGPTAATGSVPVSARSVLFEG LASGNASAIPAVITRSNSKLNKQFPYHIGQQRHQLYWAVAVANSTGAVKSESEPGLEI AHVDHSAVSTLVLTQPRLPKISVLMRTRV NEUTE1DRAFT_91862 MEDIMAQPFIFYESDASPERQQRQHIFNPFPYQMNMLPVVPPVP STPIYSRRNSGCLQSPMQHKPFLNLDSITSMPVPQVLTPMASPQPISHARPAIKLQTE MGDYCGMPPSPPLTRSNSAASSPRSCDLLQTPCNPMVSGMDGLEVKPAGHEMKPYSPP LTPVYLPDQARIQVPMLPSNDLSLNTPTPTASGLLTPTPTYTTLLSPAASPLVQPASI FPVPVDGVCDPRELTVGTVNSTLAPEYFPTIYSPDEEDRDYFSQGIAPQRLATPFDYS FNPQLTAGLPVFDILSVLTDIDSEDESPFTSGSCSLVESSPSVSSRSRSSSGAASLDQ SSLFCDELEDMHDVESVEDDCCSDSEARPSKRARHARKSTMDVAADNQTASTEKQAPA SEHTQQAASAEAESNNTESNATTESSVEAGEQASTPLPVPTNRRGRKQSLTEDPSKTF KCDICNRRFRRQEHLKRHHRSLHTQDKPFECGECGKKFSRSDNLSQHARTHGSGSLVM DLINDPELMGMHHPGFIHHPMMGPALTSEDCHTFGKVLFQVASEVPGSGSESSDDSDV NKKKRRRGE NEUTE1DRAFT_73167 MATPAAPPPAQGGRLNVIALISGGKDSFFSLLHCLAHGHRVVAL ANLHPPETNEHEGSQQEEEEEEEEGEEDLNSFMYQTVGHQVIPLYAEATGIPLYRQPI LGGATQGKDYSHFSTAVAVQGEGDNNAKAKQVKDDDDETESMIPLLLAIKRAHPEANA ICAGAILSTYQRTRVESVAVRLGLTPLAFLWKFPILPVPQHLAGGDVATTDAQLLDDM AAAGMEARIIKVASGGLDDSFLWTNVADRLGKERIARSMRRFGTASEKGAVIGEGGEF ETLVLDGPRQLFRKRIMVEEKDRRVVREGGGCAWLSFRSAKLEDKEVTLAASDEEKSD TGKIRIPDLLDARFVGVLEGLNTSASAGEEEARKLLALLSLEPQHSLSKQKGTFQLGL PQSLNDSKLQQWCFFGNASSSAGSSNTVETETSLLVSQIRQRLQQSNLTPSAILTSTI LLRHMADFPAVNSVYGALFDSPNPPSRVCVACGDSLSALTNNNGSISIAIYLTVHTGF TNKSTTDQRRQGLHVQSRSYWAPANIGPYSQAISLPLASLSSFSKPPNSTGGNHDDGN GGPRLVTIAGQIPLVPATMALPPAESEQQRQALNTQLALSLQHLWRIGLEVGVQWWTS AVAYFPAATTTTDSSSFSMPMSEKARLAYKTWQSAHQWSSSKVASDEEDSDANSDSDD DDGPDLWDRKFNPRYMSFAVTSTEDSSSSEPKLPDWSVLSKNNNIKDNKNKRRRATPP FFFAAQVAELPRSAGVEWHAHLGIAKAGSKSVTVLESFQANINSDDDTGEERVVEVHQ TVVRSPASTSEQDDDDDDMDDMDNDDDDKGVKNEASGRRPALLQTILVERYMGGSSPP LSSLSSSSSSSSFTAVDELAWLSTRRLINQGHGEPDNERGGPSVMVRYVDASLSAAAA LGGGGVSVAVVPCASLWDADGERLASVTIYQSVFE NEUTE1DRAFT_73170 MRVRGALQAAALLASALWAAPLLAKDHPTFKTTKLDTQPSNLNY FEGSDTILFHDPHKSNLWRSDDAGATWSVVKDIPDGKVARLYMHDFDSKRAFAITDGR KHYRTTDQGKTWKTFEVDAAWDTERFDILHFHATDPDRIIFNGLHCLGFLCEEVSLYT IDDFETPAKPLRPDTLGCWWAKSSPVFTTGDSDLDKDRILCIIMGVDSIFSEDRRLVI SDDFFKADKEGNIQEFEPNLAGDKPVRGVVNVAAVKRYFMVATTSANTDEMALFISTD TKKWQRAMFPNAHDDHDHKIVQEAYTVLESTNYSIQLNVMTGSKSAPMGIMFTSNYDG TYFSENLEYTNLNMFGHVDFENIAGIQGIFLVNKVDNGKEVDEKPSTKKKLVSEITFD DGRTFDKVTADGKRIHLHSVTELNNVGRVFSSPAPGLVMANGNTGDYLGDYWEDANLY VSDDAGKTWKKALKGPHKYEFGDQGSIFVAVRDSKEEDISEISYSLNHGENWVDEKLP NDLKIQPWILTTTPDSTGLQFVLIGKAKGAWHVIHIDFEGLHEATCKESDMEEWHARV DKDGEPTCIMGHTQTYPRRKKDAKCFLKKEFKLAEVETKDCDCTDQDYECDYNFERND KGICVSRGPIPIPEGACKDGDRNDKFLGTSGYRKIPGNTCKDTKETKDKYKDVERSCG DGIGAPPDEATGELQQVDTNGKFGHWTHWEKHYLERGESSSDSSETIIMRGMNRTVDD SGPKVGPIWRTTDHGKKWDKVDFFKDDEVISIVPHPTVKDWVFFLTEGKKLIYTPDRG KRFRTFEVPQPADFEAAANGIFPLIFHPDKPNWLIWLGKKCESKNDCYRVAYGTKDGE RWETLARDVYRCEFTGAEAYGRKYANRALEQILCLKHEKEGDNDSALQLVSSNDWFNQ DERVRLKEAKEFATMAEFIVVATEDTEKKTLRAYASLDGSLYSEAKFPFGFEVPHQHA YTVLDSSTHAVNLFVATRMEGDKSLGTILKSNSNGTSYVVSVKNVNCDQYFYVDFEKM VGLEGVALVNVVANPDAKSSAPKKLQTKITHNDGAQWAYLPTPKKDEFGKFPCSSSGT EKCALHIQGYTQRRDRGKTYSSEGAVGVMFGWGNVGDSLGSIKDADTFMTTDAGITWK RVKKGRWNWALGDQGSIIVLVPIRGQKTDTLEYSLDQGATWKKHTFSKEKVDIWDLTT TRSGNSQNFLIWGENSDGLFTTKIDFTKFTDHVCKYDPENLSKSDYQIFSPKHPLQPD GCLFGHVSQYLRKKPGLKCFNDFRLDPLYSKQNCTCTRSDFECDFNYELDNHGQCSLV SGLKPKDHKLWCKEHPDEIEYYEPTGYRRIPLTTCQGGQDFEKQAAVHPCPGHEDDFE RKHRVSGIAIFFAVVLPVAAASAIGWWVYRHWDGKFGQIRLGEQGASTMEFFDADRPW VKYPVIALSAVVALAGAMPLVLGALWRTAKSTAERWGIGGGGGGRGGWSRLDGGGASR TFRTRDSFARGRGDYTIVDEDEGELLGEESDEEV NEUTE1DRAFT_119026 MKGVIGLALAAAVSGVVAQPHNHHGHHHAKKHAHAGIDKRADGT VVVTEVVQGPTVVEYVLDGKKVDANEAEKGIKEGDYVVLGSSKPSFVAPPPVVSTSKA SIPGGALFLELTSSMSTSTSTSTSTSSSTTSSTPTTSSSPIPSSTSSEPPAATSSAAS ENLSGGGLDSEFPSGKIRCDHFPSDYGALGVDWLNLSGWTTLAKVGKLMKGVAINNYE QPISGPCQPGMLCSYACPPGYQKTQWPEDSQGATGQSIGGLYCNSDGFLELTRASHPT LCEQGAGGVYVKNMLPKSAAICRTDYPGNEAMVIPLETNPGGQYPLTNPVSSSYYKWK GQSTTAQYYVNNMGIPVDDACTWNSKSCPDCAGNWAPVNVGVGKSDDGITYISIFPNA PTTDAILNFDMEIQGDISGECWLKNGKYSGSNGCTVGLSEGGTATVVLKPRGD NEUTE1DRAFT_126399 MASEKGHLAATETPLATRATSQLAAASSAPEAPPTATSDAAFAL AAEISRAKSLKRSREETPPSPDSVESSSPGDLSPSKIARLVGFARSPPPSLNGTTDHD EERRRRDEEHHVESVAASENLTHSPLADLMSGAPSGLARPPDAPSVPPLSSLDAAADA AARALSAVTIPAPTVVTNELKGVNQPSAPSAPSLANASGEVVNSPVPMDVDSREDQRL YAPQPDAQMEPQDKPPSSLSYPGVLPHGTPMSAPAPPLRGMSMPMPNAQGADVTPRSP GSKKHKCPYCSTEFTRHHNLKSHLLTHSQEKPYVCSTCQMRFRRLHDLKRHSKLHTGE KPHICPKCDRKFARGDALARHSKGAGGCAGRRPSMGNFGDEDYDSVNPTGADESGLSN VMYEGAAEGELAAAEEERRRLSLPAIKAQHVPGQTAPDGYAAHSSTYPPAGPRPGGLY PPNVDRGSTGPPTSPSVPNNHTPHTSISSIPLSSGGTTMYSQTGMTESPKPLSPGTAQ PTALGHDALTRQRAAPQQPHFRPAEQAPASGLSLPSHGNTSAPTSPATQQTAARGRNR ASGGPPGPSRPGMVDGNNLFAAGEQGVWSYVQHMEDKFKHLQSMVEATSQTNTELTAK LNSHEQHISVLNQNSAELVAKINTYEQHISSLNQTLTNLTAKCTNHEQHISVLTAEVT ALRQQQQHQHQQQHQLHHQPEHHHQENQEANLQEHLPQHQQEHQQGHQQQHQSEHQPE HQPEPQSEHQPGHQPEHQQQHHQPEHQQQHVSTETQEPPPAAQQ NEUTE1DRAFT_119028 MSNKDKRAVTDESADFSISDPATTNPATPSSTQVDGVLETDTPR TEVSQTAGTAPSTGIDYADALKDALKESGTKEGTEERVEDLPQEGAREEPAEKPSKKT VLPIHDNTAREEPSNADHDVRREKQALYDEYPSLYFEGSDNERAQMERDRANAYQAAG IRFAPFNIPLQRRLQTLAVLLHSLIIATTVSFFFFLCAIPLLWPLVIPYLLHMLLSKA ASDGKLRFRSERFRHSRIWHFFADYFPAKLHKTHDLPADRKYIFGYHPHGIISHGAYA AFATEALGFSEKFPGITNSLLTLDSNFRIPIYRDYILSMGLRSVSKESITNILSRGGT DGHGAGRAVTIVIGGARESLEAQPGTLRLVLGERKGFVKVAMRTGADIVPVLAFGEND LYDQVSPKSHPYLHRLQMFVLRTLKFTLPFLHGRGIFNYDVGLMPYRRPLNIVVGKPI RVTKRAESDLETSEIDQLHGLYVKELEKMWERYKDGFAPERIEEMQILK NEUTE1DRAFT_114870 MPSWWFMQGSETSWTTEDFNHTDATIPFLGYLPFRNNKTRVPSH RRNTERERDGHYNIKNSVLIHLSTQHISAASTHPSSSYNQPTYQHFLFFPLVSAFDLD RVQSSNEDEWSYSKPNQCHFFHPHV NEUTE1DRAFT_73180 MGSLAVGRESQGASSAAAFVVPESQQNVPHVPANGIGALDALLD LDDMSADRSFSMPLSLLEPEAGPGGCPAWCSSCPPTPFAGAATPTLPDHHARQRLDQL DNEPLVEPWTYVSQTPLSIDNRSPRDFAFHLPFRFFPTNTTHDQTTNIGTFLDDCSYF HIGNSERHHQPDLGLDNFGPFHVDVNLPRSPVYRSFYLSPPASTKSLASEPCAFHHYF DNSSSSFSSIQHGPIMPDPTDVASADGAAPSGRSNYAAKSIAQQRPRRPHSAHSEQMA RLPSPFFSLWTKLHHHQHMDHNGKPQQPLEDAGHGFADTKPTTHKRFTHSRSSSIGTR QSQANAVPQMTREEFEALPLAIQRKQVLDPAYVTQVMSNWKSPLPLLLSGDDTHRCAP PSEHHSRSLIGEHGGTAPLKSSSLSASRASTSTQAPEVLLLEASHVTLVDERVPVNKG KSRLALIWPIRLLSMDDVNTDVLHLDRDPISTHFRALAASFDSPACGSNACQSKLLRD PLPLSMPPHWLRYVPPAQAPKLPYFSTLERLRFARESYLIDGITRHYDEISNYKRQKG HKQQSRSEQIVSRDGRGPLGGESSLPSPVLEIPQASVDNMRQQELTREEQLALARRLR ASVILDAADEAIYKMNRRNNGHPSVKREGLMPSHMSQQNTLEAREGVKNRSEQPISEE LPQSFYESFRWLDEEDDLDLRLFLDDYHANLRDGLPSPKQQRPSFRRQMSVSKLPFGM PSTPTLTSRPGSHNALATGSSAPTSAGSVANSDGRTHRKSRTLSLITPKHSPQDSIFD PSAAHYQDPEARLKLRVYLASPQKFDEAIEFGFPSTDALGAGSMVHTEFMEMPLGPAR KKIQSTPKEEDTWAMGTFLADDDDEHVSLNSDQPSLADPESPKTPQAFETRSHSQRAT SSDPTFLRDGYGMFSEGAGGYAQVPANSREMTLRMTLTRPDLRAHENEIYGWQQGKPL YRNTRKSMSLGGFENKSMFYGEPILNESLENQASITSEKGVMKRIWKRVRRT NEUTE1DRAFT_114872 MLSRVIRPNRVIRGPVFQPNPVSSRRTGSSLRLQAQVADVSKGI DTAGCSLPAFIGNPNIQSEPSVVGPIGTPPYDSPGAAKLENVLANPVSFEIQSDRDEF WRKVPYWADVTAKDFLSYRWSLQVLATHDSSLHSSVWDIVLLTSMNWQVANTVQGTVK LFKFLQAVVPEEVPVDKLGMQMQSRDEFIKDVLDGVAAATMAIRMTPYILSRINWLDP RHDPIARQFLPMKSVMLPDHPKLTLDSLHETADSPVKGLVHRYTDKALFLPTSVCPTY CMFCTRSYAVGADKNTVTKASLKPTRRRWEEAFDYIQSRPELQDIVVSGGDSYYLQPE QLTLIGERLISLPNIKRFRFASKGLAVAPTRILDESDGWVNALIDISNKAKKAGKSMA LHTHFNSPNEISWISSDASQKLFENGVMVRNQTVLLRGVNDDYETMSTLIRQLADNNI TPYYVYQCDLVERVEHLRTPLQTILDLEAKIRGSIAGFMTPSFVVDLPGGGGKRLACS YQSYNRDTGVSTFVAPAITGRDKADKVYEYYDPVDMLPEASRGVYKPSI NEUTE1DRAFT_27438 DHGWRPWLVVIGCFCLIVPSYGLLSSIGLFQTEWEQHQLRGYSK SDISWIISVFGFLDCFFAAPCGILFDRFGPRSLLLVGSTIYVASFIGLAFSTTYGQFM NEUTE1DRAFT_105947 MQASPKTKAPARKAMRALGPCRESIASVAGFPSSVAKLEKVYTK PSQVPIVLEVQRPLTHCTVKEKKMSVCTKLPVPTPISTVTTNKAETAIAPKGNQTTRT TSNVKTFMIMMVFTGPSFRSDSHEGSVLPTIAPLTFHDISGKGDFDQSECSTYKLLGD LPKMLKLISQNFGDIIISLIAVKSQLSSVSAPVGCDSFRSTRKFPMVMKAIMMPARMR MPVLHSYLLKSSWRTREKKIPPTAEPTVTHPVARPSRILNHWLTTVNPTVVGAAVEWE GVSGHREAAGGQTPRISGRRVHTA NEUTE1DRAFT_119029 MKDLSLLIHGHMMMPSEICGYNASRFSGAWLRLTNKKRLSAIPQ RASLAIVLEGKILIS NEUTE1DRAFT_150414 MFFLHNLERRVTLHPSYFGRNMHELVTTKLVKDVEGTCAGDYYI IAIMDAFDVSEGRILPGNGLAEFTVKYRAVVWRPFKGEVVDAIVFSINPHGFFCQAGP LSIFVSSHLMPEEIHFDPNATPPQFTNNADMVIEPGTHVRVKIGGLRTELGEMYAIGS INGDFLGCLQA NEUTE1DRAFT_91884 MSLNLEKQLTFYGAYHSNHVNVVIHMICVPLILFSAFEIASNYG PFFTLPSWLQVPYLEPNLGTFAALTWGGLYVLLEPVAGTALALICLGACAFTNYLRIA DPVLTTKVAIVVHIVSWLAQFLGHGKFEGRAPALLDNLFQAIFLAPLFVWLELLFMLG YRPELKTRVDKAVAVEIAKFRESRKAKKAQ NEUTE1DRAFT_133512 MDPFNLPIEWALQLLTGVLPDPYLSLARQHLLSPDSAIQSLKRH IMSTIEAFISTLIPIMQPLVERLTAYIYASPDVVILAGVLLLLVMVLQVLSWMRRVLM FFTRLAFTLVFWAGMAAVASWVYRRGMEQSARDATFWLGRASGYGLGIWNFFMTEWQR YEAQDKARGHAKYDRA NEUTE1DRAFT_91889 MSKYGVMVMGPAGAGKSTFCASLITHLQLNRRSAFYVNLDPAAE SFEHTPDLDIKDLISLEDVMDEMKLGPNGGLIYCFEFLMENLDFLTEALDSLTEEYLI IFDMPGQIELYTHIPILPTLVKFLTTPGALDIRLCAAYLLEATFVVDRAKYFAGSLSA MSAMIMLEVPHINILSKMDLVKNQVRKKDLKKFITPDTSLLLDDPADLARRKAGEDTS DDQYADPQDKNAMLSGATFKRLNTAVAQLLETFSMVSYLKLDSTDEDSVGAILSYIDD CIQYHEAQEPRELKDEEFDESEE NEUTE1DRAFT_73194 MATNGVNGAKHYNEGTFLFTSESVGEGHPDKIADQVSDAILDAC LAEDPLSKVACETATKTGMIMVFGEITTKAKLDYQKVVRNAIKDIGYDDSSKGFDYKT CNLLVAIEEQSPDIAQGLHLDDRLENLGAGDQGIMFGYATDETPELFPLTLLFAHKLN AAMSAARRDGSLPWLRPDTKTQVTIEYKHDNGAVVPLRVDTVVVSAQHAPEITTEELR KEILEKIIKTTIPAKYLDEKTVYHIQPSGLFVIGGPQGDAGLTGRKIIVDTYGGWGAH GGGAFSGKDFSKVDRSAAYVGRWIAKSLVAAGLARRALVQLSYAIGVAEPLSIYVDTY GTSDKTSEELVQIIRNNFDLRPGVIVKELDLAKPIYLQTAKNGHFGTNQSFSWEKPKA LKF NEUTE1DRAFT_126410 MAAITARSAAMETANLHTPGRGLQQQQRPQPQPQSQTSPSSSVP ASPTLSNPDMILPDDPYYGHGNSPDSEIDARIRSKFMMWRSAQAAVTATSDLHKMFAV NSAQMGYNQQNTYGSSAPLTPTTPIIYGNGTILSDIGEVTEVESTPGRPSPTRLRGPA THRRNDSIDAVLRSASPVTGQSGLAKRLKPSLTAQKERRMSTESTSTITTITMEDRPA FLADINIDDAASVDDSVFQGDDEESMASSYVEGTIPRDRNLLGVAGGENGERLSTYST TALSRRAEQILANAKKRLTTMEGNLSRARSSLHYTAPSLSSDGTPSPPQRTASANSRN GGYRSSSANYSGHTRMTSDIAMRNGLPYRISVQRSHSAMGSHGGNPRQSITASKSAEA IRGGWEDDAHRQTYNILTGKTLRPDGTAPDDDESPIHSYHGTSYGSDHSSGSSRDILS TPAPTSQMRDIKDQMKDLKGKISSLREQARVDSIKRRSVQSLRTPSPFTHAQVDQWFT ETPSARNSEIFTPESLQRNPWNGEQDSSLDGEHPGSYGHLRGNLDSEDDSYEEGDVSG SFESAIDHFPEPASATAGMHMSQEEDSFDAFTENGDEEFEDAQELDDDQMSIGGESLY HDTVQHQISHEDREDAFDYEHFFLHSAMGALSQQHMDRRGSETSYTSEDSVETTRGPI TDENTRMNGGGSDSRSINFHSRSRRGSVNSISTIETFRTAQEGRPRRYYEADDSISEE VYDEYPYEDEYTPDFESAGKRKSASFSAGTGYTRVDSRAHGSRISNIKTSSTGTIRSY RESFQSVYEGGSGPDTQQYPDPNNNNNNRNSSLSVRRCPISPVASTHNLEHRPSVSSI GSAGTNRSFPLVPNKQRNRGNTASTVVPAVSIAVSTPESVYLTPDQELRSISSVLLSE TASVCEQQQETENQRADSRLTSNSLDNPAALQALLREDKYLVERLVASLGKCVLGLTE NGRASAESRMYRRRIDMARKILEGFEGGADGQQ NEUTE1DRAFT_105955 MTLPSYRHDSNENNDVHSLSDITEEIHRTDRTVSNKSKQQHQQI QEEHSETVQHGSRSPVRRILLTFTICAGLMFSCLDTSIVSTALVSISLDLGDAQDAPW TILGYLLTYMSFAVGFSKVSDIYGRRNLLAIAWVFFAGFSVWCALAGSMKQLIAARAL QGIGGSGLYSLAQCPLRRARPHRYLLTLAGRPSTAIRRMDGYPQNRLCCYHLVFGDSW AELDSFGGLGNLSVLRAESSHPADIPRAAGDRPRLSVNDHVCLGPRPASDSSRQHYRR TQLALTRICRVTFLTGLVYISLVIKIPERFQVIYGDSALRAGIHLLPMLGSCAFGSTL AGSISKKRNLTSHTLTSGNSLQVIGLGLVYRFSNTTERGDIRYILGFTAIYGLGVGLC FAACTMIAAIEARHGDLAAAQGAVAQVRVLGGSLGLSICTIIFNDILQTSLGPATEAG RFPATVLDQLHRSPLAVFMLPPEQQILVKKVYSDAFRYQMLLMMAVVAVAVLASLGTY RSKPPAVVDSMIHHKVLAARPSDTELESASSVRSLVRGVS NEUTE1DRAFT_91897 MPAVLDGVKSADIDSNIRLLIDALVNIKDETGKFLLTLDDGRVI DTKGWNDWEWTHGIGLYGIWQYYELKGDPECLQIIEDWFADRFAAGGTTKNINTMAVF LTLAYVYERTGNHTYLPWLDSWAEWAYHDLERTKHGGMQHITYVEVNDQQLWDDTLMM TVMPLAKIGLVLNRPHYVEEAKRQSLLHIQYLFDAKSGLFFHGWEFNDKGGGHNFANA RWARGNSWLTIFIPEFIELLDLGPQDPIGAILRSTLEAQCEALKSLQAESGLWRTLLD VPESEGSYLETSATAGFAFGIMKALRKKYIVGKEYEDVAVRALKGVLYNISDKGELLN TSFGTGMGRDLQHYKDIPITCMPYGQAMAIMALVEFSRRFI NEUTE1DRAFT_73202 MSKHDDPNMVSPYRDIQQVGDKHDLGEVERTLSPDLDKGDQPRY DKVDKELAQYVADEAFEISETENARLRELIDKRVLAIMITTYFIQAIDKGTLSFSSIM GIREDTGLHDQQYAWLTTCIYITILIVEYPQNYIIARVPIAKYLSFMIIAWGVVLACT ALCKDFTGLVVVRTLLGLFESACQPAFVILSSIWYKREEQASRVTYWYMMNGAQQIVG GLLAYCFSLIKTGPLKSWQWLFLSYGIVSVLYGLFVGWWMPDSPMRAKCFLEEDKRLM VERVRANQTGVQNRKFKKAQVYEALKDPQVWGYALVQLCTTLPTSGLGSFQGIIISSF GFSLLETQLLAMVLGFYIIIVLLGSVWIVKKTKQNLLTMLGFCIPSFIGTICLMTVPT DTKTQKIGLVICYYITLSFWSAQTLALSMLSRNVGGQTKKAVAVALNFIIWAAGNAIG PQVFLDSDKPRYFIAFSTHLGCYSLLVVVIVGLRFYLKHQNKKRDELAATGVQEAADG RMIHAFEDLTDKENANFRYVY NEUTE1DRAFT_16893 PTPTHGQPGAGSFTIACSTHIKATPRTCLEVLLKASEYPTWNRY CRKCIIDAQPDGNQPKDKYDKNLFLHLGTKFTFHVHMHPLSEDSSARNTALKVSRLER IDEFLQLRRTGFRIAWKTRPTAFMPSWMLRCERVQEFVEVHPPLGTLDEPETAYQCWE TFYGVLAPVVKAAAGSQIVRGFDVWMEGLKKRAE NEUTE1DRAFT_73208 MVMTVTTSTGNRVTMYCLGSLTFVPSEAVKDEETHKQVLQRLAE TNDFATAIRTWFHLADDVNAPEADEYVYHAIASVKLSQVQRAVDVGGAKGMHGWYRLE GGDLLPPPPQADIESYISIFLPSTATASALTAFQSNAKRSSIRLRSATYLLSKRYIAS EWHPLGNQLIIPKTKKDSKTPLPCNPYFDFWAWSCRNLEWCGPVPISPSASSSSPPTS NTDEAKKDDPNDTTNKIILTNPRMSHHILPIFMHHFGCAVPSHESLSLLKLFARGRSI IDMGSGNGYWTFMLRQYLSPGPTSSQSQQKVYAVDNNQSEWRVMWIDDTIVADGVRWL SSPSSTSCPSKGGQDMVLLLVYPIVGGDSSAAGGKEGGFTRELMKAYKGDTLAVVGTQ NGNGYTGFKGVTMDEYMEREWKEEGWRKVVQCPLPSFAGKDEALFVFQRGEALGNSEE GLGAGSGKAKKKKRKSGKKKDAEVENQNGEDQRDGKEEEETGEKKEKEGADE NEUTE1DRAFT_119032 MAEPAFKPEKDFSKEVDKLLPEAEQLAKTDIQAAIEKLSVLEKQ TRQASDLASTSRILVAIVTICKNAGDWSLLNDQTLVLSKKHGQLKQAITKMVQTVIDF LPETPNLETKLSVIETLRTVTEGKIFLEVERARVTKILSDIKKEQGDLKAATDILCEL QVETFGSMDRREKTEFILAQVALCIESKDWTQAGILSRKISTKYLARRPKKTQEQLDK EQKDREAKKARGEEVSEEKEDDVTDLKLRYYEQQIILAKHDSRYLDVCKYYRQVLDTE AVEEDPAKLQAVLQRIIYFIILAPHDNEQHDLLHRIHKDPRIAQVPQDAELLKLFTVH ELMRWPEVSKIFGPHLCSTEIFDSEPNQSADDKAFQRWQDLRKRVIEHNVRVVAKYYT RIRVDRLTQLLDLTEDETEKYISELVTSKTVYAKIDRPARIVSFAKPRDADDILNEWS FNMKSLLGHLERIDHLITKEEMMARIQPGAKSSKKSSKEKAAAR NEUTE1DRAFT_105961 MERIQGDPAVFGVSNPNTNRTYECSSSASDDGTLAQPSSQSISS QVDKGSTKAQQVVVVDESPDYTVAQADTAVILTSSETAPKSPRADNAPITTHDPDLEE STDSVISRADTVFSKSTTNSPSLYGASPFPSGEPSSPGERSIRLLLSSSPYHTALEAT KRGREEAGEEEDDDGEFDAEQEERAAVEQAAEDGNITIDHDDMASDAGYESDANTTCS TSLAESIRDYVYENGRRYHRFREGRYNFPNDDVEQQREDMKHAMVKMLCGRLYYAPIG SHPQEILDIGTGTGLWCIEMGDIFESANILGVDLSPIQPEYFIFTKRVVTYQCHRSPW LHPRNYFDYVHARHTVMGIKDWPRLMRRTLEHLRPGGWFEMQEVVHFPVSLNGPMPAD HPLAQYWAVVRDGLAALGVDFHAAAGGNLAQMMREAGFVNVTERILQIPIGTWPKNKV LKTVGLYWRTILLDGIQAIALGPLTRGLRWSREQVEMFLIQVRRAYHDNSALLYMPLH IVYGQKPVAGSY NEUTE1DRAFT_18602 MTAFLLSSFRHQVHLGARDGRGEISVAKEKVAFSLCYSNRVLLG AKIAYLGLPRRVIFCILAYYRQIRRAFLACGVG NEUTE1DRAFT_142844 MPQMIHVTAVETAIKPCLSHPVLPESRQHGISKQLSGIFETTGQ CIFAVAYGKSIRQALNNQHCTMERVLIIGTRSVLPLGVVHEIHARTGKASAD NEUTE1DRAFT_105963 MGKFKISSWLNRSPSPMSDEDGKKQNRSSFSGLSSSLTSKPKEP QSNTTGIHTPPSDEPERQPSTSRMIALAQKIAKETEKLETYMKDNNLPMPSFDVDAPA DFPKLPAEISRSRQEIIFATRELGLLAHGPRESLRWGVWEFSAKLFPPAETITLSELQ SKTSLDPINLARILRLAMTKGIFREPVPGIIAHTAASRVLAEDSDLHAWVAFNGEDIF PAAAHVLEALKTHPEATSLTRAGFQFAFGTVDKEPMFVTFGKDPARARRMGKAMVSLT GGEGYEISYLLDVEGGGYDFSEIDAKGGTFVDVGGSHGFVDVDLAKKYRNMKFVVQDT AKTVESAPKPICEDEQVAGRIELMPHDFFQEQPTKDADVYFFRWIMHNYSTPYAVKLL KNLIPALKPGARVIINDHCLLEPGQENPWDETVMRRMDLVMLALLNAQERTEAEFRDL FKAASDGFVFKGVTRPKGCRMSIIEAVWRPDLVDYGASATPADADAAAGATGATTAAD VEASTTNALEELELEDQEAK NEUTE1DRAFT_150425 MVVSSMQHHPHHQQQGPVPPHLQHSRPSSIVHQQHHNPAPQQPP QHQSAYSSGHSVYQQPPQANNTQDHLPYYPHPSPYSTPGATSGYTSADTGDMMATSIR PPYPPMTSYHTPQSNSPASVASPSGHDQQRSIYGQAPSQLHQQSMYYGAPQHPQYSSM PAQTAPSPYAQHAQQSHQSIASQPSMMMSHTAPQHQTSHQATLNAQAGMATVSPRQGK IETQHGMNHQMQRNNAPVPLAGGAPSTTPQNGTTPTGSASGVNPNAAPGPIPATTPLV VRQDQNGVQWIAFEYSRDRVKMEYTIRCDVESVNQDELSPEFKTENCVYPRACCPKEQ YRGNRLQYETECNTVGWALAQLNPPLRGKRGLIQRAVDSWRNSNQDPRLRSRRVRRMA KMNHRNKAGSATPHPAHMAGPTGPAGISTPAAMGSSGAAAINKPGINNMGTSMHHHHA HDNGNAQGGGDEVGDGEYGDDQQHHHHHHHQAPSQGSSDERPAQVFSNHGSYPNGAPY GSSAMPSIHDTLSGSPHASGTGPVTARRTTTPGRGTARDDEPEDLFPDIPEAKKRKFI LVEDSDRHSRLRVRVTLDGVDTREIPDSFRKGASVYPRSYFPREMQSPPPSATGSKFF TDDLSDVEDDGTTDTEGRGTARGTKRSGRVMVKVPMGESQEGEIAIPRMRKAFRGKEV RLNDLGYRMAWLQSRVFAGRTVFLQRALDCYRNKTRTAIEGMMQDVKTAAPHYETRVG KRKWNERMRYGDKKEEES NEUTE1DRAFT_50786 MIANCPRTFALFLQFSDSAQLGVYGLRTASTIGSGPPVVRLASL CSTAVRVQITSARSRFVMGSYGNPNMRPEMHEGVDDSALRADIDAQPLLHTSRRPGWV KQVTPAIPRSPAQQSMAPAPSRLSTGTRFFWNICDPGIPDLGQDPCPNAATLQRCSVM FVMGNNKHMALPVFGSHPGRCALRRQMYILANGCVEVGTLSDRPGDSWTMCGSGFTEY SQCFVLPSVAEGILMVDARRTRRRTDTSKVM NEUTE1DRAFT_142847 MSPYVGQIAPRKHAMLCPPETDESSNTEPIGGRDASMRQKRNFS LLSVSRKTLHGQDRLGALGVVIFLLPQEADNQGDRSP NEUTE1DRAFT_133521 MFPNAPNGVEGLKGGSHSPHRQRHQITRSITELPSSLHLHRHRS NRDRLGKEKEKDKEKHKDKDKSRGREREREKGKERDGLNIDVQSANPTLQSTGRGRFS FEGFGMSTPNNLTPNASRRASILNASPSADDSVPSVATAALASNVSGGRVLLNEDEIA KERQRAIARERGLKKSLEDLEQFSNNTTRQLDDTYYSVLEKLCVLQNTILALKEVAVA SQDMTSHFTTEANELVTDVSLQLDAFGQFEDHQKRIEQLQDRVYAGRDRIKSLSERVD LVRERIESWERADREWQERTRRRLKAFWVLTSGVIFLVLLIFVGSQFAPESSAATVAT VEGAVMAANKLANDSLNTLKIATLGGAGELLGQGLRLKDTGKRDGEKEMNMPGGSETA VTEALNGEATSVWMSPDSADKLRAFDEL NEUTE1DRAFT_105967 MSSTTTTTQTTSQVETKAVSKPLGMRVNGKQWHAPKKAFRPGSG LTSYEKRAKERQLLAAVKAKEKELKDEKEAERKRRIEALKEKRAKKEEKERYDKMAEK MHKKRVERLKRKEKRNKLINS NEUTE1DRAFT_126419 MSESKGRVCLAYSGGLDTSTILCWLIEQGYTVVCFLANVGQEEN WDEVRAKAKKLGAEEMVILDLQQEFVDQIVFRAIQCNAIYEDRYLLGTSLARPIIARA QVRVAEQYNCEFVSHGCTGKGNDQVRFELAFKACNPEIKVIAPWRLPEFCARFQGRQD LLKYAADKNIPVSSTPKAPWSMDDNLVHCSYEAGILEDPNHTPPKELWTRTVDPQDAP NTPQEFTIVFEKGIPVKVIVDGKETTGSVELFKLLNKIGHDHGVGRVDIVENRFIGLK SRGCYDTPGLTIARLAHLDLEGLVMDSRVRELRDQFVTISWSRQLYNGMYFSPEREFV ENSIIFSQQNVTGEVRMMAYKGNAYVLGRKSDASNLYSEADASMDSLEGFSPMDTTGF IEINAIRLKKYGLQKIKDGKPLTQS NEUTE1DRAFT_119034 MAAMMGPEVMVGSTINPFDHSATASDMTNKTPRSSIPLPNPPFV FPAKPTSSAPSSYTRATGRRPHSAIEPQLPSFSLGADTEKPVSRSPALPALPAFSFNP GNPLPPAALTESSFLSPTLPPSAPSSPMAIPIRPGGHGHRRGGSEFVGGSIRGGDSIT VNLGSSPTKSENGLASPLLQPKKPAGRRGHAHRRSAAISSHDLSSIIIPPTAREVKKD SPPASLAPVDPPKELSLVSDEKSQPETTTTTMAVSEVPESVAPEVLTPPAQEPVAPTE AQESPKPAVRTRVGFSDTLEYIPRPVSLVSSDTSSTVTARPGHSVSGSISSVISITNV ELGLNTMAFNSSPELNDRPSTAGAVLERTETGQEQAQEIVSPRRRGSIPLLGSIPPPS AYTSATPSPIKSSKKWSFFGKDSSSASSPTRPSESPKLSTSVKATPQRFPGHSPQGFP EELAEMKPLTKKRSKKKKKVKTWAGSILSRKQSKKSRHGKGLKRTPTSTSRRSYSVNE SAVDVLFEPEAVMPTVLVTESIDPTAKDWDSYKPASLPDEETSYQMIDLDAALGPFNT PLPRNPEWEAAQRAGGTVKKQLHSAAGLRGFTGPGMHYHRRAESAPELVPFEGGRFGF PRFGSSSTMADVFEEDEEEDEDESPKSTGQSTPVMETSLADTFEESKASAKDKDVAKS TADEQRETATESGAVPSGVETDEDGNASLKSSIYTGSLGRRSQDALRQETSVTDSPRP SFSGYQNELQARHSFSGSATPSPRQTFRPKDLAVVDCSPLTLPAAVSCAPVSPHSMTP SSAFPSPRSPLSYDAHRISTAPSSITEDNFQSLLMGEPGPEVRISVDDVPSLTSGDSS MTRESFFAQNPQARQLSSNTLPRPASFSASAFGRRRSSLASLSRLVSSSHGERSKLSM EVTATDDGSEKKVKPSKTKRLGRFVQFWKSKENCEA NEUTE1DRAFT_73224 MSNRSASKRLIKELTTWSNVESKEEKGVERLGPINDDELLVWEA VINGKGVGGGYDEGRWLLHITLPPTYPLHPPTIKFITPIVHANVALTTGEICLDLLKE AWTPAYSVLECVRAIRLLLSCPGIDSPLNVDVAALIRQGDHVAARGLVELWVEEERYE GS NEUTE1DRAFT_91925 MARPLGPVRLKRTSPGTIAVGIVLVIVILYLLVSPSAPDFSAAR KATAALHPLSPPTSPFRKSSAVGGKAGPPPVTRYNLNNVTITNDPVGNRENVLVLTPM ARFYQEYWDNLLKLSYPHELITLGFILPKTKEGNAATVELQKQIAKIQKHGLEKERFK SIIILRQDFDPPIPSQDESERHKMANQKARRAAMAKARNSLLFTTLGPDTSWVLWLDA DIIETPPTLIQDLASHSKPLTVPNCFQRFYNAEKKAMDERPYDFNNWQDSPTAQELAS KMGKDDILLEGYADMATYRALMAYMYEAGADIHTEVPLDGVGGTALLVKAEVHRDGAM FPAFPFYHLIETEGFAKMAKRLGWQATGLPNYKVYHYNE NEUTE1DRAFT_119035 MPISPIITFKAGICDVDQTSKPYKVTPQARPGYIYLYSDDDFIH FCWRPRDAPADEPELDLVMIPEDGHFLPYDTKSPAQASAKTNGRIFSLKFSSSSQRHI FWLQSKPQGPNGDPSFLSPRDLKIGEIVDKLLQGYEVDVNRELASLSSGGGNNRRDDD DDETMEDVEGHGDPNAHHEGGTGGAGPDATGGDVRREGEDAREGGADGARAANATNAE ADAAAVVRNFLESLKGTQGLAGGQAQAQGKSYPLLNDLLESSVTIPMLDSATDEYVDN LLNYLPPTVIVMSQQGASGTDAIEVEPSAASVEAARQAMSQDQK NEUTE1DRAFT_133528 MEFCTTDLDRTNKHSYMPKPGELKWPSHSGPSDCHGVPKPRFHL AHLQASDMEQQEGQQAEYWTFPTDPGEFDKDERISFSKLDNKYIAVQDDGTEYEFDEG LKRWLPIIDEALIEEHQRAYITSLGDDGTETGQLGTGQGKKRKNDDRETFSFFPSLRA RLQDSASSNHNINNRPSKNRKREKAPREPKQNTAVYVTGLPLDATADEVAELFSRKCG VIAEEIDSGRPRIKMYTDANGNFKGDALIVFFKPQSVEMAIMLLDDTDFRFEPAPDGS RMRVQAADMSYKKTKYDAAAGAENGGGDKAGNGGAEGEGSSSSTPYNNITNPNPVSSD GGGNGHNQRSQQDKAKIIKKTQKLSAKLADWDDDEPSPSARLLGPAIKEAKGGKWDKV VILRHMFTLEELNEDPAALLEIKDDIREECAKLGPVTNVVLFDEEEEGIVSVKFATVE AAEACVHLMHGRAFDGRIVEAFFATGKERFRKSKNKDAGEEDGGDD NEUTE1DRAFT_73236 MSSPAVGFSSWRRSPRRSSSVSQYASAQEEIESSSPSKTIPTRP CQDPTSNEAHQLYSTSVNSVAHREPLRSFIHGSVRENLAPLDSYQYAQSVRQDTAELA NYFLSDPKDRQSPAFLDRRRSSISQQTVLGHIAQATGTEDAEEGDGRYQDALNVNVSE PLSPETDVESTTEGPSMLTTMLKRSPPSTIYMPASRGDEGYEEDNSWENGESSRRGSW KHPELVQPTEREAEAADTSDEHAPLLRTTSREARHDYGMVNGSGHDADIEDQKSPKRR WTTRLAASARDRWDHIASFAKVAATPKRWDRHALWKNVIVTPVQCLPAVIVGLLLNIL DALSYGMILFPLANPIFSSLGSAGISIFYISTIISQLTFSLGSVFKGGVGSELIEVVP FFHSMAGTITEIVGEDDPEAVIATTITSYALSSMLTGTVFYLMGKFKFGYLVGFIPRH ILIGCIGGVGWFLIATGFEVTARMDGSLNYDLDTLKRLIQPDTIPLWTVPLALAIILF YGQTKITSKYFLPLYILTIPALFYLVLLILGGPDQDTLQKHGWVFEGPPPGEPWWYFY TLYKFHKVDWSAIMQCIPAMFALTFFGILHVPINVPALAQNIGEDHADLDHELKLHGY SNFLSGCFGSIQNYLVYANSVFFMRSGGNTRLAGIMLAVLTFLVMMIGPSLIGFIPVM MVGVLIFDLGFELLIEAVWQPRKKLKWLEYMTVLVIVVVMGTYDFVIGIGVGILCAFA ALIIQTSRISAVRAIYSGEVVGSTVRRNPTQQHYLRDAGKQVNIIKLAGYLFFGTIVS VEEKIRAMISDEEFSHRPIRFLIIDLWLVNGVDYSAGEVFNTISRLLNHKGIELIISG VDTEQELGRHLRAAGLGEDGIDVKLLPELNSALEYCENELLKTLYASQEAGRLPRTAA SVPSSSLQVPSTQSSIQPQFDSLGSSPRRSHLQVAARHALNQQAEQQRGSTRWQSFKE PLRLMLQIFQDVSNKNEDFWFRAVGYFKRLQYPAGTVIFRRGEQAEGFYLLEQGMLRA EYDLPQGRLCESIVAGTTCGELPFFSETTRTATCVVERDCVLWMMDREGWEKLQKEQP DVAMELLRIGLKLTSERMSVFTSYTLTMAG NEUTE1DRAFT_91937 MPMYGDLGNKLVQHAKRTQNLSHLPPYQTELVRAVAREIRDLDK DVASLLEPFQGSFDPSAEQATACTLLVNHLSMRRNKRCLLAYHRIRTDKLEELVWNGA DILDLAGQTTGGPKGVTEGNEGGGTTSSLSPQEEDYFRQFGDLLALYKGQWTDIDLTG SLEPPRDLFIDVRVLKDAGEIQTEYGAINLTKNSQFYVRQGDVERLIVQGYLQKLG NEUTE1DRAFT_91940 MQTRRQLLATATRRISEASRASLSRTTRQFPRAPALGVTVPALN ATHLTSSLRLLPTITTRSYANGRPRPPGGTHRMNLGGEDEKPALEQYGIDLTAKAREG KLDPVIGRAAEIQRTIQILSRRTKNNPVLIGCAGVGKTAILEGLALEIVKGAVPESIK NRRVISLDLGSLIAGAKFRGDFEERLKKVLGEVQEANGEVILFIDELHTLLGLGKAEG SIDASNLLKPALSRGELQCCGATTMTEYRQIEKDVALARRFQPIIVSEPTVEDTISIL RGIKEKYEVHHGVRITDGALVAAATYSNRYITDRFLPDKAIDLMDEAASSLRLQQESK PDDIQRLDQKIMTIQIELESLRKEKDVASVERREKLEADLKKYQDEVAVLTERWLKEK AEIDSIKQTQAELDRARIELEQAQRTGNFARASELRFGVIPNLEKKLPKDGERPTRDL SLIHDSVTADDIANVVSRITGIPISKLSSGHTERLIHMEDILRESVRGQDEALKAVAD AVRMQRAGLSGENRPLASFFFLGPTGVGKTELCKKLGGFLFSTETAVVRFDMSEFQEK HTISRLIGAPSGYVGYEDAGQLTEAVRRKPYAVILLDEFEKAHRDISALLLQVLDEGY LTDAQGHKVDFKNTIIVLTSNLGADILVGANPMVPYKETEDGDIHPDVKQAVMDVVAS QYPPEFLNRIDSFIIFKRLAMEALRDIVDIRLKELQERLNDRRITLDVPEEVRQWLAE RGYDPKFGARPLNRLITTEIGNGLADKIIRGQIKSGDKAVVEIKEDRSGLNVYAEQQE QPPAAEEAEAEA NEUTE1DRAFT_119037 MAANQRIAIVSVYDKTGLLDLAKGLVQHNVRILASGGTAKMIRE SGFPVEDISAITKAPEMLGGRVKTLHPAVHAGILARELASDEKDLAEQNIEKVDYVIC NLYPFKDTVAKINVTVPEAVEEIDIGGVTLIRAAAKNHARVTILSDPNDYAEFLKELE SGEIKDSSRQLYALKAFEHTADYDAAISDFFRKQYAGHGLQHLPLRYGANPHQKPAAA YVKEGKLPFTVVNGAPGYINLLDAFNAWPLVKELKKALGKPAAASFKHVSPAGAAIGL ELTADERKVYMVDDIPGIETSGLAQAYARARGADRMSSFGDMIALSDVVDVPTATIIS KEVSDGVIAPGFEPAAAEILKKKKGGRYLMLQVDPEFEPSKSETRTVFGITLAQGRND VEISPETFSNIITPKDGTLPENAQRDLTIATIAAKYTQSNSVCYAARGQVVGLGAGQQ SRIHCTRLAGDKADNWWLRFNPRVIGIKWKKGAKRPDKSNAIDLLVSGQLPKDGPERE SFEALFEEVPAAFTEQEKNEWLSKLTDVCISSDAFFPFVDNVYRAARSGVKYIAAPSG SQNDSPVFETAEKLGITFVEQNTRLFHH NEUTE1DRAFT_105978 MSAQSNIIAPAENSPEPTEMAGYYSPYRTGHAMGAWTDLRMNVR PESGDSVRMDAFAALQLIIKVAYTVQMFDELQPYLVSQEQTAAKYHDFFDTMCEYLLL CHLRKVYEATPKVASIQVLHSKILAYSMVLRDTYEQLHKILEVILEPSFLRTICSEQE AAFLCNCSHFTSGYLPHEDIEQNVCNLVVALHDDGEAGLRHRQDPFYNTHRYMLNDLL LQLFEQEPLVLDSIFMQRWYKLLGMSDVDVPLPSYIPREAWKDNFIPTENSHWSTKWL EEYFIAALTGLDSFRPVYIFLDGPSGFRTQTWEDNNADYFHFTKFIEKVRNIQPWHKI KDREQAGGNTAAMPVTNSIKIIVASRPEKDFHDFHLARKVIKSGSVMTVGVEAPMLRV QDINGNDMKLICRHRFGMLEKGYSTKTPRWRQKRMEETVEEIVREANGCYQVLQDALD RHSQTKFDEDGEEVPSCWSSCFPCYDSKNDLCYNQELELGRLHERDALLVRDRTDADV HLPRSFRLYSSMLSQICWVNQLNDSRDISYYLNLILAHNHSYRFTSVRLKNRPLSLLE VVLAKGNKLPLYPSTETVDRLWQNCQLTANKLNKDLYPFVVLKDMEPHHQVLPPKSLK SGNGKTIYEENDYADLIPFANRAIVPIHPRFIDFLVSMPEGIELLNRDCGCNNDQFTP NEDGKLVCQCTSSDRLQWHEKRIKRLLCASLFEHRFLLPTLQDIRPVYKKHVQLIESS KELCHLADLVEGDDPIVHLRVVPRPGPAPSASLLPPTQSPPSASTSWDMEGRWGGSGR KRGWFPREWVEEFSMKEPKGLWGRFSEMFTSGKTQAPNIELQNQEARKMVADTSSMKK EKITGNTTSRNTEMEG NEUTE1DRAFT_133533 MVLYEDRGPNVAAAVITMLSLRITGLTLSHSIGATNATLALPEN QKYHEIGLFWFFMFEVFYCVNIIPVKLSISLALIRIAENRKGFIYVQYGVMTMFTIMN MIAGFYIIFQCNPVSAAWDTDAQQDGAKCKPAEYLADIYYATTAVNIFTDWVTAFMPI PLLWNVQLNRNTKISVICVLGLGFFASISACVRLKYTVNLTAQENYLYALADIVIWGY AENGMGLIVGCLMTLRPLLREVLRLGGDTSHKQSNGTSGAGYGFGTRGTQRRTQNEPD DDYEQPSDSRGHVSSSNGYGNGIILTEISAGERQEDDYSSFETESQKKILITDDEAPG GIMVTRHVKLSRND NEUTE1DRAFT_91948 MSSTVPKPARLSSGPPNSAGPALSMGKTRRSIGGLSVSTNTAMP STPKSGVLRTPSSASNFRNSTASPATGGGLPQPRAVSSINASSKTLRKSVSINSFPQP PRGEGRLTSLPPSPLSAVASSRKSKTPTTPTYQFSGSTGSLVNGSGDGRTAARSRNSD GLISIASPPQSRSSSAQDSYSTSATQYEDMEATQSRPDTSAGSKSKRESKTETKGNVV VSVRVRPDASGNEHTTDGEWMVDGRRSLISYNGKEGGDYYYADNVFTTHDDNSKVYDH SAKRLVRRVMEGYHGTVFAYGMTGTGKTFSMQGTASSPGVIPLAITDIFSYIRETPSR EFLLRVSYLEIYNERIHDLLSMATGGNGPGAPAQEEIKLREDSKRGVYATPLKEEIVQ SPTQLLRVIARGDQARRVASTQFNARSSRSHAVVQIVVESRERVPAGDSSGGSKRQGL LPGGVRVSTLSLIDLAGSEKAADTKERRQEGSHINKSLLTLGTVIAKLSENKDKDDKH LPYRDSKLTRLLQGALSGNSLVSILCTIQCGAGGSTAGSTHINETLNTLKFASRAKNS IVSRAKRADEALGAGGDGNARVLLERYRMEIAELRKELEKQAKANNQKEADEEMERDA KEELARELEMEQRHEEQMLEMQLARTALKERIDHLNRLILSSKSIGVNANGSLSSYSL NTFNNRFSVGSVRSVMTASNAGRPFLERSTFMASSSSTIGRRSFEKRSSSTGPEVPLT EAEDEDSIGDFGDGTASLAAQNRALQADLADKNRYIQTLEKRLLQARRASSSRTSLGI TPGNKILVGEDHSVSTLLREKDAEIIELRARLEDKDRMLAALRSAARSRDNADRVESR TSNRNSRVFSDDGNYSLANTPGHTTLSSPTGSTPTLSKQVSLMNSTKTRTKSVDEMSK MLDDMIQDRVESGQLIRGNRGSIRVAPTPAPTMPPPPPPGPIHSEHREPIRLSQRTPS RTPSPPTQMNEPPIKLQLLSKLRTSYVAESQGPVIMEV NEUTE1DRAFT_91950 MPPTLDLSRTRHAKMIISPPRINLRRAASYHHDKGPVSATSSRF NFDHLMFSPPPSPGLPSLSPPLRKPSKGFAGFVRPRRVVRYILYICGLVLIFYALKWL YTHSPVLPFLSRPYDHSLVSRADLPDHPAPILLTDSRGRTSWTVSIPQGSEFPLTAEE FTNVCEKCRETAERVQQLRSQKSSIPQTYLGFLSDTSGSHFIDVKEAHQAGYLPAPAS ADKAQDTTIPKPVCEKSLTFVLESDDAGLGKTLMMLWTAYGLAQNEGRAFFIDDSRWA YGKYMDIFQAPPREDCGPPPRHEMLPCPLEARHLVVSTWTAIDLFGELLVGGSSDDPL ERLKPSAQKKMFNLARLGHDALFRLNKDDNVYVDNRVRDLMARRIVPKTKGKQNGLAI GIHVRRGDRHPFEYQYRSSYMPVNIYAETAREILEDKFNHTGPHGAEDAVAKSHSLMI LASDDPMIYQATELKGATLAQERIKLAAKQEMKQPEIDRNVMHKFHDEAFGWEGGFFS AMFWNLGQSSSNADVEAHKKSPSADAIRLRSLVGRAYMMDLAVLADASDVVICTVSAV GCRLLAVMMGWESAMEKGNWVNIDGGYGWRGVSS NEUTE1DRAFT_119039 MGILQVVAGPLSQQFSQLGTVSQIGVAIASFLFVAVFLNVLQQF LFKKPNEPPLVFHWFPLIGSTITYGMDPPRFFKENREKYGDCFTFILLGKKTTVYVGP KGNDFILNGKIRDVNAEEIYTVLTTPVFGKDVVYDCPNSKLMEQKKFMKIALTTEAFR QYVPIISDEVTSYLKRTPDFKGKSGIVDIPPKMAQITIFTASHALQGKEIRDKFDETL ADLYHDLDMGFSPINFMLHWAPLPWNNRRDYAQRTVAKIYMDTIKERRARGETGAQDI MWHLMNSTYKGDVPVPDHEIAHMMIALLMAGQHSSSSTSSWIMLRLASRPDIMEDLYN EQVKNLGADLPPLTYEDLAKLPLHAAIVKETLRLHAPIHSIMRAVKTPMPVPGTKYVI PTDHVLLAAPGVSATDESYFPQPDLWEPHRWEKDSPLAPTIVRNVPSEEDDEKIDYGY GLVSKGANSPYLPFGAGRHRCIGEQFANVQLQTILAIIVRNFKFRNVDGSDKVIGTDY ASLFSRPLEPAKIYWERREGCQL NEUTE1DRAFT_119041 MKVISKEEEAAHYREVVKGGLIGGTGGLILGLGGVMLGMKRYQF VRQLSLPFRAFLVTSAGTFGAIILAEQYSVTFQRAKDPMLNYIEAGKKKHEQERLANV TSAQRFMEWGRENRYSIVFASWIAAMGAAMAIVNRNKYLSASQKLVQARVYAQGLTLA VLIATAAFETADAKSGKGRWETVMVVDPNDPNHEHLIEKKVKKEDYEGQNLWQDMVAA EEKRLAHKKALEKQQQEEANKASASA NEUTE1DRAFT_126435 MKRSLKWFSSKTTSSPSNSPEPAGPSVGAGGNNSYRASPSSVSA AFRNPSPSDSTSDSPSSLLHPSSPSPSPTPQPLTPRDSVFPRSDLSPPTASKPIDIAV SHRRSTASISPADRNPITFPTHDLDDLDINWDQGPCLADLGFDPDVDMTAGQHFDSAL SRSRQESFLGTGAKPISMANPNRDHVNRIRRESMAGSLRAGSLMAGSLMGNGMSWGGI SVGSFIREDMMMTGTSPYLTHQSPSFHSSSYIPKLEANFMRDFTCCDRTWPTLHDLLQ HYEENHHATAAPNTSNQNLNTFGTNQGNARGTASRATPNPVANRAQPGAQAMNGYQGQ RHLSVAGAGMGLGGIGQMMRQQQVAPAVSKMSSMSHMNDDMDTVGEMELDETVGPMEM DDNQRTIQQTRQLFGQQQRPQLHLNSSGLPHQALRTSQPPTPAAVSFGFQNNPTVSSV NTPTLTTQGGLPQRGQFGQEDDNGDDMSGMPMKMNIGGVNLNGGQLGGLAGNLAFGAL GTIDDPAKRLYSPGGTTQMTSQQRAFDAQMQMQQQLQQHLASMNLDLNQLAPGTDPAL LLQQMTALMMPPTEEHKPFRCPVIGCEKAYKNQNGLKYHKTHGHSTQQLHENGDGTFS IVNPETSTPYPGTLGMEKEKPFKCDVCGKRYKNLNGLKYHKQHSPMCDPEMRAQHQNL ISTMMSNPAALIAFQQNLPNINEDVML NEUTE1DRAFT_105986 MVFLSRSFTRLLFVSPGYKGVYAGKTPFFFVRFFAFFNLLHFPS RSETKNTDIRCHIPL NEUTE1DRAFT_91963 MLPSRKIAPTNTTPTEGRGPAFDDEELHARAPPVPRSQAHTPGQ LSTRTQIQDRQRRPSEVSKASELSIRQRSLSTAVNVVANAASSLTVSQPIYPHAAPPH KAATPTPSSTGYRQPSTTQPDNRDSTHSSTITIGTAATRERKDSSAGSGREASSRRPA GMRSTSAIASGRPGTSSAVARASGTRGARPGAQMIHHAPFPPLVDPRTATDVPPAPSS GMYWSKAPISGASHTALRAHTTTLIGSNVYVFGGCDSRTCFNSLYVLDADAFYWSAPQ VVGDIPVPLRAMTCTAVGKKLIVFGGGDGPSYYNDVYVLDTVNFRWSKPLILGKDFPS KRRAHTACLYKNGIYVFGGGDGVRALNDIWRLDVSDINKMSWKLISEGSPGPDDHGGD IRPKARGYHTANMVGSKLIIYGGSDGGECFNDVWVYDVDTHVWKAVQIPITYRRLSHT ATIVGSYLFVIGGHDGNEYSNEVLLLNLVTMSWDKRRVYGLPPSGRGYHGAVLYDSRL LVIGGFDGAEVFGDVWLLELAVHAYYSQISHFTIEV NEUTE1DRAFT_126438 MESQETAKRPIEPNGAVAAQDAEPATKRVKLDDAPVPQVQEEPS QPQPQPQAQPQTEDEKPTEQRQDDRDKRRGIAPIKKEYLVVPPSQVAKTAEVVDDDAA EGRTAPDAEAATGKDGKKKRPKGQNKEREFGIFADAQRLCNSVAWTPEFSPRHCKHGE RCNALHDIRKYLKEGRRPDLNVFGGKCPVWETHGKCSSGWRCLFVESHMKEIEHEDGR KELVLTEDLTKIKPEAASLVPPEEESMDARAGVYNVVAPAVKLALSRKKIQHEKSDQY LKWMAKDSELARIHYHKQKDDDDVAKDYAAQYVEPPLKPSEKRRIYFGRETPVLAPLT TQGNLPFRRLCVELGAEITYSEMALGLPLLQGQKADWTLMRAHESEITPPRYTPTGIV DPAYDNSKDLKFGVQITAHAPWIAIKSAETLARYLPHLRVIDLNCGCPIDMVYKSGAG SALLDAPSKLERMIRGMNTVSGDVPVTAKIRMGVRDNHQTAQKLVERLALGAPDIRAV SGAPGCAAVTLHGRTRQQRYTKAADWSYIAECAALVKSFNEKADSLQDTIREADERSL PNGGRMYFVGNGDCYSHVDYFNHVDNAKVDSVMVGRGAIIKPWIFEEISAGQYLDKSA TERLAYVEKFAKYGMEAWGSDELGLNYTRRFLLEFLSFFHRYVPIGLLEYLPPAMNDR PPAYKGRNELETLLASKNYLDWIKISEMFLGPAPPNFKFQPKHKSNAYENIEAEG NEUTE1DRAFT_119044 MVAVAEQFGNLRQTLGSILGIFTIMRWIRTVFAKITGKPLPADV SSLNPASFAMFDGRGKGGAAAAAGGAAGAMPKPSRKPLIFFVLAAFGLPYVMSRVIKS LASNAEEEEKKRQLVVAQQQTIDPTKLEFCRVLYDFSPATQGNGEIVPGVDLEVHKGD LVAVLSKSDPLGQPSEWWKCRARDGRIGYLPATFLEVLKKPGQPVAAISGAPSISIDG DTRANSLSSILSDADKKVLVPALPAAKASDISVESFQKSQFFS NEUTE1DRAFT_54394 MSGAGQPSIPSSSMTTSTLTPASAASSNNTYIMPNSPFKNRGDG YRPKVTRTLGQRPACLVNASVTYCGNNQIYAFGGFDQYTDEVYNHVLRLDLVSHQWSL VDNYGDIPGVRMGHTATLYKGDKLLVFGGENEHRTYLSDLIIFDLKTAHWTQPQVTGP IPKGRARHAAVLHQDKLFIIGGITGHDNYVLDDICYLDLKTFTWSRSWRFVGRFDHSA YIWGERVWVFGGLSEEMDKVSDIWWLDLKGSPAFDSPPQIGSMDRSGMARSAISPRPS YPAAQSPIVGSSGYAANSRTAQVNPPSFHLKTYAPPAPGAVSALKFVNGPNVPSQGQG IHFHVYSSGTLLDFVTPAATISSKECSLSALDLGSLRWQKLADGREIFKPGYRWHYCT MNEDGTKAWLLGCPTEPNVLDHGGTGLEEYLSDIMEIDLRRYGFLGNNFAPEPRADFT RPSSRARHIEQPSKGLGCDLAKLFDQPPETGSGTDFIVTALSREYDEDEIIGSALVHT SDAMDGDQTWLSPDAPTSPPIHVHRLILQARWPHFARLWSSQMAEFHTKKMHIPEPYS VVKAFLYYLYTDRIDPGSEEDESITADLSDVAGLLVMSNIYNIPHLRLLCVNRLAKEL DVDHACIIWYCAGMANEEWLRKRAATFCMTHWGRVVRTLGFQRLPRAALVELSQEVDM EGRVVGGDELDLMVGIDGRYHDGGSGASRRKGSISSNHTQVLESELDEDEGMEMS NEUTE1DRAFT_91974 MATPSVTTPKKSHTGLFSSRTAGGRVPLTPSPHHNANVNSSPFN KDKTSNDGQRAPSKTVYPGNISSQLARAASTKTNWDSPKSNIARGASTPKKALELGVS EFTLTGTGAQAQEQTQTAPTPKPKKTKIKAKPIKTTVTLNADRFIPNRGSSSAIANGG SGKLNMRDQKRPKSRNGESSNSTALATPADDATEQLEFDSLLLDDDEAETYARPSPNT VAYQDSLANACGMNVNTRILQFKPAPPESSKPIDLRQQYNRPLKAANSSAQFRRRVAT APERVLDAPGLIDDYYLNLLDWSSGNQVAIGLERNVYVWSADEGSVNCLLETSPDTYV SSVKWSGDGAYVGVGLGTGEVQIWDVAEGIKIRSMFGHDSRVGVMGWSKHLLSTGARS GLVFNHDVRIAEHKVAELVSHTSEVCGLEWRSDGAQLATGGNDNLVSIWDARSLAVPK FTKTNHKAAVKALAWCPWNINLLATGGGAYDRHIHFWNTTSGARVNSIDTGSQVTSLR WSPHYREIVSSSGYPDNSLSIWSYPTLVRNVEIPAHESRVLHSCLSPDGQMLATAAAD ESLKFWKIFEKKAGSGAGISGAGTSSKATMAKQMTIR NEUTE1DRAFT_91976 MSNAAVGTVYEHIINEVINAVRVDFEENGVDDSVLEELKKGWQH KLSQLNIAQFPWDPKPEAPPPAQATQNTSSAVNAQAAATQQPTANYTQSTLSPQTAAQ SPSLPGGQPNGNGVAIKSEPGMANEPTIKQEPGTMQPMMHPAYPGNNAARGGMAAQRA AQAIANRYGSQAQASINAIHQQTQQPQIPGQAPPPPLQQQQQQQQQQFSPQQQYQQTV AAQMQQRIPQQPGQPGQPQPPNQQNGLGGAQVDGSSDGFDGVMMRRDADGNPVEMGRV EIDNLLHAQLLERAKQREGGGLMLPLKEATKQRSNATKSRRAAAAGGPNQLDGNDEDD DEFDEDAINSDLDDPEDERDDDDEEDEASGWIMLCLYDKVQRVKNKWKCTLKDGVLTV NGKEYVFHKANGEYEW NEUTE1DRAFT_91978 MAPTVLPASGNPLVFFDITLGGEPLGRITFELFKDVVPKTAENF RQFCTGESKNNLGRPQGYKGSKFHRIIPNFMCQGGDFLNGDGTGSTCIYGTKSFADEN FLLKHDTPGLLSMANAGPNTNGSQFFITTVPTPFLDGKHVVFGKVVDGMDVVKKMENT KTGYRGKDVPNLDVVIAQCGEM NEUTE1DRAFT_150451 MKTFSLKDTRGLLVAALFAMAQLSAGHSWPEQVRRIAPNGTLVG PLGYSRAYKPGDNQYMLLDKLDSALLYFPDRAVLTDQSYNVDYAMPKAAPGDWVAVQY NENGHVSLAEAPNIGRNSPVNRGTIYLYGTTNNDLADVRFADVHLKWTADGTGGDKKG RLLATRHFDDGQCREPVDKTSGDPTGIKAFRRANYKIPPTMGDRLMLYTIIWVWDWPQ THKRGVAVSPYTFIEEYKDPIVSVPEIYTGVVDIEIVNPCDESLGEVKGPGCKKGGES DVQFVETDSSKAAIRSQMANLFMVKVPQKGKAAKAGVDYNEADIPMAGLIGVEVVPIP ISNDIQARNMARQKSMAVGGNLNAPSATQSGSPLAGGVFLSASSSTAPTPSASSTPVS SASEASNSAPTSIPATVSHQSSVSAPASTNIPTEKSGQIPVAGNTKFVKVTQTITIPA STVYVTETTQTAIMTATIHETAYNQTTSVARFRRGRGQWGFVSRN NEUTE1DRAFT_91980 MELPVRPTTLASQCYPPSSDFILDHRHVLSAPRPPLRESAGNAQ YHHFQQQHEEQQRRQQQQQQQQQLGALVRSTFAHHQLSIPSPPVVPTQSVSSAYGTPE FLGLQQRHQMQLRRREHAINPIYLSPQFLSYRKKQATMLLIPQQMGKRKYTMRSKLWG RNMLVTEYLWIAYRASLPPGTEPDPKMKRTRKQVSSHIQVLKNLLLNHRCFHFFFPRH DEKEEKSRPRKVAKKQPLSATKLKEIESFKKNPVLIALAEGRLPDERPNYEYFAHILA LNDQIAIRPKRCWIFVSHPNVNVRGDGSGYLPTTGDRLDKSEYPHLERNLEKEKWAKE EQQIFKGSLLHEFTKEIRQVESSTVREVSRGWETSFPKLHERLEAIVAADSRCDYLHM NVALELKEKRGFPNQSDFNSWIEINIEQPQLLSHRWKVETKLVRPAELSFVSEKSPPQ TYYETSAEIAIQYQHLPGCDGYDHQCNCISARRRRDWVMVPFPADAWARTLTNCAEYP AHPFTGVRRGANKRRATAAPLKREPQDSEDNDDTESTSSTRSSVSGDGGQPTQMELVP QIAMLQEIWSCPPESPLEQQDVGLTGTASHQPQWTRCAVILWTFETIHSLDSAGRVLT AQSGKTSWRFLTVLDPTSPYHQQKALLSSSEAAAAGQVIGPKRHTTTAAGRTSLSINP HYPGPSHGIGATETFVPSPISTSMGTTPGIGGRAGDLIVSPASSYQQHLNAAAGMGDV YTSAAVSTAATSTTWGGGTDPRSSFSSVGSGATHFSSAAAAAAAAAAAAAAAATDYDA QFQMMLEHSMRGGGGGGGHEGQRLGSYGGASAHSGLETPPPSATMSNSFTHNFDAAGG PGGGSQKLHYSHQHPAPHTGLSHASHHHLLHAHNPPQPSLSDLATVTDPFLTATSNIY GPSTTAPSTWSATSGFESTWSAAPAGYAAASTHAAVNDGAQQWGARTSSLTSQHLHPQ TSAVASHPSSNPVSRAGSVDGRYSHQLHQTSHQNPHQQQQQHQQWQGNGTAGGLVDDH GLWTPATSTGAEVHWPVTVDEQQEHHQEHSQSQHHQQQQHHHHHQHHYARFQDQYHSH PSQHQHQHRSISSNSSKESHHHLSQMWDEIVAGANESQGAALVEEMQIGDDYHHQAQS LTRGVKRPRSAVGLGDDDEEAGDESDDSDDENYEED NEUTE1DRAFT_73283 MESSSRVFVKNLPPTITEAEFRKHFSAEGRQVTDVKLIPARHIG FVGYKSAEDAARAVKYFNRSFIRMSRISVDIAKPIADSKPQHKPASKGPSKDADPKNA PQVVPPNTKVTAAAVPKVEAAPDAPKRKLYVLDEADPKLQEYLDVMGAHPSKKMRNAE GLPTTVDEVLAPAVPAGLEDGESDDEYEDIPSRTHNQSHTADQEMVDAPLAASAEPSE SAPPVSLDATDDDWLRSRTNRLLDLVDPEDAAFALRPAASGPAAVSVPSTSVENTASA KPEEHPAEDSREMAATSTHDPESAISLIEKTSRLFLRNLSYTVTEDDVREHFAKFGTL EEVHVPLDSKGHSKGFAMIRYEKPASALAAFQTDGTVFQGRIVHILPAAAKRENKLDE FALSKLPLKKQQLLRKKAEAASSTFNWNSLFMSQDAVNTAMAERLGVSKAELLDPTDA SAAVKQAVAETTVIQEAKQYFAANGVNIEAFKTQQRGDTTILVKNIKNTTIEELRTLF EEHGTVLRVLMPPSGTIAIVQFAQPVQCRTAFARKAYSRFKDSVLFLEKGPKGLFTDN VAVPTDARPAGVQKPSVADLLERDDAEEQLETSSLFVRNLNFSTTSQGLTDAFKHLDG FVQAKVKTKTDPKKPGQVLSMGFGFVAFRTKDQAQAALKVMDGQVLDAHKISVKASHR GLDAAEERRREDMAKKAANQGTKLVVKNLPFEVTKKEVRTLFSTYGKLVALRIPKKFN QSSRGFAFAEFSTAKEALNAFNSLKDTHILGRRLVIDFAQAEDIDPEDQIAAIEKKTR AQVNKVALQQLTGTGRAKVTIGDNDEDEV NEUTE1DRAFT_96970 MGGDELKESISPAPREKLEAQIKSADMTEDMQQEAVEVAQEAMA KFTVEKDIAQHIKKTFDERKGPTWHCIVGRNFGSFVTHETKHFIYFYLGHCAILLFKT Q NEUTE1DRAFT_73285 MSLAIPDNGLLLEGPTEAAARRSTHAFAVPLSAKLVAQMIESAQ NGGKLQLSLSDDPAILIEDQEVPLQPELLTEPVDYELFYTNPNKPTRGQRVLGPVMSI FDYYKRAKARKATQTTKPSNGIEGAVENLKNSFAKVEADKRENSALIVEGLPSKKGAK INPGKARLLGSQTNGSSRSISVSPALSGLGSPSLGPTSNGPGDRLKQQKFPIIHELAA QNLTFEELLERYDGDEQEFHPILNKVADFDSDEQKWVLKKMYWKELDVFEYAYATDED RQKAIDNAIKQYDRMRLGISDPLWQKLLPKGERGKGICLSKLHATIAKNSQTVPTPNR ITGTASPSGAETEKGEPMSRSNSQTGVTKKKVSASVAQAKRLATAIANAKKPGRPSAA KATASKVASPRMASPKVAPTKVTGSKGPAAKGGRILSKEIITDSDSDEDEVPLSKSLG RTQGVAAPAPGTKKSGPPVEKYKTAAKSIEAPSPKTKQKPKAAPIRAVGKKKVEETRD TIRAQPIPKTTKPMVSKRPRDPEDDESSSSGTPLSQRVKPVPKPKARPDATTKSGSTF AKGKFASPQKSSPLASSPLTNASDNEEDRRAIVSRPQKRERERERDREVIVSSASSNA GSVNGDVGSRSKKRPAPSDYASGNIGSKRPRLDPDLLSRAEKFKRAYTRYEALHREVS SAEDPSSEKVESLMRMHARLQIEKKEIYSAVTLEND NEUTE1DRAFT_142879 MTVSPTPEELPHLWQKPAYATLLDVLQRLRQEPRIWGLKESREE ILKAQAASMAEMAFNRQEIISFLSSIIKSGLPWLDSDEQREDIWEEASRRLSERCGRT AMGEIIRRWPFRDEKNVSSESGSDFDLAIREPPLTGDSLGLKTWGSSYVLAQLLPQFS AGPLAHLFLGDEPLDVLELGSGTGLLGIAAACLWKADVTLTDLPNIIPNLSHNAELNR ETVEARGGRVEAAALTWGSDDYEEETHPRFRELNRYKLIIVADPLYDDHHPELLSSAI NEQLSLESDARLLVMVPQRDETTKGLTKSLRCKLEQASSPLTLVEDAIASGEDDWGEG DTDETDRVGFWWGVYRREKPSW NEUTE1DRAFT_126449 MALPEETLKLSPIASDQRSSAPCFSDANYRREDHAQTVAGRAKH QKSETSWVWGPPPATLVLSRPHPSFSQVPGHVAPRGNNVHRVTGTGQTVPRFL NEUTE1DRAFT_91986 MLRTNLPDHIRKPMGDEVVSVIHAPPSFENWKSNDSYSRSSLSR SISAASAESSTISPPASEASAAQSPPTRSLPIVNTNVKPVAFGLDAPTPQAQKLEDVF ANETSRLRSSTASSLELIPESHEGDERYEDADDFLTAETTPSEQTEIQALSAALEECW TLCNTLANLSSIHRERVFNSSGTPDAHEKAWKSCWKLCKRLYQSRNDYPESHNIARTN LDLCRDFCQSLFDVRQKQDEVADSILRVSFELNNHAQDTRSLPEAFRERTLDFYITLC HRLMKQRNDSPEETDLLLRACWALAEMLFSLRQNRRDGKAPDAELLGSAVQACWELCD IFREGWTQIRPDRGTPRPSHINFFSPGSYGLQNLSSNHQSDSRSNAGSRASIRSKRES LRSLSDLTDRPRQKAIIPETPVTEFEDTPVSPDASPQMPNIMVLGPSNDRSDSRGGRW SSSASNLSGYSQSSQHTSSTATTATATIDDMNITRIKVLIVKAAMNIGFSRETAAVDG KGGSAALQTFVKSLPMGSFGSLPMHATLLQNYKNLVLTDSAFRSSASLPPRGKRISAI EIAKSVNWMTMRSGQYGFLKDLFRLVFGFQIEDADSRKNASVTV NEUTE1DRAFT_119048 MALEAPLRSSTGSFQSIYSPYTEMSLSAGPDSPLPAQYMAYDSL SIDFQPSHTN NEUTE1DRAFT_119049 MGPRTIQPRADQKMRPWRLVCKSGTGDAGTEDGGSVRSVFGNRY SLGLSRRPRCCAR NEUTE1DRAFT_119050 MIIPIRCFSCGKVVGDLWERYLQLIDGEEITDGDALDQLGLKRY CCRRMVMTHVDLIEKLLKYTPDGRNVKKIEMQTRNEEQGM NEUTE1DRAFT_126452 MVELRTPRKTSKASKMDANGETASLKKRKREPKEETTASQKRQR SKPKTQPAAANGNVDDTTDSQESTLFENKDLQTTNGDLELTDASNTLTTRNTSAWKVS NPMGGRMLDIDPIFSLDERYLLITYNTSIQVYSTEDSLLVRRISLPITKLDSGAELSS PHIVASALSASAPEFLWVACSDGRIWHLNWATGEGAETPFTIDVKKVLDMTVESVDIR DKQEDVLFILQKLTKSSAQIVAYDLKALRTKTGKLIHTYDENPQLLRSAAGGRLIVAA AKETLHTGTLKSKGKTVKAVDDLEYAFHSFGVPDLVTCLDIRPTLQTTKKGGIEIQFV DLVIGCARGAIYVYGDLLSKLPGSATKGGPIQPRKLHWHRRAVHSVKWSEDGNYLISG GAETVLVLWQLDTGKREFLPHLSATIENIVVSPKGSSYALHLDDNSAMVLSTAEMKPT TYVSGIQSLVLSDKPSKESLVRRVWRSVDEISTPLITTSNPTNPSQIFLCVGNGQQAS STGNAPSTPLIQVFDVSSFQGVSKHPIARTNSTDTNMTSQGAPIIEPRVTKMAFSHDG KWLASVDEWQPPERDLEPLLTGSKTTCEVSRERREIYLKFWEIDAEDNSLQLVSRINE AHFTTESETILDVASDPISSRFASLGTDGVVRFWSPKLRRKDGLASTGPEGQQLRTWS CSRAVELPAYGQLEEAVEVSPKTPRSGALAFSEDGSVLFAAYGPSSGAVVAVIDTATG AIRDTIPDLSRGDIRGVEAVSSSLIILSEDLTVYDIVSDEVQYSFALKETSEAAKQMT HLAVNRESRSFAVAAPIPNSSQEKLKKGAKSELVVFSVDEEVPQLIQTFPQVITAVLP ATNSAGFVAVDSAAQIWSITESGEQAQMLQPLADLNMEESASAVAPVDHAVEDGEASD EEMQDADADVEMEDDDYDVHQAVVAPQKLAEIFNAAPAFAMAPIEDIFNQVASLFSTR PSTI NEUTE1DRAFT_119051 MTMTTATIWNAAILGRFTRVSPGTLILGQPPRISLGGCCGAYGT LRWRPITPFLDDFDNNIGNGNLRISGHIA NEUTE1DRAFT_150459 MSFTSIPVLDLSLAKDPATKLAFLADLRHALMEVGFLYLQNVGI SQEVFDQVIREGKSFFDIPKEEKLKIEMKNAPSFLGYSRLSAEITAGAIDHREQIDLS TEHPLPEPGAPLHYNLLAPNQWPSPKVLPSFRQVFTDYMKKMGEISIYFTSLIAEAIE LPANAFDKYFDKDQQHKLKIVKYPDVGTLGKEGKEGNQGVGPHKDSMLSSYLLQASHH RGLQVQNMFGEWIDCPPIPGTLVVAIGQGLEALTQGVCVSTTHRVLSPAAGEGARFSI PFFQGVRGDTTFDDLEKVGVGQVPEHVKEQRRRVLKVSGGQRLDDVEFTFRSGGVATT LGEATLRNRVKSHPDVGERWYPDILRSLREEQSRAAAGSRNSTVGGGAAMVPEGKTVE AH NEUTE1DRAFT_150461 MAKPTPLSAAKISLAAFNEVLGRYPACIQAISRDKGAKPGQKTL AELDEYRYGESVTAFGPEKADTKSIGVDEVKTLVEWKLYVLFHILIPTTYPDIPGSLK GVTYRLAYLVFGGRRATAIFSGLQTPSLELASDAPTGPLFRPTLMKLVSSNDPNLVQT TVQDAVKQYRDRSDISGALGILTKLKGIGPATASLLLAVHDPDHVIFFADEAYYWLCG DGKKVPLKYNVKEYNSLCQKSQALSQRLGVKAIDIERVAFVLMRQESGDIAAASATLD LEPSVSSVAKVTPPKTKRKTVDDDTNDPEPPVRRSKRTKHSFESEA NEUTE1DRAFT_126456 MGSKVVPTISFSNITNPQQSQDFLAQLRRSGVAIIRNVVPKETA TSWRQEASEYLSQNSGMRAVPTRDPQLYELYWSPAQIKARAHPNVIAAQKFAMGIWKS EDPNAMVSTNFPITYADRVRIRTISTTTYGGDENRLSPSAHVDNGSVERWEPDGYGRA GTYKDIFRGRWEDYDPWESSSRVQATSDLYQGTGSCSIFRMFQGLLAMSPISSDSDSD LDSDSLQVCPMPQLATAYFLLRPFFSPSPSASPVPSSSNLAHSEQDQRDPFSTSSTTF AGEEEEEEEEEDSPWLFNHPHNSILHGALPSYAQDINPTLHPHLQLERSLVTIPRLEP GDYVLWHPDLIHVVDGPWQRQKRHHKQKHSMITRSKNPPYPIPNTNSNPKISQTLSLY LPCCPLTQTNALYLSRQRKAFLLGRPGPDFGGGGQALFHQGHENYGTYGYGYRGVRSM VNGPGPYSHLRDDSGTSGCGSGSGTGDESSHMGRAGVQDVNDAGGDDGLRTMGLLPWD EEEARTETERKVLAMANGILFPDLLDYGRT NEUTE1DRAFT_119053 MTLYYSLVFMLLVAEMSIFMLLIVPLPFTIRRRLFTFISENPII AKLQYGLKITFIFILILFIDSVNRVYRVQVELAAATDASKGNAAAIMGHERLEVQARK FYSQRNMYLCGFTLFLSLILNRTYIMILEVLRLEEKLKKFEGTDKDTKQSEKLAMAGD PGEISRLKREIQLRDQDIETLKKQSASLHREYDELAEKYGRTQQDDVPKKMK NEUTE1DRAFT_96978 MKFQLLVALSLIATASARPIVCPDAKRDAILRGDLPKEACCSYG RCLGDVVIASS NEUTE1DRAFT_133557 MTLRVVPPSKTFTVHSSLLFDPKQKAFRKNISIEVDRDTGSIAN VYERDDEDDLRLQIHAGDIDLRGAGKCVLPGLVDILHITDSYAYGAPTATSDRPSIEQ MRDASALERIIRATSHVRAALLAGFTTYRDLGTEALGNADAQLRDCINRGLVPGPRMF VATDALASNGSYEIRRENKSLSGSGGLIVPVPQIRDGADIIKFYADYRRKVMRFPGPG TSNTSNQSILFPPDDPRRRNPAVPLFGQDEMDAIVREAKLAELPVAAHAGETKAALMA ARAGVTTVEHMFEDSNGLLDETLEEMKRQGTIWVPTLATVESAAPQFMEDCLAAVRKA VKAGVRVATGGDTGVIDHGLNARELELFVKAGIPIEEVLEMATVGGWEACGGELTGYR FGWWEKGCRADIIALDADPREDEKALRKVGFVMKDGKVWKRDGRAVDMITVPEFPPSM DN NEUTE1DRAFT_96979 MNSNVGNAQVYEAGDQRNPKQSEIETPDRYNEGQAHAHSNMDSK DERSIKNRLAAAEAADDSHQDSRETQLHKKDPTLPAKDHGNEPSRGAKIDAEIQAEEE EILKKKGASLPGQKW NEUTE1DRAFT_150467 MPPHRQAQNQAIWQEFTLVENPGRISQFANCRHCDYRAAANVTR LRQHLQECEGRLRLTFMPRKQSF NEUTE1DRAFT_92009 MFKFLQPKVLDTITLFHKASNPASIRIATLLKQAAGSGAAVSDN APAKKSDFELNITEEAPTTEQLRTMLDYVGKTGISSIISNAQNETEALKKFKENGDNL NRPIVVDWNNGKVFTGDNESAILKLLEGLPKKN NEUTE1DRAFT_73314 MARHATRQGVLVLTSDKMPAPTPITEKPKKIQEVAAEIRSLQPD DVEGMTNPCYWEDLHQYFHPIDLWYKGASNLLEVVFRLLKENQICMWVESWLTWEQNR YRLSCWDTTKDIMSVFGPSDWDNIYAHGVTFPKDCVVFFCDQLEYWYHYYRNPDIMAV TSPSTMATIPPEDTLPLDCQGAPIILYPFARVASATIQATAPDFIPSIRRSSDFCDKP ATSEVEIPTKSEHVQKANKIQHKASKSGPIPKSALSKLQVETGIAGPSRLPNKIPQQM PALSKSSTTITKVPLTGIVFPSKSQLGNASPQTQTRDRITSGRFPNQPASVPQAQGQQ KKKGTDKKPQNGWSHSRNDPIHGPIRQHHKQVQDSSNTSAQEPVANSIAQCPNLGKMG FQAVWEPFSARSKLKAVEDYLRRFGSIEGSRASWQPDSKTIAYVTFRKEEDAVKAVKV GNGAQVQDDTGFFRLQLEHPWVTKHWRCQPQQREAEIGLPPTMETAQLHERTPSITSS QKAGYNGSGGSADLRKARTSRHPFIAETDPFVGGNPTIFSSMLASSYHPHHPHHPPYL QHPQQLQRLAPSPYRMPAPGNPAQPVSFHPALMPYTSPSHVVPTYPPPPPFGSGLPTV PPVVPQAPLPGPPRTHLWPQGLGVPTVHHQPRMPMVYTPWPEQPPHGPHPGGPHQHGH MPIHLGQRMGPSAGPRAEQMANQSSDQTGHSQIEHHQGECSHAQTKQPTEVCLLQEEL PSSSTNFSSSHRIPVRLPSSRSTSEEIRFEQERASAPQQGSNGEDQQAGIYQEGRGHH ETHITGTVIRYKPTGRQVLPSQWFAQAPMIQDKASQRNSDLTDGVPPMLEPKEADKEQ NLPGNGKGKKKPTKKKKKENDAHQAAKKESQLAPVVVAESKSTQALQDESKKVTKKKK RPAKRGPSATEQAHEMFIAPVESARAGSAPIETADTPETTIVIDKNEPVSAVIMAKPY RADAGGSLHVFRQRYKPAIRDIFKPHQDVKDISGASIADNTGQGTTSSSISHETSSKR QDEPTEEQVRKKIHGSFSNLDVGFNPWPRAPSGEVWSPSKRPFLAASTSNMKRSMTPQ LPQVIIEPAVDTVTEHGLPTPTKVTFKDTVTELGLRDEIDAHYRGTDSKKPSGSSFVS MAETQYTTVSSYHSARSTFSSGENTPHGTTPPASPSASVGG NEUTE1DRAFT_106014 MAIARTSKFHTREAGKSIQMPNIECDEDKADPSALPVSSPDMEP IIETSKEDLQAPKKRRRIEGKRSEAANVFTPYLFMPNDKIEEGRSRNDPPTRLAPLPN MFTPYVFGDESLGQDSDANEDIDYWRDSLSPKQRLRFALKKLLECESSQALAIISKHK TILRLLWLKQYWVKGQLVTSNLKWERQEHYTGIAHYLFTPQENGEKTCTKCETVDNYG PFAECVAGGRAVHGGACFSCYYKGEGKSCSLRIVREESAV NEUTE1DRAFT_92013 MSPEDRGFGGGNFRGPPGAQRRPDMDNVTAQMDGMNLSIQRPPT RGPPGPNGTGPQRAPMRPDTRDGYGGPMRPNTRDGYGGPGPGGPQRGPPQPYRPQQDI QDQRRGPPPGPDFGQPGVFQDGGFGPPGRSMTMPARDDMGFQPPPQNFMHQSDSVPYN GPVGRAIQRPNTAQDARAPPQRVYPSDHGVPPLPQNNYDQGYGNRQDIAYGGQQQQQQ PGPVDDYYEDYYEPTGNGGYAAQSDGPNFDAISPHRHQDSFDQHMQPNQMQHQAQRGP GRLPEMSRAKSQPDLRNSQTAVFEMAGDMPPMPMMQQQTGGPRGSLESQNSLHRPPPN GQPGPLPPGAGITAHPAPYRPGQSAPPQGPYNSDNLPLHPAPVRPGQMPGSMVNLNDR PPPVRNYQAAMNSMPAPPPLNNQPGPQPMQSGPGSPPPPTKPVEPKVTVEELEHLRAI VKNDSNDQQSALRLAKKLVEASDVLVPNLPDPKARARSRDRYLVDAHKILRKLEKASN PDAMFFLADSIGRGLFSSEPDHAHAFSLYQSAAKLGHAAAAYRTAVCCEIGNDEGGGT RKDPIKAIQWYKRAATLGDTPAMYKVGMILLKGLLGQPKNRREAISWLKRAAERADTE NPHALHELALLYASAEPDDVILRDEAYAFSLFKQAAELGYKFSQFRLGAAYEYGLFGC PIDPRLSIMWYSRAATQEEHQSELALSGWYFTGSEGVLQQNDTEAYLWARKAAMAGLA KAEFAMGYFTEEGIGVPANLEDAKRWYWRAAAQDHPKARERLEELKRSGKNGPRNREK ISRNRNSRQQEGECLVM NEUTE1DRAFT_92017 MGGGILGFIRSIYQLDTLDTRFTTPSSVPYKLAAEARNDPHGAQ EGGLSKGKKAERSKWSTPEFYLYYLVFLVAVPYMFWVPFEVSRESDPRYPKFQRYLSG GWMLGRKVDVSDSQLRTIRGKIPHIFGLLVVQPLLRRVWKLFKPTRLEPGASETSPRA AEARLEQRISFDAFFGAILLTALHGTSGLKILGLLWLNYQIATRLPRKVVPWATWIFN LYILVTMKVYDGYRFKTFVNLIPFAPDSLLDLASWMDSQQGLLNRWHILFNITILRLI SFNLDYAWMTDRQGGSPIEKQLDPASLSERDRVGTPAPKSNYSFRNYFAYAIYAPLYL AGPILTFNDYISQCRYKSASIELSRTVKYGIRCLLVLLAMEFVLHFNYVNAISNARPD WSTYTPAQIALLSFFKLHIIWLKLLVPWRLFRFWALVDGIDPPENMLRCVSDNYSTLS FWRSWHRSFYRWTLRYIYIPLGGSNFRTLVSSAYSIITYLAVFMFVAIWHDVDFQLLV WSWLIVAFFLPEIAAGYLFPRKKWEGRPTAYRMLCCVGAVGNVLMMISANVVGFGGGV DAMKALWEGLFRGLSGISFLTGSCIALFVGIQVMFEIRQSELRKGIDLKC NEUTE1DRAFT_92020 MGRSSLLSPDGQFPIVLIPDNEGTEDAGTATNSDAEPTESYRHR KKINYGNERQQRQQPQPQAQPRPPPAPRHPIPFMQEAFAESLFEATQGGATPKPKLRT GDAKTRREQLLEQDKSAEPPAAQWRYRPGQQTHELRRLMSQISFGVYLLLNGMANSQM SVVTILQGHIDEVDEFLETVLEDIGLATKDLNERIIHLRLPMDNMELFERMLEDRNFR LRMIEGNEKIEHIVARTQVALQQIMNDIAEGLESTRDFTMYLAEQQHGRWRKERPDVI DIFDAMKGNTDGWFQAFMDLEEKGNALNRVIVQLIGIISEMERRAGEVSRKTRPPCIR RILGYNTPDVSHRQNSKYTPSLIVAAQFDRGGQAVVANLILRSKNYSYIYAFNSPPPP ARNPHRLSKPAPSQSALYQLETAENKEETNEEEKEDEEEEEEGDGEEEKDEEEEDDDN EEEVEDEEEQEEEEPVYLLQPKTYTPQTSPLPSPRVFDRPKPKDEPVSYKPELPVPII GKPKEQTLEAKAYCQEPTLEAKVYTQKPTVEAKVYTQKPTLEAKVYSREPTLEAKVYT QEPTLEAKVYTQKPTLEAKVYSREPTLEAKVYTQEPTLEAKVYNPRAAQPQPRPQPSK PTLAQADTRDSRPASKQSHRTIPESHQLRPQPSRPKLAPVETRDSRPTSKQSHRTSPE SLPEVVVHPGQESSFRRRVSQKTTPPDSIHIPRPDSPEYRDSLFPTSRTYQTSDSAYG SDMERPHVNSIASFDTVADFPPPPAIMHPGFVSSPHSESGMQFWRPVQASPHSPLQQR PHTSGTQYSAQGQQSTSYQSPYQSPQQPLGHLHQVPPRNLPSAMGMSVRSNMTTGTTA NQETNSGKSLKKKRSAFGWLKKAFALDEEERAAFEARRQMETRNLYYDGRSAQFLDGK RIQAPLPRHPGPYQHGPYQSQQFQQSGQFPPGQF NEUTE1DRAFT_92023 MAVSRDHLADLDRHMQLLEGKVNQLHASLTHWQQTYFEYAALKE EVDGLPKDPSPRTELARIRRDYDGTIITKKEINEIFGENDLKEADQISSVLGRRLDYV EKNVDTLTKLVETEENKLAAAKVIANPDGGTDEETGLPITDIIEELDDEDNIVQYRLQ SGGEVGRRVEEALKKAGIEKLPETQADLPQAQAKSNTTQENQSVEQHQPTATSAAAAS TTTSESSSTTPITPSTSSGKSVTFADDTKSVDQLPTTAGKLTQLMQKVKEQDAMDMSK AVIPKDESEDESQLRADMLQYMQEEIHPIVAELEIDEGSTEDENAEWDEYEDELEEDD DDEDEFGRSKHSVITSDYIQRMQELEKRLGFKSAFTVERQKPQQPDDEDRKDAGGGYI TVVREPRSTPVSVSAPTPAPENTQSEPTASSLKSKGKAKEKKGVRFATELDIAPEEEI QPAVQPKPAAPKVPLVNPVGGIVEHGAVASNPTTQEQEPEEPEPPKRVSRFKKERAST GKAAAPAAASPPPGPLQLPQRFRESHSAPPAEPTPPEDQILVNQVVERNVLSAPQEPD DMDDELLYRAAAVEYNRLRNRMIQKEGGFMKPEEEQPVVPLDEEEGGPPRMSRFKAAR LARS NEUTE1DRAFT_92026 MADKDVTELTPAEEAAARAKEQAEQEALPYKWTQTIGDVDITIL VPGNLKGKDMVVEIKKQSLTAGIKGQEPVIKGDLPHPILLDDSTWTLSPAPDGQKALE IHLEKHNKLEWWPHVVISAPKIDVSKIVPENSKLSDLEGETRAMVEKMMYDQRQKEMG LPTSDEQKKMDILKKFQEQHPEMDFSNAKIQ NEUTE1DRAFT_92029 MARPLDPLGFEDEMCPVCKSRKYLNPDIVFVFNPECYHSMCLNC ANRLFNDGPNQCPHAGCNKTLRRKGFRSAFFGDLAVEREVDIRRRVAAVFNQVEDDFE TLQDYNNYLQMVEDLTFELVNGTDERRRQAEAQLQAWEAEHRADIERNKKAGREADEI SRKRLAAERDAVRQRRIEAIKEAEAEKRERVRSREMELDNLAKGTTAMTAEPATKVQL KRRGQVNRVAETASNPTIATTGMGTGASEVERLSIRGLKEKPKAPAPQGPYDPFGGMD FAPSRYKLHGGLSHPLMEKYRLDRQHVAGGYSFDDFAARALYEAFAGLGVFVEDEKEA GSGIGLSQETSMAAGMAAVGDADKMDLD NEUTE1DRAFT_133567 MASSFEKSVKGATKIKAAPPKTKYIEHILIATHSGEAGVGEVFR VLQTRLRDSTWTVVFKSLITVHLMIREGSPDVTLAYLAKHRSMLGLGMISDVQTQGRN IRHYYDYLTERVRAYRDTKIDWVRGRENRLEKLSVEKGLLRETESVQKQLTALLKCDV MDNEPENEITVTVFRLLPDAERAMDIYRNFARQTDFVVQYLSVARQYEHHTRVEVPKL KHAPVNLGRQLEDYLKDPDFEIHRRQYLAELEAKKKGGSSSVSKFPKAESSTKNTASG TTSTKEESSRPAIPIKSADANLIDFFDSIEQNQTQMAVQAQPQLQQTHGQMQVSANPW AQAAFQPQPTQPFQANDFVAQAAFQQAFQQQQQQQQQQPTMDTYGQVPQAPQQQMQPS FTGAGYGGFSPQQQGFQATSLSLGPQDHMASFQTATTTGFSGLQPPQQVTNPFRQSMM MNQQQTGSPFSPANNSPFQQQQPQQQQQQQMQRPVTAQSTNPFARASPQAAQPFASPP ANSPFQSAPPQQPQPTGTNPFARGFAASQSVQQQQQQRPVTASGPLLPMPTGSTNPFR QGAFVNHATGMGWQHNQQPIGGGLDQLETIPVFPRPAQQTPWQQ NEUTE1DRAFT_92035 MAQPRVSLRRLCRLIPSQNSRLYTSRINPHWQSLKCLPRNSQRL LHNSPALASPSGDKVSGQKLREEWAQYSQFPSASKAADFVEGNKVTVHGFLLRRRVKS LKLVFADIQVENGPSVQVTSTFKEDGSPEQLVTRALRAVPLYSPVSVTGTVARLHGNR IDLDLDAIQPLNAFPKDIIVSEGVQFPASARHLQIRFSDPLRSRLLARPQIGFQLRKT LNDLDFTEVETPILFKSTPEGAREFLVPTRRRGLAYALPQSPQQYKQILMSSGIRGYY QFARCFRDEDLRADRQPEFTQLDLEMGFATGEDVMRTVETLVSQLLPALNAQFSVVRQ GDDIYPVPKRDLKNGESTENGDLQWPEPTAPFLRLTYDEAMTRFGIDKPDLRIPFELH RVDHVLPAQFVSMITHTEQPIVEAFRIRPQFDGETVTPGTIAEFVDKLMDQLPKPLAN NPDGAPAALMFNSKKPLCGLSSLGFEGFDALTSMEAFSDLEDGDVLVFQARKNAPFQG EGSTALGVARTHFYRSFVSAGLLPQSFDLKFLWVVNFPMFTPDDGIDPGQGGQAGFKA THHPFTAPLTEADVEMLATDPLKARADHYDLVVNGVELGGGSRRIHLSSMQEYVMRNI LKMTDAGLAQFSHLLEALRAGCPPHAGFALGFDRLVAILTHTDSVRDVIAFPKSMKGE DLTVKSPSKMTAQQLETYHLAIAKKEEVKKQDV NEUTE1DRAFT_126471 MRSSTWTTSFVLQALAFNILASATPDENVALSKRDLAQASLFKR VNNRVSAFSVPRSLHTREDDSDTDLIKKAVVEVVEVVTADQLERRGATSTAAADADKA NSANKAASTSAAGKAAALSSEAAAFSSNAQAASVGSSAAADITASDKVNAASSHAAST GKAEAAESSANYGTESSAANSYAASTGKAEAAESSAAPSSADYEAESSAANSYAAPTE KTAAAESSGAAYSGVTYSSAAYSAVESADAYESADDCESAAAYESAAPTKDAAEDQDY ASDESDSDSDSEDEDEDEGNTDKDGADGADDKDASPTSTADAAGGEQEDEDEGEGEEP CPEEDSEDAEGVATETGYEYGGYGAYGGYGGWVTSTALSAPTATDDSADGKEREEFAD VQLAGAAGQRVDAKIALAVGLAGLVFVQL NEUTE1DRAFT_92039 MAVFTSASFFLLAAFVPRWTSAQHVSVVATSSRAGALSGTVAGD SPMFTFPASADIGPNVLPNIFDPQAVNVQSVCPGYTATNAQKTEKGLTADLTLAGPPC NVYGNDIEHLKLTVEFQADNRINVQIQPRYTGPGNETWFILPEVLVPRPEAEPDANAA GSKLEISWSNEPTFSFTVKRKETGDVLFTTEGRVLVYEDQFIEFGSSLPENYNLYGLG EVMHGFRLGNNLTRTLFAADVGDNLDANIYGNHPIYLDTRYFTKDESGKLSYVTDPAD KNAKYVSYTNGVFLRNAHAQEVLLRPEGITWRTLGGSIDLYFFEGPSAQDIIKSYQLS TVGLPAMQQYWTLGFHQCRWGYSNWTVVKDVVDNFRKFGIPLETIWTDIDYMKGYRDF ENDPDQFSYEEGAKFLEELHKNHQHYVPIVDSAIYVPNPDKPEDDYEPYHRGLEADAF IMNPDGSLYIGAVWPGYTVFPDWIGAALNGTGTVSWWTDEFVRYYKKVAFDGIWIDMS EVASFCIGSCGTGNLTLNPVHPPWGLPGEPGALVLDYPEGFEKTNASEASSATSVYKT QYPDPTTTASTTSTTSYLRTTPTPGVRNINYPPYVINNFHGDLGTHALSPNGTHHGGT VDYDFHNLFGHQILHATYQALLKVFEGKRPFIIGRSTFAGSGKWAGHWGGDNYSLWAF LYFSIPQALSFSIFGFPMFGVDTCGFNGNTDHELCSRWMQLSAFFPFYRNHNVRGAIS QEPYVWSSVIDASKKAMRIRYLLLPYMYTLMAQASLSGNTVMRALSWEFPQEPWLADA DRQFMLGSAVMVTPCLVQGANTVDGVFPGVGDGTIWYDWYTYKAASEGVQPGENVTID APLGHIPVFLRGGHVIPVQEPGMTTTESRQNEWSVIVALDGAGKANGTLYLDDGESLE PGENVKWVDFTVEKNSFRVTPQGKYLDRNSLANVTILGVAEAPLRVTINSHLLGSASW SYNPEGKFLLVTELQDNFKEGAWASNWTLSWNSPSNSDSSPVQGGGGRLEFSTANLLY AAAFGILFGRMFVL NEUTE1DRAFT_119059 MLYRMSVCPLLVMGKSTSVHGAVIWALMRLLCQAIKDDGALRGA SWVLYPSQRTTTLKRRGIMLMET NEUTE1DRAFT_92040 MAIFAMGWQKPDNVAGSSAPAIMVGLFVATGGLLLGYDTGTING ILAMKSFKDHFSTGYIDGNGQPGIYPKESALIVAMLSAGTAIGALLAAPLGDHYGRRR SLIGAIGIFVIGAILQVCAYNIDLLVAGRTVAGVGIGIVSVLVPLYQSEMAPKWIRGT LVCTYQLSITMGLLAAAVVNILTYKLKTAAAYRVPIGLQLTWACVLVLGLTVLPETPR YLIKRGDKNAAALSLSRLRRLDITHPALVEELAEIEANHQYEMALGPDSYKDILFGEP HLGRRTFTGCCLQMLQQLTGVNFIMYYGTTFFNNAGVGNPFKISLIMQVINTASTIPG LFVVESWGRRRLLMVGAIGMAICQLLIAAFATASGSNNLSAQNKVLITFVAIYIFFFA ASWGPVVWVVTSEIYPLKVRAKSMSITTASNWFLNFGIAYGTPYMQTNSAASDESSID LGSKVFFVWGAFCIVAVGFVWCMVYETSKISLEQIDEMYERVDHAWHSRRFEPSWSFQ EMRDLGFSDNGIPPTQQLQQQPQQPQQQQQQHHQQQHQQVDLQQSQSRTSNSSTSQTD VGGSNNTGASQDDKLVASLGNIDLSY NEUTE1DRAFT_18864 EDLVTPERSIVMNKLAKVTMPTFVPRVTTSRESYLPPSGASELP NGNTGN NEUTE1DRAFT_114943 MLAWVIILKITLSGYFLGIVENIPGRSRSAQLVPVSDQGSCDTG EPVPQRQGWGTYGESSWVRHCLVDEVFDKTKSLWHLDQRSEDKHFTCLVLSEKSFSPR PSTRLPPLIYHEAGEA NEUTE1DRAFT_119061 MAPRVIVVGGGLSGLSAAHTIYLAGGNVVVLDKQGFFGGNSTKA TSGINGALTRTQVELGIQDSVKQFYDDTLKSARDKARPDLIKVLTYKSAAAVEWLQDV FKLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRLEELAETEPERVQIIKKAR VTSLNKEGNKVTGVKYEVNGETQSLDGPVVLATGGYAADFTEDSLLKKHRPDVYGLAT TNGTHATGDGQKMVMAIGGNGIDMDKVQVHPTGLVDPKDPNSKWKFLAAEALRGEGGL LLNGDGDRFCDELGHRDYVSGMIHKEKDKGKYPVRLILNSKASKTLDFHTRHYSGRGL MKKMTGQELAKEIGCTPEHLQKTFQTYNAIAEGKQKDPWGKKFFHNLPVDINDDFHVS IMEPVLHFTMGGIEINDKAQVLNSEQKPFEGLYACGELAGGVHGANRLGGSSLLGCVV YGRVAGDSASNYLFQEALKGNAGAARLGQIALHIDPSQPGKISVQWGGESGAQATANT EAPKKDTAAAAPKKENPKAFTIPDKEYTMEEVAKHNKKEDLWVVVKGVVLDLTNWLEE HPGGVQALLNFMGRDATEEFEMLHDDEVIPKYAPEQVIGRVKGQKVTLEV NEUTE1DRAFT_73350 MRFTPYIVLAPTAAVAFAQLFDPLHRTASDIKQQAQSQSVNHAQ IPLAKPAGGLGPAMPPSGAPQADGPANAGGGSSVMLSDVMGRDKSINLFAGFLRGIES PSQRLDDPARNTTVLAPLNSAIENLPRKPWEDPRDYSALGANAYEGDDGQGRAQRNLR RFVEAHLIPTSPWPAGEKIKPVSGDTEVWWEEKDGVKRIQPGDIEVLSVGSSVVNGEV WILKGVRNAS NEUTE1DRAFT_73353 MNSQPQPLHGGGPFDRDRDRELEEQRHRAIQQEELARRERDREQ TRERERERERDDAERQHREPYQPATTPHHSSAGSIPIHQPVASRVATTIHSPGGLLAN HGGSAPSMPIGAPTGPAPAFGPQLQHESGRPPPHGGPGAAPTTQHQMFAPMSHGPGGP NNSLGGSGGPTSLFGGPLQQENGRGLQQDGVRGMQQLPFGSNMGAGHPMPSGPSGMPQ GQQPILNDALSYLDQVKVQFHEQPDVYNKFLDIMKDFKSQTINTPGVITRVSELFAGH PNLIQGFNTFLPPGYRIECGLENNPNSIRVTTPQGSTSFSIGGSRAPQLEPAQPTSGP GQAFLSARPGNWPPSTVQHSIESPEVAYSVPAQNGPAGFSGPNQNAPFENTSPVQQRS VPAGQNGAAGTHAGPAPRNAHTPTPTVAGQPNSNGSVAHQAIIEKRGPVEFNHAISYV NKIKDKPEIYKQFLEILQTYQREQKPIQDVYSQVTTLFHAAPDLLEDFKQFLPESAAQ TRPAGQQRPEDSAAMAVTTPTPQPGLPVRDQQPKMPPVGNFAPPPSASKESKKRARVE KAVPTTAPPASEQPPVSSLRSTIQPAAPTNKRAKLSHKPVVGDGNFIEPTLTPVMPEP LGPIPLSTSGQDDVQFFERVKKHIGNRTAMGEFMKLINLWNLDLIEKDVLIYKANHFM GGNPELLNTLRNMLRHTGTEEVVENRPEPPPGKVSLSNCRGFGPSYRLLPRRERLRPC SGRDELCNSVLNDGWASHPTWASEDSGFVAHRKNGYEEGLHRIEEERHDYDFYIEANQ KCIQLLEPIAQQMLTLTPAERAHFKMPAGLGGQSTSIYKRVLKKIYGPEKGCEVANEM FKNPFAVVPIVMARLKQKDEEWRFTQREWEKVWQSQTETMHLKSLDHMGIQVKTNDKR NLSAKHLVDVIKTKHEEQRRLRTTKTKIPRYQFLYGFQDQDLLLDLLRFMVIYANVGG QHNALERRRILEFFETFIPAFFDLPEEKVQERLADIDQDSGEEDEEDSAPAELTNGRT RRGKKSDLLRGVLDPGRNGSRSRGQKEDSAATGSKETTPDVGSANEEEMPDAPDDRAV PEVSNDRWLPTIGPIVLENTKDGKPDGLVDVDGELKADAPFVRAWYNFYCNQNMYVFF TVFQTLYKRLEEVKASKDSVLEEIRRETAVKPAKILGMVNPGLHYFDDKNPETFWPRT VELIEDFINGEIDESRYQDVLRHYYLRKGWTIYTIQDLLKTLCRIGLACNNPDAKGEK TKELVRAYLESRQQETTSFQNEISARKFAEKCIKDADMFVISWYPGKKEASVRWLKKE DTTFYMDEMERIQQWQYYISSYMRQEPTEGVDKKQLQRVFLSRNHAAATEGISEDDYK PKPLAYDEGLVIRICLNSSKMVFEKFTSEWFVYNTTTFDSEEDKVFHEEKQAFQRELR DERLKEKFVTNNSWMKGLSHEEVQAAKDEFQRWKEGGIGMVESQQQPPPQ NEUTE1DRAFT_51934 MLVRRPPPFGVTLGIVPRCFPRSVPVTMTTIPLVKTTPTQNVVA IYHGAGPSQSRWQLQHFRPMSSGPLPQAQNTPAVAEAARTRGGKGNSSWRMMQIVHVR LASTSTRSSSEVVQNKKGGNQAQARAQGQVQAQGQTQLRPTAANGVPQLKAPNLEYPE RLLIYNAGKGKIIFIALLKLTTVLIFSAFGLFVAPAYIVNGSPLAGVASLFPPSIPPI LHEQTSDYFPVFTCGLTPFLFLAHSSRPFVAQIHLTKLPPYARLSSDVLLRFARSLPP QSASRLEFVTMSLIGKPRVSSVAISDIRPVPSSQKGLFKKVNFVRVDQPPASSTITEA KGKSSSKPLTSAQWKIQQQQLKDGKQHGWRQKILSWTRFKTVKEFYVTDVPQKTAEKR GVKEARVWEEIRGLIEKRAIREAERRKGGR NEUTE1DRAFT_73358 MSNDPSVRRLLPQTSQMGAFTFAPPAYQQPPRETQKNYVFVDEH NRHKRLKVMRACEGCRRRKIKCDAATTNTWPCSACIRLKLHCVRPNGFDGAAEPQVYE PPRAQFDSSSVPESYHQQQLALTDHQQLLTHAQAPKATPIYPPQTSFQDTAALYHTVP YTQPQAVPQNLQYAAVHSAYATPDSFPTPPSMHQVPQVSQLPQISQHPSSPEDFQSEY AQQDLADLLGSLKVNEAGTAPYLNSKMRSADDEEPSVEETEEDQFTKNLPPLTAGKIR IPPALMPSEDLCLHYFDLYFTHTHPYVPVLDKNQFYHQWHNNREAISPLILEAIFAIA NRLADDAAQGQQWLYLATRHADSFLDVPRLSTLQASLILLKAREQAPKKGYYYRSWMS IVQCVTMAKDLGLDEHYADHQAGRSCGLSPAECQLRDRIWQTIFIVEIMIGTPQGKTD LAVEEETVNFEVPRPLPNGDTSEYHVSRNFVYFSRVVRNVCRMSRVYSRLKRNKEWGI DPEFVQIRPAMDAWLTDLPHDLQLDFPVDGSPPWLPSSFVGNMHSYYHLSIILFYRPQ LAHMTPTGTGGQWKQHMLVSYRAAKMLCRLQEGIINQFGIAGLQCMQRGINFTLYAVL ACIVLHLVALTSPDPALNAESREYFTRHMRVLEKCMGAWPMPDMQRQIDSVREAFSQD IRKPFVLKPSFPYGSPSSTSHPSPPGPSYRSDMSRTSSIDHQLDTHVQHSHVSYTTHP LSPPISVGPMDSKSDSHDLQSLAMMSSGHGTQAPSMAQTMPLTDAPAWNPSRIFDQWN AQFGNPQVQHPSQLTNHASPLSLPSSGASETSLQDLQAAQAQLSPVSQQMPQYPTNPV QTFVTPAMWQESVASVYEGGLKRSWGDYDGHMPVKRNR NEUTE1DRAFT_114949 MVDTLTLDATQRAATGTFHGGRRGVLEMLIRGPFFQFFPTSGPQ FLEPAPLSPSFHTRPLSSLPHIPAITTSTLPPQVPPASVKSAVGISINIPSVGAREPA TTGTKREPPKVVIKHPDTLQQSTNFVIMLAMCHCHFFAPYDSCLAHLASRIARGLRRL MLYSIRKVPSPGVPHIYLCAPMYSAAFHLHGNTVKRADSVEELLPSVPIGSSGIRVPL RDQPNAIVTNGNPPKTTGTRYM NEUTE1DRAFT_114950 MQRMADGLSINPSTNVVRGSQTTVGNPVNASPNNCKDPAIRTPY QSCHWLLQAPVQVPVPSLLGPLHKTLSTAATGLPLAKAHPEPIFSTSSRRRLLKIDMN SQPSMQTLLCGKRRQEGEIRAQRQL NEUTE1DRAFT_106030 MFPIVGCGRGGCDPYGCTREAVPSERQKRVLVEMLFTGKRVSGS GEGDSALASLAPATPAQAVVMWCILLGCPTAFGGPKVVMLQPLKVPFSEMFLQRAWNR IKDPRNVRIWLGDVKP NEUTE1DRAFT_73360 MAKSDLDNANPTVLSVSQLPSRNLAKGHVRKGPDSKYDHILFPK QWWAGSSLNTDPSVWTSDEDEDDDLTLATDEPIDEQEIYGENYDPSSCTYLLSTISDP EHPVTLGQIAVVRLDDIHLSPSPAERLDPNTLTNVEVDLTPTVNHCSLATVIGLAVRV RLENALPPNYRIVVRMKDGSHAQDDQVNKQLGDKERVAAALENDTLKGIIEKMLETCV NEUTE1DRAFT_119066 MPIHRKSIHGTHCDGSSSPLVTSSFVVQAKDNYSVPRYYSGLPC THAVVYIPTVLHTKHAR NEUTE1DRAFT_142911 MDDDLYDEFGNFIGDAEASEEESEHGVDAGNYVYDDYPEEAPEA PAQEMMEVDDEGPSNAVVLHEDKQYYPTAAQVYGEGVELLVQEEDAQPLTQPIIAPVE QKKFSIEEADLPPVYFDRTFMADLMNFPEQIRNVAFAGHLHHGKTAFMDMLVLETHDI ADRLEKRTGKKRDEQLRYTDIHILERERGLSIKASPMSLVLQGTKGKSHLFNMIDTPG HVDFVDEVAASLRLVDGVCLVVDVVEGVQVNTEQIIKHAVLENIPLALIVNKMDRLIL ELKLPPNDAYYKLKHVIEEVNTVIENTIPGRGEERRLSPERGNVLFACTSMGWCFTLQ SFAKMYSDTYGGVNSEEFARRLWGDIYFNPQKRSFTRKPIEEGAKRSFVNFIMEPIYK LYSHTISESPEELKGTLKKLGIQLKPSQYKTDPKVLLKLVCEQFFGPSTGFVDMVCQH IPSPAEAAKQKLEQYYTGPLDSKVAESMLNCDQNGPLVLYVSKLFSAPDAKSFYSFGR VMSGIARPGTEVRVLGEGYSIDDEEDMAMARISDVFIAETRYNIPTDGVPAGNWVLLG GVDNSIVKTATIVEKKFEDDEDAYIFKPISHFTQSVVKVAVEPINPSELPKMLDGIRK INKSYPLITTKVEESGEHIILGTGELYMDCVLHDLRRLYADMEIRVSDPVVRFCETVQ DMSATKCYAITPNKKNTITMVAEPLEDGIAQDIESGAVRIRDPVRKIAKFFEEKYGWD LLAARSIWAFGPDEMGPNILQDDTLPSEVDKKRLNTVKDFIRQGFNWAVREGPLCEEP IRNTKFRLIDVSLAQEAIFRGGGQIIPTSRRACYSSFLMASPRLMEPMYSVSMTGPQD AVSTVYNILARRRGHVLSDGPIAGTPLYRVNGLLPVIDSFGFETDLRINTPGQAMVSL VFDRWNIVPGDPLDKEIVLRPLQMANAQATARDFVLKTRRRKGLSEDVSVAKFLEPEF YQSLIESGTLGEA NEUTE1DRAFT_150487 MSTGLPSVYIVSAARTPVGSFLGQLSSLSAVQLGAHAIKSAVDR VPEIKAEDVEEVFFGNVLSAGVGQAPARQCALKAGLSNKVVATTVNKVCASGMKAIIL GAQTIMTGNADIVVAGGTESMSNVPHYMQNLRTGVKYGDGGLVDGIQSDGLRDAYGKE LMGVQAELCAKDHELSREAQDEYAINSYQKAQAATEAGLFKEIAPIEVPGGRGKPAIK IDRDEEVKNLNIEKLKSARTVFQAKDGTVTAPNASPINDGAAAVVLVSEAKLKELGIK PIAKILGWGDAAHEPERFTTAPAVAIPKAIKHAGIKEEDVDFYEINEAFSVVALANMK ILGLEPEKVNVYGGSVAIGHPLGCSGARVVTTLTSVLAEKKAKIGCAGICNGGGGASA IVIENLQ NEUTE1DRAFT_126482 MYALSCTDGFKYPGSEPTYITDIIPVSAGLAAVGSDQSLSIFNP LRLNQGPLKKVQTNHGNISCAEVFSVGNSIVATAGENGTVSLWDLRSDAANTPVLQIG TPGEGPGLLSLACSEQTNTLAAGTELANHQASILLWDLRSPRVPKIHYDEVHSDDVTE LSFHPTNPHLLLTGSTDGLVNVCDTRITDEDEVVIAAFNHGSVHRAGFLNETEVYAVS HDERFALYDMGETVEKGSPTLDLGDIRKVVDCQYLCNVIPKVTGAGAVIGAGTQDQEL FRLIHLSKNGASWSLDSETVVGLPGAHGSEIVRSFCFVDEQQVVFTAGEDSCIKAWRP SV NEUTE1DRAFT_126483 MGSPRWRQTLTSSSPVLLQVAVFSHADVPDNQQHVLSGDKSNGA TGNTLPPELPAAQHVQPGAGLVDAALVELRKLHQPLHYKIRKNPGILRTVLHLASKAL PAIRLTAPSPASSHDAVSGPLLHATRLFEESAQKNNSDALYILGDMNFYGNFSHPRNL KTAFSYYQKLALLNGNSSAMYMMGVMYSTGVGGAVEPDQARALLYYTFAANQGHTRAE MAVAHRHYAGIGTTKNCETAVKYYKRVADKAIAWYRSGPPGGMSWISESHRIADELGG IYGVGASVSSSGQNAPHKNPTSDAQASIEDILEYLDLMSQKGDVKATFNLGRIFYDGQ RNLPRDYVKAREYFLKVASKTWNKKGQVFENKTPSFHTISCRAAGYIGRMYLRGEGVE QNFRLAEFWFRRGNEQADQQSRHGLGLMYLNGYGVEQNLDLALKFFNAAAETVYPPSH VQLAALYLDQGQSDEDIYAANHHLDLAAKYYNMEAYYYIGEMTYFGLGRERQCEVALN YYKAVAEKVEPFVSSWAEANLAYEAGEIELAFLEYLHAAEQGYETAQNNVAYILDPQK SYLTIPQWLFPKAQKLTLLQNPTLALIYWTRSSRQGNIDATVKMGDYYLGGIGTDADV DKAVQCYTAASEHYQSAQALWNLGWMHENGIGLTQDYHLAKRYYDTALETNDEAYLPV SLSLLKLRARSAWNTFTNGRINSIQDEPTEKKEWSLSEWINNFLQDEMGYYGDDMYDG YEDAMPGADSDLGAFEEGDILETLVIMGLAAALVFLVLYRQQRQQAARRQQEDEARRQ PQEQQGQGVGRAAGGAFANGQQQQQAGNGGFFPQPGAPGFGQWAVGGVGH NEUTE1DRAFT_126484 MSSRTTPGPAAKRRRVELANATLRRPFRSPMINRTPPGGKPTDY TATKQGRTTKLRAAVGSGDGVVDARAEGEEEDDEETSFSSVSTPAARKASKNSLHYSG ASAAGPSTSARRNAKPPILNLSNKQEQGQEPRATRSGINQKRSRIGSGTSFVSSATST RPSVGLHHLARGAVVSEAGPDGSDDILAGLQKSHRETKVHLVTMQNQLDLIRQAKRIE MASRKAAVEESKELRRLDATVIDAELKGLVKKWKLASRQAAEELFELIKGRVADMGGA KAWRETRRRQREGFHSAWDDEGQKGKKRKGDGDIDERDIENSDVSDDEGDDDRRFSQG AGNNDDGDGSAEDNREDSVRLLWQSLTSWDTIRTKTSGWIRGLELFTKGKRKRRKERT RRNPGAAVWAPTARNDHLTDQTNGFSPASSQKAKSSRGISVPRHRMCHDSEIISLHPT IRRPRYMIFARHPISAAGNAHKGSDLGHV NEUTE1DRAFT_92073 MAASESIVKPTLLLSRIVSREIREYSNCTRGADGFIDPNSCYVP FWYTRWLVARDDPRYPDPQTTFRPYGNPAVLTRPGSQQQQQPYGNYGMYPVPPPVYDP DAPRPPMYPGPPPTMAMAMAQPPDGATKVDPMQQAPVLPPVSTTVPPTSGTGGDGNER YEAPPGPPPSIQAQAQTQAPAPIRQQGTGGTNPFRD NEUTE1DRAFT_126486 MEMPGYGYSMMNQHQQQQQQQQLNYHNNDNNTNNISSSQFIRQQ YVAPQPAPCARKRKADSQPEHERLSKRMSLLNLEHGGHRLYVSVGNPQAQNSFSSSFP STTTPSTTSPPSSLSSQTNQYPTAAAVGQDDTEFMQVDNTKHKVYIYNIEDELSSESE AEQDGGKLIFLPDIESHLRQNRIPAQVLSAQPLSVDSDILNKQLVLYSVPASLTVPEE QDSVRKAILEARARIREKHLMESQQLQQQERQQQVNNTLTDTVQIIHPGSLPQFDTGG AAAGDEIDDDAMELD NEUTE1DRAFT_126487 MASDSQWTGVQVRKTFLDFFAERGHNVVPSSSVVPHNDPTLLFT NAGMNQFKPIFLGTIGKTEDMAQLKRAVDTQKCIRAGGKHNDLDDVGKDSYHHTFFEM LGNWSFGDYFKKEAIAWSWELLTKVYGLDPQRLYVTYFEGSPDLGLEPDLEAKNLWLE VGVPEDHIIPGNMKDNFWEMGDQGPCGPCSEIHYDKVGGGRNAAHLVNMDDPLVVEVW NIVFIQFDRQADKSLKSLPAKHIDTGMGFERLVSALQDKTSNYATDIFTPLFTKIQEV TGAREYTDKYGKDDVDGIDTAYRVIADHIRLLSFAIADGAAPNNVGRGYVVRRVLRRG VRYARKYFNAEIGTFFSKILPALVEQMGEQFPELVKKQTDIKEILDEEEVAFAVTLDR GEKQFEKYAALAIKSGEKKLSGADVWRLYDTFGFPEDLTKLMAEERGLTIDEAEVNEA REKAREASKAVKEAVQEFAKLNVHQIAELETALNIPRPNSDAKFLKGDTKGKVQLIFN GKEFLKSSKDLPAKTPLGLLLDNTNFYAESGGQVADTGRIIIDGVAEFKVLDVQEYGG YIVHNGFLEYGELTEGDEVVCEYDELRRQPIRNNHTGTHILNHSLREVLGDEINQKGS LVDQDKLRFDFSHKAAISLEDIKKIEDLSNHYIRQNLKAYSKDVDLDLAREIEGVRAV FGETYPNPVRVVSIGMEVDELLKNPKNPEWRKISVEFCGGTHVENTGLIKDLIIVEES GIAKGIRRIVAYTGEGAHQAQREAQVFNEKLNHINALAYGPQKAAEIKAAAVELDGLT ISVLTKEELRTRFTKIQKEVVDEQKKRQKAESKTALDTVASHFQKDENKESKVFVGHL PISANAKAISDVITYYKTKDKERSVYVFAGSKDDQVMHGVYVGTALSSKGVTAEQWTS AVSAVIGGKTGGKEPSRQGAGAEPEKLEEAVKKAEEWLSEKLKDLSI NEUTE1DRAFT_55008 MDSQLVNQLFRQLFRHHPACQSQKHLRHLATATAHNGHRVQQYH RRQQRRPYSSQRRGASSPVGDESQWQQRTKILNQDMTEEYKRAPMVTAAELWGRKQRP RRVKMLLRDFIEDSLYNPNYGYFSKQVTIFTPGEPFDFPNLRDETEFQNVLSQRYVDF EDKLDEVAPSDTRQLWYTPTELFRPYYGEAIARYLVANYKLTTYPYHDLIIYEMGAGR GTLMLNILDYIRDMDPDVYARTQYKVIEISDSLAKVQNSTLMRSAAGRGHLNKVEIIN QSIFDWTQPVPSPCFFLAFEVFDNFAHDAIRYDLATETPMQAVVLISESNDFFEFYSP VLDPVAARYFRVRDAATGGRYKVPYPTTKLGKWVSSIRPLRSTMSEPEYIPTRLMQFF DVLSKYFPAHRLLTSDFHSLPDAVPGVNAPIVQTRYQRRPVPVTTPLVSFMVFSLSSR AILTRALSRPLKVHQGYFDIMFPTDFRVSELVYQAITGKLSRVMSHEEFMRSWAYLEE TETQSGENPLLKWYKNASVMYTV NEUTE1DRAFT_133586 MSISDHPRVYGTVALTAAFAAGILVTLGFKDCYPELENRYQRRR NRNLSRSNGDYGVVVPTANRVHRESLIFGPVRLEDHEEVTSNINSSFDWVEGIEGCIG NTPLVMIRSLSEATGCIILAKAELLNGAGGSPKDRVALNMIQDAEERGLLVPGRGDTI YEGTVGSTGISLATLARAKGYKCHICMPNDMAIEKSQLLHHLGATVERVNPAPITSPD HFVNLARRRAREHEAIHADGSVGFFADQFESTANYQAHVKTTGPEIYRQTGGQLDAFV AGAGTGGTIAGVAKYLKEEKNLWETRVVLADPQGSGLFNKIRHGVMYSSTEREGTRRR QQVDTMVEGIGINRITENFESGRVLIDDAVRVTDEQACRMARWLVEHDGIFCGSSTAV NCVAAVVTAMKLPRGSRVVTLLCDSGNRHLSKFWKHIGDMGLENDTQAQAEDLFAELG LEELKR NEUTE1DRAFT_119071 MRLRCLKNIPPPREHSERRGGRGGGSGNGKQSYSKTEDSVPSGT SSNIGVWII NEUTE1DRAFT_73389 MDDRELAARIKALSKAVTSEPPATVIKLLEELKRDAKPTEEQLR STKAGVTVGKLRANPNKDIARIASEIVNKWRKLVEAAKEAKKKSQAAAASGASSPAPN ASPAPASSAYNKPYTGDVEKRHFKTDKVDINRTSSQTRNNTIGVLYNGLAYRRTESIE EVVKRAVEVENALFKACKGENQEYRSKGRTLFTSLKRKDNAALGRRVMSGELPVERLV VLSDKELASEEQRARDEELEKENMKKAQVPMAEKSISDALKCGKCGQRKVSYSQAQTR SADEPMTTFCECTVCGNRWKFS NEUTE1DRAFT_133588 MDGPKTHDDSLEALENKASPSKLTFYPLSLSTSFTFDGEASIPA LGTMLLTGQKSQNRGGTAQKHHSQRPSRSMFFDPMTPTCDDENPYPLGGSSRDQFLRL DSSTSSLGRRNSSLRPQVTPVGEQRYPMTEIIKARPSASQHGSEDVQRQAAGSTRRTF EPMTLDENPPVNGEMEPTIDYVTGLHLVLLMAGLMLGDPELMSEVNDPELTPFEQATP YITKRFHSTSDIGWYGSAYMLTSCAFQPVFGRIFMLYSVKWSYLTAMILFELGSLLCG LAPNSTTLIVGRAIAGFGSAGILIGSFIIVTMTVPLQKRPVFTSVVGLMFGVGASVGP LLGGVFTDLVTWRWCFYINLPLGGVTIICLILFFKPQKSNRNAGTFLDRFKDLDIVGN ILILGAFIMLFLALEHTTRGFSWNHPLIIWLLAGCGITAIIFMVWQWAKGDAALIPPR IIKQRTVAASCGTAFMIYAILINMTFFLPIWFQAVKNDTAMRSGVHMVPFFVTQSAFS LLAGGIVSKTGYATPPAVIGSAIGTVGLGLLTLLKPGTGTAQWVGYEVLTSAGFGLSI QQGFTAVQTVLSEEDVALGTAAVSAAQSLGGAIFVSVGNSVFQHQLLKAADANLLPGV DIKQVIEAGATAFRDLISAEQLPAMIRVYNKAIQAALIIPIPLGILATLIACFIEFRS VKKPIKTNEESGTCSTELSDQRPGTSA NEUTE1DRAFT_150498 MLATIPALSAATWLMLLGTSSAMPAGVFESTEASITGSSTATAV APDITALTAVWTTVNDRGRPITVTPVLTTIDGTPTVISAAPVPTSDDEIIIDDELPPF EKTGSGAFEQCHNKDGPYAPFCEPSHGQNISINTDQHITWDPEYFGHNTSIKIVAFYD QKGTDQAFATDYMSSGWGYYEWNVKKNLFSPKQFKKQHALNITIGFGAKNHNDHRVHW VRGPTVTIVRTRRFHEHKNELPDGAALYIGIPAIVGFVLLVSCGTCLMNRKLRRIGVG SVMGRGKGYNLLGKSKKKGLFGKKNKDEGIRLMGRDEFEVDDRDYRDTPDMEDRWNR NEUTE1DRAFT_92093 MAAIAHAVTVSLNDLKNGTVSLEALEEAFGPDSLGILVVKDVPA EFAELRHRLLSYSSYLGNLPKSELDRLENEKAKYLTGWSLGKETLKNGQVDNLKGSYY ANCAFYVDPSLSCAKPTEEFNPDNFPEYLSPNLWPQESTLPGFKPTFEDLCRLIIDVA VLVARACDRFAEKQIAGYPAGYLEGVVKTSTTTKARLLHYFPEEATATDASKESNKEE EGDEDDWCATHLDHGCLTGLTSAMFVDEAATPPIVNPSVNGSLPPLGELPTSPDPKAG LYIKSRTGQTVQVKIPRDCIAFQTGEALERITGGKFKAVPHFVRGARAEMSAGRIARN TLAVFTQPNLGDEVDMEQHITFGEFARGIVAKNTVS NEUTE1DRAFT_126495 MFVLPTEPPTALACDVTGKVFTLPIESIVDGNPATAEPHDVRQV WMANKIVGTENYRFKGHHGKYLSCDKIGLFTANSDAITSLESFTIIPTFDTPGTFQIQ TLRETFLTVRASKSSKANAAPEVRGDATEISFDSTLRIRMQARFKPRIKASKEEKARE KISRRELEEAVGRRLEEDEVKKLKRARREGNYHEVMLDLKVKGKHDKYG NEUTE1DRAFT_126496 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELSVLCSVDVA VIIFGNNKKLYEYSSSNIRDILQRYTYHGGPNEHKGPSDFNGGADDDDDDDENGTNSQ GEQHMMYQPNPPVSYPFLRHTSLSDSPPVPNGAFGPQPGHQMSRAHTPQPPFVARPPS VNDIRRLRPGQPVRPAGVAQELQNRSAFVPSPPIYNPQPPATMAPTHGLPTQLHPQYP FQPSQPPAHSMQQFEDQRRSPLPPTFVSQPPPHPAHRHSTSPPELLQPQISQQQVHRH SASPQVHQAQLPQQPQRLPSANMSPPPPQPAQPPQQQQQQQHRHQQQQPQSMPNQNLQ PPAPPKPESMERPRRLIPALNTSVKNPGQGSVFTPIEPENKSILSQHFAAFRPDIKSE SPNNRSQSVDGGNGSAQRTNSLAVISQSTLPPPSRPQHLRVGGGPLRPRLKVQIPDDS DSGSAAGSASSPRVTTTTDSAPQPLKTGSVLPPPSPSASNLPSAGATGPPNPFAPRST IQHNNNMNIDTPVSALPSRFLGDLLPSPSRFYPEWGYGISAESNTLPSPLNFATPVNG TGPSFLRDDPTPLKRKSPETKSTGPENDTGNNQDAKRMRLES NEUTE1DRAFT_142927 MNATVSAVAWFRIPDIPALLELSTEPSPLGKGTINSHPATSSEK AGRRDQADLSLPPFSKV NEUTE1DRAFT_126497 MPADNDDFDFNALNEEGWKEQEARDEEAIRQINNSFGPGGNDGL TEMLGNLQSGGEIDQRGKADDAIDFEDIDLSDEDELPNDEPSTSGTSGELPSLIDDAG TNDDDDLFGGDPSSPMDTAHDGLRSSPPPLDVHDDVDAKKRPDTAAGESLEDLRNLNF DNDEEPHATNQDPDIPPPAENFIDAVKQLFPGFEENAILHWNELLKPKNATWISKKPP KAPKPLIPSKLSLELDADQEKQFRIPGPATGSVWQRIKEAEARGLFCLEEPEPLEQTD LEVFSLDDETDSERIGGYTLQDIATICQDWEQMIFLGDSLAAAIEPGPQPKPDNMLIK DEPDEEADWDAMFLDEPAQKRRKIEIPKGLPPIAHFTAPNLDEFEQVTSKLGKRVILD MNDPYLLIDDVESERSSKRRKTQHKTVRLANGKAGRDLIQRFNFSSDAAYDALKENSQ NRVRATLATIPVEHSLPAQRLSWPYYRVKLAASDPHSYHRPQLHPKKDLQYLIRFDKP AIIKRKTLKGKRVSEVFKSTHDLSLNDNSTAILFEYCEEIPTVLSNFGMGQKIINYFR RAKGADSRPEKRELGETCILMPEDRSPFAIFGHVHPGEVVPTLHNHMFRAPIFKHNPR NTDFLIGRSSTGQKGSTWYIRNIDHLYVVGQTLPSMEVPGPHSRRVTNIAKNRLKMVS YRLLRKSEHVTLHDITKHVAESNESQNRQKLKEFLRFRKETKDWALPEGEELMAEPAI RSLVRPEEVCLLDAMQVGLHEIEKGGYDATDSLKDDDIGIDVNDELSPDALANKMAPW KTTKAFLDASHGKAMLAVHGAGDPTGKGLGISFLRTSMKGGYLEQLQGPMATSADAIE RQRKANGGHMYNVKNQDTLYTEALTDIWNRQRESLLDSQEHDDEDVLGQEDEDERFNV QGSQFAQTPVVQDGFSQISQSATSAINRKKLVITREVRDENGQTRTVTEVVRDPAVIA QYVKRRRQEQKSEIDIYNVKMTGDQERDAVILELLVTLYVLCANCGAKGHIKTNKKLC PLLNGSMTKDQNQDDGASGVGSPNPNAPIAASFMTPS NEUTE1DRAFT_119073 MANPVIEELKQKQDALRARFVGKQLSEVPTPSVVLDLKQVMVNC ERMLEAAENLGLQWRAHIKTHKTTELTRLQVGNHLTTPVLLIVSTILEAENITPLLKE YQSKDRHVNVLFSFPLFASAVPRLTAVSSQLGPDGLSVMIDHVDQLPYVKAIHTSSGH PPRVFIKIDVNYGRAGVKTDSPEYPALVDVLLAAEREGWLVLHGLYTHAGQSYGARKL GDAMHVLHAEFIHAHNAALKIREKSPDHAPLVLSVGATPTATTIQSPWFTGECDVHRA NSKGSMRPFEEELKELWGGWQKEGYVLEIHAGVYPTLDLQQVATHARHIGLLSEEDIG ISVVAEVASVYPTRGQNSKPEALINAGSLALGREPVSLDKQTSNNEETAKPYTGWGAL MPWGVLAGTEEKDRSGKILGERFPNDFRGWQVGKISQEHGILTWVGDEGKKAPELKVG DRVRVWPNHACIMGAGFEYYLIIDSNCRGQEDKVRDVWCRWNGW NEUTE1DRAFT_92106 MSHQQPLSSSVQPTDIYGGDEVSALVLDPGYCNTRAGYAGEEMP KQVLPSFYGHINGRDVFGDEYIVPKPGFEVRNYMNRDSVVEDWDAATRMWEHVLVKRL QPERSTPPSKKGINISDDGDVPMEDDGNNNEDATDALEKPLAENPLLMTEAPWNSPKA REKAIEISMETWGVPAFWLSKTPVLAAFAAGKATALVIDVGGANTSVTAIHDGMVLKR SIQRSPVGGVWLSSQISKMWDGSEPKVDVVPRFMVESKKPVEAGAPAEANLRKFNFDI HPSFRAYEEERVLTEFKESVVEVWRGPQRFTTPGNEDAVRTQPGRVFEMPDGSNQMWR EQRFKVSEGMWDETAAYNSTDEEGRVTKAQTIPALIKAALEGVDVDLRPNILGNVVVT GSTSLLNGFNDRLNHELAQMYPGVKIKIHAAGLTTERRFGAWIGGSILASLGTFHQMW ISRKEYDENGAGIVEKRCK NEUTE1DRAFT_73413 MADEPDLGPADAQSLSGDEISRQKNEDDQATFTGVDGEEVGDQP TAASPIALTPIAPSAIANRYRELVEAEHDVTTSEDGSVDGVLRSAASPLESFPTLSGD SPSAQGSVVSSPGSSNIVPSLALRPGLRGPTPSFRPFDRRFSSFGSPGTLSPRPSSPA FLAGHSRTLSVTSNLLLDSSETESSSPPWEVVRWTKLLKLDGLAFSESGRRNFGSPTC LAVSASIVLGTSKGVILVFDFNQNLKMIIGPGTKAVESGAVVSLAISADHTTIAGGHA NGNIFTWDTTRPSRPFLSIPHLPQLQQRSTDGHVPNAAITHLGFLGTRHTALVSADDR GMAFSHLATRGTGSLGRTVKTTRILGRYPDAPPPVGKTLKPSTVLAFQSLPLGNVEMA TDSMGLTAMLTPYLLVIVSTTPVAQTQHKSARPKEMTAHSAMTGCLAWFPAVRLKVPD PLTGSIVSKVKLVYCWSNVLTVLEVEEEPAENKDKPPTLRFRPRNRWKCEEPIVAVQW LSRSVLTVLTITQRLIVLEDRTMRMTEAFDLIHKHIYHADLFSKQLHALVEQLDDEDP TMHGVVADAFYMSFKAYKGRLFLLGFTDVSLGALSNWADRLFALMESGDYVGAIRLAT SYYTGDANKLTVGLPEDTALRHSMVIDKLVEIMSASLKYAFGQRTKRREAGDDKHLRE LAETCFIASHNAGKTDFLFDEMYEWYEEAGLEGVFLETLEPYIIDGSITAIPPAVVKA LVAHFVSNGLETRLEDIICHMDTSTLDLDQVTTLCKKHGLYDALIYVWNQALNDYLTP FIDLLALLVPLMQNGHADGTTSVLENETRETNALKIFPYLSFILTGKTYPTGETIPDV IAQKAKAEIYWFLFSGKSICWPKGSSKRLLTQPEQSQEPSFPYLRMILNFDAPSFLSV LNEAFEDSFLNDSPEKQLAGGPSRDLPEEEIFGLTVDRQYITSILMEIMSSADFAKED TIYLDMFIARNLPKYPQYLLFTGSTLTKVLVELCQYPGGDLAEDAQLSAEYLLSVYHP PDLPDLIPRFKEAGFYRILKRIYKADKQYGKLTLTYFEDPNEREAIFDCIELCLRPHS GLTRRQIQDVHQVIKDHAGKLVQIDSVRAAQAIGPYAPELHSHVLDSVSEQPHLRYTY LKTILEPSKEVMNVRPPQQDLVEQYLELMCRFEPSHVPEYVNKVQATNLRLQKLLPTM EETGVIDAAVILMAKEGQVKEAMARLTRHLETLESALYGLLTGTASQSQGDLNSQEST EELMQALQNYTRVGVWLCQEQTKAARESGNTRQRHKSNSEDELSPDEQLWLSLVESCV QITKRATSALQLPPPDDSTQAQLMNGDSHPSSESILVSLETEKLVTLARSLVQDTFTA LLSSTSTRTSRSAADTGDIQPPAMNANLSFLRILRAFLTRAAASSPNLADLRSVLSSI FAAYAYEESILSLSNRLLERSLFVSVSQAVQLRQRGWRPKGSTCEACGRRVWGPGVAG NVYEAWEDRQAAEESQRQARKQLTMSKNKAASATTERGKGKDHAWPATDGPQGGEKYN GNANGDGKGKGKGKAVSPRLQQQGESMNDPNQQANTSGHEGMQGSMVANREHKLSPLV VLACRHIYHQACLDALEMKHGGAVSKGDSFGTKRVYSCPIDR NEUTE1DRAFT_119077 MDDGSRHPGTSSERRRVEMMNGELEIQRLSGDWQLQTNYTTQSL SDFCAFVCR NEUTE1DRAFT_92112 MASIGPQLPPHLQKRKRDSKDEESASPPTKISRPDSNPDELPLD DDDDDDSDNDFGPSAPNPNRPYSASSIGPSAAPPRPSIGPSRPPNPSEEDEKSVAKPT TQPIGPSLPRPPPTTTNIDEIPLEDSDGDNIGPAVGSSIGPTAPPASAASAAPKRIYG PAFPPADLSERPTTDPNADSDSNSDSDSDDGYGPALPGSRPAASSKNSHGSGPHFPSF AQQQDRAEELQAPKRDDWMIAPPTSSSYRAPDPTKLKSRKFASGRSAATEKSSGVSSI WTETPEEKIKRLAAAVLGREDPSSTAASSYPSSKTASVSNTVGPKNLDEERVRSYTEQ TRGRSLVEEHQAAIAAGKKKLTSSSSAPSRPANKYGKQDVDDEDDPSKRAFDREKDMA VGGRISHTQRKDLLNRAANFGDRFQSGKYL NEUTE1DRAFT_73418 MVVFVNPAILEGARAVARQVAETANPGCRIIVGVKYCFRYNART RQMRLAEEGDQINLEAMPRPHRRRREKKLMTIDEVNEKFPMLKYKTWVASRARDGLPT SGGVSAPSRPNSIHDADAISRELPNKERMSTEGRPTTSQVEPADRDPKTPQHEAKEST SSTVPLTHAVSEATTPPKEARVSHDEGEEEEDPIDAALPPECEGTSGDTCAICIDTLE DDDDVRGLTCGHAFHAACLDPWLTSRRACCPLCKADYYTPKPRAAGEPVDGQPGVIHV TLSNDPRSNRMNLPNRPRRALFGLGRPARTVYVVSSNDQRGSRRRHGERRRDNNGASS QPGSSPQASSDRAEGGSVFANLRAAFPHFRRSNGGHIADANSNPTMTPANLEAGVRPA AN NEUTE1DRAFT_92118 MVARQAGSKLPSPQSTLNFTAKFRQGPDHDWRWVRNEQGLGDGY IVVDVGAPEGSDDEDLPDLIHGLNPSLKWSPHLSQCPGTRLWTIEVPVDGAQDDDNST FTHVPLGIPWGGFLSKGKHLVLLGFAGINNAVDGMTLFRNDEFGRVTIHVRNDSAKAG SGTVLVAVGDNFESANAAVMYHARGLVSTADRAGESPTKLEKLSDNVEANWYENWYDG LGYCTWNALGQRLTAEKVITAVDALADNNINISNLIIDDNWQDIDYHGDQWQQGWNDF EAEPKAFPNGLTGLVSEIRSKHKNIEHVAVWHALLGYWAGIAPDGNLAKRYRTIEVVR GEDSSRKNIPLGGKMTVIAKEDVHKFYDDFYRFLSESGVAGVKTDAQFMVDTWVSPKV RRELIQPYLDNWLLASLRYFGGRAISCMSMSPQIIFHTQLPRGRPTMLCRNSDDFFPD VPSSHPWHVWANAHNSLLTQHLNILPDWDMFQTTGAYAGFHAAARCVSGGPIYITDVP GQYDLDLIKQMTGVTPRGRTVIFRPSVLGRSLDQYVNYDDLSLLKISAYNGRAVTGTP IMGIFNVSGRPLTELIPLARFSGVLPSMCSDLLENGRLFISTRLKALGVLESAWKEMG LEAGWSNEVEVKVYLTLEK NEUTE1DRAFT_119079 MASRRLALNLAQGVKARAGGVINPFRRGLATPHSGTGIKTQTTT LKNGLTVASQYSPYAQTSTVGMWIDAGSRAETDETNGTAHFLEHLAFKGTTKRTQQQL ELEIENMGAHLNAYTSRENTVYFAKALNEDVPKCVDILQDILQNSKLEESAIERERDV ILRESEEVEKQLEEVVFDHLHATAYQHQPLGRTILGPRENIRDITRTELVNYIKNNYT ADRMVLVGAGGVPHEQLVEMADKYFSKLPATAPVSSASILSKKKPDFIGSDIRIRDDT IPTANIAIAVEGVSWSDDDYFTGLVTQAIVGNYDKALGNAPHQGSKLSGFVHKHDLAT SFMSFSTSYSDTGLWGIYLVTDKLDRVDDLVHFSLREWTRLCSNVSEAEVERAKAQLK ASILLSLDGTTAVAEDIGRQIVTTGRRMSPAEIERIIDAVSAKDVMDFANKKIWDQDI AISAVGSIEGLFDYARIRGDMSRNAF NEUTE1DRAFT_119080 MIPQSVRRVVAAAPQSPVVSSLAASSAPRAGASYILSSYQPNAL QKRRYSSSKPSSPDDGSSRAFAARASVPAAGTSKTPGEKRKRKAKEPVMPPLPSVPST RHIKDEALALSTFFALHRPISVTQLLPKTVTEESFAEIFNHRKGHKATDVLSTLSQAV HDLESPMSGLRLSDNDVEDGSTKISLKHPDGTESDVYFQLNSMSGHFLPFSPPPPPEP IAEVDEAAAEAEASAAIAEEIAAAEQEPETRVYKAVVTIEETRNTNGQYKIMAHSPQL VEDDAVQPRSFLERLALRQIRYEEARQQQGIMHAISVRRQKKLKIKKKKYKKLMRRTR NERRKQDRL NEUTE1DRAFT_92128 MALPPKFAGHRFIPTEGAGASSFPTQPLHTVEIFLDYVCPFSAK IYNTLYTTLLPSLRSEHADLGSKVQFIFRHQIQPWHPSSTLTHEAGLAVQRLAPTKFW DFSAALFKDQKAYFDVSLVNETRNETYKRLAKLASQSAGVDERELYELLAIPTEKGDD GSLNVGNAVTNDLKTVIKMARLVGVHVSPTVILDGVVAGEVSSSWTLEQWLEYLRKSV A NEUTE1DRAFT_73432 MGTGKKEKSRIQRQGKVTGDPKVKGENFYRSAKKIKALNVLKEG KAIRNKEGKVVKAASYQSRDVPTAVIEPNRRWFNNTRVISQDTLTSFREAIAEKDKDP YSVLLKSNKLPMSLIRDGPKDALKKHQAKMTIESEPFSQTFGPKAQRKRPKLSFNTIG DLTEHSEKSMDTYQARLEEIKLLSGASGYGGGLADDDVQEEDFSVATAKEAIFTKGQS KRIWNELYKVIDSSDVILHVIDARDPLGTRCRHVEKYLATEAPHKHLIFVLNKIDLVP SKTAAAWIRVLQKDHPTCAMRSSIKNPFGRGSLIDLLRQFSILHKDRKQISVGLVGYP NVGKSSIINALRGKPVAKVAPIPGETKVWQYVTLMRRIYLIDCPGIVPPNQNDTPQDL LLRGVVRVENVDNPEQYIPAVLNKVKPHHMERTYELKGWKDHIHFLEMLARKGGRLLK GGEPDVDGVAKMVLNDFMRGKIPWFTPAPEKEEGETDTMEGREGRYGEMSKKRKRDED DSAPATTPASAGEAAKEEDPENFAGFDSDSDSEVEEAAEEKGEEKSTAEDMIPLDASS DEEEDDEEEGSDVEDGDEGSDLDIEGASELEESESEAEAAPAPPPKKQRRSRK NEUTE1DRAFT_150514 MAFGIPRALAVAALSLSCVALAYQNYTSIDMLRAQMELMADRPK DCPPCFNCNLPMYSCGQFASCSEYSGKCICPDGFGGDNCLEPLCGSLARGPDRLARKG KSCECDDGWTGINCNVCTNDNVCNAFTETGDGGVCYTGGEVVKQNYQICDVTNKAIRN LLGTKVPQVTFTCKKETGECDFQFWVEERESFMCHLRECDSTANFDFDNGKNTTSYKC EKIQCECIPDRMLCGEHGSVDIGDFLSEQIKGPASFECTQTTGEEKKCAFKEPEMNNL INDVFGDQSILLTCSAGECLYHTEVPGYTPTVPKINTPLIAGVIAACGLFLVAVILGT WYLSRRKFNYGPIHLDDSDDEAIKLMTDHKPASLYFENVVYNLNGKEILSGIQGMAHP GEVTAIMGASGAGKTTFLDILARKNKRGQVSGDFYINGEKVSDPEYKNAVGFVDQEDT MLPTLTVHETILNSALLRLPKDMTRAAKEQRVIEVEKQLGIYHIRDSLIGSEEGKGRG ISGGEKRRVGIACELVTSPSILFLDEPTSGLDAYNAYNVVECLVTLAKTYKRTVIFTI HQPRSNIVALFDRLILLAQGKTVYSGPLHQCQEYFDQIGYTCPPGFNIADYLVDLTMH AGSTSSYDDGTLSVDGVSVGPSSTRAVKSIASVSGVSIGDDSLVESSSSRPKNKRRDS VRRRQERELYTRRKQAVDTAASSDAGDEIGGYKLQKQPPVTPLRSINDDLHDLPPLAA TGTDLDVLIESYVHSDIAASTHEEIHQAIAAAVNSNGQNSNGYVADGNIYTGTMGKGY ARVGLFRQFVILSQRTWKNLYRNPMLMLTHYAIAILLAVFAGYLFYGLTLDIAGFQNR LGLFFFVLALFGFSTLTSLGVFSQERLLFVRERANGYYSPITYFAAKVLFDIVPLRII PPILLGAIIYPMTGLVADYQRFFVFILVLVLFNLAAAAICLFIGILCKDGGVANLIGS LVMLFSLLFAGLLLNHNAIPAAALWLQWLSIFHYGFEALIVNEVTQLTLVDHKYGLDI TVPGATILTTFGFDNSAMWRDIMNLGLFGMTFIILAYGAMHILLVEKR NEUTE1DRAFT_51786 MVKITPFEVEQWMDRYETTPGVINIAETCCASISIDDLISLDTA ESACSPLQLSKKLTYGDILGSEILRQRVASLLNRDLNHHSPGLEPLNAENVIITQGAI AANFLAFYTLVGPGDHVISVYPTYQQLYSVPESLGAEVSLWKLKAENGYVPDVDELEG LVKANTKLLVLNNPNNPTGATIPTPTLQSILDFCSSRNITILSDEVYSPLFHSLPASP SSSTTSIAPPSILSLSPSGTEKTCVTLSTGSTSKALALAGLRLGWIVSRSPSLLRAIA SARDYTAISVSQLDDQVASYALSPSVLPALLERNLDLARTNLALLTEFVEGKYSKVCS WVRPTAGTTALVQFRNLSKGGVLVDDASFVLDVLDKTHVLFMPGSPCFGLGTDFKGLA ELMFLEASQGTHLGSVPKHGNLIPGGCYRLTSYLV NEUTE1DRAFT_119084 MVGLGPKPPFPRKGTGTLDNLPKDLRNEIEHLERLFTVDGAKLK EVTNHFVHELEKGLSVQGGSIPMNPTWVMSFPDGNETGTYLALDMGGTNLRVCQVTLT ETKSEFDIIQSKYRMPEELKTGDAEELWEYIADCLMQFIETHHGDPTKLDALPLGFTF SYPATQNYIDEGILQRWTKGFDIAGVEGHNVVPMFEAALQRRGVPIKLTALINDTTGT LIASAYTDPKMRIGCIFGTGCNAAYMENCGSIPKLAHMNLPPDMPMAINCEWGAFDNE HKVLPRTPYDVIIDKDSPRPGQQSFEKMVAGLYLGEIFRLVLVDLHDNQEIKIFPGQD IAKLRKAYSLDSSFLSLIEEDPFENLSETFELFQTKLGLTPTGPELELIRRTAELIGT RAARLSACGVAAISKKKGYKQCHVGADGSVFNKYPNFKARGAQALREILDWPEKADPK EDDPIEILAAEDGSGVGAALIAALTMQRIKQGNMHGILHPENFRTTEPLPA NEUTE1DRAFT_119086 MKTSAVFSAVLSLLSLASRGSAHGHHHHHKHSDSGTSSASAPAT FTPPQVFKNVNLVHIVSLEKNYVKESINVVIENIDKEAQSDYILPFTNEQLSRLGGLE VRDRKNAESGPFVVEPVEFESFGPNQYVRIGLPTPLAPGAQQTLGITYYLLDAYKPLP ASIKQEEQQFLSYSFSAYCPSVYTTSKQKTEVKFASTNIPDYTKLPGSGDVKEFPQKQ GSKLVYGPFDEKPAGALEPVQVRFEFNKPLIHISRLERDIEVSHWGGNVAFEERYDLE HRGANLSSLFNRVKWQQSQYYNPVTHALKEMKFPLRVGSADPYYTDVIGNVSTSRFRS NKREALLEIKPRYPLFGGWKYPFTIGWNSDAKNFLRNTATGGFVLNVPFLEGPKQPEG VEYEQVVVRLILPEGAENVKWTTAIPKSSISEAGVQIHKTYLDTMGRTALVIKGRNLV DDFRDRDLTVTYDYPMSAVLRKPLVVFSSSMAVFVSLWLLSLINLKFTTRK NEUTE1DRAFT_92148 MNRALSIRSNNRSSGGGSSTNFSSHHHGSRRGFSLSSLRGTIQP ELSKKLYRLIKSENNLISAHETAAKERLSIAQQLSEWGEQTSDEGISDISDKVGVILS ELGEQEDNYAHALDESRGTLKTIRNTEKSVQPSRDGKNKITDEIAKLKSKEPESTRLV VLEQELVRAEAENLVAEAQLTNITRQKLKEAYSAEFLATIERAEKQIILARHGLRLLN LLDDTPIVPGDSQIPYTQGHQARQTLNNAEDDLRDWRPTTTAAALDEDAKYVTSNSPT SPTTVQQHAQQPQASQPRNAASVTDQQLNEKEVDGASLTGTETNTTGNESVVGANQEV QTTAV NEUTE1DRAFT_73454 MSSPAKRRTTRSSQSATPRTTRSSQAGPSSATPRQTRASQLASS PLFYEPSSPANGANPVSSPLRQMSNTQSTAHQGNAPSSPLRQQTETQSDADRTPRANG RSQLIGDSSPIRYASSSSPGRQLTQQSDLRSESSQLFVSSQRSVTGRSRRGDINGDPL RTPAQIPRRIILDDAGRVIRDAPGSDANSFVTNNPNTSEADALGGQSQGLVWGTTISL DDSFFAFKDFLRNFTRKYRMWADGADEAETIGHPDADSKPYWEALENMLLLGTNKLYL DLRDLKSYPRTLKLWHQAQHYPTEIIPVMDQCVHDCMMELAQKEMASQRASQNSRTAP GASQSSEPNFPSSERSEEPPTPRPAQTAAPTIEDQVSQMAYVVRPWGLDKITNLRDLN PSDMDKLVSIKGLVIRTTPVIPDMKDAFFKCSVCGHSITVQLDRGKIREPTECPRARC ASKNSMQIIHNRCAFEDKQVIKLQETPDNVPAGQTPHSVSVCVYNELVDFCKAGDRVE LTGIFKVTPVRVNPRMRTVKSVHKTYVDVVHVQKVDRKRMGSDPSTLDLAEEEEAHAN GQSMDEVRKVSPDEEERIKETAARPDIYDLLSRSLAPSIYEMDDVKKGILLQLFGGTN KIFEKGGSPKYRGDINVLLCGDPSTSKSQLLSYVHRIAPRGVYTSGKGSSAVGLTAYV TRDPESRQLVLESGALVLSDGGVCCIDEFDKMNESTRSVLHEVMEQQTVSVAKAGIIT TLNARTSILASANPIGSRYNPDLSVPQNIDLPPTLLSRFDLVYLILDRVDEKNDQRLA RHLLSMYLEDKPESAQQANDVLPVEFLTSYISYARSHIHPALTPEAGRELVDAYVEMR KLGQDVRAAEKRITATTRQLESMIRLAEAHAKMRLSQTVTRDDVREAVRLIKSALKTA ATDSQGRIDMSLLTEGTSAAERQRKADMKDAVIRLLDEMTSGGQVVRYSEVARRLGEG AGVQVEPAEFAEVMRALEMEGAVMVTGEGARKSIRRVTATI NEUTE1DRAFT_119089 MSPGMSLYSVNAIVILSSDDGSRIFSKYYTPPHAAAGAPGTGVA AGLASSSASQNPYPDKTSQTRFEKGLLQKTAKQTGDILLYDNKVVLYKMESDVAIYVV GSADENEVLLYNVLLALRDSLHMLFKQSIDKRTIVENYDLVSLAIDEIVDDGVVLETD PTIIIQRCSKAPPADVNLSRLDPFTEQGVNNLAQLGKAKLTDWLRQGL NEUTE1DRAFT_106071 METRILKVKVDYSNIQVLGHWKEESAEHKDGSKTKTSNLNTWEV PTTSEHEDILSPIREVAHHLRTTDIPVAFPTETVYGLGADATRSAAVKGIYAAKGRPS DNPLIVHVCDLSMLRSLISPSFSSAGDEEDPIPAIYHPLITRFWPGPLTILLPNPSPS LLAPEVTAGLPTFGCRMPASALARSLIKLANAPLAAPSANASTKPSPTAAQHVLDDLR GRIALILDGGSCSVGVESTVVDGLCSPPVVLRPGGVSLEEIRECKGWEGVEKAYKDQA EVGGKTAPRAPGMKYKHYSPKARVVLYEAEGGRREVPRTDVREAVEAAGEEEGKGNVK SVAIIRTGRWPVAGGLRSQGLDDVAAKDSGDVAETGFAVREGQWLDEDGTTVLARLLE INLGNDVKGVAHGLFAALRELDRRGADVIFVEGVSDGDDIAAAVMNRLRKAASDIRI NEUTE1DRAFT_73462 MATLSVYGSDFLTTTKKKAIEDAKKMQAVVAEECNKSGKEAPPY QLEELIGKGSFGRVYKATDNKSSAVVAVKIIDIEESDRENPRLADTYSEFMKETSALK LLSNSGAKNINLILDVLPVGQSMWLITEYCAGGSVATLMKPTAPGGLQEKWIIPILRE VAEAIFWVHKEGIIHRDIKCANVLVTETGAVQLCDFGVAGIVETKFDKRSTFIGTPHW MAPELFEPTPSYSTPVDIWAFGSMVYEIASGLPPNVMSGFNIPQLGNYIKSHAPRLEG DQYSDNLKDLVAFCLVEDPAKRPTIEQVQRHPYIFNTSSEYPTASLSNLVRGYKVWEA QGGIRKSLFAPVGAQGPSDYASTALSNDEWNFSTTVDFDRMAMDSDAQAVYDVYGHNV DFDFDEEQTRRPKPKGRRRPPPPKLLALKAPLEKLFDPNTISGYNENSRAYYGLGPTS TSEATPAPEPPKDSDLNLRQYEPSSQAALRESLIDLDASFDGNDLSQFVDMETIKAGP RGSVDYAAFNPNPDFSKPPLSDPADMPMNINRRTQDWKFPSMAAPPASAHPEMSRFPW NEERSNDSHNDNFVRPPLIHHPTDPLGFQSTGYDSMPPKPPVDNRVSVGSLIDLDESL PMPTNDFTNREYTRPSTANSDAASVSGSEIGGANPFDLERHASLYQPLPVVRSSSVRE PSIYVSDDSDYARVAQAPSEEHFIGTNGRGTPDYASSVNGGGSIGNGSIGGGSIGGGS IGSRTSSRAHSRADSYSYATDDDVGGYSAGEYAESEYLGGSMDPMAMSGEGRNHMMHM PHVPEPPRVRVMQGMANREEVRDDVMRLLASFSEHLGFANAHVNTLPVRQGRRGSEVD TSV NEUTE1DRAFT_150523 MSFLSTKAQLVHKSPLPPKITREQAIELLQDHEFLLSCDPHLAK CEPLTPSAPPAVPSAVQPRMLGETKSYKVTDVIHTLPAGLWDSNVVSTYEFAKLDTGL FVRIRSPLSMVMETVWEINEGPDGRLEIVENVEISCSRLLIGIAKGQCESAWEGIHGK MVKRLEGSGEAP NEUTE1DRAFT_92167 MEAVARRKAAAYVCLSCTRQFQRQIRRQYHNAAPRPDIYDVVCV GGGPAGLSLLTALRANPVTSHLRVALVEAQDLSKVKSWKLPPNKYSNRCSSLTPTSAF YLDQIGAWEHLDQTRVQPYHEMQVWDGVTDARIEFDWAPGSALVEGTTIAYMNENLNL TSGLLKRLEQLGGVSVFEGAKVENITFGEETEDLDLRQWPVVHISGGKQLTARLLVGA DGANSPVRAFAGIDAKGWDYNRHGVVATLEMEGEGWGGEHTKIAYQRFLPTGPVAMLP MPGKYSTLVWSTTPENASILKSLSPKDFVAMVNAAFRLSPVDLAFMHTQGADQDDEYS WRLQHTSFNPRAIPQTVVGVQEGTVASFPLKMRHADTYIAERVALVGDAAHTIHPLAG QGLNQGQGDVQSLAKTIEHAVTHGQDIGSIMSLESYVSERYTANHVLLGVVDKLHKLY SAESGPLVPLRSLGLNAVNALGPLKSFFMKQAAGSGTKLF NEUTE1DRAFT_126521 MCVQEYIAYQCGHRTPGVVRPCPLTTAGHNFPVCTIQPSKQHLA QTMCGPCERLLHSRWVLIREWEHRWLHERGVCGCDVVFPGLLTRPRVIGNVLGPEEDF TEGARDDTIGRCGSAPTPYDTTEVEEALQQGNTGTGTYHIPPIFTETATGDQQRVAIR LPSLYAAEWLADHRALHDSGRCQCPVRFTPFQPDVGEYAMITGEREYLQEYRQTESRN WAINKGEEEITLRMAQINDTFGTFDPAAAASSPPAKSKPRLVSDLPPTGPRHTRAPSH HRQSHCLHHQQEHFHQGRGHHHSQPRHATSVNLATNTSVPFPQGRSCDPAAQHQQRPE LFGLADQPMQLSIRSSSHAVASVYDNHEQHVYGPHTFVPMGIVFPDHRDHEPETQDGP QQLQQHCQELHSLTNSFSPPPRLPFPLPYCGLPVGSGPEGSEVHMPNWEDCQLRRCKS SFQSESEPGPGPGPRSRAGVVSSEVEAEISQVGTDIETNNGNGNGTGIGNDAESGCGA QVDHAEQYQQHRTSLGRGHQRSSSYS NEUTE1DRAFT_119090 MAMTLFRLAGRNAKRHCMRQSAPIANNLNSTRAFSASALRRYAE PSYEGQGTRLVPTGDDFAPNDDLYGLEALKADGAPRAPPQDHILARKVRHYTVNFGPQ HPAAHGVLRLILELKGEEIVRADPHVGLLHRGTEKLCEYRTYLQALPYFDRLDYVSMM TNEQCFALAVEKLLNVEIPERAKWIRTMFAEITRILNHLMSVLSHAMDVGALTPFLWG FEEREKLMEFYERVSGARLHAAYVRPGGVHQDIPLGLLDDIYMWATQFGDRIDETEEM LTDNRIWIDRLRGIGVVSAADALNLSFTGVMLRGSGVPWDIRKSQPYDAYDQVEFDVP VGINGDCYDRYLCRMEELRQSLRIIHQCLNKMPAGPVRVEDYKISPPPRSAMKENMEA LIHHFLLYTKGYAVPPGDTYSAIEAPKGEMGVYVVSDGSERPYRVHIRAPGFAHLGGF DHLSRGHMLADAVAVIGTMDLVFGEVDR NEUTE1DRAFT_92173 MGSSKKQTAVPVRTKVPSPEEVEKRNTVHINAETVTNVTSTDFP GFYPGEDHSWDIEKFRESVRVEFHQNDPYEAQFSLIGVDASIANAFRRIMIADIPTLA IEDIFMWNNTSVIQDEVLGHRLGLIPFTGGKEGLHNFLKWYKKGETPCDYNTVELELK VKCENNENAPADSKDPKELYHNAHVYAKDIKFVPKGRQAKYFSGENAIRPTNPDILVA KMRPGQEIDLTMHMHKGVGSDHAKFSPVATASYRLMPTITILEPILGRDAEKFQKCFP EGVIGLEKVTKEEAKKKGSGYEGHEGELKAVVLDAMRDTVSRECLRHDEFKDKVKLGR RRDHFIYLVESTGQWESDAIFLESIAHLKEKAKRFEKQVVNMVQ NEUTE1DRAFT_133618 MSSPEVLPDSEAKRWKYLNNVRTRPGAFVDPEEFDGSTVVENMN KIKILQVILSTGSTPSVCVYQPIQAEADTFSRVIGAGGLGCEILKNLALSGFKDISVI DMDTIDISNLNRQFLFRHADVGKFKAEVAAKFVERRVKGVKITPYNCKIQDFDEDFYQ QFQIVVCGLDSIEARRWINATLVNMANCENPDSMKPLIDGGTEGFKGQARVILPTMGS CIECQLDMHAPRAAVPLCTLASIPRQPEHCIEWAHVIAWDKEKPFPQLDKDDPEHITW LYQKALERAKEFNISGITYSLTQGVVKNIIPAIAATNSVIAAACCNEALKIASSCAPF LGTPGEGEPNYMMYSGNDSIYTYTFKHEQKEDCPVCGMTARVLKVNPKWTLEELIESF ATLPEAQLKKPSVRAEGKTLYMQLPPNLEEQTRPNLEKTLEQLGLTEGTELAVTDPAF VGVVFNFSLKFA NEUTE1DRAFT_150529 MNGLLTPISTSRLSANPNEPLLQEVGLKKETVKPNQSPGQRSLN SPEEALEVLRHEPNYDQLVATLRFLSHEDLAGSTFSIKEPSPMTAKLVQVLVSEIVPN YWTLLNEDSHETKSSALGLLLACLRSLTGVNAIIVRLRAWLQEAKSGDGKSKRPDIAI NLAVLLNLVCLLLKGNDSIRGIWKSATRTLNGNLNTRMLSQELLSTFGSGRIISLTAE AIEHAKGHKAEIDVNNIWLANGPEYTKWLSQNIVKWQLTSPTSDETKLCSELFLKALR LGHSDTAMKCVLTRLLIAQGADNERFGLLLGNVPRSEQRKVLFSVLKTFAEDYLNRLG TSDTEGSNKMISAVAGAISAITKNDPERIAYLVEWLTGSSGAGLGDSIGIRRAVVAVV SQDRDSIVSVLEKSIAQIGDQLYIKHSPIVQQEVHAQVLLLSAGYVHKVSPLKLTLLM RSSPWLNAISNRLSSSNQRARFLGMVIGEGLSSLVDKGDKRLNFQVEEMEVPDGKWYK SLVLIQDTLGSWDPLLNPQELTQKRTSSKRPSHKPAPTQPRTQGFIIEELSDESLSEQ DDVVGYRKPDSDAEDSDDDPELVRRDKAKAPVYIRDLIRYLRDTDDYDRQKLALVTAP TLIRRKANYGTEVTDHAEELASLLVGLQDKFEMDKFNDLRLQAMIAIVVTQPQLMGPW FAKTFFDGDYSVSQRSLILTVLGLSAHELAGFETSEYAAAASFPSKSLPEKIERLFIS QGPSNAYQSSSSSLKPLPHNALESVATSITSSFLAPLSAAAADSATGPDVLKLSTFKS RLPDHDDSNNAATSEEQHISNPKIKIKSRTKPRIRAIPNTTAHIISTSFFNPLTARFQ AALHSSVSRIRSILFQPFLLALYLKTLALLLHAAGPSTLALPQMTGELWRLLLSTSVR AQAVGDVGITQAILFGLLTLLDVNEDRMRDVCQELGREVVETEGWVAGVFEGLRGGDE GGEEERTKMLAAGVLVRLREGVEKYRLMMVGDLIGL NEUTE1DRAFT_126526 MASQSFSLTALPSGGTLAAAPAQIATPSSDAPAKPKPCCVCKEE KAKRDDCMLFSKSDDPQKDCVSTIDQYRACMAGFGFKV NEUTE1DRAFT_114995 MAPSASTAKSRPRKSTNTVLDDSGSDDDYQAYNLRLQEASAARA RLKKAREERDKKRKALLSAYQDALTSIQDRVRKSVSKYHDLHSAMHISRLLRLKEAVE ASDQKQTAIAKKLADVQRIMSNHSIQLCALYEGRQKDLATMLPHAKPEKPAEDGAAAA ATSEVDRSSGQ NEUTE1DRAFT_73486 MGLAAAKNKRKLGTDPNNTKWSRNETTFGQKILRAQGWQPGEFL GAKDAAHAVHHTEASSSHIKVTLKDDNLGLGAKRNNGDECTGLFDFQHLLGRLNGKSE DVLEAEKQKRENHMRNHYLEQKIGTIRFVRGGWLVGDVVKEKVTEEDGVPQSDTVDQQ VETVPSQDTKDAEPTKRKSKKRKADSDEEDEKEEKKDKKVKKRKTDTEAETDSESSRS KKKERKDKKEKKDQKEKKDKKDKKKLKDAKQSEENSSDDVESSATETKKPKKDKKREK KEKKDKKKDKKEKKRKEKELSDSATESEDSKSKAQKRMKDGAETETSTPGGSGTSTPT VTSSTRFLARQRFIAQKKMAFTDSAALNQIFMIKS NEUTE1DRAFT_106082 MPGASSVPEAQAKRCSTIKKDIQNKNAEEWSSSSVMEKKTQSAR LQPLSYAGALRGDQGARKEVKPTPPPTPTPSLKAAAPLKPSVTSVPSKPTVQQPPPAV PIFKQPEQAKSTLHTNSITAPSREFYPQLSQSVAKVKLAPISYAQAVTGERISTLVVK KKEKQGPQVDLQKAGGEMTAHQELEKGPQKIPQKSAPIGGQKNPQKSPEKNTQKTHKK SPKKKKKKGNPHPETKAATSDSTIKAQHTPHHQKASKESQEPEKKSVSTIERCSIAPQ GGGHSKESTRSKNACGDAAVGGQNQGKKFHVESPRGRSPVKVLPCIRNAPTKPKAAAW GAQNWRSPTTIRPRQRSTTFPSFENSSHSLHNQSPTLAARYQFGQSPSTSFATGFAKH PQYNHHGHILHNLSKSSLPTMGNPYGYGRRCRALDAEQWTKTAATPNRSSNFLGSADF AIHCDEPGEEGLWPEAINQQRRIAEYIKQHDRKEINLWRGPRDWDVRIDCGHRPFLAH RQMLAREQSTLKELATVLPDGSKTHYMCTYTTPDRMANVLAFIYDKGTMEGERFKPSE DNTLDGGIILNNTMAYLAGVETDFPLLRDVALSNLQKAIQGIRRFFDDADGYIQDYAN KAREDGTPFQEWDCLISFVTDDELANFYRPFRIAMQTLYDFHVYTQTKFCADEMLPLR FAMWGLMKDVYHVRLVFSKAFRNHEAPQWEESGLIQFWREEDAFFVGV NEUTE1DRAFT_114998 MAGGSKTSKRTSTYSSAAFYEVDGGGQIVGSSLLGRGRIRKGKR SAGLMPAEGWAGGWAGTGWAGTGWAGALLGDEFKISEIFILEYRSQKPQYYGKRSGDV GVHLCSLQKRHF NEUTE1DRAFT_119092 MSSDNSNSNQTNNDMARQQRRGSVTASSAALASLFRSNSITQGQ PPNFPGTSAAINEQRRRLSITTLGLSGTSPSASFMRRASLSTNNSELAEENAIDEDDS ARTAPAVPFGRRMSLGARGPWGGVSPGTNEQGFNWSEQLRSRAESTVSGQRHSISFTS GLSGSPPGAGFRRPSPPVSTPQHDRTKSVSEMPAPPAQAPKARPVPQKPDPFQERILK GDFYMD NEUTE1DRAFT_126529 MDIDPKLRSSGNHAAHGAPTPTVPNKASMTTSSIDAAAQNALSN TKGGRGINAPSPRNNQNEQQLHSQYHPNSQAHMPSGQPDHSSQLQSATGSQTNSPVQS QFQYQSQSHSSAQPHNIRPQLQTPHRQPGLKRSAPSQATAHNPLPFSSFPPQSPTSSV GYSPNSSAAAGTATANDTPANVPSPSADGGGKDPNGEPKKPRACESCRSLKVRCEPDP ANPNGDCKRCAKAKRPCIVTEPSRKRQKKADNRVAELEKKIDALTATLQNVRGGTNPL SMVSPSASSATTGPDAQAVPRYTTATSYDPNENGSGRGVLGQPLSATRDWPITPREQP TSGRQPTPSRAWTAYDKMDRDNDPYTTPNMAPGGQKRKHTDDRERASQDPRDDFSVPR PPIRHPDIVDRNIISMAQAAAFFERYNEHMVPHLPGVVFSTDMTVDKLRRTQPILFHA IMAAAAGEIPSVQKILTNELMKRFAEEVMVTGTKSLELVQAIQVATIWYWPPERFEEL KFYQLLHIAAVMAIDLGLGRKRQPRGGLRNGMPSTLRDKIMYRPPPPDPTSIESRRAW LTCYFLATNTSMALHRPNLIRWSWFMEESVKILETSPEAAPTDKYLCHLIWTHRLAEE VGINFFDDHNSGSSIRILRTQHLLSYFEHKLNEYQKSLPDEMRQPSLMLSFYVLDLYM HEIVWQNESPDDGKGPATLIDGQTAWLDGNLTPAHVKALSATLEAIKHIFDVFLTMEV HSIRCLPAFSFVRIAYAVVILIRIYLSVISPKTELGKVMRKEDVEVEKWIEKLLEKFK ATMADDKNRTAAKFFFVLGMLRQWFQAQKQPAWPKGSSIPRAEAGPSGAGSAMGTLST ESSSTPPFASNQISRKDNAADKDRTPAAGSIRSNQIYKQQQQQQQQPNASDYPTTADT PLHLLSEVATHESSSVPTPSSGPPPPGKFTVSAGPSSSQHNAHMPNNANIILSAPISA ASSGAAVDWNLRSQPQPAQQPPCLSNTNSSAPIPDMNQQQPSTGPLDPLPWLSQAFIP EFEPANFGDGFEQAMDLTLGGLAGDDFNIMALGMGLGMGVGMDLGGGGGFDGGLGAGF GGQGAAAGLGGARSAYDYGQGWYGAGQQQHQGQQQQNGNQGGSGGGSSGGGGGHGQQQ QQQHAVHGGHGGHHAGGMNPIWGITDGTKPW NEUTE1DRAFT_150534 MSQLAHPADAGPSNQHYDGRAFTTVPPSPKSALPITPSSTRFPQ KPVPSPLQRSIAASPPTSPGPTQSDFPPTFYNPFAAAAIPRIVTTTAPDHPSPTAFFD SESSGRDTPEETRPGPESSFSPKSSNVRNNSITGLIQQPSPGSSSGQASAMAGPSAVR ADLVRSTPRNSSMDSAISAISSSSNTTTKASQENNTGTTEIANLIKTAGSPEAVIQYL LKEKQSQSQQNAQLWRLVDKQRAMILGLNKDLERALKDKEKYRKKLKELLSDPDGPSV PAVANAKAQELNRTSTASDFSTQEQVLEVPDSPGQGSTNLRQSSVDMSVAPYPVTPPA GQLPSHGSPSAVGEMLDPSHTMPRPSEHAVDHYDHDALEWEREKARRQAGEAEEQAAG LHINPNLPPSRSLPSKPPRMPLPATPTEPPLSKRIELPVQGQLPPPTSPPLRKPPPAP LQLKDSALHSKRVPQPEADSESEYEDDPKADQHSLEERRGRRRTREEDERDREIMARK EAELRSLSKKSKKSASRAANEEVPPPPPAEMPSNPRFLEVKMSPPPQETVSSLVGVLN GSNQRDASYAMPLLSPGLPSSPRPMPMGVSSPSLSPRGMNFMGAPLSPRPPRAPIPLP PNTPLHISAPPAMPLPSPRPMHMVKETDSMSSTSSPTKSTYESPTERTRIYRGFMTEE YPDLLLPPNALPSIDIKVASSRMKPSRASLLSMTQLEEDPVFTLAVFSRADGGELWRV EKDSASLAKLDSRLKLCPTFTAKTPDRSLFSGHAPAKLDARRIALDQYLEELLNTPLD HSTALELCKYLSTHTLPPNADETGSSTGYENPEAVLTGPGGRPFRKGYLTKRGKNFGG WKARYFILDSPHLKYYETPGGAHLGTIKLQKAQIMTQVGKQGHHGAEDPPARGPGMEN PDNQYRHAFVIKEPKKKDPTSVTTHVLCAESDRERDEWVSALLRWIDYTDDEKDERSK KNQTHDRHDSNTSERANGGHNKKKQHAEALGRSYDTTKQRDLPQGVSSYQPAAAQGHA APSQAGFTISAPRDPQVISNSEAWGTKLGIVPAAPPSVPPVVEKAPRPRRSIFGWGDS KEKAGKSVDSHHTLSGENGHAGAGINSHGPVRPVFGAPLAEAVRYNAPADVRVPLPAV VYRCIQYLDAKDAINEEGIFRLSGSNVVIKQLKERFNNEGDINLVDDGQYHDIHAVAS LLKAYLRELPTTILTRDLHPEFQSVTEKLPDQAQRIAALSVLVERLPQANGTLLRYLI AFLVKIINHADSNKMTVRNVAIVFSPTLNIPAPVFALFLQNYEAIFGIDPGEYELPTT DSDSVHGSTHERTGSHPSDTRSSTHSGSPYGQRPMKPVIEGQVSRNTPTPPLSMSMQH MAQMNAAQMHSRSTPTPPLQRPAYDDIALYSAQSGLRPSTGFERSHDFHPSAPSYEQY SMKDRRKSSMPNLHPSGIRGHMRDDNRF NEUTE1DRAFT_150535 MPPNAPARGSDSCGSLNRPPRTRRLSVEQASESTPLLAAGDIAP TADSEISEATTLINEPSSSSEVDPDDKPLPVAQIVVLCYARWIEPVAFFSIFPYINKM AQENGNLADADVGFYSGLIESLFSLTQMAVMILWGKAADRFGRKPVLVISLMGVTLAT AMFGMAKTISQMILFRCLAGVFAGTIVTIRTMISEHSTTKTQARAFSWFAFTGNLGIL FGPLIGGALADPAEQYPGLFGNIQFFKDYPYALPSFAVGGIGVTAVLVTAFLAEETLE NKVFGGGRDAESGAPAKPAPMTTWDLLKSPGVPIVLYTYGHIMLLAYSFTAILPVFWF TRIDLGGLGFTPLQISLLMGLNGLAQAIWILLVFPPLQHRIGTNGVLRVCAIAYPFFF AICPFFSLLLRNGGSTGETIFWFIMPALLCLGCGVSMSFTAIQLALNDTSPSPVTLGT LNALALSLVSGVRSFSPALFASLFAISVRTQWLWGYAIWVLMVLLALGYTVISRYMPD PDELRRQRERRDLDEDVYADKVHRHRKRLYLEPPVNGSTIVRRKVTTIVPASEQQAAV IEKPAKPAHSPPVHVLEPAPPPSPPMFCPDPHSPVANVPTHPGPPHHAPPVYAPMPAH PHHNHEDHNREHHNLKHHVHEHTRRETEIDVGRTYTHTNTPPPRVPVLNDDVHADIID VIAVDVEEKKKKKKKRSKSRHAERSRSRSRSREREVVIEREVRVPVPVPVRVPVPVPV PVREELETYRYVEGPKQPKRHSESLPPRRMRSPPPPRLPYPWEQDRDICSARSKSAVR HRSPSTTSLEREMDRINITIEERRKATFDRDRDRDRSWERGSYYR NEUTE1DRAFT_150536 MHYNHYGIHQCCPVNSVDKFTNFQRLPAELRNIIWEFSLPEPRV YEILDAPNSKRKTPPHEGLMFANIHPEPPPALAAVCHESRSLVLHNYKPLTLGRTTKY VNLSRDIFLLEPYLLIKRLHRTLHFMSQISFLRDNINRLALGTSYGIYTGICHPVLSW KVSKTNMGKLLTNLAKFPRLKVLIFIVHQEFQFEFDFRFPGTMIPIPNYPLQHSVSTT LQPLFTSGPSATGFPSPISSVGSSIILPSRSSSSNPIPTLAEPASNGLHFYSTVSNSS SNKNTIATPGNLYKLPYSSPLLFPSLPPLLPPYPLFSPQDSYLAPQLPPFPLLLQQQQ QHQHQQPQPSQPQRPQLVHQAYRFKFDIEANINHAPRRPHLNELLYYPLDIDKEDDGD GENFDYYNFSGGGNGGSSNSNGNSGWTNNDHDDDDDDDQDVRGDVNRVGGGRGGERGD RGGGSGGEWSDPWPTNDDWRRFRQRFQKAIRQSLEVMERHRLACLAAAEAAKVADGGE KHSAKGGKESSASGRRKKTGKGTTTTTTTKAAEKNSWSGRASREAEWEWERQWERERE SLRAGLEGRIGKHATRIRVPAIKGASLLWRYTRGGYV NEUTE1DRAFT_92198 MVSPAVEVKSPAEWSSLLDATKIVVAQFYSPSNTASLEAIHILE HHSQGIEGRGTVLELAKVNVDELKAIKNAYSITTLPTTMLFQNGKEIDKVAGDNPRRL AQLVEKLKRAVDSAKTEQASGSSSSWKGAALPRGYVDVTDQVELNRSELLNVDTETGG VRVLFSTEKPSALSGGKGTAKDWVESDTDDQLLLFMPFQSMLKLHTLQITSLPPTDDD DDELPTRPKTIKLFVNKPHNLGFDEAEDLQATQDIELSESDWNKEGTASVPLRYVRFQ NINSLVLFVANGDGDSEKVRIDRLRLIGESGATRDMGKLEKIGDLPGE NEUTE1DRAFT_133628 MGFLGEGFMFSSRSSKSKRPKPSHRSSSSRQSREQIEPKERDRE LKTREREREREFRERERELERQQERERDRERERERPPPPPPKAPFSPPDASDSSGKRR RQEMYSRESYRADERAAVPRGGPDGKEGAEGGTGGLVGFLASLLLGDDDDLDGMGYGS DSDSDNPRRSSPKTPKSRGGHGYVNSDMYGDDAPPRDYFSLSRRTSSRLIDPLGGAGG GTPRSSHGGRPEKPPKRPSFFKSFSSSGRRSSFFAKFRRSSSSSSSFYKRSPRPRLIK KLLKKLKRLLRDLAYYAQRHPMKVFMLAIMPLITGGALTGLLAKLGIRLPKIVDRLLA LAAKYSAGDSLGAVGEVARLARDGIGLGGGSGGAGGSSRSGGGAGAAAAMMGDALARG FNTTQVERGRRGDGSVWEKRTMERDGVFNGSGGPGLSWQEGLRSVLKMLSE NEUTE1DRAFT_106091 MSISTLERYQRRQNGEERRKKEKRIAVPLGALGQIEVPRSNSRG EPSRTPREQSPVPPSRLPSGSSTTAEREQSSSPFHYSYVKDQPGPIRRSRDSPPHGDI SPGASGSSPHHDISRQASTGSGVDPFQYLHPGGTTRPTYTGDHRSRTTTSDRHRTTSD LSPGDYSAGEEDRHRHSSRISSSAGRSTNHYDRSERARVAEEQSLHDISSSSRRTSGD PPSIVKRKEMLTGSSSKSSNSSKRRSRG NEUTE1DRAFT_73504 MSRIQIPIDVLTSRLNMGERFQNFRSGSIANRFSNLRPLSEFFD IKRLSKPENFAEMQSRVNYNLGHFSSNYAVVFVMLCIYALLTNPWLLFDIVFVVAGMW FIGKLDGRDLEIGQHRFTTSQLYTGLYVVAIPIGLFSSPFLTVMWLIGASGLVIIGHA SLMDKPIDEAFSGEAV NEUTE1DRAFT_51174 MYIRLLYLLLLGVASITTHASPTPAVLDDGHDLNQVPAFNVTNF EASAMVLSNRNFYKFNVTFYNEAVPVHCEAMGTTVNHQLTSIPQTWCPTDPGDVGSGY GGNGTGTNNHQSNITTTGPGVSFKWTEQPDGSAALLIVRRINDRVADTAVYKVTPDCI PMMGDDIFRHQVYEGPENFSVPAYRSSELG NEUTE1DRAFT_133630 MRSRPKGVTYVCAVDDAIRSNQPGSYVPGFSYGDADTPIFPPSI DLSDKMLTPTILTLLFTLATTALAVPHPHAALIKCPLIFDGRIKTRTQLIDFDSPATS LFNPDNVKGSSLKWSQIIRFPEGDKGSRFDSCSYKPLEVTITDASVFKTQYGFRRAGL IFNGDKNIGSPASRGVKTLHFSVKQDEARGLNLTHEYLNVWHETSDWSANQFNFQTGT IIGQEGSLAKNTFKILSRENKLIWSTPIEQKEWQNFAITLDFNKKHVAFPGSSSLISL TDQKDNSTLRLYYSKGHEPLRSVTQAVPNNNAGEGQYQIGILKKPTGTDDVVNSGYQE SGTDEGQIYGGIFIEDSANGCVSL NEUTE1DRAFT_51117 MSQETDSTVSTTSIVFDRIQERLDTKEFPARGTDGDDNDSLKDE LNNDDLETGPFLGNASPSSRSYQRSSADGQRMDRSLRRWLFIVSGALVATWVIGLIFF VSSKAYKPSSSFAHDPQATVTHGSGKKVTLDQVLNNEWRAKSHSISWIAGANGEDGLL LEKEGANKDYLVVEDVRAQNPSSVQASKSKTLIKDKLFEFANKTYWPTVTVPSRDLKK VLLATDVQNNWRHSYYAVYWIFDVETQQAEPLVPYDVDARLQLASWSPTSDAIVYTRD NNMFLRKLDSDKIVQITRDGSADVFNGVPDWVYEEEVLASGVATWWSEDGNYVAFLRT NETGVPEYPIQYFVSRPSGEEPKPGEENYPEVRQIKYPKAGAHNPIVDLKFYDVKRGD VFSVDISGRFADDDRLITEVIWAGKQVLIKETNRVSDVMRVVLVDVGSRTGKAVRTVD VNDIDGGWFEISHKTKFIPADPANGRPDDGYVDTIIHNNGDHLAYFTPLDNPNPIMLT SGDYEVVDAPSAVDLQRNLVYFVSTKESSIQRHVYQVKLTGEDMTPVTDTSKEGYYAI SFSTGAGYALVSYQGPDIPWQKVISTPSNPDKYEHVVEENKDLAEAAKKHELPINIYG TINVDGVELNYIERRPPHFDKNKKYPVLFQQYSGPVSQTVKKTFAVDFQSFVAAGLGY ICVTVDGRGTGFIGRKNRVIIRGNLGTWESHDQIAAAKHWAQKDYIDEDRLAIWGWSY GGYMTLKTLEQDAGQTFKYGMAVAPVTDWRFYDSIYTERYMRTPQTNPEGYESAAVTN VTALSQNVRFLLMHGVADDNVHMQNSLTLLDALDQRSVENYDVHVFPDSDHGIYFHNA NRIVFDKLTNWLVNAFNGEWLKIANAQPNGMKRRALPTA NEUTE1DRAFT_126540 MFRNALRQSTRAVGAFSATGRVAARNAAPVVSAVQARTYADAKA TPTEVSSILEQRIRGVQEESNLAETGRVLSVGDGIARVHGMANVQAEELVEFASGVKG MCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVDVPVGPELLGRVIDALGNPIDGKG PINCKEKRRAQLKAPGILPRQSVNQPVQTGLKSVDAMVPIGRGQRELIIGDRQTGKTA VALDAILNQKRWNSGSDEDKKLYCVYVAVGQKRSTVAQLVKTLEENDAMKYSIVVAAT ASEAAPLQYLAPFTGACVGEYFRDNGKHSLVIFDDLTKQAVAYRQMSLLLRRPPGREA YPGDVFYLHSRLLERAAKMNKTHGGGSMTALPVIETQGGDVSAYIPTNVISITDGQIF LESELFYKGVRPAINVGLSVSRVGSAAQLKAMKQVAGSLKLFLAQYREVAAFAQFGSD LDAATKQTLNRGERLTELLKQKQYSPMAVNEMVPLIFAGVNGFLDTVPVAKILQWEAD FLAHLKTNEPEIMATIDKEGAISKDLEAKLRDVIQTFTKSFLG NEUTE1DRAFT_126541 MSSESSTGPEMSATTKQMLIRVRQMVPPMLEKFHKGQLGRVAVI GGSEDYTGAPYFSAMASARLGADLSHVICTPNAAQVIKTYSPNLMVHPLMRSSPPALS SSDSGSSPSLTKSAPDTDPSQIAAQIIPMLDRLHVLVIGPGLGRDPLMQETCAKVITA AREKGIPMVLDADALLLVTKDPSLIKGYDNAVLTPNVVEFGRLTKALGVDEEVEKAEE TAGETAKVEALAKALGGVMVVQKGAKDYLSDGKVTLTVDLKGGLKRSGGQGDTLTGSI ATFLGWRRAYLEDLWDHGHKLNKEELIGLAVFGGSAITRECSRLAFAKKGRSLQASDL TDEVHTAFLNLFGEVDAKL NEUTE1DRAFT_115012 MSRSVYIRGDKTLESLVTLMTRDQPPKLQTLQSLEPGKDLLIPG ISLSYHSSSYTVDILHCRGAYSEVDDRGHSSKLKAHRDDHRKLPRLTDGVTALGDSLF PAEPQQWDIGSGYPQQNPQAALNPLLPVLRSRDLAIFTGGG NEUTE1DRAFT_133634 MSMGMDRLEKRLDRLERFFHRKRKLSPRKSAAVESGPFTSLSHS ASAPTIQKFPTPSFIRPTSTRMLAREEVTLARQSARRTKSLPESPSDLYSPSFSTSEH GLRTEFTPRRRIQGPSVPQRSSSLVSRQMDASLAELLEFSFSGRSTRSHAELSALSMR RSRSGHKSPSRSTSISISPRAQPGRKRHPSLHIHTHLQTPLSLAQQHKSCDSMLSLSP TSLSHGHLTPAASPRLIPLPAPEPNGLHEITLSPSRSPPSRTATLVCKKPVSELKTPV SGLSTERSAPSIRINTILREPSFNDFLALSDDDIADDLANEQSLSQTSYITSSGSSSY DLPLNRFASISSPHMVSDHPLLTLSPPLASKPATTAAFEAARIASKYHFDLVYVVNLW PSRNGSIQSTGFSKRSSMSSLSTSTTLLNSPSSPSASFSATSTEENLGLLFPLPSEYR SMTGRLLAAYGLHSLMSPFRISAPVHRKILESDHWLEYRSDDAAENEFAEGYSCSFYT GCTYPGPFSVLGEKAGRHGGAKNHGIVFAAYRLPHNAATISGSSVEELKELRKDAEAL VNLALDTYTDHKEKRGDGTWRCTLDDDKIVPVVSRQLLVR NEUTE1DRAFT_150546 MGVGRRMQKQGPPEPLSEEHFANLKRKKGIPFDETTTEVDTRRS KKQKIVKKQPKTSGSNTVAVKGAKKEVKEVKKTGTKPAHRSKESKKSKKAKQPSPDHD EEMDDEFGASDLEDLDIDGDEMDVQKLGDDFLGTDDDSVYDSDQDGATKKEAFVFSDD EDDEEDREEKLTAANIEGLSRKLDMQREKEAEENEAEMRDEALQTNIAGGDDLGDDDD QLQTKTKTLLAPDLQMLRTRITDAIRILDDFSNSAEEGKSRAEYTSQLIKDICAYYGY NEYLAEKLFNLFPPREAFAFFEANESARPVVIRTNTLRTHRRDLAQALINRGVTLEPV GKWSKVGLQVFDSNVPLGATPEYLAGHYILQAASSFLPVMALCPQEGERVLDMASAPG GKTTHMAALMKNTGVIFANDPSKQRAKGLIGNIHRLGARNVIVCNYDAREFPRVMGGF DRVLLDAPCSGTGVIAKDPSVKTNRDEKDFLQLPHLQKQLLLAAIDSVDHASKTGGYV VYSTCSVAVEENEQVVAYALSRRPNVKLVETGLAFGKEGFTSFMGKSFHPSLKLTRRY YPHLYNVDGFFVAKFQKLGPTPATAVRANGVDKSNKPLDGQASQEAQEVVDKTPIGAE CEAQDDFGGFDDGEDKEYMERAKRNAMRRRGLDPRALKKPVGKKGEKKTEESSKEGAK EQAAEVTEKIEKLEVVEEQTKTVDAKAKKEAVKPTKKVEEKPKATAGAATPKKAGDKK KKVAKK NEUTE1DRAFT_92219 MAQALPIKFQELLQLSALGINQTAITFNTCTLESDNWVCIRDKK DEAASPEVIIVDLKNGNNVIRRPIKADSAIMHWTRQVIALKAQARTLQIFDLENKAKL KSTNMSEDVLFWKWVSETTLGLITDGSVYHWDVFDPNQPAPVKVFDRNANLQGNQIIN YRTSADGKWMVVVGISQQQGRVVGAMQLYSKDRGISQAIEGHAAAFGTLRLEGAPEDT KVFTFAVRTAVGAKLHIVEVDHPETNPVFPKKAVDVFFPPEASNDFPVALQVSQKYGI IYLITKYGFIHLYDLETATCIFMNRISSDTIFTACGDKDSTGVIGINRKGQVLFVSAD ENTIVPYVLEAHGNELAIKLASRAGLPGADNLYQQRFEQLFSNGNYQEAAKVAANSPR GFLRTPQTIERFKRLPQQPGQMSHILQYFGMLLDKGSLNEHETNELAQPVLAQNRKQL LQKWLSENKLECSERLGDMVRPHDINMALAIYLKANAPHKVVAGFAETGQFEKILPYC SQANYQPDFIQLLHHIVRVNAEKGAEFATTLANHEGGPLVDFEKVVDIFQSQGMIQQA TAFLLDALKDNKPEHAHLQTRLLEMNLVNAPQVADAILGNDMFSHFDKAHIANLCEQA GLLQKALELYEDPASIKRVIVNIPGMPNYNPEWLIEYFKALSVEQSLDCLDAMMKHNI RQNLQTVVQVATKYAELLGAQQLIDLFEKYKTAEGLYYFLASVVNVTEDPEVVFKYIE AATKMGQIREVERICRDNSVYNPEKVKNFLKEAKLSEMLPLMVVCDRFNFVHDLVLYL YQHQQFKSIEIYVQQVNPSRTPGVIGGLLDVDCDESIIKNLLSTVNPASIPIDELVQE VETRNRLKLLLPFLEATLAAGNQQQAVYNALAKIYIDSNNNPEKFLKENDQYDTLTVG KYCEKRDPNLAYIAYRKGQNDLELVNITNENQMYKAQARYLLERADRELWMFVLSENN VHRRSVVDQVISTAVPESTDPAKVSEAVTCFLNADLPGELIELLEKIVLEPSPFSDNQ NLQNLLIFTAAKADKARVMDYIHKLDNFAADEIANVCIEVGLHEEAFEVYKKIDNKEA AVNVLVEHVVSIDRAQAYAEEVDIPQVWSKVAKAQLDGLRVSDSIESYIKAEDPKNYE EVIEVAVAAGKNEELIKYLRMARKTLREPVIDTALAFCYARLDQLPELEEFLRATNVA NVEESGDKAYAEGFFEAAKIFYTSISNWAKLATTLVHLSDYQAAVDCARKANNIKVWK EVHEACVNKKEFRLAQICGLNLIVDAEQLQALVKQYETNGYFDELISLLEQGLGLERA HMGMFTELGIALSKYHPERLMEHLKLFWSRMNLPKMIRACEEANLWPELVFCYYHYDE FDNAALAVMERPENSWEHQQFKEITVKVANLEIYYKAINFYLEQHPSLLTDLLQVLTP RIDVNRVVRMFQKSDNLPLIKPFLLSVQSQNKRTVNDAINDLLIEEEDYKTLRDSVNN YDNYDAVDLAGRLEKHDLVFFRQIAASIYRKNKRWEKSINLSKQDKLWKDAIETAAIS GKTEVVEELLRYFVDIGNRECYVGMLYACYDLIRPDLVLELSWRNGLNDFTMPYMINM LCQQTKELASLKADNEARKAKEQEKEKVEDNTPILGNRLMITAGPGQASPAPYGQTNG FVPQPTGFGF NEUTE1DRAFT_119096 MSTEQKAQNPMRELRIQKLVLNICVGESGDRLTRAAKVLEQLSG QTPVYSKARYTVRTFGIRRNEKISVHVTVRGPKAEEILERGLKVKEYELRKRNFSETG NFGFGISEHIDLGIKYDPGIGIYGMDFYCCMTRPGERVSRRRRAKSRVGATHRITRDD TVKWFKSRFDAIVR NEUTE1DRAFT_126545 MASTNKQGKMAGYINYRMRVTLNDGRQMTGQMLAFDKHMNLVLA DAEEFRRIKRRQNKPAAPGSSAPAVQTVEQEEKRTLGLTIIRGAHIVSLSVESPPPAD PSARLGKSTGGGLASTLQAGPGVARPAGRGAAAPISLAGPAAGVGGAAPPPPFPGFPG AAPPGFPGRGGPPPPGFPVFPPAAGFPGAPGFPPSFPPPGAGGPAGFNPPPRR NEUTE1DRAFT_33744 MAAFVKAINAKIRSHPVLNYVCSTHFWGPASNFGIPIAAVMDTQ KSPELISGKMTFALCIYSATFMRYSLAVQPKNYLLFLCHAVNETAQLTQGYRYLKYNY WGGKEKEGLVKAVDAGK NEUTE1DRAFT_54771 GQNSRQGEEDDSGPDSNQLEQGSPLQEFLVAYMYLYPSQRSATA CHHTQKVKMG NEUTE1DRAFT_150551 MASDKPADQYAEVFEEGDVSKEAQTVHRIRANSTIMQLNKILVA NRGEIPIRIFRTAHELSLHTIAIFSYEDRLSMHRQKADEAYVIGKRGQYTPVGAYLAS DEIVKIAVDHGAQMIHPGYGFLSENADFARKVEKAGLIFIGPSPEVIDALGDKVSARK LAIAAGVPVVPGTEGAVDTFEAVKDFTDKYGFPIIIKAAYGGGGRGMRVVREEASLRE SFERATSEAKSAFGNGTVFVERFLDKPKHIEVQLLGDNHGNIVHLYERDCSVQRRHQK VVEIAPAKDLPTETRDAILADAVKLAKSVNYRNAGTAEFLVDQQNRYYFIEINPRIQV EHTITEEITGIDIVAAQIQIAAGATLEQLGLTQDRITTRGFAIQCRITTEDPAKNFQP DTGKIEVYRSAGGNGVRLDGGNGFAGAVITPVYDSMLVKVSCRGSTFEISRRKVLRAL IEFRIRGVKTNIPFLASLLTHPTFIEGNCWTTFIDDTPSLFDLVGSQNRAQKLLAYLG DVAVNGSSIKGQIGEPKFKGDIIIPELHDASGNKIDVSQPCTKGWRQIILEQGPKAFA KAVRDYKGCLLMDTTWRDAHQSLLATRVRTVDLLNIAKETSHALHNLYSLECWGGATF DVAMRFLYEDPWDRLRKMRKLVPNIPFQMLLRGANGVAYASLPDNAIEHFVEHAKKNG VDIFRVFDALNDIHQLEVGIKAVQKAGGVCEGTVCYSGDMLNPKKKYNLEYYLKLVDE LVALDIDVLGIKDMAGVLKPHAATLLIGSIRKKYPDLPIHVHTHDSAGTGVASMVACA MAGADAVDAATDSLSGMTSQPSINAIIASLDGTDKDTGLDVHHVRALDTYWSQLRLLY SPFEAHLTGPDPEVYEHEIPGGQLTNMMFQASQLGLGSQWLETKKAYEEANDLLGDIV KVTPTSKVVGDLAQFMVSNKLSKDDVLARAGELDFPGSVLEFLEGMMGQPYGGFPEPF RTKALRGRRKLDARPGLFLEPIDFPKVRKELARKFGSVTECDVASYVMYPKVFEDYKK FVAKFGDLSVLPTKYFLSRPEIGEEFHVELEKGKVLILKLLAVGPLSENTGQREVFYE MNGEVRQVTVDDKKASVENVSRPKADPTDSSQVGAPMAGVLVELRVHDGTEVKKGDPI AVLSAMKMEMVISAPHNGVVSSLAVREGDSVDGSDLVCRIAKPGDK NEUTE1DRAFT_92235 MNTAQSLPRFLLPRLSWQGHLSPNVARTVPSILLQAHDQQPRIW QPLCSSRSFHSSQPTDHAQCTAPSNKPRSLNIIARNPSLRRSFHASAARRRDHHFDTL KFVQRLQEDGFTEEQSVAMMKVLNDVIEESIQNLTRTMVLREDAAKATYTQKVDFAKL RSELLSADSTESNTTRAAHERLTNDIAKLNSRLRDEIGRTQASVRLDLNLEKGRIREE AVSQELKIKETETKIEQEVAALRQQLEQVKFQTLQWLMGVCTGFAALLLGAWRLLM NEUTE1DRAFT_16287 ITPQADSSNVDDLYSQRPAVKKRKCISNGSQPQHFETEEIPSSS HTGNTLQKTQHTARTSLLPQPAVTNPEAPTSQSKPTLILTTPSPLSPRHQDQSQTKSQ SPPPGSRSHFIQPHQSLPQYHFRVATPTTPTQKATTNFPKKRKKYGTAYEVSRARQIQ ATGVPGPCHSCARRQRQLDEVAAAAGNVGGGLIGTGTRNGIGRFARPQRGDEFLPCTV LSNGSGHADGGGTGNGGGIQRRNGNGGNRGTGTGPTPCARCKSIKMKCEG NEUTE1DRAFT_150553 MSVPLQNRVSDSSDGIDRIAEEKQKLSVNAPPSTRKQDALGLDD ADRQSTTNRFKTFRSAFKYIHHLTPKQVDDYMHSYVIYNLDWADEDAMIKELGPDYQA KVGECLKAYYGIINHLCAMGDVEKMYIPPHMDKRASVIENQLLYEKAVAHAIGMKAGD KVLDLGCGRGRVAAHMASMTGATVTGLNIDPNQVAQAQEFNNQKGFKNNFVQQDMNTL PLPFADNSFDCFYQIQALSLCKDLPTLFREVYRVLKPGAKVSLLDWVSLPGYDPSNPE HLALMRRVKPLIGAVGTPTPKSFEKALEDAGFVVTKSENASIDGLQAPLIDRVDIYFR TMRQIILGLVKIKVLPQHFKTLINRLCLDGQAFVKMDRMRLISTSYWIVAEKPL NEUTE1DRAFT_126550 MPGVLPSVRQGGSDAVVPGIFADILSRSGEASGCGRTRMVIAGA LKNPSELWGPGPLGMTHCLQPASMLQILVVQEFHDTFTLETLHGASELPEVLSRPDGN SVPVKPNTIGLQPITTRSINTEDFASGAFAILRCNCERNMFN NEUTE1DRAFT_119101 MKFTLPLVIFAAVASATPVAQPNAEAEAQWCRIHGQSCWKVKRV ADAFANAIQGMGGLPPRDESGHQPAQVAKRQVDELAGIIALTQEDVNAYYDSLSLQEK FAPSTEEEKKTEKVAKREAEAEAQWCRIHGQSCWKKREAEAQWCRIHGQSCWKRDALP EAEPQWCRIHGQSCWKKRDAAPEAAPEAEANPQWCRIHGQSCWKAKRAAEAVMTAIQS AEAESALLLRDTTFSPVDRVGKRDPQWCNMRLHPDRGCWKRDASPEAACNAPDGACTK ATRDLHAMYNVARAILTAHSDEN NEUTE1DRAFT_73545 MASKRAAASTLSCIARLGSAPAQSLKTTTTTSRFLNYFVHSYSS QCQRPVPLSQQQQTQQRGNINCTPIRQKHTIPRPRSQPSSSPEPQSPPSDQQQPAQPK RDTSQVPQYELTFTCIPCDHRSKHKVSKQGYHHGSVLISCPNCRNRHVISDHLKLFGD RKVTVEDLLKEKGMMVKRGTLGEDGDVEFWEDGTSTIREPEPEPAQERPKKEVDNSPP GSTFKNVRPREGKKAGDESN NEUTE1DRAFT_133644 MASRTNKIRPIRKPITNRDQSEFEPCWALLRDAMTDIHLQNAGR LSFEQLYRASYKIVLRKKGALLYERVRDFEQEWFRDHIMPNIAALITKNLINISLLQH PGSSSHERREMGEKFLRGIRDSWTDHNRSMNMIADVLMYLDRVYTLETKQPSLFAVTI GLFRNNVLRSHIGAAAEDIEQDFVVFDILCAVILDLINMERDGDIINRNLVRKITAML ESLYETDDEIENHRLYLTLFEPRYLEASTEFYRKECEKLVQEANCSTWLRHAQRRLNE ERERCGTTLSIMTTDKIASVVEKELIEAKLDVFLAMEGSGLKPMIDNDRLDDLSILYQ LISRVDSTKSALKVILQRRVRELGLEIEKALKNTDFSVAGAATGDGEEAGEAAEKAKP QTLNPAQQQTAAAIKWVDDVLQLKDKFDRILSDCFCDDLLLQSAITRSFSDFINSFNR SSEYVSLFIDDNLKRGIKTKTEAEVDAVLDKAIVLLRYLTDRDMFERYYQKHLAKRLL HRKSEIHTEKEMVSRMKSEMGNHFTSKFEGMFKDMELSKDLTDNYRDHIASLGDADYK TVDLNINVLTTNNWPPEVMGGGTSKGEGAKPDCFYPPEIKRLQESFYKYYLKDRSGRV LTWVSSAGNADIKCVFPKVPGKETGPLSKERRYELNVSTYGMIVLMLFNDLVDGESLS FDEIQAKTNIPAPELMRTLTSLSSVPKCRVLLKEPATKNVKNTDKFSYNAQFVSKAIR IKAPVISSISKVEGDEERKETERKNDQTRAHVIDAAVVRIMKQRKLLAHTKLVNEVIS QLMGRFKPDVPLIKKRIEDLLAREYLERVEGDSSTYRYLA NEUTE1DRAFT_150557 MANKRKRTPKEAANDSLPSQKRSKKDTPVTNGSTKTKASSKVQD KPAPFTEHPTVEERKRELALYEKLGSEDQSERIEAASIIIAGLLEGNGVEEPVLFRHL AGRLFRGLASGRNASRLGFSLVLTEILGQLFGEKYLAGSKYTGLTFDKVLGILVEKTD AGGSGNGQEERDHYFGQLFGIECFVRAGILFSDKSRWQAVLDLVLKLSQKKSWLKSQC GWIIVQAISQMEVELAEETLQRLADEGVAKTPEGVGIWIAALDRFPDMKMPKQPWRSP LEAGSLTSLPTVLKDSGRDTSNDQAGNKKQKQGNWTAQLHFVWNLILAHFLKLGEKDK SDAAEQFEQFWKRVVDEGFFSKTSSDSQKFSGFMIFQRMLEGAASQTFIVESVFSQNL MTCLMNQAAKEDRYLHRAAIKALKGIEAIVDRDPSLLPTILRQLLSHNGVYNFDQRTN TKTVDKILQHTTPESIKTVLKTLQLKDFSKSGLDETKYYQSLSSYLFRLSSVPSDEEN TSGVSVPGSAIEVLTELAYSKQSVPASIREALRTKTTSAFAKLVKRPEDFGHLCGAIL SIKVDLDPEDEIGGAVLEAYERLKDLLDPAKDTKDTKAPRQALALLYAVAILQFYNED PDVMNLLEELEECYDKLAGEDIQGGEGIAEFLVEILLAMVARPSSLMRQVSQQVFEAF TNLMSSEALKLLTDPLTAEESAKGQQALFSTEDEDMANAEGSDDELDHDHGSDDDAEE LDSDVEIVNLEEAGSDDEPSDNDSGSDNDDDNDNDNTSEPENENQEALDALDTALAEA LGSHRLDKDADAEDSGDESDMSDSEMMEVDAKLAEIFKHRAKAANTNKKKEKKDAKET VINFKHRVLDLLAIFVKKEAAIANPLAFEVLLPLLELIRTTTTKPLANKACEMINQFS KALKKAKSSFTTEISDEQVEELVAKLQEVHSEAPKDGSHAFAKAASTASLAIASVLVA REKTEEVFELYADSQLKWFKGDVKIQPAFFSDWLNWCQSHASASANAAAMQE NEUTE1DRAFT_126555 MEASSPLAAIRPAPPSFSQPEVLGPRNGNLAPPTGLFNFKRSNA DLFNTKHAGRSSPKGSLVTGSSENFKLNEAGLAFSPRSRTTDSIVDSVDQMELCSSPL LPTPRRALFTSTGIENAVEGRGYATTPPPPASSSPAVNRLDMSPVPIKAPFLSRLAAS PSPAAVDSGDEDTLPDSPAAVRQLSQESKGIGAERRRLGLSRPPLARAKGYSTSSIPG RSNGSGSGLPPFRFGGRNLKVPISPSVSLSLSECFEESPPQDRRTPVLPDSPCSNAAA ARCKTHFGSGSSINSVRKRSPTNNARTPSNPFARQRKTFRRSLSMFESPGDIVKPKER TSLPSSLKSVVDVNEPQEPLLPHFFPSGENDSIPRISRDTLLEVLDGKYGSQYSHRMI IDCRFEYEYEGGHIDGAINYNDKELLARHLFETPMEGRTLLIFHCEYSAHRAPIMARH IRSEDRTINAEFYPKLTYPDVYILDGGYSGFFQNHRERCCPQAYIEMNDAEHVNTCER EMGRLRQTRKGFSRAQTYAFGQRERKSDKFEAVSPTAPGRKVSRDDEEDMIGASPILG NDRSHSRRMATF NEUTE1DRAFT_126556 MAAKMSVRSQLHFNNITHTDQISFVFGSINGQLQSAFSKLSTLH AKNVFSFAIVTGNLYGEGQDDDQLTALLAGKIQIPCPTYFTVGTVPLPAQVIERIEKD EEIAPNLHYLGKRSVTKTSEGVRIVTLGGLLDPAVVAGQSKEQYLPFHTEGDIKSLRG ANNGDILLTTVWPSDVWKNSAKAREQNLTTEAISSSQAIADLCAHLKPRYHFTMSPDN FAFEREPFFPESRGEEDKDKGLDVTRFISLAPWANTARAKSMYAFTLNRETVVSPPVG STLTPFYKPAAAAQKRTADEAGFSRFANGNHEGDRRRRKHQRRERSPPPGPERCFFCL SNPNLPTHMICSIGEEAYLATAKGPLPSAETFKSQGLDFPGHLIITPTPHIPSLNVAA LADSGEDVKKTFKEMTRFRESLQGMVSKQSKGKLGAVTWEINRARNIHVHWQFMPVPV EMVRKGLVEAGFQILAKDTNIGKFISKEFQTADEVPGDYLRVWIWAEEEGDAEKGGAE GGQVISKTLLLQIDENVRFDLQFPRKVMAKLLGEEGRVIWQDVGQSVEEESKDVAAFR AAFKEWDFTL NEUTE1DRAFT_92256 MPSTTSGRIVKSQRGVKKTPHQKNHRWESFSTKISKLHSLDPLR KVRRHDLEAEDLDATTSYLRNGLDKWSELNISRAYVHFRRQVTSLTGSLAQILYHEDK IMDLLVEHTEKHNKETLEPLLDLITAFAHDLGARFEKHYPRALRLIVDLASKVHDVEV IEWTFAALAFLFKYLSRLLVPDLRPTYDTVAPLMGKARQPGHIARFAAEAMSFLIKKA AAPSHKQTALPLIVQHVRDDLYSMHGTKQFGLYSQGIMVMFAEAIKGAGNSLHSTGPD VMTALAKAVPDEELDLTSEKPTIWTDVCCGVLTSTIHHSTPETFVPVETRIFEDAAAT QRPILFVQLLGFAAGVRQGIRINDWALLVKTLGQLLTSLSTYKDNAEFMNSPRLWQRV MVNAAIIWAQAPIDALLPSLSSFNSAMTKEPLMRWYIPFCSYLADLNPDRFRGLFQKD FQKFIVAHWSDAANEDLLCVLIPHMIEAGGLPSPHAKESFPLPQSWQDQSVSKFVRLE DTPFPESGGFGKDRETWKEKCLPIYSGLLRVLESTSVHPSTNARIAEVLLKKLKLALR PSSSLPTDEANFIVSDGFRAYLRMCAAAGSVDLSLAPLLRAAAPRFCRLPKFLEALLD YEQELNRKSQSSPEANNGRGNSPQEEDPLVKSLIKNLASTSHHLRLVSLRILDVLETT PDSNAALSTMIQVEELPLNMQSVRAIAVHLRKLGQIYHHIEESSWLVQAIPSFLFGLT TIQLSPVWDDAVEAMKKISETKHGEEIVAELAFEWLEVPSPRWTGPFEPPTDNHRKAM TDFECTGLMGLQEVADDTGKVVSELSQKLLSTFEEGQKAVELRSDRARSKALKVFTAL PSSAEKRSRKIVPYLLSFTEEGQTPAAEENEDQPFSEEDGSWSLQDRKALVGVFSHFG NPKVLWQSQRVYNALLKLLANGDIELQKMALKAILAWKSDAIKPYQEHLEYLLDEARF KNELTVLFQGDNKIRPEHRAELMPVLLRLLYGRTISKKGALDVVDMGKFLDIALGELR SIRILDGDVVRESTFAEELIPVRKQVGLLNMVEAIINELGTAVVSYMDALVNAVLFCL ITACRNLGAASEDPEEAEPVQNASLYKVVRTTGLKCLCKLFQNAQTFDWTPYENVIVK ETVSPRIEKLPAETTQGVSGVWRLLSTWAVLPKAALFLNIDKRILPKVIESLGIEKGK DEVKVHALEILKSLIGLAQAPAAVSEYNELIKDELLTPNINLMLKEIGGLLRDQTDIG KDLLGTAVDTVVLLAPIVDSSSASVQDMVDIATYLLNQPARRVSPKVKGSILLILKQF LVLEDIQTNGELKKRVYSTLAGLFGYFKDRQNRQTLADVLLVFASMEPWTQEVADICR DLNSYNVKRLDEPDYNTRLTAFNRISGERETPFTIDEWMPLLHNLLFYINQDEEFGVL STNAADGLCKFIRAAEAAWAGPQQDAYTSIMSDIILPAIYAGSREASETVRREVVRVF GYLVAHLPSWDQVSDLTLLVPASDEADKAFFFHIMSPAIARQLQALRLLEAANEKSEF RSKHISQFFIPLLEHFIFGQTEGGDDHGLSAQTIAAIAGLTASLDWQQYRTILRRFVS YIESKPEWQKRVIRLLEKEIDVLRAAHTQRPNADAMEVDGVEAPKLRRLAKTIPDQEK LSAEIVNNFLPIPIKHLHEKDEATVSTRVPVGVIIAKLATLLPEPLLNEKLPGVLTDI CHILRSKAWDAREMARDTLSKIAVILGPERFEFILKELRGALSKGPQLHVLSYTLHSM LLAVIPTFKQGDLDYCLSAIMAVIMDDIFGATGQEKDAEDYVSKMKEVKSSKSQDSME LIAKTASITRLSDLTMPLQALLLEKLDIRTARKIDELLTRITKGLLENPAAASQEILV FCYEVMQEVYKAQKPEDAPKMDPRLKRYIVQKAAKRADGGITAKYTFKLIKFAIDILR AVLKKHDNLRTANNIAGFMPLLGDAVLSIEEEVKVAAFRLLTVIAKVPFKNGESTALY KVAIKEGIKSMSLSPSTASELAQAALKLISVVLRDRREIPIKEAALDMLLSKLKDDLT EPLYRHVTFNFLRSVLDRKMETASVYDTLDHVGTIMITNDDKDTRDLARGAFFQFLRE YPQKRNRWEKQLKFIVANLKYEREGGRLSVMEVINLLLKKTSDDFIQEVAATCFIPLV FVLANDDGEKCRLAAAELIKETFRVADKERLTKFMTLMRNWAEQNENMAVLKLAIQAF GLYFEGREPTSKDKKDVNLVVDKITKVLGDEETVQSNWELVDTSLTTVQTLVAKHPQK VLSPASDVLWTEVRDTLAHENPTVKLTATRLLSMYLADFAQNAGQQAQAPLHGSNGRE LSKEEISDLVRLALSTFNVPEVDEPLAHEVVQILLFLGSYLDAKNTDDGAGADEKEDE LEDEAEDEENGIRKKHKKPVDMGYLFYKLTSIIRKERAARPEMLVSKLSAMDLLESFC SKSEPSTLLGSIKTIIRPLRNLTDPSIPGPFSLNDVFKTRYELLKTKALSVLDVLQRK LGSSEYTRALLAVNEDIRKRRSARSSKRKIEAITAPEKYGMEKRKKFEKKKEKRKEKG REARDARHAFHAS NEUTE1DRAFT_119104 MTSIGTGYDLANSIFSPDGRNFQVEYAMKAVENGSTSIGIRCKD GIVLAAEKVITSKLLKQGANKRIATVDRHLGIVYSGMIPDGRHFVDRARDEARGWRDN YKTPISTKDLAGRMGGYMQAYTLYQSVRPFGITAIIGGYDSELDTPVEGEVGSGPSVG AGGKVEGKKHGGPFLYMVEPSGLYWGYYGAATGKGRQAAKAELEKLDLSSDGESSLTL EQAVKEAARIIYVAHDDNKDKEFELEMTWISGADGPTKGRHQEVPEALRKEAEKYAER MTAKDIEEEEETKEGGDKMEE NEUTE1DRAFT_92264 MHSQDELSALFSRNLSLEPVQQLAQVQVLNQEPKIVYISAHYNH SAHVRTQDTPPAQPAPRRTSEPPALSEVEAVLTKHHVNTAYLSRAQLQLFKSVDDPQR YRLIDLWRNCPPTSRSDNPTLDWSMTSVSQEEILAKSRWEQQQQQQAAEQEVTMSLDG TPLTPIQAGDGRWIAADSHHEMEPYMASGYEDMARREYEDSARRAFAESVERTKDACR PLAIATGGPTFNPAHSDPVYANAGVDWRQQVAMANQYGLFMAYRDDQEML NEUTE1DRAFT_106116 MAHRRARRGQGRPGSIGVVITYKQLLARATQTPLRCLQLALQIT VSKRDISFLVLALARQGGELTWLPIDAILGLPPTASTNQIRDAYKRAALKTHPDRVAA DAPDRAERTRKFQLVNDAYYTLSDPIRRREYDEQRKIFGVGADYVPPSSASSSWGGSA ASGGSGGMPGGFENTSDPFAEADAGAGGAGTSPAGQAFSWAWNFFTKQNQSNNDNGRA QQDREQTQNEQFADVFEEMLREEGMAEPDGTNRPTNKFWSLLGGISGAALGFIVANVP GLLAGVVAGNRLGAIRDAKGKSVYAVFQELPQDDKARLLTQLAAKVFSHTVGI NEUTE1DRAFT_126561 MSHLKSQVYSAYSGWKPNRRQLQAGSQVKRVRSLGSIEWLTEWG VNPRTLNDNGHERKYTRRITELKSTFGRDFVQDFWSWPSAYNPAKPMRPSGYIDPIVE KVSPENREIFRVRDELIAHEGPDVTFYEFLGVSKSASLDDINKAYKKKSRQLHPDKVK QQLQAERVKAQKEKAKQQQQTGGKPIPQVIKPPTTAEIKAAVKRASERQARLSIVTNI LRGPMRDRYDHFLSNGFPTWKGTEYYYNRYRPGLGTAITGVFLFAGGAAHYLALYMSW KRQREFVERYIKFARHAAWGENLNIPGVDAAPAPVAAPPPPPPPPQMMEDEDGNLIPM NRKMRRMQERDAKKEKDKDSSQKTASRRGRRGQQAAAGSASASASGSATPQPAAQGSG PTGAKKRVVAENGKVLVVDSLGDVYLEQEDEEGNTAEYLLDPNELHRPTVSDTAIVRL PLFVYNLTIGRLFSKKRINADGEGFAEGEYEEVAGGSDHDDDSEPGKATPSTTDSDDD FELLEKSIDELGQGKSTGSQKQQGGNKAGKRKNKKR NEUTE1DRAFT_106118 MENTTPAISSNAVQPIQPYKRKLVGVRRVMAKKKIEGHCTAVTV DNWTVVGFTSQMNRFKVGDLVVFMEIDSFIPRVDRYWELWSSMNDVFNGEIGLRVRSR EVSGTYSQGMIFPLADFPEIVLHHQNRIQKIGKDEATRELLCFSFADSLGIKKWEYPT HVRPVTGIIGELSPLIQRPGNYRIQDIGNSVFNTHAAKNRIYQVTEKLDGVTMHVYKV SNQSPDLLTYFPALKPTTGSIPIPPTMQTPRGRVGVCNRKHEFFDDGKNIYWETAKTS GILDKIHKIPYRNIAIQGELVGSHIQGNTMQYPEGKHEFVVFGIWDMDARNYLATKSV ELLCKTLDIAHVPVLGYGPVTKYGKSVEEILAYADKLGPGKYGGVKEGLIFRANDDWK KGFKVISNRWLKMTRK NEUTE1DRAFT_150565 MSNLGVLRGAPEAARINAPSSAYLGNGPNPLTAANKENVPTGTG SSPTDKAAYNPAVTPSQPAPNNRKRKAPATPPAPRPLPTADELEDVIIEGPLTDNCDQ VRRKINRALDAGIITKTALAGELGVSVKSLGGFLREHGAYKGQGYSPYPAAWEYFKKL EAVGYKIPNKTSATKKQKTDSAAGSAAGSSSAQAAGASNSAGVDISDVYLEGEETDDV PVYETCDEIRRKIDAYLKKPGVTMAQFCRDIHAQFHGINRPANIHTSQLTRFRGMKGA RAGATSSVFYGAYVFFEKLRIKEKKPMTKHRQDMEEIWPGGFDRTDDGRKGYICFAGE RPYMDHYGRITIQ NEUTE1DRAFT_106119 MRRIDDTVPCRIKYIAVQPTPVTLSDGFPTIIITAISNLETVKR TRHSISGANEPLDSISVQADSLIRSLLLIKDTYSLQTLRVGEQIYQIMDLASRLGASM LVIAADSSRRTTTPPGGLEITPDDYVYGHLQTMKGQLQDARDTLFELGRIGKGGGSNS GAPLWGLRPASLGRVSTNIMILSTRTV NEUTE1DRAFT_92273 MSTLQHQLSHDGGLYSAIKRSNASNVTLSQASMPRNHLSLCPHE EKVVTDGIIAPMVLQEVNVERKRSLDSKMRDTFTFRKRDNNYRANALSKIATNQPGYM LHTRTHTAASTESVVQGFAMIGCPAPHSTMPYLGHTAPGDSFSLHKLPTTTDMMDASL ELFRQGFNAEEMSELQSVVSSIAASSTTEVEASQRASTNTSRTNLFPPNVSHNQSPTP PIQGNSNTITSKPYLFTSKQTIPTGALRLDGLPPPAAMTLDDLVIANSVPVSQTSMSP ALQVDNHSWWSPTLTGGIQESTADLSGTCSSYHAQMPPALSTIVRTRDTEEPQIHQHY YEPGPGTHQAMTRSPSLDLVEAMQPENQLTGTRTFQRQHSHPDRTTGIAIHYCRPNNS TQQELSQGQNLCSGSNENDLICDECQWKPRGVRGNLKGYLRKHKNTHKGLRLSCHVPG CTKTFSRLDNLKKHKKDKHGIDDTAMGGGGTLRGVGIGGGGLLPWKRVASTEAEEQGR RTNGNSAKRPDTSDSQQRLRDLSGDYPMLWPALHF NEUTE1DRAFT_92274 MSRLEGADAPVPNYTRIDNDRFMDFINGNSTQLTADLNMNIDLH LPLSQYPTPLPPAVDSAASSPKSSPINLDDPNSPYIQKERRDLQCRLIRIFFDAISFK KTDLVTKLITGGFVSPDVPNEYGLTPLLAAVEAGDGAMVCALLNLGADVNIFGTPSKR SSSSSSSSSSSSSSSKRKKEKVDNKPRADARTPLMAASAAGNLALVKLLLRDFHANDA LIAGDGQLALRLAADNGHREIFDLLPSRRGGEFRRWRTHHAVATRRIKRSCQGIGAFC VLCVWYIPKAILWSLPKHLVVLPSVKLAKWSWKNKHQFGPWCKKQVKKMPERTKRAVQ AAGRAVKALKHAPKGMARFAKALAKRMWWIIRGILRAMKVMCLWIWESLRKVSLATGF MFLKVVSAMHTAVMAVSSFFRNITLKDVGNGILVALEALFVDLPRAVFSGMRAFGKAS YKALVHMLGFAVCHRKWNCLSDGGVALDRMQDNNIFKQISVLMVFHSVEGRSQTRSY NEUTE1DRAFT_92277 MSTTPPSTLTSTREAYSGTPPGHVPLSNTFDWCFSQPFSSANDY TPQAQVLDKIEDERPIFVDNASDRKLTFGQMRSDALALAAGLLSLGLNPSDIIKLPPT PTCPQGPEIAPIVLIQLPNSLAFAPVLLGTLAAGLTATLVSPALTSDEIAWILQNSRP RAIITAKACLGAMREALEKQEPRDRAFFDAVPIFTFDAAKDHYPSVSSSSPPTSDWKV LLRSSRATVVPSSFLPSSASPARTAVILWSSGTSGRSKGVLLSHHALNFSGAHMWHDA SDYHSLAKVRQQRWLGYVPFYHVFGLCNIVLLAIMTGSTVYVMPSFSLDGMLAAIPKR KITYLHMAPPIAVMLAKAPVVEKYAKRDPKTGKNGFSSIVAAVTGGAPLGHEVVVEVY KRCGFRVRLGYGLSETCSTSLQRGTSEKEMVEQAGETGVPHWGVEVMIADMDVPAPGL VDGKTKAARIGQEGEILIRSPTLLNAYLPVGMFRTPKGQEPDMSVTREALTADGWFRT GDVGTISAQGRLRITDRLKELIKVRAYQVAPAELEAVLCSSPSVADAGVVGVYDESEA TEWPRAYVVPHKPEALENKMELEKLAHELRVLVEKRTTKYKWLMGGVMFVKQIPKSPS GKILRRVLKSGGEDTKGVEIQVYQKKKRGAAAASKL NEUTE1DRAFT_55328 QREYACRHMRWIASHWCREYTITHKRCKPNVAHFEQKDVDCGEC KSRQRGAVPWEHMIRRDKSQVY NEUTE1DRAFT_92284 MRFSVAYLLPALAVAKPLGVVQPSPDGWADAPDPKQIQIASATF SGNGCPQGTVSTSISPDKTVITFGFDRFQVYIGKGYNPTERTKNCQLHLNLKYPGGFQ FSVLESTYHGYAFLEPGVTGTFFSTYYFSQAPSDTTTTQTTISGGGIWAEGQVYTKTD KVPTASYIYSPCGSNGILNVNNRIALTSSNSSAAGEITDDDATVAFTQQINLAWKPCT K NEUTE1DRAFT_133657 MAMNLRTGSRAFGGALRNHARVSRRGYATAEPDLKTVLKEAIPE KRELFKKVKAHGNKVLGEVKVENTIGGMRGLKAMIWEGSVLDANEGIRFHGRTIKDCQ KELPKGKNGTEMLPEAMFWLLLTGKVPSTNQVRQFSRELAEQAALPDFVNKLLDSFPK DLHPMTQFAIAVSALNHTSKFAKAYEQGLNKADYWEPTFDDCISLLAKLPTIAAKIYQ NSYKGGGALPAEVDLEQDWAYNFAAMLGKPGKENENFQDLLRLYLALHGDHEGGNVSA HTTHLVGSALSDPFLAYSAGLQGLAGPLHGLAAQEVLRWILQMKEAIPSSYTDEDVRS YLWNTLNSGRVVPGYGHAVLRKPDPRFEALMDYAASRPKIAADPVFQLVLKNSQIAPE VLKQHGKTKNPYPNVDSSSGVLFHHYGFHETLYYTATFGVSRGLGPLAQLIWDRALGL PIERPKSINLEGILKQVEGQ NEUTE1DRAFT_92288 MLRRAIPRISRRTVPAQTSSPLFGLCARPVRTSTTTSAHARLLS TSAARMTAPSPLAPVEHPFSSTIPFESFQLLPEEQKAGAAEDALLQQQIKEVEAWWAS PRFKGIKRDWTAADVVSKRGSLQQTYTSSVMARKLWNLVQERYAQGKPIHTLGAIDPV QMTQQAPHQEVLYISGWACSSVLTSTNEVSPDFGDYPYNTVPNQVQRLHKAQSMHDRK QWFLRSKMTPEERAKTPYTDYFRPIIADGDTGHGGLTAVMKLAKLFAENGAAGVHFED QMHGGKKCGHLAGKVLVPTGEHINRLKAARFQWDVMGTENLVIARTDSESGKLLSSSI DARDHEFILGVADPSITSLAETLADMEARGAPGSEIDAYEAEWVKNTKLVTFDEAALA HFEHHNVRQDLFATYKATIAQNPNMGITARRALAQSITPEHPVYWDWDVPRTREGFYH FRNGMAAATKRALAFGPYADLLWVETGDPSVEVATELGRAVREQFPGKGLVYNLSPSF NWMAHGFTQDTLKSFIWDIAKEGFTLQLVSLAGLHSTATISNELARRYKDEGMQAYVE LVQKREKELGVDVLTHQKWSGANYVDAILGHIQSGSSSSKSMGEGNTENQFV NEUTE1DRAFT_150573 MATNGNAPKEITAAQLPDLLANDNSVKLAGIDVDGQLRGKLVSK KKFLSIAESGFGFCSVIFGWDMHDQTYIKELKVSNKENGYRDIIAIPDLNSFRRIPWE NNVPFFLISFHDPDTMEPVSACPRGLLRKQLAKLSEKGYGAMAGAEYEFFQFRAPSEN ASDSTASYLKENPPHSLPSLTEGMFGYSLTRPVHNKDYYYDIFNTCEQFQCNIEGWHT ESGPGVFEAALEFGEVKQMADRASLFKYVVKSVATKYGITPCFMAKPKQGLPGNSGHM HISLVDKDGKNLLAREEVDPNAPYPDVAYLSDLGRHFLAGILEGLPDVMPMVALTINS YKRLVENFWAPVTVSWGLEHRAASIRLIGPPGSKAGATRFEIRVPGADANPFYVLSAV LALGWRGVEKKLEIPCPPLGKGEQVGGVTDAGARLAKSLKEATDRFMRKDSIAREVFG DEFVDHYGGTREHEIRLWDEAVTDWEMKRYIETV NEUTE1DRAFT_133661 MAAVLLTLVLATLVQGLRYDPDYVDYNLNQNKDAVNPLDYSAPK RDNYTASPKNWKFPFYTLFLDRYANGDPTNDNINGTVYEQDPTSNQLRHGGDVQGVID SLDYIQGMGIKAIYIAGSPFINLPWGVDSYSPIDLTLLDMHYGTIKDWQRMVDEIHKR DMYVMIDHTFSTELSMSDLLAFKGFENETAPFSLKEHKVHYKGNREYLDFAPSNEYKD ECQYPRFWDETGMPVGEDVTSQLKGCYDSDFDQYGDMEAFGVHPDWQRSLAKFASVQD RLRDWAPSVRKRIELFSCLSIRVLDVDGFRFDKATQVTVDAMAEHNEAIRKCAKEHNK DNFFMPGEITGGDGYGAIYIGRGRQSNQRPSFPQVMNLTTKELSKNNSLVIRDEGKNG LDAAAFHYSAYRFLTRFAGMSGNLEAGYDLPLNWVEMWNQMIITNDFVNPNTGLFDPR HMYGTMNQDVFRWSGIKQGTQRMLLGLFIMTLHMPGIPLVFYGEEQEFYVLDSTADNY LFGRQAFSPTPGWMLHGCHVGNSTQYVGWPIETSRTGCTDPRVALDHRDPSAPVRNIM KSMYHMRDTYETFREAWLLQTLSSKVRNVTLEGSTTSTEFGIWSVARAFMPSVQKESY PAEPVWLVYHNEDKETEYTFDCSKKGQDFVSPFDAGATVKNLFYPYDEIKLEKSTQSL GFANSHAVSGCLSNITMAPFEFRAYVLKEDWESPPPMITKFTPGHDASVESYGNGKVD IQLEFSEEMDCEEVLNSISFTSTTEENASIKIDAHRCDNIKASFIAEYVGTIPSTWRF NATLSNVKDGIHRITVKDPQSGRNASTGTTDHFLLRVGSSNNPLVNPMKANYSKTLVT KEGSDLFVNHAAAGADKWRYSTNWGSTWSDWLEYKGGKEKIDKLAWKGTKKQEWDGDH VMVQYWSQLLGSASFVQSGDSKETKTRRLPHLFAHGLFNKFGFDAGVKNELKLVGDGL WEGHVMDEWPTHFQLNVWGMNPDQRPDAGWVFGDVDGDGVLDRMPPSSLALNVINATE PPPMPALSWKLVFNDALLTFHKEPQGNMAVQIIMFILLASLPIVGGLTAVWTFMGSFY KVKVNKVGFKRRGRSPLRDIGKRISSTVSFENFRHKDVGDTELAVIPGKRRKVIIATM EYNIDDWNIKIKIGGLGVMAQLMGKALEHQDLIWVVPCVGGIDYPIDQRAEPMYVPIM GKEYEIEVQYHQVQNITYVLLDAPIFRTQSKADPYPPRMDDMDSAIYYAAWNYCIAEA IRRFNPDLYHINDYHGAAAPLYLLPERTIPCALSLHNAEFQGMWPMRTPEESKEVCEV FNLDPEIVKEYVQFGSVFNLLHAGASYLRVHQKGFGAVGVSKKYGDRSYARYPIFWGL SKIGQLPNPDPSDTAEWSRDEQIQAKDVVVDLEAEAKRGDLRRQAQEWAGLEVNPDAE LFVFVGRWSLQKGVDLIADIFPSILEKYPTTQLICVGPVIDLYGKFAALKLAKLMEKY PKRVYSKPEFTALPPCIFSGAEFALIPSRDEPFGLVAVEFGRKGALGVGARVGGLGQM PGFWYTIESTAPQHLIQQFRGAIVSALESKKKDRQMMRAWSAKQRFPVAQWVEDLDTL QTEAIRIHHKEAKKRKRVPSGSLLTVPSSVDLHGNNTQRDYFDDAVSTPAGARSRTAS TVSTPGPQDGATHHRRTLSSPFDDDIPSIASPPPGTPGSPPTVNVDRVGDHSDHGGED GADEPLMPPNPLFLNPSASSSVTDVASIAANVYGHAGDRSSIDTFAMRMMSPDSSEIR PQAFGLLNPQARPGAEALYQARNRNSSRLSVIDVVGDRQDFRLQKVDPFFTDANGQYY REFERKLNGLTAKNSETELCIEDYLKESEKEWFKEFRNAKLGRSRSPSRSRSPMPGLK IKKTRHASIGSVQSISPTEDDDRENEERNNSNNEGVGHHRDDQFLLGDGYKPPTGLKK LLSIRLGDWPIYSFIIALGQILAANTYQIVLLAGESGQTPTQLYIVAGTYALGSLLWW FMFRRLPALYSLSLPWLFYGLAFMLLGVTPFMPEHVRFPVQNTASALYAAGASSGSLF FAMNFGDEGGVPIMTWIFRAAIIQGIQQVYILALWYWGSLLTAQTPTGSPHVGTWIAN KVPVALVITVPIALIFWCLGVISFVGLPDYYRQLPDKIPSFYKSLLRRHIVPWFLLMV VIQNYFLSAPYGRTWEFLFYSRAVPGWAILLLAIGFFVGVWCLLLWLFAYFTKTHPWI VPLFAIGLGAPRWAQMLWATSGIGLYLPWCGSVVLSAIISRCLWLWLGLLDTVQGVGL GMVLLLTLTRQHVAATLIGAQFLGAVFMMLARATAPDKDGPGDVFPDFSAGVMPGLGR PWFWIVLGLQLVLPIGFFKFFRKEQVAKP NEUTE1DRAFT_92301 MTFPQDPQDPNHQDHQDNDMHDEDEIIQDDEIDEVLEGDDAEGD VAMDSDDDHEMGEGDEEILLHNDSIAYFDAHKDSVFAIAQHPIYPQLIATGGSEGEAD DAPGKGYVIDTSAAVSRPVLPPSYQSDPSAGQQQNTELTPIFEIDGHTDSINAIAFTL PKGDFLASAGMDGRLRVYAVGTGHNQFQFKFIAESQETEEINWLLPCPSADFPNTLAL GASDGSVWVFTIDPTDAASPIQIVQSYFLHTGPCTAGAWSPDGNLLATVSEDASMHVF DVWGVAAAKNLITDNGQTVVSLTEADQRFAVEGGLYSVAISPSGNFVAAGGAGGNIKI VGLPRLSEQPQQTKPTASRPAPAIRGPAGRRMPAPSQRSSAAAGTEPSAQAGAILASL AVQGDSIETLAFSPLPQTLLAAGSVDGSIAVYDTSRSFAVRKHIRGAHDGEAIVKVDF VKSATSQPGTHGWLLTSCGLDGVVRRWDLRGATASQQTVQGGTAVSGLMKEWRGHSGG EGGGVLGFVQGETGERVVTAGDDGVVLVFEA NEUTE1DRAFT_52182 MSQPVDIPKEQWAQVTEKDGVITYKKIPVPTPGHDEVLVNIKYS GVCHTDLHALDNDWPLPRKTPVIGGHEGAGVVVQKGELVTNVDIGDKVGVKWLMSTCF HCTFCQQALESLCPKAQLSGYTTDGTFQQYCVANAAHLARFPPQTDLSAVAPIMCAGL TVYKGLKESDTRPGQYVAIVGAGGGLGTIGIQYAHAMGLNVIAIDGGKEKGEACKKLG AAMYIDFKESKDLVADVKKATHDGLGPQGVVLLAPQEQPFQQATGYVRSHGTVVCIGM PAGAKVSMPVFDTVVRMIKVKGSYVGNREDTAEALDFFLRGKVHAPYKVIGLSQLQSV FDEMRANRVIGRYVMDMSK NEUTE1DRAFT_126572 MTAPGRVGRLGHIVGPSTMAFRGAMPAHLPLRASTTISCPQPLV AASGLRWASGESSQRGKLQKIIPSTAWVQYPELFPVREDFASRHIGPDNSSIQEMLGV LDPPVESLDQFVQEVIPADILSKRELFPQTRVRFHATKKYPTRQGHQEWEIMKIAESM ASTNRHSVKAQIGAGYYGTLTPEVIKRNVLESPAWYTSYTPYQPEISQGRLESLLNFQ TMVTDLTGLPIANASLLDEGTAAAEAMTMSLNALPASRAKRPAKTYVLSNRLHPQTRA VLRGRAEGFGVNIITLDFHDPEFPSKLEELGDDLIGVMVQYPDTTGQVLDHRQLADLV HKQGALLSVATDLLALTMLTPPGEWGADIAFGNSQRFGVPLGFGGPHAAFFAVQEKHK RKMPGRLIGVSKDRLGGRALRLSLQTREQHIRREKATSNVCTAQALLANISSFYAVYH GPEGLRAIAERCNLGARVLESAAKFCGLQLYSPNNSCSAVPFDTLVINQDHIGKVLVY AARERGINIRFISTDSAGISVDETTTENDLISLIGAFQDAARSLKITGRDEALDANPQ VIFEHFLKHHAEQIKQSGPLGHLPEPLRRTSSYLTHPVFNTHHSETELLRYIHHLQSK DLSLVHSMIPLGSCTMKLNASAEMALITLPGFSNLHPFVPPDQSEGYSRLAKVLESQL IDITGMDACSLQPNSGAQGEFAGLRVIRKYLQSRAQSQRDICLIPVSAHGTNPASASM AGMRVVPIKCDTKTGNLDLADLEAKCKQYENELAAMMITYPSTFGVFEPAIKKVCQIV HAHGGQVYMDGANMNAQVGLCSPGEIGADVCHLNLHKTFCIPHGGGGPGVGPICVKEH LAGFLPTTKTMSNTELNLPVSSASYGSASILPISWAYNALMGGAGLKKATQVTLLNAN YLLSRLKEHYPILYTNEHGRCAHEFIIDARPFEKTSGIQAIDIAKRLQDYGFHAPTMS WPVANTLMIEPTESESKEELDRFVDALIAIREEIREVEEGKQPREGNVLKMSPHPISD IIGGDGEAGNKWDRPYSREKAAYPLPWLREKKFWPSVARVNDTYGDLNLFCTCPPVED TTGGNQSSIQEQ NEUTE1DRAFT_143009 MFGGFQPPALSREELQAAEAEATFTIQRAVFTAVALYLSPFVID AVSKVL NEUTE1DRAFT_126573 MSLFGTAQQTGQSLFGAPQTGTSLFGQTQQQQQPQQQQQSTASI LGQSQASQNPQLGSSLWQPGSQTTYQKPIPEQIQVITQKWDPTNPNCAFKTYLYNKVD EHAAPLYQPGPNDDPKEWEEALAKKPGPNYIPVLCAGFPAIVARLLLQRRVITEFNNK LHAINASLDEILSRHDLEHSVRALKAKRRHAELSKRCLALASRVQVLRNRGYALSSDE DELKQKLAKIDKHIQDPALSARMEELWSRLIILRGYADNLRDEINKPGFAESDGLDEE VEAKAKKILEDYEKQLQHLKQQVEEARREYEDWEKEHQPTPAPM NEUTE1DRAFT_119113 MASRIPQFNQQVLYDTTPLPDSIPKVKELGASSAPLMSAAYFIG ARCRDYNDDFMQCKNENPGKGEFECLKEGRRVTRCARSVIADINKSCLEEFRKHWTCL EDNNQQLWQCRPAEWKLNKCVFENLGLKKEIPDQPPNVTPVHLRKQMIYAHWPIPRSA EPFVPPTQTGDKAPAAASSSS NEUTE1DRAFT_54969 MSWCHRVPVSYPPPRDHKDYLVSKTLELTLTRTLVLVPQLRFLS LLQRDRTKYLLEKKAIAEDLGDMCEAESGGSDACIFKKT NEUTE1DRAFT_106136 MRFPSAKGNSDCKHSIDFILLKKHKAMPLKVLSSWLWTLTQVDT TLARNGGLETKFRRHALAKTH NEUTE1DRAFT_126575 MKSSLILAASGALMASASPILQDRRVHFTTKVVEEWVTVTVTAG GVPFATVNAFHEHGPGRPVYSAHPQAASAATVQPTSQAPAPVPTPSSSSIVVVAPPPA PETTSSTVIIVPVSSSTQQPAPTTAPAVVAAPPATTSTMQERASTKVDSPAAPSGSDY ASTLLYHHNIHRSNHSAGAMTWGETYANYAQQAAASCVFQHDLTPGGGGYGQNIAMWG SSDKQTVQDVGAVKAGAQATTNMWYNGELSLWPASDYGKANPDMSNFEGWGHFSQLVW KESKELGCYTQFCPQGTMSSQMDVWYTVCNYYPAGNMGGEYGKNVSPPLNEALVVA NEUTE1DRAFT_150581 MGDSHTQSSSNGPDSRMRRNTATSSVPSSGITSSSSAALPPQRR TVKREKSGNPKNMNGPLYMQRNENIVLVRRVKRKGEGPLKQLTRWFVENQIGFAFNLL ALLFLAHGCMPRARSHTGKYFTLSYYNPTTGQYGLGKDDGFLIFFCIILFTGLRAATL EYLFAPYAKSKGISKRKDITRFSEQAWLLIYYSVFWTLGLYIYWQSPHFFNLRELWTN WPNRELTGIMKGYMLAQLAFWLQQILVINIEERRKDHWQMFTHHIITICLIYASYRYG HTRVGNLILVLMDVVDLFLPLAKCLKYLGHSSACDFMFVVFMVSWFVARHVLYLTVCY SVYAHTTSVIPYGCFSGTPGNLKGPFQPPADKGALYLLEPLWNPDGLVCYDDTVKWTF LSMLLFLQALTIMWFSLIIRVALRVLRGEGADDTRSDDEGCEDVDAEDDEDETEFVYE EAQALAEEVGVDELDLKNWAERRRAGHGVGGVTATGVSLPGHSDRKELLGRIGCEKQV E NEUTE1DRAFT_106139 MLAGCCRLQCLLAACLHLRIPSHTCATGQLHGTTTRWTLLPCLS CQIPSVG NEUTE1DRAFT_150582 MNQIRAIQALNKREIEAGIPPEASWHVDYRDTAFVYFGGLPYDL SEGDVITIFSQFGEPVFLKLVRDKETGKSKGFGWLKYEDQRSTDLAVDNLGGAEIGGR LIRVDHARYKIRDDEDPEEGRIGWEDMVRKERREKGLVSEVDDTGDEEEDDTKPRRPL LKEEKELQLLLENHDDDDSMKEFLIEEKKKEVEEALRREKKREEKERHKSSRRHRHEH GDKDRDRERGGRRHHRSHRSPRRVEDVDSDIRGRDRNHDDRTRSRDDRPRSRDRHPDR KRPRSEKEETPPAKEQRRRRDDADDVEMKDANYDDRQSWRDFSRDKDRHRRDKERYRD RGRDLDKERATDRDRHNSERHDRERDLTDDRERRRHRDLGRRSERKSSRSRSRSPWPT SRG NEUTE1DRAFT_106141 MVSAFMSRDHAFYELLALVLWDDKSLCCLSSDRIAFPGRAIGHV QLVEVETGNVSIITAHTSALRAMALSQDGELLATASEMGTIIRVYATSNCARLYELRR GIDKAIIFSISFSPSGRYLACTSDKSTLHVFDVTRPGGTRPITSNGGTAYAAGEPPVT SNNRPSSPYSVASSSGGGGGGGGVMVNSSNGGSDMAADDGQGRWGFLSKLPLMPRIFS DPYSFASAKFEMADEPVSNGSREPLTRDGGPAIIGGPLKGNLGWISETEMVVIGAGRD PKWEKFAIQEGGQQDGYQGGGRRLVRVGWKRYGGETP NEUTE1DRAFT_55828 MTGFSRGGPTMRASHGGSVTKWNVGNAQDVDWRKLWSLVASEGW IARGSSRLPRADDKYLYAPGVGDDAWISRDELD NEUTE1DRAFT_73626 MSLPAKMMRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGKEV LTHKDSDDPATAHVEEGVKIKPITVELELDEEGTRIALTIVDTPGFGDQIDNEASFSE IVGYLERQYDDILAEESRIKRNPRFRDNRVHAMLYFITPTGHGLRELDIELMKRLAPR VNVIPVIGRADTLTPAELAESKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEENAELR GLMPFAIVGSEDIIEIGGRKVRARQYPWGVVEVDNPRHSDFLAIRSALLHSHLADLKE ITHDFLYENYRTEKLSKSVEGGAGVDSSMNPEDLASQSVRLKEEQLRREEEKLREIEV KVQREINEKRQELLARESQLREIEARMQREAAAAAQAGTPTTSHSHQEINGSPDGN NEUTE1DRAFT_150585 MSGPKYTPAPQEDPDAHLYYPQPPPSYQATAESSAANAVLGDQA RLFSGAPRSSQDDDHDIPDDFKFGGSVAEATVEIRHQFIRKVYTILTVQLIATGAVSA LSFLSDGYRNWIQSHPAMIWVSFAGALVFMLLTFWKRQSYPTNLLFLSGFTLLEAYTI SVCVSFFDSTTVLLAVVITAGIFVFLTAFACQTKYDFTSWIPYLGGALWGLIITGFIY VFLPHTSTSELVYGGVAALVFSGYILVDTQLVMRKYHVEEEIAAAISLYLDILNLFLA ILRILNSQSDN NEUTE1DRAFT_106145 MAANTNRYSTYTTASTGSDSRNGEKEKKELWSSMLDSVASAKRL PEKNILLLGGSVDSQREFFESLSRNELRRNTDRQGSRKPPIANSFALGYTYYDVLDAD QEDTLARISLYTLTDPSPAFASLIQPLITPQSIPNTLIVILLDWSQPWKWMRQLREWI LLLRTVFQKLNRECSATMEEVMTVWRERGRGGGLNLDGTSAVPTTSADADVSLPVGPG EWEDALANVMIQAEKMDHLEKTQGWKEEEFDVVLQFLRTILLRLHSSLGIHSLLKKQP LKHNVIDRDKILVPPNWDSWGKIRVLREGFDVEAVSSGWTADLSIPWPRQPQRVANGD DQQQGETNDNETGDEEQELDEDEYEEPTEPDGSSVALYEASVQDPTMDALHIAGSSSH STKLEVQTTETQQFLEKQLKLLDVYKQKNEEPTGHLARIKSARKTTSDSLTAEDDHLK QQAEAKVLEHIGPVQFNMGGIQVDADDMLQRLKERQAFGTSPEPLSPEDETVEETPQM DTENLQAFFTGLMNRSRQS NEUTE1DRAFT_55652 MSATIDRYIHKATLLKNKVDILLNKDILSAMLPVQMTVRIDNVI AHFQIWRTVLPEKLSVARRDYFAQGAMKYSTNEWIKVGLLLDEIEMTLNRLVEVGSKL GRTEDLSLTQAVRFILEIIGRRRV NEUTE1DRAFT_115059 MYAILKHISRKLAMLSVKLAIIRKDEFMSLSTLVPLYIPHLKLD WDIAPYRRFESFKHRFSVAQFPRRAIQIPVSPISFLTPTYRPKQQERKILSNLDTSFG SARKQQHEGFADRGETAPTIVEVTFVHLNNRMNKCNALGLPWGESTPKQPDNMDLKLA PTYEKPVSAEYIPPFRRQNDRIIE NEUTE1DRAFT_126582 MMSAQLQQQQQKQQQDQQQKQQKQQNQPQHQLSQQQLHQSLPSR TGSGSVSANASIAPGAAGSQIPGAPATSNTQQPASFGQLRNRAAESQSPYVRVHAKTP VAWQLLNADTLKRAQAENKPIFMHIGFLADHHSFSNNAVAAFLNSSFIPVIIDRDERP DLDTIYQNYSEAVNATGGWPLNLFLTPDLYPIFGGTYWPGPGTEHSLAAARGGASGVV GGAATPEASSINGGGEESYNDFLAIAKKVHKFWVEQEERCRREAFEMLHKLQDFAQEG TFSGTPAEPVPVVAPVAAADVEAGADLDLDQLDEALDRIFKMFDPVDCGFGTPKFPNP ARLSFLLRLAQFPREVRDVVGDKEVENAASMARSTLRRIRDGGLRDHVGAGFMRFSVT SDWSMPHFEKMVGENALLLGVYLDAWLGRVQSSAAETRLSLEDEFANVVIDLADYLTS PLIQSSGGGFITSEAADSFYRKGDRHMREGAYYLWTRREFDDVVGPAGSAEVAAAYWN VLEDGNIPRDQDPHDEFINQNVLCSVWGKDIQALSKQFGIPVNDVKKMIAKARERLRA HREQERPRPARDEKVVVGVNGMVISALARTAAVVRDLDKTKSQKYLEAAQRAATFIKE NLWVQDGTQSRKVLKRFWFNQPSDTRAFADDYAFLIEGLLDLYEATLEVKWLVWAKEL QDVQSELFYDTPAVGSTPSLRHSYTGGFYSTEEATLSHTILRLKSGMDKSQPSTNAVS ASNLFRLGTILDEKPFIRQAIETINAFEAEILQYPWLFVSLLAGVVTLRLGVRETRVK VEQTASLRQYWKMPKAGANALLLGADTRE NEUTE1DRAFT_115061 MCFYVLAHWKVCPQPLPGIPYNQTASHRILGDIPDLVTSARLTK EGKEEGARRAMRELNRFEPEHWLVRKKVKRGEGQVTYRELEEKKEKEEEEEEEEEVLD SVELPVLAFSAGSRGCFVLVVPRIVEWDGRSAKGVAQPAAVLCQGGGYLKQRLRLLLV PILDAVTEISKDDMRLAWILDW NEUTE1DRAFT_52153 MGQGAGLLAPFAQSSSFFIGFPFTAIVVNIPQSLQAVYGYSPQK AGIALLPLLLLSPVATAVSGYLTSSRNIPPVYVILVGSVFQLIGVGLTCLLPILPIKG ADDAHVPASQYGFEAIMGIGFGSTLSTILTLAPLVVDSTDLPVMMGALTQVRVLGGTI SLAVCSTLLNNHVESRLPSLLDPSRVTEISESLSAIQNLPSEEQIAVRRIYAEGYNKQ NILLTAFSGIAFISCLLLWERPPRRPTSTPAEVASKS NEUTE1DRAFT_150588 MAPSHFDPHPPSQDSGGAPILEVCRTLAARGHVIEFATLEGRAD LVAAYPFASTAHLVSRAVTPSEDRQLYGKFSRWDSKTQRGRNETIECKKFYDDQTYLA GVHSMPSLKPKQDSLVLVNSFWGLEPAKDVPPLLQPVGPLLSDGYTPLNDTTHNFLNT KTSVVYVAFGTHVILTPEKVDRLMRGLCCALEQANQHPSWLILQYAPQRAVLDHPSTT LFVTHAGPSSANEALFHGVPMVSMPFHGGQIQHNLRPVAAGVAKGVDKAIFTPAQLAS TISAMMVDSDGEMHIIFSSERSASLV NEUTE1DRAFT_150589 MRFSSTVLIAGLAAASVDARSVIPVDKRATPTLYLAGDSTTAKT GGLLMGWGEYLPPLLNGITVVNKAVPGRSARSYTNEGRFTELANLVTAGDIVVIEFGH NDGGSPRSASDNGRSDCPGTGSEVCVSGKTGEKVYTFNHYVETAAKAIIAKGASVIFS SQTPNNLWEGGSYGGYGTPVRFVGYASLAAKNLAGTGKASFVDHYQAVANMYLKLGNA KTNSLYPSDHTHTSPEGADLVAKAFAQAVKLAWNGTTPLKGYLKAGNPNVF NEUTE1DRAFT_92339 MAVVQESPSSTSVTGNGRKAGNAIVPVPKCGAAQKEDETPHVSD RCEDGSLKSYFEVAFFDLPEAGSISSHLTTNANLVNQGISEKLGLAIQAIATFFAAFV VAFAVQWKLTLITICIVPVIVIVTGICMGIDAKQENEIMIINSGAAKLAEEVFASVKT AHAFWAFPKLSGKYAAILDEAKAVGARKSPNYAVLFSVEFFCVYAGYGLAFWQGIRMY KEGEVSEPGQIVTVIFAVLLAAQALTQIAPQSVVISKAAAAAHQMFQVIDRESKIDSL SEEGIKPAECRGDIELQDVVFAYPSRPNIKVLQNFSLTIPANKTTAIVGYSGSGKSSI LGLLERWGCPESGTITLDGRKIEEYNLQWLRTKVRLVQQGYQTQIGQRGSMLSGGQKQ RLAIARSVIANPQILLLDEATSALDPNAEQIVQKALNNVAVGRTMIVIAHRLSTIRNA DNIIVMSNGRLIEQGTHDQLVALGGTYSHLVRIQRLGQEPDNDDEPQKDNRAEIGGAA IANTTLNVVEAVPDASAMEEGAAKKDDINLLRCLFILMREQRVLWPAFCWVGICCLAG GATYPALAIIFSRIMDAFALEGDKMVERGNFFSLMFFIVALGNLVAYAVLGWFCNVIA QQMVRFYRYTIFDCVVRQDMTFFDMPGNSPGALVSHLSKEPESLHELLSMNMGVIAIV VVNLLSSCILAVVIGWKLGLTLVFGALPPLVFSGYLRIRLEIKLDDDTSDRFADSTGI ASEAIMAIRTISSLAMERQILDRYENNLRSIAATSVKSLTWTMVWFKPAHIVVRYGGR LVSFGEYTVTQFYTVFIAVIFSGEAAATFFTYTTSITKAQHAANFIFRLRDSVRPENK DDHPPDAEKRSNGAVSMDCQALEFSYPLRPSARVLKGVSISIPPGQFVALVGASGSGK SSLISVFERFYDPSSGTVFFDGEDYQKIHLGRYRANIALVQQEPVLYQGSIRENISMG VLDATVSDEQILEACRQANIDSFIASLPEGLATPCGSQGLQFSGGQRQRIAIVRALVR KPRLLLLDEATSALDTESERVVQAALDAAAKGEGGADGDGKEGNAKGRKRTTVAVAHR LSTIKGADKIFVFSYGRIAEAGTHEELLEMRGMYYEMCLGQSLDR NEUTE1DRAFT_106151 MDTEREASGEREAAEGNTAGLSPGAGLKRAIRCDKESPCSNCRT ANRSCSSSGVGQKPKEQRQRVLISAQYERKIDHFGTRLTGIEKMLRELVVISQSRSPS SESSLAGAGANNNNNNNASPSSEPPVAIISDIVGDPDRGEIPLDGDGDNDDEMDSAFE GNSSMTAQTVFASEFLENAVTQTSLSPSMESALSSLRQIVCMQNRKRTNQDSRFPNAK PMPRGGMHELPETFTLMCTFIAVDDFVDCCRRVYFATEDYSLATFIVVNAGLFYLFQE KATRADEAHQAEKLLEYRNLCRDNLETALMALPLFMPARKESIEALLLAASYAVEASR FTLAWQLNSSAVMICQTLGYHRLPTTISGASANDDVSNDTKSALFWFAYMLDKGLSLR FGRSSMIQDYDIAIPKRMGRTINVADFWKEMLNLWIEHAEVMGKAYEQLYSIAALSRP PEQRIESARQLVEAIKGIARENDELIRHARETGKLADSGKRDGFTVTLMLRSDEVTYW ASLCLIYRAIPAAPGFGSTFNPECIEAARRAVEVHLECMQIPGLSHFTKVGYLHWTIL YAPFIPFIVLFCHVIETSNMQDLDRMDHFVASLSTVCSISEAIDKFNRVCQVLCHVAR LYVETKAQQQQDQDMMLVGHDFDMYLSQLGFMPQQLLHDQQQQQPHATSCSNAAHQQR QHQHGGMAAPGAATVVTPSVFNADVPAGLIDATQTAQLGNWFSGHRHIMNLVEEDLSG FEPRIWTTMTGGP NEUTE1DRAFT_92343 MPPRHQTLPPAQTSSAREALQSFYCQLCQKGYSRMNDYEAHLSS YDHSHKQRLKDMKQMVRDPQAAARARRQEQKNEGVISIKLGEAAAGGAGAAGGGGGGF KKGGFKKSGFKSAFVPVGENKSATSATAAPAPGTSSDTAPDGQPGIKRALRSELVESD TEDEGYEVYDPRKPTD NEUTE1DRAFT_126586 MDPHGLDPRDHQLSHKASQETFISLVQLDPEPIQDLYQDDGPDF DPTRDASPSEDAAEGGSLANSIHSLKSSGSGGAAGGIGLNTMTPLGLSGSVTRIQRYS SYTFSLFASLHLATTSVIPLLARSVPASESYLLLAREIYQTPLSEPLLVALPIVAHVG AGLLARLLRRRENLRRYYGAGDEKTHMGLGITKPTARFLRSGWPRLSWIALSGYGFTA VVAAHAFMNRGLPLVVEGDSANIGLAYVAHGFVRHAWTSWAAYAALLGIGCGHMVWGW AKWFGVSQGAGWVLERHTGVPEVDRKARRKRRRRLIWINAVAAAATAVWAAGGLGVVA RGGETLGWVGKVYDGLYDKVPLL NEUTE1DRAFT_106153 MTNKETEATELKKKLAQLSEELTMWRRAGTLRCRSKPCQEVFMT EQRNSLAHIAAKLPAVISPLGEAVYTTSTNQTNSFDDTPSYHSLTGASLSPHTVQMTP SSQLEFQFQPNDSIATFSSSQLGPGIDNAGAFAPELHISSAEGYSPGESTPPPHYTLS PTDQRTTCSISMFTEPAWHPEESLGHQYQLPLAPVTTQVSEEASRALHFLRPGQITLS RRQSAPACSLPLMPGENPPFRLNGPPPPLPSHLTVAVAPPPPPPASLGYTEQQALSLT EEQPQMTFHRVGDKKKGRIQIAQSNIIQHNTCFTSGAETRSYGAAGGWSNMNYANTGF GM NEUTE1DRAFT_106154 MTPGRLVDDFKTPSLLSYTVAPATGTDLARFDSPLSRMLACAHH VWKLKYAIARPAPLMYNHSLVAGCRGDEAPDCHVLSNIASCNTLLAYLTLRLDKMSLA HQALKSVGWPTHHGHLFDSSMTQHRGYSTRRARWYGGWRCQGRSNLHDWRGRIAQAAS AGQDIVSSTELQYRDCMAAASYTNNGKALALTKT NEUTE1DRAFT_115070 MTTTSTAIIKNTYRNGDGHRIFRCCSARLTRKKQRPETTTASAR QSLCDHSRFFFVLCMHNPSHQGPLVSAYPDPQQGGDVSHLRSGGGKSKTSTAQPTRTE FLMGQVVFGPIEEQLTGKPWPPTRSPRLPGLVFAETEQFRYSHRLYTSTRVLVAFADA SSIVF NEUTE1DRAFT_73650 MHLSSLLLGAIAATAVTAHPGHDIRAEHAERQAILDLVGRSDYG RCADKLKARGVEARAIARREELAKKIMKKRNLEARSIYARGTHQSNATYTLQTPASEI FASTDFCFLSPEVTEGPYYVAGEYIREDITEDQAGVDLALDLQVYDVETCEPVPNVYL EIWHCNSTGVYSGVSANGNGNGDAANLNATFLRGLQQTDADGIAQFETLFPGHYTSRA THIHVMVHVDPTVFPNNTIRSTTASHVGQIYFDQDLITEVEAEPVYNTNTQSLTLNSD DMLLQQGSKVGDPIVNYVYLGSTVSEGLLGWIGFGINTTLSKDVTAAATFYESGGVAN SNGG NEUTE1DRAFT_55611 MSATKRSTTSTDVKSTMSTNWLGAYGNFITKNNHQVSQIESTLR SLTYIIPGRFRDAEIASESIHSGVQLLSLYHDTLLFRASSKLSQPSLANAPSPHKRYI RFWFLKSPLYRRVAYLLQIVNYVELLIEMAAKRRGERMRWRAVIIIEAIKAFCKLLLL RITKSRPLITPVLPEREPLPEAPTDEESAFQGDDSGYASASGSPQQSSPDGEWTMPRT GMSLPTLPSPGDISSYLLSRVLTADDIKPAAKLVNQLQGSAQVAEILHILSPLAFAVA MARSKNKRKAWAPWVLGLAIELVARQLRDRSLRTTPLEREEWSRRGWALGWWMMRGAF YENITKSMVEGVRRRMPSLIGGILEDYEYLWENYHFSTSP NEUTE1DRAFT_143032 MPWKHKTAETRQRVRENQRRSRARREELMRDLQRRLDEQEQLGV QATFEMQQAARQVAHENKRLRALLSQKGVSDAEVEEFLGRGDPDLIDNQLVQNRLLTR ASTASSTPHVSSVMALLNAEQPKTPARSCNLESSCENPPRRTEENSRLLESIGPPHED DTPTVLEDWPCSSETSPSHLPDPDVRYNSGMETSCDVAATILANMHGHADTSRIRVVL GCTGPSDCIVKNTRVFDLLDEAA NEUTE1DRAFT_55847 MAVGVLLGNFVDNVGPALQKGTFVGVSIPIAIGLLVMMYPILCK VRYESLHELFSHRDLWKQIGFSILVNWIVAPFMMLALSWAFLPDKEELRVGLILVGLG RCIAMVLIWTGLAGGDNEYCAILVAINSILQMVLFAPLAVFFIRVISGESGVRDVSYE VVATSVGVFLGIPLGAAILSRFTLRWLAGPVWFEKVFLRFAGPWSLIGLLYTILVLFA SQGHAVVHQIVSVLRVAAPLFVYFILIFSVTLYVTYRMGMGYALAATQSFTAASNNFE LAIAVAVATFGANSDQALAATVGPLIEVPVLIGLVYAVRWAGNRWAWKN NEUTE1DRAFT_54808 MSPLPEEFDIIVCGGGSCGCVVAGRLANLDHNLKVLLIEAGESN LNNPWIFRPGIFPRNMKLDSKTATFYESKPSKWLGGRGAIVPAAHVLGGGSSINFMMY TRASASDYDDFQAKGWTTKELLPLMKKHETYQRASHNRDIHGSGGPIKVSFGNYTYPI KDDFLRAAESQGVPVVDDLQDLKTAHGAEQWLKWINRDTGRRSDSAHAYIHATRAIHS NLYLACNTKVDKVIIENGRAVAVQTVPTKPMGNDRGVRVFRARKQIVVSSGTMSSPLI LQRSGIGNPEKLRQAGVKPIVDLPGVGLNFQDHYLTFSVYRAKPGTETFDDFARGDPE VQKRVFDEWNIRGTGPLATNGIEAGVKIRPTEKELKEFEKWPTPHFKSGWETYFKNKP DKPVMHYSLIAGWFGDHMLMPPGNFFTMFHFLEYPFSRGSTHIRSADPYEVPDFDAGF MNDERDMVPMVWGYIKSRETARRMDAYAGEVQNMHPFFNFDSPARAHDLDLEDTNKYA LPGNITAGIQHGSWTVPVPPADEKPNVLHVTSNRKAKRKELKYSNEDIKAVEDWVKRH VETTWHCLGTCSMAPREGNSIVKHGVLDERLNVHGVKGLKVADLSICPDNVGCNTYST ALLIGEKAAVLTAEDLGYSGEALQMKVPDYHAPGESRLSSRL NEUTE1DRAFT_119120 MANRQLARDMQVEFQARFNAKQARREAQKAAKQDNELKKQIQNL LKKGETAQAAQKARMLLAKQAIAQQMDQAADMAELSVAQIQANNAMNRMTFMMAQSSK TMSRAQRSVNPEKTLLTLEQYKQQNEEYAMSNGIYTDAMTQSTSVQVSDDAVHELLGK LADDAGLELGQELNKASASKVDPNAAAQQAVSQTAEPTPEEEDALQQRLRALRA NEUTE1DRAFT_92358 MSIRKLNVQTFPRPPLVERAPRHIQIKWHGQLIADVPPGEAYWV LETHHPPTYYIPPSLVRLPLATTPRSTFCEWKGTATYYSIMSPISAAETISNRIWAYN EPAKGYEAIKGYLAFYASPWECYVDGERAQPQPGDFYGGWVTSDVEGVVKGQWGTWDP VL NEUTE1DRAFT_73663 MDSWAAHSPSPANLKFENPAESLLATPGEIFPEVFGSDRTTSAT PSLDVMSPVSLADDVDLTALATLTVPQIPPRSTPASTPAPETEKKPVKKRKSWGQVLP EPKTNLPPRKRAKTEDEKEQRRVERVLRNRRAAQSSRERKRLEVEGLERRNKELETLL MQAQQINQTLLQALRENGVAPTIATRPASFDGLNPTPVTFSQELFSSQDGHNLSKHDS SLEQLFPTIKTEETVNPASLSPVLNPIPEMEEEDVEKEQSTAAQPVADITSTATVDTS PDATQHPAVVLCDDLQCRSAEVPRSKCLAVSQQSQPPSLDPLSLLLLSASAISWMISF SQRPLMLIATSMKRNFSLPPAPAILMSIVWLVTTPKPSTSRQTPRTSSTNSSTPTSQM PQTATSSSRSNNPDSAQPRTRQSTTLRIRTLRKILTSSPILARPLMDATMEVLRWVVS EGRTVPQVLGEDGSAAATANGKEQRAQPRTGLLAFWPKGAMLPSKEVLPTLMWVLRVE ERKMRVRAELRGSSSKLCGSTGVPSLTIHTPTTTDNNKLQQQYKLKVIPKRKLKAGPC GFLKTHLDTERRRMS NEUTE1DRAFT_73666 MAYDLNEAMAKLHFDDDAENGDGTPSFDPIDDILFERIPPSELR HGEATDPEAHRVKLEKKYLTPSTTFSTEWLNRLQQRWDTPVDHSLLFRIAPTQTRTVT RFQRHGLEGRVTGYRNVTVPASHATAKTSTSMMRKPASKSEFVRGGAGFFPFAPGGLD GIESASALEDQLRKDAASDEGESKKKLERVITLAEGGFLEVAPGLSRGIDMSKRKKTA DAESEKQAKEVEDVLGQEPEDAPDGEGERDEVNGVKREESEDSQDDLEDIDAILPVEF PALEPRGTLTASSARKAGREWAHMVDIRREIPNFRELVPDMAREWPFELDTFQKEAVY HLENGDSVFVAAHTSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFRQTFD EVGILTGDVQINPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDYERGVV WEEVIIMLPEHVSLILLSATVPNTYEFASWVGRTKQKDIYVISTPKRPVPLEHYLWAG KKIHKIVDSEKKFIETGWKEANLAIQGKDKPPKAIEASTGPARGGGNQRGRGGAQRGA NQPRGGARGGGQQRGRGGPPRASHTPGHMGRTGRPGGFTSAAQDKNLWVHLVQFLKKD NLLPACIFVFSKKRCEENADALSNQDFCTAQEKSHIHMIIERSIARLKPEDRVLPQII RLRELLSRGIAVHHGGLLPIVKEMVEILFAETLVKVLFATETFAMGLNLPTRTVVFSG YRKHDGHQFRNLLPGEYTQMAGRAGRRGLDTVGSVIIVPPGGDEAPPVTDLRQMILGE PSKLRSQFRLTYNMILNLLRVEALKIEEMIKRSFSEHATQQLLPEHEKAVKLSEADLA KIKRDSCPICDVHMDECHQAGEDFKVATEELYRALLAIPVGRKLFTPGRLIVYMKEGV RTPGILLAEGPSKAGTAANPTLHVLEIKTGRDLRNDTDLLPFTPTLAKWFTPLPAHKK NIYTKTVHIPLSDVVCLTKHITKNIVPELFEGGDGYTKAKEALHQICKSWSAPIWDEM DLSRIKSLSIHEIITRRRDAEVKCTKSPAVDCDLFLKHYAMCHDQWLIKTKIDELRQS LSNQNLQLLPDYEQRIQVLKDLSFIDDASRIQLKGKVACEIHSGDELVLTELILENVL ADYEPAEIAALLSAFVFQEKTDMVPNLTSNLERGQKTIIELSEKVNAVQTLHQVILSS DEGSDFASKPRFGLMEVVYEWARGMSFKNITNLTDVLEGTIVRTISRLDETCREVKNA ARIIGDPELYQKMATTQELIKRDITAVASLYM NEUTE1DRAFT_73667 MPAQYNDNNTSAFVNEFLAGWDDDDPFRSPSPETARTNKTNNKK RKEPDTLGIDKEIDVTKKARVPRVKLDDARLLSDKGIPKLRKTSSKLKLKGKGHEFSD AARLLSFYQEWLDDLFPKATFVDALAMCEKAGHKTTLRNARLKWIAEGKPRSTAVEEE EDGDREGQPSAPTEPTRMASIFEKATGARSKTPTIDDNSLGDDDIYNATPRRNQPTAA PGDIPDDDELDALMAEAESGAAPGASKATNNGAASDSIFGGGAINRRPRPSEVPDDDD LDALMAEAESYRPSTTNRGSIFGNGSAFGGGKDKPAMAAPPQEDDDGLDALMAEAEMH ASLATKTTGKESTSREQTSEQDGGKDAQNDDEDDLDAWMAEAEAYTKTASNQVAQPKD TDDDWEAEAAMAEMHGF NEUTE1DRAFT_119124 MSSTSSPTYTISKTLNTNYPLIDNDPHFRRVIGYARPSDYVHGT VAGAAGPGLLYLMEKMAPSGVGKGGFPKAMRLATAVGFFGGFLYFYQRSILRFYGMSE NAREVQMDMREMVDKVKAGQPLYGVSTLPVDVQGMAARQSRYSALFFAVLPWFNFVNH NQHGVDTAKYYQQAERELEAERLGKGSSS NEUTE1DRAFT_73672 MGSATINLPTIHLDTTLTDLYKLADPSTAHLRLGFVLCGVNSAI ALTPSFPRNKKYLYQDSPFNNIPPAELKDAAASLTITELQRSIALKYLSLIPQRDAFI TGGPHTPTIFFNVSPGSALQEAHDRHEAEATIAVLSPEQRPKLVFCSGPAEIPVKELG IDRLAVKVMLDDLERKGYPLVVNQETQWFLNSKAGLAKSGLPTPRAEVVDVEGLVPPL AGGECCEVCRAEDDVVGKGGMRFVPAGCKGARGRWVGEQMKRIVEKVDARQVPFVFKT QQTFGGAGTWVVSDEEKKRELVKKLMDDETGVLRKLLSQVTAKNQQMKPGSVVLSEMV RDPVGDYGVTFLVRKGGEATFLAASEQMINEDNAWIGSTINYARQERLKEKFEGIMRQ TAEWVGKHGYIGPVGIDVLETKDIPKDELVQGNGISSADGEKTAQYIVDLNVRTSGSL ALPLLKGHFTSRGMTCASSFSTTLRGSRKQFMETWREEFETGRMLIMAWYEDNTGNEE KEVESIGDVVVGGKDEKDLQDLMKRVRESTEEVTF NEUTE1DRAFT_143042 MVFEWVMDSFSPMVVMGLFAVFRMPLGLLQLPTLQFDAPHNTTS NPTVGALTPEYNAVIALRKRD NEUTE1DRAFT_119125 MSTGTKSASAASHAELNRNSLFNLAGRVALVTGGGTGIGLMATQ ALAVNGAKVYIAGRNKEKLDKVVEIYNKDVEGEIIALQADVTKKEDIAALVKEIESRE KCLCILVNNAGISSSSVTTEASDPKELKHNLFDNENATFDDWTETYRTNVASIYFMTS AFLPLLQASTQRHPGWSGTVVNISSISGQVKSAQHHFNYNASKAAAVHLNRMLAAEIA NAGLKIRINSIAPGVFPSEMTAEESDEFQKSHIPKEKYEDKFPSARPGRDIDMAQAVL ALVSNQFINGETLAVDGGYKLAAGL NEUTE1DRAFT_150605 MSGKNDKVLQPALFPMDYTMMPMSSTSTSSKPKRPFDISNLMSP PGPAPYETFNLRDAAMPPSKPMSAASRQLGPNPPISPPISPYTRARNSITTDPRLSIS DNDPILYPAPESAASPPSEPLFVPSVPSAEPLDDLVNNHIASRPQSIVRATSPPKPEE YELVLFFKSECLKMWQKDPRDWLRKERQYLRADKKNHTKARPIVNKLPTILPAAQPSA PAPRPQVARTSSIGRVQKPRVTKAPAQNPRPIRATPTHSRQTARVSATPEPRVRTVAP NREDKDFDALPDYTPSILTLPNTPGCLKVDWKGNALDLSKDPNRALLHDEELSLAAAL RLDCATYLTSKRRIFMRRIECAKIGKEFRKTDAQQACKIDVNKASKLWTAYERVGWLD PKHIERFLYN NEUTE1DRAFT_17854 MAYGPGRGNPVKEEGDSKGQRMTLSQSNSASIGKARQQQKKKKK TLELGKHSEQAHESPREKERTWIHKFQLTGTKKALPLRQINIMLSLSCPGCDGEGHRP SLSLGPTVHLAGLGTLAAYTPAWQEVPTQFDMELLVHL NEUTE1DRAFT_106170 MPLCRRPSGLSAEKNSIGIYRLSERGTYWYLPTLYLTATSISRS FKVILDNAADSGLWTMEAKLLVNPMLALRSGAGGDKVNR NEUTE1DRAFT_92379 MASKRTQPLVAYDDESDYHDESDHDNTEFLPHAKRRRLNPHSVD DDIALPARRPTRVQQPDSNPTRNSISRDLLSSLSDELLIRILSFLPLQHLLAVAPVSR RFYQLAGDSQLWKALYYARFVLPRAMRIPGFRDTAASGGGTGHKLHYSGRRTLWADGR RGGLVQAKKEEGIKTGSKRRRDEQSTFDNSGLGVEVDGRSQERKWEPVNWKRQYKIRY NWSRGKCAVEELRIGGTADTTQEGNHQQQERKVLVKVVEGIAITADKVSGLRTWDLKS RHLLAQVSLCEDGLDSTPSCIAIDDYELDHGMLDVGVGFLDGSFGVWRFFSGENRLVR RYKHEKSSNGELVAMALSYPYLLTATAAVLVSLYTFEVPQQPPADQSAQNSGAGGEAR TMTEAGGPMEEKSGASEHDTDTLPAPYLLTSLNSYSSRPPLALSIRKMALTTVASIAY TFPTRRGWSIGLQDMHIRAPSTGVKSAPDITTTKTAYTMPLDTGSGLQETPTTPLHEI VARSREPDSPSSRTPPVTVGPTTLCYTHPYLLATLPDNTLVLHMCTSTASSLSISRGI RLWGHTSGISNAEITARGKAVSVSSRGEEMRVWELEGRSSGIGSRSIEIKPGPAASSD NKSGSAPPSGGGLALDNKEDLEYDWDERRNWVGFDDEVVIVLKEKRGGGESLMVYDFT NEUTE1DRAFT_53848 MVHARRTSLAPLLSGATALLLATATAQGNNVPAQAQVINQKSFN VLGDVPPPTVANYSTLFIPPGTTKDSLFEKPFHIYDEEFLDIIGANPTLTLLKSSGTN PMFHEAAVWVPSTDDVFFVQNAGDPAAGTGLNRSAIIQRISLSQVTADVSAERNATGK VDVHLAVATPAIINPNGATNYRNRILFAGEGQGSRVAPALYVMSPNAPYNATVILDNY FGRQFNSINDVAINPRTGDIYFTDTTYGYVQDFRPETVIQKQVWRFNETSGAVAVAAD GFNMPNGITFSPDGQHAYVTDTGVIQGFFGRNSSFPSSIYRYDVEEDGTFSNRKTFAF IATGVPDGVHVDTKGNLYAGCGDGVHVYNPSGKLLGKIWIGSTVANFQFAGKGRMVIL AETKLYYATLKAEGAFPGQLY NEUTE1DRAFT_92381 MKSSLLVVLTAGLAARDAIAHAIFQQLWVDGVDYGSTCNRLPTS NSPVTNVGSRDLVCNAGTRGVSGKCPVKAGGTVTVEMHQQPGDRSCNSEAIGGAHWGP VQIYLSKVSDASTADGSSGGWFKIFSDAWSKNSGGRVGDDDNWGTRDLNACCGRMDVP IPKDLPSGDYLLRAEALALHTAGQSGGAQFYMSCYQITVSGGGSANYATVKFPGAYRA SDPGIQINIHAVVSNYVAPGPAVVAGGVTKQAGSGCTGCESTCKVGSSPSAVAPGGNP VSGGSDGNAPEVGEPSGGEGSPSAPGACAVAAYGQCGGDGYSGCTQCASGYTCKAVSP PYYSQCAPTS NEUTE1DRAFT_53462 MAQGNNNKAHATSKDIKALVHHDTGLDEAIHFERSLTFLQACTL YPSAILWSAFVSMGVIMLAFDPQLLGNLYAMPQFRKDFGYLYKNDCIISAPWQTGLSM GNPVGQVVGALIAGYPMERFGRKWTFGTCVALTACLIFIQFFARSLQVLLVGELLGGL VLGCYAVIAPAYSSEVCPMALRGVLTSYVNLCFVIGQLLGNAVCAGTSKLSNHWAYSI PFALQWFWCLVILLGLAWVPESPWWLVRRGKLDEAEMVLRRLASDKVDVGKTLTVIVE TDRLEQELEMGSTYWDCFRGVNLRRTEISMGVYCTQVLSGIYLINYGTYFFQLAGLDN DEAFDMGIGFLAVGFLGTIISWPLLIRYGRRKIYNTGLLVLVVLQIIIGILDCIPGRP SGVIWTESSLMLVWNFFYDISVGPVCFVIISEASATRVRSKSIALATAAQGALGCVMT VAIPYMINPDQANMQGKLGFFFGGLTAMCLVWSFLRVPETSGRTYEELDILFERKVGA RQFEKYVIESEGVD NEUTE1DRAFT_50929 MLSTYEEAECPGDTVAFHTDRLQRKYQAQLPGSSEGFYDLRIDY TPRPHAYRTLRIRAGLPPRTLRFGVKPSTEFELAYCCTITFTPSRAILDLSRPSSEAS WDSESEYESDPPVDQLSSCSSGGVPHEIETENTIIDSRPSSPTNFESLEVSSSVSISD RKGKDRKTGRDCYEIAELHADMEYGGNRLMDLILRYFLDVISLHEAQRPELGDSGWGR EREPTVVVMAKNDDADKKFYASHGFVESDEALEVSDRAIESQVLRMVLKEGVIRALVN KRNEEQMDDEDVWTRRRREYEQIWIQAGDRRRDERREEILKESLCLSEYISGDECLET SVGVLCSVQDTPGDGAKRAKSLPLRLHPMTHIKTSEEQGGRRKRAKSVAVSVTSSIGR KERA NEUTE1DRAFT_106176 MLLDVKASQRLDSRGNPTIQVEVRTAHGTFRALVPSGASTGAHE AVELRDHDKRKYGGKGVLTAVNNVNEIIGPALVKEGYSSRRDLKQIDGFMRELDGTPN KAKLGANAILGVSMACARAGAAAANIPLYEFLRREGGEEAPYMVPVPFFNVLNGGRHS GNGMAFQELMIAPTGAKTIEEGIQMASETYCALKQIISDKFGAIYTGVGDEGGFAPPI TQPEEALDLLTEAVAKAGYTDKIKFGIDAASSEFFNPENGTYDLGFKRIKEGESHSDD VRTPAEMMELYKSLIEKYPIPLLEDPFAEDDWESWVKFCKDFYFEELVGDDLLVTNVE RVRMAESRVACNAMLLKINQIGTVTEAIDAAKLAKSLGWGVFVSHRSGETTDDFIADL SVGLCTGHIKSGAPCRGERVAKYNRLLDIEAELKESWKPWSYAGEKFRKPEAFFI NEUTE1DRAFT_92386 MDIRTTTMDQPMAFTSYTTVPYSTGQLQTCPESLTDFSPVALYE DFNSTDFNSDSAGSPVSPISPVPSATSFGLFSPYGDDLFRWGKFEREHFEHSPESEDC FKTEPYDGSLISTLPPRPLTASPSINPVELSLEVPTTTQDLNFNFEGTNTNSPLFQSQ VMGVTPPSQRSTPAAVIEPQPQQQQPIELAEDVKRYPSRNNLKRKSSCSSSSDEEECR PAKRVSLSPPPNSSHSPPTKKEKSTSPPSMTAPKKTAHNMIEKRYRTNLNDKIAALRD SVPSLRIAAMRMESGNYDDEYEGEEGDLSGLIPAPKLNKATILSKATEYISQLERRNH GLETENSALRGRMEGLEMLLISRGGGPTGTFVWNQ NEUTE1DRAFT_106178 MGFGYAEHAWEGYFCRATRSRSCSQSLPCSVMGIVVVAVDRAPE SWVKRIRTKVDERCQKVPWPIGEQPLKAAARASIAEKHLHVDSIECLAKSLQSVCRGF GPGEGGNSTSIGLVLRYCPSPFHCIGTHKPSRKIIVNRALGPNSPDISSSPPPCPPWV TGSKSRFGEQRDAIGTMNDDC NEUTE1DRAFT_115093 MFWNLLFAVIVTLLRSVSAAESVAVHDAETGLTYSQNFALYKLD GRGITFRIAIPSNVSSNSAYDAVVQVIIPNDVGWAGLAWGGSMTKNPLMVFWRGSNNQ PVLSSRWASHTPPQPYTTATYTLFKTGTKSNSTHWQFTALCMGCTSWAADGGAVRYVQ PNGGNRLAFAYSPTKPSNPSSPTSAITVHDVHAYWNHDFSTARNAGFEAAVQRLLGGQ GVRA NEUTE1DRAFT_106180 MVVPPPPPPQALPNNPSARLHNHQSLPGNGELEIESGVRTPSQY LSSPPSPFPSLPPGHIRHPTTYPYLPNNRDYQPTTSQRGKRIVSFFPCLYDNDQYLCL CLPPVFTKAMVNLASGIKVVVKIVFETLRTGMDWVPPIPPESESDSDFDIERNLDRFS GRGKRSGVRKWKGPPPPIFVPTGVLPLFRSDHPSQEVDTERWSRRGRNEVPASLRRLG DGVGAIVDGERYVKRRNEKNDHDAAHVNGNELSTKMKWKGKGKAQAVDVARISTEVLA SGSGDPGKLRRGSYVPTRPDRRGTRWVPRGVHGVWDEDYIGQS NEUTE1DRAFT_115094 MRSRKPTINALPSDRSLNNQLRENDYRGTTLLSLSQNIKRDGSP NFTPMSRRFAYSGFTFPSRDRDWDGYQSSPDPYYRNTRAESPYSPTRRTVGYRQSSSA FYSQQPRRPADGTHGQSSFIDSPTRPRGSRRTLDDSDYDADYSDQWSGSAARGPARFG GYGFNATLRGSNLHGRSRVQTPPAPFTYDNSEARRSESGSDYSGDVIYARHGRSPIRR GYSPSPIRTQGSFWSSPGRDRGWSRSARVFRPPSPVRRSWISSPPPRQQNNSSGRRSY GYDDSLGSRRSGTWRGSSPSPPRSNTGRNQGGIRFTSYASDDIPIRSPRSPDSRAGSG WSEYFQSAESSPYRGYW NEUTE1DRAFT_53577 MNGNNQLRHEFSSRGQLVLRNNHSEMQKYDAGQVHRRSRQDLHE HERNQQRPSQSQTSSNSTAPPEMEWVIYGSGDYDDHSPCNMEDEDGSSYEYPHDIESM NDERKTSGDVDRKNGSYGPAAARPCGDAASVANTELLIAMPDELSSCDIAKYDEKQRQ RFTKNNKPAASPSSPSEEPISPTNSTHSGQFETLHGTPWKSRTHGPGTEVRVPYRILR GATYQVDDRYDRVDHWRPRRERPLGSIPGPFVEPRYE NEUTE1DRAFT_106182 MLRRARMPHSSVPGWQERTRPWTEEPDLYRSREAQRPQGRSPER YRPLRSRPLETNFDRYGSSGFDERRRQLSPSPAPISRAYRRPGSRAATNDDVRSRGYY RGDKEMDRRMEREIEREREREIERARERERRQIEASRERKRREKDYERGRRGGSSGGG DGGGRRRSRASRLWDGLEKLFDW NEUTE1DRAFT_115097 MIPGQDVSLSTHLPDLYETPQPAGGGGPGHGGDSRARSFPADEY LGYSSDDDDDGGDFYRRSSGRAPGRAPSGGSSRGYNYDGYDDGYEGDSDRGYSQGGDD RHHGHGGPSSSSTHRDEQRYYAPGGSSSSGRHRPHDRDYGHDSGPSPPSRHRDDDMRR GDPRAPMERGISGHESRREIPFRYVSPFDLGFAGGSRERGGGSGRSHQDSFGEDWNIP SHSRHGRSSGRDDGWFSDGESDWSGGFW NEUTE1DRAFT_115098 MLQVLSMPWLSQRIKGAIDRTIAEEQARARAALEGGAPGSSHVR NSSSLSRTSSAAGTQASRRPRPSNNLSQDMSADGTVTTSDPAVFEAAFVIDDTDESNT PTRIGTPVSTDKEGKGKDTEKPASNTEPVKEGTDPLQNGDRSSVNKFTAGQTVRSGTT TPSTTAELSPEIRVRLRKLDKLEKTYPELLRSYRIAHGRATSIEPFEKALRENTPLTS IKDPEALIEYLNQLNLKGDMVMDELKRVSGEKDSFKKKAEEADKEAAALREEIAALKA AQAEAAAAKDAKDAETSAEKTPDEKTDDKQEAPEVKSDENKEIQELQTALKTKTAEVE KLQNEVKTLKEELVTAKDHSAGLAESLERASSELSEARDAAAVKASIETQLEARKAEI ESLTERLTKTQSQLKEVETELQKEKEEGSAGLKEKAAKLAVSESKAEELQSELTKVTE AKSTLDAKIEGLTSEIETLKKAKAEDEAKIDVLEKKIKSTPTLTTAPAAAVTTAPPTP STPTQPASLNKKKNNKKKKKGGASVTPAATAPEPTATEDQPPMSPAEGPATAELTAEL QAEQARLKEELARLQEELADKDQRIERLAKQRKTEEDLREEIENLQDSLKEIGFEHVE TKQRLKELEQEKKELKARIDELEKEVEAAASAAQTNTKLQSEHESLRQEFDDLKQKSQ TLQSDLAAAQQLAQSRYKDLTDLREVLQKAQPELKSLRQEAATLKTVREELAARNADL RNLEKREKDLKADLVRAQRLAADRDGEIKALHDKVGQETNARLKLEDEKRVLGRDLRR SEAEKIEIAARSGSRGSVDSARSNSIASANATPDAMYLKTILLQFLEQKDNRLRAQLV PVLGKLLNFDKTDEQKWLTAVQHITIK NEUTE1DRAFT_92393 MVSLWPWKKEDSSPASFEKALSALSGRITVTQTRLDNVRSTARR VKGLATLYLGFAYLVYAIVALLVIGWKNMGPQEWTGMAGGPVIIYLVRTLSTMFFDYR INGLNAKLEYLQTERAKTIQKLKDATRYDSTLELLEKYGGPENKIRRRASKKGGDDDN DEDGAQRSKKSQGRHANRIHIAPPPTANIQRPGAVGPNMPQPGAPPRGQQLAPSPMPP HGPELSAEFAPNAFETNPRASPAPPHAQYPLAAAAMAAPTESHWYDRILDTLIGEDET AAKNRIVLICKKCRLVNGQAPPGTKSLSEIGKWKCMSCGAVNGEMDEGKRIVREVIGG GRSEESLVHSQSGDDATSLGSFGEEFADEELVDRSEAAESDKVQERPEKRLKTEGSK NEUTE1DRAFT_126604 MTPFPQDVTNPYSLSTFTLPLRPRPNGGSSSSGGCSGGDRHVES RHQEQQVVQTTTTTTTTTSRTTATGPRHGNMDKDRDRDILLNPVSASAVMGPRSPIHN CSSSSCSDFSTVASKTATRAGASRHSKPASSISISEVSSESGGTGGGASPNLKKYLRT RRGAISGPSCPGPAPGPGPLSLALTSASPAPCSRSSWRSSSSRPSRSRAYGGERLLLP APSMGSGSFASGSDSGLGSEMSGGTTGSRAGGRTGSTTGATTNRLNQARLFPFSPRGW PDHNRNGSQIITDPAGFPDSSSVVSNESSSSINTVRLLDLHTSPPPPPSASLRISASR LSHNPRIRTGEITPGDSISQIGSSASASASASSSTLRRNGGQRSSLSLPIRSLPLRGV RHVSASEIELSPRIRLEEEDRWILPSSPPGRFGPPPLSAFGSGSSRDSSPRDYRKVVV GSSKCSST NEUTE1DRAFT_126605 MDRYAETTVTTEYDSVDSDVSYLTNDLEYHAHDRLGSHRRRPDV ARSGRKAFKEPSPHRRRSPRSKLRGRMHCGCGAEAVVELSVDDTLDSENDYGMRFNHE DKHSRSYACHHTRQASMTTERPRRSRSREAEPQVNKKGRAPKRTVDPYIEEYPDEPSQ PVTPVRDYKARDRRFSTTDSKRSSRDMEKPSSTISARSRARTITDSTRLSREMEDPVV PVSTSHHRGPSISEIRRLTRDMEGRPRSNSRHPQRGTSRSDTRRISRELEDRGGSTVS SRSRGGSLISDTTRFSRDIEDRVSSVSSRYQTGTPVTEKRRPEGGSSVSSRRSRQTAM STAMPQDHPRRYSELAEPRRFVDHLSDSEQESTMSKRTESTIGRSRYESSARDVPKSM ARRGSTRGGQRPSLQYSSTWPMRAPALHPDGDQSSHGRNYDSTEDSDYDFINDPRFDP VTHRIIRPSPPRAPSPPRAPTPPNLSSDVEPEVDEYPRSRKSREPKESRGPRVKTVLS KASLNALNNEARTSTATDLCEVWRARPEDWESPYSSLHLGSAGDVKPESNNEPMMMLE LENVEGEKPSMALELASKFPLAKEAETAGREPDYSRGSSPVYGSQEPRPMADTINADL MALNLSPTTPTHSGPPSRPPSRWSTTGAYEFPLTPPSRSMSPLFHTWRNRDRLPSKLD ENVTSDYEGWGNSGEPRSPRMHQILVSQKLQYLINPFLSPFFPRNVSHRLISSPSTAA LLLSPTRKHNSPLLPWLPHP NEUTE1DRAFT_92398 MGKVGDWVDTVNCKVAASKVGYWFKLDGSGHPKERKGSYFFTEM RAGLATFFAMSYIIAVNSSVVSDTGGTCVCTADPQVDRWCINDPTYAICKAEVKRDLI TATAAIAAFATFFMGLLANLPIGLAPGMGLNAYFAYTVVGFHGQGLVPYQVAVTAIFV EGWVFFGLALLGMRQWLARVIPRSIKLATSVGIGFFLTLIGMTYSEGIGLVVGDTSVP LDLAGCHPSSRDSVTGACPDSDKMRNPTMWIGIFCGGVLTTVLMMYRVKGAIIAGIIL ISIISWPRTTEVTYFPYTAVGDDAFDFFKKVVDFHQIKHVLNVQQWDISGHGGQFGLA LITFLYVDILDTTGTMYAMARYASLVDEETGDFEGSTIAYMVDSVSIAIGAILGTPPV TAFVESGAGIGEGGKTGLTAMATGVCFFIAIFFAPIFASIPPWATGCVLILVGSMMVR AVTDINWKYMGDAIPAFVCIALMAFTYSIANGLIGGICLYMLLNVLVWIIEKVSGGRI VPQNKEFKDPWVPDFGSIIPMWMVNVAKGRAPWKMDEPVRSIEGTTGPEPVLVGEKGE KGDSSAGSRPDSATEVPAELVNEKGAHH NEUTE1DRAFT_150616 MQSVQRQFTKLRSKGPGNNAAVSVLLKEYEDADQLLARLLENSR AWKDAWASIVHHQLQFVVELEGLYDPIVGASDGHGRESVPTPRLQLERTYRLKQAFGE LKSELAEEIGTIEDRVIRPAVEARDCIAPIRKTIKKRENKRLDYERAQDKVSKLHKKH TRTPKEEASLAKAEVEMAQAGDEFAIADEHLQSTLPPIINASFSLVPSLVAALVLIQN RLLGLYYTTIHGYCMESGFPSPPPPMSDVVAAWEAAFVPARDDFESVSIVARGKAAHS PMALQAPEGPSRRISAIIPGRNDMRRVTSPMPSTSGAASTYSSGGSQPGRNNLRIPSA GGYPSRAPSPAASDRSSYASSPPASSYSGYSGSQNQQRRLDYLAPTDFTAATALGDRG SVDRGMIENNRPFPSSPSTTTPSINRAHSPQATRNAGSANGNGNGNGNDYFALAVAKK RPPPPPPPKRITSQQQLVEYVVAQYTFAGQGAGDLAFNEGDKIKIIKKTNTDQDWWVG ELNGRRGNFPANYCKPV NEUTE1DRAFT_92403 MASVVSSGRSDAGASETSSTAEVLEFLCLFTHDLKRKQKRWQDG RLKYHTFNRRVMVYDDRGNYVGDMHWRRDWDFDEGEEIELERGGVIVQVAECVARQQQ DLSELIDKRAKEKEQRQALIASRPARPTPAHTPLRVTPRNTAQQDHFQLRHRPLNQLL GTPTGHHGRALVPDESPFEQRHDVGGSNDGTDSSRPSKRRRYEDTPPSKMGYAQSLFG ASLTLSGAPASSAPLRRPVVAKVQTRREPSPPQEAGPRQQDESDAPTRTTHTMRPNRM TALRLDNMSRPRPAPAAPPEEGLFVSQEDHSDPVCETSNRDDQRHHTEDSNTRPYGRS SFVTGPTTSEAAYSSKSLQITKGKGTLTSSIGKGSELLNNNKNATSVRPRLTYSKKDK QTIILDGDDDDDGGGGDENEDGRKQRSDASPPPRSVIGGSSKRAAPVAGEIHDNKRKK TTSVKKSVTDKGARQKPQNEPDPVTIQDEPMAELKIKSRQKRGLLVLSEKPKRAQRPT KSPSNQVAVEPMETRTTNDKSAVYQQSVPDDSDDDPFACPVPVIDTILPRKNKSRDSG RPGLLSESHRDRPVAKQDQGEPAAVAAANSQASPAKNRNQQEGQTLVSDSDYTLRSHH SHAAQRKENAQPKEVKAKKSLEPPEPKGKAHIEVDSGKEDEEISRYPRRRRTTRIPTE PVSSDESKPDEPESAAESADEELPQVPVGPRLAKLARKSIKSREVIGFVPSSSPVINI HKHAEPVPGHIPGESRLVAEESSTLHSSNTKDDITPPSPKVTVAEDHTGRTEKPELML PLSGLKDVVDPASLQQPNGVSEKTSLVVTSSDSNNATPGDGPSALFTDKQAPGNVLTG HTSKVANKTSGNVPADNAASATVRKHKLQMAQKIKEPPLPMPDQAGCDDGSETKEFRA KGETAMSPSHESRVKDKRQTDIAVSVPVPLDGSVKTKSPVDNSSTSVATARIVNPATR GRKAALKSHAAGQVPQSLLPAEPAPARVVPRNRELSRHETTGSGAEERPKRKMTFPGF TSARAGGPWSREAHDLLETGRPV NEUTE1DRAFT_73706 MSRKNSNVQGTSERPCSSANGEDRTASYWPSVNTRLGWRKCRTR KVKCTHFDDTELEANYQASKQTQHEPFVNEKIGKASETDCWALHRLSDPTLPDLTLMY PTLTDSTLTVTTAAAMPAP NEUTE1DRAFT_92405 MAGLSRTNSANAFMEGFSVCQANLGEALTWLPAMGTPELDDMIN AFIPGPASIKDKRAHISMDFLSYSQRTGFNFKFYPVPSTSFTSASIASSASSSQFVDS GYASSFNVSPVISDSSAWTQSPASFTSPAAFDDATRIAKARSSTSTTKKSTSPSSRHQ VVDFANHPGMRIMTKDGRDVTNSASRGCKTKEQRDHAHLMRIIKACDACRKRKVRCDP SHKKRAASHTSPAATESKPTKKQRKETAEAPPPAPVVVETTPELLDVNHLENFDAATF VMPSVEGIETVDDNFWNRYFNFDQDVFPDFTPGTFDQFTPSQELFYQSTSSSSAASPS QVFTPETPAHTRPSPAIAIEPVVATAAPALPYLNPGVPHGTEFVDFNLYSPPADFSLD EELLGLGQQQSHAPFDFGYADQQYFGDASVVAHRTESRSSPSSPSVSGVIPSHQRRPL EVYGGLPGSEDLPTLSNVSPAVQTPGQLTVQQQQEQQRYRQLASGNESRVIPQSSDQQ SVTIGSGTPPSPASPASNVTSDTLTALNARHAPHNIDRSVMNVGRTDPHRRSPIALQF VNAGESVNAGATTQHASSVEQQIRAATVETSARLKSPVKYNSSVPQRQKQGFAGLPVD LSTTSRDVSSGICHSSASNSTRLGTTTTASSQSSTTTTILPGRRESGDGEGFAKTGAK LSSYTTFASSSSQSSFFPLVVLGLVSCLVATALRTCCSHINDNWGGHFDPLNTLFIAS MSLFSLWLQSSFRWPVDPDTHTTSHASVQGLFGSSVSRQAKALVASNSPFVRMVSRSR SIMI NEUTE1DRAFT_92406 MAYTFLDAVAATGQRISAFFQTLTIFLLDHTLRPAFSLFSSNFN IPLLEGPPPQQTAAGEEQQEHPRPELGQFQQQQQQPSHQLDIQDQDSVTATPATTSQS HFKNQSEDASATNLNALPQASFLSLTPEQANSSKLPDTEPDMARTSSATATLAPEQQP LKKIPVPNILPALPLVAPAKENAAPVKAGSTVAASADSENNLTGDLERLSIATDAAAV AATGVVGSGNRVLATQQPLDNTTQDNNTTPAAAADGANDAEPRQHLKTNVFHGHGPST SVGWNIVDSLPRAPVVRSPAVPINHELSQTSQLKEGFTDSAAQLEAELETPEEAAERA MHAGFMREALDMARLALKTNETPVGCVLVYKDRVIARGMNATNVSRNGTRHAELMAIC ALLSYSGDADLEPKNAQPQCNHDEPSVWGDVDPRDGHLFPYGQKLHPAPRVDRSVISE CTLYVTVEPCVMCASLLRQLRIKKVYFGAVNDKFGGTGGVFRIHKNSPFSMASAPPSP APQNGKGIARPALERRPVSALNIGTGGVEGQEPEPAGDEIDESKQNETVGKQPGQDTT SLFEPELHGDGGNVEPGYEVEGGWGRDEAVTLLRQFYVQENGRAPVPRKKEGRAARLA AMMERDGHAGGPMADAPAVPKPEENGTSVDGDGAAQEGEEDTEKTEDEHSGNSSDA NEUTE1DRAFT_92411 MSVQPPAAAAAAATAGPGSGSGSGSPHPSQLPGPTPSSSVDGNF LLPSPPFISAPGLINLRDIGGYEIPTTTSGHRKKMVRKGVIFRSADPTQLTDEGVAVM QKLGITHVYDFRSKLEFGKTPETEPKEWAGAQRCHVPVFPDVDMSPEALAVRFQLRNS RFRDYTDGPAVITTQGFVRAYSTILAGAADPHNTYAPYRTIFQQLASSSSSSSSSSSP SPSTPPSPSPLLVHCSAGKDRTGLFVALLLSLLHLPPTIIAHEYSLTDLGLAHRKPAI VDHVMLDPAFDGDRAAAERMVSSRKESMLATLQYIDEEYGGAEKYVIERLGVTKEEVE RIREVMIVDVEGGEEQGLVDWRRNAEAVEEVYRR NEUTE1DRAFT_52032 MAFGAGLTSSKSYLFFSTLHFLAFALALTVCGLYGVELDRARKA DKYADSKWVFAVVVGGLSALTSILFCIPFILRFAFKWVWDFILFILWVAVFGVFANMY IHEKPEGNNDIRRMKHAVWVDLVNMLLWLVIAVSALAYWFKHRETRSLFTSRAIV NEUTE1DRAFT_150622 MPRRTLSGSSHGSCPSPSPLSDEQFAFSAGNTPVREIPPSYVAS AGRDALLFGTREVNSKSQTTTFVSESKNASKVVTRSKPIAIELPPTRRLSTAPVETPP SPLSARGDIQGGYFPHHEDPATRVHRPHPFFTLDPSKARHQALHKAAETTHFSVPLTD SRVRTMANDLSHSHLGSSVGATGSTGLHTPVSSYMPSGVHDNIALPMGKYYPTNYENR MASNSNQYKRPSARADAAGVAHSEPQLKYRRENSRLRTGSEAKRLVEQYQRDMVAQAS MALFSNASSMSPSTRMSDNAALKNIQLGATMLKTHKPLSPRLVPLGSPGPVTPMELDG NGDGYLSRGRAAVVMDAGSQNADIARVIRVEERQRIEAKSPGWDMKSSF NEUTE1DRAFT_92413 MPPRIPNAPSSLLLQSSACSGSSSRCTASRLPTWASSCSSSSPQ SSQPTTHQQQCSSFSTTAPAHLQSVRRQKMFSWLDKKGSAYKEHTRQGPNLLGGQGKD GLAVPFPNNPYFKSQPVLSEGSREIIYQDVMEKGLPIKAVSAKYNVDVRRVAAVIRLK EIEKRWIKEYKPLARPYARAVMKMLPQTVLGGPDQKPHESINDVHVHSYTTQQLFVPV SESREFTREDAAKAFGDHILPVDKKLRVPELIEFQKDLLKEVPLQEANRKFLNATAAS EAKIAEREAKRRQAIEDAITRVKTDRFEFRFQEFNAENVGHDGRDRNAVGWRYGVPFP DRKRSQIKIPTKVE NEUTE1DRAFT_126614 MVDLKSKLRARLSRRNSGISLLTSSKSYSGTGNHGSIHSPNDDA RSDKNLDLESVRPTSSVSADAITDAGGRGGREQKRVGSGEEAAGGAGTGAGAGAANAA AGEHKEKASGFLALLIGASHSARTGSRQATSPKQAAQSIRSVDDAQQTSETPGAITGQ PGEDGRLRQYGSNTDDTNGDCLSRGGSGDKDENADLQLVPPAVADPAGAGAGSGHVGS SSSARAGTDHYSKCDTGSPNSKSAQQHGVNAAPAAAGGAATNATSAKSASGQPPASSV TNRAAPGAGSDWTPASDLRRVSISSARVSSLASIHEDDDLAHTAAGDEPLPPPTTSTL ATTIIDAATLDATTPTNPNPNPFENSHHRQLADAAAQSATPTTAAPDIVRRVRLIDSA VNSSSSQPPTPAPLATSAAASPSIVSFNSVAPLSPTGTVPLGPSADTPSIAPLPLSTS TPANLNLHPPSTDPTATPGSPTTTALSTSTALTRPSVPPRRQSLLPSRQTTLIRTLLD ANQTDELDTGANTNDHLLPISATMVTRKIWVKRPGGSATLVTINEEDLVDDVRDLILR KYANSLGRQFDSPDLILRIAPRESQRQERVLGPEEPMARTLDAYFPGGQTVDEALVID IPPRRTPKESPRTGPPHVPHGLPTYYEETHRPSEGGTDYFGPGALAHLAPGIGGGPVM TAPVNGPPQSHSHTISGLGPGHIPQLPSPGGTRPRQYRERPDRPRLGRQHTSSPTILN VVGAGGHAATIAVSSSNHGMQQSSVSKVQRSRTHSIASSEQSTTGAVPGPPATASHAP HVPSAPPLPTPPPAAQEPVPAIPTQHPVTTPPARVASPRPPTAMATRTKKKKTTPDHT PLPAVMLSSAVPPINVLIVEDNIINLKLLEAFVKRLKVRWQTAMNGREAVNKWRKGGF HLVLMDIQLPIMSGLEATREIRRLERMNSIGVFSNSNSNGGTNGGESGGDPDVMPEED KLENIELFKSPVIIVALTASSLQSDRHEALAAGCNDFLTKPITYIWLERKVMEWGCMQ ALIDFDGWRKWKDFGSSNGEDKKDNASAVKDSSGMASKKAITRPKRKPTMQKEVTSPH HTAQAAAPSEVSHTTQTSQTSSDDK NEUTE1DRAFT_21543 SESKSASTDQGSSSKEESDATSDYESTSEYENLIPKAHLRLLEH RLDLDELNEISTTPLFTEPVRKYANAIAKTAGGQKPFTQYGLLGGDIGSLESEEPANR DPRVFWNIAAPSSFFICGSQGSGKSHTLSCLLENAMTPCEANVLPHPLTGIVFHYDTF ISDTGGSPCEVAWLSSNPKIQVRVLCPPTNIRTMRKLYSRFPNVMIEELRLNQSDLDT RRMLDLMAVSSGTSMPLYLHVLNRVLRDLRIEQQERGGSFDYTAFKQNMFRQELTDQQ LAPLKQRLDTLESFMVEQHAKAYEHGREPKSSKSTRASRGNDWTPRPDGLFIVNICLS LFLEQDCNSIGRVIALDEAHKYMSSDTGSAECRTLTDRLLETIRLQRHLGARVIISTQ EPTISPKLLDLCSVTIVHRFTSPDWLRALSKHLAGVSKGGRMLEKALAINQNMADDVC IENDGVNSLALDYSDPAMELFSRIVALRVGEALVFAPSAIIGVQKAIPRPPSTSTPAP KPRLAHGVLKVRIRNRTTADGGRSIMA NEUTE1DRAFT_92422 MILSSHNPAHGRPGLRRKLRRYERETGGGNPILYDSLIRRFQTP AEREAEGKEKGYARVLEGSLLRGEEKLARLAVATATDGSSGTEGGEESLTPTVIEGQM DRQSQTTRTVTQPPSQTIPTLDEPTSSSINTTSAMFATFTTPIQQPLPRFGGLSEPSS SSSTAYTNTNQTSQSPPLPAATTTGTTTGLSSTLPVLTGTDTPAQTREEGLDQWTAFL RERFVRGEDEDFDYRIVDCDDELDTLERREEEEAWFDEEEPDWASDGSDGEGKAERVL EGETGVQDF NEUTE1DRAFT_92423 MDIPARTSLPSSFDGSERDSTARTRTSSSFKGSEMSVSRTATNI PTPMSEPTLPKETTREVADVTDRTSSGKTLLSTVSTTPGTTAPGDDNVPTEPSSYCVT QSTIQPKAVKHKKPTTDYVRLKWERDSSIRDYVHRKDPMNCEQCGLGIHGEKVPVDGI VLRYSQYQKKDTQIDPPMSLTGDTTNDQAAALTISIPQYLKIDKPRRFFTKGRIFKTV WFEPLGDYSASLRARPAELACHESCPNYNKEKPYEHFRWFVVIRKQLHHSLCFAIVNG GAKSMAKNRARGEHLAVLYSTSVPPAEPDKEEGIVFGPLAVILEEGKQNISPLARLDC SRVFTVEDDLKVMKIGRVHPDFHGKLDEYYYEACFKEPVKQVQANGT NEUTE1DRAFT_126618 MDMVATGYTIYYAGFQGCNDDRPQCCPWPVAANSATNSFSATVN VEVEPEIEIEHKRGDNYPQPARGYSAKLKQCPDDYYSVSGGCCPAGYFFFTSAIGGQT PCWSPLGSTASIPPITHVAAAYNSIATNVSVTGSAGDGGNDDPNDIDSLPTSAVNNVV FSRHYPVDNPKALSIGSYIGIALGISITISTALAAIIWFWLRRRKRKIARLRRAAQLA DNDNYPGIWDGHHGSDEQLFQFPPQELDTFGQNGKPTALDHVAAAGAKNSGDAAQQAQ YLRQLQQSFGLHNVGTHGHSNGINSDFTPGLPLSVHIPPRVSSAAAAAGLLTLPPISS TRSKLPPINNDGNPRGAHAVESGDIDSKGGKPKGETKEEALQYTGGGSGAAGSSSFLH GDQRQRRSHPTELEVNSAAIPDGHGSGFGLEVEGDHEPPPEYREF NEUTE1DRAFT_126619 MYTADPSAHVFNGRVYIYPSHDRETDIQFNDNGDQYDMADYHVF STPSLDPQGPVTDHGVVLKTEDVPWVSKQLWAPDAASKNGNYYLYFPARDKQGIFRIG VAVGDKPEGPFTPQPEPIKGSYSIDPATFVDDDGEAYLYFGGLWGGQLQCYQKGNNVF DAEWQGPKEPTGKGVKSLGARVGRLTQDMLEFADEEEGVREVTILAPETGEPLDADDH ERRFFEAAWMHKRNGKYYFSYSTGDTHFLCYAVGDSPYGPFTYGGRILEPVLGWTTHH SIMEFPEKSDRWWLFHHDCELSKGVDHLRSVKVKEIFFDEEGRICGEKQPAGK NEUTE1DRAFT_133713 MEFSALDVEDIEDYEGARLAVVPDDSITSQLQELAPSNPGRTLL PSPVARAVSFATRSTGLALRVGTLLTGYGFDAAKMTTLSSLEMGRFVLEGILGRAGRD AIARSSSEHGRKEAENMLEKSLENLHRTMSQILFWTTTGFHVTGTTIAMLSETSQLLL STLDQFFGSTDSSRAMASIITLIRREFQNPATGAQGEQVGVMDLMLGLCGMAYLQRWC RRSLEEETRAHQIEEVIWDVIVLSDGMRVDVHEESVYGVHDGEFTEDLTPTSGSDAHD SEEDAEERIRNQIIRSLGDNAKVSITTKINTTKTITVDIQGPIHDIPVSPPPGVELIE ESRNTRQVSSQTQAAADHTPHACRVVYRLNRNQERRYSITKEDGDISQVTGYVEQLDD DTVTMSERSLPTVDALLPAPTRSLEHLPDSATPRPGSSASAASSSSKSRIPSLQMPKK RSENAANQKRPRIPPTASSSTKRQETTISRASGNRSFSSRSREDAESKRKPIEKKSGF RKFFSRDVFVSDTPSRKKKESQSSGSTRLTKPETSPKYKSALPSPVRVLAPHRPSSSR PATRQSSASIPRIEEPGVSPKSSSSSLGRKNGERSQYMRPPSRASYISVHERRRDSVY SQTESYSISAADDFRHSRPPSPCAIETDNEDAGSVANPRSSDPALSHSYGLPSYQTLR RVKSRYTPSIYTLPHESTSSLIPYRDPQKSAFSNTEALRGLRQAGMVDGMFPKHHLLR NITRFMRFASASYGSNFLKMLGIAKEMPILRALDTETHHELRSFAHHTESDAGSILLS SFVDPQGGSDGTGSTNTGVPLVHYISLDHDSKAVVLACRGTLGFEDVLADMTCDYDDL IWRGKAYKVHKGIHASAKRLLYGGDGRVLYTLKQALEEFPDYGLVLTGHSLGGGVTAL LGVMLSEPAPGCNSTSFVTSADPHTHVLGDFLVDGFATAQPPRHVCLPSGRPVHVYAY GPPSTMSASLCKATRGLITSIVNGNDMVPYLSLGVLHDFQAVALAFKTDNNEAKVEVR QRIWEAFQTGIADKWYAGNQSSQYDPGAAGHGGAGDPSSPSSSSPPGSGGDRGPEFSD DDQWAYAALKVLRASMMSHKLLPPGEVFVVESTRVLRRDAFLLDGQEGHLGRPAQRIV LKYIRDVEHRFREVRFGTSMLTDHSPGKYEDALNKLRAGVMDAA NEUTE1DRAFT_92433 MAAPKELFSADLLSPEVQSALPEGYKLRALRPTDYDTGFLDCLR VLTTVGDITKEQFQDRYNWISRQDGGYFILVIEDTNSSPPRVVGTGAVLVERKFIHNL GSVGHIEDIAIAKDQQGKKLGLRMIQALDFIAERTGCYKTILDCSEANEGFYVKCGFK RAGLEMAHYYQNTKSKA NEUTE1DRAFT_143079 MGEDLHASITRVRLALLQSQVREHPGTSPVRRRRRSISTAVAYA GSKDGVPLFAKQRVVRVAL NEUTE1DRAFT_115120 MSPHQVNNDQQQEQKQGSSAGGGGISFKAPPGSGGLGELGKSPK HWLMGDEAFEARMPHHDGVQALWETKWKFPCSKSLYPFHDGLYADFAPSFDALIKTNH NDMTSPAWTTTFLSTGVAEQLVEQGDKLVAELNNIKPPGRLQPEQQQQQQRRRQLREQ ASSLYLRACCLYRIARFPYITSIPKVNDQTKWDAWEKQKEIYLKAGKLWEESPVEEVK VAFLDNRQMQQGEGQWIPVYVRVPPAGTSSQPSTGSGGYPTVILMTGLDGYRPDNTVR CNEFLARGWAVVVVEIPGTADCPADPADPESPDRLWESLLQWMGTYQYRGKRAFDMKR VMVWGLSAGGYYAVRIAHTHSHRLAGVVAQGAGVHYFYDKKWMEKVDGHEYPAQLMPA FALKHGYSSVEEFKAGVQKKFSLLENGILDRTSTRLLLINARDTDGYNVGYPRRFDAH NEUTE1DRAFT_92442 MPSTTSVQNMFSLEGHTALITGATRGIGQAVAIALAEAGADILL VQRDETSTTTLQAIQSLGRHAQIYKADLSSPTDVSSLVPRILSDGHTIRILINCAGIQ RRHPSHLFPDSDFAEVMQVNLNAVFTLCRDVGAHMLGLDPHPVTGRKGSVINFASLLT FQGGLTVPAYAASKGAVGQLTKSFANEWTSKGVTVNAIAPGYIETEMNEALLKDEERL KSISARIPAGRWGSPEDFKGTVVYLASKASGYVSGHVLVVDGGWMGR NEUTE1DRAFT_133717 MATAVKASVLHGARNLRVESRPLPTLSPTDVLISIKSTGLCGSD LHYYTHFRNGDIQVHEPLTLGHESSGIITAIGSPSVSSDYGLNVGDRVALEVGQPCEA CELCCPPDDAGPPGNYSGEKGGESGGKGGESTTTSRYNICRAMRFRSSAKGWPQFPHA QGTLQEVVAHPAKWCHKLPESVDYTLGALAEPLAVAMHAAGRAGIPSPSMSSSRGAAR VKILVFGAGAVGLLCAAVCKSITKGDAIVMIADIQADRVKFAVENGFADAAVVVPLPD KRPETIEEKLEYAKSVAERVKGAELLKGLGGQVGEVNVTFECTGVESCLQSSIYVTAP GGKIMIIGMGNPIQTLPISAASLKEVDLLGVFRYANAYPKVIELLASGDPHLPDLSKL VTQRYSGLESIPMAFDMAARVKDNEGKLVLKVMVDM NEUTE1DRAFT_126627 MATKTFKLNTGATIPALGLGTWQGESTLVKDAVVAALKAGYRLI DTAYCYGNEEHVGAGLKEAFDQGIVKREDVFVVTKLWATYTSRAQEGLEKSLRNLGLE YVDLFLVHWPLLMNPEGNDDRFPKLPNGERDILRDYSHVQIWKNMEKLVGSGKTKAIG VSNYSKRYLEELLPHAKIVPAVNQIENHPQLPQQEIVDFCKEKGIHIMAYSPFGSTGS PVTSAEPVIKIAEKHGVKPTTVLLSYHLYRGSTVLPKSTNPERIETNAKLIELDAEDQ KLLNDYSEGLVKEGKVQRYVYPPFGVDFGFPDKS NEUTE1DRAFT_73751 MAVFAINDRTEEFRQIVAAAQRRQATKPGKQRLLDTAQQHAASS DAQPRRSEFARGAAEIGRGISATMAKLEKLAQLAKKKTLFDDRPVEINELTFVIKQDL SSLNEKIRNLQDLSRRLHPKPDQEGENNKNILLLLQGKLGDVGANFKDVLEIRTKNIQ ASRSRTENFVSSVGQHAHASLQQSASPLYGTPSRGTPAPGQQDLISLNPMGDQQMQLQ MLEEGQNTYVQQRGQAIEAIESTINELGSIFGQLAAMVSEQSEMIQRIDANTEDVVEN VEGAQKELLKYWSRVSSNRWLLAKMFGVLMIFFLLWVLIAG NEUTE1DRAFT_92450 MDGTYGNIYPTNNNPLNPRAPDSNNKYQINVNRSKTRKWANFKP QNYDGDDWGSEEYDDVDSERPPAPPQPARQYQPYTPALHLQTQQTPAAPSGRIAQMVA ASSTPTTPNALERSGTFGQPQSDAPRTSSPVVGGKQVLPSMMRVATMPQNVGPPASTQ FPPRKSSMGPRDIPNMTDPTRQPRSTTWAVPTQRPWSDARSASPNFSSPISSNKPLPL PRPTDVYRRMGDDNSAELQSSRRGKQGEPDNVAPTAGLNPGLGRQERRGSAAGNEGGD NSWGRRPSLAPVMEQNSEHGAEGPLAGPTSSDFGVKPAAFGEEEDKLRRFSTSPTLPN LTRLSGFGEDLFSARFSVLAPQLASIPDISNPSSPAKSLEPEGISGDERTEKGGNSEN GIVTPANPIVAPISLPVAEPMSAAQAPSPSMGGAAVSDATPQLAQKAIRSGSPPNESV GLRYSHDDANQSHAVVNAPQQLPSTMKTPQEKNISEMDKQPVRPRLPGGWVTETLSTP SETPPLARNDTFSSMTSNFPQPPSASLVARSHADAERASTGDQSQQHDSSGTSEAVIE SFHSTSDGEAAQTTPPSVKDIHASLAPTMEPSKHRDITPTAPLNFNRGLLGINSAEPL HVLPSPNMGGGSTLTTPGDSPVKESDLLRDEITKFLGDPEISGSFLDISRSSTTPNHP VADATRESSYFGDVYDDYFAASEDNQSQTKPGATTQPSATEKPTLVAAGTSKGGVVAP LSVATSTSSGSGAAASVSRQDATKESVGAIELRRRFSWERPSEQDSSNAPEVDTTYQV EQKSRSSGPDGVSFTPTTPAAEKIGETMALTRSNTNELLSISTETASKPGTPNAVTEG NMLPSRSMVDLASPMSAGSDEDSESRRRALSMGQDKILAQPSEHHSAFEDNTSSRSGS LEPKSPVNILSFRQIMDMPSSSDRLKHYNETRLQFAVIDTGLDEWLMAMRSKYPEHSN ATSSFKDSLAIPVTSMDVQQSGDAVALSPGYSQQGGRPGTNMPMPPSLPYGHSGFAHS SQQVGTKGKELLFAAGKAGKGLLSKGKSKLRGTSDKVFF NEUTE1DRAFT_133721 MNLSLDRRDGSPISAQQAQRIFQAPVDDPNRPCTACWVLRFLDP CRKETLEWTLTRMSGSRNFSTCSSVPRKGIFRPTPGLLRRLSLTFSASAVPDLDAQSS LYFYVHLSLCWYLPKTHLGKTSSTPKTRALTDSKGPSSSVAVAVDQERIERGNRVPGG TTSKKVIAGEDVDGKTGDSLNIEKQGDSDSDTSCVVVSQRSLTRIPPLSHFVITTFRR QVVGLTSHMEAHAQAAHNGQYYSQSAGTEPQQVYSHPHQPQYQQHQHQQQSHAPQSHF QSQPQPDGANAPIRHPSFIGLPPISRTSTFSSLLGPFQVEGSAGDNNSTYSDTDTTPR NLIHYQQGQQGGQQTIVTTPQTMSESRSMAANQTGDGVPPHGQVMPQTPPPGQIIPNM YRPAQGPAQGQMQIQGQPSPNGFPSPLGAGSVPGQSPVQAQSGEPGPPGNAQGQSASA LAGAPGAPLSVMNGGTPPAAPQFTPANGRPVMMPPPHLAGRFPQGNWNLQESHLSEPL QPTNRNRHSSSNASQQPFYGFDKETGVPASPRSQRAPDTEQQPHMQPVDQNSQGPQLN GQENPRNPIEQRPRGNSSQTNTDVEDLPAPRPIPAADGNKTRRNSGIFSSLRNRVGAG TPVTSDAVSDASVMTDETGGQQQRRAQMFSALGSGAATEAPQSKESIMAHGSTTPVNG GLQSPLPQHQQQQPKKSRMGLKAMFSRSSQQDSSRPFGSAQPARPTTQGQAQTQHQVQ HPVAASGANPMYPLRPKTSGQVLPQQHQSLASISESEKARKPSGGIFGMFKNKDTKPG SGTGAGQPLMDPRQTLQGMGRGQMAMPSPGQGQFSQQQYRQQFPVGPDGKLVIFPGQL PPQHQQFMQQGHPGMYQPQKIQMQWQIPEQDRPPVNMQQSRPSLQGQNGGPQDQPQQQ HQQQLDARQGQSNGQNAVSVGPVSPPVASPMPSDSQSAAHQSRPQQNTPPATNGQAPP AATNGGHARTFSQGSNLPNMYTLGMNGIQSDQLPARKPVGSRVVSAQIPSSLLPPQQR QRGASVSSTQSPQPQSLPSTQPPSSLGTQRPVDSQHTLASQGGMSLPDVPASNQRADQ ERSGTQDRQYQGPGRQQKPQHMPAGSQSLVEGTSNQNIPFAGHSLSLVATMGPGFPVQ QEQQPPQRLPPPSPGFPPRNVMTIAPPPKEKEQSTISKLFRGTKQQTSPTTSQEKGKS SFMSALKRGGNTKQGDAQPRSPAQSPQANQGQFQPNQAQIREQAQRPQGLQPPQNQFP GQPQQQPQQKSPPGLRERRPSPHLQYQNERQDPSKAPSPPAQVDLAMRLPAQQSSQQP TRSSATSAPGVLDKPRPAPQMFEQQTQQPLAHGEPNPAPGLVQEQRPPIAGQPLHPSQ MAGQAQAQAQAFAEQQFFQAQAQAHLHAQMFQRFQQQQQQQQQQQQQQQQQQHHQQHQ QQQAQFAAQVSRSDQPPTQGQGQGQNPPTSAPPGQEPRYAQMPIPAGYFPVHQGMTQT SSPMGVPMSYFVNVPGQPPQGYFMPMPGQVIPPGMPGMPHMLPPGFVPGQPFVYAPHP GGPMPPRGPPGSMPMAGPPGSVPATTPPSTGGTPFFTPPTSHGGPQQMFHVPVHPSSP PPGSEHSVQHPPSISPTPPPFIGTSRQASDLSPQPQMQFQQPTQPSPSPPKCPLPNTP FSPVGPDAVNVPNPPLPPSEPQEQQQQQQQQQPNPGLIPQRQVSQVSAMSMQPSNGSP STNVISPISNDSGVTQIPEGGGQEQLSRSGSAALPRDSRTIVPEPVNVAQKPEDSGID EDSNGDENSKKGENDDHHPWNGITLDSDSRAVSPEPLLYEHGPMTPPPTTVSFIRAQA QEVHVHRSPDRHVGDGNIYDATPRQSIKSPVLLPRRDSMEEENETDLRKTEDETFNAN EKSDDGLGNIRDESPANGNSHFIVAPINGGGNEVNKSAEPTPVHQAPYEARDVDHGKA VGVEDNEKEEPVIPGHVEHNEPQQQSQIADVTIVPADETAQSPKPTKDAVDQQQPQQH QPLQPQPQPQPQQGAALPSASPPPPPPAPVPAPAPAPQQQSIIIISPEPSPSQSPEPS KPQLHQPQPTENNGAITTASGFLISSYPSNQTDPSNPKGILKPLTDRSIFEEAKRKQL LREQEEKIPVFSDDDDYMKQQNNGTGTGAAGTGNKKKEDEDAVPMMSATSYPGQEWNP YELGMGGDGGEGWED NEUTE1DRAFT_92454 MAAVSTTNGLWQSFVTVTGWSSHQIPIPANGRLSKSHTRSLSSI LSRNSASRASISDATPELPSVSEPASANAAPSTPRLRRPFSPQPIDDENELTTLPDPR SRAMSPASGVSMTSHHHPDLDQEVATLSTKLINAINHQTTLDDNLSQTKMELEQARDK IRQLERTVEEQREMLAGDVWIRRKTVEAEKTTLLGVIAEEKQARLDVEQQKKKIEQEL ENLTAALFEEANKMVISAKEEARIEQEILQRKNDQLRAQLVDTEGLLKSQQEQLAELK HLMEDMSAEKHEQIPPTAPSSPGFSKFELQNEEGLSDRLHHGHHDRQLSVAESMSPSY PTSFTYLLKPVLRTDLASYNDFKDLIRTSKRISAQQRVPTPSTGSALTSLGLGLGSVG AHLALANASSTSLSTIATAQSTFSQTSTTPTTAVTASPGAAAIPLPALKDTRFYKRVL TEDIEPTLRLDIAPGLSWLARRSVLTAMTDGSLVVEPVPAATTTTSRFGRVSRPELSP CSLCGESRPEEEFLRKHRFRISETGSAQTGYALCRYCLSRVRSTCDFLGFLRIVKDGH WRADDEDAEKAAWAESVRLREQMFWSRIGGGVLPITHFHTSHSRAPSRAPSLRGDKSS RTSLEADKDGDETPAAAEVEQLAVSVAEVADAIQQESSETEIPEVPEQLISNIAPEPV DQPEITQKDEAPVEEVVVPIAISEVSEEQVEFIEQMVEAPADDTDGPTEQTKLASEEP KTPANKFEFLEAEITQSPPSTEDTPKQPVASEVEPATEEPKELAVTISGAFPA NEUTE1DRAFT_126631 MARLLPAERKSILQFALAALLFQSTSASQIQHRAPQVTAAPTAT TAPAAIPTAISGCHLHDTEVFCLADATEYSIHTTATATSELPAQFTGCHSHDDALGDD VKISRVIEDSEQGHDDHDHDEDEATSSTSTSEKKCHFHAGVEHCTGGDEHEEESATCE RTDRDYNIRLRVGLLFAMLATSSIGVFGPILLSNFVSPNNVFVTILRQFGTGVVISTA FIHLLSHAQLMFASECLGELSYESTAGAISMAGIFVSFLAEYLGVRVLQWHAAKTKAR NIENGGEKGDSAQKTEMVNILVLECGVIFHSILIGITLVVAGDTFFLTLFAVIVFHQM FEGIALGSRIAALGTLPPINAASSVHGHSHHGHSHYGHSHNQAHEVKRSSLRTESTSP TGADHGVVSEDESARVTVIKPVSLKKKLLLASGFALVTPIGMAIGIGVLKQFNGNDPS TIIAIGTLDALSAGILMWVGIVEMWAHDWMLGGEMTTASPLRTLAGLTALVAGLALMS LLGKWA NEUTE1DRAFT_17689 MKLSTVAPFLLCSVHTALAQSLAPSPTASVGCEPHGDHWHCEGP KVTSLATVVTAASVSSVAATTSAVHDHDDHDHDEEDHDHDGESASSVSLKPSPTESYG CEAHGDHWHCAGHVSATSTASPGSQTQTSSVNATAPTTPPTAGAVRQGASGLAAAGLA IMA NEUTE1DRAFT_32941 MPTLAAIDVSVCDDQISLVFSHRALAAAYAAYLEAEDSRPPYAQ AVPGYRRLAVFHAASKEVTLAMPSFLTWFITMRQPDDEDSVTFTFSDEDEEQARAWAE SVVLFEPPVPPGTVYDLEDGVRQLHVKRLWNRGKLLQRLEELKK NEUTE1DRAFT_92460 MAAFVSALKLACARPLPADLPAWFLAVCHSQPEGSPNPSSVPYT TSMATVHGHSMSKSGVGRDYITDLYIGPPRRPPQRPPRWPPLPTSRHSRGTSLPPLVI PNNASPAPRPLSEFRPKEASATVFLNFSLPRPVACRKKSSVDITASNTQSTHLSVPNT NSTHGESNHFSSNAGCVPPPPKSPQRPSTSRGHYQIPPPLPTPNRPLSSGSDSIPSRP LSSGSDQVPELEPDFYNDDVSIISDAAQTPPPPTYAPPSPPVKSPLRPWSVGSSYSQV SSSSSSSSGFPLHRSSFYPGKRNPPPRINFRPSSQAYYPTSRKSVPNLRQQSESADDS TGSEDNDTTTTTTTGTTGNGRRQPKTYKLDARSRRRRREMSPSDVDLDDPTARECSKA EAEAFTQLIGIIDRFNAREGGSPSVPDRLARRVSSLHLRVATPVFDPADEQRELWAKG LRKVSPTVYVADVDSVWGYYFNEEDTPI NEUTE1DRAFT_73768 MVDSKETSEFSEKTEAFSQSEILARFRDDRREREELQLALNSTV SLDSLVIPPDEDIRILFRPPRGRPVSPGSLENCRWLALRAEVAGKDQAQHKVLAVTQT SRDIKFSVRIPGMLWCELYYDPASDKLILVNRSNAPFILSRASTAVPGDNEEYEVNPG FNKDVFPGTWRIRFYNSDVLDFRILEKKPTLFRIPSIDSSTSCQLVRKRSYVGDEEDE NSSNKKPRGSEDIEIKKEDTDIKEDGVIMFLPAKTKPLVLPGLTGEKKELVSANGRRE LIASDGNLLQIQPDETVQVTGGELDEYTLTKKSDIASSSLSSVFTADHSNVPDGVIVV KVLKTRTPATVNNTAMESRNVIHQADVWLRELQYQERLQHKSIVRLYGGDARFLSLYM EHVDARDLGSKGMWRRMNDRFAGDRSDAKRIVRDIASALQYIHGRGLVHNDIKPANIL YSRERGAVLCDLGLSTHARDPPSIGGTPWYIPPEFIALKQRGAPSDVWALGVTMLYVM GKIAFPDARGNRAHPQHLHWLIAKVHPNPRDRNPQPSAVKHMQQWLGEVNMARAQLDT RDDQERLVYDMLSPNPNQRITMREIVARVHDQIPEK NEUTE1DRAFT_92467 MDDPTGPGWTWPYWKFGLQREDLETKLHDQYNTFSLAILDPEAF HHDVYELAHRATTADELHQLLAERKQLRVRELNESLESAAFEIIGNPSLIGTDQWQHA VQLFRTRSLDSLVRYFASYLPEQHPWHKSADSGSVTSSSADSCPSLFDDDYYCDPIMM TEEPLEIADEESIVKDHLPPSPRSMTMCSNSSVDSHADGSQPDFALTPARTLSYSEYE SDHLESSASTLYDVSEVTTPAPEQEEEEDAIPEVASGKESSDHSKDLSITSEAETPTP KPEHHAAAFFEQTKPSLPCRRHRSLSPSSARPLSGQETRSSMQQPDPRKPELPTHRTQ VVDDAARRWKETGYMNRLKQRMLNPLQRGRSQGRKTQTSSSSRVHKPSSNRTRLRGRK RIDS NEUTE1DRAFT_55097 KKTSTIKVLKAITPFINLEDNIVLDAITSNTGPTNLPPANYNAP IIISTLKKVRKYLTKENFNKKSTTIVYFHPPDSFI NEUTE1DRAFT_53572 TYKVLKVSRNKTLSKLYLFSVSNYIQRYIVINFKSILKNKRGYN NIIVIVD NEUTE1DRAFT_55372 NKFTIYYLNNIFIYFETLIEYKEYVRKILDVLYEYEFSINIEKS EFYIREIIFFRYLILKNKVRIELSKVEAI NEUTE1DRAFT_73770 MSSDSPVVPTAYEPKDMKFRYLGNTGLQVSIFSLGGWLTYGGTQ KGEIVKKCLQTAWNHGIQTFDTAEVYANGASEVEMGQALKELGWPRDEYVLTTKVFFG TGRKEPNTRGLSRKHIVEGLKASVNRLQTPYVDVVFAHRPDAATPMLEIVEAFTQVIR NLNLAYYWGTSEWSAVQIKEAIHLADKHNLIAPVVEQPQYNAFHRERFENEYAPLFKE HGYGTTIWSPLASGLLTGKYNDGIPEDSRFATNKAFFENTIKSLQSEEGQAKIEKVRK LTKIAERLGATVTHLALAWAAKNPNVSTVILGATKVEQIEDNLKALPLIDKLTPEIME EIESVLDNKPTPPATYGRDR NEUTE1DRAFT_133730 MANQDQITFFDIPSKDGRTWTLNPWKTRFALNYKSLPYHTQWLE YPDIRPTLSPHLPPTAPEPSTSSYTIPAIRFPDGTYMMDSKPIAVALEERYPAPQYPS LHLDTPALAKLESLMPGMMGKLVGVFVPGAVKNILGPKSQPYFKSTREAAFGMSIEEL EKTQGGVQAYEKIREELSEVTALLKKGQTSAGGPFFEGDKVSYADFVWAGFLLFMKYA DAEGFDKLLEATGDKEANEKLLEGVKPWARDDI NEUTE1DRAFT_115137 MAEMVDPNAPPAARDGYDSDGDYDMGYDDGDFDAWLEAEGFKGA NNQSSSPGNHIQQCANLARQILSRSPPPAEPAPHTPYESAVDMEEAPPPSAPTMYKPG VPPIPPRPSPTFRLPSIDTIYDGLLAPSSPPREQACSPAQGPPPPPIAPPVPHHEDDV LEVIDPNGNIIVGSGGPQRQRFLASTAAILRSSPVLAAIIMPERHSQVNQQPPPPPTP SPLRSPAAFVIPPEENRSSLPTLFIPDVEDRVCKTFLLVIHGFLNRVEKSFKSVQLFY DLLMFTHRYGMTLSLQSVAVPWLKRIYHENPLRHGEVAKQLWIVYELGHLKMIRHTIR CMVKTARINKDGQLLGYGAQEGKDEYTQWAPLVHLGLLRDITICRQRVMNRLLFEVEQ VIERLTSSPPVLPPRDPSDDDEEQNYRAKSHDPRNPRQPIEIPPDDTPRQLCVSRRPP GRVTHAVNCDASMLGALQRVLRQEGWATMDLTCSPSHLYRRIKKIGKTATEQVVITHT LKGHRACDPFGDDKWIRLDELIMGEVKMIPFDVEELKKRGGMMGFSIQDWK NEUTE1DRAFT_150648 MTSAANMAVREKRRGTSQQDIDQMEVRKALTAAEYSRRQFVLNF AASKDIQLLIPGKKAQAIKEAEEWTVIWLAQNQLTTVSNDFFKYTVDLRLWCKLVGDE IPFPFAFMKCPNNPSDGENRSRAPTPKGDAFLTRHAVETTPDPISAPNLPASKQVKRQ SLQRSESDNETVTRRHTDMKNAKTTEIPLNKARSLSLDSADDDLKVRKKSSNRFLMRL SRSWARRVSS NEUTE1DRAFT_115139 MESLRPPTLVAKIDPKCLFPHRVSIPAPTALLGSLQRCGDNRPS PSHAEPRFAARRRRRRRSAPLCFALLRWRYHNARFSVALAAWRHGAILQSRMTIVVMK KIPFYAGHGRVLHVRVPVKQRSQGVLTGKSSRTATRSTYQTTILNDVRRAQKSGLGES IQGLLKSIQLPIAVKSSVVQLAQRAGRDV NEUTE1DRAFT_55115 FFAVAERYRKIVSVCSRDSLHRCPTGEQLVFQCLDNGHPKQEGT NFIHLTRLYTGMDFRLRTQKLCEIMRDHGTYTLIREWRICVLMEGEAQYAQGAANDVD YYYLGLLGRDITASRVLPRISATRIRNMLQHTSAMVRKFGFGAPMSLSVSFCH NEUTE1DRAFT_106224 MPQLTAQAVHPPLPSPSFTLIPASPSRLETSIHSEEAPSETTLV QEALPQTPQKSLQTYLHSFDLEQQSHDPQDGTGSFQGLY NEUTE1DRAFT_73778 MASDDSTFDIIITGAGLSGINAAYLLQSELPNHSFIILESRDHI GGTWAFWKYPGIRSDSAMALFGFPWYPWTKDINMADAHLIKKYMEDAAASQGIDKKIR FNHRVTSESWSSEEQRWTLHVDVTSGDGTVLEKKIFKTPWLVNATGYYSYEKPRSTVI PGIERFQGEVVHPQFWNDEVQYDNKRILIIGSGATAITLLPALAKTAKHVTMLQRSPS YVYSLPARDELQPFMERFMPIQWAGKINWCIRMVAETLFVQLLLNFPNWGRKLVVDEM RRQLPKGFDVDKHFNPRYKPFEQRVCLCPQGDFFKALCGPNASVVTDHIETVTEDGIL LKSGETLEADMIITATGLYMVLLNKIDVFVDDVRINDTIGQRYMWNGVMLEGIPNTGV ITGYVAATWTPGASTRTRQFIKVIKHAEKTGATIATPYIDEAERARLPKKPCIPNSST YITEVRDRLPLVANVGPWRNGKNWLEDTIRLWFGWVTTGMRFTSWNRAKSD NEUTE1DRAFT_133733 MMLTPIPRTYPDPDLGYEATHKPPTSATPHYPINPVLSPGTTHR VRWTTAIQAFISVLGQDAVLIDSALSRLHRPVRPSRGRVSNGQAQSPQRNRLPWLHGL AERHTEDCQQVHHPTMAFLTGQELEIWRTGAESEGFRGAGFA NEUTE1DRAFT_92479 MASQQPVVRVGVAAIISDAEGKMLVGVRKGSHGSGTLQFPGGHL EVGEDYLECAERETLEETGLKVKAEKALAFTNDIFDAEKKHYITIFVSCRRDDEQKQP VVMEPEKCESWTWRSEAELREFMATEEGKQRLFLPIANLFNDRLFKEHGSLTASI NEUTE1DRAFT_92483 MPIDDNQSAISVASEGSPCVDHPSIGPSHDSFDIHQHHLVAHHP PPGASVYPPSAPFSGALNPRSCVTCRRRKVRCDKHMPCSNCRRAQIPCIFPAPGRAPR RPRPKDPNAPSKQPSSERELELMKRLRKLEGIVEELSGQIELEAVRHPFSSSNSPEGT GGHDADGHATGATRAGDKPGTPNGSNLGKNSPSTGTGMGTDKSGAQGLQTQLNRPPFG PLRRISSDIHKQFGRLVLNEKGVTRYVSSGFWSAINDELDEIRRETIECGQHDSDVSD DEASPESVDQPVSHSDHQSFIFGYRSADVDLRPLHPLPSQIPFMWQVFQENVDPILKV LHVPSMTKTIRHARNNMDSLTPSTEALMFAIYYSAVASMDKDEAKMNFGAEKDYLVQQ YRFALEQALARANFTTTPDITLAQAFLLFLILVRRQDDTRFAWTLTGLLIRISQALGL HRDGTNFPNISPFEVEMRRRIFWAVCILDLRSAEDQGTDLTLVDRTFDTQLPLNVNDS DISPDMKDFPESRDGPTDMTFSLIRYEICTVARRLHTASSAMAPVCPGDAATSLEERE EMLADLHKRVEEKYLRDRSCLDNPMYWVAANIARVLVAKMTLIIYQPVLFPGPDNEEL SDELRERLFNSAIEVFETNHILNTDPRTKPWRWLFQTYTQWPAVAYLLIEVSHKAWGP ASERAWGALNSVYTAPKEYELEKLASHTAVWLPIKKMYFKAKRHREAETARLRANPQA AMQLELEDQSRPAPTTFGALSVTEEISITVARERWRKLVNAPPLPVEPVPPPRQPTPE IPQTVQQQTNEVHTNDNSHPTENMNVSKPEMMDFLDPTMTNQGFNPQEFIPLWRGPPF DPSRPPVYGFSNGDIPRMDSAANFNPATIPDFNRSTTPAPTGGNQLHHTPGPGPNMNT AQGMQVQSPVKDENAPWLWPGPGLPEMLRVPNFQVEDMDVNMDEGFDWQNWQESLGRF EMETNGGSTATSTWGPAI NEUTE1DRAFT_126644 MWGRLIEKKFDLLASQAAQEQQVATKDTGALLRRFYKSRKRQDV LEQQERFLHLLSPRQGQIDAIWRRERKRGTTNWILETPQYKSWKTATQPVLRVTGHLG CGKTVALANIISDLAMANIKCGGFICKRDDPSMLKGVTILGSIAYQLVQSITVYRDWE ALFQSSASDLGGSMTISSIIQLLQNLLPQSKTFHIVLDGLQECAKEEVEVVLEAISDL RKSHRVSVCFSTTLDGLSVELTENYLGTANVLCLNDSHRDSEIKEYIRKEVKRRNAVR QPPLNGELENLVIEQLTMGAQGMYLWVSLHLETIFPPYNDGILTDESVLNILHHLPQD LPEAFDQALGRISDRRYGDRIFQLVAVAETPLSGEQLNVALTVQPGNIMWDGTKLPRS SKQVVARCGGGLLQVDEEDGCVRFIHHSVVSHMGSLRVGIDGRRLARSWIVDAETFMG SVCVTYLNFPDFDRPHLTHEDGPNVDVLWRTLVNNRPPHVLIPWAQSLDPMGDKGGML DYAIRHSHAYLYRFMMSPPFILAAYCLALLLNDVMEDEDTRLLDITSHKIQENTYALG MARDFEETKAENVTDRLWELIEMGCSSRYNPLSGIFWDYRFSVVNWEGDAQYLELLAF RYAFLHNNFNLVVKIASNCAVESSVVAQAVEETKLQAKSLIHSKLLPGRLKEPTSYVM EQELAEDPTLAAARTYMVNKEYDKALDTIQCYTRLSGIGSINHKLISQIHVLQQRMVL RWVIDHRCEKVFQRLAFLRQAVLDWPTVLIYAITTYAHAGPPNDEDLQSEREIFYGLL EELKQVDPEGNRTMGSEGTLDIDPGWTALHAAALWQSFAVEALINTWPDIANSSTRLG HTPLVVALCGPLEDLGASLAVSALLRAGADTGALSAFPYLSPLDISIAYRPHRISRLL IESGATRAELVKGTQDLAVHTLVERIALLESRMAFEGNSTEWVEQTQGVTSLEEYYRI GSSAWMCHIASWLGMPLSPCQPGGLRATI NEUTE1DRAFT_92484 MERGRGGRGRATKKMRGTLSTTSHHHQQFSQQQHQQRPIAQQHE FEHQFQITPFPQVNGSHHSNTTTTGTVNPSIPGSTSHAPHPSSTYTPFSDLTTQNPPI PFTSHVQNNQPHHSTHPYPQMHGHQFQQPQHQPQHQQQPQQQQQQQQQQAHQPPTTHQ RAPSVGSLRGGRSKQVAYPNLQQRRTASPSAPDSTSSFPVTPHSDRTAGGPALDTTTL FTFEAPSSTAMPAGNTSARKRSKTFDFSHEAPKFDDEGNSNSKGGHSLRKRARIDYSQ EQIDDVFGGAATKVDSAARPAGTPTTRGRRRRGTQGDSEGEELKDHDHSALRNKRGNK SPAPARNSSSHRKPAGKKPATAQSTYVEHHRSDNDVRDTILVGVSMDEIPEVEEESEQ SAVAGASAANQSSKPNGSGAVPQGEQSFPRAADSREAVSSSQSQNSSASHGKIESTSN EDEPAPNPSTRENGTTASSKDTLTNEQGSHQVTAMSTETETLHPSEHPQNHPNLLHDG PFKVEPTEQDEATHLHSVPELIPSSPIPSSKVNSQPGNRPPSPPNTTQGSNSVATTSP SSSSRTVRPPKPGFPPRLKNLEKIYSLETPIAASLKLTPYVDDDVTHPGPFTERLVLD PMTKANPTPIPTPATSPAPTPAQPVPVAPAAVPEPTSTEFHWDGRRKLKTEEFYKLWR QETGKMVASGQPRMSMKEFRDNYYIPRLKEAQAESGGKVAETLPPPEPAPVPKVKQAS RPTGQASDDVPQTEPPTAAPSPSEMVDEEDLATASVADDEDGQYVNGPVFDPEKAAGS IEPLEVVRQPRKQWSFPKIRDVQDFADLLEDYQDMDTAMLYKLAEAMSETLSVWQNEF RELRKVVDDEDNAERRKKNDVSIVNWENRLKAEDAPHFRRHYDEPTKGPPAFELKGVR APKPSSDDPIYEHQKDQDKIMAAAYGFKHNPHANAVGRQNIEEQRWDITETRPHRKGA GKAELAEENVVEGKRVRKPRNLSDQSKDPSRAGTPTGGPSTVVGRRGKRKQMAPNDDS VEPSDQTPAVEIVPEPVVRKRRGPKPKALILAEQAQQAKEAQQAKEAQEALEAEQAKE SQQDMEFQIAEQVQETEEVPLGDDAASVALEEPKAEETTLPVDKPKPGRKRGRAPAAP PVVEETPAPAPAPTESEEGKTKRQRQPKVALPPVQEIAPQSFYNSPVTLDNGASETLP QEQHVPRPSTASSEGTNNTVGTPESSYSLRDKRRRNFALENDPELEPRQHKRARNAVP AKPESHEPKKRGPKKKNANVTSQPTSVPPPPPPALAPQQPVGGLKAPALFFNSGPPPI APAPGPSPFMHTFNAAPAFPPGGPPPQVPAPPAITKPLTRIKIKNPGPPPMGPSFAQV PPQAVPPVNNGPHPTLKGPGKAPRAPKAATPTPPEPVEPPKMMPSGFALANTTEPDKP YAEMSKSEKMSYSMRRRWASGEMQGAVEKRRTTLANKKAEKAATGVTNGNEPGMMSMS QTPDPVSAGPSTGASAPTTPSLGPTHTGLLALPPQPQGVQNVQVAQGHHQVMHSFPPL PPHIMPPQHMPPQSMPPQQMPPHSMAPQPMPPMAQMAPMSQPGPGQGQMPPQMAPMPP MQQQMQPMHPLQHQHMPPQAMQPLSYPYPHPPSGHGPVA NEUTE1DRAFT_92486 MATKRSKTDPTDDELGELFEGIGDDIAIKKSSTPKTKRAPAKPK NDAADRDILAELEDELVSKAPERPHTPRVRDIAARASPAKRTSTNTPPPPAADTGAST RKSGESTASHPTGTFTPSATSSDPHESEKKPAEKPQPAANSGGSWWGGFLSTAASTAA AAVKQAEAAVKEIQQNEEAKKWADQVRGNVGALRGLVGEDLVHRAIPTFTNILHTLAP PISSHERLLIHITHDLVGYPSLDPLIHGVFSRVMSQVEGGDLLVIQRSQESTARNSSE KPSFFGGSSTVGWRDGPWWRQVEIPRDLGVINGLVEGTKLCRANAEGYANDYFAAHGG IEAARQRAMEPARESNPVRTSDIFLSVQAIGVKEDKALFAGSSAAEKEKESSDVLDED KTDDLVCFAVYLFDPIHDIQYSAVSQSIPAKWIRWLDAAAAPLTPGSADEGQEFDFER VPEEIREIVESGGVDPREWVAEWVEEALNLSVGIIAQRYVARRMGVGEGSIGKGKQKV ETILEEGGSEAARAGLI NEUTE1DRAFT_115147 MFALNTIVVAIAALAATAQGAPTETTVESRQVACPASVQLCGWR ILNDLKCSDKAHLLAISPPGTTEGQIFNAIYETNSTGSVWHFVQQCNGCNNFGVGIPA TVCV NEUTE1DRAFT_150657 MSSSTLPPPPPSTSQPLSLAAAASFLAEKARSTSQLIDKTLLPP PSRPITDPWNPYPSNPSLTRLTKLSAKLLQFNQHANTLGDCLTSAASAGVADELASTL DKALTRCDQGVEVVSGQVEWLGRDDVGTDVLQLNQPGTGKGKTEVDGQVMEEYADLLI AYSRLFIFGSQIVILDKNEQAEWMAKADVDKMINRAEESAKKVLLGKSILRQL NEUTE1DRAFT_143110 MADPPPDPPEPQFQRIIKDPPLPPFHVYAIARLAGRYRCLGGVC CPSPYEATSKESIVLFLRRTFSDASNRIPLVLELELGSDFYACRRAGPPAHSPPSAAE EEEEKPCFPFIHTCLCVGSASLMEDARYQGWNHFGESVFPLSADEILGNCPVGCCYRS ELLPEDAAVTGMSVIFVDVTDPSDIRHRFGKWEPDLDPESGSTKLEHLVTGWSLPRWS GDGMNNGLFTEEERHELAFASRIELGAFIETWPGVIWDFDPSQQPVRLPPDRLAYAQR REEWTMDPMVATMAQVTWGELIKYEAPLPIGRRAATRSHFRSEMKKQKGKVVAWKDAV QLLRWVYRDESFLDWACFTGLTARVLRLVLEKDPLAGGSPLSSARVLTLCPDWEKEEP KAIAEAICSPTGVRFCDIYIMTLPEQAASMEICRKTSQLFHTSLHERFWLPQPSSLSV TRRSPVLQFLLHNRECSPDGATGIISNTFQSYYLRDACLTPIKLVNGLLDFIYHTHWT PYDGELGPPWGDGLRFCMCLSRASSTFDQDHERPQIQISPLPAEAYAIARATPAFRVH PERYCQSQSEPHPRYYSQLRDIDHDHWTLILVQERHNAVQERLMPDGSTIMLPSLRLQ FSYCFVRSSKPEARFSLVAERCRPADYVRGDMRWIGECPLSWLDLETTDLEGFLLDTM GPGVDVEKLDARIEYYDERSAWALGTRGDVRQDDIWLELEVMDAQGARAALKRHSKNV KVTTEDMERMRDRYRDRAWVLGAEGGNQGMDGALILGDPRMDRYSVVMPDAPFDSAYI DTTRTHGRPIGYSRLDPEHTKVTEGMFIDTQKTAVDLMTVTRIGQCLYEGFG NEUTE1DRAFT_106233 MSISAQDSRELQSTEHRPEIASNSPKHTARFTTLILNNYGRCYK TLEYLIRWPRRLEHFSFTLRFGLESLYLNDIHMRDGPMAGQIVQKLHDMLEPHRTTLK SLRIGLALTPVAFAMAYMPGLDYPAGANFGLDHGIIPDFRDYRNLQHLHIASIFTGHQ DFCDRWRFRSESRDLDELHRDVDRLLAPTLYRITLDVGCEFSLRHCPCFPLDIKDQPV CDLLCPLDQDLFAFLITLARRAKYRRARLCQIHVNYCVRPFALPMPVSDLPEGFDREW IPQYHYFDPWEWLERVKRRVERLGILFTYNGLEPVCGVPRTHIPIPEIPFDMSVAEWL QLCRQHHLQLFNYEDEMCSEHVWTVVKSG NEUTE1DRAFT_92489 MIRVPGNKPGDNRAHSIETPAIVLFFVTLFLIGIRLWARFKPAS WKGLGWDDYTILLSWVFATVVSALMIASCAYGFGQHIRNLSRENKLMTLKLFYVAQAF YKITINLTKTSILLLYLRIFVQRWFRIACYILIGIIIAYMIATFGASVWQCTPVARAW DKSIPGTCVDITVNWYANAGFSISTDILILALPMYPIFTSHLRKTQKVAVVLMFALGF FTTITSILRMQTLTFSTKTPDTTYDLDSSIWTIIEQNMAIICACLPVCRLPLAYLFPK YFAISRREQQRTGPEGDIGHREISSQSELRSWQGPHQHDVAIEAGVGVGGIGLSRSPR HQHQGGGMFVEMDRKYSSTTGSSGRRHRHRRPSASGSVSSDDDDDDDDVERKISSTTD VGRVTTVYQRDRVSTEDDDKMVMVTTTGDATSEDTILHVSSVSAATTMSRSNSRLGIG LRDRDRSADRMNQVGGEGRPGGGIQMTTQFSVTYDQR NEUTE1DRAFT_119152 MKLLTLLIAGAALPLTSAAPASTAIVKDASADRDASVAATLAQS SKDIYPAKCPENVNGFNYTYPSTLWFYNLTSTVQGSSEVLEMAFMDLLPPNYNPRNAE PQTILLLHGKNFCGPTWYAAATPLQKAGYRVILPEQLGFCKSTKPTQYSFNLTSLATN THNLVKALGITKPPIVIGHSLGGMLASRYALTYPEFTKSLMLVSPIGLEDWKQLGVPD RSFDLLTGDEKSTTYASLRGYEQATYYPGAEWTEDFDKWVVMLTKVYEGDQKEKFWAD QAHVVEMVLGQPTIHEYNKIKPKTLLVVGEKDNTAIGKVWSPPEVQAKLGHYAVLGRQ AQAAIPDATLVSFPYLGHAPQIQEPEMFNRLLASWVKTGGRTLESLKLNATIIR NEUTE1DRAFT_119153 MAKQSRGAPGGKLKMTLGLPVGAIMNCADNSGARNLYIISVKGI GARLNRLPAGGVGDMVMATVKKGKPELRKKVHPAVIVRQSKPWKRFDGVFLYFEDNAG VIVNPKGEMKGSAITGPVGKEAAELWPRIASNSGVVM NEUTE1DRAFT_150661 MSHSQNPQDEQAQNGSKFSHLPLSTSGPLECALKGTVLLNHPYF NKGSAFTKEERRDFALHGLLPPHIQSLEQQVQRAYEQYCSQPNDLAKNTFMTSMKEQN EVLFYRCSLHTKEGEAIQNYSRLFRRPEGVFLNINDMDSVKRDLAQWGKPEDIDYIVV TDGEEILGIGDQGCGGILISIAKLVLMTICGGIHPNRVLPVVLDCGTNNEELLKDDLY LGLRQKRVRGEKYDEFVETFVKAARELYPRAYIHFEDFGLDNARRLLERYRPQIPCFN DDVQGTGCVTLAAIMAGLHISKQKLSDIRMVVFGAGTAGVGIADHVRDAIAADADISP EEAGKQIWLIDKPGLLTTKSESLSDAQKKYAKSHDDWADKKTDLLAMIKEVKPHILVG TSTKPKSFTEEVVREMAKHVERPIILPLSNPTKLHEAVPEDLLNWTDGKALVATGSPF DPVKGPWGNDGKEIEIEVAECNNSVVFPGIGLGSVLSRANRVTDKMLMAASKGVAEMG PALKDDTAPLLPGVDVVRDVSVRVARRVILAAMEEGVVEEEDVPTKEEDLDEWIREQM WDPVYRPLKYVEGNKASRGAKGDLRVLGNPNDVE NEUTE1DRAFT_106239 MLDILEPRSCEPPFPPLRRREETDNDADYDGSYEKGPKTPLPVA PISNPFRVATQDVPQKRRSPEGAP NEUTE1DRAFT_17702 MVQVVTPQLPSPSPISWPNSQDCQTYHHQPALTREAEESQKETF PRPTSPSQSSFVDIQNITEWDIHSWTCQYPGANSSEKSCEEKFHSWFKADSPSPSGTG LDQTGNALFNFVPRLVNRVENNIADLFPGISEPLQHGLAWLNNAHNAVLHAADVGGGE LC NEUTE1DRAFT_133744 MLLSLRFLILTISFLASLASARDVPSNLQNLYTKLLKQKKCKHP LASGFYSTADGPNTYSYCGDHLSDFRILYIRGRGRGRLANMDVDCDGVQGGASDDGRC SIGVSADYQSTTAFQDMVAAYNVSGVRDLNTYVHPYVVFGNEVENDNNGKDLRWNVFD PRAYLVEPLSVMAVVCDGGSKLVYGVWGDTNGDDGDKSMVGEASLALATACGGGEMSG SNGIDEEDVLYLAFVGSEAVPGKMGAKWDAGSFDEFERSIEGLGDHLIQRIPADGKAS GTVRVSWGLMIWMFVALGDMCD NEUTE1DRAFT_115156 MYIISFVVGGLAGSAMALEYADLAPCGAYNMNCPPYPDGRPNLE CLCKDANFVNGIHDCVFETCNTQEAENTLDLAAIYCTDPKYKSPDCPCLDPLLQPGAS PAAAVASDPGVNSNSDSGSGSSADNDDVSNSSGSTNPNTNTISDDSATPNGGNNDNTF VATATATNGDGAVSSNSVETGGEGGGLKTVTAYVAPKVTELANTASTASAGASKTNEL DHADASTPTSASSGAAEMNGTGAREMGNLRERVLLAVVLGSLDKGHGAWEEWRKD NEUTE1DRAFT_73801 MVRFTTILSTLAVTTASVQAFPGAWLLQARQNIPDPAGEKNVGN GKGLQFIGGQCLSEADCGSQCCATLARGGDVIGVCSGLGAQFQAGKQGCGF NEUTE1DRAFT_115158 MPPEPKSKAAVPQGKRDVGRRTLEQVKTATPSLPLLPMKEQKQK SMLLQKPKKADSKNEPHCKDDLAAAAAADDDWVLEDLVEKEEEEDDDDDDDEDWFFEV PLMEEEDWVTVTPDSRDGKWA NEUTE1DRAFT_133746 MPLAARSSLEARRIIREAFEGLEKVISPSEAKDFNNTTLQNVQD AALGIESILAARQSLRNMRRLSPLFEGLKCYAETIEVLCNGTPYLPWIWAPIKLILKA SIVSSDYTDAFERIIKAYSEIAESLPRFRIISDALRNSKEMQQVLAVFYADILTFHKE AHIFVRRSGETTAAIRFLAFGSSSDSSTGWRILFLTSWGRFQRRFDSTLDDLQKHKKL IDDTASAINLSETVKMSENLQAWRQENLDKLKFLEDEQTAKQYQSIIGCLKIDETEQL AILDAISSEGNKYDGTCDWVSRHIHIREWLKETPTTDFVWLHGIPGTGKSVIATQLVK FLQRSEKAMVIQHFCTYTHLSSIQYETILRSLLVQLMRTSSDLIAHAQSLEQLLLAFG GAVSPIPSESRSIHLVIDGLDECEPDQQGRIASLLEKLRGLRSSASSVFKILLCSRRT PQLEKRLRKRTVQVVSMTNEKGSIEPAIRTYANQRLRAIRYRLFQMSLTDSDLRDISI NIARKADGMFLWARLVLDYLSTNILSSRDEVRGAVDVLPVELGEFYDRILAQLTSRFD PRSVERLRSILGWVAFAKRPLTKAEMRSALAFSWGDHMVEEAVPRHIFEFGAPLLEEH PDATFSFVHISLKEYVSLVLARQNSYQDSTEYRSSPDVLTCRDRYLQTPGCILFLDRS AAMHEHATATITCLLSGFWIFSGQLADNTRILRIVKGLHGLHIYSNQFWLNCFLDAVS TGHGLVHDSLLHGVAQELANFLHNQPSTLTIPTSGIAESTASRDSRLQCLKEHGAIYE MARRELEERVARAKLLVTEIEGTPTAPVRCPPNDLQSLLLAIQSSIKNILALTSISGI SPEDLDRFKKEFRTSAFTCRFPNCPRAALGFPDEKAKSEHETRHTQRINCTVVGCQYP PFVSARALRDHVSKCHQPQNTIRKSIRRASVDSLSQWATMQEDPFLPHHSYLAQTNNV IEPTTGSWDPRFADPLKVPARQQDVDEFGFDLSSRRGRGDLGGKTVDLNPASVRYVGS RKAGV NEUTE1DRAFT_126656 MLPSGRSNPKRRRLESNESGESASVGYAYHYSEGWPHYPRPTAP VTVPAPKDLENSFSSPSTALNPPSVLSGIPARLDHNSPWSNATPSSYLRVSLDSSFLF SGKAGAQDFSGTIVQGTNKNDTHDWTVLTDALLEESDIELSVICSKDPYHPSSDVQHK AFRQFEKALDCTLSITIYGSLELFEEIGSFFQEHDVYLQDPLHCDRHVRYCNPHRLSS ADLEKCIWTSDLGKPTTQLVELTSTVPAPELLDAITSAQDLPEADQPRAIRTSLARHQ KQALTFMQRREEGWAFNGKHPDVWEYVEHRVGDGSFVNRISDSHQKEQPENFQGGIIA DPMGLGKTLTMIALAASDLMWASMAPRGNKEFASVGQTLVVVPPPLLGTWEEQLAELI TTNDAHQPTIVLTTYHTVSAEWKNAGEYARSGIFFRRWRRIILDEAHIIRNHNSQMAH AICSLDGDSRWAVTGTPIQNKLSDLATLLKFLRIYPYSDKRCFDADFTNLWKNGQANE ALKRLKRLAGCLILRRPSTTIQLPERRDLQCPVKFCPAERELYQDIRTRTIERLDEFL YADIADAQIEEMRMVCNLGLCYRSRHDFVAQDPSADTAWNSEMAQRALNLQLEMDPVR CGDCRASLDAAVGLLGDTPEAQGLQQALLSQCMKFVCPECVFRRGGAPPICHHTPVCP FAPVSISAIAAKEAPEPAAIEFEGRDLMSPHEMPSKIKSLILQLKNLPYNTKRLTLTV ASYAFLMEPHWNPTLEDQALARIHRMGQTREVTTVRFYIRDSFEERVMEVQEKKRKLA TVLLAPHGQGEEEEDVGSLQHLRSLL NEUTE1DRAFT_55928 VRTINKNIIFKDFIEDIDYRIICATVALGIGMDIPNVNRVVQFR LPKKLSFTDF NEUTE1DRAFT_106244 MDGKNSSRRSGQGSLERFLSTVTENNSKDYMSGSPTMENRQISG VGVTFGPGMEVYEVKPEMAHLPYYKAESKRVNVYWGRQFLKYTIKMNHDPSSLLAIHT LFLSGEIKVRGKLVTTQYSSSGPGSGTLELLELPPSVFLAEITRQIPPKLLRGAQWTT KKPKFEDSCALRAVLLLFTNFGPLKEQEVETLPTMMRVSALFQNENDARRAVEVLNQS PLPLDPQQMLMARLEYEMMFKGPEKWVQNTLKQLLSKASFARNTHQMKLVQSLDDEPH FSLSGESREVLVEAMEVVRQLPVCDQIHEERLSPGGFITADECCVICGDTAESPVITQ CKHVYCGNCFHNLCSSTFSIGSWCNRVVCQAPKPSSTSTGIDNSICGRTLGLPEIQTM VKTNTFERLLTASFKSYVQGRPHRLQNCPTTDCDYVYVVPEPNDNLVTAPHITCPHCL VDICTRCQKGHAARGMTCLEFQDHLAHGEHSMALAKAEQGIQDCPKCTIPLVKVHGCN HVTCPACHTHLCWLCLEMFEGEAAAERVYHHLVVVHEGIFDEGDDDEYVDYLGDDDDD DDGDDDDDEDLGDGGGDGDGGNDDDDDDVDWEQEYGFGGPEAAPVLVHLARRLLRLLG QLRREQRQAEEQRRNMGDAADPNLIEEGQGEQADTGQEDNRDNDEDANVNGDNAANQG DIEVEDEQVALQAQGAPEAIDQEVWLIRRMILLEPELFEEQRPQPHQERRRMDWEEID VIEPHQQERRDWEEIVQPPPQQRMDWEEIDVIVPDIVYDFHFLVWLFFFIVGLLWKSI RQLALFALYVLPVCERITANPV NEUTE1DRAFT_126657 MATTALHQNAADHMAGRLGSGNMEQYIAINAGCSAIIAAIAKTV TAIHGLMREVRESRADLDPVSSELHSLNGILEILKDDVPSFPEQLAQRTPEVLSYCLT IINELQGCISILDRVGLSRQDKKSRWMASRGHIAKLRWTLEVYKASLGLAVDLVAFKQ QSEQQSPVRGTFMEVASVVSDGKDELADALAQISRVAARLEDEARHNGAVAKLQHYLD ALYGNALAAVDREMDHFEGQRNSAHSASTDKAPDSAIDLDDDAHPFVHAKTSDVPIPQ TYINMTQDEFDEMLDELREMPVRPPTPPLRSPRRSSSSGASVTRSEYNSSTSDSCTSN PYLDGTFLDVEPRNQNPHHRTQSESAAVSPRTVPVSHFPQMSGGLSPVPLKGFSFSRQ RSHSTASTSSAKSSTPSLSLAFGLSSTPFATPFTMAMPPTPPTPTSKSPAPQPVSNKP PSGAVFGVSLADSMSVAKGLAGTRHDSGGSSTRDYPLCILRCVYFIQDHGLRAPHIFA QEGDPNRLQRLKTIFSSPENGYGKFMDWNQFTVYEAADLILLFLSELPQPLVPESVAK RWISMSRQATMSGSSATRLDKGIDLWEEALQGIKGPHRSLFKLLLNLWGDVASNVNWN EMTAERLAEKIMRPLMHLPQKRYSTDYTLALAFVMRKRSEYNAGFRGERSPAAF NEUTE1DRAFT_133749 MKTGSILAALVASASAHTIFQKVSVNGADQGQLKGIRAPANNNP VTDVMSSDIICNAVTMKDSNVLTVPAGAKVGHWWGHEIGGASGPNDADNPIAASHKGP IIVYLTKVDNAATTGTSGLKWFKVAEAGLSNGKWAVDDLIANDGWSYFNMPTCIAPGQ YLMRAEIIALHNAGSQAGAQFYIGCAQINVTGGGSTSPSNTVSFPGAYSASDPGILIN IYGGSGKTDNGGKPYQIPGPALFTCPAGGSGGSSPAPATTASTPKPTSASAPKPTSTT ASTPKPTNGSDSGTGAAHSGQCGGSKPASTTKASTPQPTNGGNSAVRAAALYGQCGGK GWTGPTTCASGTCKFSNDWYSQCLP NEUTE1DRAFT_92506 MPDFDKQSYWHQRFESETAFEWLFPSSTFMPLLEAFLNKLPGSD ARILHLGFGTSDLQVQLRMRGFVNITNVDYEPLAIERGRHLEMTAFGDVTMQYITAGA TNLASVPKISSQKYHLVIDKSTADAISCAGDDAVLAMAQGIRQSLADDGVWISVSYSA FRYDHPQLPFDVEVIANIPTAKARATDPDIYHYCYLLRPKPKV NEUTE1DRAFT_126660 MAPHSTLDSDYSSGSSTPTSASAAGDGFVAGLNGLNSGRAVDPQ EPIAIIGMGCRLPGGSHSSSKLWELLKAGRTAQSRFPPSRFNIDGFYHPNSDRPGSLN MQGGYFIEDDIRGFENSFFGINNLEATYMDPQQRKLLEVVFETFENAGFTLDQVSDAN IGCYVGNFVTDFITMQLKDSEYTHRYSATGLGTTILANRISHVFNMKGPSFVIDTACS SSLYCLHAAVTALIAGECDSAIVAGANLIQSPEQQLATMKAGVLSKTSTCHTFDSSAD GYGRADGIGAILVKRLSDAIRDGDPIRSVIRGTAVNSNGKTNGITLPSADGQEAVIRK AYAQAGLGFNETDYIECHGTGTAVGDPIEVEAVSRVFKKPQGAPLLIGSVKSNLGHSE AASGLSSIIKVAMALEKGEIPPTYGVKNINPKIKTDEWNVQIVTETTPWPKNLPHNAG RLFRRAGVNSFGYGGANAHAILEAPQMHVPVGYNRGSLPASLTRSTLFLPFSGSNTAA LERRVTDIAAAIDFENVNIADLAYTLGVKRTHLSTRGYILSGQDTLKDDLKPENLRVA LQGKTYSKLPLAFVFTGQGAQWPEMGKELMKEFPSFRRTIQRLDAALQMLPHAPTWTL QGAILEPAKTSMINHASRSQPVCTAVQVALVQLLASWGIKPESVIGHSSGEIAAAYTA GYLTPEQSIIIAYYRGHCVTKSTMVGAMMAAGLGAEDVNKKISELGLVGKIRVACVNS PESVTISGDTEGIETLRAQFDQAGTFARVLKTDGKAYHSHHMAVIGQEYEDLLTEALD GDDFPTTSNGIRFISSVTDAVVNHAVGPAYWRANLESPVLFANVVERLIKDTASHLVE LGPHSALELPIKQTRTKLNISETKVHYGSALSRGKNSITTILNLVGDLFLHGHDVSFK GVNYVDSAFNSPKARKNVKTQEKMLLDLPNYTWDYSGTVFNESRVSVEWRNRKYPRHD LLGSQVHGGNGISTNWRNAVKAKDIPWMEGHKLDTTTVFPAAGYLAMAVEAMCQVADV AKEQEPALSLRNVNITKALTLGSEETDTGVELFTTLYPTQLPGGATDAGWNQFNISSY TNGTATTHANGLVKIDSAPAPLEVSLPIVPSTMEPQAPRTWYGKFAKGGLNFQGQFQS LTEIQNPRKKENPHTLAKTELRQGGGSGPSTESEYLIHPITIDALFQAGIIASTSGVV RELRAKVPVHIEEMHLRAPVGGQKELKVNATSEAVGFGTIRVDGELFDDEGRVFLQIN RCRQVSYQSGIQQEAGDERHPMLRVVWKPDVTRLGAGDAEEFSQYIEQYAAKSESKVD DATVRLGAALDLLIHKHPRLRILNLDVNLNEFLVDTLRLETDFKKCKTLVSGSYSEDG TLTFEDFTNEGKTSTAAQVFDVVILGSKAQDLEAAKELVDENGSIIVNGSPADADKLQ TLGFTTLQAPSGTILAQTPQEITTKQQKTLSKQVLIVERNADHVLNSAIAAQAKKITG LEAKRIPLESVTADIIAAHTRVISTIELENPVLSRVTEDEMKHIKTLTDNCTNLVWVT GGRLFQSASPEHAVVYGLSRALMLEQPSLRFFVVDVDHEGTPVERSAKHVVEVLQQAL IEADPDYEFVQNAGLLHVSRFVPEETLNRVFREKQGAEKLALPLKDARPFRLGTDMVG QIDSIFFRREEAKDVQLADGHVEVSVKAVGLNTKDLQAINGDGDNTSGSFCTSQYTAV VTTVGAGVENIAVGDRVVVMAPGYFATTESVPAWACQKLADNEDFTTLSSVPLQLSTA IYAVNNRAHVQAGESVLVITGSDIAADQAAIHVAQLAGAEVFAVGDSTNLPSERVFTK GDKALVAKLLKTTEGRGVDVVLNFANDAAPISRIGNVFADCGRLVHVGKSSLAEAIAT DSTLFRKSVTVTTFDIANILSLKTTAGQKIRSQLLADSIALYRQGQLDLASSPKVFDV SEVRDAFRALAAKGHSGSVVVSLENEASLVPTLPLKYDTVLSPEKSYLLVGCLGGLGR SMSKWMLARGARKFVFMGRSGTDRAPARRLVEDLELAGAQVTVVRGDVINMEDVELAV NGIDGPIGGVIQAAMGLDEALFTTMPRDYWLTGLKPKIVGSWNLHNAIRGRDSELDFF LMTSSISGSVGTATESNYCSANYFLDVFARHRHSLGLPATSIGLGMISEVGYLHENPE IEAMLLRKGIQAINEDEMLQIIDASLATPTAVPGSYDELARAHVLTGLEPLGLKELRA KGFEGTSPVLGDPRASLLSAALDESTDAASSNAASGMPAEVAEAIATGASVEDAVLKM ISKKFSNLVLIPEDKLNLTKPISEVGVDSMLAAEFRAWIFQAFKVDVPYLTLLSAAAT LTLLSELITKKMMEAQDA NEUTE1DRAFT_119159 MSTSGPITEFPAPGLRDPFRYITGHDAEGNAVFVQTDNGDHRAV MLGGAAAQNIIYSAGSNPIELTGNVDLEFAKNRPSLHIPNGVCVRMIDFAPGCKSNMH RALCMGIGTVCEGEVELTLGSGEKRILRPGDVSINRGAMHQWRNTSDEKPARMLYTLL DINPLIVNGKQLDFDMGYLMKEYAEYDEGEGDKKAE NEUTE1DRAFT_73816 MDLTGSAFVIGASGIGKACALAFARYGVRGIVIADLTLKAASAV AAECKSQAIHPNFLAEAVAIDVTKEESVHQAIAYAHQVLGRIDYAVNSAGVGVQLANE IAEASVFEFEKMFKVNVTGTFIVTRALSALMKTQDPVPVDKAVPPRGVSRGSIVNVGS ASGFVATPGMVQYTAAKHAVIGITKNAALDNAKHGIRVNSVCPSWVDTPMIRKAMDDI PELGEMIQKAVPLGRIALAEEVADAVMFLSSPKASYATGCNMILDGGTTLAAHV NEUTE1DRAFT_119160 MAPPNNNPNGYYVESFPAPGLRQIVRHITGLNEQGESVFLHSDH GDHHRFMVQNQAISNLLYSTQETPVDLNNNIDIQKAKEKEPPFHYKSGSIVRMIDFGP GVESPLHRAMTIDYGIIVEGVFELILDSGEKRIMRQGDVSVQRATAHKWVNVTGNGTL PGRVMWVLLDCKEVVDASGEKVEGYLGSLQEHYEGR NEUTE1DRAFT_73823 MAPQSTKFALITGCGAGGIGEALILEYQRRGIHPIATLLPFESS EHLDKAGITWFKLDVTNEESVVQLKKDVSELTKGRLDFLVNNAGICYTMTAIDTDVKS VQRMFDVNLFGPMRMVHHFHDMLIASSGIIVNIGSIGGVVPFVYGSSYNASKAAFAHW GNSLRVELAPLGVRVLVIISGEVGTNILKNDHGRTLPEGSYYSPMAEEFKNHVHRTPD AATDRFVYAKNVVGESLKKSPTTWFWTGSYSGVIRFLHTFFPKTVFDRWFSSLFNLAK LKEAHDAAMKKKVA NEUTE1DRAFT_119162 MAVTDSTVVFISGVGKGIGAGIAKLYLSRPNHTVIGSVRDLSTP SVAELKASPTVPGSKLLLVHIESTSSTDPAAAVEAIRAQGIDHIDIAIANAGAMPSTV PIEEVDTKDMLENYHINAIGPLLLFQALLPLLKKGTEPKWASVSTTAGSIGLVDPLAA WILPAYGGAKAALNWLTAGIASSQKEWMTTIALHPGLVQTGPGNWVAQKVGMGDKAPV TVGDSAASVVKLLDGLTKESNGKFYNAVDGTEVPW NEUTE1DRAFT_119163 MSGIMPPDVLIPHDPRVTHHYTTIPSTSQEITYHYLLANPDSAS FPQPTATVLLLHGWPDLSLGWRYQVPFLLSLGLRVIIPDMLGYGLSSAPSSPSEYSLK NLSFHMAHIIRQVNPSGPVLLGTHDWGAFLGWRLALYYPELIKGIFAFCIPYSPPERE VTSLEEHVKRHPELGYQLQNARGEVEEAVGGSKERLRGWLNAMFGGLTKEEGVMAFDP WKGVDVGKLEEVGESPLVSEEIMDFYVEEYSRNGIRGPMNWYRTREINLEDELPLAEE YANWQFQVPAMIVMVGHDPALPPELTDGMEKYFAKGLRKEVIPEASHWVLIHTPNEVN KLIGEFLEQFL NEUTE1DRAFT_53374 MTFKVIIVGGGPVGLYMAHAFERANIDYVILEQQDTVLNISGQL LFTWPQTVRLFDQIGLLADLEHVALGIHHKKRLFGDNGQVTTTSNFWDAMQDNHGYPF LPLLRSELVKILYNHLKGRDSNIRVNSRVTDIRPHATGVHVRLADGSLIQGSIVVGAD GVHSRTRQIMDSLVAQHALNPARLANKPMVSTFYGIFGRASNVDLGIEPEVFFESRGG GGEGGAVVQCLATKDIVQFVTLKPLPGGPTSERSPRYSDEEMDAYAASLADVAVCPGV KFGDVWAKVQRKSTRMLNQEEGFLDNWFFDRIVLVGDAVHKSTSVNGLGMTCGLHSGA VLANELHSLLSRQREKEDEEPSTEELKGAFRRYQEDRKTEVKPIWNGGHAMIREVVKK GWVSWFWDRFVLPWCDMETFAKGLLVSVLLIRQGQILRFVPFEGRGGRVPWARKVVV NEUTE1DRAFT_51820 MHLSSSLLFTSALLAGGINASPATNAYRRQGADMRTIIGDTTPV LSNTTAVHFPGSGDFFSVTERWDVYRPPSYQAAITPTTEADIVSLVKMAKQHNIPFLA TGGRHGYGTSLGKCQEGLAIDLSHFKDVKIDKQRETVTIGPGVVFADVFPVVSDAGYQ VQTGTCSCVGMIGATIGAGIGRLDGVHGLVIDALESVRMVTANGDIVEASKTKNPELF WGIRGAGANFGIITQATYKMHKSMGDIISFDLIYEPEQNVTLFNTVANMYLPPSLTVE TIMSYNQTTEKPTIIVSSTYAGGSEAEARRWMQPLLRLNPWYKDIKAIPWKRMSKETA LGLDKEVCANSQVFDIYGVNLRRHDANTWVKTFNKLAKFWNEQPAAQSSAVVLETWPN QAVVAVPDSETAYPWRDATTYVMIQMRWDAPGNPVETVADAMGRELRNDYAKTSGYNG LTVYVNYAHGDETPEQMYGRNKLHRLAKLKKQYDPSSVFGFHNPLPTSYP NEUTE1DRAFT_92533 MTRLQRFAVSSALLLQSLAFASPEPCLNGLCHFTRNKLASRTVA SELGPQLSANCNVYGPDDTQFGNCTARYDPFFTPKIQMVVQPATEDDVPKIVQYASAN GIPFLAMARRHGMTSTLSEFDGMQIDMSLLDKIEIQPDGKSAILGGGVFAKNIMEKLW DAGYVTSSGSTSCVGLLGPALGGGRGRLEGYYGVVSDSFLKLNVVLANGTAITVSETE HPDLFWAMKGAGHNFGVVTSLEMKIYPRGVDTWYYKTYIWTQDKLEAVFEASNAFHND GNHAGHHLKEMAFNMGSYTMIPSISETEGVIFWSFVYRGSKEEAQPYLDAFDAIKAVS TEDGNVPYPEIPGVSGTGMNSTLCDYGLNHVHYPAGLKVWNVTTQRQIYDLYNEKIRE YPEFQRTTVNMEDYSHEGVDAIDPDTSAYPWRDRGLLSFIAVTYDPNPALDEAAIAWA QETRDLWNAGAPDLLPSTYVNYAHGDESAESMYGYEPWRLEKLRGLKAQYDPDNLFSY YNPIIPNMNPRSTHESNFQGRQIGAKSRSRGQ NEUTE1DRAFT_126669 MAPMKQTQLAEPTILQTHVPFPVIDIETLDGDSVVLGKHETDTI VVESASELVTSSITTSLFRTQKGDNSSLNIVHDANGRPMVFSVGSDGLLDITPVAENA SVSVTAFDVLEIKQDLHFSASVRYTPGGSEETHQVFWASIKQPNAQITDGQLAGFTAS DLKWKPIQNNLGPRVITKLVNVVNPDAVPGSPAFTIFAATRTTETHLASTYAINPSQS ASAPWTIISFGAQSYSIVDMQPVIVGSASKGAKKRAGLTLLHDAPSQKADVERRCHVL TLNDARNEAFGLIPLNTEKISSPSAIWTSFNSWGSHDVLVAGNGIAFHQFQNLNDPCL DSKGDVILPHITFRQVTCKETMLANPRRYILSILAVSEDDELYLIEGERDATNFGLPT FNCSGLPVRTNVAQIACHYNKQIDAIEFIYTTKRDNQISHLARDSKTGVWISTDLQVQ GPGTLAKENQYVTTITLLSKATGDPVPEGYPVSLKSEPVFVKINDLAYRLNRMRPTVV KTNAAGQIDIVTAAPDHLGISSLELSLESGTRDSGPNYNVEDNSSSIGSETTLVEWHG AAAQTSEKGAHVQRGEQSWIEKAVDVAVEYIGDALEFLKTVVKQTVKFVVKVGAKVLR IYLRIQGKVLSFVVKHTAALVRSVKLKDVALGSLTVLERFLAINRSSLEDLFNDAETL IFGSVERLQEPQAPRQETTIERFIKRILNSPIVKLILKFNPLSWILEGVSEGLSESLP DFKLPDITSLAEAIGMTGDALLEVFLTAFGQIIENIATSGTEALLNPKQMLGIIISAI KKASRTLFHATRDTILIAVDCIIRVIHVIPGLLTEAWTIPGLTDVWEDWTGQEFSLIN FATYGSAVLLDLMTFAVLDQVKTSACAPFRPGWAERIEMEPLYTSFQDTRNQAVLAAR YPGPSRPEDTNPMVMMVMADYGSISQKDDEKQGAAPETEETSNSSFNDWMSIVGGLFK LVTNGLIVYQAGRAEEPIGRKMVAFKTVTALVALGSMIGEGSSYITSSVEKANKDPKD IANLGQNLGMSAGLSVAVVGVMVEAYMDITKAVTDARIANMDLPFDEMDKQRQYQAQN KVAHCVGGCALNIGAAAMTGCVPGCWSKPRWGNILQTGASVGGAVAVVAANYFDETPK GKAIKWGMLITDSSLTVASMYSGFSNIVAPAASK NEUTE1DRAFT_126670 MAPRSSDPDTLKGYDMVITVSQDAINKQFQELYDREIPSALMPD PEDLEGFETLPAAKHYINHQVKINPQTLIEWDTPGFEDIRPEVRPNDGLFFLESDKWI EGEIDAPFVTFGEEEGNYRCVRVNLRFKSGKLHYSINGHQVKADLGGSTLSWLTDLSH KEVGNVMQNIVALSKKRESHTLVAQPVLDKFQEVSEQNYTVTALFCLFQSTKVVNSFK FIDFKGVNKTQTAASNEAANLLSSYFQALTERSQIASTPNNPFVLGYGLTQELAAQTA EQKARAENVPYLVPKRFDLSVTKGDGDYSKGVINYCILTRRPSGVSESNISIKEDPGA GFWQNGNTPFKRVRADGMSSGADGVMAFSRGIFRDFWLKEQFLKYFKVNTTELAEILI PEGDNVARETWGRGLWKNDETISVDGTTKLTLKQEFAFNEMRCHEMDVDRMIQTEELS TFLKVVLQASKAIPGMELKYNQRRLANGVAEAILTYTSDVQQNPAIDKASDQQRRLKF DIELKSLVSITQQASGICNINRLAEDKKRLLKWVALPPTIIVDILNDRDYFERVFSEW RDARRADVQITTRAGFDMHPAGDTWKLNLIKDKPRTTIPLGDEELPRQTIGPETYSTF ENIGGVEKMRNLNERSKEDGVIVELSNHEMFKEFGTPKGMDGFAKPAHQWASGFESSL EASMAALASSLQTKLILPAGNVFEFKSLNTDSEGHVYSLITYKKAKVSQT NEUTE1DRAFT_73840 MPQLVGKQVGPTGYGLMGFCTKNPPTPKEQAFKAMRAALESGAN FWNAGEFYGSPDWNTQKLLAAYFKQYPEDAEKVVLSVKGAFLFPQMIPDCSPAGLKRS IDACLADLDGTHKIDIFEPARIDPKVPLEETLKYLEDEYVSKGIIGGIGLSEVSAATI RKAVKITKIAAVEVEISLWATHTLENGVAEACAEFGIPLIAYSPIGQGMLTGQIKTLD DLAADDFRRHYPRFYPENFHLNIQLVSELNELANKKGYTPAQLAINWVKSLSKKPGYP TVIPIPGATTAERVKENNVDIELTSEDLKKIDETLKKFEIAGRRYPDAVPIDG NEUTE1DRAFT_126672 MGKGFQFIDSTKTDRVTRRLVRSHAMKGKNVGKVLHRRSRLELD LHAPRPSKKACTSTACNLLPQQGQQPTSASTSTGRPSSTKSRSKSPEETPSPSDGSSS TGSSSCSYITTPASSVTDLTSTDFLFLDDLDNLDWLADIENHDLDGYIVDTLTSPPVD MSFQGQQVQQSSLILQAHTQKALSPTLGARDSLFTLAFPVQATPQSQYVISQFFGIVI DALYPPQLVRGAAKAYDYWIGTLFQDRTSFHCAIALMAALSDFFFGDQALTPDAIYHL SQAIHMVNQTLETNGALSESNLAVVNFLVIQELLRDSFKSKAEVHLKGLQRMLELRGG LNSLGEDNPLGIKISKTAVDYALHRGTPIPAPFYRDRMSSIRARLLSEGFILSSPPSP LFSFFSPLSPPSSSSSLSGPGSLCSVQQQIQIHPFLSQILSDVLSITTLLNSPFLNFR FEPHTLQEFLVSVGCRLIRFRPLSYYQTQNPKKGKRPPPPSTATTTTTQKSRIESAIH LGLIALTTTLFLQFGRRRFLRYELVKDCMTKLISEWDYCSSSSSSSSSSPADNVENQT LLWLLHIGGISVLAGPEEQKWLAPKVQELAWGVMGILDWEGSGSGGVKECLLRFPWVD SLHSEPGKAMWDSLGVMGMVIV NEUTE1DRAFT_73843 MAAPPTQGFDTSSLSEKDLRVFRLYGRLPSNLASKPPSTPAPSS TSTTPYTTPTNSGSSLQPATITSTGPNATTNAVTTRFARHLRERKYFDSGDYALCKAG RGNSVDVGMTGSAHPAPEEIPHPVPLSIMQRRRGSSFCGGVSGTFHLGTREGEGEDDG GGLADSPGLGGWGRYRRSSLSVEVVDADGGYEVGGVDGRK NEUTE1DRAFT_92544 MPPGGPPKRPSEAGDTPLDNGLQPKMKLPRLERSTEDFSSVVKS KLSQYTRTGQACDRCKVRKIRCDASPAGCSHCASQNIECVVTDRVTGRTERRGYTQQL EARVRDLERVLADHKIEIRPWEGSNANRNPHPPGVTLDAMGNPIHDPTSQGEWQQQGS VWYRKSRRGSQSTPGCYTRCSLLESRPTESYLGVTFKGTTLSVLGTTIEITSFDDPDP DMDEPSPNTPIGSPLYNKSVTAFYQSLVRINPPMEHCDLPSRHDAFTYAEWYFLTIYP YMPLVHRPSFMQLLTRIYDDPGFKATVPELVLVRMMISSMYFQYGIRNHREKPEDHAQ LNDLSNKHYHWCLSKFFELAVSQTVTAVQALAMLASHTRNFPKPGCSFTIANFAMMKA IELNFHRSNKIPNGRTTLENELRKRVWWSILGIIITLNGRLGRPMPITLEEFDIEFPI AIDDEYLGEDGILDPSKIGHCCYQIGLAGFKAVPLYMEMYSSIYSVRRDPKKYVDTVA ELEAGMRKLTNELPDCLRVEKCQPTDLVFALYTQAISLEFKLCLRHPSVCLEKDPKFC AENTRICEQTARKLLKVVGELLKLKSLDTTWYQLSVYVASTLTILVANWERRHEITHM EMATLRADMVLGLEIISEIGRLTGTGNRLATTLDTIIKQTITRIERDMSRKDGLSVDQ TIAKQQSASPFQQQPLLPFKQPLNSNGIASSHSVTPNDHRSSVSTNGTGYYDGSATPY QTMSVNENSADAHQTSNGVSLAAYDPSGGSVQYLYATATPTSSTAPPQTVAAVMDQTS SAINPLIAFASQATQHVSTTGQPGTGAEEWRPQVHPQFAVASVASNAPNNNAWHDWAA AMADSQERYSANALLTLGSTRPGDVSGAGMVDHSHAHVNGGAGHGPGDGADGLGVSAA ESAVVGAGGGQWPLLLFSDVIGNGNAS NEUTE1DRAFT_53958 MALETLRIEHVPSDHHVYAALFRDVQNVDFLQAQLIGKNPEFEY AFIDASVIISRTHLFAAIFRALNTLIEGTLQTPNVHSDIVIGLSPTNNISESYRRYGL TPSKTRDVLVIKVVYPKLSSENSTITSEQLTPESIWQHLTTHIQGTPVPLTNEEFAKS TDWVKVRKYYKLNGMPGVERIDKADEEGRRREMEGLIISGIALRGL NEUTE1DRAFT_133765 MFPTSTSPASRQLVCNIGHARARSIASALGPAVASISRHHVSHS TLMVGRINGVGRTYATRLIHSSTNRPKPTDHLTIDNASSLPATGGPQSNEMPKTPGPS PLAVLPLTNVLRSWMTTTVSSSPFLLPPSLAVMSVLAHTTNPVLNPDRNPLLRAFLKK TFYAQFCAGENPAEVRQTIDSLKQIGFSGVILGYAREVVLTDSETKDLASCAAEGGAA AEECIRTEINPWAEGTMETVRLASPGDFVALKFTGAGRQALYSLSKQLPPSEALGSAI DGICNLAAERGVRLLFDAEQNALQPGIDDWTLDYMRRYNKTSTGNAVVYGTYQAYLKS TPATLSKHLAIAASEGFTLGVKLVRGAYLGADPRHLICDTKADTDGQYDGIAEALLRK TWSGPLQPPAPQSGSAEKEMTNFPDVGVVLATHNRESVLKGKALLDSGVAKLGYEQVA FAQLQGMADEVSCELVAGPHESPKEMEIAAEKESAKGSEKGSEMVNKPQVYKYLVWGS TGECMKYLLRRAYENRDAVQRTRSGREAMGREVRRRVKGLFGVSS NEUTE1DRAFT_126677 MSTTKETEAAAPESVPEYVTKCRLSIPDAVRIPLASSTALLIGF TLGISQGIKTSGMRFRAEHAHKLPTTKMGWYFYHKSKNYNSIWGGAKEGARMGAKICF WTTAMFGIEHMFDAYRGTADIFNTVTACVTVAGAFSLWNRFSLPMAARTTKTALVVGL AYGGLQDVAGIARGRPIGYVEFLKRRVGGFKNTADENQKERVI NEUTE1DRAFT_92554 MPRTTNNPVDDPRITLRLKCGIHTIFLFVMLDWTFAKVSEELLM ILHDRYPNGLTFSVDAEPVPLPEGDVKIVYGIPRHPNGDLSHGWKKLKVEDEDTVESS KLADVSAVAFALVDPESEGNVPFDVTVPRLDDYDEEY NEUTE1DRAFT_52803 IVRDCVAANGSVARQAESVQLMCKFQTPSSRLALTASLLAELSD HPRDITKPPSEDAHA NEUTE1DRAFT_150688 MSQLSWSPTATLRKGLRIFLPISLALTIYLYFFPFFGKCAFPLP PVQHNAPADTGYHAFLETVKLHLSLPSVPVDETDPTSTPTVTPSRPLAPFRLLALGDP QLEGDTSIPTEYLGVFPHVKSLFRHLTFQTSHASLRWRLRQCFHEIVDIFFEDVFNLA ESYRKRFDHWGNDYYLAHIYRTLHWWSRPTHVTVLGDLLGSQWVTDDEFYWRAHRFWN RVFKGGERVPDEVAMYPADEYDLTGYLSTSGPANDSSVWTKRIINVAGNHDIGYAGDI NTNLTARFEDAFGKINYELRFELPLTNKSLTSTLFDALDNPLSDRLVPELRLVVLNDM NLDTPALSTELQDDTYSFINSVINTASAVQFKGHFTLVLTHVPLYKPDGVCVDSPLFT FHDHDGTLKEQNQLSLAASKGFLEGMLGMSGNKDAPGNGQGRRGIILNGHDHEGCDTW HYINQSFVEVERLVQSEDGEYEIERKQREWEVKRYKQAKKESLIGREDMPGVREVTVR SMMGEFGGNAGLLSVWFDEEEWEWKAEYATCPMGTQHLWWVVHFMDFFVILGAVLLAG AGVAGWFGVDVDRAVVRGLRRMVGGRKYRRRTGERSERQERLRGEGERHRDERGKSRD RGVLKDRTRSKSRRRLERRGVTPDQQLWSEAKKRLDYAKS NEUTE1DRAFT_73861 MTKEDPIVKALQQYTTCDVSDALIKLKYRNGGFLSGLTMWSPHR QEGATKIVGPAYTVRYVPLDDPRPKHPTHYIDDVPQGSIVFVSAPAKTPNAVYGGLMS TRARALGAVGSVIDGRFRDLQEQRDLHYPIFARDVGTAPPAELLKVVAVNVPVKLQTD EQEDMMINPGDYIVGDINGVVVLPKEMAEQALPLMAKQVEADAKMQVAIEGGMSFAEA SKKFRG NEUTE1DRAFT_92564 MPRKATQQLVGRRITTRTSRTVATVVDNSLHAPPTPIPSNLNTP LSSAYPSTYASEAEGDVDGEDVTKAMGALTLAGSAEVTGEASAPPKPLSRLARRKQKP FPFLELPAELRVKIYEYFFDDTHYVLDLDPDNYRRIHKKLGFLRTCRTISLEAGYLFY STHTFRIFPTHPGKHFKTKKPLLARMSARQRSWITSLEMRLGPGWNKPPRGWVVNPAL GLHECTSVRKLTVFVECDPSDGIFNGFRRADDFYEGFSRGLLTDVLTEMPFVEHVTFD AWSSVRKKGAMMRGLINTALSHGKQILWGPERGWSDLDNEEDIVVPTNDMVATALLNG VGMDVVIVA NEUTE1DRAFT_92566 MMLSRAAVRSIAGARVAAHAASPLLSQRTLPAVWTRSMAKDNKP PKFSKPESTPAQKATPKAPEPAESEKAEQKQQQQQQQQQQTPAESESEPEPEIDLSKL PDLRGGIPTTLEYEMAQKEAGKKPVAGEEAETQAEGAEGPEAATSGSGGGGRKKGQLP DSAYVSSTEKRRQKMANWAFIASGLALVGGTIYLGREWDEEELEKHHDIPNGWGLGLW WKRAKARMTGTVSYYQEPAFEKLLPDPDPSFERPYTLCISLEDMLVHSEWTRDHGWRL AKRPGVDYFLRYLSQYYEIVLFTSVPFANAEPIVRKMDPYRFIMWPLFREATKYKDGE IVKDLSYLNRDLSKVIIIDTDPKHVRAQPENAIVLPKWKGDPKDTELVSLVPFLEFIH TMNFPDVRKVLKSFEGQHIPTEFARREAIARAEHNKLVAAKAKKAGLGSLGARFGIKP SKLNPMAMEGEEDPSEAFAKGKMIQDIARERGMRNYLAMEEEIKKNGEMWLKMEQEAQ EKAQKEMMKNMQSSVFGWFGGAPSGEQQSGESEKKA NEUTE1DRAFT_54132 MHIHSLLALTLAVTTSALPSLPGCLVTDENHSRACHEVGPVQSK LEIVDGQKQQNRGGLQGQIEYVDQQIGKEIECPGCGKNIVGDLVQSRSIGHNNMVTGQ GEQQLDMINGKTHEEWVGVGQQQQQDSMPHGQKSNFKTGQNQKRNHLGQHFDDQSSTH GPVMYTGKTQQDELLTKGQGKTQELYNTMGQTNGRIQERDITHNWANQLNQGQNQEQQ EQFNKGAQQIEGLYTTGNTHGIIQDFNKGGQNQQLYNGQQIGTTNQLYKTGQNGILLT TNPNHQQEQFNKGQGQGQQLYNGQQTHQQQQQWNNGGGPNDILMTTTHQFYQGQPINT QQLNNKGQGIQQLHNGQQTQQLNKIGGEQTQQLNNGNWNHQTTQELFKTTTNGIIQAR HETAGEHFDGHQEEQQLAAGNSQSKQQQEEIEIGGGQHKNQQEAVVLSPQQMMDFVAA QSKQQQQQQQWVREQVVTVDGKQQEVWTCV NEUTE1DRAFT_126682 MPVTQSRIPIRASQPSLEPNAVSMAVSLWPRIDIAPDPNAPSSQ KPTQTSIIVAIVVAVIALMLSITLTLRAVRARHPNPKYIPTPFLKRLWTKWKVPPVKH AYAQAGIDEEYNSHNRANQDAMEETLTAVNARLAAAAGRTGATGGTTAEVNRNQSIRS IMTLPAYRPAPAENERVLGREGERDGIDVVVEMPTAEDEEHLRDEEMEALYQIRVARR RANQEREERRRLRQEARQAHNTAALRQIREQARVQTARNTEELEVLREEHNRIRELRS RATSSVQYADLGVARADGTRIRANSTESERVGLLSDAASMAASQQGVRPSTSATHHRR GSSSLSIDTSRLGDQFLDSSAVATPLTGAGGSSTFSLVTRNSHERGRSISRSRANSAA NTPRAGSSPEMIDADDADLGAVNMPPPPGYEDVRLDDLTPFRSPVASAAVSGRNSPTT LTPLPYNEPPPEYPGPSPAPSAEETSLSTRTDGGRTLAHQRSISGGGGVGTGNLPTRL PSLRLDSVPLIVVEPEEEIRIGEGSNNNAASTEESVSNPPNINITFQGESSTARTSVS ESFRTSTESDSGEETSVTTKGKKPERTIEVNEQVEKEKGNGSGAVTANIDRSESTRST TAARDKESHSPPVGEGIKGTSARMTAEGADP NEUTE1DRAFT_126683 MPPTKTPDDDIESGQSQATTINEETPLLISQNDHRAGPDNDTVE EAEQDEGSRYTCSYFAWRIFWTVAAVTVTVIFVKAWIDAGADVNFDLKGALKRALGGG ISGAAAMVLQVLLLMPLRTIMNYQYRFGTSFTTATKTLYRQGGLRRYYDGMGAALFQG PAARFGDTAANAGIHALLQSNSFLKHLPITIQDIFASLCAAAFRMILTPIDTLKTTLQ AQGPRGTAILRQRIKTDGIGSLWWGAFATAAATFVGNYPWYATHDYLLEIIPEPAKHP LGIWLLRLAFAGFVASVVSDSVSNSLRVVKTYRQVNDKEVSYSEAARLVIVNDGIKGL LGRGLKTRILCNGLQGLMFSILWKLFLDFIIGQTKRDCTYPGMCVCVQSAQYVLRSSD MSVGRTIDHAQISVDQGQPRRNRRSSVCTAWTEWSW NEUTE1DRAFT_106275 MSRVDDLTPSIGYSHLSLLCLPTAFASSWYHDAFCSQKPLLGST GNCVHFPSLLDADLEDLAAGLKKGLFTTIDLVNAYTRRILEVNSTLKAVTQLNTDALS IASELDAARTNGTIMGPVDKIPDYVGASDYFALRGARIGIPRNLIELNDPASNPYAPV LSVFEAALSVFRSAGAIIINDLFLPGNETTKKEQFVFENLVLNTDFPRLATYFSELTV NPHNLTSLKDLEPWFS NEUTE1DRAFT_119169 MAPKIAIVYYSMYGHIRQLAEAAKAGIEKAGGTADLYQVPETLS DEVLAKMYAPPKPTDIPVIEDPAILKEYDGFLFGIPTRYGNFPAQWRAFWDKTGGLWA TGGLYGKAAGLFISTAGLGGGQESTAIAAMSTLAHHGIIYVPLGYAKVFGELSDLSAV HGGSPWGSGTLSGGDGSRQPSESELKVAGIQGEEFYNTLSKLTGA NEUTE1DRAFT_133775 MSSSGRIANNAGAGVAPTAPAVEYHNPTDDTTLSIEDNSTTTLD DVWGDDGGDNDDDVWGDESHSHSHSHEDHHHHHEATVRGHGGHNHPSDIPRLQQEHTT AGYRDGITVAKAEHVQAGFDEGFGLGATIGAMAGQLLGVLEGLSFSLGSSSAKGRKPP QQDDDEGEGEEDIKERVKRLLAEAEKDLSVHSIYGKEYWDEDGTWKFEVPGETEEQHE RYQHPEREQPQQQQQQQQQQQQQQQQQQQQQQQQQQQQRQRDQEDMEEEDQKREEKEA KPEILFPDIALAHPLIKKWDQIIRTELAERFGLVWDVAVLLPRSEEDEHEEGHEHGHV VKGSEEQKDAKPVRATSQALAW NEUTE1DRAFT_150697 MAAREGEENVGTFFGDIHYFYGPPTNNPPHHRFDKGSYVYLFED ANLGRAQLEIANQPGREDQDAFDGYLDKTHLEYDYNHTCLVTLTVGEVEGYEEWHLPT YDPHNQAKYHYKLHSLDIYFHNHQDALQFVNGIRRVLPPHQCDVHNEPGPPPRHDTEV SAVVQQLERAAIADHGTPPTTLSQPPQVGGIQSFAPPPVSAVSASHDHSTPSPQAFVP MAYNPAAPPAAEPVRYREKTPPPEDAAVNPLHQTLAYDATTPFSPGLPPPSGRGPLSP GIPPPNLQHPPGAPTFAAPPQHSVTSPGFTPQGFGALGGGYPTGLSQPPVHPGVQRSV TMPGGLPSPGLVSPGFASPYSQGYPAQPASVAAVGGYGAVPPTPTPPGAGYVAAPSPI PPSMQQGAGYGQNVAGQQEYEVHHQLYVPEQKKGRLEEGAGKLERGVTGMLKKFEKKF I NEUTE1DRAFT_92583 MGIQQITNMCSHLQNASRARLGLTSLPNTKYNLALALALHRAGF ISSLTRGGPHPPTPEALMTYEPEPVTSANVATRRLWVGLKYWNEEPVLKELKPISKPS RLVTASLEELNRVARGFPAGYMKGLQLGECLFVNTDRGVLEVREAVERKVGGLVLCKV K NEUTE1DRAFT_119171 MAARTLKIGLIPGDGIGKEVIPAGRRILEALPASLGLKFEFIDL KAGWETFEQTGNALPEETVSILKSECDGALFGAVSSPTKAVKGYSSPIVALRKKLDLY ANVRPVKSVRGPGVKPIDMVIVRENTEDLYVKEEKTYDTPEGKVAEAIKRISQRASSR IATIAGEIALRRQKIREGGSPSIHKGPLVTVTHKSNVLSQTDGLFRSTAREALAAGRF TSVAVEEQIVDSMVYKLFRQPEAYDVIVAPNLYGDILSDGAAALVGSLGLVPSANVGE GFAIGEPCHGSAPDIQGQNIANPIATLRSAALMLEFLNEEEAAAKIYAAVDANLEEGK LLSPDLGGKAKTEEVVQDILRRL NEUTE1DRAFT_150701 MRVIRAVATLHAGRAAAVRQGVRSVSLGACRAAVETPSLRWTGS QFESRRLFSRSSYLRNANMTAAEIALKQAKELAASNMTPEAAAARMTPEEAKRLARVR NIGIAAHIDSGKTTVTERILFYTGRVKAIHEVRGRDGVGAKMDSMELERERGITIQSA ATFADWKKKEKGVEETYHINLIDTPGHIDFTIEVERAMRVLDGAVMVLCAVSGVQSQT ITVDRQMKRYNVPRISFVNKMDRMGANPWKAVEQINTKLKIPAAAIQVPIGSEKELEG VVDLIDMKCIRNDGQRGVNLKISKEIPAEIKELCEQKRQELIEKLADVDDEIAEMFLE EQTPTPEQIKAAIRRATIACKFTPVLMGSAIADKGVQPMLDAVCDYLPNPNDTDNTAL DRSKGEQPVKLVPYNSLPFVGLAFKLEENPYGQLTYMRVYQGSLKKGAYLYNSRGNKK VRIPRIVRMHSNEMEDVNEIGAGEICAVFGVDCASGDTFTDGGLPYSLSSMYVPDAVM SLSIKPKRSSDADAFSKAMNRFMREDPTFRLHVDEESEETIISGMGELHLEIYVERLR REYKVECETGQPRVSYRETITQKAEFDYLLKRQSGGPGDYARVVGWIEPNPNGGEDNH FESRVVGGTIPDKYISACQKGFQEACIKGPLLGHKVIGSSMVITDGATHVTDSSDYAF NLAAQMAFGKAFTAAGGQVLEPLMKTTISAPAEFQGNILMLMNKRGTIVDTEVGADEF TMVADCSLNAMFGFSTHLRAATQGKGEFSMEFSHYAPAPPHLQKELVQKYQKELEAKR IK NEUTE1DRAFT_150702 MKGYTSSALLLGPALLSQLALAQQAPSGVVHWGIQKRHAPNAPN RLLRRAGPTHQAILQNEQARGGYFATCEMGTPGQKVTLQLDTGSSDVWVPDSTASICN KGACDLGSFDPSKSETYTVVGQGEFDISYVDGSSSKGDYFTDVFNIGGTTVTNLTMGL GAQTDIAYGLVGIGYAINEAIVGNSHSLSSQYPNLPVAMVDDGLINTIAYSLWLNDLD ADEGSILFGGIDTKKYKGDLTRIRIYPSSNGYYFSFIVALTSLQAISPSGNDTLTSQE FPIPVVLDSGTTLSYLPQDIVDQIWQEVGAEYSDRLELAVIPCSKKSSNGYFSFGFAG PDGPRITVRMDELVLDLTSGDPPKYTSGPNKGQDVCEFGIQNSTSAPYLLGDTFLRSA YVVYDLVNNEIALAETDFNSTESNIVAFASMSATIPSATQAPNQAAVTNRPVATMPSF AASSGFSDTGGSGNDGKDENASAGMPSAFGVAQMSVMGIAMVFAMIGSGVFVLL NEUTE1DRAFT_150703 MAQRTSSQKLPLGLAGVLNAPEDNRDSAYYSATDASSKHTSAAS GVGVFTPNGSNFQPSPIDKTSPSPTNTSNLQPPQSHLSSVNSNMSVASMVSPTTPGSA DPKRFDRPQSYESGPNGAPLTLNSDLEAMSRRGSWDSRHSLNQGFNDMRLGNSPYASQ NQSTTSIHQTLQQQRNPRSDMNALTNHRISNGYQPSADRNPDGQSRTRVAPTITGPAT SQIARAAEPTKGQAWAFPEEEIQRVTSSSQSLIDSRRSSIAESLASSHFTMDSRLPSG QRRLDDNYPHRLSSVSADFANPTVHHHHHHSLQHRAISDLQNEEGGSHAGSQPYSRTP ELRVSHKLAERKRRTEMKELFEQLRDLMPQERGSKASKWEILTKAISEHQRMSNHIQV LTSTLHNKDREIEHLRHELQRAQMEANSLRRSQPTPMGETYGFENRRSQQELPPLRTT LQAAAAAPAPGLDAMTGVQYEAPRVNGFRPPEPNRF NEUTE1DRAFT_143163 MAEITVKKRPFLLLFGIPNPTTTIANCPTVSNMAGSTVFNSGWK KRSKGEEPSKKMVTPS NEUTE1DRAFT_119173 MATVHRGVFCLVSAVLGVGVSVAVTQGQVLSLDQAEHPHSAQVY FLARSDTIP NEUTE1DRAFT_52411 MVGISYPAKGYHKIGGHRPFSTVEVYYDLEIREDGQPNPSSYTI NTYSPSVESISPNMRFAPANYFRKNRNRPFWTSSSTVLSTNTADEERLLAHTSEQEAS EERKERKPGTGRSDNRRNGNNIKIIITEPEPVASRHGISLEFDPLRSHPVNLDRGPSI NQSGTYRNLTAIPRNAEPNKTASNRIHQLDSGPKTLGPSSTSRSHRHQHLRKSTRPTL GSVSGREDLRAVFQNGEQTLPVFPIPLFNNPFLPIHPFILIPILQNSIRRAALRSALS ASRAISTQAPAASFSASIARTALVSSRIQAIRFFSQSPRVLNTEEPTQPEQTETETAP AATEEAAVQKDTTEGHAAFVRNIVFDATEEHLKGAFEKFGNVTDVFLARDPRGLSKGY GFVTFATREALEEACSQVDGSFWHGRRISVEPRRAKVNRGSAVGEPSACLFIGNIPYE TTDAELNNIFVGIDGLKDVRVAVDRATGWPRGFAHADFVDVEAAVNALEKLQGTQLGE RTIKIDYAQPVAARQPRENNGERREYRPRQQRDDQRDGQRSYNRDGGNRSYNREGGSR NYNRDGGNRSYNREGGDRNYNRRDQGESTDF NEUTE1DRAFT_92604 MSFMGGAECSTAGNPLSQFTKHVGDDKSLQHDRLANRGPNAAVG GFRSINRGPGQDEMVNGFLNQTPEMAHMPLEQGHMLHLDPTQGAHMRAPNASPAWAQD FHNTQAAMEAAFNAPPGTQFSADEFSKFQQMHPAAAPATASQMPAQRPMMGGGMMGMG NMNYNMMQRPMYQPMVGMHGPQMHNIPQQQLQPEGKGKGKVVELDQNKWEEHFAQLEI NGDEARAMEPELNKMDEALLHSETGAGDLESIWRGIQAERDAMKELDEIDVQDDFAKF DSENLEKEDWTLNGRLGADPIVQEYLFEEDNLFRETPNPFEEGIRIMKEGGNLSLAAL AFEAAVQKNPDHTEAWVYLGQTQAQNEKEEAAIRALERAMKLDPNNLSAMMGLAVSYT NEGYDSTAYRTLERWLSTKYPSVISPSNLSSAADMGFTDRAQLHERVTNLFLEAACLA PDGDHMDPDVQVGLGVLFYGAEDYDKAVDCFQSALHSTELGTSNQREQIHLLWNRLGA TLANSGRSEEAIAAYEKALAIHPNFVRARYNLGVSCINIGCHAEAAGHLLAALDMHKS VEKSGREKARELLGGNAGDSDSRIDNMMTQNRSTTLYDTLRRVFTQMGRRDLAEKVTT GVDPEIFRGEFDF NEUTE1DRAFT_92606 MSRKKNKSKGQRGVAAALATFQGDSMAIDTEVTGVQDSTAPTDG ELTSITISAGLKRPCPEDDHEDGGGWQTIENGRPVKKLKKIPGQESKRYPGIHFSTQA RLFSKINVSSLRDLILYIFADGPAPQWVSVKHRPEFRKIVAIMVPGLDEAMFKNGLDF SAYTGPTLDQAISGGGAPDPDEYYPRELKKELLPEVLQPFADMFPHLWPVKAPGDDKY GKLHSPMPAMLTAPLPKSKGTGIQPVSEPHGWKNQRTRITEFLATNEELMEHGFPIHP AMLNPGEKRDKFKDPEGWVHTRVENLEDGKVPEGEVQQGSVTAGREVYALDCEMCMTG EAEYSLTRISLVSWDGSVVLDELVKPDKPIIDYVTRFSGITKEMIDPINTTLKDIQAR LLDILGPRSILLGHSLDSDLKALKLAHPFIVDTSLLFPHPRGLPLKQSLKYLAQKFLN REVQKGGGTNAGHDSIEDAKTCLDLVKRKCEKGKAWGAGESQGENLFKRLARAGTAYR STAGPEATGGAPVGKSSAAVDWGDVSRSAGNAATVTISCKSDAEVEAGILRAVKGDPD GLEVPGGGVDFVWARMRELEALRGWWNRNKLVPEDAGGPPALTDGDKKEEEEEQEDAA SPLETCLKTLGERLKRIYDNLPPCTAFIVYTGTGDPREMSRLQAMQAQFKKEYNTPGS KWDQLSVQWTDREDQALRRALKVARSGIGFIGVK NEUTE1DRAFT_150707 MAPSLPPPIPKTRVGGIPGVDRGRSLFSTERFGAGETIAIIDNP LLALPDDANMRTTCNYCLYVSGTIEFEGDVEAGPRTCKACTGCKAAVYCNAECQRAHW KLVHKAECKMFKRIKERTGKDWLPTPVRAVAQVMLLLKAGDEETVKAFGPGGTLESNV EGFKTDEGLWGDFELQATGAVVYAGLLQSDETLKQAMEVLCKMQTNAFNRFDADTGQA GIYLHPSLSMVNHSCVPNAYIAFEKRKAFLKAERDIEPGDEILISYIDHTMPRRARQE SLRLYHFQCNCIRCKDDLNAYEVIQACGVSPGVKWLNSTSFQPDVASLVPAYIERSKT VPKSKIEEIHWKCMELTCIVQGDPMENARAQWELCKPLRDAQLWALDPLPPTILRIAT MLGSNRTTLAKALPLHCFMALECYPFKYPASFTPFRVKTLMTIAKLLSAAGDLTYSGD LARYCDHEGVIGVLATADIVSLTEVILRLVRQNGVIGGVASWDVLFGTKEMLRDIEDL QERDEKDAAMVKHWANNLQDPVSKAFWENVVLKPITQLASFAIEIIEAELGCNKSLAR KKLGLRRGPFVTVGRCQILGSLLVTLEPLHPALENIEVYLKTFDRAHVAQHASQVYIF STKCCNFILVEVRDLGPKPRQFLLQTASDFGQSVARLSGELVLR NEUTE1DRAFT_73915 MSGKQEGVNIESLSAQQLTAVKQQLDEEVEHLTASYTQLAAAQA KFKECLRIVQTGSSSFDDNKDILVPLTNSLYVKGKLSNPDRVIVDVGTGFYVEKDTNS ATDFYDAKVKELGTNIQNLEAIVQGKTNNLRVVEEVLRQKVLAQGAGPASAQA NEUTE1DRAFT_106292 MSYATGARLPNSRSGASSSSQRSGQVSEVDLVVPTRGFRIVDDQ DRELKTILEQIEQQHGVRICLKAQEDPIQISAASPKNAQAAIRSILRSLMLREGHATV WRDSVLVAPLSGDKRAIRILLQDSPGTTWFRPAATLTDSTEVADALAVQAYKSDLAAA MVRVGDGLRYSPNRMRMQIAFGKLFFKERKRGTTSYTLDEFSKLARRVSSRGTSYMEM RLGGESMREKIQHAFSLDPDFGGPQDIENFVIVTTKNVNLEVRVDGNRNCGFTFGSLN VFHREKSHRSVELATACPDKNHDWVLKPNIAASFAQKHHIEALIGKTAWSYYLNKDYI VELSLYRQWSTISGTKSRQPQEPVAGVSVSMYRPEWNDHMANADVSAGPRSWEAFPEQ FFKSSMSSADPTRDLDPLDALLHWVGKVQTVLERIV NEUTE1DRAFT_10111 AEFFSRFNFRITYKKGPENIRPDTLSRKLKNKPRDKDNKRLLTK KKPLIDPEYFN NEUTE1DRAFT_28473 KNKFFILKKTFKDLFNKGFIYISNSLIMILVLFVWKLGGGLRFY YNYRALNAIIKIDRYPLLLIKET NEUTE1DRAFT_53012 LPFGLLYSIFREELLILKKTFKDLLNKGYICISNLKAGALILFI YKLGGGLYFYYNYRTLNAIIRIDCYFLLLIHKTFKVLKGAR NEUTE1DRAFT_73917 MPATPGRRSSRAQEFRLPGVTREDSDDELGTDDLPWEWIYDREE DEGENEVIPASGPGSRKRKRTTQPERKIIGAQMGDFDCYVGDTLLLKAEGSNEAWVGI VSEFLVDDGEKSAKFMWFSSEKEIRNGPKKRTDFVENELYITPSFDFNALTTINGKAI VMSQEAFMKQYPTGKVPRKSKEFGKVFICRRGCNTRTCTYTDEFKWEDIYKGKEDLES LLDFVLKRTKATRRKRQPKAESPEREYASDDDADAEPAAKVPRTPRKLKVRSNAATPT SRRKGTKAALSAAVTPTSHRKIMIKRHLEFTPLATRVLSPMHIHNSPYQLARTQLHVA SVPTSLPCREAEFSLVYSHLEAAITDGSGTCIYISGTPGTGKTATVREVVSHLDAAVR ADELDDFIFVEINGMKITDPHQSYSLLWEALKGQRVSPSQALDLLEREFSHPSPRRVP CVVLMDELDQLVTKNQGVMYNFFNWPGLRHSRLIVLAVANTMDLPERTLSNKISSRLG LTRITFPGYNHEQLMRIVQSRLEGVPGDIVDADAVQFAARKVAAVSGDARRALDICRR AVELAEADAKVVAEAEPPTPSKKKGVEDKVVSKKKGSAGRVTIETVRRAINEATSNPL QQYLRGLPFASRLLLTALLLRTQRTGLAESTFGDVLEEMQRMIKLATGGDSRPLNALM ATAGVSVTDYGDGIMGGARSKPDVRQMRPGGLAIAAVDLTGAGVINLEAHKAERPSKI RLAVGDEDVRLAFRDDPEIKALGVLL NEUTE1DRAFT_51277 MAAEVANSAANGSGPDANPLSTMIRENARKTKLIYAATATTTDA SGTSGRKRLKLDPGLASEDPDITKTGLSLRLHAEYSDVQTLPEAIASKLPAGGPRKKK AKPAIEEAPSKSEEHARKLIEGIPPSKSAAANSNALVLSRSKPGAGASSTRPNAQRNE PQTNSLARRSDVLVQPRPDWHPPWKLLKVLSGHLGWVRALAVEPDNKWFASGAGDRTI KIWDLASGALKLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLETNKVIRHYHG HLSGVYTLALHPTLDVLVTGGRDGVARVWDMRTRSNIHVLSGHTGTVADLVCQEADPQ VITASLDSTVRMWDLAAGKTMGVLTHHKKGVRALTTHPTEFTFATGSTGSIKQWKCPE GAFMQNFEGHNAIINTLSVNDQNVMFSGGDNGSMSFWDWKSGHRFQALDTIAQPGSLD AESGIMSSTFDKSGARLICGEADKTIKIWGEDLSATPESHPLEWKPTLAKRKF NEUTE1DRAFT_119177 MAEDKFAIHAAAREGKVSVVESLLNANPKLAQRKDDDGRLPIHW ACSYNRKEVVELLVNQKGFDPDVEDDMGWTPFMISASVKDSDAIIDLLLARGADINQT NHQSQTALHFIASKNNIDLARKLLSPDMKPKPASVRVKDKRGQYPLHRAAAIGSVPMI NLLLQHKSPINASDNAGYTPLHHAVAEGHGHAAVALLKAGAETDKKDMDGYLALDLAP DKDVRRFIEKEAEKEGIEL NEUTE1DRAFT_92625 MAAPAKQASSRWGSFLSQAVAGVEAKLDTILADDYDDAAQQNKE SKPAATPPPAPSPAKASPTPSRTASTRTNDRLQERLARAVAAKAAGKNLDRTSSSTQA SPRQSMDAPSRASTDSIERPNFAAKDSPNAVSSPRASVDTPRKSQDTTQEPPVAPLDS ADGIKEVEAQLVSEKADSVRPSTDQPRPENTEDEAPTTESKLEAPAEILAKTEPKKSV EVIERARPDGQETKSDDTRPQNQDEIHAYVERIDALEAKLQYLAREASAAARKEVLSA PAGSAEKKLAEKDQQIAQLMEEGKNLASNEQKLRTILKNLRKKQAEDEKEMGNLKAAK EKADREIENLRKRARHSDELEKNQNELQKRLDQSQRELNYLRPEVKSKDTIIAELRSQ IQKATEQADVMSAKANDKAREQDQRRIAELEESVEALKIEKNLMADRAKAQADELRKE AEKASEKAKALELELKAEVHMMESKLEAMRTRAEEASSGVTGDSQAKLLRQVETLQSQ YSIASENWQGIETTLRSRIVNLEKERDEALQRESDMRRKAREAALRARRNEEELEEAK TKLPNQEDVESYRSQLDSLKKRAEEAEAALAEARADFEKQKQAWEAEKETIKEERERD LQSQGNRPRSWLEGLPGGPFLKNEGSGPGSPQLSTAQRTYSTDFLGIQGLSNKVRKAS APSSNGDAATGANVSRRPSGQPGLIRTSVASGSTQPGSNSLFSPTTESMPPVIPLSAI HPTSDAGGAPEIQHPRAGLHRSDTGFDSVDSSSSPHNVLQDMVSVSTIAAGPSVQLVE RMSAKIRQLESEKVTVREELARISKQRDEARAEIVALMGEMENQKKAAERVAELERQV AEVNERYETTLELLGEKSEEVDELKADVQDLKDMYRDLVERTMK NEUTE1DRAFT_106297 MSILQIPYREGRDGFWGEQTSTLNWCEEDYNITYYCAELVNTLT NLMFMWLGVKGLRNVLEFKHSPIFILAYVGYLVVGLGSMAFHATLKYEMQLADELPMI YTVFIMCYATFSYKRSPRTQLLIASIMVGLGIFITVYYLYAKNPVFHQVAFALITATT IFRGFYVMEYQLRPQLRERNPTACSRIMREMWTLALVSIITFVGGFLIWNMDNIFCRH LTTAKNQLQLPWSIVLEGHGWWHILTGLGAYEMILWRIWLVRCLSGEEEKFMLDWRPW RSIPQVVPRTHKGATKSS NEUTE1DRAFT_119178 MSISNEALQKLVREIEAQSIAAQQQIGLVRTQQASKQREMRLAQ LTRNELATLPETTAVYEGVGKMFVSVPVPALKDRLSTEMKEMETEVENLGKRLHYLET TAKNSQEHIEKMLKGGGQA NEUTE1DRAFT_51131 VAWESIRREGLNGPMRHGWAGSARPRPDTLTTQCVRVFTQSGRQ AGIPRRTTPSDTIKTRRVMRHVPHSRRTMEPTRRPEDTGGASNGDHALSLVSHGGLAV VGPKAGGWSGNNPSGCMSQTPIGGMMSQ NEUTE1DRAFT_92629 MSRAASRHHYDYSDEESLASTGIDIRVHRAPPPGMVRPPPRSPS PDRTHRGPTYIQSVSRSDFGGPSRVPYWEQRAPSVVNERTLVATHAHQSRSRSRAGSR ERPSPPVGMVVPAPPSPATKGPVVVQNIIGRGHHSSSDYSSDSEPDYHVHAGLSKRDR KSHSRGAHSRARSRSSSGESNFDDAHTKWELERMKAELAAMRSANEQKKQSLTQEKYH EEEIELVKAREKLATIRLEEERSRSAYDQEKSLRLRDAEAKLERLERAAEEKRFQAEH DKDVDYHQTKLQLELIRHKEDEERQLGHMKEQQSLHEAKFQLDLIRRKEEERRLQEQH EEHDQLYQAKVQLDLMRRKEEESRERDKYKEHDELAVSKFQLDLVKRKEEEARHLEEE RKKEAAFEKQQQLAQAKYELEMIKRREEERRREEEEHRREMEYEKQHQLHEAKFHLDM IRRQEEERRRAAAREEEEKLIRAKERLERLEREEEEERKQKKLEEELELRKAKEELDR MRREKEKKEEEERLRREYELKRLEEERKAEEERKRKQEEEEAAVARWKAKEAERLAKE QEEKERMEREYQRRLQEDLINSGLDEKAIAAILKKEKIKKEKKGSDSDSDSEKEAKKQ GQIARPTYTRMSLRHLDVETLYHFKIDFEYDAEPGYVLIKRWVPEWEQQQLWDHTRQI RTVVTKEVSETLVIKDKRDKHRERSHSRHHSHSHSRSSHHRHSGSHLDDDQFEFVRKK SSTRHRSKSPSLLMYLAGGRPS NEUTE1DRAFT_73932 MSDGSRKSGTKSTFHFVAGLGSGVLSAILLQPIDLLKTRVQQSG KHSLRAALAELRSSQQGLLPSLWRGTLPSALRTGFGSAIYFTTLNTIRENAARHLPSL AAAAPTIAAASGIVTPNANQTSSSLPKLSNTGNLLAGAVARSFAGFILMPLTVLKVRY ESSFYKYTSLAGAARDIARTEGARGFFAGFGATAIRDAPYAGLYVLFYEKSKQHLSNL FPQPPQLSTTLEAAGQDGGRMSQSRAASINFASGVFSAIICSIISNPFDAVKTRIQLQ PKKYRNMVQASRKMLAEEGVRSMMDGLALRMSRKAMSSALAWTVYEELIRRAEGAWTK RGPEEVQL NEUTE1DRAFT_51919 MADLLYELLSPPSGDPSSRPAPDPSSLEYLTSLSSQPLTALETT EPQALAQTSHGLLLSIQALSKKSHKQVIESATHHSTLRTSLPALAASTADLRHSIPKL DHEAVRFSTNYSKSSESDVLLRRKKALLLSRNVERLVDVLELPNLLSTAISTAPVNYS SALDLNGHIRRLHSLYPDSPLVTSIAAQADEAMRTMAANLIQSLKSPGLKLAASLRTI SWLRRVLPDLEAAGTSKGHNSQERVLGALFLVCRLATLVNTLDALEPLRDLADQEKAR QKAIGSGNAWSGGQQTERYLKRYIEIFREQSFAIVSMFRSIFPPAAPKGNGNEGSDNP LKQQDALEPMPAALATFPLHLVDMLLETLRLYLPTVKDQASRDSLLTQVLYCAGSLGR LGGDFGLFLASLDLGPEAEEEWVEVVKRHRALAGRLESIVGDQKK NEUTE1DRAFT_126708 MAYHQTESKSWKGATRCAPKYTRVDYGRFPESIEVDCRFLCSRS RWGKMSKEGPGPRPSDTYPAGILYLDLAFRQPADCRLQSATIAVTLGRDDYTLQQSEP AVFMTGHYGPRQLSGPRQSIQTRQLDEFRPQVGVGSFVEVGGVGMQKEKLQTITDSWR FSGYLRSVDGCPNYNRLEWVLDESFLEERPTHGPVFHTAFAIGHNARAFYLTVEVTGT LARKRDKLKNWSREKFKFGGSKHTPQNQATIKFQWSNGYSSAKFPLDMEAQYLSQAMD EANMHSIPMVIPEPQPATFYPPAMHSEAATDTRRTSVHSDNVMLPTVRDNTAEARIPR LQTDVPPTLLRLTDALPNLMPSTHDNMARAAGLDSVGRSDSIDLDIPDLTPKPAVSGC TTLVDDDQDSESPWESNSTPRRTVERQAKTSDESSAEKTMKGENHPCNEPPMEVGLML KLLHWLQCVTIWELISAMLGVAVLTGDGRADKGDWGRQGK NEUTE1DRAFT_92636 MRDPFPFPPIPALAKTTQPWADRLSLPTLPLHVHEVIGAAALYT FVHVVVSPIVSNLFFSKYYPKHSRSKKANWDTHVVSLVQSTLINVLALWVMYADKERA AMDWEQRIWGYTGASGMIQALACGYFVWDLGITLLNFDIFGFGLLAHAVSALVVYSFG FRPFLNYYSTTFILYELSTPFLNIHWFFDKLNMTGSRAQLYNGIALLVTFFGCRLIWG TWQSIEVYKDMWKAVHSAPTPEYIQSYYNTTSSTIDAENVMLFAAKPEPIPVWLALLY VASNLTLNTLNWYWFFKMISAVRKRFVPQDETKEEKSDLIAAGKEEREAKSTATEQAK RPVIVNRHRRQNSIEDLIPDNEELREGTIQ NEUTE1DRAFT_73938 MGFLGVYRAIYDYTPQGEGELTISEGDILYVLEKSQEDDWWKAK KKANAADDDEPVGLIPNNYIEEAKPVSYARALYEYTRQTDEELSFPEDAQLSVFDTSD PDWILVGHDGNYGFAPANYIELGDGQAGQVEEEEEATAPPPPLPQRTPSVSVDVASPP LPARSVPSEPSTPVAASNPAAAAIAGVMANRSSFQPPAPISVPLPQRQSYASEDYENE VRSPPLPSRPRGDSQIAPEQKSYRPVPPPAQSAAHDTDDYGISPRTSQAPPPQTAALT PGGFHMYNINEMTSVMGKKKKMPTTLGINLRTGMILIAPEHSHDGPSQEWRADCMTHY SREGKHVFMELVRPSKSVDFHAGAKDTAEEIVAMLGDMAGTVRLEGLKEIIAAGAGGK KKKGAVLYDFMAQGEDEVTVGVGDEVVILDDTKSDEWWMVRRIKNGKEGVVPSSYIEI NGVWEEPETAISGIAVGMSEVEKNRLEEARLTKEAIKAAQREEEKEKQKRNSEVGPGL QLQERSSSLPATDDNRRGKQRSSRREPGQSNSSKSSSKSKPDPSKVRTWTDRSKSFSV EAQFLGVKDGKIRLHKMNGVQIAVPVSKMSVEDLEYVERVTGESLDEDKPLSDLRNKR SAGESSRSSRSTRDRERDRGSSSRVGATIDSSKKPDYDWFNFFLGCDIQVGLCERYSQ AFLREAIDESVLPDVDATVLRNLGLREGDIIKVMRYLDNKYMRNKKGEEGEGGLFSGP GGALRNNTKKGRPAPPVEVSNTVDPNAFSKDSGASSGKSSSPTAAATPTPSASKPSSG FDDDAWDVKPTKTKTPELAAQAVAPAPAAAPAAAPAPAAPALVDAPAPPPPAALTKSM QELSLLTQPLEPEKVAPPPVVQPAITLPPPSAAPAAAAAPAQVPQLTGATPGFFTGMQ PPAVGAQILPQNIARQRPLAPQFTAAPGGLVAPPPSRPLSAPQSAQPSAFTPPPIQPQ MTGFQAPQIAPPGQSLGDLAQQRLQQQYAAQMQAQQQQMQQPMMTGMQPMMTGMQPQQ TGFGQFPPQQQPFMPQPTGFGMQQQQQQPMMTGMQPQQTGFGQFPQQQPQPFMPQPTG YNHVGGGLPPPLQPQATGMSFTQGFGNGPQQQHQPLVPQQTGPAPPVRFGISGDAKKL APQATGRRANLAAATPDNPFGF NEUTE1DRAFT_150719 MCGGPSPYARDTQSSSPTSPSFAASHLPARDSDDEEYNAHETSD TEFDNTIEHDHLGSRPGSAGSHGDLANNGNHSTDAEIEEGMLLRDMPKKTTFYDAVTE RQMTQTDAKLFYQRSQVERNQIPTDGHATPTGSPFIAPGHGHGAGTRHIPTDSSQSAI LSPESTMGTGLKAGPANDPLIEASLRQKMPRLSGSYSHTRTVTGSSPLPGRMNQAAQR ETLLNTGMVSGIGSSTYMDTDPQITAELTGIFQGVQKIIDLRQKYINLSLQGPHDNPK DDPSWVIYPPPPGPAWSAGNSLANSMILNNDKHEGSSGTPYKWTGKRRKPGQDIGEDF VLEDLLPVPGSDDFTYKLDENGVYQIYSNDQDKEADQPAIKIPTLREYYLDLEAILFV SSDGPSKSFAFRRLQYLEKRFDLYVLLEGYDETADCKKVPHRDFYNVRKVDTHVHHSA CMNQKHLLRFIKSKIKKYPDEIVLYRDGKYLTLAEVFESLNLTAYDLSIDTLDMHAHT DSFHRFDKFNLKYNPIGESRLRTIFLKTDNFINGRYLAEITKEVIADLESSKYQMVEW RVSIYGKSLDEWDKLASWVVDNKLFSHNVRWLVQIPRLYDVYKASGGVNTFEEIVRNI FEPLFEVTKDPSSHPKLHIFLQRVIGLDSVDDESKVERRLFRKFPVPKIWDGKQNPPY SYWIYYLYANMASLNHWRKRRGFNTFVLRPHCGEAGDSEHLAVAALCCHSISHGLLLR KVPVLQYVFYLEKIGIAMSPLSNNALFLAYERNPMHQYFKRGLNVSLSTDDPLQFAFT KEPLIEEYAVAAQIYKLSPVDMCELAKNSVKQSGYERSIKEQWLGKNFDLPGAQGNTM VKTNVPDRREEFRYRTLLEERGMVERYNALAAESAAAVAQAAPKSPAPPAKASIGEAK TLLNTSTLQPAPHGGTDSLSQSQTLPSPMQQSPQLGLPSAALEGTGTATGLTDSMREL HLPEHEPRYFPGVMSRSQGRKTSTRQSSLHESDAKP NEUTE1DRAFT_150720 MAASRRRQAPKQQHTSVNSNKNRDDRGSIVSEPSPRVINAAPSL EKAEMYGIDDNRPFFSRAMALAGRMFIETIPHWIAVGVMLSLIFGGCCSNVFALESII KVEPGAGTLLTFVQFLFVALVGLPSQIDWSRPPFFLKKNQVPIKRWLINIALFFAINV LNNHAFSYDISVPVHIILRSGGSITTMIAGALWGKRYSRIQIVAVLLLTVGVIAAAWS DAQSKGSSKKETHEKNSDFGIGLAILFVAQSLSAVMGLYTEETYKKYGPHWKENLFYS HLLSLPLFLFFWPSLTTQFQKLANSAPLTLPLPDFEEYPNLSPNIQKFLENIHIPSQL FYLALNVLTQYACIRGVNLLAAASTALTVTIVLNIRKLVSLLLSIWLFGNKLAFGTLV GAVIVFGAGGLYSLDGKKKAPVRRDSSAPLKKA NEUTE1DRAFT_50955 MGKSGHKAATGELGATDLEIVQIGLCPKQATCSSDGGADRERQS SRVPVVVGKVTRSKGETGDDISDRMKKDATEEMLKAPRRPDVAPEFHSLELVQRYLFQ TSMWPQFGMVEICPWQFQADSAGLTHHFHRDSTSTSFS NEUTE1DRAFT_143187 MSFHFAHSSKPWPLPSRIPPRRQRHGNLLKKKGSLIASLTVFSL VIINKAGGLIYNRTFHEGGLNKISTNDYLVLAGTFHGVHAITARLNPVKSAPERPLSN SIPGVPGGILTRPEPPSGLEVLESENFRLQCFNTLTGVKFLLFTDTTQTNVDVIIRRI YDLYSDYVMKNPFYQLEMPIRCDMFDRKLLSYIREVNNR NEUTE1DRAFT_15958 MPLEAVMIVVDNSESSRNGDYIPTRYDAQADAVNIIFQNVVQGN PESSVGLMSMAGRGPEVLVTLTTDQGKILEGLHRTKKNIRGSAQLSRAIQVAWLALKH RQNKSQRGRIVIFVCSPIEEEESDLVKLAKKMKKNNTSVDFVLFGDIDDENQKKLEAF NREVKSGEGSNMVVIPPSSKLLSDQLISTPILLGEAAAGGSGGAGGDAGGAANEDFPF GIDPSVDPELALALRMSMEEEKARQEKRAKEEAEAAKKASLEDVKEEAGESEPLLGKD GEPSGSGSKKDDDK NEUTE1DRAFT_115222 MSPTTGAKRRSDEADVPDDKNNTGLAVPSLDKSTTENGRPIGSE SPAKKKRRTGITAAQKQALIDNLQLELTERARKLRANYDIHAQSLRTRIEIRVNRIPM SLRKVKMGDLIQKYSTEQQQKTTTTSNGPPVPLKDATSSRPIFQRPAIASRPTSPIRP SPIKTTRLVPFSSSQGKWTPSVLTPSNPSHEVSGRDKENYEIEPMNTEKRQRLGPAAD ILRNHSNQVLSPTSSNSRLAPRGERTPSPTKQHYPTGIGARPISPTKPTAGTSASTLI SNMVEKARSRANSPQKHSTITEATQASAAKSRARAATTTAASAATRTSRRISGASVSS EASSTSSVAARRQRPATATGMASGTAASAKRGVISTIKKGMAATKKAAGGGTKETAEK VVATTAAPAVSGRVLRKRG NEUTE1DRAFT_119181 MTALAGRHVVYCGVCSLPPEYCEYGGTVKKCQQWLEKNQPTMYS RIWSPEVLEAEMASLSVEAQERAMKDAKKKAAKAEAAEQKQADKRANSVVTIKRIERN KRKYVTSVSGLEAFGLELKKVAKDFGKKFATGSSVTKVPSGGEEIVVQGDVSGEIEEF ILEKYKEVPEDNIELVEDKKKKKGEGN NEUTE1DRAFT_51252 MSLVVFSATLSMDRPHWRAPCLVMARLVRRILLGRPSAQPDSVA QFSPTLVNRLSRTLGAAQPQARPVRHWEKRSQVSPTARARPPESRQQATTGAQYGRTW GNISGNPAFI NEUTE1DRAFT_92657 MSDLLILPSTTYRPPSPQGRRRMARTLNPIMEEPEQTDTETQQQ EQRRHFNIQNNNSSNWPVNQKIEQWLAPFSPLSDHFPTPRGLHFLAAPILPATPSCIS SYRGPDTDADDTSSETSSSNPSSGAWNNKRSSAMTDVTEFDDLYDISDEETQRKEMLQ ANGIGRHMSSRRSLRRSSRASIGQRLSLSRLVIPADVQAGAKKNMTSPIPPTPPSAIA MSPAVKSLMELRQIQEIPNVSAPPSLDGSINSEEMAAMSAPPTPLIGARDNVDEDWSG VRLQPGALETLQALSSNHESEYDETPAPEQVIEVPQEMISREMSQTRQLPRLMTSLNR APSGQRRSLAELSRLEIPSPGGFFSELSSATRRTWNMGSQAEEPYPYQPPTSTTAENF YKIPWQNAPNTTSPPPPRPLHLDDGPSNIVERVVEVPAGLNPEELPTAVRVEATPVTA VRMPAAESGEPALEQIAEETFSPMVGNEIVANEIVDDYDAKYVAEQQSTSLSHIERTE LWLMAQRAYLQGVVGFSDETEVHEHLKLGIEGLEAEAEVTVEEKTEEPAKETNVESET KQKKSVRFSEITIKETVPKSLPSKLVRMENAFYRAFTDAAVKTCPNDVFINRQPRFEA LQAQRVALKDAHRNQLLGKFQLSVVPQSAKKRMSANVVRGDDVLVDDPEKLRAEKEAE ARSQMNMATWHVAATKLLNGGHLICAPVAKRLARQSRFAPGADGVSRDRARILDLAGQ GACDWAWHCALQYPNTKIYTVTTKTLRQLSNSNIRGPPNHRQVAVERLGKLPFSDNQF DLISARELHAVLKLFGENGADEWDACLKECMRVLKPGGYLEFSVLDADIMNAGPLGNA KAVEFGFALQTLGYDPNPTRSFMARLRRAGFDDIRRAWMCMPMGPSHSTKPPPPPPKA ETPGLPPPRPIKDSDSGIDLAHASSSGEDPKTLELEAMVFGSTDNVAAITGIAAGWSW ERWLLRAEMEKAAGELRLADMVTPGEAMREAGKMIANVHAVVEEGRNVGSGFRMLRGY ARKPSTSLAAREREMKRQREMDGERVGFIDMVLDTDSLC NEUTE1DRAFT_133803 MSEKLKIAPLVGSSLLTLKHLTADLKGHGGPQASLVNSSLARFK LWSASSGASRVRGTRSLEYKVRDALSLRNHVISLLKDLWDAADEARRSLTDDFSSGQD EERPFLVVDDLAQYFSKNIGPEISVFDEFLDDIKRIVDRLLRLTVVFKNPTPHEQVLP MHADNLINHVSHVQIKFPGIPDYLAERLGGMFSKISNHTSAYRTVAPRQTDLEEFEEH TTSKHKYEVSRQHLDGLLELCCVPDPTKACGRCILCNHQLASDKLHISHVASHLEQLA LHPLPLLDYDHDQNIDGCVEVDNQIDDDKSSNTNGESEEEESDQGQVNTDDNDEYAVY EESAGGKEDPEDIPAQSGWSISFNIKMLQGSATTVFKAYVDPQISKLLVDKWGSYHGL VSEDLIRSHEKHGHQFILKEKLDDQYLFEYKGTYVRGIGRIKWVWYEDVPRPSEELTF VHLLICSDLPGGINIIVKDKPGGNCTVKSVSVTDTKAKSRLRIKSKTLWYCVSSPFNP TNMFSRHLTGFDSLIADMAPCVMTGTNFVQVVSTGDVLCVKLLPSTMTLEAT NEUTE1DRAFT_106316 MLEDPFGPEWKETYLDRALRTRLGRSYSSIESGMKELQQLVAEL AIKLRLGPDLKLLRDFSQSLSNALDGATAACCARPHDACLKLNPRPLEMDPGSTEDDM AKDLDFSVSLELYANGSMSAEPSVESSRWYSLSINIVSLGSRQADDHVPRTLNIRSSS TNTPRQSPQQKGQSETLQLFPEGLNKRAFQTLITHAAQRITSKEKAVNALIKGSLDII IEPKQKTLIRKHEKHPATEHGRVFYGNIMDREDPERRFGLYAPTPLMSKDQHIPEAPP ILRWQPGDLPSSLTLRQLLNRMHDARLQQGSNGPLIWLEFQDQLQLAVSIAVNILHLY SSPWLPGIITLDDIWFRLEDEPLDSNFPSGFPYRPFIKKSMPLSAASSAMPGTTGFMP RSRKRETTVFSFGLILIQIMLGRVINELDMKSTSDKQGAAVPGAGQSTSDTVNKNVAA MSLDDYMEKHKLGKGFEGAVLAEAGPEYTSAVTRCLETFINIEGLQSEKFCQEFYVEV ISMLQEALDKSMEL NEUTE1DRAFT_92658 MATEHIAPPPPPAPAQPVQSPALSRPDHRNSLTAPPPPPPPHAH PHAHAHAHLHPSAPPPSSVPYGPSLDPAIKHLLDQQAEIQAKLAALLPQKYGPNIKVE LDMLRHKYRVLRAYADENLLSDKIPVLSDIEEARSLQYQCECIESACLEHGVDLGDPR FVDVLKYAFYRDQAPEGYAAWLDRNLSHHDPVCKAVRLRDSLPLAFRQHHSHKCWDER CTHYIYGYPHPDDRDQHVKEHAAVYKRDSGLSFGGTPPMIFPEPLSASYNGEYHKPPS SYHHLPRPNSGLQLAPLATSSQPKDHREALKSYSFVPEKPPGPPRGSVDSEVDPLLPP LKRSRVGQPRLESIGELRLLRENGPCLRCRTMKKSCDSNDPCAFCPDIASSPNNDFWG ALGCFRGSLSNLTDIMLPAYLSPRQMQTPMTSPLAIRRSMNEFLDRGYAVGPDVTSMV KAHLDFNDRFWWTDDLSNLPLANPTLASFSQEPVERSPPVLNVLASSWNLNGTMYNFW KLLHLSGSISDGRMHEVTTYPVLYRAKLLLRETLFYDFQQPEPAIHGEMTSPGSHVVF DDVDTCGRFRVLYNCMTQFLQAFEAQTMRPTIPDPRSWLAIFYSLCIFSVVRTLLVDR MAQSRITSPSQQGVPAMHAVYKALVSIFTWSAPMLLDGSDIEMSHGDRELLTSAATVL ERGTWAERGIATTKDFLMYLGSGEIEGSYFNGFLKQRSPAKPGSFLLPPITRSGEDAR KPLPDTRSIANPWHSRISTQPEKEPFSYNVFTGESDRILTSPQVMEAGRRHTVAESPT YMRAGGRGPTSPIAAARIRSSYQRPPLRRVYCTKCNEYPEGFRGEHELRRHNDSKHAA LVKRWVCTEPHEPGSPLPVVPLAKCKACVTQKRYGAYYNAAAHLRRAHFNPHRGGKAS GDWPPMAILKDWMREVRQSIDIQDQDDASSGEDETQDFKSMHDFMSPTEQHAPVLEIP RLAPAPPSQPHHGPPLLMPSIDRLGATGPPQLIMQGGQGVFLAPNPGLKADESSHPPS ATSSARNRCPIPECGRVFKDLTAHMLTHMEERPEKCPIESCEYHIKGFARKYDKNRHA LTHYKGTMVCPFCPGAGTAYEKAFNRADVFKRHLTAVHNVEQTPPNSRKLILTTGGRS GGVGARCSICQSQFPTAQEFYEHLDDCVLNVIVPSTPKTAGSSSATTRKDSLATKTPT TASTDKGKELDIELEINRRRDARDAQNLKLVADSVLENEAMLASIGRDAPEQEITGSE IQAPLPQPPSEAYLPPSSSYLPRQASATEPTSENQIVPSKVQEERIDKEMGDADGNLS KEPQSTGTPLAATSYVEAMRLRRSPEVTLGSPLPTDEMKTD NEUTE1DRAFT_106318 MYYFSRGDFRGKLVGWCSGHVCVAPLLPPVPPGSHVRYAFEPGS CNRYTPLTGYFIITSTREHTLDTRNVASRGHREEQPKRKDRRGGRSGMQRK NEUTE1DRAFT_51197 MRRRADMDIGNHRDGTHERAARDHVEHVSLPSRWRPSHQMKLLE ATTPSRVTPDEEENSPAPAFPHHCPAVVGAAAAAAAVPPAELDVDSARGKHITPNSLR ESGAHAVMFSYEIPSRSLVSADLSKPDETEPCAPALIVTKPDHSASSNVWQDHHISSQ PNFGFPVAKFPIRLSSAYQMYSSFRSALPKDDGPSFDMCSKCTEYRGSEGRSDSMLRN LAV NEUTE1DRAFT_133805 MSLSRCILGLACLWHGVIASPLGAVPSNIPIATDLQTAETVAQP IANSARKLHGKFLHITDLHPDQFYKPHSSTDEADACHRGKGPAGVYGAEVSDCDSPFA LINATFDWIAANVKDDIDFVIWTGDTARHDSDEGVPRNADQVLGTNRWIADKMAELFS DSTGRHLEIPIVPTLGNNDILPHNILLPGPNSWLQHYTHIWRRFVPEAQRHSFQFGGW FYVEVIPNRLAIFSLNTLYFFDRNAGTDGCASPSEPGYKQMEWLRIQLQIMRQRGMKA ILMGHVPPARTDSKKLWDENCWQKYSLWLRQYRDVVVSGVFGHMNIDHFFIHDERDIN VGQLAGLPGDSIDIREAMDDELSVTGAADYLQELRQNWAKLQPPPTDSKNSGQMKKGK KGRKGKKKKPDVWGERYSLSLVSPSIVPNYYPALRIVEYNISGLEDTPVWRDAAKDAM SIELEQNDRQKHLDLKRQHSSRMEDDDEIDAQKKKGKKNKGGDSKPKKPDFLIPHPPA KSSPPGPAYSPQPLTLTGYTQYFANLTHINNITTEASSALLDHDEEEETWVDWLLRWR KGRHGNRKPIHPKPDPREFQFEVEYSTFDDKLYKLSDLTVKNYVELAYRISKQPKKGK AKSLDVSYESAAEEEEEEEDLFEEVEETDEEEEQEDDDLSDGEEVDDDSDEDELETET FKKHDRKKNKKKKGKKRQNKVWMHFLTHAFVSTVEKEDLKKFT NEUTE1DRAFT_73964 MATLILLLLILPSILLLPFYTIYKPPSSLIRYFSQRWTDVLWRL WLPPHRKIVALTIDDAPSDHTREILAALKAGGVHATFFVIGGQVRGREEILREIVRQG HELGNHGMHDEMARELADEELERQMKEVEGLIREAYEAEGRVWPVIEGKYYRPGSGFF SERMLSLVRKLGYRLVLGSIYPHDAQIGYAWLNARHILSMLSPGGIIICHDRRSWTPP MLRKVLPEMQRRGYKAVTVSQLLEEATG NEUTE1DRAFT_126722 MIDMALDHGSLEHILPVSWKSQITAWLAEDTPSFDVGGFVVGDA PRTATLWGKSQGILAGVPFFNEVFKQCGCTVEWHATEGCHVETHGGKRALATVTGPAR GLLEGERVALNILARCSGVATQSRHLLVNLRSAGYKGIVAGTRKTTPGFRLVEKYGML VGGADTHRMDLSTMTMLKDNHVWSRGSITDAVKAAKAAGGFSLKIEVEVQSEEEADEA IAAGADIIMLDNFTGEGVRVAARNLKERWAGKKQFLLEVSGGLTADNAESYICHDIDI LSTSSIHQGVPHVDFSLKINVDKGTGPEISS NEUTE1DRAFT_53762 STSNIASPILLIYKLGNGVRIYVDYKGINNISLKNRYLLLLIKE ILNTIYRAK NEUTE1DRAFT_51112 FIYLNSSLIVALVLLIKKLDRGVRICVNYRGLNNTIIKNEYPIL FIKRLLTLSKK NEUTE1DRAFT_150731 MRFDLLALSAFAPLVAAHGAVTSYIIGGTTYPGYEGFSPASSPK TIQFQWPNYDPTMTVSDAKMRCNGGTSAQLSATVQAGSNVTAVWKQWTHEQGPVQVWL FKCPGAFGSSCKGDGKGWFKIDEMGMWGGKLNSANWGTALIVKNHQWSSEIPRNMAPG NYLIRHELLALHQANTPQFYAECAQIVVQGSGNAVPPSDYLYSIPTYAPQNDPGVTID IYSSKATTYTPPGGRVWSGFQF NEUTE1DRAFT_133809 MPPPQEIINRAPREAMDVFIMGANDKGQLCMGPGYRERTIVQKP ARNPYLSEDHQTVAIAVGNNHCLALTADNRVLSWGSNTHGALGRTTRLWQLGDGRWVP WSRVEGEDDNPNSPEGRRIDSILQTPRHINPIAIERGTVFTQVAVTDHASFALTETGE VYGWGAFEYGGENDAVHRFYAFHPYHMEWPEQGQLRNQPSPLKIRFPTDNPQIIQIAC GSNHVLALSKSGLVYSWGTPDMNILGRRFLGRRLRNPRYRHDPYGNMNHPFAPGVVAN LVNIRYVACGANFSFAVSKPLTRGNRKGTQLCYVWGQNDDMQTTLPLSKAPFVETPGH PDAPDGNRMRVVLYPTEAPWLSGIGHMDDEPESAIKAISGALRYGLAIRGNGWGEAWG NEHEMPNAMGVVNQDNEPLIPAIPPGNDFTQAPQFAGWHYFHDNCRWDQVATGRQHSL AVDQDGKVYVWGSNAQNQCGVPRPTGYPNIDVIDRPTLLQEFVEGRITLFVGAGARYS VFGFAKGRFRNEDGGNVDDVEVPAEFRRSILDFNDDEVEVGGD NEUTE1DRAFT_106325 MDTAIRLLPRLTPDKSLPQLSNGPCSVAEKARDLTPRRGLTGRK SGAHLLKSWRTKRTILYNDILITKRAQERAGTKMGFNPGLAQWTRLLKMAGQCRLEIS LSKYPDAIAMVFIEQRH NEUTE1DRAFT_106326 MASEGHNTGPSDQPAVEVRPEMTSQQSQTFKSYGQDYQFAETWA NPPPEFVVSTGVANNDQNPGLLEEAHHNSGGNGGAANLASIGGSFKTKESQHTADEQP EVIWVPPSDKPWYKKIAKLWWMIGGISTLGIIAVILAILGALGMLDSHHNKSVVLHTN DPYPSGTSKSLTSTSTPPESTASATSSIEPSSLSTGTSTLPAASPTSTNLAKQCTDTS TFIEHLAWMGTEVGGYEATYDAASSGQECCNRCFNGDPGCAGWMYDGVNKFTPCTKVM VTKDEGNANKQCPKGKAAATFFSQNPDKKVVGGIGPCSGESQIQH NEUTE1DRAFT_92673 MSRYLRPAVRLAATASRVQVARPAAPSFFKPASVAGFQKRTYAD APAGTKDYTVRDALNEALAEELEANDKVFVMGEEVAQYNGAYKVTKGLLDRFGDRRVI DTPITEMGFTGLAVGAALSGLHPVCEFMTFNFAMQSIDHIVNSAAKTLYMSGGIQPCN ITFRGPNGFAAGVAAQHSQDYSAWYGSVPGLKVVSPWSAEDAKGLLKAAIRDPNPVIV LENELMYGQVFPMSEAAQKDDFVIPFGKAKIERAGSDLTIVTMSRCVGQSLVAAEALK KKYGVEVEVLNLRSIKPLDLDAILKSIKKTNRLMTVESGFPSYGVGAEIVALAVEYGF DFLDAPPQRVTGADVPTPYAQGLEEMAFPTESLIENHAAKLLKLI NEUTE1DRAFT_52978 MCSLPAPLELEKQSPLGQTQCAEAAPQMNRNTVIVHDVVNYLAN SNVRSLAGSCCSQSNLSNKNPGVTGNY NEUTE1DRAFT_73978 MPSTPVIALEKEDHQRDAEFKKVMHGDSAKAAGGVAALLKKNTE AQQIAVDEYFKHFDNKTAEAETQADREARTKEYATLTRHYYNLATDIYEYGWGQCFHF CRYSPGESFYQAIARHEHYLAAQIGIKKDMKVLDVGCGVGGPAREIAKFTDAHITGLN NNDYQIDRATHYAVRDGLSGQLKFVKGDFMQMSFPDNSFDAVYAIEATVHAPKLEGVY GEIYRVLKPGGVFGVYEWLMTDNYDNDNVEHRDIRLAIEVGNGISNMVTINEGLAAMK NVGFELLHHEDLADRNDPMPWYWPIAGELRYMQSYLDFFTVVRMTHTARRILHGFAGI LETVGLAPKGTKKTADALARGADGLVAGAKKKLFTPMYLMVGKKPLN NEUTE1DRAFT_133812 MPPQIKHDLNRSGWEATDFPSVCENCLPENPYVKMLKEDYGAEC KLCTRPFTVFSWAADRAHARKKRTNICLTCARLKNACQCCILDLQFGLPIIIRDKALE LVAPGPQSEINREYFAQNNERAIEEGRAGVEEYEKADEKARELLRRLAQSKPYFRKGR EVDEEGNPVNGSSSGAGRATGGNPAVGAGVGGVGPIRMRDSRAAAAAGARPGGGRRPN AAPAPPPGPKDWLPPADKSIMSLFVTGIEDDLPEFKIRDFFKAFGKIKSLVVSHMSHA AFVNYETREAAEKASAECKGRAVIAGCPLRVRWSVPKALGTMNKEQRSEMLRDGRSAF GSGQKTGGQKAIGGQNAQGSASEAQKDDASNLTIAAPPGAADVQYASLSGN NEUTE1DRAFT_126731 MTTVVPLPLPTLPEGWTAEKDFKPIGKIAQNEATQRTIEPVGPH FLAHARRARHKRTFSEDDRIQAQERAKNVEEDNESDLSEPEDPMMLSRDAKDWKQQDH YKVLGLSKYRWRATEEQIKRAHRKKVLKHHPDKKAAAGRTEDDNFFKCIQKATEVLLD PIKRRQFDSVDEEADVEPPTKKQLQKGNFYKLWGNVFKAEGRFSNTQPVPSFGNENST REEVENFYNFFYNFDSWRSFEYLDEDVPDDSESRDQRRHTERKNLNTRKKRKAEDNAR LRKLLDDCSAADERIKKFRQEANAAKNKKRLEKEAAEKKAAEEAAAKKAAEEAAAKEA EEKAKADREASKKAKEAAKNAVKKNKRVLRGSVKDANYFVEGDASVATIDAVLGDVEL IQAKIDPDEIAALAGKLNNLKVADEIKAVWNEEAARLVGAGKINAGDLKALSA NEUTE1DRAFT_126732 MASVLPDPTIDLDWSGYVGSIQEHFRRNAEAHPERTCVVETKTS TTPERRFTYRQIYEASNTLAWYLHKAGITNGDVVMIWAHRSVDLVVALMGILASGATM TVLDPAYPPARQQIYLEVSQPSALLRIGRATDENGPLAPLVQKYIDDELKLKTDVPDL RLRDDGILYGGEVDGKDIFAEVRQLASAPPDVIVGPDSNPTLSFTSGSEGRPKGVLGR HYSLVKYFGWMAERFNLSSESRYTLLSGIAHDPVQRDIFTPLFLGAQLLVPSREDIQH EKLAEWMREHKPTVTHLTPAMGQILVGGASAEFPSLEHVFFVGDVLTTRDCRALRRLA ENANIINMYGTTETQRAVSYFEIPSRNRDPDFLERELKDTVPAGTGMQNVQLLVVNRE NREQQCQIGEVGEIYVRAAGLAEGYLGDPALNEQKFLNNWFVDNNKWVEADAKLNKNE PWRKYYKGPRDRLYRTGDLGRYLESGDVECVGRADDQVKIRGFRIELNDIDSNLSQSP LIRDCKTLVRRDRNEEATLVSYIVPEHKEWLKWLEVRGLADVEDEGVEMGPVTVYLKK YRRMQAEIRDHLGARLPTYAVPTIYVVLKKMPLNPNGKVDKPNLPFPDVAERVEDASE EDLKNWESLTETERTVAQLWADVILGLNPQTIKRENSFFDLGGHSLLAQQFLLNIRKA IGRDVSINILYEHPSLAGFCAQVDKLLGEETSGVTAEAGQDEYAKSLDELLAQLPEKY LSADPAALGSEELTVFLTGATGFLGSYLVKDVLDRTARTVKLIAHVRGVKDSTAALER LRRSLQGYGLWNDEWTGRLSTVVGDLAKPNLGIDDADWADLAQKVDVVIHNGATVHWV KRYHDMMAANVLSTVDAMKLCNEGKPKAFCFVSSTSVLDTDYYFDLSDKQTSTGRGAI MESDDMEGSRTGLGTGYGQTKWVSEQLVREAGKRGLLGSVVRPGYILGDANTGVCNVD DFLVRMLKGCIQISSRPHIVNTVNAVPVNHVARTVVAAALNPIPSGVHVIHVTAHPRL RMNEYLAILEYYGYKTPETSYEEWKAELEKFVSAGSLVKDQEQHALMPLYHFCMNDLP ANTRAPEMDDRNAVAVLKADADKWTGVDDSTGSGIGREEVGKFLAYLSEINYVPKPTE RGRPLPQMQPEVLKALAIGATGGRGGAH NEUTE1DRAFT_106335 MSRLNLLSRLSKKGRERRDVATMSDNTRKSSQEKTFSEYPALSS INFSFSGNKRPALTESPYNKPVEMIRKEEYSHINSGIYLDHSGTTIYAQSTIKRFADK MRANLYGNPHSANEPAKFSGEMVDSVREKTLRFLGADPRHFDLVFVANATAAIKLVAD CFRDLAEQTRAGSFWYGYHRDAHTSLVGVHELTKGPLSHKCFESDAEVEEWIEGRNTF GQQPGGLALFAYPGQSNLTGRRLPLTWTGRIRHDRTKRLRNTYTLLDAAALAMTSPMS YVFEDPDTAPDFTCVSFYKIFGFPDMGGLIVRKDSGHILALRKYFGGGTVSLVSTIGS AWHVSKGLEVYTHDDGSEHVGGLHEGLEDGTLPFHSILALGEAIDVHKELFGSMENVS AHTSMLVKRLYQGMKAMRYENGQQLCKVYHSGDEDIWEKGEGDKVYGDARVQGATIAF NVFREDGTYESYAMVEKMANDGGIYVRSGGVCNPGGVFTALQYEPWQLNRAKSAGHHC GSNGLSVINELPTGVVRASLGAMSTAQDVNAFLDFLKKNFLEKGLPTPKSNIKRKRQQ AQNLLDSLSSAAMATATVTADGGTETRTGTSTVILPPSPTPTSVGEEGAATMKPKVLE RVGSAISI NEUTE1DRAFT_56059 MTAIWWLLLARQALSAGNQHPESFRYRFRPHQLASSTRKACTFH VRNSFLLEVWCASANNIFIAELETWICAGKLRFDEIAKVGIFPPKSPAFKCQNPWTRK AGKPAQLGWEIDRSQLGEFKTPRCQDMAGEFRNVALVKPALESRAK NEUTE1DRAFT_115241 MRTSVALSLLGAAATGVAAQKALPTAAAVTNNPSCLVAEAVLPE NAWQKNGFPNGGNIKGKVVAKSGDGGVGVQFNVEVSGLPEGGPFTYHIHAKPVPENGN CTATGAHFDPTERGEDPVCDKSKPETCQIGDLAGKHGAIPADNITFSASYVDKYASLV EGSDAYFLDRSIVFHFPNKTRITCANFKITEPACGASTTGVAAPTGSTTGGAPSPSGT LPVAAGASLSASSMTGVAAALLGGAMMLML NEUTE1DRAFT_55037 ITSQSDTSKSFNILEQLDGAYNARLTQGKAVRVAAAEEVQLGLP TQRCV NEUTE1DRAFT_126735 MSEEPNYEQNIGFDTQEEAVQSTRPRLHLSPEFLSKRLHYCSKC RWIFENADLSIQDYPGKEYDFHNWAGLSDAAREGCHHCYVLLNTLDEEHILRLTGPDN LESQENTNPLKLRIRSYDRAWTGTPGIMTSWELHSVKQKLYDIHLEPLEDGIDLMANS LLLSFVLPTSWVDQCSATHPSCNARIRQDSRDRKPTRLLFVGDLVNPWVNLCETKTLD LSRTDYMTLSHCWGDGVPLRLTHDNYNRFLAGIEFSEIPKTFRDAIDATRRLSVQYLW IDSLCIVQDSREDWLHESAKMHHIYQNSYLNLMAAASSNSHGGLYSSKYPFLSIPFLV PLGDPRKPKIACYRYMNARREKLDNLTLFSRGWVMQERVLARRNLIFGKEIHWECHDS TGSESFPVGTALEKRQHTVEGQTDASRRTVWQIIVKNYSRLNLTFASDRLIAIAGMAA ELGQLWDSVQYYAGLWSLHLRASLLWCSRKPSVQAKSFIAPSWSWASVGTEVQWFDAD DFDGLAEVLQVDVVLTSHGHPFGPVTRGSIHLNGPMCQAKLVKTKYTEILCFDQDTED AVSKADTTEDDDATTQSGFRTTVVLDHYPWSEQEMAAETDVDITVYVAPLQTDIDLKL TYSGLLRLGGLLLLPTGSFSGQFRRIGHFFIQDQWGMDGRRVYEERIARMRKEGKIQQ KDEKPPGFVDREEWLKRDPFGNGVITKTLRSRYNSAVDLGYAGEISNFLGYIDRWAEE HRKLGIMDPNLGEEDVEAKGWYRYEIV NEUTE1DRAFT_53579 MASHAPSHLSAESESPTLRSLLSLFGAGPAHQKSEFVTVEKDDE AVLGRLPLFPAADFFENVSAVGKVIWAFTKDDLFTATLPNAIFGITAAIADAYLRGEA FEGAGPTFPEIITRLPLTYFFNFFALLIFNLGNQRPQEGPFSPSSSKTVTTPEQIRRI YLTLLPITLALNHFLGVWHEGLMIHLLAFIYNDLSGGNELFVRDIILGISFGYINSAS LKIVLMAGSSSSSSPHRHTWTWLNLVSVLIATTIGCQEFKNHKDHHTPGNQGGSSGDK TMKRKKTLAIFLGEAAVPRAHLAMMMPLWTLVCAWFWQLKITVAACFVLYSLFLASRV LTQRSAGAEERTWRLWARWTIVIYLIPLVAALSTA NEUTE1DRAFT_51763 MNSLYAILFRSLNQRRPFGLNRLVTAEVTRTVGLSAAIPLALGH WQYVGNTAQGHRPNSTKANQPNDKKKPTGKGKKPRLTEEEKKEEKRRRKKVVKDFNEF IGERKLKDWRKLCYTIGLEGEFEDVQSCRESTPPENRPLLILISFHPHQAIKAVHVNI YDVLEADRIIQAGGKSRPQQFPTPQALSEYSVEYRKVYPREKVIENQPEHAMLKHIFN PELEEKLQREREEKLRKKKESKLKRKEKSQREKEEKQTETGST NEUTE1DRAFT_133818 MAPQTKDEVSDDNEFVGFDEESDEEMHDNDAMSVDEEEEDDSDM DSIADPRKKYDIDEKDSDEEDLERFVLGNNDTFRAQLFRDDFLADITDSKALIRTDKQ DDTTGLEHVDDNALFAFDTGVPGDKKQTEAAPSALEAYEQKEDPPAWEDSDDERLTVS LAAASRLRKLRQSEEEDVVNGTEYARRLRSQYLRLYPLPEWAKESSAASQRRRRRSSA AGSSSEEDSDAEDDIESALPLETFLRDVNSFNASADARGSKRRKLRPETIDIQRSRDI PDIHKQSVSSLSFHPKHPILLSCSVSSIMYLHQVDPTAHPVPNPALTSVQVKRTDLRR SEFLGSDGDDVIFAGRRRYFHSWNLSSGAVKKITQIQGHQREQRTMEHFRPSPCGRYL GLIASEKKGGGMINIVNVRTMQWIAQARTNGRGGISDFAWWGNGNGLSILGKNGQVTE WSMLSRRTVGVWRDEGSIGGTVIALGGQKGTGPSQIGGDRWVAVGSNSGILNIYDRND LVKPVKKEGGASASKDETVQIKSIPTPQRTFEQLTTPISVVTFAPDGQLLAFGSFHKK DALRLVHLPSCTVYRNWPTEQTPLGRITAVAFSHQNGGDVLAVGNDVGKIRMWEIRS NEUTE1DRAFT_92698 MTQSLSLAAFAAAALFATKGLASNFTISNGQIFTPGFVVVDSPQ PETPLGGDNIEIALDVSANGKLPLPPYGEDASSQIYNINIFLYSYVTGRNFTITNGTA SANNATLGDIMLSEPGSTVKHVRWTWPDCMVGDGTDGNDRGSYNISIHQRFRLNGENH YTMFDLPISVTNSISKSDDRPSCQSLDNPLLAPENIDWKDTNELGALFAPGDSTVIET SNVGSGAGLASCKHALDLLCMVGFSCALLLS NEUTE1DRAFT_150742 MTARQHLLSRAHHVSSALLGSRLKCARQPCFNTVQRRLKSTDSQ LASLLKISPEVADALATNKPVVALESTIYTHGALGDDLYLEDIVRKNGAVPAVCGILA GVPTVGLSTEEVTRMVHEGAKKASRRDLAYLVGKGLTGTKIHGGTTISGTMVLSRLAG IRVFGTGGLGGVHKGGENSMDISADLTELGRTRVAVVSSGCKGFLDIPRTLEFLETQG VLVSTFADGQQGNIDFPAFWARESGIKSPSVVQNEAEAAAMIYAQEQLNIETGLLLAN PIPEEHAISRQDMEHAISVAVSEADEKGFSGAENTPYILRRIRELTEGRSVPANKYLV QANVARAAKVAVELSKLIDGGSRSSQAAASTVQSPGIRKVKVEIKPEPSKVTQQQQSN TADILVAGSVALDLSCDYAGGVDAQGNKTVSPLAHVSNPSHITQSVGGVGHNVALAAH KVSEDGKVRLCSMVGDDIAGATILANLESSGLDTTCIRKLGREYPSTRTAQYVAVNDA DKNLVMAMADMAIFSNHSFPTYWNSAVAATKPKWLVVDGNWAEADIQTWIEAGRKHGA RVAFEPVSAAKSERLFAAPKQGGQLPLRLFPNASVDLASPNQYELSAMHGAATRNEFF THSWFEVIDTFGMQGSRDKFVRLTSAEITDMGLPQQNIQLLPYIPTIITKLGAKGALV TMLLKPGDPLLKDSHHAPYILARNYNDHPHVGGVYMRLFPPVETVKDVVSVNGVGDTF LGVLVAGLAMGGRVENLVDVAQRAACLSLRSHESVSMELVGLKGDLRLAVEKE NEUTE1DRAFT_92702 MGPFSSASDRNSAAATAAPATATATPSPLSKTPSAAASDDSSTS KKFLKRLNILPLRSRTRNIADFHIRPDDPHRKYSAGDHVQGAVVLTVVKPVRITHLTV LLHGYVRVYKGPGAQNNEPVRSPAEIKNISSRGERKKYYNGYASLFQDEQVLSSDGRL EPGRYEFNFDLLFPEKGLPSSIDLDVGPLAPPKAQTVDLRPISKRAKKKRPAGGERRS VISSERLSADVPAESLSDGDSARINDTSTEGSLSIVGDDVGQEGFSQAPRSVSHSEVR SLSGDSAASLSTHPSRAYSETNQLAIVGSAVTGKRISFADERTIKLRVEVLKGGCLPG DVIPVKVSVNHIKMIKSMHGVIVTLFRQGRIDSSPLQLSKEDWKKSESDDYYYPKSRT GLSGLSLSSAGSCSMFRKDLSQAFAPLITDPVNFSATLTTSVRVPEDSFPTIKGVPGE MISFRYFVEVIVDLGGKLANHLQGGASSGSRVGATGVVGTPFENVGTLPSWGATGSTS ILDTDRLKRIQGVIPGYYEVIVGTTDSNRSRGKGPQRPSLTHTPSVTGGSNYVENEYN EKGGWPNSMHDDDGYGPESANPNDDYASYPPQTTYPHDYVHQPHYWNYVPDSSQHEQA IPAPHYIPPPDLPDENSLTEKERIRRAEQRLLPSQPPIAAASSSSFSAAVPSPSASNH ALDSSLLLNGDNIYDAEDDVPTPAATPATASPFDHALAPVYTPTPAEDLPSAPTLEEL DRPPPISRGPSHHPLSTTEDKQELERRRLLAEASAPPEFPEDYIPDEGGGPVTPAGPS GSGAGPSAPPSAPPVAFEPSAPVLFENDEDYHGGGYTAGGPSFPSAASGSGGHEEETV PEYTYNNHRSGSVGTVTVAGSPSSPVPAPASAFFPASGSGNAHDLLREQEQQARSDSS SPPPIEYLPRYKR NEUTE1DRAFT_74007 MRDIVDLPHDLFLLVISYLSPRTCVLSRSVSRRWHAAFTDEHIS YLLMRWNFPQCREMRLASTTALLPSASTSDFENINSNLAIEISCTSRNLPPWPDTFAL VARRYHHLRQGSARVVEKLQMARPSRENTGPFPFSFKGVAPWNRFLRLEEKTAEFHYP DPAWWYSQEDGVLVYPAEVRDRPNTPSSDPAHGYVYQIFDPATGTQIPVPFDVRRKHI RRVRLAQGVLIFEWAEEHPYHQLNDREVVHRHFVTTFDVVRTPSPSAWTWTIQFRSEW KLHFLGLPINRSDRFFSAHTATHYAVYFWQPNRSLYQDDPIEQLAVWDISSPSPYRPS EDPTGHNRPPVGNRTTSMSAGLWNGGGTHTTTTTTTTTTTTTTAAGEARKGASPEPDR IPATTGPQVIRRMAWRELDFHGVRQRANPQLRNLSLDDRNLYFIEEEHRWAEGQHSSL SPPRVHHVKCTGIPIVPCTPAALFTSTINDAVYGPVWVDQCGADGDVNMSFSLLHHLR SRPPSPSSQSFNFSMPPHSPPLTTSPNSRRRTYQQSLEDLLKANNQQRFPGLAPCWRH EDFPYLTVAEMVDFAAGVRITARHCFMLETVSVCVRNACISVKPGLPLHMEVGEEEEE EEDEEDDDNKHDRRSERKKENRNKGPKGGEGSERGRGEEVQFADEMWSQLVGRRGYLA GDERWVLGEDEERRITVVRF NEUTE1DRAFT_126740 MAPGVLEKPAEEQQGGDLHMTNAADDSCIDRLTLLRSMPEPPVE CQVCVVGAGPAGLMLACNLGRFGVKVEVVDDRADQTPVGRADGLQPKTIETFRQMRLA DPLLQRGVRVYDIAFWRSTTDEPLHRLGREIHYPPIIDVLDPYILLVHQGMVESLFIE DLKKRGVEVRRNTAFDSYSVCDGNNGPLQVNCLANVTQDRKTILTQYLVGCDGAHSKV RKSIPDAKPIGLSQPSLWGVLDGELDTDFPDIWSKTLVYSQEHGSILIIPRERNMTRF YIELKSSTKGDRNMLGQEFVMQRAREIMAPFSVKWKYIEWFGRYQIGQRVANRFQDPH TRAFLAGDASHTHSPKAAQGMNTSMHDAWNIGWKLNFAARGLAKPALLESYEQERRKI ALDLVNFDYEHANQIAGGDAVALAENFKTNVRFISGIGAEYGENAINMVEPQSWVMGD AKPGCLLPPAKVTRYLDSNPVDVQLDIPMLGQFRIYLLMWDVHQSRIFLDGFCAALNS SDSLMNQLSAAANISYAKQPRLPAPEDIYLRPERYTAVSHLFTFGLITTMPKSEIEIT DLPALFQDSRWTFYLDDIPELDTRGQLCTNKWLGSLGPGEVAIVNVRPDGYVGSIGRW DSSVDDAGEEAAKWLDTYYDRFLQVPPSPAMD NEUTE1DRAFT_126741 MNIILAEQLSLDSVEYDPIAHLNLLFSHPSTVSSVGRVSSTLQR HKDELTKSIDSLETAQAYGPDSSLERMQSAQAELASLFQRIESVRNRALQTERDITTM TADIKRLDGTKRNLTHSMTALKRLQMLTTAYDQLRGLAKTRQYRECAGLLQAVLQLMR HFNSYRSIEQIAVLSRNVSELQRELLEQVCEDFELAFAKGEVAARRGMLAEACLVMDA LGEQAKARLITWYVNTELREYRSVFRGNDEAGSLDNIGRRYAWFRRMLQTHEGEHAAI FPAHWRINETLSMAFCDGTREDFKGILEKSMRRTDGGTTKIDVNLLLNCLQETMDFEQ SLERRFSSEVRASIDTLSSADDRVSNFNGSISVAFEPYLSLWVESQDKTLAGMIPKYK SQPLIPPDEEFSPQAVIPSAIELFHFYKLTLSQCAKLSTGERLLDLAKTLAKYLDEYA QQVLFTLLQRGGPQGPPVQDIVLVLNTADFWHTNANQLEDNIKKRIDPEMASKVDLST QADTFMGVASAAVLALVHKVEVDCEPAWREMKNTNWSRMESVSDHSSYVSELLKNVNG KAAEILPLVVKPQYARAFCDHLVENIANSYISNVVQCRPVCEVGAEQMLLDKYILTKA FENLLAFHNTNHPPPGTPSDPNAPPPPPPPASYIKRVNQSMTKIDPLLKTLQVRPSPP EGLVQAYLNLIGDCSDANFKRILELKGVPKKDHSHLLELFSIHREGAGVGRTLVQNSP LLTPLFASMGSGISIGGGIAPGFAAAGHHASQGSGSVTSRFDAATSLGEKLLSAARDM STMEREGVTSGGGATTTASDKATINENLKNIGNFFRRDIGALGARFGKRDITPTGAGH D NEUTE1DRAFT_54400 MSFPSVSRKSRITSAANVLYNNAVYWPNHSVYRGDTPGSLNYGC INRVYYAFANIMADGGVFLSDEWADVTAPCDGVQGALGSLMHLKQKYPHLQVVLSIGG GASSETFALVASSAILRNNFAQSARGLVEASGLDGIDTPVVWDYPCSPQQGSDFVSLL AAVRVHLPEDRYLLTAALPGAKSILQNINVRQAAEYLDSINLMAYDYFGSWSHRSGHH SQLYAMNKEEASGASSVQYLMASAVPGKKILFGIPLFGRSFLHASGSGHKFQGAGGGD DGSFEYCQLPRRGTKEQVDKRAVAAQCVGGDGGFVTYDNPDTVKAKATFCKQKGLGGL FYSSAPSDVKDSKRSLIAAGFRTLHSS NEUTE1DRAFT_106349 MELLHYDRLFVNARCRRTSSKCHHWSWRGRGHGLRMRSALPQEM ARQDRAATRATPVMWVVVYGIQRIGAA NEUTE1DRAFT_74017 MAEASNTGGDGQITFKVKCSGDKNHTVTIAESATVLQLKTLLAG EEYENISPEQQRLIYSGKVMKDDEVLSFYKIKHMNTVHMVKRPASAATASSGSTSTSA QPAIPQNMAAGTPSNNLLAGLTGARFAGQVPLPSRDLFGPDGGMGAPPSEDEIANMLS NPAMAQVMNEAFNNPAVIDQMIASNPMLANMPADRARELLNSPMMRNMMTNPEALRMA ARMRRMMGGGANAFPAPGVTDNTPNATTGAGNNNANADAQNPFAMFLPFGVPPAGAPP AGNPFAALFGNPAGASPASTGASTESARSGDAASAPATGATSGSGQQADPLASLFGAL GGAGQPGQAGAANPFGLPPISPEALQQMMQALGGGGLGGFGGNPVAPPDNRPPEERYA EQLRQLNDMGFFDFDRNVAALRRSGGSVQGAIEHLLGGS NEUTE1DRAFT_126743 MIQAIFYARFFPKEGTKIVAQSPPGSIVPVPLPEGTNGPLNRKP CLFDFSVLQEYIIPRKAFCNRFITVNDPDGKYVILGYPVSIPDPRYDRNEFIFNFGLV ISCDVVDQIPYERVVRRLAATFAEMEKQDGYLSQDAATRGGAVAVHGYGNGGGRWVDG DGNEMVNDANTINMKLFPHHVNPPEVKGWHVPVAKMKFADVVDPTWDLTLQRVVAHID GVSDVRRIALASGVSLELTQLALRHLLYYDTILLLDMFLFGACYAPRPGIHDFIANVG GMVDECANYVCVGPIDSSPSSNNTGTGAGPSSSSSSDNYHRLRSVSGSTTFHNHNPSN LSTSASTRHSHLSPFGLGPGGGSDRDPSPYAQHHLSPVHERKPSTSPRNPSSNIHTSS SPPSNKAPPPNGYRISNYMLIRLMTTFCVGKTVAEWLKGHMDSGFDVLRYVDVRRLVQ FGVIKGCLYRVHKYVISKQYLAALATGLARPVSPSDTGTGTGRGKSGRGYGEGEMGRE HKVAVPGGHYDTYREPGSHGGPGGKGSNSKKMAMMMKASGDPLQKYMDGRHCFDQIMT ERNMTDAEIVDKLRNFPVAAGDLTVLYR NEUTE1DRAFT_92721 MLLRTKALIRSGGSIAKYAAANPSCFILQRRGLRREFGPKYTAK INEAEEKWQARAEAIKKGKKQNTWDLFEERGYVKDTAGTKEHIAELMRTRRIGAYVGI DPTAPSLHVGHLLPLMPLFWMYLEGYKAFTLIGGSTAKIGDPTGRLKSRDHLSSSDAT MNMTKIHYQLKKLWENVDTQMRARGYEADWARKRGIVNNNHWWNKQPMLEVLRRVGHA LRIGPMLSRDTVKNKMTQGDGVSFAEFTYPIMQGWDWFELFYQQGVQMQIGGSDQYGN IISGLEVVKAARESEPDPQERKYVTPKTALDECVGFTVPLLTDSSGAKFGKSAGNAIW LDPYQTSVFDFYGYFVRRSDQEVENLLKLFTFMPISEITKTMEEHIKDPSKRVAQHTL AREVVTLVHGKQEASAAEDQHRMMYTGQMTIPQVPRAKDAATGGDQYKTISDQPVTLN NAPRIDMILPESLIMGKSIGRILYAAGLASSTTEGHKLAAAQGCYVGGAHRAGGENVT MNPDIISFMPVKLWFPGETQRYLINGNLLILRKGKHNVRVIQMVSDVEYAASGQSYPG QSFTGAVRKLNEIMKNLKEKKLTPEEAKNAVNELQKSSQEKQQGQQIIFPEEKSRQKK DMETKLKQEMIASVKTIDGMMDGKPSARGDGVNKQTQDDRDPYKW NEUTE1DRAFT_119188 MASVARSSALLKQVAAKQSVAATGLRVAAFHTTSRKSLLPPPPQ RIEGTVNDPVEVPPPSPSHGSYHWTFDRVVAAGLIPLTVAPFAAGSLNPTMDAVLAAT ILIHSHTGFGNIIVDYVPSKRVPKARKVFTWGLNAATVLVGLALYEFETTDVGLTETI KRVWKA NEUTE1DRAFT_150750 MGEDSAMTSHGGHMGNISLPSLSVTRTLADLNFNTTTTKSIFIT GLAVLAFFVTTSNYSRKTTKNEDDNEDDSNPSSLKSLLLFCYSCFIKPHATAGTTGTQ QDALESFYGSQADIYDATRGTLLKGREDMLALVASQLRYKVEAGLGGLGGAGDGLEKR QRNGKTCGTVTGTGTGTRRKPIWVDVGGGTGWNIEAMAKFVDISEFFKTVYLVDFSPS LCEVARKRFARLGWENVRVICTDARRFRLEDYEDIDEGESGSGPSSPSLSSWWGETKP GRHAGAELITMSYSLSMMPDYFSIIDSLESLLAPHGLIAVVDFYAQSKVDFTFRNYTG GLVNRHVGYFRRNFWRSWFDADRVSLEPARRDYLEYRFGTVLTVNARNSTLGAIPYYI WLGCLKKPFSTSSLPHEIVEHIDAIATESPRSSPRLVGKHSSSATNALAFAVGRTAPE MRSKAFNTAIENISANLPLPSFFYQNHHWRIYYDDQLPKHTQFNDEYIYAFTWEDSRV DRELLNLGPDDVVLAITSAGDNILSYLMQSPARVHAIDLNPAQNHLLELKVASFTALD YPDVWKIFGEGKHPDFRSLLISKLSPHLSGRAFQYWLSNAHIFTNPTGRGLYDTGGSR YAIRFFRWISTLFFCRSAVRRLLSTPTLEGQRSIYHTKIRPCLLNRFVNGLVLSSDAF LWSALGVPKNQVAMIEADYHRRSISSTTPSKEKPSRAEAILHYTTSTLDPVLSTSHLA SDNPYYLVCLLGQYTRQCHPDYLSPAAHSILSAPGAFDGLRIHTDEIQEVLARFQPGT LTVAVVMDSMDWFDPPSPEEEKEGRGKAREQVRRLNRALKVGGKVLLRSAGVEPWYVR VFVEEGFGARRVGCRESGRGDQECIDRVNMYASCWILEKIKDLEELVDSA NEUTE1DRAFT_126747 MMEYAQYQPAQNSHSNPHMQTAYSSSAGGNSITSPSSQHLPQTS PILQTQQHQPSPTQGHSMYQTQYGVPQQNMHYGMPLQAAALAATAAASGTNYPNPYMA ADPNLQQSPRMSGVNSKKDVRAGPRSPPQMNSIPPGQRRLSQVASPGVPNAQAMLNHA SRSAVPPPMTAAQQMPPPQSPEMASGAVEESPLYVNAKQFHRILKRRVARQKLEEQLR LTNKGRKPYLHESRHNHAMRRPRGPGGRFLTADEVAQMERDKVNGDAKQDGSEQSSVT AGSKTAGGTKRKAESTSGAPNKKAKAAPESPEDDDASD NEUTE1DRAFT_119189 MPVARNVAKALGSSRVIEDRRRKLSRDLVTANDEANVEVRVGDR SRNQTRCLIRRVAAP NEUTE1DRAFT_143227 MYQQQPLPDAHNDARFIGIWNAIIVAVDHMRGKYLLNTAHSNRR MSVARPPVQTVEKCYGPLRCDGSRFS NEUTE1DRAFT_106357 MARSARPRGPGMQEAARPTHREFQPPPPAQWKCRCGPQQQTSET RNRDFAGPLGSNHAPPVASGTRRGDDAVLAKDDAAPQGGIVSGRCPLGYVFRVPCAGN ESDWMVNEAVRYLAVLDSHPCRPPVLALGFGTLRCDGGGGSERWGTMILVLRYRLRAA ALRAALRMSLVSV NEUTE1DRAFT_106358 MAAGARSRRLGKTDTLAPEGGLPRDKVRLQPQTGRTDSRLALWN RHLDDRRHELVVFGDNRGASILQTG NEUTE1DRAFT_126749 MSTKPILKLSTPVTANFPNIPRPTDELRSAVTLPSALSDRTPLS AISRTSAFRDPLSALPSAGLPSAGPFSATLKVDHDLQKTPITPPVAYLDFLKCMQLVS PSLASPPQTGKVSLHRTSTASSLSTSSTNSHESESSVDSATTDDSEKEKGGQIDSAPS TARSDVSSEPEIKVEAEEKEDKKVDEKEDKKEDEENVGNEKEDKSRPAPITISQPPTP QSSLYPMSAPATGAAVFPSTKIPASPAISTSALYSPRTPLSAASVRSPFDWETALKLR RFAEVSSLKRSAPSDAPAVSNPTSVPVAKESRSSIRHIREVVTRTVTYTPRMAPAPKG KRRKIDADTAIKS NEUTE1DRAFT_92737 MDRFVKRTRPSQKSNSPLALTAGWTNTNKTPERPPTKKRRTDQI RQKSDAEDDDSSAALNSDQKEDLFLADQNRDSPLVRDGKTGSGDAEDGDATETALAAQ HHTALEDSLPEVKIDNDITEEYEKLKSSQAEDGEVSAETRLQTRKWVRGQSSIYVDAF NLALDTVLQDEAHLFEEKENCVLENWRALSYEAQYLYVRLFLRKTASWHRLERLKHYS DISDIEKAIESLLEPRALPEGQSVKKSDEEFVAEPGHVFADTFAFADDSASHIKTVEQ AAHLLSLDELKTLAKDAKVQGKNKPDLIKAFCRMCSQQSSLMSVGLRRSSTNASMQSL DSTSEEANTEKQDTNRKAHFLDKILAITGPLVRLSESVFKLFERVHLVFYRSTEWTEK SLTTIILAKISRRNFPEYVVCRSANIFPSRRYLLEFENSMRLQFEVDTILEFNGPPGA EGNRKVVERFESIAPRWRELLAEAQKMENTGYEFGEGGYLRRFNAGHAYTRIAHKAAE ALGRLHWYQDEYDLLAELLSQQLFHLARRGAWYKRKALVEERYMWELEQPPTTAFSEA QKNLQKKRWRQIALATCETALQDPNCHLIYHYDLQKRLIRLEKQLNIPRRQQHNFDHA RLRDPEEHTIEGIQLVHEDAGRGQGSTKTIWLDELDDPDDKGNLMHVSVEEMCLSFYR TQGWKGYHSEGGILRTLFAYLFCDILFIFVPNVFQTAYQTCPLDLHTDAFYPARASEI NHRLVEISNGGAVDLIRRVNEQHRERRTCIVGLNWDYEVEDLIQLVSCFESEALAAIC KVIAQDYKARGGGVPDLILWRTTHELSDDRKEQSENGKGEVMFVEVKSANDRLSDTQR LWIHVLSAAGVRVVLCNAVAKEVKTID NEUTE1DRAFT_133833 MAANEPQQGHAPTEDVNMTDAQSDKPTQQQQQHLTSHHHIPDRP PHPVSPVPIPQIPGRPSPTGAHPTANQGPSAIRSTATTNGSTGEDHQSQPLPPYQQRA SSSRAASAHPQDSGQGQQQGPGSMGTAGMPTEPTLHGAPVRQYLNTKVTGHLLEGMKM LAKEQPKDPLRALGEFLLERSKQYEGGGGANGSSTSA NEUTE1DRAFT_119190 MGRMHSKGKGISASAIPYSRNPPSWLKTTPEQVVDQICKLAKKG ATPSQIGVILRDSHGIAQVKVVTGNKILRILKSNGLAPDLPEDLYMLIKKAVAVRKHL ERNRKDKDSKFRLILIESRIHRLARYYKTVGVLPPTWKYESSTASTIVS NEUTE1DRAFT_92746 MNGDTYSSRDSGRHGSSRDYPSSRRDRDDRRDRGDRSDRGSGRR RSRSPADYRSSRPRREDGDFDAYSSSRSHRDREREDRYSGRDRRGPDRGDREWDRDRG ADRGAGRGADRGADRGADRGAERGGRSRRDDDEGGRRRDRDRDVMGDDRRGGGRRDRE ADRERRRSVSPPPKKREPTPDLTDVVPVLERKRRMTQWDIKPPGYGNVTAEQAKLSGM FPLPGAPRQQAMDPTKLQAFMTQPGGAVNSTALKPTNSRQSKRLIVSNIPPSATDESL LGFFNLQLNGLNVIDSADPCVQCQISPDHSFAMLEFRNSPDATVALALDGITMEAEDA NGAAGAGGLKIRRPKDYIVPAIVEDPNYDPDSEVPSSIVIDSPNKISVTNIPAYLSEE QIMELLVAFGKLKSFVLVKDKHTEESRGIAFCEYHDSSVTSVAIDGLNNMMLGDRALK VQKASYGIQQVAGELSVNAMSMLAGTTSLDGDVSRVVQLLNMVTADELMDNDDYEEIR DDVQEECEKFGTIVSLKIPRPTGGSRQSAGVGKIFIKYENSDQATKALKALAGRKFAD RTVVATYFPEENFDVNAW NEUTE1DRAFT_126754 MVGIHTIILLFFLFSVQTTPKNEHPQDFEDDTSVLLHNYFVLSH SLTTLYTQWSLSDANFARRAPAFTGIRILNQDAWETLISFICSSNNNISRISQMVMKL CTHYGPYVATVEGEAFHDFPGPEALAGDGVEAHLRELGFGYRAKYIAETAGCVAQVYG ERWLLRLRNPGVPALGAAEEDISVKKEEGAEEKEPVESKADLDNPPTYQKAHEALLTL PGVGPKVSDCVCLMGLGWGESVPIDTHVWQIAQRDYNFGGKGSAKTKTLNKAMYVAVG DHFRKIWGPQAGWAQSVLFTANLKSFSEQAAGVEKKGVVKVEEESQEEMVTTAEVVPK PGKNKGVVVKIEESEEMAATTSVAMSRKRKTVAAEVIPKAEPDATVHTTTTRTLRSSK RIKSQV NEUTE1DRAFT_133837 MASQPATPLLYAELLSNIRQISLAISLGSASDASTRVVVAADGE KVELTHHGQSHTLHLPAKVALGGAILPIQRPGASALSWRLPLGQATYTDASSAESSTW TATDLKAGSEVVCRRCQAVVVKRDVVKVWKDLPSENWAEMMEFWHCHKPGDHDHDHHH HDKSNGVDANGQSARADDMSLAARGYGASSAISAQQGVGFVDLTTLLFVEQDCSNITY SLSTPEHGSPERQVVASDESSNQIRSLNVFCSSCQSQLGFYNFRTAAVTLLKWQISCD SVSNLSPGLEECLAATLISTIARSGSSQSLLLPITETMTTTEEEGVGKDQVVHVWVLN SGIVFTSSAMEATVTRPGAESGIPAIKLLYRLVPREEADRMLDSLTGDAQEVNLPTEA IKEVMERLDRSNCLLPTTERVFKEWKVGLLRR NEUTE1DRAFT_74055 MSDSDFEQIKKLQQERNAAAAAKSGSRSFDPANQRSDNSTKAKL GEIWDTDLYERNSGDKFAGYHTTLPAADGDDEEMEDADSGRRLVGQYTATRAQIDEFA RGDGVEEDDPLAGRGEKSNRITDRETDYQKRRFDRVLTPTRADPFAANRQAGATEEGE SYREIMERRELEREEERVRRAIEQKMKEGGPVEEHQPTLQDSGNKENEEAGSTEAAAG RKRKKRWDVASTDDATPAPEPKKRSRWDQAPSVPAPGAPGAEPKKRSRWDQAPSATPV GNVGLATPMHPTQTAVVPPAFGADAAGRYAPLSDEELDAMLPGPEQGYKILDPPPGYA PVRAPAHKLMQAPAPTTGFMMQDPDSGRMTGQQVPKEIPGVGDLQFFKPEDMAYFGKL TDGADEESLSVEELKERKIMRLLLKVKNGTPPMRKTALRQLTDNARNFGAGPLFNQIL PLLMEKTLEDQERHLLVKVIDRILYKLDDMVRPYVHKILVVIEPLLIDQDYYARVEGR EIISNLSKAAGLATMISVMRPDIDHVDEYVRNTTARAFAVVASALGIPALLPFLRAVC RSKKSWQARHTGVKIVQQIPILMGCAVLPHLKQLVDCIGPNLNDEQTKVRTVTSLAIA ALAEASNPYGIESFDDILNPLWTGARKQRGKGLAGFLKAVGYIIPLMDEDYANYYTSQ IMEILLREFASPDEEMKKVVLKVISQCAATDGVTAGYLKEHVLDEFFKSFWVRRMALD KRNYRQVVETTVDIGQKVGVSEILERIVANLKDESEAYRKMTVETVEKIVASLGAADI GERLEERLIDGILHAFQEQSVEDIVMLNGFGSVVNALGTRCKPYLPQIVSTILWRLNN KSATVRQQAADLISRIAMVMKQCGEDALMGKLGIVLYEYLGEEYPEVLGSILGALRSI VTVVGISQMQPPIKDLLPRLTPILRNRHEKVQENTIDLVGRIADRGPESVNAREWMRI CFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLRVQERQSRVNTAVAI GIVAETCAPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMAKDYVYAVTPLLE DALIDRDQVHRQTAASVVKHIALGVVGLGCEDAMVHLLNLLYPNLFETSPHVIDRIVE AIEAIRMAVGPGLVLNYVWAGLFHPARKVRTPYWRLYNDAYVQCADAMVPYYPNLIEE GIDRTELAIML NEUTE1DRAFT_115267 MSYQSSQPHFTNPWGSSSGPGGPPPPPPQQPAPQNMYINNRDSA GLPHLNLAALPKRQHDVRNGSVWPHQPAAPGPVTTAPAGSAPMADVYRQQDLLSMPQD HLLGLNRMPHPTTSTAYDTSAYTTSASPVSTNYPPSTSQYELGYPPATMRGAFGMAPD DTARRYSQQGIQPDDRRSFQDALEASQGMLTMSQETPRNIYDVRNRARGSTDSYGFPQ THSTGSSVSSASFGGYYGGSVDGSISDYSTAGSDIESLSGRSLPRPQGLMSSQPPAPQ SMMGSFSSKVSTSTSKKHKCKVCDKRFTRPSSLQTHMYSHTGEKPFHCEVEGCGRQFS VVSNLRRHKKVHKPQSETPSETGSEAGQHSE NEUTE1DRAFT_126758 MVMDDADECRRLSRTDHHVCSVHRVHMYSTPEVDSRYNIPTSVT VPSKLRFWLEPGLRARSDAQSGRRLGVDNYRMIST NEUTE1DRAFT_115268 MHGLRYQAIVGRSAVSMHPSGLLLLRVRCIRPLHTNQLHWSAQD RRELKTFEQPFLPCEACAFPPARQLPRNWKYPASSSFLIQDTYFSFQSDLWQWATMDP SIQSSGSSSVA NEUTE1DRAFT_126759 MMRVATLSPVTAISFTPAPATNLDLKDLGRVALAGDFSGISLFQ FEEQNEQPFTTNGSQSLMARMPNGIFSPVVTTDATILAMCTFVEGNGKEDGVIIGGNF TSLQLPTHGSGSPDIRESKAIALFNPNTSSIETLSGLEGQVYAVLCDRDTNSVYVGGN FVGKDSRNAIAWVGGQGWTNLPFRGFNGPVNSITKASNGHIIYGGSFTGLGNATAPTE RDGQVINLSSATISASPSTTRDGFSDPKNIVCSTSGTDGAGKTWLLQDNSPGFWQAKF RHGFRPTKLRLYNTHLDGAGTKTWRFTALPINGIMNMTYVDPVTNKNATCTSECPLSN NQTIKFQDFHFINVIGMNEFRIDISDYYGNGGGLNGIELFGDDIFSYAINDFNEPTCA NLEFKSSSTATGPWQVTPSHQSTSEYLTAQISAPITDTSAKIVFSPDIRESGFYSVNL YTPGCLQDDTCSSRGQVHLSGQMTADPTKSEPVDQTLFQTNNFDKYDQIYNGMVDASS SSFRPQITLTPVAGQDLASLTLVAQSIAFTLINSTGGLNGLFEYTPGQHVNVSDFTAL AVNRLGSSFSGKSAVNTLATSGDTVFVGGNFTSPSAEHVVALNTKDSTNKTLDGGLNG EVQSMILDGNTLYVGGSFNSTSEKPVDGLNNVAAYDTEKNTWSPLGAGVNGKVMRVVG LTMNITGSTPEFVVSVNGDFDELNAFDKNSAVSVTGFGIWVPSQKNWLQNLDLELESI DGVLSTSILDRSGNGSLYAGSLVSSTLGVRDIAGLGETLSRLPVKIQAPSKPSSSNSL AKRDSSISADSADSLQGVVTGIFDQENKRNLTILGGHFTATGSGSAINNLLIMDGKRN NEISSLGDGISQDSTVMALVVHNDILFAGGNITGTVNGNEVKALVTYNLASMSFSSQP PSLNGGDGTVSSIAVRDGTDDVYVGGSFTQAGSLDCPGVCFFSTSSSQWQQAGQNLGG TVNTLLWVDENLLLAGGNLTINNTASAYLATYDPKTLVWDAYPDAGQLPGPVEVLTAG TSDNKQIWVSGTASNGSVYLMKSEKDDGSSWHSVGQTLQPGTKIRGLQIFQLSQSHDK HSLIDDKEVLMVTGHIVLPDFGSASGVLFDGASFRPYILTTNSDNTPGSLAVVFSEKQ NFFGKDGKHLPLVFVVLIGLGISLALMLLIVVAGLILDRIRKKREGYVPAPTHMYDRG SGIQRIPPHELLEGLSKSRSGAPQM NEUTE1DRAFT_119196 MGSNADDSRFVRRAPRGFGCAQRHARDSELNSRFLPKDLSSSLT NGGFFPSLID NEUTE1DRAFT_74064 MVRKELKDRTIPIRIAGIDAPEGAHFGRPAQPYSSEALQWLRNY ILGKRVRARIYRKDQYDRVVATVFVRKPPFFLRKDVGLEMLKLGLATTYEAKTGAEFG GPKMEQVYKDAEAAARRKGKGMWANTLTGFFGLGRRREIESPRQYKDRMRAAGNTILG KKTAAPKATTAAKKAPAVKRETAAAAKKEKTKATAADSTVAKMP NEUTE1DRAFT_97121 MKVIAVAYARHLAQQRAPPKEAGRDICGAAKAAEKRTPDAEERE SSDGRKGVQHDRSRFLVVLPVD NEUTE1DRAFT_115271 MCIHHRLIYRKCGHFTWLGITKKCQSERNFDQGKIDEGCNVMWT HPLSTYRVDRCCESCALERATTNRAIDEIRGRIQLTRELLAKIQDLREASSGVLERGW SDGGVESATSMNRGSIVKVGVVDDDCGGNGDDEEAETMDLIDPNEVIKKNEQFVGGIS IGLQPLKSPNPSKKRSERKLKAKSPTRSSLQSQHVIVKHHGPLHASDQEAPRPLSHED GLSQCSESLFSDETNHELTMSDVTS NEUTE1DRAFT_126763 MREVVFDFDGTVTQQDTINVLAQFAISSRGSAYSQQEASENWKR IVDPYLADYAKHKELYVPKAEDRKQLAQELAYLESLRTVELSSVERVVNTGFFARLTS EQWEEFGREARRIGEEWNPDWDGDEGKAVRVRKGFSEFVQQAKARGDKLGLVSVNWSR AFVEGVIEPEDPDRSEFVKRVNEIKWPGGQLEGPEEMGGKVMMTAKDKLEGFETMLLK ESAGGSKKRESVYFGDSVTDLECLLRADTGIVVVNEGEEEQSTLLGTLTRLGFEVPHV SEGREGIKLAWARDFKEVLGNSILG NEUTE1DRAFT_92770 MADPMRIKPDPDAAFAEDELDESADLGFYDPNDFPLKNAYLARL PGYVWKAWASLPDDAEIQIGKVRRIVQDGQPEKLQILLDDLPQHYDIPKEYNLDMVDA NVNNTFIFGEHDHPSYAAKNKERAEALAQGIPAHLVRQQMKQSEPPQERGKKGQQYTK KAIPKQTAIAATIKREVVATPLENPETDYALAARGQKQTAPKHTVQVLDRLPPNGITD PKGWETFLRTTEAPSKAKKMDNKTARWPENQLLDELAKCFSVYMYWSIKALRSRIPQP EAFIRECLDKIAVIHRTGTFANHWSLKPEYQSMISDKDLPAPTNEAAPKPEIASEDED DDDIKMEDVLF NEUTE1DRAFT_55260 MTMSAEEHELMERIARVAGQINQHKNQQAGLVPPQSSHAPPHHR TSVEYHNSNRAGIDGLEDSGYSGSWRHQRGGYPYPTRAHYTKPVHRHRTLVLNGASQQ GKAGQPTSGAALDSSPSSWVAKNDRHLQIINLSIYHKEAQARTRAIEQTLRHKQQQRD DLERTKLINHLHRAGDRSAAVPNQYELTVQGIRFAVTKNGSKLVKIPGDLNSGPTPKM AIVGGVKFYRSKNGNLYRHGIVKAQRFRNLNRHHVEMTGSGPRCRYIHDPAKVAICKD FLQQEECINGDSCDLSHELSAERTPTCLHFIKDSCTKPDCKFTHAKVSPAAPVCREFG LYGYCEKGASCTNRHVFECPDFSNTGVCNTKGCKLPHRERASVLRRVNARDDAEMEDL SSDDESVDSDDIDSDDVDEFVGQDDDPDLDFAEQKDFIKF NEUTE1DRAFT_92775 MATTTNGHMDGGDLKIKVTLKDTVVENLRPLRVVVIGAGYSGIG AAIRIPEKLRNVELVVYEKYEGVGGTWWVNTYPVMNLLTGEVFTDSANVLITARDQLS EPRWPDILGIDQFKGKKMHSGAWDKSYDLRNKKIAVVGNGSSAIQIVPKLQKLEGTTL SCFIRSPTWISSAFGDNTMKDLGLDPKVTEYFGTSLHNSTMAGHPMTIEGQAFFKADM ESKLSARPDLLAKIIPAFAPGCRRLTPGRGYLEALQQPNVTIVHDPISHITSSGIALA TAEEKKQIIDADVIVFATGFQACTSPPFPIIGRRGLTLASRWSQHPDSYLGLAVDGFP NSLMLFGPNTTIGFGSLNRILEAQVDYVVSVIRKLQKEDYASIEPKPERVRDFVAFVD AYFKDTVYLDKCKSWYRSEGGSGNRIVALWPGSTQHAVETLRSPRWEDWVYEDADKSG NGLRWLGNGWSLTQTKGDPSWYLNPEEIEFPWEGKPEENPKYKSKPWSH NEUTE1DRAFT_119199 MLLLDYQNVLIQAVLTERFAPGASPVNIDQTVSDFDGVVFHIST PESKTKILVSLQIRCFQDLVRYGAEQVLNREYGDLVVPPEAGYDFSIQVDLENLPAEQ EARDALVMKIALLKRNAMAAPFEQAYQEHYALKEEASKFTSEEAPQGVREGGEVKAIH YREEEAIYVKASHDRVTVIFSTIFREETDRVFGKVFIQEFVDARRRAIQNAPQVLFRN DPPLELQNVPGVKNTGTGEIGYVTFVLFPRHLTPQRMPDVISHIQTFRDYFHYHIKAS KAYIHSRMRKRTADFLQVLRRARPDTEEKEKKTASGRTFKAGA NEUTE1DRAFT_133847 MVKSYLKFEPSKSFGVVATSSSNLVWTSSKDKSGTGAGQAIVAA NNEVLTWDIKKGELLNRWKDENCKAQVTAIAQSKTDPDVFAVGYEDGSIKLWDSKIST TIVSFNGHKSAITILAFDKSGVRLASGAKDTDVIVWDLVAEVGQYKLRGHKDQITGLR FIEPEPQIPEGGDDEEQAMVLDNEATEGFLLTTGKDALIKLWDLSSRHCIETHVAQNS GECWALGVSPDLSGCVTAGNDGEMRVWALDVTGLASSARKVDLSQAINFLHDRGTLHR SSKERAVEVIFHPRRDYFAVHGVEKSVEIWRIRNEAEIKKSLARKRRRRRELAAKKGK KEAEAEEEDDKADDISKADISDVFVQHVIVRTTGKVRSVAWALSQGTKDLQLLVGGTN NLLETYTIVGKDKLKSKGDAPDYNKALAVELPGHRTDIRALSISSDDKMLASAANGSL KIWNIKTNTCIRTFECGYALCCSFLPGDKVVVVGTKEGELQLYDVASASLLESVNAHE GHAIWSLQVHPDGKSVVSGGADKTAKFWDFKIVQEQVLGTSRTTPKLKLVQSKILKVS DDILSLRFSPDAKLLAVALLDSTVKVFFVDSLKLYLNLYGHKLPVLSMDISYDNKLIV TSSADKNIRIWGLDFGDCHKALFGHQDSILQVAFVPHNSDGNGHHFFSASKDRTIKYW DADKFEQIQRIDGHHGEIWAIAVAHSGQFLISASHDKSIRVWEETDEQIFLEEEREKE IEELYESTLTTSLEQDPDAEDENREVGAASKQTVETLMAGERIAEALELGMADLNAIK EWEEAKQQNPNVAPPQRNVLFMALGNISAEQHVMNVLQKIKASALHDALLVLPFATVP MLFTFLNIFAMRSMNIPLTCRILFFMLKTHHKQIVASKTMRAMLDGIRTNLRGALKRQ KDEMGYNVAALKVVGMQLQHKSVKDYVDEKWEEENEENKAVKKRAFVQVS NEUTE1DRAFT_53137 MCQTTLYKDTHCKHRWMRITTPCLPGAGFNTCPSFSYNNNTHNY HYNHHSPDGLCHQPLCPYQQHPHPNHTPSHTATFTTPIQGQLQIQGHNTCQVHLPGPP YHQIQHNCPHHQHLVAKPAPPAYIARGEPCPECDLRGVYDRNQVRMVTKIKKGIKLGA GPSGGDPGVEVRCCVM NEUTE1DRAFT_150769 MAPRKPPPPPVVRLTAKGIPAKKRGPAPKPISERPWTAPKPVRR VERSYSRERKIEVIQFLLNHRIADYRPRKAPRRRIGQPPHEQEPVPQTATRDANGQLV WYRAPTYVEASEWWKIPIPTIQGWWDSREKILEGTGIELPKSKPGDHPGSDQPRPGTR VEFIVNPQAPQPASREGSEQPQPQQQPQQQPTAPSSGGSARGSQQRATMAGEGNRGAV ARNTPARAISISSASSTHTAALGTNVNSQAAPRPPVAQVPPAGPHTQNTNGPVVVQPG QQLERPPAQWTVNHHPYVPRPPGEPSYSQPPQPSQPQPPFFNPYQPKPDQIPPQYHQL QHTYPPQVAHHQPPPGYPYQPGPPAFNPQHGYGQPPPPPPHYGPPHQAPHTPGPPQGH PGYPPYPQPGPGGPVYPPHQGAPYQGGPPPQPYPGSYPAPYTYGPQFQMPMIVQFPQF TPYYATPQAPPYAQPAALPPNLPPQPSPFAQGGPGQVPGPAPGMVPVPPFPGSREFRP PGPAPPQPGPGPVSVSGSTQSPQAAASGVAPNLPVTPPRQQPAEQPSDAQEPQSEPDE ASPAGQLLAEQEARNTVQDSQSQEQSVDKRPAVLVSFPEAGAALEEPDQEMGQVQDQG QEQDQEMDQDQEEREKDQDQDQDMDQEMAQEMEEHEEEQEHHSSADISASEESDKEDG NNVLSETHEKLRQDKSADVESTKRGRSQSTSPVPTHTSSASEES NEUTE1DRAFT_74085 MGLFAKKKHGHEKGDSETHSPSPNTQLGAPEITFVRTDTFTEER IFPPASPVTENDADHDSYLSAETASGTPARGRLSLDVFRSNRSRSQSMSSNPKSPGAK RASRFHLHRSPVSSDNVPQDLPDVPAIVVPEGDEDKEGKEAQWEKRATMLARKNSEVR SRPGARPASPTRSVSNDFARMRLGSGPTEAEEWPLKSEPGAVAPAPSATKAVSSKAID EDIQQAIKWHEEGNLEESTALFGKLADPEGSNNPLSQVLYGLALRHGWGCTPDAAKAV TYLSAAASNAAEIEQLALQAGLKKGGAAKGELVLAIFELANCFRHGWGIPKDPIAAKQ YYETAANLGDSDAMNEVAWCYLEGFGTKKDKYTAAKYYRLAEKNGNKIMGNTWIWKEK YDPGKKNGMKNRVTEREEPKNLF NEUTE1DRAFT_119202 MGFSGFPSFRLFTFDTLSPLSRHTQTFAAHAPKAVLTSRDPALV PGRSNSFLILCEHCFFFLLFWLLALMFWRFFTLISRLMCCFGYWRKRSSGVYCVTLAE RLAWRDMAGHGGKGAIPGLDFFWTHLNWRGAMEYSLSNVLTLVQRAFFTWGETFGGFG PGLSDIHLFSSHEKFQGVLMAVLGYHSNTYTGHRLVGKPDEDGLPVATCMSYDTISFP MYYSTIYDTLYERNFGLLSIMNSGRALDE NEUTE1DRAFT_119203 MGSATFQQPSYYRTPPLNVDTSCHGQKFFDDDEILDDDILNQST LNSALEMSPPLTDSRRDSFAVSATALFSPKSDDSWPPVEMQSVPSNNPFFGQHNNNPF MQMDQNQQSTYQNHWGMMSSGAATPLQSFDGLPQAEYESSIVPLFRPATVQAQTPFSN PNNQAVMFQQLGHHGIPAVPASPPKEHWINQELKNQAMAKRNRPTTPLIRSHNELRRG DGIRKKNARFDIPAERNLSNIDQLIAQSTDEQEIKELKQQKRLLRNRQAALDSRQRKK QHTERLEDEKKHFTEVITNMEEQMNALQRDMDQLLREKQSYEAYISELKNEKEEIIRE HTIETGELRKKVNVLTNHVQALESAAMSTPPAGPNVSGFPGAYSEMEGMAMDGSWDNM PMFGEFTMEQQPSEVKQEMQIAPSKKSEISLSAESEKSPSQGGLLFMLFLVGAFVLSN RSPPSIPRVSEDIRVASATLLDNVLKDAGLPQAASGLEAMAPRPSGSSWAQSTGSSLP VAASGMNGVAPSMLGEMADALTQPTEQQTNEQLFGLTAAQYNGISSQDFLQNAPAERS TSKGRRNLAEALASMRAAANKDSAAEVYTRSLLWDKIPRDVVRDFAKMVAESQQVAAT NDADA NEUTE1DRAFT_106385 MQARGCSEQSMGAGPLLQPKSGVKTAVLPGPLQMWGIFELSVGS LSVRNWFLPGAARVRFTLTILCRGAWKQKFRKEAEHQKEDILEMWRYQKASATSPYRL LSCGSRWDPYLPVLPGEPSSLVVCGVYLPRKCAPTKR NEUTE1DRAFT_92790 MPSTVKVQAAKPVVKRKRSENGEPIKKRRKSEAGEEKEDLASQI QNLESQINESRKHYNNIATLIEYAEKQNEDPKTAIAAAEALCRVFVRLLASGSLVKKK DASEKDATVAKWLRDRLTDHHKALVAMFKSTKLATQALVLAMALLKAEAQYLEGKDEA VFPWPLFNAIISALLTSPVEEVRDEFCDKFIDEYDDIRFYTFEGIKDFLTERESVDEE LQNTVFNFLLKMEDIPESSEDLEDFYIEQPVKKKHPLRSLSQHKKRAQEAWLALMHLG LSKEQRKKVLDVMASSIAPWFIKPELLMDFLTDCYNTGGSISLLALSGVFYLIQERNL DYPSFYQKLYSLLDTDILHSKYRSRFFRLLDTFLGSSHLPAVLVASFIKRLARLALNA PPSAIVVIVPWFYNLFKKHPLTTFMMHRIPRTKEEKELIEKEGVLDPFLPDEEDPMET HAIDSSLWEIVQLQSHYHPNVATIAKIISEQFTKQAYNLEDFLDHSYGSLIDAEMSKE VKKAPVIEFMIPKHIFTKADPGTEQTDSPLVSLWDFGSS NEUTE1DRAFT_119204 MASRLARTAVGAARLRPSVVPRVLPALSTVASPRYSSGVPSEDP KTKAQSIIDSLPGSNLMSKTAILSSAAGLSIYALSNEYYVVNEETVVAFCLLSVWGGL IKFGGPLYKKWADEQSDKIKNILNSARADHTQAVKTRIGDVKQMSGVIDITKTLFAVS KETAKLEAEAYELEQRTALAAEAKTVLDSWVRYESQVKQRQQKELAQTVIAKVQKELE NPKVLKQILEQSVADVEKIVSKA NEUTE1DRAFT_74097 MDVPGPHDKGEGVYTNIHRIRRDIISVVEDYLSLDQLRDIRINI SVVRPLVDKFYAQDDISIVYCLLVNRAQFLNEQSHLNNRQNVNYTRAMLEDNEGPEGL LVLAHILIAGFEPFQNAPSEIRREVRAQTAWHKTLPALEVAILSEAKIFLSSTSCQKI VDAIYEGRVIYSPSSFLEIIPDRYKQKPISLYDPRKAPLLNQYRLVVPRTRNILEIIQ FVILLALYLVFMSERYAGRVTILETCFTVYAFGWVLDQFATILEHGWCFFGFLLSLVW LGNHQYSWVTISEWMIYIWFGLDGSGIHHSTDFHKYLGPALMVMFAFLGNTLFLTVLV SMLSNTFSTIVSNATAEIQFRHAVLTLEGVKSDAIFAYQPPFNILAVFILIPLKWFVT PRWFHKIHVASVRLLNLPLLLVIALVERRILPPITSTAGGERPAEGPSVNSSSSHPRR SICKGMRFWNRWRITVHSDIETVFEVPPPDSVLEQIAEDDDLTKHLIRRQFVRGNTVE AATKTPAKESNTAKDTNTNKDTNTNKKQPPGRRDSIAPFPGLRTELQGVLSESDEMSA ITARLEALEKSTRRIEFMLAKLTGGLEDNKDDTAINDTESPFDSDEEGEEGAVSQATK A NEUTE1DRAFT_150775 MSTYATHPCEAPVTFLCGHIFHKRRAYHGPTMQVPSVPSSLSGA VPPWSNNAPVPCKLCRENKLWEYDWRRRVWMKKDNVCNGNNHPKTHHPTAIMLPTPDT SHVPYQRVYEPAEDSFLLLDTLSSPSETAFLSSRFASPSPSSPSPAPLVLEVGPGSGV VIAFLTAHASTIFGGPHVLTTAIDVSPFACAATNLTVSKAVSENTSTSGFWTSATQGD LVSPFRPGSVDVLVFNPPYVPTPDLPAPPPTGLQELKDKTTFEEDSHLLELTYAGGRD GMETTDRLIEALPGVLSERGVAYILLCAQNKPEEVKGRIRAMGGEGKWKAETVGTSGK QAGWEKLQIVRVWR NEUTE1DRAFT_133856 MTSFVTNLWESIFTPGPTPTLLIATNVTFAALQIVLACLLFATW SIHFVVLSALCGGLWGSINWFAAELKVHQIQEEEKARRAKEAAPTPVTSEDSETEVEA ATSTASLTRQESIAEAVSHEVEPIQQIGELKHRVVEETSSLGTKSGVSTEDEWEKVSE NENEKDK NEUTE1DRAFT_106392 MGSQYSPSSFDEAYSDKSSSNQNNNPSSTDTLIQTLQSVESLES QQLFETAPYSNPQNQLLFPARPQNLLYANCLDFDLFRTHGEELPRSSLATESYLVSAP PLTETDKSRIMSALALQYDNNFAMGSRSSFTWPVLDSGSGLMSPEFGSYGSDSSFAGS RSGTASPPRTSLSAEQRELKRQRDQARRDSKMHIRGRRAGSSSSSGVYSPPPSLAVMS SGAPGMPIYTSAGPVSLLAETHTTRYHAQFSPPLSDHHNTQSNMYHNSYPTPTYINDY GYPAPATPSLPSYYGRQMSDSNIMYPVSPPAMGGSPQDTAGQIDQGQLLKTDITSEAS AGEQMRAEERRGSMSHGMVWRYIHTTNLGRIGSHSGRRQQVHTHITGSGTRQAGGRPA NEUTE1DRAFT_106393 MPINVVRLSPSFPTFTARRADHPQNAIKAEVGLAIKVAGQQIRA GDTGSTGHAVGTCDVPYFIHYTTWSDRGPLSCGDTMPLVTRNRALSDLGSILACD NEUTE1DRAFT_74112 MSSIRTLEAALRLYSHGFDLLVKGITQAESAAAFGTPAVNVEIL ANRFRRDIHALVGNSASQQLTKSLRVVLVRLVGNILGIPSEDGDDDDARNFKSRRPAP PTDDDFKALAARQRLHELVEQLHNVGLAGERFQVLFAEVMNWMMSAFVCGAYAGVWSA TDRRSLSSVLNTVSKGTGSSVTSPCIISLMDWVENHFARLSFEVLSRISHGSVSPVTL SDLKTYQSLALGRLSTLRIAELFDIVLAWPDSRGALDDLRATITTSARRLQLTSHFTQ ALKTRLLHPGCSTLEILQTYIDIIHTFHALDHSKVLLGHVEPSLKLYLWQREDAVRIV VTGLLASPEEVRAARKVREVSKQQAEQKKAGKRSEASARRGAGKGPVVTPTTTGRSSR TTPNTTRQRDDLHESSMKTPGATPIKSRSTGTGKLVELALLLNDPTKTRHTLVEDEEL DWNDMNWVPDPVDAGANYKRPKSEDVIGTLISALGSEDVFIKEFASIVAERLLSLSDP ARFDQELRVFDLLKRRFGEAALQNCDVMIKDIEDSRRLDVDIRRAWDEQRTLTAATPM MMSGHFLRSADKRKKAALQRQQQQEPTQYHARILSRLFWPALDREHFLLPDPIIEEQK RYEQGYEHLKSNRKLTWLNQLGTVRVELELRDRTVTVDCTTPQATVIYAFNDSDSDNG HGIEIDNNPPARRTVDELYTTLQMDEDLITSCLEYWVSKNVLRRLRSPANTQGSHTYV VIESLSDPIVDDDDDDDIFGNPTTSVVAQEGAQPAEDPKEESNKPAMDPKEQERRTIY WQYIKGMLTNASTSMPLAQMAMMMKMLIADGFPWTNEELGEFLAEKIAEGEMELVSGG KYRLVKK NEUTE1DRAFT_150780 MAGRVRHPIDIRALESWLAQKVPDIEAPLDIKQFGFGQSNPTYQ LTAADGRKYVLRKKPPGKLVSKTAHKVEREYRILTALSDTDVPVPRTYCLCEDESVIG TPFYIMSFLDGRIFEDPIIPNVLPDQRRAIWSDAVRVLAKLHRIDPRSVGLEEFGKPY GFYSRQVDTWKTICTAQAKVEDVDTGEPVGQLPFFEEMMQYFADVRQQPADRATLIHG DFKIDNLVFHKTEPRVIGILDWEMSTIGHPLSDLSNLLTPFYTARLDPRQSINVHPGF LPRATRGLPPVDEITELYFNVTSPVGRSTFEISLHRQASPAEELERRRELAWAQAFNI FRLSAICQGIAARVASRQASSAQAKRYADARDPMARFAWKLCQDVEEKSPRPRI NEUTE1DRAFT_54297 LLAMWLDDSALAGSDDRGILFKSIAAEELKPGQVSTGQGPGGSL SSLVVETGRESYCRCLVTASRSRMV NEUTE1DRAFT_126782 MDEMDYTMQASVHPVPLPGWWIQQIPGSHRPTPPSFPRHPSDPS PPTSHSANRGYDPVHAASNSNHAMNNQNRTSQESGQGNSGNAGNNMNNSGGNRQDGHP STGHAHHYGGNGTNSNNNNNANNGNVTAPTTGVSTSSVSVGNTVTSGAGTNTWFPAQS TTASWPLPSLAFQVQPSVPYFPPPGSMAELYGLNGGSSANSGRGPGAQPAANPVSSAD ADTLMGNTETQGGSSAATAATATPRVGGLDSGPIQADWTPQQGPSRSAFPPVPPPPPP GLSSIEAGYLDRFTSSHNHPTFSNINPLGQVLPDPGHWGSRHISHATSVNNSNQHHTN GPPPGHRRQPSHQSSQPVLPATATSSAGSHIRLPAPDPHSRLAPYRPSSMSIPTEGGN DLFHALQLPQGPPNPPGPQASASSADRPSRQRSGSGLTNTAAPLPDNQSRTGSATTSA SAPTSVSVSNTGPPFVGHSTSFSRGPAYPEMRTEMRDFMVRALHRSDSVSDDDMDFPD EIPTRRLVDEERAAQLLRARQVARGQSTKKVASRKAIESLEPVNVADLPETDRSSTSY FVKWSNSMRGIVAPGHGKQRIDDRLLRKIQATRDEGPDTAPCTSDSHHRERLHKAANA HRVKGSPASDGDNAYRSADTSQMSSTPQQPPAPSYTSEPAPPWMQHGEASFAFEPSNR DPAFPDQIPGTRGPAQGQPSILAQRDQPLASTYSGETPFPGSSTFPLTGTGRQWPE NEUTE1DRAFT_119207 MWRKFGQHHDNNTCVASSFTGKSAVGRQLDSYKFVKGWSVCGMM KIVAWELPVHYQKFSQCNNDLSSENALRCSTAKQ NEUTE1DRAFT_126784 MAPAAKRRKRTIVDDSDAEEDEKKQTSQNNQNTLNRFLIASPVA SKSAKPDDNDRLEVIPDSSSPVRATTRTTRASTRSQPPAASSATLRNRLPKAASGSPS ASPIKSRTRAQKKLRTEENGRNGDLKVLFSKQTERSQASAASGVSSKDISDFLEDIIS ESDDDIALSKTAASSLVGLQAHRRFKDNSQGTSQGSSLFSTQTSTLSSTTGQRFLKQS RPARPVVAPPPPTDDDSRPWSERFAPTNLEELAVHKRKVGDVRKWLEDVIAGRMRQRL LVLKGAAGTGKTTTLKLLAEDMRCEVLEWRNPASSYGVPQGYQSASSQFEEFLGRGRK FGQLDLEGDSPLPALAPTTNTSNQGRRVILIEEFPNTFMRSSTALTNFRNTIYEFLAA NTPALTPFGQTPPADSIVPIVMVISETLLTTTSASADSFTAHRLLGPEILRHPGTGVI EFNPIAPSLLAKALELVVKKEARRSGRRMTPGPLVLKRLGEIGDIRNAIASLEFLCVK GDDDADWGSKIAFTKTKKGSKDAALTKGEQESLELISQREATLGIFHAVGKVVYNKRA EKPFPLGSEEAKAETLPGYLSHLSRPKKSEVAVDTLIDELGTDTQTFIAALHENYALS CESSPLDPNSSLDYMNGCLDYLSEADLLCPSWDIFFGGKGAFAGGNYGGKDSGSHILR QDEMAFQVAVRGLLFSLPSPVKRQTHPTSGRGGGDAFKMFYPAYLKLWRAKEELEGCV DTWATKMLKGEDGMTLSHSGGTTMNLTSGASAFQRPSSTANPNVNSVRDWASTQRPQP ATQAPTTASSSSAPPLLSLGSAARQEMVLERLPYMAHIARGRRCSFGSMRIRDLDKIV SFHGIGPPATEDLDEDEAVGAEEAGVTESWTTDKPVEEAMIPGRDKRGLGAILSRATA SRVHGNGQEQEATELGGIQSLVLSDDDIED NEUTE1DRAFT_74122 MAGFLSYVPLVNRLVGGEAPRAIDVAPIKVQNIETDADKRPRTL KHLLRANHVNHSILYHDLQYDNHMAHILCSSYELGAQAPQLYDIYEEESKTLEPWKDS PSEVSEADWRDNLGDRRYQRAYVDFFEDMMVMKYKYDWKQVIEEFMFGGKQPLINGLI SSLGHPLIHLGYAYEFDNRELAIEALSLASSTHNYLHKYIDDSSYTTKSTISSTSPSE LLTKLANDSRFDGLFDEAKFENVDALFEKAEPLVLEYWNAWELANPVEQFRESQEAAI ELLVASVPPGTHSYNFFLVHVLTTSHAVRVLLPFVPVKFHINLVRQWWLLTLAAYIAV LRPKVDPDYIPQDLKGRSWNYVEDKALNGRFAKDAHYVKAIRSIREAAKTWGDVHDRF LAAAVRFADDFEGWW NEUTE1DRAFT_133863 MAPKAIIAPSILSADFANLGHDCSKTISQGADWLHVDIMDGHFV PNLTWGPPIVAKIRGHVEKPTEAYGKGTFDCHMMIAEPKKWVKEFKKAGCDLYCFHYE AAFSTAAESPEAKSDKKTNPKELIKYIHDQGMLAGIALKPATSVDVLWEILESEDPKE RPDMVLIMTVEPGFGGQKFMASELPKVQELRKRYPELNIEVDGGLGPSTIDQAADAGA NVIVAGSAVFGAQDPSEVIALLREAVEKRNGKL NEUTE1DRAFT_74127 MVKRKAENQARSDSKKSAKNLKKSKNTLSPEDTKARFRKGLFDQ SVLNEYTQEYASSTPYKHAVINELVDDSLLRKVRDEIKDNVSFTPKETDIYKIHQSGD LANLDGLDDDALSKLPSLLALRDALYSETFRNYVSHITDCGPLSGRKTDMAINVYTPG CYLLCHDDVIGSRKVSYILYLTDPDTPWKPEWGGALRLFPVEDREGKDGEIAKTPLPD PVKVIPPAWNQLSFFAVQPGESFHDVEEVYHASKEELEKQGGRIRMAISGWFHIPQIG EDGYVEGAEEKAAHKSGLMQLQGNPDQYDRPVAKPVQVEKESSNEDEENTFDEADLEF LLKYLAPTYLTPDTIENIADQFEEQFSITLSDILHPKFAAKIKEYVEAQEAKKLPEGS EEIEKSGAWKVARPPHKHRFLYLQPGEGNAPDSPIKELLDVLLPSRQFRLWLQMATRS TIEGHDLLARRFRHGKDYTLATGHDGKARLELNIGLTPTDGWGDVEDEDEDEEEEEES EEEEAKGKGKGKGKATSNGSSKGKGKAVDKNEKAEEETVEVGGHEVYMAGDDDEDEDA AIYKSSEDDDNILFFQAAAWNKMTLVLRDSGTLRFVKYVSRTAKGDRWDVSGSYEIEE QDEDPDAEELAAGAEDDEAGSEDDEFNGFSDDPDGTGSD NEUTE1DRAFT_74131 MPIRNPFARRLGVAVTQDENVRPGSDAGNTDLAHAGFERVNTVG FRASSAFNIRSNKKGQDTGDYKMSGMTNQAPINQPQHRLNPSRPSSMPVESAIMLTRL DISAKSPVSPTAGEFTFAPRQSLDSARFPRTPRQSVFSEHRFRRDFSTPEESFEEVGL NDDKQQAQPAKKRGFFSKFGSDAPENATPLKEKENGQAMSRFLPGRKRGQSGQGAELM PVADRPSTATALQEQEVQS NEUTE1DRAFT_133866 MSSPTGNPVLDGPKKLAAAFPNKELSMASLSVHADDYINNHQAV APPMHVSTTFRYAENPDDLKPWENLNPNAPFDSHIYSRDSAPNSTRLEAILTSLLGAP ALTYASGLSAFHAMIVFLNPKRVAIGGGYHGCHGILKLMKKLNGLEKLELESDADLAK LQPGDVIHVETPLNPTGEARDLAYYRRKADELGCYLTVDATFAPPPLQDPFQLGVDIV MHSGTKYFGGHSDLLCGVLAFRPDKAQEWLPALLEERLHLGAVLGSLEGWLGVRSLRT FELRIKRQAESAQKLVDWLVEEKAKEGSLVSEFVTRIQHASQQPEAKEEGSWLRKQMP GGFGPVFSIYLKSEQQAKRLPSKLHLFHHATSLGGVESLIEWRAMSDLDIDKRLLRVS VGVESWDDLRDDLIQGLEALKKDPKAQ NEUTE1DRAFT_92834 MPRTKDGKITKPTGESQVRRRGRPAIDWTPSRKRRLLRLYLCTP ESGLSLKEILDLLAEGPFQPKPRHTQCLLNELLSKSYRQRRPKSRTLMERRLAYLRSM RDGRPVSDPPKGDETDCAILLAKNPSRWRQQSPGTSCPTKRLGREMTQLSISHHLGLE KASASDLSSIPPGSSTATSFDSPEADMSALEVPASTGRIFKHNSKTIKERRCSRVESL RVKLPGRTSSFLADVVSLLSGLSICSSSNASSCASPHWNQRSFLSRSNSSATLYEGRG KKKSTLPEGKAMSPEDPIDYSDKYAQNADSRANQELLKHCCSKKAWCIHKRISAVCFG NRSPDTFVCAAEEVNDQDGLGNTALHVAARWIAPGPVLFRIMAMSTTITLNTPNHLNE LFLHVLNPRSLDINELAHFTRYLVGREFNFCQLDSSGMTFIERLMARLDFSIEALETI FSYLPEATRLFLLGHPSSPSLNPHHTPQPPLIQAIRVRLNVIEGNSLIPDPSQLEAAS AYCDYFLARYGT NEUTE1DRAFT_92837 MGQEVSAVPETTKPENLSERSLPAVADYIKSGKARKVVVLTGAG ISTAAGIPDFRSPETGLYANLAALELEEPEDVFSLPFFKENPKPFYVLAKDLYPGKFH PTISHVFISLLATKGLLYQLFTQNIDCLERAAGVPADLIVEAHGSFASQRCIDCKTPY PDDKMREHVSRAEVPHCEKCNGLVKPDIVFFHENLPSLFFDRRHMAEEADLILVLGTS LTVHPFAGLPDLAPFEVPRVLFNMERVGSLGSQPDDVLVLGDCDTGVRQLANALGWRE ELEAEWRKLVGDEEADRQLEGSSKRQVELHDEVSQLVHDVDKVLHVHDVSSSGSSSPS PEAVAEKEPIAATQQEVQQSESASVKESVETTTLTEEVKVSGA NEUTE1DRAFT_106405 MVSPVGRVMVSGCSHHCHELDQKHPITFSTNRPEATRTSRGLES LRNFHNFGILAASNAPISAESQILDPPPAAWPDSCEEHDHSPLSPPASLIAEPFDPQD YQYDKRHRPSTPDQQHQSHPIRESQPVYCAFPAHCPRKPFQAFPFLASSLSTSSSSPQ RECSPTRNDSAVAGISLGAGGDFEAEASTGAVIKAMTIAGSKTDPLPSPLLRSPTAPT SLIDSWADAYRSPSSRASTTSKAAADPASSSPSYCTRCVVPLIFDISSLDQDESWECC NCASNNKKLGISLC NEUTE1DRAFT_52494 MRRLNTGEHAPVWEEENEDMHDRSSRPLLTKKLRKEKKQPTTTK LGPTLPNGEIRKRKRVTFAVPAPIPVKIDQDHLHTMLTIDKLAEAEPKKRPGLNPFTA AGPVSAVSYITKTDPRKPMLLSEVGMNEPSNSRNRMLSDLIRTLDSKKGRRTRNRSGH WQWPAPEQQAEVDAATSMRDMQENQQTSEQGSSSASTEHEEENTKLISTHDPEDPEDK PRNKWLKIPGQIWRNTTAFLANTVDHLPFYTSPKEKQWQAATRMWQEEANNKRMSVPS TKKKAENSHHTPWLRCDGL NEUTE1DRAFT_133868 MGVLGRAIVEVSSKLTGVVANLVQEETNGSSRLGTFLFPFLAFF LGNLTPDGQYPWGNLTDWGNDQYTESPATGAVRTYDFVISRGLIAPDGYQRDVLLVNG AFPGPMIEANWGDMIVVNVFNNISSPEEGTAMHWHGFLQDDTPWDDGAPGVSQCPIVP GKSYTYEFKASLYGTSWYHAHYSAQYAGGIVGPIVIHGPTQAKYDIDLGPVMLSDWYH RPYFDLIKDMLAPGGSPSVPSDNNLINGKMNFDCDTAAAAGDKTPCTPNAGISKFKFQ TGKTHRLRLVNSGADGVQRFSIDGHTMTVIANDFVPVKPYNVTAISLAVGQRADVLVT ANAAGSDPKSVFWMRSDLAKCSAAKQPFALAAVYYDQADPETAPSSQPWADAGDPDST CGASDDLDKREPLYPIPVRGEPAVTKEMKIEAYRNASDVFLFLFDGVSARVDYNHPAL DMVMKGNMSFPEVSNVKDFGSAKTVRVVVINKGPGPHPMHLHGYNFYVLSSSPGDWDG KTIVRPSNPVRRDTEVVRPHGHLVLQFDANNPGIWAFHCHIGWHASGGFVASFLTQPD EVRRRWGGDRMPGKMEQVCREWDAWSQNTVVDQIDSGT NEUTE1DRAFT_119209 MPPPPQHGGAVGPSNFDKFKMGAMMGGTVGCIIGFIFGTVNIFR YGAGPNGIMRTLGQYMLGSGATFGFFMSIGSVIRSDSSPIVAEAYYRAQRRPMIMAAQ AFRPAYYPTRRSD NEUTE1DRAFT_92846 MFMSRKSLQRSNSSSSVASTASSSSTSTVTSTGSVSTAPTNGSP NSTSSSGGGNGNGNPMSVGGDTAPWSNSQALRKKPQPKNNTNTNSWTNARPEGASDLS RSATGRPPMANGVNGAPPTMHHQQQQPSSQPQPQQQPQSAMSAPNQMMSQSNLARPGA DQMAPQRQPVLSLLSLNGTFERKTISVPFYPDIMKIGRQTNAKTVPLPTNGFFDSKVL SRQHAEIWANQDGKIFIKDVKSSNGTFVNGNRLSPENRESEPHELQSQDHLELGIDIV SEDQKTVVHHKVAAKVEHAGFVTQTNNLLDMNFGDLDPSNGGMMMPMGGMPPFRGRAG SQASLASNGRMMPGNMGGPIPGMAQQRRFWLNPVTTEHIVKKLQTEMRNAKLQHHDLI RTGQFITALVTKDDIKNQDKPEDMEPPKLHVNGNVPFKSEISKTRFSEPPAPPPSQPL PEKPDVARASDAPSLKRGITERPKSHPSPKDANVNQVVQLTEALNLAKKELDSNSARV RDLERMLNEEREARLQAEVLMQKMAVSQQAVTNGTNVAALTNGHSAMERVFEPPTEQT ETNDASALGGLESEKSPKPETSNIEAMAAAFQARIESMTTEMKGLREQLEAFRNRAEQ AEAERDADRKTLSQLILQIRQRDEEEQQAAARKSRSSSRGRSHQGRKDKEVDQALPKA NGAAVTGPTQSDGSSEDHAEEVPSLALTDTLKPSSSPALVYPHQDRALIQAMPYVSVL GVVFIGMGLMAYLNGWQPQAKN NEUTE1DRAFT_52899 FFADWDPTTSLAEQAKRLVTDRLCRGITLGQLLDDQRECLRGSP TKTMLWLFHMFMIREIKNRFDMARPE NEUTE1DRAFT_51855 MSLRLVLTSFLYLDYDPSVSLLEQTRQLVRDRQNGGVSLWDLLY EQGAHSRGVSLWNLLCEQGACVQGCTSGTMRHLFHSMVLVQVLNRLGGHENGDEAGQG EDE NEUTE1DRAFT_18814 IECLVDWDSKISLVFQAVELIQKALDAGATRATLLEEQKDLRDK SPHHTSLWLFHSVI NEUTE1DRAFT_51317 DWSYQWPLVWQAKSLVDHCLAIGADTHALLVEQRCLRAAQTNLN IPWMFYNAVVVELEGRL NEUTE1DRAFT_55461 MSGTTPPSLYHHMPSQSGNSSFAMTEYDHHTPRGSERSPPTPAT TPEAERTLSTTTTVVAESTPSTTPTFVSEESLPSTPDTPIDETPKEPCLPIGRARRLV QDRLAQGFDRSELLIEQLILREKAKVRQIPGHDDRDGPLSDIWRHAPDVQSPEFHFYT AIIFRLEQNPVLSTTRKGTPSQLPTSTGRTNNDQRARCVMF NEUTE1DRAFT_150792 MSLNRWATQKAPRQSSEFNWATDKDDGIVKDLPKARILLYMHES VWFGDYKVKQSMNNVTHTILTCLKAKRMTCRNRPIVLTAHSLGGLIIAKAVAYADTGR ELYPVMFEAISAVIFFGTPLKDATIASTAVMFSPLAEKYQTFGAVASKSLEDMTLRNS YLKAVLKEFATLVTKLSPKIHVFCSYEEQPINLAEMVPLLKFASFVFPQGSKILSPKS QQRLMATRN NEUTE1DRAFT_92849 MGSTAARTYLAFHHNAKLYKLSAVVSAITPFPALEEANKLLFKK GTDNDFAIVTDQTIFHPQGGGQPSDEGVMTMGDVSVFRVSMVRMDAVKDGQVLHFGRF DSSSSSIFQLGDTVEQSIDVEKRLLYSRLHTAGHVLGAAVRHLLEKEIPGFDELKASH FPDSAACEFQGSIEGKWKEPIQQKVDGYIDKAMPVEVDFWDEEDFRKNGLERLIPDRS LAPPGEKFRVVKIVGAEVYPCGGTHVDTTDLCGKTTVKKISRSKGTSRVSYAVN NEUTE1DRAFT_92850 MRSQKHIAASLRRVSGALSHASYSPATSQSSRTAAVAGQRLSRA QPQQQQQQRCLHEQAPRRSGASNPAMAFPCLDALETRSETLKKRSDSSGPEPSYVAGA TQTFHCDEPLLLDWGGMLPEFDIAYESWGEMNADKSNVILLHTGLSASSHAHSTSANP QPGWWERFIGPGLALDTDKYHIICTNVIGGCYGSTGPSSIDPADGQRYATRFPILTLE DMVRAQFRLLDNLGVNKLYASVGSSMGGMQSLAAGVLFPERVGRIVSISGCARSHPYS IAMRHTQRQVLMMDPNWNRGFYYDNVPPHAGMKLAREIATVTYRSGPEWELRFGRRRA DPSKPPALCPDFLIETYLDHAGEKFCLTYDPNSLLYVSKAMDLFDLGHACQKAAATRR AERLESLHKGVYASNENVSCSLTLPDKPYEEQPESGGSADIDTKLATQSDSSKPPEDL IKGLSALRDHPALVMGVASDILFPAWQQREIADALRLTGNRNVAHYELSEEQSMFGHD TFLLDVKNVGGAIKNFLQ NEUTE1DRAFT_106413 MVSPLNAGDSAQDQNQDDIDRSVNPYQPTAMEVILVRAMLEKAL RLPPEIINGILDHAEYWPHTSTVIDYSNWPQGQRVVNAGDGNSENAFLLRTLPLGFLK PPSSFFENDGNREVPLEPIPREPKEFPVDTYQSRISPTLSHPCRKIKFTIVSHDQGWG GEPRTRRTYNNSYTWFDIGLERCLQTSDDIDKPTFDPQTLSTILPKVREVDPNNDGDR HVQGTHTFDFPLCPQKDTMIQCNEVANGQYHQYEVEWKWTDNISSADLFDSGYGCEEG LIENPLPKVGRGQATGDGKFVRDLKLGDVITVWAKARFAGWANYIKRIQVDVYWVV NEUTE1DRAFT_126796 MDAQPAAAELKHHYQTNLWTRLPAEPAISKTTSIYAPESVIAYF PSHLELLPILLPQHLSISQDKLQYYNRLVSSSRGGGSCLTTLQSPTAISLTQSQPVAR SKSSSKVSTKDGLHGKLSVLSCHGNRPVKHTENAERVPITSAKEMSVKMSGKKQPDQN GLHGLPARPPLPTDQMNHLPATVTSSVPSTPHQHARKFSFESRDPSPGATQNHSPRSA YSETNGNVPSLRPLPPRLGGCRFETAIPFSRRRMPYSIGADRLDPVDPDKIKSRLSED HEAKLTTTLRELYDSLIPTPEVERKRKKLVQKLEKILNDEWPGHDIQVNLFGSSGNLL CSDDSDVDICITTPWKELESVCMIAELLHKHGMEKVVCVSSAKVPIVKIWDPELQLAC DMNVNNTLALENTRMVRTYVEIDERVRPLAMIIKYWTRRRIINDAAFGGTLSSYTWIC LTIAFLQLRDPPVLPALHQENSLKLLRPDGTKSDFADDIDKLRGFGDKNKDSLAALLF NFFRFYAHEFDYDKYALSIRTGKLLSKVEKRWHIGVNNMLCVEEPFNTMRNLGNTADD TSFRGLHMELRRAFELIADGKFEECCEQYVFPKEEERTIFQKPASTSRPILVRSSSQT HSSRNPRNGGFRNARQYNRNGNAGRRGSSSVTHDPNSTYVPAGIPGQMAAPEFLQWYH QHGIPPEVYASQLNALSQQQQQHQQQQDSIRYQLFAHSQQINQQQLLAHANRTRAGGS QNTDRSRTNSFDNLPTSPPVRPEQLFYGYAFPLQNPAYFHPALQTYPSSPVTAAATAG GGPEYRRSLHRNAAASESGASTGSGALRSQSQPASRTPTASTQNMTGYLGTSQPSVGI PIPFPRMAMPHYVPDEAIDSDIDLASANSVTDSPPEEDGSRYNHFFMHGGSSPVANGT HGIIPSLGELTLDNETRHRLSSDQLPQSVLDRRMKRTSRSPSPLGHSRTPSVGNSSAP SAPVSQTNGKLAPSRGPLVVNGSMGKTKPASSVSRQPLPVAEPPVPEDATYENPVYIH QGFYNGGWTEPTNFHLPTVTGHNPAQFPDRPVIVNSTTATRSPASSKNMGDASFQQRI AMANSFHPGILYAPLTSDTTSSPGLSPPNGDRMRSIARQQNGIAPLDLAAGSFGVSQD LQHLSPVYEARTPSPTLMRKYESSLAVSTPTPESTNGSRSERSDTWRSGQKTSPVKSP PAGPAAKLDSVTRSPVLDQRSNGTSRGNGHLRNGNKGQSEGLSDWQKSKPRKKGMADL KHAASTFAQSEQVPKNDGDRRGG NEUTE1DRAFT_143282 MSVPFITQLQRITDRLSLPLRYPGREDVKRHWISYWLSLDDSAQ LLRCAWTEHKR NEUTE1DRAFT_119212 MHNNYDPLVGLAGPKLGKSHRLEVFLSCLFYLNVLSWWNTSTRQ QLHNWHFLAG NEUTE1DRAFT_55219 MKLHIRQFPDLAPKLRLEHCLLVSHGYKPPAIVHLDNNCGKWEE GRRKASSSVEGMSCKSGILNLGRTKASQPASFARNSW NEUTE1DRAFT_74162 MSGYYEPPQPQWPPAGGQASGWEHQTPPPPPARSGASSAVPREE SAAFAYQLEEVDRAIDNLVKSGKMFGMPGGRRESFPVPGPARFYPDFGGRMGAGPGPR PHSMVDFNDARGPHQPSNLQTFYAAQRHQPSRGSNEAEQMMQAKRRLAAQRERELRNY HQEQQYNRSVLADSSSQFGAAKPDRTLSPGSGMSEEERRKLIASQRSALYGEQGFPDA AVYGEENAGPRFGLTAGQNLRGASPMAFDYNQVPPGFPPQSQIEGGQGTQSAGPHERS RANSNTSPQSNPSGGKGIFDAPIGHQANRTSASSPGGSPPRQSAPGSKPGQNTVAPIG TRPSTSNTATNPALNKGSTTSLASPLSQSYNGTANNEAGNNGSAAPASATTEGPNVGL SGWGTRSNGWGKVGNVQASVWG NEUTE1DRAFT_74165 MANNTITPSSSEPEMTQVKSYGATTLVTAEQTTSNTSGAAPNNN AVLTGLDEPDLVALGIQKLEAQNVHWYSYLLTVDFWLVILIGQILSLCITATNTFSSF LSELGTSIPAIQTIFVYALIFLVYFPIALYKTGGPRKFFEQTWRNSWKYLILSFLDVE GNYFTVLAYRYTNLLSAQLLNFWSIVCVVIISFALLKVRYKWFQIGGILICCGGMGIL LASDHITGSNGGPGVNMLKGDLFGLLGATLYGISNVYEEWFVSKRPVYEVISFLGFFG VIINGVQAAIFDRQAATDATWNGPVAGYLVGYTFAMLIFYSLAPLILRMGSAAFFDIS LLTANFWGVIVGVKVFKYVIHFMYPIAFVCIILGLVVYFLAGSVLGDSKKPWLGADQS EGVAGVGTAKLKALNAARQKALADGEAGARV NEUTE1DRAFT_55444 MPKPNMSKTTSARKSGAKSTSSPKRNTLASTANTYPTPKLLNMV DPVNVVNHIPFYLHSAARQAAFPETAGDALHRHLSEVRDMRLVRVLTRAGDDPDHVYD AIAEAVSETVTDFGEGTSEEAREAAMATWRQQREKERKEEMVRGLTQWSKDLLTQQVP EQWVREVVGGLIERVQGLERGELEGEVDGEDEEEEVLGIESGSDVEEEEEEEEEEDRE WDVEGQINEAMDNITRVVRGASREVMDLARRGVEALVAQFTREEDGEEEEEWELVEWP DRGGG NEUTE1DRAFT_92865 MVSLFGIKFGDKKKGKPERAAAGDNSCADSEKSVSLQRAITNES SRSDAAASPILPPPGIAPYAHGIGAQNHATSSMSNLADLHSNNASGVKHYASDANLRA KFGAMNGSAASLAHGPGPVLSPRPQTANAKSKPWDSSDLPDIPTIKKASTAPVAPKSP LNQVATDFPAISMSTNVVHSPVERKVEAGVRLVQSDEDAEDVQGPISKDQQILERNVG EDKISDEMSPTEPLARPHYTSLLDPLNGPGPVLKNIDERPSSRGGMQDGPGPVFRGNI GERPGSRGGVHGGPGGPGPVFRGNIDQRPGSRGGMHDGPGGPAPIFRGNIDQRPGSRG GMPMSGPNGPPRPFPAPGHGPPRHGPPTHGLPHPPAPRTGAPGPRGPGPHPGPHPGPP RQGPPYRGPMPHQGLPHPGTPNRGPPRQGPPPHGISPQGTPRQGSPHQGPPRHGSPHG AAYHGTPPPHGPPRGPLPHGPHQSGPHSSPHSTPHGPPGPLRPGLRGPLIQGSPRQGP PHGGPTPLSAPNKPLPQQPGGPTPLSAPNKPLPQQPGVDTRGPLPHGHATLGDLPRLQ IPGLHSRPQSPAVKALGPAKPSQGDEASPRSISRPDVVQSPKGISAAETRNNSPSPPE SIAEHLLDDDDSIFSRPIIKTLGARRDTLTVVSPRRQSLSMRIEELERSLIGAQKGRS LDDQQRSFDDKSLNSASNIYSQLHLSEDEDDDEPILSSIQPAPLRTPTRTSAANPMVA DMFSESPTAEDPPTPIAKDDPETPIISSHPGSFSSAGGSGYGIPTPRRGGPPAAAFRT RRPALEEYSLYSGRSTPVSRPGRSDTASTTGGNMSSPYPGTADSSTFNSPQRSNTPQL CHPPPRRDFNPPTPALTPDPEPHRPAVSPLANAGFNFDFGPTASSQNISPSSTFGDPT SASSLTTTGPPTPDSMIGPGPAIISPLKPAPPAPRASTLNRPHIPAPLNFNFSPDADS RSTTSPPSQHDQSQQAPYTPPLRRATTPAIDGTFDGLYEDGTDTGIGTRPSTALGAHR PPPMTPIFGQHPPRAPSRAQTPMLGGADDAADPEEVARVLGIGVARGLSVKQPKQRAP ERPTVPNPRLVDGFGTGMF NEUTE1DRAFT_55094 MDTAAVRRTVNGPVQLNQERTSFPRESELRESFTLDMCQRPDCD GSRRYGLFSARLQTGSVPDI NEUTE1DRAFT_74167 MGPKTGACASGVGVYVADVCVVYYPIGGGGGIIAAMLYTFISDI VPIAERATLFFQMHATYLTARMISGPIAGSLMVTSPWIPLVLSLGLMTLAAATTLVFP ETRHLKAQASSTKRLDNEDIPAHLSTDDEDVGKPMLSSSPPPLSSLTQPQAQQPITTR IIDLATSLRSFTHFLTTNKRICILTLALGLVVIGKYAQVLLLQYATKRFHYSWSEASY LLTIPNFTSLITLLFILPFVSSLLLGTSSSPLLSTFSSSLSSDTSHTSSGTHFYHLNL TPLTPLQKDLNLARASSLLLTLGCLLIALATTRLGFTSGMILFALGSGLGSTLRSLLN ALVDEEHMGLLNSVVGWLEMVGIMVAGPVLAEGMSEGLRRGGGWVGLPFWMAGGLLTG ASGLVWVVRAGGEDEKGKREANVNGRREGGGDEEGEEV NEUTE1DRAFT_92869 MRGTRQASPHTPRPFKHQVPNHGIRCAGTRRLSLPNSQAEWKRL VKTTYIGQDGVTRTWEHAERTTRPEGSAFDGVSVVAILEKDTGREILLEKQYRPPLDK ICIELPAGLVDTGETAEQAAVRELKEETGYVAQALTTSPIMFNGM NEUTE1DRAFT_74174 MSTFTHPNYAVPITLPAGLSQEQLLEFHPFRSWISTLENSLGLQ VQNHSHPFHQDPYVLRSVTVQSFDLFGGKRLGFLKLLAEVTNSAGEKLPGSVFLRGPS VAMLVILIPEDAPVDTDERYVVLTVQPRIPAGSLEVVELPAGMVDQEGQFVGTAAKEI EEELGLKIPTSELKCLSEMAGMSPKSDDGKIQDGNLSRAMYPSAGGCDEYIPIYMHER RVPRDTLSDWTGRLTGLREHGEKISLKLIKMQDLWREGARDAKSLAAVALWESLRREG KL NEUTE1DRAFT_133882 MPRQVDSYFELLGHDIIHGAGWEPTTRVPRADKTAPLPEPEHGL AIPGLPASGGSCQGLTRGPEAGQGHGSKNPKLDTLLSALTYLHVPTLSKLPRTLSSST RTPFVLKLNTRSLPVPVQVHHCTMSSSSSSNPSTQKPASVDTSLQGSPTGAAALFASS HSSPHPLKLYGGWFCPFVQRVWITLAEKNIPHQYIEINPYHKAPEFLALNPRGLVPTL AVPTSTDPKTGKVKEVKPLYESLVLCEYLDEAYTDENTYGDRLLPQDDAYERARCRLW IDHISSRVVPAFYRFIQHTPDKPYTIDEIRTEFHGHLKAFAKEMLDASSPSSSPGPFF LGDKFSLVDIMLAPWAKRLFLIDHYKPGGVGIPPSGQRGSEVDEEIWKRWEEWYKAVT ERDSVKKTWSEDEQYVGAYKRYAEDTTQSEVGRATRRAGFTLSTRV NEUTE1DRAFT_150806 MSQYPPYPGPYNGYGQYHGQPPPQPPPQSPYGYQHPPNFSVPPP VTAPPPYNAAPLFPPPGQPGFGIDTNRNISQGAFDYNSMQIPGLGIGGPPATSTPFGV PPIPSPWGRPPPSFQNYQPPPMMPQQPTQPSAPRAFGGYSGPSQPVAAGPSSNGPVNR PANLPPKLPPKPPARVTREIEIEEGELSEGQFEDLYEPAPPITVNTNIKKASKPVPAP VESQPTSAVDTPDANFYGNDEDEGEIPAKDGRGGVPGSTRERSGSYSPFLSPRELQSG NPTPQNGDKNMPAPQSVPDRTKAIGNANGRAPLVPGLQFAASSFSNSATPKNTEQSGP APQTAPGNSSAPKTLQTVQKEAQLAILRLLPLGVKYQNYIEEGIDEKVVQKLYNNLHL DIPKPASEAATTTAPKAAQEQVSSPVPTSPRTTKVQQQQPTPVVTDTVSTTEQPGKEE SRKDRIARLMAAKAEKAAKPPAAPASKPPSAPAPKTAPAPVAVAQSSPVFSEPKAAPA AMQEKPSGDAAAPKPKLGPKELLLQQKIAALQKSREAQKQGQANIKPQIATPGLVDKA TSTHISNYAASTGLKNGVPQPQAQANVSTAVPSIPGLHFSGTPNAQPPLPANPRKRPV AADFVEYSSAAASLPKRPFGQIRKETSLVIDVTDGSDDEDMDIDMDMESPTDVSQPTQ TANGSFQSGGPLRDFPLLTDTLPSRQVSSPVPVLQASNPPATAQNIRRRETELDVKER MIQEMRRKIAEAEAKRKAKKSSAGSQTPTQPEHTPELKDDGGSRLPITRQSVSTSCTG LSSVTTPLRPASAAPVLNSPSTAEPAKPNPAAKAALEAKMDRLRQLREEQARLEAEIN GLSEEPEQPDINTMEVPTQSNGSDKDTTSDFCKPDISSAPSESLKAGSTLVGAEDQSS ASSSIPVRAADGQEETVPAETVEQDDPTSTMSLNEGEELELDQAGQPTDMSEGIPPSQ PVDEAGRGGSEAQRENTPAKLDETLPMDIDSSPSSPTSLESSPEDSSPLPDQISVVAQ PREAAQELEEEPARDVNVVSPEVPDPVQLTRSQETHETAMAKTGSFRPYESPLRYFHA YRFHPSYRDTVSGGLRSLTYSNRINPSIPLCPSEIAGEQCDETCEFQHIKYIVAPDDA ILLELGKADEFEGEQKTRFIDGLRKLLHEFRAKKVRDFDAIARGIIEYRARFLGDRSK VLPLEGVTL NEUTE1DRAFT_92886 MDETQRPRSAIIVGAGAGGIAVAARLAKAGVDVTVLEKNDFTGG RCSLIHTKAGYRFDQGPSLLLLPGLFRETFEDLGTTLEQEGVELLQCFPNYNIWFSDG KRFSPTTDNATMKLEIEKWEGPDGFRRYLSWLAEGHQHYETSLRHVLHRNFKSILELA DPRLVVTLLMALHPFESIWHRAGRYFKTDRMQRVFTFATMYMGMSPFDAPATYSLLQY SELAEGIWYPRGGFHRVLDALVKVGERMGVKYRLNTGVSKVLTDGGKNGKKPKATGVQ LENGEVLNADLVVVNADLVYTYNNLLPKEIGGIKKYANKLNNRKASCSSISFYWSLSG MAPELETHNIFLAEEYKESFDAIFERQALPDDPSFYIHVPSRVDPSAAPPDRDAVIAL VPVGHLLQNGQPELDWPTLVSKARAGVLATIQARTGLSLSPLITEEIVNTPYTWETKF NLSKGAILGLAHDFFNVLAFRPRTKAQGMDNAYFVGASTHPGTGVPIVLAGAKITAEQ ILEETFPRNTKVPWTTNEERNSERMRKEMDEKITEEGIIMRSNSSKPGRRGSDAFEGA MEVVNLLSQRAFPLLVALMGVLYFLLFVR NEUTE1DRAFT_74189 MSLGDASAPATSPTPDSGSPVIAAAPAPPTAPTPNDETKSHVQD VLSSEIGIATMLNRLKQSIASAKEFATFLKKRSVLEDEHANGLRKLCKASHESSSRSE HRGGTFAKAYEDMMTIHERMAENGTQFAMSLHQMHEDLLELAGIAEKSRKGWKQSGLT AEQRVADLEAAMRKSKAKYDALAEEYDRARTGDTTGQQKGKMFGFKGPKSAAQHEEDL LRKAQAADQDYQAKVNTVQTERGELINKIRPDTIKALQEIVRECDAGVVLQMQKFASF NEKLLLSNGLSVSPLKHGPEARSLRECVQAINNDKDLDEYLLSQYPRLPPRTGEPKYE KNALLDPANRTTTTPYVPPGGSQQAPTHSREQGSMNSRTGPLNDTPTAPLGHHYGQSS SSIPILSASQQSESQPSHERSFSHGNILNQISTPFNPQYGDSRSAATQQAQAASSRYN GAIGSISSSGPPQLGALPFQYSEPQSLPSTTQQPPQQPSQPPPPQPSQQPPSSQPPQQ PLAPLASPTQQAYPSGPVQGRQSTPPMAQPTRPVFGVSLSKLYERDGLAVPMVVYQCI QAVDLFGLGLEGIYRLSGSVPHVNKLKTLFDTDSGSSNLDFRNPENFFHDVNSVAGLL KQFFRDLPDPLLTKEHYASFIEAAKNEDEVIRRDSLHAIINSLPDPNYATLRALTLHL HRVINNSSVNRMSSQNLAIVFGPTLMGTAGPGANIADAGWQVRVVDTILQNTYQIFDD DD NEUTE1DRAFT_92891 MSQFPTRNVGVLGCTGSVGQRFILLLQNHPVLKLVAVGASSRSA GKKYRDAVRWKQSTPISAEFGDLVVRDCKASKFADCDIVFSGLDSDVAGDVEKEFQHA NIAVFSNAKNYRRDPLVPLVVPTVNLNHLDLIPHQRKTLGLEKGFLVCNSNCAVIGLV APFAALQARFGKIDTVSVVTMQAVSGAGYPGVSSMDIIDNVVPFISGEEDKLETEAQK ILGSLNADATAFEDQKQLRVSAACNRVPVLDGHTACVSLRFAQRPPPSAEEVKEAMRS YVSDAQKLGCPSAPEPPIKVFDEADRPQPRLDRDLCKGYTVSVGRVREDESGIFDIKF VALSHNTVIGAAGSSILNAEAAIFKGLI NEUTE1DRAFT_23885 IVDTIKQSPPIDVKAPYDTKSLAGKTILITGGASGFGAAFARKW AEHGSHIIIGDVNDSAGEDLIAELRSLPGSSKHHYYQHCDVTKWEDQVSLFKMAARAS PTRGIDAVVASAGISEHRDVASGAPGVFDNPSDLDSDSLLPPPPPLNVLNVNLTGVMY TTHLALFWLPRNGGVSRLDVQDDTSAADDGRPIRDRHLLLVSSIAGLAPLPGQTEYTA SKHGVLGLFRSLRATSWTKGIRCNVLCPYFVDTPIVPWRALALLAGGPKADIEDVVDA ATRLMADEEVVGRGLLIGGRQRVVGGKLVVVDDAEYAEGEGGDGDEHRGIRNSPSAVW EVHAHDYEMVEVFVYRFVKVLNMVRIVRGWVETLKDLWAIYLSR NEUTE1DRAFT_92899 MAAPSYLPRPSAAQAAQAFNSRQGMTSPVGYYTPGKPFDREAAK SVGTPFATTPSPTYSVARIRKLPANFTEDQLRLMMALSKDLVKAELLPADMSDDAGFR TAILTFKTLEGAQETRQLLNGKTNMTKDAEMIVEIIQSDSAASSARFSIDTSVSAATS AVTSPTALTAPSSRQVSRFNGTFQSLDRMSPPLNTAYGSTELGSPEATAHYQNLFSAQ SPIGNYLTDGSRATGKTLINNDSADDDETGEILKEIGGFAEGGPQQRRSTSSQLPITR MANLSLNTSVPTTMPQSMSHYSQSSMGAMGAHSATMSPTNMMGGPVPMMPHAQPYRQH PPANPADMNPPCNTLYVGNLPIDTSEEELKAVFSKVRGYKRLCYRTKHNGPMCFVEFE DVSFATKALNELYGHTLSNSRKGGMRLSFSKNPLGVRTGQAPGQNGPGPMNGMNGFPH GPSTGFTTANGPPPGLSAPPGLGMNRSLYSGSPNMLNNGHNPYTPPPFPTTQSPWASS FNGSSQLNGNSQAQFPAYMMGR NEUTE1DRAFT_74201 MEQQQPQKEVAPLRPRVANACEACRFAKVKCQESSQVGICKRNA DQNSTTTTSKTNSNSKTSTTAKTTSKTSNPSSLQKQSSLSSPPPGPSRTFTIDVPIPT ASVDIAISLESLRLNHEGFMDHICPDLNTGIDSEGDDGTHDCDYDYGSDGEPVGSVVS SHASHASSLPVGASAATPESSSTSASGGGFRSGKGNTRMAGQGAGLGLGGSSRSLASL SVQPQFNVDSASRLLDTFRNVMLWHFPCVLIDHVVYNNNEKEEVKAELEEATVASLAR ERPFVLLAMLAAASSTRTLQGHSLYDEEFRKILGLKFVAGGERSLELLQGLAVYVACF ATSWNRTPSMSFTSYTSKCCDVLEKYSITKGDRILPWLVRLQQLCEETNDLRKPQRGA GGLPQPTESQIEMIIKGMEAQLNEWEVKMPSDLQSIPSIRITTLFTRLFLTGAPLLKL PSVKLPGLEKDPPSFRVDGSRLLNLIPTLHQNYEYFLSLSAHEINAFSMIGWGCLILS TILGFRMSFPIMFCPEWDDYAARRVVKFGGFLDRLCRLGTSISSTSEKMDKDSRNGLT PATMPTTKGMDVLSASKVVFAVLNRKFKNRVARMEGAGTGRGKNKDTRMGDVGVVTGQ QHDQYRHLQTSTLPTQSTTTRHHQHSQSQQEVLDIRMDLSCSMLPLEIDSLQGQAPMP TLHIPSTNVSGCPMMDGSLEPYYPYWNETFAFNSTFTGLGGSPSVNSLGSSGSGGSID TTRNEIASEQQISVEGSGNVPPGVMPGMGSGTGGMTINMGMGAGMGMGYNDLWAAMTM DWAADGGVEDWT NEUTE1DRAFT_92901 MEVETQFKDFNFPELLVQSLEESEVFFNTKSMTAGYPCQGLAAA THVDGDYPYRTSDQMTIQGQGPNLHHRRTSSRTSSHYKSVAPQSVRQSLHEGTERRLA AGMPRYHEQFGAADDSSDTSSDSSVEMMPPSIRTRQQSVVTTTTSISGRSSSPFSSKP HSPSSTSSKPTPNRQGTWFDDEPDPMSGSEEPDMVNDRYVPPRPQTARGFNDTILGKD VPSSPSSPTTPFPNAVPVALPVHLTENGDRPRTSSGQSCIGKPRIIDIHPPVVPKRKS SLRRLHVQSPPAVPAFCQQEGLASDADSLQDSIVQTRGITLPPSPTLPVLSTPGGRTI IDASKRPSLELYINKKVPHSQTLPEEVGVNRKESVRDERPSGDSVQDLRSRLNVKPSA GHLQDWIRSHTEPAVGRVRAPSFVPNSPLAGVPLPADVLNTLRISTSCFPETTLLTSS LSIETIRTYSRKLRYQIRKPGNSVDDDDNESVFTFSSPNVKSRKRWNLPNLMQSRRNN KRFASYAHDLTGNTYPEPRATKPSGVPDWAPIKNIFPTGTDHLCDALYAHLIAYNYIG TLCPPLPNISSHAPKEANSVQRNPSSPTRRSDNNVRRIPRKAESILGMNDEAAVNFSS GALYSSRDGGFSRPFIGDSEKRGVPKVLISSGSGNGAGCDLTALRDIHAGLARCIARL VTTLKLTTGEGMVEGEALSPKETVTLDPFLLRTLCELVRCAEETAC NEUTE1DRAFT_92904 MLSEEFVSAICGAPLSSNTSIAKDVGIYGHTLSPTYSVKYNFKK SSAPVNCVAVSDSHVYAAQENKAYVHVYSRIRGNQEAFVAFPERIRCLTLAGDVLVLG TAEGRLMLWETSTGRLVSTPARHVQAVSCVIATPFHVLTGSDDSDIHVWSLSSLLELT PSSAEHEPERSLSNHRAAITALSVNASVSYDTNLCVSASKDKSCIIWNYQTGDALRTL LFPTSPLCMSLDPAGRAIIVGCEDSSLYMAEFFKGDSKALLGAQSEDAATVMQISQPF GATQPTGAGPASCLAFSYDGTTLLTGHPKGQIMKWDVVDNKAPVELTNLNAAVTNLVF VSPFEAKGGNKATKTSTIVKPNQAERAYTVTTQLEADLRSPTRVDELVNSTGFSQQAL EDAIAAFQQALAEKEYEKKE NEUTE1DRAFT_126815 MYDEGSQSGRPNKTNSGDKFGGVNDQKPGSPIQTSASPDPYTTM VSFPRWTTHPIPGVSLSAGGLLALADLSTVAQRTAITGGSSWLDSLLLAPGLHYQQAA DELAKWSASSATAIVDAGAGAEPGASLTRGNGSEETRSLAPTTFRINNAATLLYLQKI ARPGQTVTLDVGTIPAIRQRLRIRRSESGLHATIWAEDDLPDLGWLSHRSKPRPIPFP PFPFHPFHSCPSSGSDHHEPNPITSLLISLGSDFPSHPSTCSIRLRGLSEDLYAITAT AWLRAKTHVEGYLEATAKVLVYMVAAFSGNMTQVGAMIMMVLLLATAGLLALSNANAK MFRVNGRVVVGEGERVLLGGEETGDGKGKGKGKGMTAGGGEVLQRQRRRTGGLGPGVY PVAGEGDGAVGYGNGKGNVIDAWPSTSDTEGRGSAASDPNWAEKGQAGGGDYGGM NEUTE1DRAFT_92908 MRPRLLLPRGDLARPCSPAIHSTTTRFFTQSASLRVGVQTRPQL GFLNVPVTTQQYRYLTTERKARLKYDIKQGIKITSYFWMAGACCFAIAFALVQETLEA RYPSPHEWSMLSRIFFRGAFCERDQTDPSRTTDWVHVTNWIKSVVDRLEDPNIDGKGL KDAPSDRPKGTKDISSMSENWRRGYYEAMMLYAKAAENVEKWVTDKTQNLTIPNDYVI GPSNPKPRPLPNENYRPPKEDDCVPTFESPNEIYMRILCTEGLTNRQRMEAGLAYATW LEFKQITGPANIILEDAVHLAASEQALLTGGHYPLDTKSYTLIDAAGHHPSSNLLQSL TALATFRARNGDVSSALPMLVSILQARKALPTSDPRRISPLPQKKQTWAGAVLALLKE PPYPDPPEDGTLPPLRDAKERCEEAALSLHIGEIMYTAKPTTTNNNNTSATTAHSHRE EGLGWTREAVDIAEEQLRGLSNKQSAMAARLTCRECLAAGLENWTMMVSRLARDEKAK KEELERNPGLDKQNKTSWWSFWGQGEHKQEDLNRWAAEEKVIAERQRRAADLLEELPT GNRGFLSFLQA NEUTE1DRAFT_143304 MFRRRWSGLPAEPKFAPDLKKLSYFINEDDEVRYVHDPDFYFKY FSTKNTRYNDCQRFAFDQAVNQIIDSRLEAEGLKEVLLPLGVASKDEPHVKIRLSENL SNKSRVVIIFGQSSQDFGILAHRVASGPGGLNKGSMVNIVKALKQQKSSATDDAAPGI ILANPGNLWWWPEGKKGLSEFARHQIPGSSLVHWGWHHDPARNSISENANPTEHLKYI FEKVVPQFVNDKAKIDVIALGDMSDEVEQYLDNDDVWAKIGYRLNALVVLGGYYDMRK ANCQGFKKFMDQRGRAYIMNQDPLDTLIAGPEGGSRAVTGYVGYGCPTYSVGPNAFIM ELVLVEAGSAVLKWLQDVALDKDYVNERVHIFFPEEEEDDGKWPGWDKDDDDESKGSK EIEEQDHEETKRVQVRVQRDAQPPKMDKCEGIDAELVKEIAKRERMADGNADSEEET NEUTE1DRAFT_150819 MASPVPIQRLQAPLRRSLARAAALSTRSYATIPSGPSSQPTSQE SSSAASASAPATKPRPTYFKDTTLASLDDFIASQSSAAPLAPSEAYTLRTAEVGPAGK KRTITRLPEWLKTPIPSAGANPEFAKIKADLRGLNLHTVCEEARCPNIGECWGGSNKA AATATIMLMGDTCTRGCRFCSVKTSRKPPPLDPHEPENTAEALARWGLGYVVLTSVDR DDLADGGARHFAETIRRIKQKKPTLLVEALTGDFAGDLDMVKIVAESGLDVYAHNVET VENLTPYVRDRRATFHQSLKVLEHVKKVRGKEGIITKTSIMLGLGETEEELWEALREL RKVDVDVVTFGQYMRPTKRHLAVEKYITPDEFELWRQRALDMGFLYCASGPLVRSSYK AGEAFIENVLRKRSGEKAVSKALGQAVAAGEATSAQSP NEUTE1DRAFT_119223 MSDAPYDPYIPSGQHGAAGQQGAGNNAGTARLQQQIDDTVGVMR ENINKVSQRGERIDALQTKTDDLAISAQGFRRGANQVRKKMWWKDMKMRICLIVGIII LLVIIIVPAVVATR NEUTE1DRAFT_150821 MYSACAVALRAGARRVVRRVPKSARALPRAAAARRQISTTAARS TDLTTRGMIVQTLSSVGSKREVQQYLSLFTSVSSQRFAVIKVGGAILTDYLDELCAAL KFLYTVGLYPVIVHGAGPQLNRLLEDAGVEPQFEEGIRVTDAKTLRVARDLFLQENLK LVNKLEEMGVHAQPLTTGMFRADYLNKEKWGLVGKVTGVNKQAIETAISNGYLPILTS MAETDDGQILNVNADVAAAELARALEPLKVVYLSEKGGLFDAGGQKISAINLDEEYEH LMSQAWVKYGTRLKIKEIKELLDTLPRTTSVAIIHPEELQKELFTDSGAGTLIRRGSK LQASTSLSEFKDLEALKSVLIRDREGPDAKETVEKYLDFLKENPFKAYFDSSMNALAI VLPASEGRQATLATLTITKSGWLTNIADNIFTALKKEHPSLVWTVKEDDENLGWFFDK ADGSITRQGDVMFWYGIENGDEIVKLMKDFTENGRAMLGNSNLESRLRQAASKPAAQQ VRGYSTLARRPALPKFSISNRRGYLTQTNPNPPVGKQNASMDRPARVALIGARGYTGQ ELIRLIDSHPNMELHHVSSRELAGKKLEGYNKQEVIYENLSPEDVRDMEKRGEIDCWV MALPNGVCKPFVEAVWEGRKASGHKSVIIDLSADYRFDNKWTYGLPELVQRSNIIQAT QIANPGCYATAAQLGISPLVPHLGGMPHVFGVSGYSGAGTKPSPKNDVENLTNNIIPY SLTGHIHEREVSSQLGAEIAFMPHVAVWFRGIHHTISIPLNKSMTSRDIRQLYQDRYA GEKLVKVVGEAPSVKNIGGKHGVEIGGFEVDKSGRRVVICATIDNLLKGAATQCLQNM NLALGYAEYEGIPTM NEUTE1DRAFT_143309 MNETGQDQNTIVAEVLQEVKSSHERFESAAGDLLIKTMKEDSQV RNGVERFIECYMTMTTGYNEWALQSDRYGVKEHVQEDGSFLIPL NEUTE1DRAFT_92923 MASPEASAVNATGTTLPSPVPEATPYNFHWETLLRPEVLWFDAR VIFGALTVIWLGAHGSLRRPPSAAPPESEDKDEKKKKSKEDEQFTEGLTASDAIMFPI LAGAVLIGLYYLLEWLKDPNLLNKILRGYMSFAGIAGLGKLSGDALEILTSLIFPKVW SDRSNRLYYVDPKRRSQVLVDPTTNQAMITDKKSPFPGVFSMVRLPTGINRLAWEIRH LFTEKWTVRLAAHGVGSFKSQIKFNHLLGFLVSIAITTAYHLVQWHVLSNILGAAMCY AAFGMLSPTSFGIGTAVLWGLFFYDIVMVFYTPFMITVAKKVDAPIKLVFKSSSGFSM LGLGDIVVPGLVMTLALRFDLYMFYKRQIQYQPIGASSRQALSTDQAATSTNEMQFQR TKAPFVESEGQWGNRFWTTKLGNLMPNPACGAVGAATAFPKPYFYASLAGYALGMVFT LTMLQVFNHGQPALLYLVPCVTGSVWLTGLIRRELKDVWGYTEDGSLDTKDVVVTLDA DGDEVSKPARDKDNKEAKDGGKAQKEAEKEEEETPEEYDVVLFSIKAPRPKPPKTD NEUTE1DRAFT_74227 MASDPMSVNSSAREPPAPPGSGADEPKYGGYTRFELELEFVQAL GNPLYLNHLASRKLLSNPAFIAYLDYLQYWSRPPYLKYITYPGPTLKNLELLQQEKFR QDIISPDLVERLRLEGMKAGIDWHRESFPSTA NEUTE1DRAFT_133901 MPIVFCPFCANLLILSRADTGGNRLECRTCPYEHPIDKPIYSRK NFPRKEKEDVFGGPGAWDNAQKGKVQCDSGTCNGNEAAFFQVQIRSADEPMTTFYKCM TCGHRWRDNN NEUTE1DRAFT_74231 MAGKDEEHEGASISEQLIEACRRNNTDLLSEILADKSDEEISSL LNNTTTVMGNHLYHEAALRGNYEIIDMLLDQPGFECDPVNRLEGDTPLHSAVRWINSE PEAQREFGNELVDMMLEAGSNPRVKNKGGLTALQLVDPRNKGLRELIQKHEYALLNAG DFVSAENVKPQQGQQAVLDVEEEDEDAEFSGSDDEERAEWERRRAARK NEUTE1DRAFT_133903 MVTEKSPIIAPGQKGIRKPTPDIEGHSPATYKQPRGGSLGCFMR GALSFLLILHEQTTGVAAQSFDPTRLPTTLVSKAPVVSPTWKSPPAVTPTSKPPPVVG PTSIASSVPIPRSSNIKGTSNPTSTPPNPTPIPLVITNSCPDTIWPGIGTQNGIGPEV GGFELAPGETKPLFVSPDWQGRVWGRTNCSFNNDGTGPSNLNGVNGNGAACMTGDCFG RLNCEFTGQVPVTLAEFNLLGGINSDQTFYDISLVDGYNLPLGIIYIPAANTTWIPPN LTNCVCIASAGFLDPPSRSGLYYTNKTFPIPWESDQTNPSVGGWCPWDLQEYPPSKPG DGIYPYPDDSIQRPVFDPCLSACAATGNPEDCCTGKYDDPSVCIPSLYSENAKTVCPD AYSYAFDDQTSTFIIPNGGGWEVVFCPRGRSTNILRIFGEELRTIASGGGLTREILER VRNRTYIESANSKGLSGAGMVQRPGAAVFGVVALMWMWMVFWC NEUTE1DRAFT_55830 FYFRSKKDYLEKVYKIIERLVIVKLYLNPKKYEFTIKVIKYFSF IIIIGINI NEUTE1DRAFT_50873 LNDNLVKGFIQLLILDVASLILLVRKLGSGVRICVNYRGINNIS LKNKYLLLLIKEILDVIYRVK NEUTE1DRAFT_55310 YKAAVNKKRIDTPKYKISDEVIFNIANYIIGRPYNKLAPRFEGP FRIIKANSYIVELVLPWNIEVTYIINISRVKPYIEGLPG NEUTE1DRAFT_119226 MSSMNSRLKGFGFGKRKSTASIQPNPDHQQQSPTPPPGVAPQLS QLFQARPGPAPSVASNSSQQSLPQMNHPGAGPRPPSYSANYPPGPPGPVGRTSPLTNQ GPTRTPPSQMVGGPPPINTGAPPVSGYPPPVMGGGPPPLQGGPPGYAGAQGYPPPPQP TGPVAPYRNAAAEVEGNSRSKAQLIVGIDFGTTFSGVAFAFATNNEAKEDIITEWPGA GSYTKQKIPTVLYYDQYQKVVGWGPDIADALAPTGYPKPGVQKVEWFKLQLMLSGNTY IDPINLPPLPPGKSEIDVAADYLFKLRQAMRAALLKTLGEVFNREERNIRYYLTVPAI WNDAGKAATRAAAIQAGFLRDENDNRLTLVSEPEAAALFCSKTGLLNLKVHDAVLIVD CGGGTVDLIAYEVEDENPFTVAECTAGSGDSCGSTALNRNFSNILRTKIRKMKLPDGS KTAGRVYAKCIMDFENRIKADFRNNGQKWAVDVGIEAEFPEAGIEEGYMTFTNEEILQ CFEPVVNRILELVRNQIIAIQAQNRTLQNILVVGGFGASEYLFQQIKLHVPPQFQSKV VRPMDSVAAIVKGAVTAGITERVITHRVARRHYLMATLQPFKEGYHPEAYRVPSLDGK DRCKFTRQIFVQKGQKVKIGEPVKVSFFRQVAPGATLMYEDILYACDDDVCPEYTKDP RIKEVVTLTSDLSRKNLEKDFERMDTPQGTFYRVYFDIYLTLDGSEFSAELVCQGEVM GRCRARFR NEUTE1DRAFT_150828 MTFATATATSTTPTTPINWLGAGEIMYSTKNGARLHHTCGIDYV GDGVAHNLTNTKTTPLDECIKECSAINRCIGGKMESLSGRWAGEVS NEUTE1DRAFT_119228 MSPRSEDMDTKRSETLIPHANCILAGMEAPAALNQSGCFIRNSQ LRRHLEEELMKDHWVLIVV NEUTE1DRAFT_126829 MALETQTKTVVGQFEYSDEDVNKGVAEFLRQMAEGLEKDGASMS QIPTYVTAVPNGTEKGLYMAVDLGGTNFRVCSIQLNGDTTFRLTYTKVAIPRELMVAK TAEELFAFLAKQVEIFLRTHHGEHFEAHIRRRMTMSSPEGFKDENVFRLGFTFSFPVQ QLGINKGTLIRWTKGFDIPDAVGKDVCALLQTEIDKLHLPVKVAALVNDTVGTLMARS YSSPGKSGAILGAIFGTGTNGAYVEKLSNIKKPLAGEYDKSTGEMVINTEWGSFDNQL KVLPNTPWDVQLDLATPNPGIQMYEKRVSGMYLGEILRLTVIDLLKNPDVALFKDDNS SYNDWKSTTNIAEHSPIYKQWGLDTSVLSVAAADSTPELSILRQELEANLHIYAPSLE DAQAFKAIAGAIGRRAARLSAVAIAAIVLQTGKLNDPELDEPIDIGVDGSLVEHYPYF RDMIYEALRVIKGIGEEGAKRIRIGIAKDGSGVGAALIALVAARMEKSTSTADFISDL RLNAKKTDLTAVPEDSELAAGE NEUTE1DRAFT_92946 MSRGQQPSILSFFQPRQQQQQPQHAPPPQDCVNGAATTASVLPK TPVPPPPPPPPSSLTSAPLPQQVPARPGLVPVTATLPANIPVLPSPPSIPSQASIVAV AEHHIAALRRINSLLLQVAYPDAFYAKVLEPLASGLFSRVILWSDDPTSEPKVIGGVV CRLEPNPFLDPNGQPQAPRIQQNQSGPSAPADYPYHAIYIQSLALLSPYRSLGLAAAA LEHIIASASILPAAGSTIDVRTIYAHVWTENEEGLQWYGQRGFRTEGRDPVRGYYFKL RPDTAWIVRRDIGEHGRQAQAQEPLGAANYSLPKRNPNRLLQQQQQQQPTGMIMASSA TTATASATATGVLAAAVNLPSLTTPPPKSPVGPPPSSSTSTSASTPALAPPSTTATRP PLSRPSTGMSYQNTRPETEWNDLPPEMVQLNVPGSGSGAGASATASGATSAVSSRSSS VAPKKKKGRAYPSAAFGQ NEUTE1DRAFT_126831 MSSEGDRTGKAGIVVAPEDVGSVDKLAYSVVDDLLYNILHDLVL KVHQDEKTARMRTAAIKVEKLAMENSDLSTPDARPEIVVETDAALYKDGHVTLKGNPL KTTKEILCPRCQLPRLLHPTDGKGAQKPDPNVTYCKRHPYIEKPGFDIYGQTWVQPGP GRGKKKKDMEKKMDPNDPAAVAAMEGSAKDRPPNVLSFPSATCSKCKRCILVTRLNNH MGSCIGNSGRNASRAAAQKISNGGSNGGSQNNDNTPPASQKGTPVPTSRATSPRKRDG DEFDEDGDEADPISAKKKKLKPTALPKKVILKTKSTPPLKKDKIKTSSMLSVEQSVDD DDVKNSTVQVVPKKTTPAKGASPVKKLKIGLGTKPQLNAPGKKLGKKEKDHETESTGR MSSPPH NEUTE1DRAFT_92950 MATDVAVETTMGTFTLELYTNHAPKTCKNFATLADRGYYDSTVF HRIIKDFMIQGGDPTGTGRGGSSIYGEKFEDEIHPGLKHTGAGVLSMANAGPNTNGSQ FFITLAPTPWLDGKHTIFGRVKKGMGVIRRMGMVPTDKEDRPATEVKIVKARVVREED MEA NEUTE1DRAFT_150833 MSETGESLHKRSKSAARSLLSRRKPPVDDDMASDDGQRASGSYS TPQNIHIPSQQASRGHSSRLSTTGSALGRTVSNHQATPSVGGARSPSMLFDKGAAASL ESSVRKFRIVEALRNGDTASISRAIRDTAEHNPRMSISSAITGPLEDTTILHLAIQCA EQTVVEYVLSDGAGSLDINARDKDGNTPLHIAAQQGRTHIVRQLLEHKDINDAIANHQ GRLPIDLARNPDIFQQLQLARSLFAEDKVRQVQDLILHGDFKTLEEVLEEPRFKTVLD INSTEFAAESATVESGGTLLHEAARRRNTKLMQVLLLHGADPFRRDRNGKLPQDVTKD EITKAMLKKSPAAVAAQRGIQEKAVLGSATHGAAAAASGDPMAGREAREMKGYLKKWT NYRKGYQLRWFVLEDGVLSYYKHQDDAGSACRGAINMRIAKLHMTPDEKTKFEIIGKN SVKYTLKANHEVEAKRWFWALNNSIQWTKDQAKEEERQRVRNAELLKQAKAEHAHSVS DAGSDNASFVEHRRQSVQLSRMHSTARASRASYVASGNGSNEEDDFVDAGTEADKGEH HHADDDDDDYGEGSSGQDVPSANKDAFNITAQSARLQLDTMAQVTAALMAETNKNSDL RLSDPKASQALATYDAAIRSLTGLVGDLLRISKDRDAYWQYRLDRESEMRQMWEESMA QVAREQEALEARVGEAEAKRKITKRILKEALGSGIIDEGQVKATAPTVAAAAEATDEA DAEQADARPQSPVQSIRRQKTIRDQVAELSDSDSDEEEFFDAVDAGTVEVSQLPPSEP VASQSDTQLVISDGTDISDSFKGYENGIRTKLKMDADNRPTISLWGILKSMIGKDMTK MTLPVSFNEPTSLLYRCAEDMEYADLLDLAADRADSIERLIYVSAFAASEYASTIGRV AKPFNPLLGETFEYVRPDKNYRFFIEQVSHHPPIGAAWAESPKWTYYGESAVKSKFYG KSFDVNPLGTWFLKLRPTSGGKEDFYTWKKVTSSVIGIITGNPVVDNYGVMEIKNWTT GEVSYVEFKPRGWTKSSAYLIGGKILDANGQVRVSLGGRWNSKFYARLTPGYEATIEE KSGNETVHQGSINDPSKAFLIWQANPRPTGIPFNLTPFVVTFNHLDDNLKPWLAPTDS RFRPDQRAMEEGEYDFAATEKNRLEEAQRARRKARESKGEEFKPAWFTKARCEITGEE YWQFNGEYWNRRAKAGPNGDPSAWQGLEPIFQDA NEUTE1DRAFT_133908 MGKSEEESKEAPRPTLIRPPPEDLSKAPIENTLEVTELAVIGPN IFTNARQPWHPPGARGIYGGAVIAMCLAAGQKTVPSDFLVHSMHCYFLLAGSGELPIL FHVELVRDGRSFATRTVQARQKGRCIFTTTISFVREGSSGPRGSQVSHASSMPIDEKT GKPCQPPPDDYDGEPELVRSGPNPFQSARIEVTNADSPRPQDKKTRQWYRAKGKISAE GGQQAHLTALAYVSDSYFIGTIGRIHKLWRFPFHPEDFDKLPEDVQERVHALHEWEGL GHPKDMVGRPEIGMMVSLDHSIYFHEPAKVRADEWMLSEMESPWAGDGRGVVTQRIYN KDGMLLATCVQEGLVRLEKNPGKTDSKL NEUTE1DRAFT_119230 MEIISSRDDEKRPQAFQQGDREWLKEETGRLRGTSTKRKKLPTA SSAELLDVRAQDLPAGVFRAKFDAKPPFPLDAGSRLEWSSESQLSWDRNRFSNDRMAH GKKNKNDTKSSGAG NEUTE1DRAFT_74258 MALASALLKRYTIARLAGVAWSSTTAGFTRDERTTKPIWRDPAT GAQPVAFNVSHQAGIVALVAVADYPGSGAQSEAGAKVEVGVDVVCTSERRDRDQDLIR KEGWGSFVDMHADVFAMGETSYLKYQVLSAVPGLVERGGGGGGGPPTPEQVADGKLRA FYALWALREAYVKLTGEALLAEWLGELEFRYFRPSRPTPAWDVPAVETKEGEEEGEDA QVLRKFEIRFRGKKVEDVNMCLRSMGPDYMVATAVRTPEDPTVGLRWRLGPYETLALD DLLSFVDSAV NEUTE1DRAFT_92963 MAQSKVVIYAMRRELRLSDNPIFHHLANPESKHGFSHLLPVYVF PAQQIDLSGFVPKGSENPHPAPKSAVGGYARCGPYRAKFLAESVWDLKTSLQSIGSDL LVRAGPYKDVIKSLVEGLKAKECQVGAVWMTSHEGSEEKSDEKTVASFCAKSGIDFKL WDDEKYLIHDRDTGITHLNDLPDVFTTYRKQIEPLREKARKTLPVPEKGALPAYLDTD MIPSQQAPFNIPGTCEELVDAVVRPVKNFLKDLPDFPEKAESSHPFRGGETSAHKRID HLVMSGGMKSYKDSRNGLLGPDFSTKLSAYLAQGCVTARQIHHALVAYEDGTGTKYKG ADGFGEGDNQGTETVRMELLWRDYMRLCHQKYGDKLFRVEGFNGKHTDYEGEDKKYGW RTANTSIALPGQEPTPEKVSEILARFNAGTTGMGLIDASQRELIHTGYTSNRTRQNVA SFLAKHLEIDWRYGAEWYEMLLVDYDVSSNWANWQYVAGVGNDPRGAARIFNPVKQAF DYDKDGTYVRTWVPEVAKFENLENVFQAWTASKEDLKTAGLEGNIMVTDPVKPIKFNL DHKPSKVKKRPFFRKRGIKSRDAQGSAESPGSSDGHSGSGGSPDGSGGSNIPSESNCV AAGSGQAQQTCQGSGRSQSSSNHGGRSHSHQHNHHHSHRGNDYTRGGGGGRGGRGGGG GGYSASQGYYGIGGGYRGGGRGRGGGGGFRGRYAPTGGLGGHHHHSEQQVASQFQTDA NEUTE1DRAFT_106460 MELELKKAPGVSSDGHEELAMCGAQEHSGIVRCIDGGRESIIGF LGDISRTAVASQDAGSLFTPFPVSWLSGRSVWTSKSVNGGRPSSQSFLPLEGRKEGSW HWAAEALIG NEUTE1DRAFT_74264 MASRIRYNPQQQRRVLAVALAQPSTATPRASVVGFRGSCKTLPT TYSPVPHQLRLLSTSQSWRQIEPPQPQPVHPQPELAPQPKKRSRVWKIIGYGSLLLFG AVFGLGFRAIVSPPPPLVPGSELDLMETKIIHRQAENLKIVQELQADPAWESWDAYET LSPEHRAQHISAGAMAGSKGIGGYHRVFYNKDTGECVSVIFFGPATTGWPGVVHGGCL ATLLDESCGRAAFKKWGGRSGLTANLKLNYVSTTNATKFYVIRVKPRSDDELPEKERG KSHYKIFLDASIHDAKTGKATVVAEALFVGGKGKSGKGGLTVSGLADQGENARF NEUTE1DRAFT_126839 MRDEARPVHMRKKPMEVGAEASVWLCPDCERPGLLREATDTSNE SRAETLMEEDRERKRKN NEUTE1DRAFT_53791 MYDYAFVHLKFTVPVAVLLTAIAYPILNRIHLIQTGFLVCVAFT AALPWDAYLIEQKVWSYPPEAIVGPRLLGIPFEELFFFVIQTYITAVVYILFNKPVLH ALHLNNQQNPPAWMRVAKVTGQVVLVALSVWGWKAAQVHQETSYLGLILVWACPFLLA IWTLAGRFILSLPWYATVVPMFLPTFYLWAVDEFALHRGTWSIGSGTKLGFCLFGKLD IEEATFFLVTNMLIVGGMAAFDQYLAVIYAFPTLFPKVNRYPTPHMLLQSRLINTSRY DLERIEGLREAVERLRLKSRSFYLANSLFSGRLRIDLILLYSFCRLADDLVDDAKSRR EVLSWTAKLNHFLDLHYKDSDATEDPKKKAERIDAYIKTAFPPCAYQALHLLPTHILP PKPLYDLIKGFEMDSQFTFHGNSDSTDLQYPIADDKDLENYAIYVAGTVGELCIALII YHCLPDMSDNQKRQLETAACRMGIALQYVNIARDIVVDARIGRVYLPTTWLKTEGLTH KMVLENPEGPEVIERMRRRLLENAFELYGEARPEMQRIPSEARGPMIGAVENYMAIGR VLRERKEGTVFVRKEGRATVPKRKRLSTLLRALYEQ NEUTE1DRAFT_92972 MNKSRPLLALLSLFFIAISLILLWFVILSGITRTSPLRQTYFLR ADTSGISGARDVSQWTYFKVCGLNNLDCGPARPALPLGDAWDSNHSGAPAGLTGKYGG HTTSHYFWYMWRFGWVFFLLALFFETLAFFSGFLACLGRVGAAVSGMIASLALVMFSI AVALMTATFVKMRNHFHRDGREAHLGRYAFGFAWGAWAALAISTVLFLLAMLKRDKNH YVNRRATYATEGHDHVVADGPVTGPSGGRKRGVFNWGRRSNSGKSFDGRRVKDDY NEUTE1DRAFT_92974 MSDHDPDHGPASPNDYDDESNPASPGSIDESSEASTPMDPPSSG SDAMPGFTPEGDLPRRVPSLRTISDPQHTNSMSPSSSVPGTLNAARRPAASAANLPTD LMAKARALHQQRMGMAPRPSMSPQNSGLGMGPMGSGMGGMGSMGGMGHSMNLNMNHGG PAPGGLPGGLRLPPGIARPPPQGFPKSAPAIAGLGAKKAPSLSERRAMKLGGLPGGPG SPVTATPKLSDMGAEDAKAPAINGEGRGSKLSDFKNYIDAEKGWITFADAATITRTGV NFANGQTFRISLDEVEVMDELGKGNYGTVYKVRHSKPKLPRFGQGLNTKQSLSRQASA SDADVSDISPLSPVTAAARGISGKVMAMKEIRLELDESKFSTILKELVILHECASPYI IDFYGAFYQEGAVYMCIEYMDGGSIDKLYAGGIPENVLRKITYATIMGLKCLKEDHNI IHRDVKPTNILVNTNGQVKICDFGVSGNLVASIAKTNIGCQSYMAPERISGGGMSAAG GAAAGTYSVQSDIWSLGLTIIECAMGRYPYPPEVSSTIFSQLSAIVEGDPPGLPSEGY SGTAQDFVKSCLNKIPAKRHTYPMLLMHPWIKSLGRPETITEEVEAEEEAADDQLADA TGSLDINSNGPINDQGDREVAEWVTNVLDRKLKGLLSDKAEKPALHAAPLDQVSPGIT A NEUTE1DRAFT_92977 MGLLNIRSPTSLRTAVTTAGDSLNDFSISTTAPFTVFAPGEHDS HPSLPHIVLLVFGAVLEVVCVSLPGYIIARLGHFDAEKQKFLANLNVMLFTPCLIFTK LASQLNADKLIELGVIPIIFVIQTFVSYMVSVGVAKCFGFNKRASNFVTAMGVFGNSN SLPISLVISLSQTLKGLHWDRIPGDNDDEVAARGILYLMVFQQLGQLVRWSWGFHVLL APKSKYDEYNNETIEEGRYRDEPDEDEEAAQLIQGLDSTHEIGEESYAHGYRSPTTQS DNSEVYEPAGRTPVIGSSRTSPSDSGDDDSDTIRKVNNDNSAVSDRNERPNGIMSFPR ISHANEREVPKGFPARVKALARKAAVSVWASVTGVAHAVFSALPAPLQTVLIKIYRGM GRFGAGLWEFMNPPLWAMLFAVIVASIPDLQELFFKDDTFVKTSITSAINSSAGVAVP LILVVLGANLARNTQKRDEVDAEEKEIGTKLLVASLISRMLLPTLIMAPILALFAKYV PVSILDDPIFVIVCFLLTGAPSALQLAQICQINNVYEVVMGKILFQSYVIWILPSTLM LVMCALEVVEWAA NEUTE1DRAFT_74278 MNQQIPLNYEASAGNTHMRITSELPPEVVQCLENARFLHLATCV DNMPHVSLMNYTYLPSSPYSDSPIIVMTTNPASKKMNNLVANPNVSLLVHDWVSHRPS TSHQRRPSSGSPGPSEHRSSSLAALLFNLNTSAVSSISATINGSARLVDRGSEEERFY REKHLENNTFDSDPQPSSILSSIRRGSTAGQPTSMSQGGQGAGEEGDYGRERYVADEE VRVVVVGIRDVRIADWKGTVQDWVIAPPEGRSEGTNETLVNGIR NEUTE1DRAFT_150843 MRSIKRASRRLPASLRAVPTSVPGSGARFLSTTVPTSSTVTING STNTSVTPISSVLIANRGEIALRVARTAASMGIRTTTLYTDIDAASQHAKCTPYALSL GAPSAYLDGPRIVELAKQHGIQALHPGYGFLSENPAFAKACEEAGIVFVGPPAKAMTD MGDKARSKEIMNASGVPCVPGYHGSEQSVEQLKAKAADIKYPVLLKSVKGGGGKGMRI VRTADEFEAQLKSARAEARASFGEGGEVMLVEKYIVRPRHVEVQIFADRHGNCVALGE RDCSVQRRHQKILEESPAPLLDDVTRKDLWDKARKAALAVDYVGAGTVEFILDKDTNE FYFMEMNTRLQVEHPVSEMVTGTDLVEWQFRVAAGEKLPLTQDEIEARILERGAAIEA RIYAENPEKGFFPDSGTLVHLSKPPTNEDVRIDAGFVEGDTVSEAYDGMIAKLIVRGK DRETAIRKLELALREYEVVGLSTNIEFLKRLCNSQAFIEGDVETGFIDKWKTDLFEAK PTSNDVFAQAALGLLGTQTQNVPAAHGQALGFGEATTQNSRKFAFRVQDDASTEEGKI VEVEVAQLNNKLFNVSVRKEGDDKPQVFENIVSESTSTTAPTSSAKKTKLTTYFPLAR VDTTLVQDPADNEKLTVFQLGHKTQLRLVSPVWFDKALGLKEVAASVVAPMPCKILRN EVEEGQSVEKGAPLVVIESMKMETVIRSPQSGVIKKLAHKEGDICKAGTVLVMFEETE EST NEUTE1DRAFT_150844 MGSGDLNMKKSWHPQRSGNVAATQKAEAEAIAERKKLQQRLQEI EEERRKEEIQKALEAAGGKRKIDRVEWMYSGPTDGQAGDSAETEAYLLGKRRIDKLLQ DNDAKKALSKQSQQDLLSAAGPAPVVTNARDVATKIREDPLLAIKRQEQQAYEAMMND PIKRRQLLASMGIDDSQIAAKGGKEQRRHKHRSHHHRSDRHRDRDDDRDRDSARDRDR DRQSRRRRSDSRDRSRSRSPRRRSDSEEDRSKQRRRDSPDRTRRRDRDQSRSRGNRDR DDDRSRRHRFPQGRSRSRSGSPGGRSSRRREYSRERESGGPSSRRDDRNSRDQNRPRR DHAKEDEQPKYDGGLNKGGRRQQQPDGDHKNAEEERAKKLAAMQAAATDLDKAREERL KALAEAERAEREADEKARQQNKKYRGGDAGFMSGLHSRAADMKIADRMNGRV NEUTE1DRAFT_126848 MSDDDFMQDSDQEYDFEYEDDEEEDTGDVDIENKYYNAKQTKTS DPEEALQDFLSIPPLEQEKGDWGFKALKQAIKLEFKLKRYQEATEHYEELLTYVKSAV TRNYSEKSIDNMLNYIEKGYDDPKAVQCIEKFYSLTLQCFQSTNNERLWLKTNIKLAR LLLDRKDYHAVARKLRELHNACRKPDGTDDPSKGTYSLEIYALEIQMYSETRNNNQLK VLYQKALKVRSAVPHPKIQGVIRECGGKMHMSEENWKEAQSDFFEAFRNYDEAGDLRR IQVLKYLLLTTMLMKSDINPFDSQEMKPYRNDPRISAMTELVDAYQRDDIYRYEDVLQ KNTDLLADPFIAENIDEVTRNMRTKGVVKLIAPYTRMRIGWLAERLRITEPEVMDILS FLIVDGRVKGRIDEHKGVLELESREDADHVQAITVLSEAVGNLFNAVFKSTDGFQSGQ GEFMNSMADQIADIGSLDDTMRSMGSGKRGRRVGLTQRAY NEUTE1DRAFT_115361 MFEALRTTLYSDGIRDVGNQHYTLFAQPVQYTGFCVLHIPTVLL RQRDNRHSHNGLWESPVSGKQKGKKAGKKAAKAETRTRAHGQRMKGGHQKKIKGPR NEUTE1DRAFT_106472 MTGSKSCHRQERRQSSVIVTGDVPYNPITYMICVLVRPQSTTDD VVLSRVPGLPPLFEQHHERCYHDNLSGRLSHDVRTSAAYQAESVLAQVDGPSLAEGSL AQTLTSQKKHDVMLSCA NEUTE1DRAFT_92989 MYQPESAAYISLSTGYGNAHGLPDYTFEQTSIGGGGGSGGSLGR GGGGSGSGGYTAVGGGPEAAVTAAGAAAVGSAIAAPGTTGAIFSPGPAGPRGSISGNS VSGPASRPLFTTHAGNSFHPHHTSSHGGHSQHHQHQQSHSPLSYVSHSLSPSVGGSTS PSSPASISQLPPQQQQQQHHLHHLHHQQQQQYQHSRFDSQVPRYQSPSSIHQQHHIKM EATGDDHSDLAAAQGYQEYQPELNGLPVGDKLPSTAITEEYAKADPVYVQKTRSLPAV YSHYRPMLGDGNCGWRAIGFGYFETLIKLGNKAQVDGERERLESLNEYIQHHGGFDPM VFVDFVEETDELLKRISDKLNVPEEAMDEVMHAFTDAEKSNAIIYHLRLLASSWLKEN RGSHEAFITTDDSVEKFCQRVIEVPNIEIDQLGLQALVSILLKPVGFVLRIAYLDRSP GSEVNTYSFPEEAISQPASPLDPVICLLYRPDHYDILYPVDPTPPPAPMNIQIFRATI PQYEITSAPPFTAAGDYDLLAALPGFSSSPSPLGALGVGLGPSLGSPLAPFTQAPTPS WMSAPFGTESLQPVTTPLPIAAAAPPPPPPQQTHPLRFSEYCQMPEYVENDTWREPTF QTSTFRNSHFNVAHYNNPNFQPEEYKPENDEYDPPPRSGRKRGSV NEUTE1DRAFT_92991 MHLLHLRLPYRAPSLYPPYALASRLQDHLRRSLLDLKDNRPGSK PYTPTIISFTPLPTYTLGRRQASPLSGDEATRLSAPVYYPSSPLASGSSPLMSAPIQR IDREHGTVKLPVSILHSPRGGLTTYHGPGQVVLWPILDLISSQHNKYTVRCYTRLLEK TTISTLWKSFGIQAFTTDDPGVWVRSEDEGPLAKVAALGVHLRRHVSGLGTAINVDMP GPEVTREALNPWARFVACGLEGKTVTSVRGELDKAKGNPQAMQRYEGMLNPPAVAGAW AENLARNIGVEEVKTVGEDEVVSLLEELVSGKVAQEEVVGEEEREFVRLIREQLDREG DMGGGRGI NEUTE1DRAFT_133922 MGPPQDHSYKRRRIEADETPNSGRQPSSLSAASAKPARFKPTAA RDWTISVAVPTSVITDCVTREQRTTWAGRIARACAVFSVDEIVIYDDSPVESRPASTD HEGYTGDVDPAHFLEHLLNYLETPPFMRKLLFPMHPNLRSQGLLPNLDMPHHPYKGEW MPYREGLTLEAQPKGGKGTVVDIGLEDTVTIDEELPPKTRVTLKMPVGEYGSPEPVHP AVPRTEGGYFWGYTVRKAATLSDVFTQSPYEEGYDLSIGTSERGQSLHRAFPEHKEAN FTHLLVAFGGPRGLEYAAMNDPELSKIGIHGPRTKELFEHWVNVLPNQGSRGIRTDEA MLIALTGLRRLWDNS NEUTE1DRAFT_53968 MASDLELVSHLYADWCGPCKMIAPVFESLSARYSKPNKITFCKI DVDSQQEVAQQYGVRAMPTFLILHNGSVIETIQGANPPALTAAVDKAVKLASGAAGGG AVFKTAGHRLGGSGVAGSRPGTSVARPFKWDFNSLIKTIVAFIGLYVTSLFSLDPYKA AESSPFNKKNRPPQAQSYTGSAASKKPAPRATFKTLSDLGN NEUTE1DRAFT_74299 MLSAGRFQNRCPGCRQQVLSFYDALLQQRTRVAARPLLRPSPTS PAARRGLVRHLSSTRSVFHDATQNTAGATSTEPELSREDAEYDAETFVRQARQTFKHT LPYGYFTEEEYKIYERLYGAPLRETVPEDVGIPQLGGVNAGGQNGPQRTLFVETESGH FEEVEYTIEQPPVEESDVEAVAELRPLTEAQIDYVNVTANNKREFAALMRLQKDFEAA SLRPLEEIVEEEEVQQKEEVGHYEEEEGIEEDEEERFLPEQSINDRVHSHTAIGIFRT KPSTLLLPKATFTEPIKDLLSRTNPSHVREHAEKVFGGPGLPHSPATPATKKNLGQKP VAMQAGHHRMSAIEADTYITTVLPGLYATTTSILVEVRKRLVLDVGAGGAGLAAWQEV LQAEWELLREQGKVLGREPVGKKTVVVGSENLRQRVSRFLHNTTFLPRLPDYLHSAEG AERMLESGGGPGQKKVFDVIIASHQLMPLDKSYKRKDFINNLWTMLNPEGGVLIVLEK GHPRGFEAVADVRDRMLSEFIIPPVSAETGEVMTAVPESGRIREPGHIIAPCTNHTKC PMYLTPGESAGRKDFCHFSQRFIRPSFLQRVLGASHRNHEDIEFSYIAIRRGAHPDGV RSSSTGSNLTDSTSSFFQGPEAVDRAFKGYEDVEAGTPHALSLPRNVMPPLKSKGHVT LDLCTPAGTIERWVVPKSFSKQAYHDARKAKWGDLWALGAKTRTRREIRLGKAGKNAE NLATGLKNAGDGGVRSRELAGLGSKKKPRVVEVTVDPNTNRILAASEKYPNGRAPVER RTKNGKKVKMNDLMDELQDDPRHGKYVDPDDIEDEEFRRAPLPEGFLEKGLFGDKK NEUTE1DRAFT_106477 MPCGLGGSKTVQRKLVLLGDGACGKTSLLNVFTRGYFPTVYEPT VFENYVHDIFVDNVHIELSLWDTAGQEEFDRLRSLSYDDTDLIMLCYSVDSKDSLENV ESKWQGEIAENCPGVKIVLVALKCDLREATEDEEDGGANEDGAQREKKPMISYDQGLE VARRIKALRYLECSAMRNRGVNEAFTEAARVALSVKKDREESKCVVM NEUTE1DRAFT_133926 MTTTDRPESGGRFTPSIRNPTTKLVFYTQTLRNLVGPECFLQKR VLFRETPTSKPQYALQAEVAKMASPNLPTAPSAYHTTLLTAQDCREHVHLIIGSNPLA ASRVSQSLSVGAKPILIAPVESDMTPTAADSQQNEKRELHHNLANYISSGALTHLDRP FADEDLTTLGRSEVDNVVDAVFVTQHDQLLAERISALCKRKRIPVNVLDNPALCSFSL LSVHVDGPLQIGVTTNGRGCKLASRIRREVASALPKGLGAACARLGDVRRRIITEDAE ARTAAESAIAAAVNEGVDDSIDQSATFNKLVTELDVQAEAAKTRRMRWLAQVCEYWPL KKLVEITDDDVESILQAYPGFSSDNSSASPQPVLPGAANEGGSSSSTTTTTTTTTSNG RFGRIFLAGSGPGHPDLLTRATYKAIQSADLILADKLVPSGVLDMIPRRTPVSIARKF PGNADRAQEELLEQALGGVRAGKTVLRLKQGDPFIYGRGGEEVAFFREHGLGDRVVVL PGITSSLSAPLFAGIPATQRDVSDQVLICTGTGKKGKPPVPPEYVGSRTVVFLMALHR IGGLVKELTTRLGEADGVAEVQEGEKQRALWPLDTPCAVIERATCPDQRVIRTTLRYV EQAIEQEGSRPPGLLVVGRACEALYTPKQEGQPWVVEDGQFKDLDFEDSLGLSLDATS GVAHTGAA NEUTE1DRAFT_115369 MTGTKLVQSLVEDAEMAMSQETLEISILELNLGVLEQKMEDTED RDGQPSPFTTHFYGKHTQLHLEDEKREGTRTMQPLHFSYRLNWNAYYRITSCATIQIQ LSKVTSSTPTTAGLAPNCIYPTRHSRFRCKDRQPVELWRKERGIDCALRVPALDAVMN NEUTE1DRAFT_106479 MSSREESMDGQTSSSYSEDTSPLGEEAAYALPEDTQQDGSSETS EVSMVVHNGSISEDVAPPRILLDDIPAQNVSDSTIDNSRVASYVTECQAYHSRLFEAK HNNCNVIEDRQTEMAARLITMMRPLEGFAGLYPATVPEATEELIN NEUTE1DRAFT_126855 MAQPSSNPTCSGTANIILRSSEDDPEHMLPHQRKEAMKKTKARS MLEIDGRTGEGGGQLVRIACAIASVITQPIRITNVRGNRERGASIEWLARVTDAEVNG LSVGSTTLEFKPRESPATSKLFTGIHDRTVKIAADSAAASTLLIFQAIFPFLLFAGGN TSKKQDQPEHIDVEISGGTNVSFSLSYEYLDQVLLPSLEQAFGIVVERKLKTRGWNLG KMQRGTVALRVHPIRVGDSLRLRNPTACTMKGRNRQGEDFDLEAIDVSIVAPTDMHES LSQALVQNLGDLFPAVEVIFKLLEDSGANSRIYVLLVARSWPSDDEGASAGAVLRWGR DILTSMPKAANSKKGKNKGDNSLSSHAGTGGLASMSKSVATKVARTLYDEVSTGGVVD EFLQDQLVIFQALAGGLSAFPRGNSDPADDKVSGPGIEEAMAALDLTDENATHGLRKD KTTEPFGEGTLHAQTARWMVSQLLPNVEFYNKGKVCEGVGFAVEKP NEUTE1DRAFT_93013 MSSFLSNSSNQSKLQLATVALASAAVTAGAIYGYQQSRHGERLN RLKKSIPNPAGDAEPELQRVTRQGPVPKLDKEDEHNQALAHRAQNGDFDDELILEQLA RNRVFLGDEGFAKLRNSFVVIVGCGGVGSHAATTLARSGVSKLRLIDFDQVTLSSLNR HAVATLADVGLPKVQCLQRRLIAITPWVRFDLRLQKFDGSAAPELLGAWEKDGQMPDF VIDAIDNIDSKVELLKYCYDNNLPVISSMGAGTKSDPTRIMVGDIGTSTDDGLSRATR RRLKLLGVTSGIPVVYSTEKMGEGKAALLPLPEEEFKKGDVGDLAALPDFRVRILPVL GTMPAVFGYTVANHVILKISGYPLDYIPQKARDKMYDGIQAFVQASEEKIIRAVTGGP RELCIGLKVPIQPCEVSFLVEDIYKAKSAVTGIATKLVLIRWQKPTRDILIRIGEGAD EQKSSDLKLSELVCMTKEEATRHQKEVLLGEKTLEELYNAEIIERVAKRQEEIKLYEK YR NEUTE1DRAFT_93015 MASAQGKTVLATGAAGGLGKVIAETFLAAGANVVICDVNQQRIS AVEEEWTRTYADRFLIKQTDVSDEAAVQELIDASVAKFGRLDVLVNNAAIMDDFSPAG DCSKELWDRVMAINLTGPFLTTKAAVKQIEKQKQAGGVIINIGSNASYMGFQSGAAYT VSKAGIMALTKNTAGFYADKGIYTMALLLGGLTGINIVDSFAKGMHMEMYQKVMANQS PFEPGKNDTPLESIAKYCLFLSQSDIAPVSNGSCVVFNKNWPVA NEUTE1DRAFT_53477 MKFITAILTLAAVAVASPTGTNPPQCTPATYSCAKNPNTHAEGW QVCDVDSKWVYAGDCPPKTICKFLEANGSPYCIPATW NEUTE1DRAFT_126858 MLCRPRLTSPAFRRSLFKAWRNSQTCRPRCQTARSISGLVRSIA QGPTEPPLLQHTIPQHFASVVSQHGDRPAVIARSPTAIPNAFPNLAPDQLPEALETAY TYEELDQVSNRLAHSLRSLGVKKGDRVAVSLGNCAEYAAITYAVFKLGAILVPLNPGF NAKQVSAALNHLNVELLIIGAITDLAYKPCRGRSNLPLLRDIVPDLESGRIEAPHVPS LRTVVVLDNTPSHPAAEFPPLSSCRSLIPFSILMDGSGAPVTPDSPLCPSETINIQFT SGTTSHPKAAMLTHTGILNNGALIANRMGLEPTDSMVVPPPLFHCFGCILGYMATATT GAAILFPSPAFDPLASLRMAADHKATGLYGVATMFVAMLELIGAQSYLSDPLIPLKDI EDFPNHLRKGIAAGSSVPESLMRRLYAKIGLQDLVICYGMTETSPVSLMTAPSDPFEK RTASVGKAMPHTKVKIVDPLDRTRILPIGERGELASAGYLVMKGYWGDPEQTAEVMIT EPDNDGSVSSTTWMYTGDEASMDSDGYVEITGRIKDLIIRGGENIHPLEIENCLFQHP LIAEASVVGVADEKLGESVGAFIIVHKDVEPVEGEGGEGDVLSLESTAKGRSEGKKTL TRREIREWVAKHLSQHMVPKHVFWVDEYPKTASGKIQKFKLRDLAKTLIAQNKG NEUTE1DRAFT_126859 MLTFRRALVAAAFFLTIFYLISSSSSNPPSTTTPAVPKADADVE TAKHASTTAVTDKSPSGANAAQQNPQGQRPIQDMSRMTLYEKLAYQFPYDVESKFPAY IWQTWKWTPAHGEFNFRDQEATWTQQHPGFIHEVITDKVAVHLIRLLYASVPEVVEAY NALPLPVLKADFFRYLILLARGGIYSDIDTYAIRSAVEWIPDSVPKDAIGLVIGIEAD PDRPDWKEWYSRRIQFCQWTIQSKPGHPVLREVVSRITNVTLAMKRNGTLRGVVDKNV VEFTGPALWTDVIFEYFNDQRYFDMTHSAGSIDWKNFTGMEVSKRVGDVVVLPITSFS PGVGQMGAKEYDDPMAFVKHDFEGTWKPESERHIGENK NEUTE1DRAFT_115376 MDLHDVQWPRRTDKATNIDDVSADTRTLDSYEYAGLNADGKFFF SFFFLDKEGGGGWTYLEAWKMILHLLSSRVFTILVSDLEAVGEHYRGSGVKCPGLAGP TQGRIVAAAYQCPYSRLVPVPSSWDNQSFIMFNFLMMF NEUTE1DRAFT_93022 MASQKPQVDIGQGFVNYLRKQARSRQKPAVCSLCNLDLPAVTDY VQFEAHIKSVHAQLYNSKTSDKEKEDWLNAQWKASQPTNISERNAGQESANVAQAQPD RAFRTKSPSPSNKRESSRSQSPPKRSKTSGRQGASALTDDARAEFDRGPTQKGMLWDP QNDTTRTRTQPYDKSNVATSHKLPLAASQAKSRRQSQQSQNAPSRAPVQSEDMSIGFL KQPETRAISQEQLVTEVKGIYAGLVMVESKCIEVDNAQNAETDPASKPINHEQWQALI ALHRTLLHEHHDFFLASQHPSASPALRRLAAKYAMPARMWRHGIHSFLELLRHRLPGS LEHMLTFIYLAYSMMALLYETVPAFEETWIECLGDLSRYRMAIEEGNLRDREIWTGVS RDWYSKASDKSPTTGRLYHHLAILSRPNAVQQLYYYAKSLCVAIPFNSARDSIMTLFD PVLGPDSSRHSRLHHIDLAFVQTHGVMFSGKSQDKLEDYMENFLKPLGNHIQVSGYQW REPGYHIAIANCCAIIGWGNEKNPVYRAIKAKASVDNESRDQTMTGIEGAEPDLQLVT ALRLANRTHDVVLRQQDDLNVVPYLHATLAFVYHLISLPEAMVYFAPEFPWRLVCYQL NSLLRDYSAYDRFESDSFPRPENEEVPRPLPEDFAMRGLLWVEKFFPSDWFSEDKIID DEKYFESASLFDERITRVLYLGYRIAMEGEGKWLQYDPKTHRFGVHSQYELESQRGGN DYGELPDAAAHP NEUTE1DRAFT_56043 MAPKFQRALSNGANKKRNLFKNAYSRFIVLASAVALSGMVSKRK VSASLSKFDCQEAVEDEEARLSLIHAIELSKAVRRGKEEGRSGGHEYP NEUTE1DRAFT_93026 MHLPTQLSALLLVALPSAVRAVFQDEVGHIDYHYELLGLPQRET TFFHKPRRDDKASLLYTLSDVGVLGAVNPSSGAILWRQLLNGTITDGGGFLRAGEGQN WLASAYGQSVHAWDAVNGRNKFWMDFAGEVKDLEVMEMTENNRKDILALFDENESTVL RRLSGNDGRVVWEYMETSGDVPLQVSTNVEKVFVISLRGSAGAYNLKVTILDALSGKR EDELVLGTKADVHDKDDVILVGANSAAPIIAWTDDTRQQLRVSVLGQKTRQEFALPAD TISVEIHAPHIVQSQPHFLVHSKTSTGHTAEVYHVNLRTNVITKAYQLPFTSGPGAFS TSSNGANVYFTRITDEELVIFSSTSDTVLGRWPLKTTESRLVALHGVSEVVKKAGTDS YAVRSAAVTDTDEWILIRNGDVVWSRPEGLTGAVAATFVEIPESENLAKTLEQEAHSN PLEAYIHRVKRHLEDLQYLPTYLKNIPTRLMSSILGTEVSSHDVKLARDTFGFHKLVV LATRRGMIYVLDAGDHGKILASKRVFDLPTGQKWAVAGIKADDSTGIVTILGTNNDET TVKILPGTSFNIEVLSKGPEGSPATQSAALLNTVSGPRLFPVGLDGELQGLTSDILPN QVAVVRGSDKELKGVAIADGKQTVSWTFVLPKFQRIVDIAARPPHDAVASIGRVLGDR TVKYKYLNPNTLVVAAVDESTKIPSLVIYLLDTVSGQILASSKHEGVDPNKHIECAMA ENWFTCTYFGQYRLRDGAQSLKGYQIVVSDLYETDKPNDRGVLGDAENFSSLGPIDAP GDVPLPSVVSQTFILSAPISALEVTQTRQGITSRQVLAYLPENHGIVGIPRMVLEPRR PVGRDPTAAEAEEGLIKYHPAIEIDPKSVHTHERDVIGVQKIIAAPAVVESTSLVFAY GIDVFGSRVAPSFLFDILGKGFNKVTLIGTVLAITAGVMILSPMVRRKQINALWGAPM NEUTE1DRAFT_150860 MEVDEPTLSSLPVPDELPAFITNVPGVRKRSRGYYAPPPPSPGM SSSSDPAYFSSDDDPALDNYLQGRRKRRYVGSWFDQVPASSDSVMGDDADPGPSSNPR HKRHLKRQPDSGVWVGQDAATDTDGDIDLPPLAARLPLPLPVVKRIPRLSSEEQRAQN VVLACVDDGREDVDLSQMGLASIADSVLEPLAGLTPIPVVEKDVPFVPRDPSLKLFLG NNQLSRFPSALLDLQHLTVLSLRNNKLAELPPAIAKLKNLTTLNIANNRLRHLPGELI DLMESAIGFHTLNIHPNSFFQPKDRRYFLADDLMQHEYEYRTRGSGIHGFLVDRDRGE NFTLFTTALRARTPVQFSNSAGHVLSKFIFPEKTQRLTDSSKQLETEDFGSLVIPQTV KQHLESLGDSKRSFQPKGPSSLLATAMRVAARSKDAESLPNFLRSDGCPSHLADPLER AIVVDKMGGQHCTVCKRETLCPVTEWIEFREIRSTTVERMTSAEGTTEARIRHRNISG REDEAWVPFLRRGCSWACTPNVLDSSDVTPHTML NEUTE1DRAFT_150861 MAPGGPSQSGVISGRVTKSVPSTPGKPKPSQSSNTGSTGTGRSG KTPKWKYGFCPECRFGHLVRKQISLDHPNQNIAGKYRLSCSDKERKGCTYYKIWNTDP MLTVPPSPSKKAICPQCTMGRLVKKCLNPFNFKEQWMECDRKDAAERPCDYREDVHGK ALQNTGALPGTQPTGRPSDRDHDGKKLDKGKAPERHPANIARPGTRGLEHEAGPARAA EMAIDLTEDGQFTPERSLSPVPVAPTVSNAAAADSIDSAPGPGKDTKSRFVETAADIL SSQTVVDLVSDMSSQVSDMAGPEEGSSKQVEVLAKPQNQASGKQQYAYQDEFDSSDDE ELARLTDYIYTSARKPSPQVGVKPESPSSPIAKIERDD NEUTE1DRAFT_74330 MAAPGDQRIAVPIDDPNADTEWNDILRKHGVIPEKPPSPTPMIQ EAIEEARRLAHENRLEGKDLDELDELEDEEDEEFLEIYRQQRMKELSALQKKSVHGSV YPLSKPEYSREVTDASQNGPVFVLLTSSLGTNVESRVLSELWRQAAREYGDIKFCEMR ASQAIENYPERNCPTILVYNKGDIVKQVVTLMTMHGVKTNMLDIDKLLVDVGAIPDND MRVAKRRREVQDAEEEKLFSKNIRSTSDHKEEDDDDWD NEUTE1DRAFT_97163 MVLAVDLLNPSPASEARKHKLKTLVPAPRSFFMDVKCPGCFTIT TVFSHAQTVVICQGCTTVLCQPTGGKARLTEGCSFRRK NEUTE1DRAFT_119239 MSNSRTKRQFAGAASDPAQRSITSFFKTTQTSDDSQFDSTSGAS PATAAAPLNGPVLSPTIRSSLLNVGMRVRKSVPEGYKTGKIASGFPSWMDDSHNTNRN HIVGSGASALAGNNGHNRPVSAAPPAPPAASAAAASANRELTPFCGIHKIGGYAVQDH DSAALSSQNSNASSTISNPSSIRFAMTQVQDATNRKRIHEDGSSEEGGAGLFGEDMDW RRREEFYDDQDEERAPRSLEPMAWCGGGNKTNTIANDRRVMAVPKRRGSQFTQTTINF EGYIPQSSGVAPVDDFEEAPFLDYGLSHYGGRRGHMDMD NEUTE1DRAFT_74336 MGPKRHHDALTYSDNDEPAPQPVAKRRKEHSSAKSKSTEPKTDS TYGQRAAFPGLDEPATLSDEDLEFEDQVDALAYLRSVRQEAHGIPHLLVAPKAGPQLP PYLLDNSSSNVDRSIYRDGLGDSRGYYQDGAYTATPDNLVEPVPEDDDNYDNYGYGGA NYDEDSSSDSSSNTSRAHALLTTNRHLAREAYFASLISQFSALRSMLHQTPPSSLLAA LDKDHGYQVGSFGPKSRTFRVWTNRIRYTDPVPAQVAAMDRFGALKLLRVILGGKFIR RGCELRERTSRWIWALLARLPDRGEMDHLDIGWVRELGKRAVLMMVSIAQMAALKEEV EGDLEGQELLIGDDDGEEEEEEEEVLVELEPDTSNEGGGRVEKEGQTVEKDVVESTTE EDSSKAANAVAGNEGNSAKVEQRQEQEEAEGGEIDMEIDDGEVLDDEEGGPNLPAASS KDDAEANIAAAKARLLAQLDEDTAAADAEAQQREDELTRQRLNMRATLNMILTVAGEF YGQRDLLEFRDPFPALQR NEUTE1DRAFT_74339 MSQVHPQRPEDGNQRVVTNGTSHPAAHNQLPLRSNTQRRMSDSS PAPMFRRPSSAPDDNNQTSPGTTLHGGQSDDDDHMPVARPAKAPLTRSKSEYGLRLER ESPIEQVDEEYHDWGARHGFEDHYQSEEVISQLANSWYMYFTDKRHETTGKPKTPAFE IQDWRMRDRLKTVSAAIAVCLNIGVEPPDQLRTTPGARLEAWQDPTVPPVSKALENIG KALQSQYETLAIRTRYKQYLDPSIEETKRFSVSLRRSAKDERVLFHYNGHGVPKPTAS GEIWVFNKNYTQYIPVSLYDLQQWLQAPTIYVWDCSEAGNILTNYHRFVEKHEQEEEE AAQRDPNHEKINFRPYIHLAACNVKENLPTNPDLPADLFTCCLTTPIEMALWFFCLQN PLKTKISPERAKKLPGRLQERRTPLGELNWIFTAITDTIAWTTLPRHLFRKFFRQDLM VAALFRNFLLAQRIMPVYNCHPQSYPELPDTRQHPLWESWDLAVDLALAQLPALERKE SEGIEYEYINSSFFAEQLTAFEIYLTRGDALSQKPPDQLPVVLQVLLSQQHRVRALVL LARFLDLGPWAVQLALSIGIFPYVLKLLQSAAQDLKPVMVFIWTRVLAVDISCQQDLI KDSGYNYFAAIMKPQDTLSMVSVGFLDEHKAMCAFILAMLCKDYKPGQLVCNSTDIMT YCLYHIARPEGPLLKQWSCLCISQLWKDLPEGKWRGIRENATSKLSHLSRDPNPEVRA AMAHAMTTFLGIPDLTDEVARIEESVAWTMLELATDGSPIVRKELLVFFSHFVLRYES KFLVAAFEQLSEEKDYLASPPPEDGQDHRMGLHYTRRENRERDGSIKPSAFGVAHDSI FAACWKHINILSVDPHPETQRDATIIVDYVHNALLQSPLTTQTQNLMDEILRRSRKVS RGDMSQRSAAAGTHAAVAQPLPSPGLLKRTASYLFGPLMGTLDPSSTSIPPLSPGLQR SGSRSSRKGPGLDSAPPEQNDQATSPANYHVANEPSCAGYKERSMKDLPSLPLVSTFL DWSIEYFREPQMKASEAEEPGSHEYNERLWRRSRNEAVLRETQPQKTHARTHKWNDQI GVINNGSQPAKLSFHQFENYVAVADDGNTVNVWDWKQGARKSRFSNGNPEGSKISDMK FINEDDQALLMTGSSDGVIRVYRNYDSNENIELASAWRALTHMVPSNVNSGMVFDWQQ VKGQVLVAGDERVIRIWNAGHEMCTHEIPARSGSCVTSLTSDQMTGNIFVAGFGDGAI RVFDTRMKPQESMVRKWKDEKRQWVRSVHMQRGGQRELLSASRNGKIRLWDIRMDQPL KSFQTTKDVLRTASTHEHLPVFAVGTSAHLVKVFDFDGHELTRIEPYSSFLQGHKGTP ISATAFHPHRMVLGCAARGDYHVNLFACGNEKVGPF NEUTE1DRAFT_150867 MAFLFKSKKNHDRGPGSRDGIPGSASSTQSATARVRDEKGSRST PTGSLNSLDNDGSVGSPEQQNYGRPRGQTLEGQHQHQQQAPSQMQSREPPQQPPNDLP FRNGANPNPMPMANPNASLYPWSQRRLTYTTSQPSPFPRYGAAVNSVSSKEGDIYVMG GLINSSMVRGDLWMIEAGGSMACYPLATTAEGPGPRVGHASLLVGNAFIVFGGDTKID ESDVLDETLYLLNTSTRQWSRALPAGPRPAGRYGHTLNILGSKIYVFGGQVEGYFMND LAAFDLNQLQMPSNRWEMLIATTEPGTTPQGNVPPARTNHSMVTFNDKMYLFGGTNGF QWFNDVWCYDPTTNAWTMLECIGYIPVPREGHAAAIVDDVMYIFGGRTEEGADLGDLA AFRITSRRWYTFQNMGPSPSPRSGHSMTAVGKTVVVVGGEPSSATAAVNDLALVYCLD TTKIRYPPDSAGNSGAPRNAVRRPSNATPPSSNQNFPPRDGSSGPPDQRRLVGPPGDA GNTTPQNGFRGPPNPNDQIGPRGPPNGPPKPMRPGPPMGPAGPPPQGQPPRPGVNPAV ARARNASSDSRADSYQGSPTQSPVVQQGPVFTQNESSGSVGRHTPTQNAPRSASSASR QTEPPAAETPKSKPLKQARTQGSVDSSTDASLKQAANRSASPPAPTARLNSNSINRRS SQRNSQTVVLLKELDAARNRNAWYASELELARKAGYVPTASMSPALDQRAAETFDDED RPLIEALLAMRTELANVQNSVDKQAVLAAKQIAEAERQRDAAIQEAIYAKAKLAAQGG SARSTPQLDIDKDGNDRVGEMSKKLAQALSIQKDLQDQVERLKIDLDAEKKARKLADD TASAAQKRMADLEHYKQKNSGEVEQLKAEMHMLQRQAREAEVTASEFESTAQMLRLEK DELENKYNEAVGNSKQSVETFQSLREAVAATTEMRETLERKLDEERALREEIEATLNK LKAEREVQAAELATITQRLRDAEERAERHANEARVHRQAVLAGLDKMPTMENRSLGNG DIDRTSALQGQLSAANALVRKYQQEADSASDKLRSAEERIAGLEAYQEQASREGVSIR RQLQSALRETQSLQAANSDLKQQLAKQQLETNAVLVQHNTLKDILTERGISPTSVNRH SRLGSPREGSPEAMRLRELEQQLAAAQAAHEETKASAAKQAQEAETTYRDKLAQLDND YQSAVHYVKGTEKMLKQLKEQLTRYKTENSRLKEQIVELEDKVGSETGGSGAPADWET ERESLQGQVSELQAELQRTASQLDQQLKSLREELEDVRQERDSAAHASDDASRRLEAT KKDLEELQHENALLERRARDAEQKVSTLLDQVEMSVDNYRRRSRQVPNETIGAAVTPV GPSNEIGHVRHESSEAESLYGPGPDARDSVALDHLTSELETLRSRWETNSKNYRHSNA FDFEGLGTPTASLPNQKSDGTLGLSESMADWRKKNFDDSHLDDDEHPPAGSAR NEUTE1DRAFT_74343 MPKPAAPAKKDDNEPSPIIKATLQAAVIAGISNILAQAISAYKD GTPLVIDWVPVFQFFLFGVISTPPNFLWQELLETTFPSHHVSPTREAIASASASDEKA LDREASLGTLVEPRLNKGNTFIKWLLDQTVGAAVNTLLFSMFMHGTQAAMQHRATSSF GASPDQSLWFLIDSFKRGNAIQYHSVNWNWVWEESKREFWGLLVASWKFWPFVSIVNF AVLKTVAARSLAGNLAGIAWGVYMSLFAAQ NEUTE1DRAFT_74347 MQRSGYGQSPPLHHPVPQHVSTVPQLRSPPPPTSQPHSGYDGSP YQQQGRAPSGNMFGQYGNFINDPAAQLASQFGQTAFKQGQEYLEQNVNRFVSVSALKH YFNVTNSYVINKLYLVLFPWRHKPWSRKQTVGPGGQEGWYLPPRDDINSPDMYIPVMS LVTYIFLQTLIAGLRGQFQPELFGYIATTALVVVIVEILGLKLGCYLLSISNESQLLD LVAYSGYKFVGVIVTISIAEIVNGGKGTGGVIGWTVFGYTFFANALFLMRSLKYVLLP ENNNNAQGPMQTMPTEGRAKRSQRLQFLFFYAYIVQLFFMWILSRP NEUTE1DRAFT_150870 MLDTFEILTTSGVVLWSRTYAAVSPAVVNNFISDVFIEEKSAVA GTKDGASASANPPYKHDQHTLRWSFEKELGIIFVAVYRSLLHLSWIDKLVDNIRTIFV NLYGDQLKKPNVTLIQCAKFDDYFDQQLQELEKTGSKSDSKDVRAFQDEALSDDLSDM PPPLPGLVRGGRAPSNTNTSKQGDSDFDTSPNTSRSTTPAVASHLLVAKPGPGGKMSR RARKLQNSAPASSGDEASVKRTKAKLAKKGRKWDADGLADEEDDVQLDFSASKATSDS ETEAGRRPAIEEVDASTWGSTTKGKFVLRDLGDEVHSILASAEAKNSASKTDAPTGLV GTGLSAIGGLFRNVVGGKVLTKEDLDKAMKGMEEHLLKKNVAREAAVRLCEGVEKELI GVKTGSFESINTRIQKAMEVSLTKMLTPTSSLDLLREIDSITSPPATSLRKARPYVMS IVGVNGVGKSTNLSKICFFLLQNKYKVLIAAGDTFRSGAVEQLAVHVRNLKELTQREG GKVELYQKGYGKDAAAVAKDAVAFAAQEGFDVVLIDTAGRRHNDQRLMSSLEKFAKFA QPDKILMVGEALVGTDSVAQAKNFNASFGAGRSLDGFIISKCDTVGDMVGTIVSIVHA TNVPVLFVGVGQHYSDLRNFSVKWAVEKLLSSA NEUTE1DRAFT_74353 MASGVHGKTVKGGALEESFPEDAQPQPGSAPPQSTLAAELVEGL PNESRRAKPDEVTEIKRLCTIIEQEKDKAGSDLEKTHEERLAYNHILFYVCAGPVLDV VKTNDPFADFASLQLKAQNTLSLLKLAVQETPDVLKQTTDGNEFLLRGPEPLWLWFQP RLLALLGRSKLLGITSAIEDFFHFTLQTVAERVPLWDLGPGLLQYFQASLHAILEHFQ SNPLADMNARNSNLDIQLPPDTFLRALDLDDPDTPSKFFYKIRDSEHAIRHAISLFHI LKSTILPVGAAEPPLLYRGNIAWLMDVFQPLFSVLVHWSPQLKISLAPYVQTAIDLAE AADDTLRPKAISALALICGEIFDRSEQYLGEDEFGLATRKALSLALLHLVKEADSHRS LMQLFESRVVIPAVSFATASLPNSDLWVVSAETSVSQVPEELSSSKFVDVTLRQQVGL LGLDPVVEDGEPAPKRRKLVEHQTLSADIVEEICRVANLPISEDICSLEDSLIDAFPK LTILRQCRVIELISFVPCATDNTLGLEEGRLGSLAFSSASLECAHCSIFGYSAFTQAC DDAVTKTTAYKILEKLVKSPGFRDSRRPRVYAMVRLQRMLRHTVLPKFRDLEQSILGQ WCVQSLRSSIRELRIGAGRALAVLLDPDVPDFVRPIARGNQNIVLDILKLITDEDALH LHETCILAWGQAGRVVSVDHLNLILIKLVEYLGYDNSVVSAMAVNEILNIATYRGITP LQLFAPYWENMAVLVVKDLVSAPQTTRLVAETLQLSIEELLCLLQKHALPWLVLAKNL SVIEKIAEARGEKSTSQPCIDSANFPSIIALLLIQDVPDVEEHAMELLCVISPKFKQP NPGELNSDEKRPVSVRDRLAEILRVEPLGVLFELFKASGGADERKKALIRKGISILAT RSSPITEKGAEPPHIIERFLEKYTLGLVSRLSERITDIDVPIPDRRRCLRAMEEMIRV CRSSVTTARSQISACLLSALGCDELRSDAFSCWSVMIMNMDEMDVPNLLDTTLWVITH HWTCFDDSTKQQAQKVLDALSKTNGHDLVKATASMTTFNHLPDLAEHRKRLGLLSQSG LTRQDLFRTFCRRLRHDHPGVIEQALTELLELLRQHQDYIQASALAEQPKSFIPGLTR SVLDCAAKYNGWQPGIMRLCAECMGIIGCLDSNRMESNREQKRFVVSQNFMDFRETAD FVCFMLENVLVKAFLSTTDTKFLSFLSYAMQELLAKTGIKLAYQSQGQGQHETLYKRW RSFNDITKEILTPFLTSEFIVSSGMIGLDTNYPIFHPQKSYETWLKTFVLDLLRNPQN PNSAILFPPLCRLIKVHDPCVSEFLLPYVVLHAVIGSEGPSQTPDESQMLVGKEGSTR AEAHEKKTNGSEWRKKVMSELKTILEYQPPETATHSEKEEVKLCYEAVFRILDYFKNW LHIKKSQSASKEKDARWCPLVEEVLSSLDPELVARRAIDCGQYARALLFLEPHIESRR DQAIGDEATRLMRSVHDIYTQIDDPDGLDGISACMKDLGFKEQALSHRKAGRWTAAQT WYEIQLAESPDDVNLQLDLLTCLEESGQYDNLLSFAEGIDKTPSSLSKVMPFVLEASW ATGRWQIMEKYLRAYTEGDVTDIFNIGIADALLCLKEGDGERFQELLQAMRDKVASSM TLSATSSFRTCHDVMLKCHVLEDLEMIANAEPVEGEGHAPLVKALERRLEVLGAYVSD KQYVLGVRRAAMELMRTKFGDEEISSSWLATARLARKSGSTHQSFNAVLRAQQLGDSS AVIEYAKLFYKDGQHRKAIQLLQRAIDDDLFNDGMMAIDTPTSSKSQQSHRNLLKARA HLLLAKWLDSTGQTHAGALRSKFQEAAKTHPQWEKGHYYLGRHYKKVLESEKALSPDD QSDAYLTGETAKLVIENYLRSLNFGTKYVHQTLPRILDLWLELGTQVDAPSLGMVTLS AELQSRRRTILHELYKHFNRHLPKMPAYIFYTALPQIVARIAHPNQDVFRVLEQMIIK VVEAHPRQAIWSLFSFMTGRTNGARRHRGQKVLDDLRAIAKRVDETGYDLKQLLKMGE KLAEQLLLACNKGDFQSNRTVKASITRDLNFNHKCTPCPLVVPIETCLTATLPTLTDN TRKHKAFSGDVITIDRFLDDVLVLGSLAKPRKLTARGSNGQLYGLLIKPKDDLRTDQR LMEFNGLINRSLKRDTESSKRQLYIRTYAVTPLNEECGIIEWVDGLKTLRDILLGIYK TRNITPNYGQIAELMKQACTSDDNLHLWSRSVLGMFPDVLPEWFISQFPDPSAWFAAR LRYTRSCAVMSMVGTILGLGDRHGENVLLEEGNGGVFHVDFNCLFDKGLTFAQPEKVP FRLTHNMIAAMGIYRYEGPFRNCSELTLKVLRQQEETLMTILEAFIHDPTLDLQRTKK RTHDVVKLNPTSVVESIKRKVRGLLPHEKIPLGVEGQVEELIKQATDPKNLAAMYIGW CPFL NEUTE1DRAFT_133943 MPYRIEVSKNNRAGCKDSVCKKEAVKITKGELRLGTWVEINEHG GWQWRHWGCVSGEQILNMRNMLDKDGTGDYQWDMLDGWEELEEFPVLREKVQRVITQG HIDPEDFKGDPEMNILGQRGIHSKKKKAKTSEDDEEEDIKPAAKKPAAKRGRKKADAD EDDEEKPPAKKAKKAGGKTATVKKEESDVEEQEAEEEKPITKVKGRGKKAAAAVKKEE SDAEDVKEEKLATKAKPRTKKAAAPAVKKEESDAEDVAALNEIPANEEERAKPEPPAK KKATRARPPRAARKAAIKEESSDKEDEDEEEEKEEEKEVPKKKGKAAAAAKGKGKKAK KAPSPEPESEHEYAQQSEEDNDDDKDVNGESDAAEVKEEEKEEKPGPKAKRGGRKAAA EKPAVKKRGKKA NEUTE1DRAFT_119243 MASRSRVEFDDYYRESPRRAPSRGPSRAPSRGPPVRYADEDIDI HVHDRTPAFLREDRRSDAGQLVLRQREVETVERPRRRSPSPVHMHERIVHRARSVSPE PRRRTEESDIHIHSIDRVRESSRPPADRIRASTRIIERQRSPSPPRMERLEIRETQRE RQRPRSPSPDRNIREHIRIVEREREKEREPSPPPQPQQPQVIKGPVIEREVITHYRDI DHGMISVRPPSRPASPVRRKQPQLSETEIDIYTSRKDTEIDIHRHASRSRGRSQERRS PRNAYDDDLLIHADTGRLHVDVERRRSVSRSGRRAHSEAPPHIDFDDEARYITSKIDS RGQMGEAYNGITKDWTIIDVPPGTERVRLDGAGGASAEVTWQKYSGVRRSQFIPERDE RSVVSASSASDRDHDRERDRERDRDARDSRLSIQIYNERGGDEREKRISIHGSQNPRR SEMWTEITKDLVTREAIEELGYDYEETEYFFYIMQYLRYEDVLRCVQLSDKIRQARKD RIREIQWEREYGRDDYEFRDHYHNPHSHSRHRSRDDRWDDERERIVEHEVIYDSRAGS RYR NEUTE1DRAFT_126873 MDGNGTDAVYLEVIIRTMDSPLNLRPRPPNSRGPQTIADFIRRV NAEPGGFRALNEEEVRRNVIAERNGLHHEDVEMVTDQEADDDSKKPDIIAARHNIIMS LGQAIQISSNFLDFISLLLSKEIPTQAAVSISPWLRNQVPIGSIGATQLDAPTPLTQS RVADNKLITIGKRLVALNEAADTALAAANRLRQEINSETKYWQEVLTVSQKGWSTARL PQEPHDMGVKFGFSNAAPMFKNNSVAPLKRAEDGSVRLEYGRMGSKSERLQATLLHNR EVVGRSSLPRPLPDDAPLDDRVKEARNTIFAQELWHEINREGRTLHGHHVRLEQSAVT CALDPNRTISFELVGLDDQDHSRVPLPGDLDAETASITLHLLLSNAHRQNQLKRSERT AANAMRGPPPPYNLLLPIITYYRHEKTLEDCTTFLAAFCGALRSAGIQSSFSMTESIN KGSPTAPPSEALMKTLLHPSEVQFDLTITPASRVRILAKPTPVFGTRFSIYLLHPQSN HLTSSFPPNQTDSVYENIKELVRYLSNAVPRALTMYYYPLVQEMRNSGNKGNNGETPI PPAPNTTTWIIHPDDLGLVDDDTETFGVRFAFTSNYTRGDGADDAVKEPELRVTGDYM EDGQRVQHEWKWTASGPAGTQGGGSLDEIVKHILANGPSSSVLSA NEUTE1DRAFT_52541 MAPRKKIAIMTSGGDSPGMNAVVRSCVRMAIHMGCDAYCVYEGY EGLVRGGDFIKQMDWFDVRGWLSEGGTLIGTARCMAFYERAGRLTAAKNMILNGIDAL IICGGDGSLTGADRFRAEWPSLLEELVSTGELAAEQIQAYKHLNIVGIVGSIDNDLSG TDATVGCYSALARICLCVDLIEATASSHSRAFVVEVMGRHCGWLALMAAVATGADFLF IPEKPREDNWREEMRSVIQQHRKLGKRKTIVLIAEGALDKFGNKISPEEVRDLLADKN GLALDTRITTLGHVQRGGTAVAYDRMLATLQGVEAVKAVLEATPETKTCFIAITENKI VRKPLMEAVLDTKQVAKAIESQDFDGAMALRDAEFSEQYKSFMMTTAGQIDHETLLPE KERMKIGFINVGAPAGGMNAAVRAGVSYCLSRGHEPIAIHNGFAGFARHHADSPGSVR PFDWLEVDGWASKGGSEIGTNRELPSESGMETIANLIEQYQFDALFLIGGFEAFHAVS QLRKAREQYPSLCIPMTLLPATISNNVPGTEYSLGSDTCLNELVDYCDKIKQSASATR RRVFVIETQGGRSGYIATLAGLGVGASAVYLPEEGLSLEMLSEDVRHLKEVFAQDKGQ SRAGRLILINEKASKVYNAKLIADILRDEAHGRFESREGIPGHMQQGGVPSGMDRCRA VRLAIKCIQQLEKYGRNVHNRVKVDPMSASVIGIKGASVIFTPVQKLEEEETDWPNRR PKAAFWLSMKEIVDILGGRPRHTLPEPDLTGVKAKDVKRGLEPVAN NEUTE1DRAFT_150876 MALFGAMSIPKPLLTLLLVAASVTPSEATMASWWNSVAGQVVVL NETTGQYRYTRCNTMGMDTIYYSTTGGNYLNFTQSPPKAGSPLAGTGWYDQTNTWASL FYFDESNSIRNAIFKCDPSTGLYMDAESGFWPVVAGGAPAPHTNSGIAAFLRDQNRGY RLYYHDENMHLNELSYDPDTTTWNWEGTINHDVPGSNAIAAVDGRDQGNFTVFTPRDD KNIQITQWGRDKNWYISTTPHPLKGSFSTCSTPSSSFKIDYSAPSNYSLPFWTGQPKS IGISFYTAASRNVYYVGSDKSLYRLTTQNYVWGVAENQSTAYWPQADEANAELAVVSA IIQETKSAKVRIYYIVGGKLAEVALNGNDNAWKPWRTVPEWDPNDGAKEQPTSSTTSG GGGTTTTTTTSPSTPSGSATGPDTTGTSATAESASGGGFSSGAKIGVGVGVGLGVVAL GVIMAAFFLFRRNNNKNSNTNLNSPEDGTTVVGSEAPSATTSPIPPCGTLATGYGFGA GAGEWDQKMHPGGGGYTNVQQLDRMERPMELDAPRAVFELPDQAYRHELMADHTALRV EAPGHHGHPGGQGYVGQRRV NEUTE1DRAFT_150877 MTTPSRGTVFSTRASLRQTRTGSPSPNPQPQPTAEAKDLADLEI NLTIQLELRAQLQEEPETDESKAILIETNDKICDIVRDMDRIRASRGSPMYAGFWGTA DGGRSPAGTATAQQRARQQGNQVRQQQTQRGLNPQQHPPQRQQQQQHQQQGQQRPLQG LYQQEQENLQQLPQQHESRLDGSEDAQNSFSGFVPRERKRSIGTSLGNDALNDTRRSS KRTASGSGTSSHSAFSDPFNASTFAGNGIDPFDDNFGFGNGFDSGPLIDLTDDVDVDA LLGQQFAQATSTSSATLTPTSPFAPYQSNQVSYHGPQAGIPHSTSLPAPQLPAPAPQL YNTPDLMPDINDIWNGPFHNPAVSSRSGTSRSSRPARPQLSSSSNSIFSSDNRSLAFP SLPGGLVTVDSSRPGTLINGDYYAPNNSGSSRVHIPSLTDVISRTATYDFSSMTDVWG NPLDERLTTLGLDDPLKREDEISKLLSNIRPDEDIPPEERGDTPPDLKYPLYPHQQLA LKWMTDMEGGHNRGGILADDMGLGKTISTLALMASRRAPEGEVATNLIVGPVALIKQW ELEIQNKMKEDRRMNVYLYHGGSKKKPWTELQKYDVVLTTYGTLTAQFKKHHHYLEKN AESLNGLDEQAEKRYRLECPMLHPSTKFFRVILDEAQCVKNANTMQSRAVRQVRATYR WCLTGTPMMNSVSELSSLLRFLQIKPFCDEKKFKEAFASLDHKYNGRDIEKSTAMKQL QALLKAIMLRRMKTTVIDGNPILNLPPKSLYTEHVEFSEGELEFYKNLQEKSQVIYGR YVRNNTVGKNYSNILVLLLRLRQACCHPHLTDFEANPKNHLAEATMIELAKTLEPVVI DRIKQIKAFECPICYDAVIDPTILLPCGHDICADCFSSLTDQSAMNGIRNGQDGANVA KCPVCRGPADHTRVTNYTSFQAAHMPEALEKLDNDDADSLVGDGSDTSDGSLGSLSGE KKRKAKSEGKRPTKVKPEEKEDWKPTVFDQLRKEANASRNQDARDRLLQYTWDHWQDS AKVSRVTELVDQFQQFNEKAIIFSQWTSHLDLIECSLKFKLNIKYRRYTGNMSRSQRD NAIQAFVEDPDVKVLLVSLKAGNAGLNLTVASRVIVCDPFWNPFIEDQAVDRAYRIGQ QREVHVYKILVQETIEDRIIDLQNLKRNIVETALDETEGKQLARLSIDDLNYLFTGRR GGARQQ NEUTE1DRAFT_126877 MSLRSLNTTTAPAASRDRSQSLLSPSDYDADAISIRSEQDTDSE DDERQLRARNSRELLAHDRLVLMEEEELEQMVTETRRKQELERTVSNLPIPNPLRMLA RRMSDVSPSRSRSSSSAPGASTDDLVADKRNKRRARRQAKRDRLLAEAQHGEDGELMY EMEEGAMKDGSSTGDSSDREDSDDVDRRGLLHFADAKARRKRDWCRWILIYSLIIVAF AILFLTAWKLSLTQRGNATSRRPLVSNGTAMFAPTTIIFSLDGFRADFLNRGLTPRLS SFIKEGVSPLYMLPSFPSVTFPNHYTLATGLYPEAHGVVGNTFWDPTLREEFYYTDPA RSLDPKWWRGEPFWVSAQKQGLKTAIHMWPGSEAHVLDIEPTYMDRYNGKEKLGNKVD RILGFLDMPDDQRPQVIAAYVPNVDSDGHKYGPNSTEIRSTIEKVDMMMDKVFKGLEE RHLTDIVNVIVVSDHGMATTDISRLVQLEDLVDLSKIEHTDGWPLVGLRPKNPDELED IYRDLIEKTKTNPNLEVYLRDVNMPERYHFSKNERIAPLWIVPKAGWALVNMKEMNLK EAQAQGAVYHPRGLHGYDHEHPLMRAVFIARGPAFPHEANSRLEVFQNIEVYNILCDS VGISPAPNNGTIRLPLKPVGLHNDEPGAGIESPADPVTSYTLTSSAALLATSSITTPT TVSTTDPAQSVPASPATSAITSAKTSSTTSSPNTLSTNQPNPHPTDQPPEGDNGTDGG KPSDDNSDSKTPNFWDWFSGEVSKWWEKVSNSGKDDKSDGNSSSAT NEUTE1DRAFT_119246 MAQPLAITAADTEFCEATPEQRELAWKLNGAAWARPMSIETYVA QEFHLSEQELTKDGRCKYWVLHLTGYPRQVIASCETTRKKVLISENGVSREGYGYGIA SVYTNPDYRRQGMAATLLRRVQEAMDRDSDLSVLYSDIGRQYYSNLGWHVVPRNELTL TYIPPASTSDNETPTLQHSQPGSIRYLRLEDLRTLCEVDELHLSARFDRIPADGARHV AFLPSFAQISWQVARAEFVAGKLLDGKAPENVGAITSNGRAWIYWSHDWKRKKLKILK VVTVLESTQQQRIYDIRVLVEAALVEATTWGLGTVLLWNPDEETAMGCKAVGDVHPHD IDVVIDENVDHSISSLRWAGGKHKNTVWEDNYYYCWC NEUTE1DRAFT_119247 MADIQIGSSAWRLVEVGRVLKLEGGSLATIVEIVDHKRVLVDGP SSDPKLAAPRGVVSLAKTLLTPLVIEKLPRGARTGAVKKAWEAAGIDAKWKESNWAKK QLQQERRKALTDFDRFKVMRLKKQRRFEERKALAKIKASA NEUTE1DRAFT_126880 MADPRVEELPEEEVKKTQVEDLDNSSDDESDIEAGDSSLPAGSQ AVIHSRNEKKARKAIEKLHLQRVPGITRVTLRRPKNILFVINNPEVYKSPNSNTYIVF GEAKIEDLNASAQAAAAQQLASQSAEHDHAGHTHEHEEAGKAKEEEEEDEGEEVDAEG IEDKDIELVMTQANVSRKKAIKALKENDNDIRNAALKVDWAKITTSLGLRGQTAASLQ AFKKRNDDARRKLQQLSELPTTVDFAAYRSTLKNQAIVNEIEKRFTSFKPATYDVNRQ LKAIEAFEVEAIKNAEATKTKVDLELKDLEKTLKNIETARPFDELTVDEVAAAEPSID EKTSKLVSKGRWSVPGYKERFGDLSVL NEUTE1DRAFT_143375 MSILPLPQARDSPAASSQAQEQPDLTRSITPTVTDSGISSGDHQ LAALVSQYAIACGTNHLRRPPPLPPVTSDAFSGLDLYMPLHFRYLHTSA NEUTE1DRAFT_119250 MPHHTMYDVYGLPFHPFLCGYFPVPGSHFRTFPSENLTGVPERT RRMEKEEIFATSNL NEUTE1DRAFT_143376 MLRSFRSHKSMRSGHSFSKVEHARYPSDASSMDSRSSTTSSNGR MAFDPLRCHPPDLTVTHRPSLNISEDGPRRPSRSLPEPHHAQHHYTTTPRVHHHSHQP TTPTTVIYDGFDFGFHPNKSAPGTSAPAHGSASVASPSSPTPSTDSFSSSFSSDSMES NYCVGLTVAPKPRQGPQKEVSAAMARPRGLDAADDFIKRGGWKRRGVIFELDAPMADE EECFDLDMD NEUTE1DRAFT_53254 PLGEYKKVSAGEGGELSYVLGATLTKAEVAHSVRFLRQFGEQPP TTGDSGTKMANAAGAPAADICANGLGRMSKRGSNGRENSLSWRDRTKNLDLLQLFV NEUTE1DRAFT_126882 MTFQSKKFPSTANTNTFAAKYRAMMKKHPFLLFGLPFMSVIVAG SFILTPAAAIRYEKYDRKVRQVSREEELGLGQRKRRVDIREEYYRLAAKDLDNWEQKR VERLKGESDGLL NEUTE1DRAFT_93088 MRPLSVLLAVSAAAGLGHAETLKPQTLLQDIVTFDNSSLFIKGE RLMIFSGEVHPFRLPVPSLWLDIFQKVKALGLNTVSFYLDWALLEGEPGIFRGEGIFD YSAFFEAAKEAGMYLIARPGPYINAEASGGGFPGWLQRIKGHLRTADPEYLAATDNYV ANVDKLIAKYQITNGGPIILYQPENEYTGAASGVKFPDPDYMQYVIDQARNAGIVLPM MSNDASWTGGHNLPGSGKGEVDIYGHDGYPLGFDCKNPTTWPLGNLITQYRAQHLKFS PSTPYTVPEFQGGSFDPWGGYGFDQCEQLINHEQVRVFYKNMFASGVTIFNLYMIFGG TNWGNLGHPGGYTSYDYAAAIAEDRTVSREKYSELKLEANFLKVSPGYLTATPDTSNR TGIYSPNTDITVTALVNYTPDGGSFYVVRHTDYQTLASTPYTLSLPTSAGNLSIPILG GSLTLSRRDSKIHVADYSVDDHKLIYSTAEIFTWKKFSNRTVLVVYGGEGETHELAIA DEVKWTSTGPPVQVKTVKKDDKKLFTVVQWKANSERRIFKAGNLHIYILDRNTAYNYW APQITNSLSSLIVNGGYLIRSASINGTSLSLQADFNTTTTLEVIGVPLGVSELQINGE TTEYRVDSEGNYIVEIDSEAPFFSLSDFSTAEWYYIDSLPEIQPDYDDSAWPSANLKS TNNTYVGPLKTPVSLYASDYGFHTGALLFRGHFTATGAETKLFISTIGGSAFGSSVWL NSSFVGSWPGSGAASVHNDTYDLPSPLVAGQHYVFTVLVDNMGLDEDWTVGDDTMKLP RGILDYSLGSDTNTTLPKSNITWKLTGNLGGEQYRDKARGPLNEGGLFIERQGYHLPS PPSSSFSSSNASTPFTGLSSPGVAFYTTSFLLSIPSTQYDIPLRFVFDNSTSLEPGQE YRVWLYVNGFQYGRYVSHIGPQSSFPVPEGILNYQGENWVGVAVWATGKKGAKVPGLR VEAGTPVRTGRREVEVVKAGEWKKRTGAY NEUTE1DRAFT_93090 MASSASKTNIGVFTNPQHDLWISEASPSLESVQKGEELKEGEVT VAVRSTGICGSDVHFWKHGCIGPMIVECDHVLGHESAGEVIAVHPSVKSIKVGDRVAI EPQVICNACEPCLTGRYNGCERVDFLSTPPVPGLLRRYVNHPAVWCHKIGNMSYENGA MLEPLSVALAGLQRAGVRLGDPVLICGAGPIGLITMLCAKAAGACPLVITDIDEGRLK FAKEICPEVVTHKVERLSAEESAKKIVESFGGIEPAVALECTGVESSIAAAIWAVKFG GKVFVIGVGKNEIQIPFMRASVREVDLQFQYRYCNTWPRAIRLVENGLVDLTRLVTHR FPLEDALKAFETASDPKTGAIKVQIQSLE NEUTE1DRAFT_119251 MSFALVSRRSALTFGRRAVRFESTTSEKATEAAKKTAAKASELS TKASEGLSRVSSAAGPALAKYAKTLSSTLGRVGGRTGKLIAFAERQTPFVIYYSKVAA ELGRIVFRGQSMTPPSVSTFKAYFQNFIKSVQSGSFFSNAGASLQNVRNISRTQVAAA GVLLAECLGFFTIGEMIGRMKLIGYHGETHAAAH NEUTE1DRAFT_106514 MFRLPGYVQVDKSKQAYPSYLGSKPAKQNSVSFHVISPEESKLG DAEAHPILLSIPLVAMTLGHGNPGHSAAPVQGAGPGLCCIASVGTSYSAQAGCRLDNS GWPGGR NEUTE1DRAFT_143381 MTSFFCTLMHSDAQGTNRGPNLVPGYMENGRFYGEYKKGQYMFP IDELEKDRLDMFHHFFLLARNQQLFSAPFPNQESPRILDLGCGTGIWAIDMQDKYPVL GQVTGADLALIQPEFIPRNLVFKPMDIEEPWLELSGCAYDLIHLRTLNGSISDWPFVY DQIYRHLKPHCGFVEQVEIDWVPRSDDRSLPPDSHFLRWAEALVEAMDRAGRPMRLDS HRVQQQMRQAGLVDLHEQVIKVPINGWPEDAHEREIGRWFNLGLTQGLEALTLAPFTR ILGYTPDAARHLISLVKEEIRSRKIRAYCTLHIFTARRPA NEUTE1DRAFT_93099 MDSSHQASVDEKQSVRAGGCPEDRDEIVAAIENGVVVNASGYRD QLNRQYSLLGLAGIAITIDNAWIALGSSISVSILNGGPPGLIFGLIVAVFYYSFIGAG LAELASAIPTAGGVYHWATIAGGPKYGRILGFFTGWINFYGWMFDLAALMQITANICI SMYSVYHQTTYTYEPWQVYITYLLVLWLSTAAVIFANRVIPHTQKIGMFFVIVGGIIT IIVISAMPKRHASDYFVWKSFTENNLTGWPGGLAFLLGVLNGAFTVGTPDAITHMAEE LPHPKKDLPKAIGLQIGLGFLYAFCFAIALCYAITDLDALQGGFNTYPLANIYAQATA HADGTTNHGATFGLLFIILCSSLLCCVGTVLANSRIYWALARDNAVPLSPLFSRVNER LSCPIPATLFVATIATALGAIPLGSPTAFLNLTGSFIILTTTSYAIPFAANILTRRQY LPSVPGSFRLGKFGTPINILAVLFIVLFNTLFCFPYDYPTTTESMNYNSVILVGVVAL TALWWVVHARRKYPGPRVMALYIHGGDITGEKVQVSEGVEVPGIGLEQEGYGGVGVLK EKPQEKKKKKGSDGGILP NEUTE1DRAFT_93100 MDFNSSLPHPAGVISFLDTDLYKLTMQCAVLKYFKDVPVTYSFT NRTPEKKLSREAFVWLEEQVMKLGNISLSPEELQFLKTHCPYLTEEYLDYLSEFRLRP REQVAVSFRPDGDSDLGDIHYDIKGNWAETILYEIPLLALTSEAYFKFMDTDWDYDGQ EEKAFEKGMRLLEAGCVFSEFGTRRRRDYHTQALVFRGLTKAAKEAEKRGLTGKLSGT SNVHLAMRFNIPPVGTVAHEWFMGNAAILGDYKSATEEALSRWVGCYGPGVLGIALTD TFGTPEFLRAFSKPMSASGELVPQPRDRKISTADAFISAAKDVIKDLHPDKTYAQVFT GVRQDSGDPKEFVKLMRKFYDEQGIKDKKVIVFSDSLDIDRCLEYKEVAEAAGFQPTF GVGTYFTNDFVHKATGKKSTPLNIVIKLSSAAGNPAIKISDNVGKNTGDKATVEKVKR ELGYVEKDWSEGDESARWGHDGDAATA NEUTE1DRAFT_150889 MDIHRCRFVPYPASAINAVAFSHSALPPVPAGKKNLQKNIQVRL AVGRANGDIEIWNPLNGSWHQELLIPGGNDRSIDGLVWVTDPDEEMADGKIIHGKSRL FSVGYTNTITEWDLEKARAKKHASGQHGEIWCLGVQPPSSDLHAPRKLVAGTVDGNLV MYSIEDGDLKFQKSLIRAPSKKVKMVSICFQSRNVVIVGCSNSTICAYDIRNGSLLQQ MTLGSDHSSASKNIIVWSVKCLPNGDIVSGDSTGQVCIWDGKTYTQAQRIQSHTQDVL CLAVSADGRRIVSGGMDRRTALYEPVAGQPGRWSKAFHRRYHGHDVKTMASFEGKGMS VVVSGGPDASPVVMPLRAAGKEFHRTLPHLPQTVNVKSASKARFVVSWWDNEVRIWHL SSPSRDLLNGAVATTDLRKTRKLIAQIYVKGDHNITSAAISEDGTFLVASTATDIKAF QLDISDSQQLKIKKVEVASAGHGAMKVEISPDRRWMSWVESGSNVMAAEITVNEDGYA VSAPSKLRRLHRQIPKNILLGGLGTYDRNVTQMVFSPDSKMLSVADIAGWIDTWVLRV PGEEINGTKGEADDASSSDSSDDEEDDQKDATSERWVRNPNAALIPKLDSAPVVLSFS KTSRQEGEDYTLLAITTLKQVLIFNPLRGSLADWSRRNTYAKLPEQLRVTRDLVKGVV WQGPRVWIYGISFLFMLDLSQDLDSQDASALSKQKSSLKRKRDGKENGAGGKMDHQNA LAPHKIRAAVAGQDGATEWVDVEMADADDQKGAASSGVEDEDDDYDEDMEGGELQRLR DGEAGREEQKKDSVKQKAKWWHTYQYRPILGIVPLDGETVEESNGASEGIPIPPLEVA LVERPSWDCEVPPRYFSNGERER NEUTE1DRAFT_126890 MSNRDQKFDLHVIPDLLSQPVVPEPPAQDDKEAQNFEKYLIAMS HIPLNYENPGLLDEALQQIPLDRLSQEAEEEVELFQAKAASLGKSKPEWSHQECMVRA LLRWFRRSFFTFVNNPPCSECLSPTNKIRNVAPTPEERAHSATWVELYACVTCGAYER FPRYTEAWQLLRVKRGRAGDFANVFTMLCRALDIRARWVWCQEDYLWTEIYSEHQQRW VHVDSCEEAWDMPHMYYKNWGKKMSYVIAFSREGAVDVTRRYVGSPDALLPRTRCSEG VLKFIMEEITNLHRPKYAPDGETRLRLYREDVAEDVQLRSLWSATLEQSRRLKAAAAA AARGGRSSPDNKSGANMMGSPATGDIKRPIPEDAPVPDVPSLWPTYGP NEUTE1DRAFT_150891 MSGPGMEGGRPKLRGASEMKKAMDENFLRFLRGYASYYAECPPS FKKYLERHVFPACKEADKIDQLQLFLCNPEILDYGLGIEFMGEASHYTIWDYWFQGAE GSVVLPFHPIPDNYKPWPKETEKTMEAAYIIDDPGRALSAGVHDIRLDDQKNGATMSI VPPGPFRSLPTVLSPDGGEDDMFGDILGQIKELEKLQLPAAYGPSATAVEGGQLIEPI VDRTGPDWTVDSEYEGFRQPPLAVRLEHVNNLLCEEDMYRDLNWAPKFAETVRYLQWL SDEDPKGQLANASQQTQKMHADALQKVRVHEIFDYYNAQPTPLIINPLPKGSLKSTRL NWLGDVHNWPIPSTNPVPDKRDLMPRPTYPRPVEPVNTMLIDEPGNYELFEDFKHDQR EVWHDDEGDNNLALVESEAFVKFSGSKYAGWVRNDLTTNTKVLADDTIILPNDPRSFA RERGARRAGLQQMLRAYQTSVKEHMASPLRTLQLPIDAAVLQKITRDTDGVQFVPHRI PDEKIQAQADTMPFTVQIPKYLESLRHLRQKALKRVEALRRQDRRWVTLPQNVVTGGP FVWRMVDPDVQLKQDILDQCNITYQLLSAAQRRAPRLLLDEVKAMIDRGLSGEFHNHD VPAEVRLHGDELEIVGTTHPRKAPRYVDLEEDIPWLKFLASEAVNDGNWEPNNFYPNE PKEKYKIFHIFARRVQKILDDRDPDSLFADADAQVTVEELLAKVNAGREGAPVDKVVF HPYDAMAWLDRLADTGHVRFQLDPSCYGVVMRPLDKYFPENRVIWPGPTAHREKPGYN LGYISTWQQILCPGIDRSQELTDPQSPVWNFFMALGFRLGYTIYMLNQERAEQGRLKP QPVPAEYLTESLTTFEAECKTEIQHAFGKDEGLISLQQLIQRLSPRDYTGEGMTETEA FAVVKRKLLEESICNLSMLVPGREVVYYGPDPETGEVRHMTVRKRDVSWEFASARLQQ QGGEGGEEGAAKSKKSFQYWRLDRWPLGVGYTSEETERKIKEGNDVDPKMVYDPVAED PISERYRRPKLRRFGEDEKVKMKRGPAIYPVGDTVRQAWRVEDSMTETAYRALGLSPP SAENRRKRTWSQAIGTLLNPFSNDKDGDVPAADVPVDERRVRPKLDDLAKGVVVRSWN PDQLRRDAHEQGYALEMVDVKELMKEVEKSRMEEVEQDV NEUTE1DRAFT_106523 MILLEDLINGNKPSEKMNNACLRGIRFTGRGQTELLGVQTRSMS RMQTQNDAGFQDNAHVARPNIPAASASPFGEWTPDIAYGSELWVEGEDLSRIEIAERG IARARLRVEHYRNAPNPAIFQQQLDEEIRKREELDDHDEENTKPISTLASTHREAINR RLELLHEILEQTESEAQRVNIEAAIAGYESGAIPYSRSYTLIWAGRIVDTCPGYGSFT TDRDSRLDTYLDEHGPGWLWHEPPLAAQGKLRAKKGTSLENPLMGRLDTYGQGHYNIK MYFRARKERVMRKGAKRARKAKEKLPEFNAQPDEKAQVVELSLLLDSGATLPCITPQD LALLGIDPKTYAAQTASELHLGEGTVKRAIYELDVGFRFGEEDWAFHTVPVIVLEGES RLSGMLPFNVCYISSAPGTHRMWLGQKRRDVLGAGRLPGRMKAGEVHEGEGRNPFYGA VGTPANSFWATGGINLRDRDSSSKFLKDRTDEHDAVLEEPSKMFRFEHDLGNGETWVD IGSSSGADEEEAVKASPPRKGKQAAGSNIERIQRLKREILGATGQPVPATGKRKARSS ALLSQ NEUTE1DRAFT_150892 MSSKPDPSGTGHSASSKRFQLPALDFSFAPLTEGTDIPPPEPSP RIRDESTRTSDGEDLQEKNTNGSGNGSLAPPNGKSSRPHVSLLTSPMSSTSGTTKSSG EDHLTSPTTSSSRNGTIRRMLSRHKLRSPHVNGDETIAENGAAVSPTQEQQQQQTTNP RPPSSNRPPSSNNNHEVKKSRRVSGWFGKILGGGDKSSTTRNRRASMMAPFLTSPSSP EPAQVRPLTENKPKGPPPPKIPELSQLKSKLDTKDDGGLLGDDLFRDIK NEUTE1DRAFT_133965 MPIMEPFSFASSDQLNYPVSIRIMSLEGEETPIPFSTLLERPDL RHVGSNQSPHSDLYVTVQVWAGSKPLTVPVQTPYKWFRNERKWSEWLTLPIDYSTLPE NARLAITLWDLSPTGGEGAHGHAIPFGGTTLPLFDKDNQLYKGRQQCFVYRHKQADGN DDTGAPAFIPRRSGVGSSKKGTPSSIVDNDAEEYDRLMDLLKKHEMGEIPRVDWLDQL AFRVIEKRGYGSAKSSLRLKAIQRQQEALASANTDASKPIPVPSDFLLTVELPRFDFP VVFADHEYPPPPISSLQHLSSSQSGLMVKPTPDIQLGPGISRIDADDETSAGRLIRVY DPEVGARDNPAESKHRRLVRSQHRNGILDKDLKPNAKVRDELNQIMSYPPTHSLSPEE KDLIWKFRYHLTRYKKALTKFVKSVNWLDASEARSAVVVLEKWTDIDVDDALELLGPT FSNSALVQALKYEHILPQSRQDVSQDSSLASFLITRAVSSLTLGNYFYWYVMVEIDDR SSEQGQDAREIYTKVAYDFMTELDKQPGGQEKRRTYKRQAEWIRILSQVSGEVKEANE SIARRTDRVKHFLAESKNELVTLDPPLPLPLDPSVMITGAIPEETIVFKSSLHPIKVA FKTTSGTKYPLIFKTGDDLRQDQLVIQIIMLMDDLLQKENLDLKLSPYKILATSTSAG LSQFVPSMSFQGILNKYGNNAALAYLKQNNPDSNGPLGLRKETLDTFVRSCAGYCVIT YILGVGDRHLDNLLLAPDGHFFHADFGYILGRDPKPFAPVMKLSKEMVDCMGGVNSEH YRQFKQYCFLAYSALRKNSNLILNLFSLMVDANIPDIKLEPDKAVFKVRDRFHLELSE EEAIRNLEKIMEDSLNALVPVMIDRLHGVMQAFRT NEUTE1DRAFT_51737 PPPIGLAGVTWNAQGLIDRGLLVLCRLICTLCTYQNTPVTPYPA NTPMSDEDIYDASPPRARNLEVRNKGKRVSDSHEGPSATRRRIRDPSPPNPDANGDLD EYDPAQSMQERRQIQRKLRDMQREMRENPDQFMQDKSSDALINYFDQSDTIMRDVKQT NEAAIDSRGLVFAADLSARRVQRLTSGNIGNGIDVDEFVSKCITYMRHGRGFEDDDAP ELTSTQRHRRRRPGRAAADTEDEEDMGDEGDMLNWPHLGRYAAVPSVRRPALPGFLSG PLSIEKKVRRMTQRNAPFKINSLIEVRPQELRAEDLKKSDKNDLPSICKNILEQLENK QNAAQDAVESLLNNGEVDDPEQEFLIMEKYALHSSGGIDLLRFVINPRSFGQTVENMF YVSFLLREGGLKLEFDSYGRPSLSAHVHADEHEKAQGRHGTTRHQAIMSIDMDIWKDI IDAYNIKEPMIDHREEESQQGPGAQGWYS NEUTE1DRAFT_93121 MSNKLVLANPDDVMVIRDVTPNVVTFSVPFLRFGKIPIGGRGTL VRLSNNTLAVFSPVALTPLARSRVSSLGSGQVSYIVATDIEHHIFVSEWARAFPDAKI IGPEGLPEKRAKVTNDERIGHEPFSVVFTKEHKQNIKIDEAFDADFEYEYVDAHPNKE LVFYYKPDKVLIEADLMFNLPAIEQYSKVPEAEKPHGSLLGRVFQAMNSTEGEAKGIK RFLWYAISRGDRAGFNESVRRIDGWDFETIVPCHGETIVGNGKEVFEKVFEWHLEGHN NEUTE1DRAFT_93126 MSARIGRLRGLCLRHSSWFVVQQHDQPALEQRQFRLAVKDNIAT VSPNSEEETPLTTTCGSNFLANYRSPFEATIVTQLRSRGALLVGKTNLDEFGMGSHSV HTAFGPVAQEGEHEQQAVKHSAGGSSGGSAVAVATGEADLALGTDTGGSVRLPAAYTG VVGFKPSYGMLSRYGVVPYANSLDTVGLLAKEVRPIAELILGGADKVTRGLWAEHDPL DPTSLSHGARRRCASQRDCYTGPLPKDPYPLKNLKFGLPLEYNITELSPSIRHSWSAA AAKLQSLGARLVPVSLPSTRHALSAYYVIAPAEASSNLAKYDGIRYGTRFTPPTESDA AVSKGPEGQEEEEEGILYARARTAGFGDEVKRRILLGAYTLSSAAMDNYFLKAQKVRR LVRRDFNRVFALPNPLLDKPERFELSELPETVGLEDKWGPTEVDFLLCPTAPTTAPRL DEVLSEEEKDPVSAYMNDVFTVPASLAGLPAISVPMRVEDKEGAGMAGLQLIGQYWDD ARLLAVAETVAGVVREEL NEUTE1DRAFT_133969 MFCFLSLVGVLVKTRLLSSAITPGGFSSATPLNQPISSAKDLLK RIDPIYLIWPLLPSLFICISILPGICFLLPRKMAEIHAICTTLGEISRRLNNVSRISA KIAIHMQKCSAWYKQNPRLRKWWSTACLAITVFVALQIFSAWRLSKKPRQTFQQPKDT KATVTASTLPTSHTICPTPVQQTQRINDGLSSDRRLLRENNHKIVTPAVTQLLSNMCG LHPHEVLWVRSTVSAWLGRVGWESVAWLWSFVGGLPIRRS NEUTE1DRAFT_51966 MSKSEFHRADERRFLDERGSSGPLAPNGLNPATIMEKAVRERII DSYFYKEQCFGVNEADIVDRVVEHVDFIGGVYGTVQKPSPFLCLAFKLLQLAPSDDIL NEYLQFGGEKFKYLRALALFYIRLTRKDQDVYKTLEPFLEDRRKLRRKGRNGTSLTYM DVFVDDLLTKDRVCATSLWKMRKRDILEDLDILEPRVSPLGNIEDLLEEEDEAMRKAE EEQNNNGSESEGEVRSRSRSRSRTLRSRSRSTSYSRSRSPVTRRRSRTRSRSRTPEDR NGGERMDIDGPE NEUTE1DRAFT_119258 MTTELPDGTIVPVQDDGVVIEIKPTPPSEVHQTSETEDENRQKP IPAPQPAQESATEKTEIKKTSSARAKKYREQQQLKKQQQQHMQQEGLVVQQGQQQQEQ DFSKTPNQAEQQETSGSTPIEIPEGMLEHPDPDKRAEKAVSPAERRRLIKEEIKRLAQ PEERGYYQRRLW NEUTE1DRAFT_74426 MRDEMDGCYCANYYGSIRCNNVVMRFGDRCKMCMLQKSGASLSR GLLPEHMDLWIGSSSTRLAKNTASSRSSSQSSARSSQQSLLDRRQ NEUTE1DRAFT_119260 MVMGGLSSWLLSLPLPTSIFPCHCFHPSVICLQPAMTINQRPEV RRCRGSCLLLSLSVFNDGRLVGKTGRLFLRLEQHR NEUTE1DRAFT_74432 MAVKASILVASAIATLARAAQPGAASPIAAPMRDLVWGKLNFLH TTDTHGWHGGHLQEAQYSADWGDYISFAEHLRKEADGQGVDLLLVDTGDRVEGNGLYD ASNPKGKYYYDIYREQDVDIICTGNHELYHASTADGEHLRTVPNFQDKYVASNLDYID PKTGLQIPMAQWYRKFQTKNQKLTIVAMGFLFDFTGNANNTVVQPVRETIKEQWFQDA IRENPDVFVVIGHVGVKMPEFKEIFTAIRKQNPFTPILFFGGHVHVRDATAYDSRSFA IASGRYFETIGWMSVDGDLKRATKDVVVAAVNDDEPSSTEAAEFSFHRRYIDNNLLGL YYHTGLNKSTFPTDHGRNVSQMIAQARKDLDLDYTFGCAPKNLWMTRAPYPSEDSIYT WLEKEVLPEIAINDDRKDVPRLVIMNTGAIRFDVFKGKFTRDTTYIISPFLSVLNYIP DIPYEVASKVIGLLNNAGRIMEEHAPMDARFMAIPEQFAITQDIIHEKPMPSFASEGI VDGQKPLSGDGGSKKEPVLIEGYTTKDDIGDDGDDAIHSAINFYVVPNCIQAEVGFSP KEEGEKPERVDLVFFDFIQPWVMGALKISGGDYTNDDAKRYVNGTFTELMAGWIKENW PANC NEUTE1DRAFT_93136 MVTTATQAPSRRFPSMIEMASQAPSRRFPSMIEMAETASIRSVA PSYISEVPSYHSDRYSYADPYNLPAEPAPPYSPPAAGWDMAGRLGVLSSLVPATLPDP PEEPRRERSRTRTRAGAATETSSGSTSRGTSRTASRTSGSRTTSETTTATTTSTTRHR NRLGTNSAANDSSSTIARLGNNQNRSTTNMRTSAAASSTSLPTTTTNAGSRPVAGTRT RAQTTPDTPSRSTNSSSPPPPRVGLPPVPSGPPSRTDFPVLGDFRIPSLSSMSSNPNL RQYQNVAQRRAAANASTTNLDGLRRALDRIEEERNNPNPSNNAARPRPLEDPYLVGEE AAAKARRERLARENGDILIQENHRWDLFLNLTREQEERQPGWRRFRKNMETRTSKLPF RLGMRAR NEUTE1DRAFT_93137 MAEIMADSELAPKFAPFIGMAGIAAAMIFGSAGAAYGTAKSGIG IAGVGTFRPDLIMKCLIPVVMSGIIAVYALVVAVLIAQDLGPPGSGQHYSLFNGFMHL ACGLSVGLTGLAAGYCIGIVGDKGVRSFMLQSRIFVGMVLILIFGEVLGLYGLIVALI LNTKSKG NEUTE1DRAFT_93142 MADYHQDPRSRSNHPQYGPPPGSRGGDGYGQRDAAFSNIFGAAP PPGRSHTMTSSVPPPMMHLNEGRTHTMSSTSGASMQRPPQQRPPPSGGPGGGGSGSGE RGGYGDPRARLDTNGYGQSQRSVSAGQQVPSAQYLQQQQAARRPPPGAYPPQHAPRGD SVRADSLRGPAPQGFYNNASRSPAQAYYNQPGGRPAPALNSDPYRSQSLASVPRPQMY QGSTPQQSPANAFRQAQYTGSSARTTAQGRIVPERHMEDRAMSMTGYPSYDRDAHQTP SGRVIPNRRAPGSSPDHGPTPSQYPAPGSQSGRTVSITSTTTVDPNGRTMSMASTIAP SIAPTERNEDGTLVHRYSGGASSMTGDRPVTVKIRMPLVYPALLSRVAETFRRKIVVG DRTKNELTYKNAFSGAEAVDVLSYIIRTTDRNLALLLGRALDAQKFFHDVTYEHRLRD STYEMYQFRETLTDDEKPAVNGVFVLLSECYSPTCTPDQLCYSIACPRRLEQMAKLNL KGGIGLKQEGKAAVNDDDVDQTDEQKLWINSVPKEIADSIGDREKKRQEVISEICYTE RDFVKDLEYLRDFWIYPLKGKINGHSPIPLQRRERVVRTIFTNIIDPPSIHGVSSKFA KSLTERQQKTPVVQNIGDIFLEFVPQFEPFILYGAKQLEGKFEFENERSINKDFARFV DEVERRRESRKLELNGYLTKPTTRLARYPLLLENVLKYTEEGNPDKEDLPKVLTMIRD LLSRVNAESGKAENRFNLRRLHEQLKFRPNDKMDLKLTNEGRELVYKAQFKKSPTENA DLTAFLFDHAVLLVKIKQVGKTEEIKAYRRPIPLELLSIKEMEEIIPSGAVKRSSSSL LPALRTTTDAKKGDGWPITFRHLGKNGYELTVYASNQAGRQKWLEFIDAAQQQLRARA DFLNTTIISYGYFAGPNKVNCATPFDGGRKIIYGTDQGIFVSDRKTKEIPPKRVIDVQ NVTQVDVLEEYSLLLVLSNKSLYSYQLDALNPNEPISSKRGKKIQSHCNFFKIGICLG RHLVCAVKSSALSTTIKVYEPNDAMSGAKKQRGLSKMFNAGQDELRPFKEFYIPTESS SVHFLKSKLCVACARGFEVVSLETLETQSLLDQADTSLDFVQRKEGVKPIHIERLNGE FLLNYSEFSFFVNRNGWRARPEWRLDWEGTPQAFALSYPWILAFEPNFIELRNIDNLA VHIVPHKNIRMLHSSTHEILFAYEDERGEDIIEAIDFWKSQKKLADA NEUTE1DRAFT_74442 MATNGDKFGNDIVESDSGSDNESHVDQHESDNEVEAKPRKSALK KAPAPVVQRPPLPPQTDPKDLDVKTLSPLTPEIIARQATINIGTIGHVAHGKSTVVKA ISGVQTVRFKNELIRNITIKLGYANAKIYKCDNPACPRPTCYRSYKSEKEVDPPCERE GCEGTYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNESCPQPQTSEHLAA IEIMKLDKIIILQNKVDLMREEAAKQHYESILKFIRGTVAGKSPIIPISAQLKFNIDA INDAIVNTIPVPPRDFSMDPQMIVIRSFDVNKPGAEIDELKGGVAGGSILHGVLKLGD EIEIRPGIVTRDEKGDLKCTPIFSRIVSLNSEANDLKYAVPGGLIGVGTRIDPTLCRA DRLVGFVLGLKGRLPEIYSEIEVNFYLLRRLLGVRTADGKQAKVDKLAKNEVIMVNIG STSTGAKVSAIKKDAAKLILTSPACTSIGEKVALSRRIEKHWRLIGWATIAAGVTLEP STS NEUTE1DRAFT_133976 MAFNDEKKRPAALNLTPQRTMSAGSVSSNNSSSTSSSLAKPPRT PRFAEATSVHSPVDGRTLPFSDQSEVAHAQPGDVGFGYIGNGGNRESVAMPMTPRSPL KSAMKVPGTPGRTLANPLSPTFREEEELEVKEKLTEKEQARDLKIKTRVRMAKFALRG VNFSCSLIILSMLSASFSIFNATRHLPAMNGLPAWAAGTNPWPQYVVLACSCVSLLIC IGVFIGYCRGGHQRAEKVGVYYTLFAVGWFIFSMIMWAVAAGIFQFTKNNSKNQDMWG WSCVENHRAELFKDKVDYALVCRLQNWGLICMIIEIIIEIISITLYSIVFYRYWSKRK LLKTMNMRDKARSDLYLAQLRVQSAPNTPGFGPKSPTFSQYALSPRFPPSQYQSFDDV EKGYGAGPTQQQQQPFTPGGGGQRLIIPQSSSFSSPKIDTGFKLQAPPSKANPATPVT PKGGFMTPSSSNAVSPVDPMPQINLPQPPPPVVVQIAHAPMADGEQQYEAVPIPGAYA GQAIKNPPPPTMQTRFA NEUTE1DRAFT_93151 MLLVNRRKDMPPNPTSSSAAAAPAPAPSSSTTTTPAFSPSDVTV IFVLGGPGAGKGTQCARLVRDYGFTHLSAGDLLRAEQDRPGSQYGQLIRDCIKNGAIV PMEVTVALLENAMRDTLTSSKTGGKGRFLIDGFPRKMDQAFKFEEVVCPAKMVLFYDC PEQEMEKRLLERGKTSGREDDNAESIRKRFRTFVETSMPVVDHYEREGKVVKVDSTPG PDKVYEETRKQLRRVFGDKF NEUTE1DRAFT_74451 MATPQLIFVDGTFAELAQEMADFVQVGEQVQPLLEQDKREDALD LIVKASHSLNAAPEKDFTPAYNLLIHLVLQSEEPKKYLPTLCANLLKPITSSPTHGFT LASNALTTIFNLLDKSNPLRFNVFMQIVRFIRQNSQFDLLKPRLKNVEGWLQEWKSDS ESQRKLYVEVSDAANDAGDQEESYFYLLKALATFDRDNQDEVASDEAQKLSLRALRMA ISSPTRFDFNDLRSLPSVHALSDSHPVYSQLLDIFTEQDLEDYNDFRDEHQGWIENEK LDHEKLQRKMRLLTFASLAASTPNREIPYASIAKALQIPDEDVEMWTIDVIRSKLVEG RLSQKQKVFLVHRTTYRVFGEKQWRELGTRVDQFKTVVDRLVGVVRKAQTDVEAQRER EQQELERKLANAGLGESEQRGGNRGGRTGGARQTRQRTDDDD NEUTE1DRAFT_133979 MAPRAEGSSTLKRARGSADDNDSFKKPRRSERLSSQRTGDSPEP KQKTPLKTTKQLPSPVTHDSDEFPQDSKEPTATPPAGRPSQLSQISPRPENQHSQSQA SQLNDTQAFSQLPDLDKALSDEVEDEVKEGVWGYLFPLDPRYGGRCVVLRRRAACPLP DTVSQAVGSKRGKRGQKALIKEEHDLDKTKVKGLPSGGYLIGRHPECDIQIEDPIVSN RHCIIFTENKGNDTIAVLEDLSSNGTFVNEAIVGRNRRRELQELDEIAVLGTARFIFR YPKSRHTSAFRQQYTTLQKLGKGHFAEVYLCVEKSTGSQYAVKVFSKTPGVEERSKDE GLQQEIAVLMGVSHPNVLCLKDTFNEPNAVHLVLELAPGGELFNYIVKKTKLSENECR KLFTQLFQGVKYLHDRNIVHRDIKPENILLVDDDLHVKLADFGLAKIIGEESFTTTLC GTPSYVAPEILTDTRHRKYTKAVDVWSLGVVLYICLCGFPPFSDELTSPDFPYSLSDQ IRQGKFDYPSPYWDPVGDLALDLIDSMLVVDPEKRFTIDDCLSHPWMTQKTPGVNDST NGLVNGIAGLDVTRRGVLRERTLLSSINTVEIADKIPLGENKPELKIYKKNPTETVGG PSEHELAGAQAGPSRQKEARPDDNRDPNEFMKMGGKGDEVLFADDPKSNYPTAKKDVK DIVESSTKTNGKGKGKGKKE NEUTE1DRAFT_74455 MTDGPTLAAIAALVKSLAVDPATTQTSGLRPSTHVRLPGPYTRE KGDLERELSALVVRIEQLETAAIAASPPAMPDTPNAPTDALFSNGTLSPSSETPDARY PAPLPRNGFIDEALEGLREHVDDQSKLLDSQRQELAGVNAQLIEQKQLQEKALAIIEQ ERVATLERELWKHQKANEAFQKALREIGEIVTAVARGDLSKKVRMNSVEMDPEITTFK RTINTMMDQLQVFSSEVSRVAREVGTEGILGGQAQIEGVDGTWKELTDNVNVMAQNLT DQVREIASVTTAVAHGDLTKKIERPAKGEILQLQQTINTMVDQLRTFASEVTRVARDV GTEGILGGQADVEGVQGMWNELTVNVNAMANNLTTQVRDIIKVTTAVAKGDLTQKVQA ECRGEIFELKKTINSMVDQLQQFAREVTKIAREVGTEGRLGGQATVHDVQGTWRDLTE NVNGMAMNLTTQVREIAKVTTAVAKGDLTKKIGVEVQGEILDLKNTINTMVDRLGTFA FEVSKVAREVGTDGTLGGQAQVDNVEGKWKDLTENVNTMASNLTSQVRGISTVTQAIA NGDMSRKIEVEAKGEILILKETINNMVDRLSIFCNEVQRVAKDVGVDGIMGGQADVAG LKGRWKEITTDVNTMANNLTAQVRAFGDITNAATDGDFTKLVEVEASGEMDELKKKIN QMVYNLRDSIQRNTQAREAAELANKTKSEFLANMSHEIRTPMNGIIGMTQLTLDTDLT QYQREMLNIVNSLANSLLTIIDDILDLSKIEARRMVIEEIPYTLRGTVFNALKTLAVK ANEKFLDLTYRVDHSVPDHVVGDSFRLRQIILNLVGNAIKFTEHGEVSLTIQKASSVQ CSTEEYAIEFVVSDTGIGIPADKLDLIFDTFQQADGSMTRKFGGTGLGLSISKRLVNL MGGDVWVKSEYGKGSKFFFTCVVRLANDDISLIAKQLNPYKSHQVLFIDKGRTGHGPE IAKMLHGLGLVPIVVDSERNPALEKARAAGQAPYDVIIVDSIEDARRLRSVDDFKYLP IVLLAPVVHVSLKSCLDLGITSYMTTPCQLIDLGNGMVPALENRATPSLADNTKSFEI LLAEDNTVNQRLAVKILEKYHHVVTVVGNGEEAVEAVKRKKFDVILMDVQMPIMGGFE ATAKIREYERSLGSQRTPIIALTAHAMMGDREKCIQAQMDEYLSKPLQQNHLIQTILK CATLGGQLLEKNRERELTRAADAVTGGRRDNGMYSASQAAQHAALRPPLATRGLTAAD SLVSGLESPSIVTADKEDPLSRARASLSEPNIHKAS NEUTE1DRAFT_106542 MCHCGNVRCSTAIRNMFDKGQVKIANWKWNYSAHASNNYSGGGS SASGVGYSSAGGGNTTTYSRYGGGYGGGYAGFSPMPPSGPSVACPVHGGYNPAPLPPF LQPYHNTGSGYYQGYSNPPFFPPFPPYGGGSQGCPPPTIVVRYRR NEUTE1DRAFT_106543 MCVKDYPIYACGKELFPGPAPNREYPCGKNCKSWEIGRIQPKTA KWTDPRHSRYYDSYGIVPPASGGGGQVDPGYGGDPSGYGGYGGYGGQVDPGYGGGGYG GGDPSGYGGYGGGDPSGSGHGGGSVPPNPMPRPNPNPFAGDNPPPLPSWLRYDEEKKG SKKSKGKSYRKHR NEUTE1DRAFT_106544 MAQQLTVIFGELGISQYLDAFVEQGFDTWETILDITESDLWSIS DVLGVKLGHRRKLQRRIANYRGLAPEASLAPLAQPSIEDFRPTEVVPRAEPPKMELRE PGTVMVTKRKYRRHPKADENAPERPPSAYVLFSNKMREDLKGRNLSFTEIAKLVGENW QNLTPAEKEPYESKAQAYKEKYHAELAEYKKTPQYQKYMQYLADFKAKHSLPSQDNDS SKRVKLSESGGPSSAAATPTRACRSHSGGSDSIHGSEPPPSREQRISSIVSTTDSHQS AALSPGSYHDDCMQSPRTIHADRRSSEQRSPTAFNTDARNYPLPSRTETTRPDEHRQE QPLSTPQSQQNLPSFSDVFDSHRFLPSGSQQTSDTSSYFPRGSLTNSPGPPPGLVGGD RRYPPTLKKEQSSAGSISSASTASSFGYPRTPTDGPLPIQALLSSSSAHSYEAGQPHH SYPTGPLPVHQKQPQHQQQPPQQTSMSAGQPPVLANLAMTNGMPWRKSPSVSREAADE ALVGYHRALSLAHVPPAVANTATPTSHSVSAVYGIPSRLPPQQMGSGLAQQHQQYDRK PEGGNPNLDGMSALLKAGEIVDRRTH NEUTE1DRAFT_126910 MTRCQTSWASNMTVTINDLLTVKMVGWCSIRVLDCQAEHRFLNP AMHLAIDDHPVPVTGNSRDAASPVQAAEFQATLGKRGG NEUTE1DRAFT_52572 MSAGQDTEESDGQATSPVMVSAALGDHFAQALKDLASKIPMGHG HAGIPNSQAAISSHIWSHAPQTQNQM NEUTE1DRAFT_54706 MYLLRRHMTTLTTPHCPLSHPIPRSIPQSAISSVVPNNCKSSSN PCLTSRRYYTCSVCRLQLMAVSGLVVLALCSIVSFQSMFPRAPSVLPPVYHYPMISPR WSSDVHPVRNLLGLGALLDTGRTG NEUTE1DRAFT_106548 MCHELRTRYALCSHTATVLTRCGLPPCQAWPGVYERVEGVSCQA VWCRFNPPPPPPPRSASSRLESSVSATSTVRESTTFRDRSEGIAEWRESNRGTAPEQQ TRRAVRFEFGGDGGGDGGGGDGRGRGGNALMEDPERGGRGGGGPRRPGMPGGDDGDSS SSSDEENHNYNGDVGNESHA NEUTE1DRAFT_126913 MSLHRQKRSPFSSRPFIVSTLLITCLATYALVLRPFATSRLLRQ PEHYQQQPHKIARILARGHHKHEPTAPVDCRDVHLADDQCAFILANCEDEDAGLIHYL SFYYCTLPNAKPVAFAVLTAWLGLLFTTIGIAASDFFSINLSTISSVLGLSENLAGVT FLALGNGSPDVFSTFAAMSSNSGSMAVGELIGAAGFITAVVAGSMALVREFKVQKRTF VRDIVYFIVSISFTMMFLLDQELHLWEANAMVGCYIFYVILVFAWQWFTDRRRKRKQR EAAARAHFYGSTPEPSGELEPYRDQPEDDEAASGNHRPRTAQTDISALEHGPRIEVEG HGIASTPSEEEEDEHRDLQVAAEMTSSMRVNRPRWGRSNSTITPIRPSLVGALEFRSI LSSIQKERNQHSGYVRGHRRGNSDYFSNQPSLPLIREDQLYRGRQYTLDTLPTLSTIR SGRDRALSSGHSPLNLDSLGLPAFRSGSETGHTSPALTPGPRPNESPNLRPDTRGSST TRTVDGRLAPPLDTGGVNQGLLQHQWRKTTPRTMGLHLQIPDSTASSNRASPTLSPFP MFSESPTALTPQQLPESAFVFPTPSERRRSSIAIFGGYEEEEEPLKYWPYSILPPPHI FFGTLFPTLQGWSEKSWWDKFVSLISAPSVFVLAITLPVVETESERDDDDEVSGPAES SAINLIHSHGQPGHMAVPVSLESSAHIRPETEWQEFRRRTRSASNRSPMRSPLARSPL HSPLTASPSYVTLASPRTRLTSEARAHTNGYTNLGAQVQQAMKLNPHQRYGHSRHGSH PTIVEEDELGAAAGPTTLADNHNHNPNPNPNSDNDNYNDNDSLTENGTENDEKAAQEG WSRWLISLQLFTGPLFVVLIYWANTIDELPSPGRTLLKMTLYSLIFSLTCLGILLLTT RADKKPKYHFLLCFLGFVISIAWISTIANEVVGILKAFGVILGISEAILGLTIFAVGN SLGDLVADVTVARLGWPVMALAACFGGPMLNILLGIGLGGAWMIVSSANKRHAKHPEL PFRYKPYKLQVGGTLMISAITVLVTLVSLLILVPSNGWVMNKRIGWTLIGIWTLGTVF NLVVETTGVWTDVR NEUTE1DRAFT_106551 MPRLSTGPRRSMSKGPAVPSPLKSPGLKIPLNDDAQEKEQRSRG RKALHEAQINQLKLAATAIATPARSVTDENGTPTSNGPRTPRRRISGKENFSILDDDD RPVVGGAVVTPMKRVPILANFEEWMKMATDNKINAANSWNFALIDYFHDMSLLKEGDG VNFQKASCTLDGCVKIYTSRVDSVATETGKLLSGLADSRDSKKRDRGEGEDGGDDDEE EDEVDENGNVLKKRRKKTQRSSEATLAPSFASLQLKKFELEFSVDPLFKKASADFDEG GAKGLLLNHLMIDSQGRIVFDSSDDAIDTLDSRNQKAAEENDQDADPDAMDIDAMEID GQTEKTQTRTQQQEEEEEDDVEIDIASLGARFFPDLGRLDEMDVCPSLKTFILGDPSG SLDIPFLRAPEDWRQEQDRAKTPGLGNKSGFIIDDENPLGFDDDDALGAFDLGGDVDV AFGEGGEAWAREAAIEPQMMRVFDAGLDIGEGEGGDDGDGVDGDLEMIDQDKGDFGMV NMTNAQKTDRLHEDILSYFDQALQKNWTSAEHWRIRKIKDVNKPQTGEPQRQRKEKQA FEIDFFTPVDRTLHDVLHTQATTNSAISLPKKDWKSKSRNLLPDDKHFSSKQLLNLFL KPKARLGRKQRAFGRSNADNQNPDQVPEGAMDEAFWAQQKAPLNQGPSSQDDHLPQGD YDANFFQDDGLPFANGGDDDDDEDMDEEVFADARDHFSPGPGGTQGDGAVGKEGTAGL TMDVGMTGAFNGLTVTNPADLAFGTMLVTQSRRVRPEYVQYARRAKKTPPNPEDSLLS PPLTTPSAQPGSVEPTKDGEQEKPTLKFTEVMNSLQKVYPKTAMDDISTSYCFICLLH LANEKGLVIEKSDELTELYIKKDANAVVEEGGE NEUTE1DRAFT_133983 MASRRNSLSPPERQGTMDPGAHELPGSDTEDHYSDAISSPKAPS SPIPRTRVERVDDKPAYGEVPGTKAYSMREGDAKPDEFAIIPDPDTKLSTEEHDQRRP STPGGRPIPMTVVEETPDSTGAVTHHEIEARHRVDSPPDVLVKADGQKIEHEKGGDND TPIPPALKSPASIHSRDSSSDLDQPSSDSPSLGPSLLEKEEAKDDAHDNVVRNNAKTD DEQADEDESKKEEENVEVKTEQAEDGEVDDEKHEEEQSKDHDTEEGLKRDEFEDASEE LENQNTNKEEAEDHFDEAEPSQENEKKVEPEEDKKDDASFDHKEEKPVENDGVNDDDG FAEAGDKAPDDDGFGDDDFGDDFAEPAQAGGDDFGDDFDDFEEGAEANNDADFGDFDD AGFDDDDFQEAEGFSAPAPAPEPQPQQPQSSLSFQIPDFTHLSASEILSLTSPYLNAL FPPSPDTDGDDSSSSPIPTLNVSNGITDPIFQHPRSASLWSQLVAPPPLQPPDWIRSR IRRLFLVSLGVPVDLDEILPASKQKKLVLPSLGDPDAADDDRTGRSRRASSAAAFRSQ NQNLNTTTTSNSSRTPSKQPPVNKTVAAAANTEGSANRSSRSARKGPPPEPVFDLVAA KQLCETTDEALSGMTDKELKEHVQKLEAMQGVASEVLVYWQQRTDEKIGDREAFEGVI ENLVKHARKVRK NEUTE1DRAFT_133984 MSFFGTPSSSAASGQPATTATSGGLFGASSFGTPAASSTQPAGG LFGAKPTDASKPGGLFGANTTSTTPSLFGSASNTAGGGSTTPGAGLFGNTTANTSTTP AAGGSNLFGGGASTTPATGAPSGGLFGNNASTTPATGGLFGAGANSQTTPAKTSFGLG STTPAGAPPAADASKAAPSLFGATQPASGNLFGGGGASSTPTTTPAPTSGTTPSLFGA KPAETTTSGTTSGLFGAKPAETASSTTPATTATSGSTLFGAKPATSGGSLFGGASTTP ATTSTTTTTAPATSGLFGANTSKPAEAAKPAAGGLFGATPATSAPATSTTTAASGSLF GANKDATTTSTAPASGGLFGSTTPSTTTTTAPATLSSTTTSGLFGAATTKPATTTAAT TTAGGSTTATAGTTSSLFGGGAKPADTTTSKTTTNNTTTAAGTTSTTGNLASTTTGPT STLPRLKNKTMDEIITRWATDLSKYQKEFKSQAAKVAEWDRLLVENGEKIQKLYTSTY EAEKASNEIERQLSGVESQQDELTAWLDQYEAQLEELFKKQQLGSGGESKAAGGVGDG LGPDQERERTYKLAEKLTDRLDEMGKDLAKMIKEINDMTGTLSKGSKPDDPFSQIVRV LNGHLHQLQWIDQNAADLQAKVAAAQKATSGMGAHHGVNESDAAQSFYRSYRAGGVNR NEUTE1DRAFT_97199 MLRTTVSKLARPTVSRAFATTSRALAGETGAPPKTGGPGDAFQR REKANEDFAIRQREKEKLLELKKKLAEQQKHLKTLSDHIDEITREQGGERN NEUTE1DRAFT_119275 MGHEDAVYLAKLAEQAERYEEMVENMKIVASEDRDLTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNSTQVSLIKEYRQKIESELAKICEDILSV LDQHLIPSATTGESKVFYHKMKGDYHRYLAEFAVGDKRKDSADKSLDAYKNATDVAQT ELPPTHPIRLGLALNFSVFYYEILNAPDQACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAEAPAAQGEAAPEEPKADAPAAEEPKAE NEUTE1DRAFT_74476 MSQPTLYEILSLSPTTSDLTPAIIKQAYRRALLTHHPDKSSSSS SSSAFSSSSTSNGYSNSHPNSHPPPKTKKPISYTIDQISLAYTTLSSPTLRTQYDASL RRLAASSRTHPTTTLGKGNVVEDFQTGIDTIDLDDMVFVPSYSNNGKEKDTWYRPCRC GNERGFALTEEDLEENADLGEVLVQCADCTIWLRVCYVVAEND NEUTE1DRAFT_93180 MAATVKQHVQLALSLPPRLRTFLARYPPAAILPAGADPETHKTP YQEESPNPFMPTKHPITGKWHNPKYSLRRQAELVKLAQEHGVEELLPFTRKLNEEKLR KRVELGLRVKGTGVGEKVKGHKHERTLVAKMEKRREAMLAMPDLIREWKKVGKRNWTK FPK NEUTE1DRAFT_133987 MSTTSRREPPDLAALLRKKKEEEAAAAKPRFIPKKERERLEAEK KAKEEEERKRKEEAKSQPNGTNHNGNRMDGIQSHHNHNPQRNIPTGPKAMRYDDDRGP NGMSNGRDYRDNRDNRDNRDRNPRGAKRGAPNDDEEKRAKMERNDEAELRARYMGPVV NQSTFSAKKKRRRTAANKFNFDWDADDDTSRPFDPIYAERQEPLVRLGGYEMTEEMVM RKAEAIRRGDPETGEERARQYLDQHRRIKEMEQRKNLGKHWSEKKLEDMKERDWRIFK ENFGIATKGGAIPNPMRSWEESTLPRRLLDIVKNVGYDEPTPIQRAAIPIALQARDLI GVAVTGSGKTAAFLLPLLVYISELPPLTEYNKNDGPYALILAPTRELVQQIESEAKKF ATPLGFTVVSIVGGHSLEEQAFALRNGAEIIVATPGRLVDCLERRLLVFSQCCYTIMD EADRMIDQGFEEPLTKILDAMPVTNEKPDTDDAENPQLMSRYVDGKDRYRQTMMYTAT MPPIVERIAKKYLRRPAIVTIGNAGEAVDTVEQRVEFVSGEDKRKKRLQEILNSGQFK PPIIVFVNIKRNCDMVARDIKGMGYSAVTLHGSKTQEQREAALASLRNGQTDILVATD LAGRGIDVPDVSLVVNFNMATNIESYTHRIGRTGRAGKSGVAITFLGPEDNDVLYDLR QIISKSSISKVPDELRRHEAAQNKPQKGQKKLEESNGYSGKGGSWN NEUTE1DRAFT_74482 MDSVPAQTHQGFKEAGAASASHLTTTTSLPTKVESRTAVMEALE DIVYGSAAGIVGKYIEYPFDTVKVRLQSQPDHLPLRYTGPLDCFRQSIRADGFLGLYR GISAPLVGAALENSSLFFFERIGRSLLYSSGFAPRDSELSLSALWFTGGFSGAFTSLI LTPVELVKCKIQVPDEPGGGGAGARQRQLKPIPVIKEIFRHEGLRGFWHGQLGTLIRE AGGCAAWFGSKETTSKWFRGRNERALLKRGASQEEVLASRERPLPLWQQAIAGASAGM SYNFLFFPADTVKSRMQTSPIGGGGDNGGKAATMPKKSFGEEARALWKQAGIKGFYRG CGITVLRSAPSSAFIFMVYDGLKKYFPMA NEUTE1DRAFT_119277 MTSYIPQLTLPSSIFTSAPASILLPVALGTAVGFGTGFVSDQKR QYHSLRQPPLRPPSSVFGPVWTLLYGTMGYAAHRAYHLGTSSPSSLLLPTTNPSSTVH HTATLYTLQLGLNLIWMPLFFGLRRPILATLDCAALVGINAYLAWTWGTQIDAVAGWL MVPYVCWLGFATYLSAGSGYLNEWDFSEERIRKGEEEQKRKKKGL NEUTE1DRAFT_93191 MDSSYHSYREGSARSPGDRGWGRGDDRIKDERDTFYRGRSPADR TRRRSRSPAALDRYEPRARTRDDYPTRDPRDPRDPRDLRDPRDRDDQSRRMRSPPANI DRYVPGQDVAPIQPLTNPIPDPIKLPYQVGFSYYGEWWRANEKIKEEKERLRTGRRRE PERVRGPEEREKEKAKIQAAYDAYKEELQAKMAQTFVKQHKDEQWFRERYVPEIRDGF RKQLNEFRRGAYTQWEQDLETGTFDDFSLEGIPKSESNGAGGVVEKEEGEATAANEVL GVGDLVPANSADIRDENLFQPTLLIKTIAPSVSRQNLEAFCKEHLGEEEGGFKWLSLS DPNPSKRYHRIGWIMLHPAPEAPIAQDEMKDDDDEGSAKPHVPSTAEKALEAINGKTV KDEQRGDFTCHVGVHNPPMNPRKKALWDLFSAPERIEKDLELVRRLVNKFEEDFGSDF NATLKIDERVEDLRAAGRLQPAVSAPGIKKEKIKKEKSIGMDEAMDEEGQEHEDDDDD NEDEGTVDDEVDDEDLLVKKKQLDLMIEYLRRVFNFCFFCVFESDSIHELTRKCPGGH LRRPRSTLSSSAKAVARASALGEPFPSKKRKDAEDVEEGEAPEGERKFRTSSKTEQQL QRAYNWVKTFEDKIMQILEPDTVDIRKLGGKPVEDAINDELAKYVKQEDENKWRCKVP ECTKLFKEEHFWKKHVEKRHNEWLDKLKEEIALVNAYVIDPAHIAPSRTDANSNGHFP PSNGQQPTGTPRGFNLQNYAMNSMLNFPGFPIPSMFPNMMNAGAMAGAASNWHAAGGD DRTGAGGPIRRGGHMGGAGGRFQSRTGPYDRRPNPRYPGGMDGGMAGRGRGVGGPTNR WGDGAAGNAAMGPREAVQGRTIKSYEDLDATAGGNGGELNY NEUTE1DRAFT_119279 MADTFVSDFQVRSLAGEPCAAVSVRNLSTNLYAASDAWGRKCTV APQPCLISAEVHFKQPFGTAAANDQLGADTVHYGNLSKAILQRMKRFTVPREQEQEQE QKQSGNGNGDHSVQENNNNNFSLAYVIHDLWVGLTGWAHFGSVKEEEKPFLDISSIRF LSLTVTLPKASLLGDGVSMTCSQFFKNGPGEKMRENLISASLKIHNLKVPTLVGVNPH ERRAKQFVVTSVNVERYFRMDDYYSELEGVVVKALEESSYETLEALGAHLAEKILEPD HRKDHTKWQVHIRMEKPTAVPLADCPIVEVRAGYGFPAPGRPATS NEUTE1DRAFT_119280 MAQAIRSALPDRLMPSNGDEEFAKRHHGKTRSHMAFENTSTSIA AAQMRNALTKLAETVKDPDQKKLFETEMDNFFSLFRRYLNDKAKGNEVNWDKIAPPAP HQIVDYESLANNNSVDFLNKLAVLKLNGGLGTSMGCVGPKSVIEVRDGMSFLDMSVRQ VEHLNRTYGSNVPILLMNSFNTDDDTAAIIKKYEGHNVDILTFNQSRYPRIYKDSLLP VPKSFDSPLHDWYPPGHGDVFESLYNSGILDKLIERGIEIIFLSNADNLGAVVDLRIL QHMVETDAEYIMELTNKTKADVKGGTIIDYEGSVRLLEIAQVPKEHVNEFKSIKKFKY FNTNNIWMNVQAVKRVVENNELELEIIPNEKTIPGDKKGESDISIVQLETAVGAAIKH FKGAHGVNVPRRRFLPVKTCSDLMLVKSDLYTVKHGQLQMSSARFGDAPLIKLGNDFK KVSDFQKRIPSIPKIIELDHLTITGAVNLGRGVTLKGTVIIVATEGQTIDIPPGSILE NVVVQGSLRLLEH NEUTE1DRAFT_93201 MPRDDLSIDFVRKMPPAAELDPALILDEWIHNTQNLPEEIRFMQ DEIAEKDRQYDKLVKEIEKNDERIQKFIKANGSFQPNPKEEEYRATIIKNFDLAEQLS KEKLELTRKLQHIFDKHVRALDKQIKSLYDRGEPGFTDPDELPSLVRDSAANITSTPT LLRPVNGNHPISSALNGLANGTGSNAARSNQIRNVQASHHHSASAPATPAATIILNQR ARESSAGPGGAVRKGAVRSNSGLSLQTSGIGRHSSLGPGTPKSSTTSGGVQRAGSAGP RGIGKGSTSSTSRKSVPSGSSAASRKKGSSVPTAGSGGSSHKSNLSRVLKRAGTGTSG SGAKNSPNTTSRANSTGDSDLSDADSNLSGSESDHRRIGTGRNTPIGGGSHSRSASHH HTNSSFSHKGGADDLNHPHHPSHGHRPSSSLASNGGGGGTGGGSGGPFQSQDGMDLDD EEAGDDRKYCLCQNVSFGDMVACDNDECPYEWFHWSCVGLKSEPNGTWYCPVCAKNME RDNTSNSNSNNNNMNNNNSNNSSSNSQGRSDNKKSGSGGSGGGGNSSSQGGGGNNGSQ GGGGQ NEUTE1DRAFT_133995 MDTLQSLQWRPPKPNYLPHDIDVDEPIVDVYKPPIPMDKATDRE NADYYAHMKRMAHEYNITRPKGYTVSYHFNPDMEPHHFGLTHPMKPFRLTLTKSLVTA YGMNFAMDNYNTRIATYEELNSFHTSDYLDYLHMAPPEEMPRDIDNPDKEVKFNLGGS DCPLFHGLYDYCSMSAGSSLDAARKICNKQSDIAIAWGGGLHHAKKSEASGFCYINDI VIAILQLLRCYPRVLYIDIDVHHGDGVEEAFHSTDRVLTCSFHKFAPEVFFPGTGGLD DNGPKSEHNPGAHHAINVPLNDGITDEQYGHLFQSVIGKINEKFRPSVIALQCGADSL AGDRLGRFNLQVQGHGACAKFCKTFGIPMIMFGGGGYTPRNVARAWAYETSVAIDVDE KINKIIPEHTPWRKHFVQEELFPSLEQILGEPRANRNSQKRLQEIIQHVHEQLRFVNA APSVQQQVIPPDLGGIRDDVEDRLKEESEERDEGLRRDREEGLGISGEF NEUTE1DRAFT_133996 MQTDLEALQRNYRAVVAALRQTGVDVAVQEWKKENDKGAVEQRT EDQQFTEWVERLGGSLPAKVIEGNVHVMEVDPKKAEDFLQGQVDLENSIMVLKREYKA TTAYLRMRGVDVMAQEVKNEDEEEDGEKSKKKRRSKRKPKKKKKKTTDGNAQEYLDVE QIRNLEDGQDDKKDEQDDDNKKDEQDDTDEKDEDGQANVDEKDKDEIDSEENSDEYNT AAEEQSPPSKRSKNNNAKRKRRKERKQKAEQAAKEKAEREAWEKKKQEAKERKEQQAR EKEEREAREREEQKKREEDAQKAAREKEAREKEAREKAAKEKEAREKEAREKEAREKE AREKEAREKAAREKAAREKAAREKEAREKEAREKAAREKAAREKEEKATKEKAAREKE AREEEAREKAAKEREEKVVRGKEAQKVREQHVQEARERKKLDEVIVVEEVNEDDVEQK DEVKEEADKNPMPSQGPMPSQRQMPGPGPMLGQQNPPPLGLEPIPQQGQIPWEGHMWW QRKIPSQMPQQTQVPWQWQMSQQTQVPWQMQMPLQGQMPYQNPMPPQNPMAHQKSIPE QNPIPHQNLIPYQNPSPMPPQTPMPPQTPMPHQNPMPHQNSMPHPNRILHQNSWPMPP QNPMSHQESMPQGQMPSQEQMPAEPRVFPPPPVLNIVPNLVELTAEQLEIHARLLVRT GFFWMHSALSDDNNSTANLSEHSKQQVVARFKALVLQLMNDLTLPPPPQQQKQQQQQQ QPERK NEUTE1DRAFT_150930 MSRSRGVPDEELFISRPRPSDEDCDSPTVEPLRIFKPMSPKPPG AADKASENRYKYPAPPTSSAASASASKPSVAPLPGLPGLPPLPSFPLPPGASSSAAPL PYPDDDLSRPTQASKPARAPYPVDDVRRPSPASSTGRIYSPPPVSSSPQLHNDTTPRL NISPIEKKPGLADRRGAVPKPLQSPDSPNGDDLFAKPLGRPPQQPAPQPVHPAQRISN AYQQKPYYPPPGGGNVRMNSEPSIARISSTASTSTTRASRGSPPPPETPIVEPGVVPG GGIEARYAAAGISGTATLTSLQAQTAQSAAAQSRLAQYGGQRPPSQPQQHSQHPALAQ SQQQQTQAQAQPPRPWTPTETPDQQPFGPPTVYQGDAVAQNPQPARPQPQQQNSFNLP KNPEPVSNAPAGSSLQVSVLEQDFQRMQASTPPPAYSSVTPNASSNYPAEKQRPAQTH PATATTTNNNSSNNNSNYNNATPNPTSRPATTASGTSSRPVNTASPAPASSIHKPKPV AVAASQTPAQQHPGHPAFANEPQHPAQVAHNVGHPALQHTPSLMASTQAPPPLPEGWI AHLDQNSGQYYYIHLATQATQWEFPKGPNPINHEAAPLSPTASTYGNPLASPLLGGKT GLASPMFHPQTPGYAESIMTVASAAPSGFTGPPPSAGVDMYKIMPTNGVYFGPYLRYV NMDLENGVWHGSILIVTDAPQPPTIHIHLSVDLSPNPRQLIPHNIWTHQRWVFYKYDM DLQMSEHGTERWTYAVTSHLGCTRYEFIVAGRYETGWRMIAHSGNDFAPSTNQNERAK LGGVGFMWKDILQKNVECGGFHVQLGLGDQIYGDRLWREVPILKQWLAIAGRENRKNV PWTARHEEDVTHAYFHYYTSHFDQPFMREAFAQIPHVLQIDDHDIFDGFGSYPEYMQS SAIFKNIGRIAIDMYLLFQHHTTVEMLRNVSSDMDLFTITGAGWHFVKYLGPAVVVVG PDCRSERTQTRVMAGPTYQGLFPKVAMLPPSVQHCIWMLSVPVVYPRLETVETLANTF ATGKKAVNTTYNLLGKVTSSVAGVVGGKEVVAHGFKEVKRAVGKSGLMGNVLNQFGEI DIAEELKDLWTHESKDLERTYLIRTLQGIAQQKGIRMTFLSGDVNSAGAGLLHDPSHP SDHKTMYQLITSPIVAAPCSSYLLKALHSGSNSNKLLYVPLNGHKSTHEVSDTKEDMM EIFHTDASGAAREYKKLMARRNYVAIVAYDPDAGVNMGMGMGGQQTGYAASVHSGGSN GSGLNKLSLAVDFVVQGDGAFTATTKYGPVIVPHLEYGH NEUTE1DRAFT_133998 MSLNRVSSLETFAPLLIENRSRRSSDASTRARKISFNPLPSSWD PPALEQIQAVGAFEVPRWKRTLQVVVAVIYCLFAAGIVFGYAAIKPVLKREGAYRDVC RVDPNDEDAIGEDTCVEIHLNFMFTAAAVATNVAALPIGAILDRYGPRVCGLLGALCL AIGALCMSFENQLPFDGLLIGYLFLALGGPFTYISSFQLSNAFPKNSGLILALLTGAF DASSALFLVYRIIYEQTNETFGHQSFFLAYLVVPAAIAVVQLVLMPAQSYKTVGELVE EIEEPIPADMEPYDDQIDEETALLHEEERQHRAEVVSGIQELLGTTKADKQARKEERK NEISGVWGVMHAYTAWEQIKSPWFLLICLFTGTFLFPPPSSHLISLLIELHKVIQMTR INYFVATIRVQYTYLLSSPALAERVNDFFDLALPLGGILSIPFIGAILDRTSTLTVLV TLVATATTIGILGIIPHSLLAAYANVCLFVLYRPFYYTAVSDYSAKVFGFRTFGKVYG TIICLAGLFNFSQSGLDYLLHETFNGDPVPVNVGLMAAGLLIGVVMVMFVGVQSRGIK RKLLEEEARGAFAYGGNGTGTTSTREWSAN NEUTE1DRAFT_133999 MIPRDQRRRSPERERRRRADRRQSREQLAAAALAAAAVGQTAAK TAGPSSTHRSRANSSTSSSAVTSSDEASAPKNTGWGFRSFFSLASSKKVRKRRSFRKK NYSSSSIDSNLAYGGYQSRSSLESQRGARRRSGPQRKISQTYSQHSHHDKEYQQHNQD QYRDHPQYEQPQHEYQRPEYVPQDQYAHSDQTTPRPGQPTQHPHAQPEPYYRHQHQQY HQQQSHAYQHPAQHYHGQPEYDPELFTPDGRPNLARTTTDEEIIELGRKISDLARASN LRDLERAGKQRPSQLVGAATAISNFRRQNPKRNGRRGLAPSKSSKRDEQSDDDQGWDT ASDSDSDSDSGDNAEEASASDSDLAYGSSPQYSDEKLSSSPAPAPAPPPPTISPQKPY IRNKAIDPSKFGPVNSLHGLVTPLNGFRPTEDVLPPRQSKPWLSRFENDPLPNYPTPT DELDTPTQMHYPIATPDPRRFDAVTSPTLVSGSPLIISRPVTVAIQAPKPRVPVSTRA LEEHPMPRQRSADGRLMTADSALAKSIGNAAGKHEVIRDDTPPSNYRQHRSRAQSNGS AHPSHTSHHSHAQSHTSRTSHTSHVPVHVQVPLPTTHDLEFDDWHDFEDRNTHLASDV PQFTDPAAAGVAATLIGTALFQDRYRRDDEEDRNLDPNHVLAEYKQRVQQEEEQRRKA EEAEKERLEKERQEREARAAAAIKREREAREAQEAAEREAREKKEAEERAAAVALELV AQRQREEREAREALEKMEREAEERAAAAAAAQRELEALEKARREAHEREVQEAIEKAR REAQEREVQEAIDKARREALERDAAAAERERQEREHLEKVRREAEDLAIAARRELETR ETALEAVAKEARRLRDEADYREQYERRVREAREMQYKEERRMYDARYRADRENMIIDV EPRRRSTSRQTRDESPEEKRSNAGKETEREEHHRPKREPVTIINERDVPKDEPKPKVE KPTRSVSEKKRESMGDTLDPKPKKPSKEERRAKRAETLRLLAEMQKELELEKERARKL AELEAAEQRQEKPKDEEPRKSSQPIVPELSKSPKDQVSHDSKAVPAPGPSPSKSPKGV IDPFQFQVPDDAFATPVHATPARPLTPQVYTVPEPDFSPPKRRQLTRALTDAELEIRE RVTRRDSFEIQQRQEEIRRSRNTSEQPMTKDADKAIIPETTGKETLMAEEPERERSRS HDRAGSRVRESEPLRDTTEEPERARSWSRQSSHVREPEPTPDPQSEANKWYRDYVSSR REERLRSSSPSRSVVDKYDDSVFGPPTPVIVTAEPTIITAEPSWATAEPADDGKPKKG KYAEPDADVRIDHVILPRDLHRFLKPADDHSSLHAPAGQPMFSSRDPSCERERPLLNL VLPTPAPTPTPERERRERARQAARESEVEHEESRLAPVAAEESKKPNYILNARGEIEI IAPTPKDEDTESVKSDGWVEPVADTGLTHVVVDRSRQAAVEAIKRALQPKRERKASIT WGTLAGAVLQKVAEDRKAAAEQKTEIAAAEEKSLAPEVEEKVEVLPAPVVEVPIVEEK QPEEQKLREIEPETKPADVAAPTKTEVQPDVELPRQSPHPDPEPHLEPHHEATPVPAI AIHEPESPKATEEPKDEEAKEELKEEEELSALPEGESHEEKEDEATRQVRIDHDHSGR LRRLTQLQIVDEATSSPIKPKRKLPKSLAEPSIFSPYRPTFSDNFADVVCGEHPNPEP HADTYTWRREAMATQSSIGDEWESILRKAEIEKDETTTNPTGTFNPDNREPPDRLGKE FSFEVVEHENSQTYPSDGNARESEKASTEQNGVSDRRPSNEFIEFLKNQTNGSTSDRK HEHIIATTEMNGNSEDEYFLGNAGTHGAGAGSAEDSEAATASDSKDAEAQNLPRPNAT QASMDSTPIAESKEEDEEEKLHGSNAGSDSAPEPTQLRHTDSRFIDPEVLVHRPFTPA IDPQYGDLLPLPPYPTLTGPGYRYLADLPSPLDFDLEQLPALPESRPSTPPPTSGSPG NAFKTAVAAMMGTQSLIRRQRGHTRSRSALDGTAPVRVPSQTAVPIQFRLKGKKRAGS EHSLPPSEAWGPSSASRPSSAHGDAAAASDGTFPARSRPRPTSWEGSMRDIKPLYLVE RNAATAAAAAVLAPTVDTEEALPPPESFELPEDDDLDLLPALPESAPESDAGSPVVAP QIESLEKDVPSEAVLPALEAALTPETVRLPVVEDLDLLPALPESDAGSPIVTPVNLST DLPVDEDLKQAEVPSPASTDRALPAPETVELPVDEDLDLLPALPESPTAESAVPVSLP AVAPEVVEEVPAAEIPQVIEASKEFGIPAPETVELPVDEDLDLLPALPESGLSSPTLR EPASPVSVGKDDALSTPVEDILPVPRSVNLPEDIKLPADEDLDLLPALPDSVASSPTT ESVPVSLPISAPEVAQDMEEMPKAVEVPTTLSPEAVRLPVDEDLDLLPALPDSLATSP TTETMPSQLFVEEVVEETSKAVEREVPVPENIKLPVDEDLDLLPALPDSIATSPTTET TPSLPILASEEATDAPKEIEVAPETVTLPADEDLDLLPALPESGNTFPERSTSPVLLE KAASPVIIPEPETVALPVDEDLDLLPALPESVPASPTKEHASLATSPVVAPAVEKEVD NEVELVSEVSRGLEVLEPETISLPVDEDLDLLPALPESGPASPSKEHASLTTSPVAGP TLLEEIEAEVELVPAVDDEVAKGTVPMPKPEPEAVSLPVDEDLDLLPALPESGPASPA LERSASPAVPVLEKEEAVPEAISEVVCEIVPEPENVALPVDQDLDLLPALPESGPASP IKQHASLATSPVIEAVVEKEPVVEEVSGVVPELLPLPEDQDLDLLPALPESSPASPRK EHASLTISPIIEAVIEKGPSVEVITELLPLPDDEDLDLLPALPESGPVSPTKEYDSLA TSPITEAVIEKEPFVEVVPELLPLPTDQDLDLLPALPESGPSSPVQEEFAAPVAQPTD ISVDTEVEQPSKDTEDVLSITIPEPESVPLPAESDDGLWALPSLPQSRAGSGYASPVT ANIPTEVVPSPVKAIEETSELPVSVNPESVRLPSDDGLWALPSLPVSHEGSGYASPVA AMPTFGVEPPMEDLEEYFPDHYDQEVVDELSSKQPREVETELPLDTLPQLPADEDLDL LPALPDSRGSSPDLAVSLPVENHQEVLQADQSEKQLDLSELPALPVDEDLELLPGLPE SRNESPEPQVETVPAVIPEQAEEEKEKEQERDLSKLPPLPADEDLDVLPALPESRNES PEPEVSLPINTPEQADLSKLPALPVDEDLDILPALPESRNDSPEPQPSLPKAISPEVL PLPADEDLDLLPELPESRGSSPDQETSLPVAVPQSPIAEEAVKDVAEIPVAEKDLSYL PALPVDEDLELLPALPDSPAADNFPEEEETQTTWQPEEFTQPAWDETQPTQMSEISEE DFPVPGVKVDEVSRDLADLPALPESRSSSRSEDMETAPSLEDPSELPPLPESREDSPE PKSPLPDLGGQPAKESLAKQIAPSQPWNESETQGTENPFDESLPKANIDFTDEPLPVS NELIVGHVRRDSSEAAHPSDIYEKPSSHNQAKSPMLIGNNDPNEIDYKLAETIYEDMC DEDDASTVAASDAPSFLSGANAEQKEQIAKALAGDSEATSSLSPESSFRVLQYLKKRD AAMKQGQTYEEPETVVPGPSSQYEDEEEQVPQEIEDALESIEEQSKKAKGVSFEPSDT PTEKPDVPEDVPADHTTSDQSTPRQELRIGIPGPSSRVANLEAVNDEVENISDAEAQK DELPKTSTDKDKHTESRSNQEESSGEPQPANTTQEDSILGGGLVAEPERQRPMRVASP ENQWGTLGDLDDLDDEDDDDVSEYSPGGTNLKRHSLTRLPTFPGVTAFLGRNFRSNSA PSPSDVPVQDERDYYSSDEREMLGEEQQQHQQEVSGDELEKRLEKVKMEEEVEDEKTE EKTEEVEGADESQTGPEKPVETEPEPAREHIEGEPSTTEKKGKKKKQKKKRNKGKGKA DVEPEAPLPTTQADLETTLAPEAEEPKEREPDAEPASKPKKSKKKKNKKNKSAAVSIL EEPTISDTAKPTDGPGVDRHSTWPMVKSDAASSEKKTALENEPKIPAPQEFMNNENIT LPEEIPLPRSRDLSPCASEEPTEETATIEREPELRTPATKHDEATTVDEKQTATDELD ENGSVTPTPHSHVSDDHQGESQGDAEQGVKTAPPESSNTTEPLTSGAEQPINPQADIP KDMQPAEDPVPVEAALEGSTQQGESQTDDNDKPQEEPKVKEQLATVSPDLVSPTSDTF TPRLLRRSYPKKEKTKAGKMSNPASPTMGAGWGIASPPSMSLDKALEAADPFADGEFE RRMRTVSANREAERSAKIAEKEKLERALFLQRRSTFDVGDSPTSSHTSVVGSTHSSGA AETGSNAEERPIYALKRASTDRAEKKKKLAAEKKRSKKSDWPDAWPEEFDEEATMPQE PGHPSMWPELHGRASPSPIRGRSGYRTPTPLGQHIEVGRASGQTGDDSADHVGVLQET DRRLSAGGNSVGPDSSRSRYNNMSDPFTIGGGPKDVPPPEPIPEVPSKPIPDVGSDTA TPTSEANKELGGTVRASGKKGRKRRNSSLAVATDKEEDVDANNSEAEQGDLDTQPSGS APGKKTRRNRRGRKGKDKSTPSSPSVDTEEAEDQEASDGDEEPSSSGSSQDANAGAAG DASGKKRKNRKKGSQASSGLMSPPLEPNAEVAQLHAQLAQLTDLVQQLVVEKTRNSSA DQGRSRRNSSVTKGNPKIRRTSSGGGVGDGEAHYDSDGNSIHDEDEELDDDDDDDAET GAADETTKAKRFMGVPYRRGSKSKSDKGEKGDKAKDKHQQQVGEDPTSELEHAVLKTT PREEDEQTGNSPQIRDVTAADNERTQQELFAIDNVNVNLGLNSLLGSKSSSSAKEMST QTSSPEPSPRNSFSKDGEEDVPAEDRPPDPSKYPPSASKPVKSKVRRAMSGLSRTRGA PSLEQIPETEFEDSELEDGATSPPPKPGMINRAMTGMKSLDKLDFRKPRASSVGGETD HHRPATATDAESESINQETASITQPKGSSAMMKRAFSGMIPTTSKPRASLDQQSETES ISGSTRPSMMKRAVSGMIPGASKPRSSMDSTAEHSGERKMSMGSAFKNFSMTGKTREQ SRTREPTSRGPSIDLSPQETDSMEISPHESPALRPEVSPDPHPQPKPGMMKRALTGMN PAASQRPDMAERRESEPVLEKEKHKTLDRLTFGMMSRSHSTSTGMGTQTPDSGFNSAE QDVLEPSRPGSGSGSGSSSIHHHHSQHSSEKSKTNKTMKMLGLGAFTKKDKHADLVDT PPEMSRPGSSGSYQATAASKPSRMDRTISGMGMSRPRKSISQETPPPDTDSRPGTGSS MQPPQQPQFPVRPDPSPAPKSRMASFNKVMTLGLIKDKSDKTPTPSHHEPDTATQTPM ESSASAHSNGSRPSSWNSNQFPEQLMPEGKPSKSQTRMGRAMSALSIPTGSRTSVSQE RPASSHPETTTSANLTEPTDVTDAHSHHSHHSNQTTTTTTTTTDKKEKSKMSFKSVVT LGMASSHRSSSSRRHSVEHSSQTQPPAIQQPPVMPKLELPSFGLGDSLLGSPPGTSSG LGTLSPPATSSGAPPPPLGGSVSAPGTSYGFPNVETPSSERPPKDPETASMMNQPKPG MLARTKTAMSIGSRKEWRDESETTGMGEEKKEKKVMSTSAKANWNRALKGTKGVVGMG MFMKKDKKEKDKGV NEUTE1DRAFT_134000 MSASEELPSETTSPNLVSTTTAAIVTTTIAATTSTAAAAAAAVN TASSQATEASTTTDEHAPSHRAAARSSFSSSSSSLRMTEPEEVELPPSPSSPAPPHHT APRPEEVELPASPVPVTVTVNVNEPHHHQSAQDIDVDNTKPKGDQHVEDDPKSDSELS LGQHADQRGQHPISEIRPTDIRLPLSPKVTPEGVVELPASPDSESTPIIIDEQLQLQP LHSSHGDDEQQLFSQSPPPKVTTTADAHAQPSSLEKSPVLMAVPSSLDMPPPPEDIEL PPSPADVEHREEKPIVAEIHEHVKSPVSGHQDVEIPTSREHSPAHSPAVEVSNSKVPT DAAELSEVEENSASQSKQKTLAEELEELDESRSPSPEVDNRDAELASFTGLTKDAELP SAHSSPMVAPTDIELPASPSPKPETNDQDKDLPITAESHEAVANVTISTSTSPTVLPK VKPENIELPPSPQRPQLLPSPNIVPGYQFSPEPLSDDEGLPLSPDDEFEDDDDEDDGS PVDVEQYERSFSDDPQEEGFASSPDEGTVDHVEVQQHSPPSQGSPASASSSPRISPAQ VELPASPVESPNFAPASSSPSPKSTPEDAVLSPALEAAMEFTPSSPVERSVPSTKGTA FEERAVSSAPALAPAPEEETVQFAPALSSEVQHPASPKISSEDVGLPKSLLPEAEHEE TKLPITTARLPEDVELPMSPEVEVPTLAHEVIQSPGDQSDNIHEISSSPKIAPADIEL PTSPVEEILPASEELPTSPENQVLDSSKTLPGDSGLPESHLTEAEVEEDMDLPTAAEQ DDQIVDEQSDDNFQVSASHKIVPEEVELPRSPSSPVVGDLDVDEMQHLHSSQIIPPHV QRSVSPAVIHEEVQSLTLSETGSEEVDVPASPVKEAATEDVELPRSPVPENVELDVDE IQHLHSSQIIPPHVQRAASPEIGPEEVDVPASTLTETASKEDELPASPENEATPETIE AQRSPSPAAELDVTELQHLHSSQILPPHVQRAASAEIGPEEVEVPASTLTETAPEEVE VPHSSSPGAELDVTEIQHLHSSQILPPHIQRADSTWGEVEPEDVELPKAPLKEITPEE VQMPVSPNVVSEEVENLESTPSSPKLASEEVEIPRSPSPAENLDITELQHQHSTQIIP PHLQRSVSPTVQAQAVPEDIELPASPLAKFTPEEVELPRSSSPVEAKLNVVEMQHGHS AQILPLYVQRADSTWGEVDLEDVDLPIAPLKEITPAEVELPGSPSSVKDELDGAELQS APEEVELPASEPTSPKITPEEIEAPRSPSPFDESKITELQHLHSAQIIPRNIHYATSS SGSPKITPEEVELPASPVDEVDVDELQRFHSSQIIPPHIERSASPTIEEVIPEEVELP GPPQVVPDAEEVENSALPEPKSDAEPKMAPEEIELPASPVEQVDTEQLQHLHSRQIIP PHIQRPASPSVDTQLPASPKVTPEEVELPASPELVPQDIELPLSPMAEPQEPEHTQLP IATEEAGSSVDQQLDDLEEDDARSAALELPSSPEVKAEVQSDELELPLSPALEPEEVE LPASPKVVPEEVELPVSPVDGLDDEQLPVERGISAEHDNGPEQDVLESAAIELPASPK IVPEEVELPLSPKITPEEVELPASPVAETEEQPATVSEPFERNINLEADIAPQEDIEP SSSPVTKPEDVELPSSPEVVPEDVELPLSPIVELERQLPIEPVTFELPSSPKPKPEDL ELPPSPEIVPEEVELPASPVVNLADEQLPVEPETSAGAIEVSEEDSELPSTPIVKPED LELPASPEVLAQDVELPPSPVTLPEDVELPPSPVVDLEREQPLDLSHVQEQQGEEQLD KHTAPEDSPVLLPKDVEHPASPATESEPEPIEPVRQSFDSDLYYDAVDGKGKQPATGA EELTQQGDAAKTDPAQKWEMVDNVDDVDDLDSIDGADSEISENGLLTEVPTSKQPNQP KPTREREEVAAAIERSEDDAPIIPRDPSSTTTTTTGDGHHADILSREAEDNVDLRRSA GGSPVPTSTSIAAATAAAGAAALAAATVNELLTTPTKDDEPELEATVEVHPAVKGAIN RGFDEYDYATALSPTTVGLGLIEDAPMDLYPTDGPSPKLLSASTFPLEEAGDDDGDYF NNVELPEGAIFEELPSEAEEPAHEHASHFTHDPPSEPEEHEVKKPRKSIDETQGFETL FAPRTPMPYASTRETLVKESPKPAAPSPSTTEQTRQPPQQAEPVRHKRIRSTEPARLN NEALAASLSPRAKSSSVRNSWPAFTTTGKAKALAASFLESSSVNRGSSPVTPRFPPSA SSMHQQQPPVSVLLKEQTQRQSRELAANYLETFADDRKVRSKSRPRQPSFFGGHTAPN AANRVVPVPVDLDSLGETIVPEKEEPTRPPSVSSVDSMGSPTGQGQAQDQSMALEMAV SYLDDEGEDVGAVPMVVTPGVGMEITPSPESSPGLQPEEEERTREREAEVKVAQEPEP EPEPEPEPAAEDDEHDASPEWGRGMSLPSVEIQPATPRAVLEEEEEEVESLFDIPVQP VHAHEVETLSTVMEESEPTDEEEESTSAPAHTHEAPVIDKSEHDERSASPEIHEESQD SPSVEIDVDELHRLHSRQVVPSNLQRQVPSSPIIKPEEVELPSSPELHGASQDTPADI DIVELQQLHSGQILPPNLQRYIAELQRLHSRQIVPPNLQRQVPLSPEIKPEEIELPSS PELHKESHTTPADINITELQQLHSRQIIPPNLQHPASPPSHIKPEEVELPSSPETAEE PLHNPAFIDTAELQQLHSRQILPPNLQRHIAELQRLHSRQILPPYLERYIAELQQLHS RQIVPPNLQRQASPSPPPQIKPEEVELPSSPKIPEEPQNTPGDIDIVELQQLHSGQIL PPNLQRYIAELQQLHSRQILPPSLQRQTSPSPPPHIEPEEVELPSSPALKPEDVELPS SPVEETIPIIATGLVAAGLTLASEVSKDNKDHSDDEEDENDSAPASPQPVEDHSSSRH VDDEFEDFYRPATPKVYPEEIELPASPEIIKPQDIELPVSPRSVPEEVELPSSPINEP EEVELPASPVNEPEDVQVASDSESEADEQDMTTPIIEAHVAKPEEIELPSSPAIVPED IELPSSPQIVPREVELPSSPANELEDAELSSDSDSEADEDSTPIVEAHATKPEEVELP SSPAIEARNIQLPSSSVEPENAELASDSDSSSEADATKLEEIELPSSPAMVPEEIELP ASPIVQAEQIEVPSTPEPEHEHEFHSEPEQETTTHPLLDSEAEYEDEEDDDYDPLADL LPSPDMSPAQHHERYERELPHPHPLMMHPVDYSAPQQHGYEESEEVHTPVYTEPVEFW HSPELRYHCSPELRSADQEFASFPEVNPEDVQLPASPDYGAEEEEEEDEGLSRDVPAD DDEWESESGSGSEEYDRDDEHQVHQAQSVAIVAGTVAVLGGAALVANSLKKEERAEEQ IDSENDEEREETDSEDDEEKDRSEDEDDQVKPVAVTRSDDKREDSEEEESDGEPGERD ARSGDDLEDEEAGEEDVEDVQGGDNEDLHEPSLDRQDSETVPKAIREGAPMPWAMKSN RREDSSSPPPAEQRRFSFPDDIAEEEAFAVSRSNTAIEGGGDTKHGEGPAGSHATSDQ SHQHQQTPSAPMSTISDYAHSCNSLPTLQEEDWATDDDRNRHDKDGDSPVNKANITGL FRYGTPIADPNRDSTFTVAARGSPQMSRYAVFNEQYHQQQLERQAQIQEEDLRERGVD LRDFANSPSAGSDRTETGPSSPQRTAETPKVQEPVPESLKGNVEVVKEQHSSEKARKE TPQDKPEQHIPKTLPGLGVALRPTGALRSVSDISKQLQSALSGQSPSPVARPIPSNTG VARLRTPEPLSATNNNNNLNLRPDSPGSSSISISSTSRSHTSTPPLRRMDKRATGNLR SLSFGSQQDAAAKSAFLGTLAEGEGGAPADAEGPGSRSSIGPVSPTTPSRAQPPRPGS DTSGSSPTAAPAAPHQSQAPATPSPPRPNTSRATSANSVIPGAVAPALALGTTSPVAD GGDDNTNNNLVDKDKSKDKQQQQQHKQAVTTPRALSVASATPVANEGRTRSKGSMDVP DVYDGLGEGRLGSPRSPARPPSLRKRHSAQLIDLEARLAQLMAENAALQKAKNDAEAE LSQRNADLASILADKNVEIENLQREIQETQETCNRLEETYEGLKTSTSAIAVKHNETV RALEEQVKKAAEELAAAQGNHEQVYTKAIAEKDAQIAHLRAQLNAQTAQIRELQEQID SQQIARSPEPTATDDFLDLHDVDYFDQRLSQLCAHVQQWVLRFSKFSDMRHCRLTSEI NDEKLIDRLDNAVLDGSDVDVYLADRVRRRDVLMSMTMTMIYEFVFTRFLFGMDRDQR QRLKYLEKQLSETGPVHAVRQWRAVTLTLLSQRRNYKSQRDRDTEAVVQAILDALDKI LPPPSDKEKVILDQLRRVVREAVKLSIEMRTQRAEYIMLPPLQPEYDESGELVETVSF NAALMNERSGDVDVPSPEELERQRATVRVVLFPLVIRKGDDWGRGDDEVVVCPAQVLV QRPRKQRSAAGGRVVSGQKAREPVAQGMKGGDEMMGGMGSQV NEUTE1DRAFT_74512 MNRVRDPFNLHNLSLNRPGTIAAINILRATATTAATEFRDRAEK AAQQAVETAAKAAEFAEQAAERTRDAVEAAAKEVKRALDHTAFQSQSQSDLEQGTLLP AAAAAAAAEETYDEEDTEVEEEEYEQGGDMASFSIPRHVPSFTDPARQAEDRLWAAAT RQRAGPVAGAGGVLGGVQDKVGGFLNPDRRSLPMYKDKPYGYGPGGARPRPLLRKKRV LGLFILVVLGLLWFTGFFDEHHERARAKLGDWGWLSSDTKARSKADWLKRRERVVEAM ELSWDAYERYAWGYDEFHPVSKTGRNMAPKGLGWIIIDSLDTLMIMNLTSRVTHAREW ISKSLTWDQDQDVNTFETTIRMMGGLLSAYYLSTEYPNLAPISDDDVGAPGEDLYLEK ARDLADRLMSAFDTPSGIPYASVNLGKFQGLPSHADMGASSTAEATTLQLEFKYLANL TGEKDFWDRSEKVMKVIDDNQAPDGLVPIFIQPSTGHFHTQNIRLGSRGDSYYEYLIK QYLQTHKAEPIYQDMWNDALQGIRKHLVTYTENSGFTIVGERPSGLEGNLSPKMDHLV CFLPGTIALAATEGLSEREARKKPGFWNKQREADMKLARELMQTCWGMYRYMATGLAA EITYFKIPSDPLPESSSHTSPPDSIFDDPAGPDATWRKDYEVHQMDAHNLQRPETVES LFYMYRITGDVKYREWGWDMFKSFVNYTAVEDGGGFTSLSNANVIPPVIKDNMESFWM AETLKYFYLLFSPEDLLPLDKVVFNTEAHPLPVFEVGRLLKTGWERKPRTTTTTGETK SA NEUTE1DRAFT_93219 MYSLEIQNYPHLTPSEFAEAAHHLDRRYSQATLGPLRRQWKLRV RSALNTHFVSPSDPEYSTFIQITRPLEVNLDDDGLSEFLDNLSFSERPAAVRGDEGEG EGVVGVSEGMEVEDDDDEQKTSYGYVTYEIHLHPTYQAPCLWFSLNGLPVDEPAFNID TVFRRLVPDQFKDGLRRAGSVGGISADVSFLISSHPVLCICILRFEWVQSSRCWKTPK S NEUTE1DRAFT_126932 MATRIAPPAGLPQKVVSNPPNQTLYVTNLPSAKIQKDDLRTALY MLFSTYGPVLDVVALKTMKMRGQAHIVYRDIQTATQAMRSLDGMEFLGRKMKITYAKG RSNIIAKLDGTLVPPKAAAAVALTTVQQSIFSAPVPGAPTTNTESPAPQGSPAKPPGT ETTTPAEENRGTKRPREEEEEEEESDSDVAMEEDSDED NEUTE1DRAFT_119285 MAAPEVHHLFHHPIADHSFSADRQTLAIARDTQVELYGRVGNAF KLKDELKGHDKLVTSVDIAPNTGRIVTCSQDRNALVWEPSPTGYKPTLVLLRISRAAT FVRWSPSEAKFAVGSGDRVIAICYFEEENDWWVSKHLKKPIRSTITSVAWHPNSVLLA AGSTDAHARVFSAFIKGTDQRPEPSPWGERLPFNTVCGEFLNNSAGWVHSVAFSPSGD ALAFAAHDSSITVVYPSAPEQPPKAVISINTQLLPFMSLFWNGETEIIAAGYDCEAFR FQGGEQGWQLAGTLEKAKAGGRPGLGDEDREESALNMFKQMDLKGKVSKDDTMLKTVH QNTVTMLRPFEESGGRVTKFSSSGVDGRVVIWHT NEUTE1DRAFT_134005 MDHNSSYYYPSNHPQHPLYFNPASPVHAPPAPGRPEPEEPKDYH HYAPASPSDFIYNSSVNFSANGTAKATASTNIFDRPSRPSASSSSRSLFSVSNEAVLG YPPAGVEYSGGGPSTGAVEQSVLDYLEVPGVLASSLQEPSIPQPSLQQPSIPQPNLPQ PAPGFEEHRRQRQRQSTMNMGPGPSKSIPPALSLGAERGSNASSSEETVVIKREEKEE GSSSSVPWVSIPLGQRVKRWEPRVPRVPQGKQGKQGKQGKQGKQGKQGKQGKQGKQVE GDVGTDNGISGSKTWEERGDGVVGRMMPPVVHSMGGGVGSMEMGMGMAPIIMPKMGLF KPIRWDGPSSSSASKSKTKARRVRAWETMEEQYGDVHLRRTLESQNRHRNRNESSNRD LNDETEDEKGSDAPISPHSRSPKRLKTSSQSRYTFRPGPGPAETVPTIKIKQEPGLSP PPSAVLGQFPIYDSFDSAPSNNSGYESSGLYDDLPSSRSISPLTRVPTPRRVLFTTTT PNRIMTGTRETAQPSLRAVSKQLKKRNREMALGQGQGREDNRELSREPRKKRKGEGCW GLPGGSQRQQTHDTSLQQQNNRGSSEEREKGWTTTISAGSSEEGEERDRDDAAAAAAA ASSNNALSYTDQEEEEEWCRPFSFSSSSSSSSAAPVSFETSARYDHVSSASQERRTEE EGYRQAIIQMVQDTCLEASKRYIRAHCVNRGARDGGGTSVLSRRSETGTARKARRRRH KLKSRQASSSSFSSSSSSPAPAQVPSRQRRKGKGKSKRQTRTKKQTTTTTTTPPPSAC SSSYQQPPPEIHLKDSLLPNVSQICTLLWSRSQSLRLHDTDSIELHTARNMYWLLSWA ETVAFAVLPPASFTSTSTSTSTLTAFTVPDPHPNSNPEPDPFDSTTTTSVGSSFPGFG VSSSSFSSSSSSSSLRQRKRDRDRDWEWEWEGFGEGVSEDDGYLWRRGKNSEEEEDKA KGEFESRNGRVAREQQRIEEMIKRVFDEGGMLCEFLGYGEGVERLGRVREFYEF NEUTE1DRAFT_93228 MAAYGSRGGDSFGQGSSWSFREPFAVGRVPTWARARHRQEPRLR TFLDSFKRDPVRRISTHGIYAQSHPDQYQAADSSDEPPTEQNHGSHYFDLHAANVRTA NTGLARELKGRHLQMIAIGGSVGSGLFVASGKCLYLGGPAALIIAYGFVGVMLWCTIQ ALGELAVAFPVAGSFSSYSTRFLDPAWGFAMGWNYALQWLTVLPLELIAASITIGYWN DQLNKAIFITIFLATVIIINLFGVKGYGEAEFVFSIVKIAAVTGFIILAAVINIGGFD DPQGNTGYIGGRYWTNPGAFHHGFKGLCSVFVSAAFAFTGTELVGLAAAETANPRKSL PTAIKQVFWRITLFYIVSLTLVGLIVPYNHERLLGNISHYSVSDASYSPFVIAIESAS IQVLPGIMNAVILIAVLSVGNSAVFGSSRTLAALADQRQAPQILAYVDRKGRPLFAIL FAALVGLIGYLADLKFQSDVLNWLLAVSGLSSIFTWGSICLCHIRFRRAWARRGRSLT QLSFTAQAGITGSYVGLFLNVFVLIAQFWIAAFPIVTFTDNQSAATASPSKVAQSFFL QYLCVPIVVAFYLVYKLWFRTKIVRSDEMDLDTGRRRDVNLPVLVMREKEEVEGWPGW KRVYRFFC NEUTE1DRAFT_93230 MDPSEDTDTNTPTETTTLLPQDAPFSPSPDQNPSSSALWPTLLT VASLTLLFTMGVQITTAPSLAILQGIICKKYYEKGITDSLGRGGLPTNFEDDDRCKVG PVQSEVAEVNAWKDVFEILPAVALAIPWGILTDRIGRKKVLLLALSGCLLNEAWVRLV YYFPTFFPIRAVWFGGLFQLLGSGSTTFTSIIYVLIADVCPAEQRSTFFSYLLAASIV SRFLFVPIGGALIAYDDAWLAMWIGLLVQAVGYVVAVVFVQETLPSSSDIDSSEIGVG ELVIGADGDGGEEGGNSGDTETETETEMITKPKTVSQIVEEYLEKAKEAGTWTLQNPK AVLLLLCFWLYYMGEQAEMLLMIQYASKRLGWSLGKASLLPSLGALTNLLTLTLLLPS LSTFFSHSSPYPWARMGEEAKDALLSRTFATLLTLGCLFISLPISSNVSFLASGEVLY SAGAALSVPLRSLITNLVDARHRATLYTVISVVTYAATSAGRPVAAELFSVGLRLGEG KDQKGNKDGMQWMGLPFVVAGGMFAVCLGVVTLVGFGRRKGEMVEEGGEEEEGVEGER YRERN NEUTE1DRAFT_74526 MAEDKQPPAVVEGATGGDVEEEVQPTAKSAEDRKAAAALSSLDS NREDESSAQVDQDAVKNAFHSLSTAGSKQAEVKKVKVDAADVSLLVEELELTKAKATE LLKAHDGDAVKAINAYVAAPAA NEUTE1DRAFT_74530 MSQQTTPNAPGWTPDSWRSKPIKQCPEYPDKAALEKATNELKTL PPIVLPNEIIRLREHLRDVAQGKAFLLQGGDCAELFSYCQQDVIESKIKLLLQMSLVL LWGADKPVVRIGRMAGQYAKPRSSPVETINGKEVPSFRGDILNGFHPDERELDPNRLV RAYQYSSATLNYIRGAIGSGIADLHGPLDWGLGHVRDPALKSKYQETVDRIQEMLRFM HTIGADQNEKLSTVELFTSHEGLLLEYEEPLTRLLNHPSVRSYPPDSTTPPKKEYYNT SAHFLWIGDRTRQIDHAHVEYFRGIANPIGVKIGPSTPTSDLLPMLRTLNPNREPGKV TLITRYGADKVASLLPAHIRTVESSEYARTVVWQCDPMHGNTQSVSGGIKTRKFSDIF SELQQTLRIHKEQKSYLGGMHLELTGDAVTECLGGGAGLDEDDLSTNYTSFCDPRLNE KQALELAFLVADHYRQERKEKEAERRKASVV NEUTE1DRAFT_119286 MVDRGRKPSALASTPGLPPQPQVAFSADNSRVTATLPTGESVSV LLYGATVVSWKDKNGDEKLWLSEAAKLDGSKPVRGGIPLVFPVFGTAPDHAQTKDLPQ HGFARSSRWEFLGKSTSESTPTPTTPAFAATATSAAGAVAAAAADLSVKLDFGLSSSG LSEDARAKWPYAFNAIYSVTLNTDSLTTNLVITNDDERSWECQMLMHTYLRVNDITSV SIHGLENASYVDKTTSPISTNKQTTSALTISSETDRVYTPAGDDPASIPITVFEGDQP KYTVLRDNLANVVVWNPWIEKAKGMADFEPKDGYKNMLCVEPGAVATWQVLEPGDAFE GAQTIVLGGKQN NEUTE1DRAFT_126939 MASPIGNLIHPPDPPYRQGSGQAPGIDSILHSRQPHPGPSPIHH LSPIGNRPGVGSGLSLAPLSFPGPSHPIPPPSHLPSQAHRPSLPSPVHNPPLPPPLSR SHSNTQSLYQCADCLRRYSRPEHLQICSKAFARADLLKRHRTNHQDDNGAKRRRMNSS PGAGRVTHACQACAKARVKCEEAKPCTRCKNRGISCEYGSSEDAALHLLHLSAHDRAE GYDHEDRQASYYPRPSISEPHGSGFHTHYPSEPVEHSPMLTESEESHIPTPETMMDQT QTANPNPEQAFQVQATYPHNNTSNMLDDMAKLPFSDFLRDVLYDQSLSQAQGLAVLDF CDDSSLDLNAMDFGLLDYWLHDEPFIPLPSSDPAQLGPSSGPNPSTTSTAETGQTSSS DPVNISAMRPKLAQIWTDSPWRWTPQRTDNVYAEQSHLPLSTSGSSSPSFSPKSALKR KSSDLFPSRPTDNNNKATQQQQNSGSQGQQQLITLKQHTLHSSGRDLILSIVLSICKS NSSQFSRVASSFPSSGSINHWIHIFLASHSCSVSSFIPCHLPPPPSSSSSSGSGSGSK DHSTSALHWSLNAQPPEWLAITAACGAVLAPVPALRKFGFALQEAVRVSIPGRFEENN SKVSDLGLVQALVLTQDLGVWSGNRRKMEIAECHLTVPVAMMRGRGKFTRGAYLPAIV VTEADEGKELEDKWKRWMDMESWKRLAFHAYLRDAQVSMTQLNNPSISYAEMRMPLPG PKECWFARTKEEWKSRWLAAAAAASSSSSSTMDEQVPCLGDLLRDVTLLVRHHHRLDV QFAISIYLHGFWSLIWEYRQLASIHRPLFGQPSSSSSSTYTSTSPFNNPNATTTQSLL ISRLADLRNTLHQFHALVSPPSSPLYSHTTPNELLLLHLLLMHIHVSLEDLQLFSGKE GEEQAKRIYPVLQRWADSSEARQALWHAGQVLRFAREFPKGQLREFWAAAVHLGGLAV WGWGVLQTARGGVNSKDAARESKGGKEKDKEKEREREKGHHEGPASHHHQMSSYIQQV MTPTTTTSTSSTPGLTTHHQVLVTLNGPHTPSLDAFIHHNQGRPALSFTSSPSSSSSS SSSSKDGTSSKDGTSSSKDGTHGQHGGGGGGDAVPLDDIATCMAICQAILRDNFPAPA ASASASSSSSASASSLPNGGGSCASSSLLPAISENIVALLGKLGGVAGVVGGGHNGGG HSNGGK NEUTE1DRAFT_106578 MSSIGVSGPAKLQAVTTLVNKLTEDLKSQSLSPEERDKALEELK VYGRDPRNADPIFTKQGIETLTKHAFDSPSETTSRNALRVLCNAMLLIPETRQRFVDL GYESKACEKLKNDNWDDEFLATRVIFFSTYGTTVDLTKLIDEHHLAESMVANLARHAS RISEHAKNKTKPDPMELMALGETLRLLFNVTSKCPSKVDCFTAAVPHIVTLLLSLDIP PKGSPPLESPLGPLVNALMNLKLNSEEARSCLYPKDAPSSLAEKLITLLDLSLKAYSD QELDATVTPLACIISSIYENAPADSPVRDFIRKSLLPSEEERTKVLGKGDTLPARLLA NMTNPIAPEFARAVSHLLFNVSDKDANKFVENIGYGYASGFLFQNNIPVPEGLGGDAE KGESSQAGQSSRRAVNPITGQFLDTETFPDMPEMTMEEKEREAERLFVLFERARKLGI VNVENPVAKAVQEGRFEELPDDYEEDSD NEUTE1DRAFT_134013 MSNNTNSLESLPPRPPTPPKREPHNAPPATIPRNVLAPIELDNN LRAVHTPPALDSPGSGLDSKSTSKRSTKRVGFSAKAEYKDPPVFIDGDKRQQPTPVSL PRSASKPVKSILKITHHVPNPLEEANGNPSDPSNPNISVATMLESTLKQLAGGDRDSK LDSYMMLTRAFKISNNLPDRVALQEKMGLFMQFMQRDLVARTPQGTLDSSLVQHALKL IVTFLGLPAIASTMTTDFGSFLIDHCIRSFQDNSTPKDTARHLMQVVLVQNFSAKVMT PERVGRLVTSLHNIEENIKGKSIIMYRVMIYQKLVNQTGKTMVLHSDWLYDLFTDMLS TIKDIREKAVTLGLNAAFTIGHEVQLSRKTMEIFNSVAKEKKYIEYYEERLKAMVKDK TVSASVPHIWSVVILLLRIRLRVWDRTAHWLQLVQNCFNNIDYPTKIAAHHAWARLVY LTNAVEPSFEASLKTIITPLTSQLRRKNSAKASEGQLRAAVLGDVCNLWYYLFKPNTN AALLDGYWTAGVQPIITALVSSPDHSKPVTASPTKKPQETHGQASVILSGLFNCTTAR RWSVDRVMDEPLARPEEVPAIDAKWVRKNAVMILAAIEPILADDFNALSNKKSAVYWL WASLVGSIASAASKEIKVSKDTGLFITEALSVLQKIWQKGVQPGDAASAVDFLRATKG YVEIMITSLGLPPFTEKLGKAQAGQKSPMHNLFSMLSGVPSGIPDDHTFEVFFTSVFE HFTEAKSEKGKMEIALDLLTLIPMETPRPYGMWLFVARALEGWLQLDHSSNHSTGSGD TPIGHDYRDIVKVLERGIRSTPNLPPEHWESLFNAVHQRVRSEAGEPGVAIIVIEPLA KVALDQLTTPGPMIAFFNGVRNVAELLSIASQPRDKQAVDAARRRLWGTALAGSRSAT FDTFDNLYRAVNEALTRLYKQFDSDNAELIICLLQELVGFFDRCNQQLIFRTMSILQD GFLPWLQDPNRLLGSQLDAIVAVTNTLWDKVCGHLSATERPEQQLDSLTRIFCATLGS SHRYIVNSAVSLWNRLYGKAEKLDYPDELKEVLAKLRPYADLVTPGLELSSLEYPSHE PIFVESKEEFSLPRLPPPSSRRTTRSASRTNSPVKTPDPVKRRSPTKRRASATPEVKS SGFNLRPKAGAPRLRHDDSQIQFAAVDSSPQLHLIHEEMESQLLTERQKEVRERQKEN AALFPELRSSPATRTRSSARLAARSREASPSGAQTPQAGTPRNPGGRFTEFVSGTPTP RRGQALTAIVDQDMDMMDPPSSPPIMEPRRNPLAAEIRSRSANTSLLDDWQLSSSPIS GSPLRQQPFAPVPSSLAVALDAVNAAVESSLAPEEAPEQDLMEEDDDIIEESVLPDQE NQALPTMEPAKGGHLGVPRQETPEKSDHELEEFVDAPSSPLPPTPHKANTDPVKKAAP APAPTPAFATTPTIAPAATTVAPAPTPSGAPSYDGGEWDDRSLLKLVVELDSGKLDRQ EYTRPSPSVSPEKLALTAANLKPSSGSNKPGSGPTSAAPSPAASCIVALDDDNMVEEE SENVQVPKPVAPRGRVTRSRASSVASNRSVEQIPSSQAQQQQATTQEKGKSKLKGPTS VRATAGKGKRKRVASGASETAAAATAPGTGKKRRIGRPSSTAPVEREAEVQVPRSQSQ EVPPKSEPIFYDRLPISVASSSQSPSLSQIPSSRASSVVSNSVLNERARGIVPGGSGT QRTVPSSLLPQQTSQLSTPASVPRDAGDAGEQTGESQGAQQGQVSEMEEVSSDGEDLV QSQIEIESRSADERQSLSMSQVEHGLKHYLEEEEDLIPSSPFMAVPASGRSGSGSEVL VEDTVLSQQQEDEHMDVDVKDDDDDQPLTSAQQQQQQQRRRKKPEVGNNHTNTGLQEE EEVEEDMIQQKRVREKLTEDVITSALAPPPPPPGGNHHPDENLQQQQQQQQQQQNQPG EEPVQQLEASLANNNSSSKESSSKERILSSLRASLNELRTATAAGGLSRQEVYEIEDL FMDLKRELYEAERRGRS NEUTE1DRAFT_93246 MSPLTSTLTSTPSPPPPPDAPINLAAHYLTTYRSIFYHALYDTP NKVQPFLIPFCLVGAFLLPIAFLCIPQYSVVTTTTGGANGERQQVRVRREWVHRLRWV VGAVIVWWNLGVVVGLPGFGGLGLGSTEGGQGWFTPGTTTASACTALSYAAGLMGGWG TIWGLETVVFGGYQGVAMRVRRRVRTGRVNGQEEGVEGELKNGIVNGNLNTETNGNGN GNPDTANLRRRNLKGSGKVASSASTDTEMQSPGENEHKEKGKRWTVDETIDLDKYEYY WEPYPDNGTFWERLDWVMDMFNSFRGAGWNYSISSIPSLQPPIIPPLPESTTPSSSSS SSSHVEILPYFPTGLPVSFANLPLSSHQHFHRPLTARSFLLSRLQHIIWSYLALDFFT VSARLDPYFILGPNGPLRHPTYLSTVASSSSSPSVLPPLSLACARFLASLPPWGLNFF RSLFSLSGVLGGLFLYSYLWQLTQFFVLGRWLRLETHGMGILDELWRYPDLFGGFVRN VLDRGLAGFWGGWWHQSFRVGFTGPVRFLVKQGILGMGKEKEKGMKNKKVVATAQMAT AFLLSGFLHALGGYSSTAFRISFISPSPDGEGEEDLLTGDDKRNRLARFAEPVGFFVF QFLGCLVQALLISLVKRVLKRVTVTVTVTGGRKEKEKEKEKEVPRWLKRTGNGVFVLL WLHNTRWMLIDDMARSGLFLYEPVPISVFRLLGLGGMPGEGRRWDWWRLDWEYVPSLW RGSRWWEVGFRM NEUTE1DRAFT_19417 LVKETVPNPITQALLSIYYPQTLTLRQYALSKLPKTSRIRRKKI AALGLSSSFPSPSPAPAPASEKPSAAQALEQALGTLLDTTLVCSHDPPDITSKKEKSD YRWEQWVGFSQGGGGTKGDESHVTLSDGLKGAMYSQSDIVDFVIWLLFSRGSRSASFR EKTKTGNGWPGPRHLLCDGFRKGVPPQGRSGEMATTAGHQHQIPGVYAVHQNNCVKVL KEAPWPQFLMLLGKEGERIMLDLLLDCAVFVGVKEGKGNLVQVSGIPVSELQPRSLGT DFAARKDLKGTGGASGENQELSPSEITFARNRMLYARATLNAKGLVHFGLRHIHVLNR FPCQKPAEGEEASKPDESTTHVMMYIFPRQFGLHNVFTSVVDRQQTAQKFQDYTLRED EIAKKFPKPTEGERPKVKIPKRLRGKTQELVHKLQVLHKRCSCAEMLQYYCPVSPLAR PSKSSQKNRRNLRPPSTAAVITNSDSQSYSLLDLATPISSISAFCQAVLSKIIPNDFW GSSDSEEGHPQEHNKSVFLKQIDAFIRLRRFESMTLHNLVQGMQLSSIPWLASPALSL HKCSQPELNKRKEIYLEFLYYTFDSLLIPLIRSNFYVTESSAHRYRLFFFRHDVWLSV TKPALTCLRVKMFEEVKGAEAVKILEGRKLGFSQVRLLPKGVGSNLRPIMNLRRRVLL RASGSKGIRGKQKQILGPSINSILGPVNSMLKFEKRQRPGRLGGGMFAVGDIYQRVKG FRARIMSEEKGGGKKKKFYFVKVDVQAAFDTIPQEAMVELLEKIPGHGVYKESKHVEV SLPLDYEHNPANNDDTNIDNPKNKLKPTKRWHSTTTSFPSVTTTTTSTTSPSTSILPQ QDSVATTKKNTLFIPSHSSTKLHTSSSLLSLAKEHITQNLVKIGKKYYRQKTGIPQGS VLSSTLCNYFYADLERSQGGISRDGNTLLMRLIDDFLLITTSRSKARRFVEVMHRGFA EYGVSVSAQKSLVNFDVCVDGTRVSNLRRGSTKFPYCGLMIDTRTLEICKSGTETGTG VENKRDTVVFNGITVEYSRNQGRNFRRKVLNAFKIQSHLLFFDTTHNSFRTTLRNLYS AFIETAIKMWAHARCLSSTTTQRKRKPGTALVIDTIKSLIEVAYRLLTSKSRKERYPG YQCSVSKGQVAWLAMVACRQVLVRKQAGYREVIRWLEGEIEKV NEUTE1DRAFT_126942 MDHGSIRIQEEEEEAASTTNFHTLTHELGGGSGSRRHITRSKSQ SQYKHHHHHNYHSSLDNLDRRAPDLYRYLEAKPPHDGHHHHHHHHHDSHTHTHHTRPP SPPPRRRDFHQQDHGSYFPEHSSPTHPSAPAPAPALDFEARPSHLASPSASYTNYSAS RLTSPLASPRLTSPAQSPLATSPSASAHSLSQRFPFASHAAAAHHLQAFAANPRVSES LNLQPDDYYRSFGTSDAAVVTSRTADPIKKSTLAPTSVQQQQQQQQTLPPSTSPGSPS NGALKPSALPAAAAAASAAAAGTTKMSGSSRSTHTNPQTPSGARSGLRSASNPVDDRL GSNARPALTTANSYGTQGGAHPPSVKDLKKMFDQTGTSHNNNLPSQRRQPRPSRDQTT STISSSSSIAPASQIKGSSPSVGARVASYSTARNGGAAANGPASRSLPQLSPTTGLPL QSLSRSNGLLFGEILPDEQDSVTAGFGIQGHHLEGARPRRTSESSSIHDLTMSGPRLR SSSHSDTMGDMEPSSPTDWYRGAASALPTTDNLARVAKNHSRAQSDVVVSYASTKQPL STSHTRSGRGTATTTTSSSSRATNTGRTTPSTPTTPLSSTSRLPVMVKRASISESHGR SSPVSTRSNSPVAALKYGPTPTSGRSSRQQHGTPVSRAKTPATRSHTPTTPATTTTAK RLQTSNRKAAPTNIATPNNNNGRLNAYVSVPAPKLSPSLRSSRPRQSVATASTAASRM RCSTDRPPSPDKSNRRAGSKADNAATIRRRKLSVGPIDFAQRRETIKLAYSRTIKDQQ AKEARETAAADKRKMDLDAVTRAEAKAEAAALAAAPSSKSSSDSVDREEAAAATAGRH TDKKGLEEPLKLITNLPIIQTPAQPPASNGRDSPTLGIPGGFVDSDDELDVPIPQSAI SVATAVTEFDEEAQTEPPRPENFAAIDYALGNIVIGHQPPSPRTHEPPAPTPPLASPL AAPQSKRLSYHSPFDDDDDVDDDDDVSIEIALDTSVQQQQQQQQKQQPSQQQTPTRED FTTTEPTTGPAQQQPDADEYVLQPYTFSSQNYETTVTILGPENDFRPLYKDQARDTMP PSGLHHADEPPLNETLGATAAAGPGQSHPQHQKHQREESRVSEADNLERLEDFYIGPH LRDNIASLRDSTLTLSDNDDPYDAHPSSAAEYQQTLDSSHSNGLAVPAYLSPGNRLSQ HSAWTDFSFGSDDQNAAALDNQDPRASRIFKQPTESELPVSYNNNFARNRSSLRRPPP PSPEISPLDKPPRASSSSMLADKVDPRQSSDLDRDNSAVQRRIPLVSHQTAPVRPSVN NYDDLDESTYEVLSRPNSYPHVQDDEEFGTAIISSPPSAGLFSFETVDQSQLSRTESK SLSSEATDNLTQEQKRLRQRQMVIRELIDTEEIFVRDMSVVEEIYKGTAEACPQLDSK TIKLIFRNTDDIIAFHATFLAQLRDGVASVYQPKGRKSPTFAHEASLKETDTTTLAST NSSGGASNKPELDDDKDRQTSLGPLFIKNIDQLKAVHEVYLRTSDLSAKRLIQIQEDD AVKVWLNECSEGAKELTAAWSLDSLLIKPMQRLTKYPDIIAHLLKYTPEDHPDRDALV SAKSTVISAIDEINRTKRNFELVGQIVGNRKRKESDVRAGLARAFGKRVDKLQGTSNR APEDEEYAKLHERFGDEFLKLQVVLRDVEFYTRSASTYVHEFLQYVTAMELVMRLQPS RDYAHIESRWVQFSVSMRDMEKILDKHLTDVRKQVIEPFEQVIKCYQNPGLAIKKRAK RRLDYERYMQLKANGKKIDKTLAEAVEQYEALDDTLKKELPKLSALTAEIGRICLAKF VDIQAAWYSTWTEKVKAPLADFDHTPDIPEIYQVFDRDFKEQIERARQLVILNPVSLR GRTSQSTSDDIGSGSILTKTRSRPTELLTPRGRGLSINSDYVPTLPTPDLSGRFDLSP TGTGSALPSPGQYYRDYYSGLFNGHHSRGNSAAPLSPADPSSSSAPRSVPATHATSTT GGYSTTRPTTGRSFDSGSLPRQSTDSYSAQSASGTHHTSHGHGVAHSGHTLSRRGSYT SSHHNYATSEPRRQSGLFHSALPMDDADEVDGHPELEAGPSNSNHHHEMQTSNGGGGM FISAEAAAEANVMWLAASLFEFNIETTKHEGGYPYLTYQAGEIFDVVAEKGELWLAKN QDDPTNVIGWIWSKHFAKLAE NEUTE1DRAFT_53227 MVCIDQTTGSKTAGGEPFVTLTKTRRFEGKVFFGVHMGLQQQED EKKGEEEAEGGVGSGNLKVVKIRVGDVVRPSYL NEUTE1DRAFT_106582 MGSLNIQHNGYHADVEKIREEEYPMLKDSIYLDHAGTTPYPKSL MDRFAQEMTTNLFGNPHSASASSQLSTQRIQDIRLRALQFFNADPADFDLVYVANATA GIKLVVDAMRCLPTGFDYVYHQASHTSLVGVREEAQSSACLDTRQMEDWLSGSYPFDN NEDAERPILFAYPAQSNMDGRRFPLSWSSQIRRQCQSPTNKRKIYTLLDAAALVSSSP LDLSNAQTAPDFVVLSFYKIFGFPNLGALIVRKESQDVFSSRRYFGGGTVDMVVCLKE QWHAPKDGFLHERLEDGTLPIHSIIALDIAMDVHAKLFESMERVAGHTGFLARRLYQG LKALRHANGEPVCAIYSPDPETEDSGPVVAFNIRNAQGIWISLAEVEKLATLKGIHIR TGGVCNPGGIATALGLEPWEMKQNFSSGFRCGTDNDTMGGNPTGIIRVSLGTMSTIAD VDRFVQFVEEFYREDTPPTLPPPETKLEPSLRNTPELFIKSIVVYPIKSCAGFHVPPG IDWEVRSEGLVWDREWCLVHRGSGQALSQKRYPLMALLRPNLDFTRGELQVTYAGDVS PLSRLPSSISVPLSKNPKMYAPKKSGMSSRVCGEEITPQTYASAQINDFFSTVLGVPT NDAGRFPFA NEUTE1DRAFT_93253 MASPSATTTLGKRRRSTRLTGPLAVKRTRRSLRIHPEIHHEPDH IQAEKEKAASPPKPVADEDVANHKENRKPTGDEEEDRIEAETPSKSLLRRRKSVIASP PKTAPVTPSTPRHYDVFARVPVTTPRHRVMSVGKLSRRMTPSTPMTPAASQTVYHQAR QLFSRSADPGELVGRDDEREKLNTFLDRCTTTHPSGCLYVSGPPGTGKSAIVNKVTDK FASETSTVRKAYINCMSIKSSKDLYVTLLDQLASKDEDKEELSTESDVVAALQKLILP KKKTQDVFLVVLDEIDHILTLDPESLYSLLEWSLEKKNSRLALIGIANALDLTDRFLP RLKSRNLKPELLPILPYTAPQVKNIIITRLKSLLPGGTPKDPNYIPFFHPAAIELCSR KVSSQTGDLRRAFEICRRAIDLVESEIRLKHENEVKEQMLQMSPSKKRVLGENTNLSS APAPSSLFRSISTGPSASVSASLLKSLQALTPATAPRVSIAHLSKVTAAAFSNGTTQR LKTLNLQQKAALCALVAIEKRNRAAQSEAFNSASASFTSTTGTPSRKQEAPIAPTVKT LYEAYTKLCKQDSLLHPLSSSEFREVVSSLETLSLITPVDGKTGSFTALGYSSPGVGT PKRGGKKKKDVFGMGGALVAGDEKRVASCVGEREVEQTLLKDDGAGVGILRGILSGEA LDD NEUTE1DRAFT_150950 MHNEVVITRNPLITKQTEQQQQQQQQQQQQQQQQQQQRQQQRLT QALFVPVEIYLSDLPPDHGYHHDPHATPYPPRHHQLQQQHQLPSMAPPSAPPPHHTNP YQDPTMSGSASSVPPHAPPGPGGSSHGDGSSHGGQLQSTPPSHQQLHERAPLTAEERM QQEQNLKPYSGTDSQGRMYSEIEYSMFICNVSLYTEDALKEVNLVRHTTSTPSISSTT PASYASLEQTTPAYSHILPSNRDMSYGHHQGMQYQGNPGMNPYDMQTSPYHAGFVNGN PYGAPAPSHYQYNQPLPPQPGPYGAPRGPYDHPTQYGGMHGGVGGPLQHRMSVSSTSG QGGQQPQGMFTRNLIGSLVCSAFRLTDTNDKIGIWFVMQDLSVRTEGVFRLQFSFVNV GLPTPLPSGSSGSGTGINQSKAPILASVFSEPFQVFSAKKFPGVCDSTALSKCFATQG IKIPIRKEGANSKNNEDDDDY NEUTE1DRAFT_93259 MTAHMQSSGRGGAGNIVDSSKSPRIQPEDLQTPTLKTAMVTTGR GGNGNMAKNSDPVETRRRQDVEPVVRRDSFGATHIGRGGTGNVFTAEDAQAAREAQKA GHSGATAIDKEDENHLHLHNLLHSSRTHNGGNHKENKENKEKEKEKEAERERQAGHGH KKNEKSESELGWAEKGRNFLFGKKDKTDKKEEKREGSAERKSGNSSS NEUTE1DRAFT_93266 MASQFPPLKNDLLLRAARGETVERPPIWVMRQAGRYLPEYHEAK GGRDFFEVCRDPEIASTLTLQPIERYAGLIDAAIIFSDILVIPQAMGMTVEMVDKKGP HFPNPLRSPSDGQYAELMKRDVDVAKELDYVYKAITLTRKKLDGRVPLFGFTGAPWTL LCYMVEGGGTKLFKEVKTWIYKYPEETKALLQKISELCVEYLALQVKAGAQIVQVFDS WAGELSPSSFKTFSQPYLTYIAKHLPLRLKEMGLEPVPMVVFPKGAWYALDAACDMGY NVVGLDWLHDPAEAVKVVGDRPMVLQGNADPGVLYGSHEAITKVVEEMVQGFDWHGRH KGWIVNLGHGITPFVNPDDLKFFFEEIHRLTKTKA NEUTE1DRAFT_93267 MTPQTSHCYRLLQLSRTPRIPSIPRSPLPTGPPCWVASAADFPH LASFVQSTRRTVGSVPGPLEARRRLGKRNLGDLNALQCPPTPPPWAFPYPVDLSQWNW EPPSSASAQHVAFDRTAIGFDNSTPTDLLANADFFVSGWTAHQDLRQSKNEPITQQFL EQCAVEEGIHNFEDTQHSTWDDVPYDQPLTAQEETEKTESFEDTQHSARHDIPLDDAW SAQENTESVEDTQYSTPNDTPFEGWPSQKSPEAPIVEVASSKNNSHSTTASDIFDETG NFTWDPTAQHTDTLEHSDYQIIIPEWSAKVDVKGPEATQHSAQQNFPWEERSLHGALD RSFTVDLKTATSIDTSHSTTAPDVFDDSGFFTSVPESEIDQKNTKPDQWISPEAFMEQ WSTSEPPEEARPTEPEITNPSAVQLPKWFQDPETINIRSLRRGGDLQSFSEALGQTMP EELENMERDVELETTGPEAVREEEAEEDILEEEPQSPSAQYVNLRQDVDRLHAAFTEP LAIFAELFGEICANLKQNIALGEISAIELRAISHELIRTIQERWGEDEDMMQTHYSAL YNMTQAQLAVFLKTIIDGVRSSETLTADAVEPAFWRQFFEWMSKLEPGNDLYYLFYWG MRTVSQPGIKKGMEGILTVLERILTAWAAQPPGTTDLGEGPDGGQLNEADKATPNVEE EDIDESDFDNNDLDQVKFHEDVTEEVDTDPNQDLYLEWPPPDPKSISCVDSVLFALNV RSRGENPQRNCLHVRWVSAALEAVSPHKHSILFDAATELVLQLGSSPEGMGSPVVYNW LSVLAQMDGVNTQLLCDAIDAFKTVPETIEHLGNFHMCSLMLFHWASRDRLKRRFLTA RMYRHKHEAYQVGLDNSQLACLAMAIYESEPATNHALLFTSMFHILRSFNRVHHLPRA LKKFARRGFINRTLLEDLAYLCDDHKVALKMRRIWEAYAWKKRAIGPVFREKMEKMMS SKMPPKMDDGDKHAPFSTHVYLKYAKAIIADPSIRISTIWKVLDIPRFEEAFDPDRVS LEDQRKRLSLHGNVGDKRATVVAQIAPLFASVEHLSDRQKYRLMQQSISYLEEVEAIK AARGMGGLTDQDIQSTVQHTLDSRYSESNDRDAIHQPNPWNQGLSADVLMALYKVVTK DLVMGRPGRETRLRYFIQCMERHYGPEVARATRQKLVDWRTKLYEQDKPNSYQVRRRR ELKQMSSY NEUTE1DRAFT_106589 MGPSSSSVDPKEAYKKKSAKRTGNSQFLMQIHPGDEELLPPNSQ QSLLGVSSHAGIVTNYANIIERESPYFPICSGSVGDQGSSSRVVVVAVAPTIDQQARK PQRDK NEUTE1DRAFT_134023 MATANGMPTNTMNTTKDHSNRQPHTTTHPHPRSMTAVPVSMASQ TTGAQHIQHHQHQQHAVSSTSAANQQQQAYPTSIPPSVSMSSTIMGGISSLPPSNAHQ QQSTFRRTSVASSITTATLDDDQMVTPMSTPRSLDPNSSNNLYGPGPSSMGLDMGMLG VGAGNINGLLGGIGSGMGSSSNSSSSQQPIITSSAQMNNNNNNNNIMSIATGISAGMP TNYPPNNLPPLPNMPISMSMPISMVPIPISSMSSLTSTLPLSNSPHRPLSQHPSQIQH TGGQKPIRRRMRMITSCLECRRRKLKCNKCKPCVNCQKFNRECLYLGPKLDEASQMRL TEIKEQVGSLERALERDVAKGAASVSSGGYRGEGIRMGMGGIMGVGGRRRGSGVSTWG DNSIRGKRGGDGNRNGEGDGDGDGDDGEGEDYDGAEGEGGEHEEGEEDLEITPLVTND VAYDENETDDVIDLGIQVGKMRITERIGGMSRPRLAEEIQVGIGSPRMGNASNPNGVQ QQQQVDTDMSYADANNIPGYHAIIDQDGNVTEHVPDFLRPSAAYIPPTSGFFFGQTGH PPSLIHFLPDKFIGDGLVARYFAAVHPIARCVHKQSFETLYDGFWLEVLNNIEPRASV QAVVFAAWFSACVSMEEEEVQREFGWYKTQLLEKMKIGTESALARANFLRTTKVETLQ AFVMYMIPLCREEVSRAHSVLVGAAVRMAECMGLHRDGEAFGLNPLETHVRRLIWHQL CFLDIRTCEAQGPKPTIRRDDYDTRLPLNCEEDAFVNHPGYPVVPQDHQWTSSLLTIM RFEVNEMMRIIWSDRRKLEARKMDLTPVIAKIENFRKRMVEKYTPLLDDRVPIQRYAR YVMNLLIYRLHAMVLHPYHSNTAHPLPERLNGLLVESGILIIEHSIALETTPEFRDWA WYLGAYQQYQIALLLATEIYYRPNNTKADRIWACLDWVFQLECEMPRQDKSLRILTEI MSKTSVYTSMRKMRAPTNLVRAVPGKQAIKESPPPPTPMMMQTSSQQQQQSYDAHQQA QHHHHHQQQQMMMQQQQQQQQPLVLKQEPGLQTPTMPPSSSMSMSAAAAMASINILSL PNSSMNGSLSPAEQHHHQQQQQQQQQLLLLQQHHHHQVQHSQHLQSQHHPQHPQQQQQ HHQSHVHVQHHQQNQWPPSQQPHWHNNTNTNNNNNNMPPPNMVYAGVSDGAVLWGLPP GNSNNPGSPENSSSSDGGSVVNHAHGHGHGGGQVGGQPGGGRNGSVVGGGGSSASIAG NGNGNEGGGGGGNGGSNSSSSRGAAGATGGSGGGGSGRGNAGGTIGGGINVDWNLIES LFPTHPETGQPSFQAFAEPVISFVDGVPVPTMPSSSSQQQQHQQQQQQQQQQQQQTLQ QSGTSSGSGGHKLPVRGGTGQGHGQGHGQGRMKGEE NEUTE1DRAFT_143459 MNLLSSDLRQRPAWTEVVFQLLHQPGGQWQETELRYNDITLMVP SGNSYRVLRLLLYSAVDVGKARTMQWIGGLYDFCRSDRSDASQAKIVYALETDEEGKE KGMEGFMKLQISLLSTQNPPLSSIPILPISHPADLPSLLSSLQQPPPSSTPAPAQAHI PIPPTTHAHHHPNIYHHPVAPYVNTSIPSRDTLLPLCTVPTATHRNRARSYILFHPLS PQSCAILSGPIFRNFPGLRDLLELVETPEGKEQIRNAMALASGGNEQVEVEVERFLAF WEHEFAV NEUTE1DRAFT_52315 ETGYIYPTILSDPLIVINPINLITDKDGELSIIYIPEDPTSDEF NIYIE NEUTE1DRAFT_115485 MAKIYAKASRVIVWLGEEAAGSDQALEEIRIAAELSTRRLDTEL STRPLDNKARILILLQRSWFQRIWEVAAARYILIKCGSVEIAGFAFSSGLNALNLFNE LRADLRAQILSVTYLIRGAIFRPKYVYATSQADNFSLDIRPLGELVEMYHTREATDRC DKVYALLGMSSDNPTAAGFSISAFLFDYQKLQVTAPSQ NEUTE1DRAFT_54200 VLKIHQDFVLAKLRTAKGAAFDHLNQEREAKCHPGTRVALLDEI GTWASNSESHRIFWLQGMAGTGKSTISRTVARILADRKNLGASFFFKKGEVDRNSATR FFTTIASQLVRRLPALAQHMKEVIETDPEIGEKYLTVQFEKLILQPLKRLDHDRRISS ETVVIVIDALDECDPESHATAIIDLLPQAKQLSSISLKFFVTSRPEFPIQSEFRKISG EYQDLILHRVDKYIVEKDLSVYFDSELSKIRDKYNMDFPNSPLPSNWPGQNNLQILVD RAVPLFIFAKTVCLFIQDQNCDPPDTQLNKILQYQTMGQESRLHAMYFPILDQMLMKR IDSGFIRRTDEEQKEIVEKFRGIVGALVILADPLPVKSLARLLEIEERIIRHRLSLLN SVLNIPSDVTAPVKTLHLSFRDFLIDPEMRATNPFWVDEEMTHGKLVTKCLKLLRDCL QEDICSLQRPGKSRADVDRQIFLKRLPSEIQYVCLYWVYHLKRSRRTVRDGDEVHCFL TGYLLYWLEVLGLIGRISESIGLIDDLQSLTDVS NEUTE1DRAFT_55165 YARGEGDYNTYTHERIGRFNVVIVLLEIMGKAPAAGAATAVRIG YPNISLALIVGICAGVPFPKKSQEVILGDIVISNILIHHDFGRQYSDRFKAKDEAEDV YGRPNKNIRSLLRFQPYDKSRKSDINFEIFSANTNESILC NEUTE1DRAFT_134025 MSIGAAFKNFSIGSKSREPSRIREPTSQGPVIDKKENKQQSIVV EQSEKERRAFVPDADAAAAAAASDAEAGTAQLWTGRFPTRISTGHIIGFRNTLAAGDV IGSSSPARRRIDVSAGNLVRLPQHGDAAGDEQTRFIRVISDYGRQAQQVGSDDLRDES VQAQEEYKPRDLSPRRGLVTGNWVINVVAAAAAAAAAVRGETGSQPGAQVKNGQLQQA RTGDSDADEDGKSDVIRIMFMLQGLFFKSSLYTLRACLDFSCLKCLLGCDVGSLMVLA YCEHRLTGEPVHAAMFLQKDTSNRSWQFGRLSGLI NEUTE1DRAFT_115489 MEGVPAPQVSHQTNGPVMDPPKTVNESPSKTAAAVENWWKAKDP LANPVPEDMLGLGMEALEKQREELVLELMQTFPGGDQPIADSTELKNFIEDLKSREAV QAHLSVPSDMIWSNLLRSNQKPLIFLNQVFLNPHLDSPTVIMSGIPTGNLAGGPAGAG LPGTAARDPGPGTDTMTGIVDNDSN NEUTE1DRAFT_115490 MNEMTTLPGAFDRMANNEGLVRMFTRCTLKIKAQLDKTIGQPRN PLHITVHEIDEIKLFFDGLINHFKSGASQPAAQSTEQAAGQPQPHQRAETLTIAKERF RVEDDSVIVLRGLDRDEIKKYVDMTAELRAARYDGSDYENEPLEDSRSPPVSGHVDQA SAGHLQTKPRVSMVPNGPR NEUTE1DRAFT_143464 MESETQISRLVSQGILSFKSLIQSLEGYPDSPPQYRPLASSYLM RYKLWVASLGAHRPCGTSSLEYKLRDASGIRNNIISLLQDLCRSINEARSAVTPIGSG EVCPDEDHDPLDDELAELLYSDDEDPTSRSELDDALSRVGNTIDCLLRLSAAIRNPAP HDFSMSKVAVELIEAFQPLAKDHIRHKFVQIDEPLVDRLAKSMAMRRQYLKYREEHAS RIARGLEEVEQGLQCDASEYTTTQTVISSLPGHLKDNNAISSTLFTDFDDVRSQTSYA PTEVNSSELRVPRIPPEYVDGPFRCPYCQMIIAIETRHEWKKHVFRDLQPYTCLEEAC TIPNQQFSRRSEWVMHMEREHWRVWHCFLGCNEASFDNLDQFLKHNQSAHTGELASSG VGHQANIHASSVKDKSKARGQCPLCLKVQLGTEKEYAFHVGGHLEGLALFALPRVDDD YMYTTGDDSDEDQNEQDLVKESDEEDMEEDELSTSADTAYHVELEVRSENGDIDGRHI EGEDPGAYGLSDGILDEITTYVGEAQRLQPREEEQPSESINMRDQQTRTIQDVQLQQQ QQQEQQQQQEQQQQQERKQQQEQQQQQQQQQEQQQQQQQQQQQQVQPPVNNRQSVPQK PTDGGNSTSATPGMQAGPGQPQLNGPQNAQTATMAALATNPRAIQMADNLDIPADTLN ALRGILQPAILPPNLKKWVHLKAWHQAAGLPPHVQDKVAQVLTTHQQQQIRQLLLQKQ QRAQIASMGGAL NEUTE1DRAFT_150958 MSGIEVVGLVLGILPLAAKALQSYLGFVSSVRKVDSDLHNLLQD LKVEDTRLRNSCRQLLNDIIPFDSSEGGVGANPFSPELSLTVRNKLRLKLYDSYPMFE QTMTDMQAVAADLRLKLSLQENGTAVLKDKKSIIRQLKAKTGFTLRKKDYDATMLRLK SANAFLETLTSAGTGQYTSNKRRSDYRVISLLRKLVKSLFNALQNAATDCRCPVSHNA CLELVARNLVFIHNTDIEEQVAKDIDFHVTLSSGGSDLTQERLQLWPRWTSFRLQCGD PGAPPPPAIPPKSTTAFPCPQVKSQTFNDELGALSPAVKWATELLSSKISGQSKKRPK RVSFAPVSQSAAHTLIEVSMASLAGLSLSGKPERQTTDIDVELTSMLKICQLSTHNKG KKRALVQDDLSHGCIIDAAGPRTFRLYPPRWKSGGQFRTHPDNSEFTTSFTLRQLLDQ DLQGPTGGLFRLEGPLMKLRISHAIAASLLYIYDTPWLSQILTLDSIVFFLDDNSHVQ LDQLQPFRYR NEUTE1DRAFT_143466 MPEQTGDGSDDYIWVWSDGHAAELYINHHDVPHWEVGPKDLFNI ARSRRSIHIADWDGDGRCDVISQQKSDGALEMRRNDYNPATGAWKFTYMGFVTGGVCP QGWGVNVLDRGMRLAISIILILSYSGDGHADVMCLEKNGRITGWLNHASGNLENVGQV KYSEGWGRANLRFADVEDGGNVTRTFAHSPGSRLQGSAEPQRLALASRCPVASGRNTH FGRTLGRTLGHWHCLPNPLSIPSG NEUTE1DRAFT_143467 MESRVKAEEPDYSLFTSSQKRWIIFIAALGGWFSTASSFIYFHA IPFLARDLHVSIEKINLSVTSYLVVLGIFPSITGSAADRYGRRPVFIATLAVYVAVAR L NEUTE1DRAFT_143468 MAIIVTLPNEYGYVLLATVSTFFINIFHSINTGKQRKASGVKYP AAYASQEVAEKDPKAKAFNCAQRAHANFTENLTPAIGAMLIAGLKYPVLAGALGGLWS LSRVFYAIGYTKGGPQARTKFGIISSVGLLTLKLTAAYTAVQMAFY NEUTE1DRAFT_115496 MVRRSTPVLWCVIQPWICQAASITTLPKPDDSVVLPRQVNGDVS SSTFLRRAYHASAVLGGRVYIDGGEVSYYSGTDITFQYSNSLLSIDLTEDWTSDSVRF DSTSKPTGAANLKGGGIWVDETQGVLYTGFAGIKSDFGNNANQPQGLWSFKPDGKGGG TWQNLNSTADKMFTEKLRPFQGKIASGNGVGYFLGGTQAFFPRPPRLLCFKSNFDGPV SGLLTYDFASKKAINTSVSLASTQGSEQFGSMLYVPNFGKKGILVSVGGYIGDLQTDD SNARLASMDTARIFDIDSNAWFEQSTSGTSPRPRQEYCMAGVASDNQTYEILIYAGWG RTLGSVSVPFDDAYVLTLPGFYWTKAPYTARNPRHALSCNGVGGGQIITIGGVDTTRD GPNPLYKVVFTTTDPFSQGIAVFDLATLKITDTYTANRTSYSPAPAIQSFYNDKGRKP ESGFTNSQLESVFAHQKFKATNNSDPFPPPTPSSPPTPSDSSSPSPSGSTDPSSSSKA GPIAGGVVGGVAAIAAAIALGYFLARRRTKKKGLSEEGEQHQEQQQQQQQQSPQQGQQ QVVQETKWQPGGYEPYSGGGGVEAPYTDGNGNGYSGDRLYQHQQVHELPPHHVVHEMP ERQNVSEMPERQNVSEMPERQNVSEMPERQNVSEMP NEUTE1DRAFT_126950 MTAPTMDSTGDTAEQDDWTDTTHSPSELSEGTDSDSELRRLLSL PPHFRSYEAYIEYSIARFEPEGVPEIAYDRLCASCTAAFKIVSEMVTQRNTDRKIPFC SVKEFLTSAAEGNCHVCHWLMTATLKQHGCIVFCRTAQSVIDRDYVKKPDSSVDALGC VDAALEWAIQLHTRITQSGEETELSIRSTVVGGDGKITIVWCMFLDWYYNGARGFPTS LSTASDASWNQALKWIKNCRSHPRCNPVQVATLQDNYPARLLAVGLTGEAYVRLCETS RDTFSKERSYMTLSHCWGKNGVPIRLLKENYIQFLNGIQLGELPNTFRHAIELTRKLG VPFLWIDSLCIIQNSAENKDWIQESAKMQHVYRNSFLNLAAGASPDSSGGLYYRRDRL SVRPWFVQLEDDRYLLAAYKSEHTDPEITELILYTRGWVLQEQLLARRTLVFGKKELY WECLTCQASESFPDTIERPFSFYYKIFPHDWESLLNGRLVDSNRRRAWMLLLGTYSKR SLTEPSDRLVAISGLAEQLSSTWSGIAYLAGLWSYRLIQQLLWIGGNEYGQKHTDIAP SWRVLDAKVTPRNTTSPFGPVACGASIRIRSPVVRARITEPPDENMDGSCHLELKEYG DTTELTFEEFNVAWDDNNDAKGAIQYAYLAPMQIETQDVERVWLYGLEGLFWDIDAWF AERVGEGPEERMRYLKNDPYVNGPPLNTNLEDRYPPKVERRFSPNILAFLRAIARIAR ANKANGIPDPVLGMGEGNGFYTYEIV NEUTE1DRAFT_119288 MALIPPRNSMPPSFKPCFLSGYIPPCLTLHCCIHLCDLHFQPSG PTSRFLLYFVQSDMHSFFFLLTPHR NEUTE1DRAFT_126952 MRWLPLAVAVAATVTQVTAKAVFAHFMVGNTENYTTSDWLDDMR QAKKAHIDAFALNMAYGEATNEKSLSAAFSAAASEGMQLFFSFDYAGNGPWPKETVME YITKYGSSSAYFHHNGKPFVSTFEGPDRADDWIDIKRQTPCFFMPDWSSFGAMKAMAA GGGVADGLFSWAAWAWGDWDMYTYTDASYAQYLNGKPYMMPVSPWFYTNLPGYNKNWI WRGDRAWGDRWIQAQWWQPEFIQIISWNDYGESHYIGPVRKHAMAAFDIGRAPFNYGT LPHDAWRDILPFVIDMYKNNVSRVDYERAVAWYMLVNPAYCDHNGTVVNTASQLQQEF TPEGELDDKIHFTALLNGKPDKVACSVGGRMKDCKVYQGPDNDEPGMYLGECGNGFEG PVQITITRGKTVLVINGEPRRGESCGEWNGFTNHNAYVAGAVAPSAINAQAADISQAV CVNGTGMELVKDLCEFTCSLGYCPKTACVCSRLGKPPTLPKPTGVQGYPTSNMDESVS GLCSFACNYGNCSDFSDYCSTKKVALAVRPASLFEPQYCDSGRARGGEERFEQLCKFS CSHGYCPFWVCVCEIEKGFANILDPTIPSRASMKSLPGLLDYGLCGFACQRGYCPTDI CFDDGVYDDDEGWGPDYDPIEGEYMDFTPIEALSCDPDKAPRTLDDLVNAVDTGSIPS ICWNQWALEILLQTLLGIGSEYRESLKGYDKLFSTYEKYIRESVGPQLEKFAHPGSMK SPGLKYFDCVVSINGKKYSDKQGCQYIVLERLPVDNWHIDYTLRDAKGFYAEVDEKLG IAKEWITFGEWKLEKQCDDDMYGDDGAHIRTGNRPKLCKPKVTSMSNFPIAIDKDKIE VPNPKVVMEAAMANMTSLVDSLLTGHFLVATHTNEVDSADIVTAASMPVFMLQQAVDA MNGIKKTGSDIIKQNKKNLITFILSIVLMVIPIIGEIGGALFGGMAMIARIITLIDVA GSVGMTAYDIVEDPGSAPFAIMGMLMGFVGGTRSEKSLADAGKARKAMPDSAVAKLGK IFSENDKKVQKIINACTRKR NEUTE1DRAFT_119289 MSEPAISQRGVSVCEQPLVHVELSLSMVYEVLVRRRQRNKTQSQ FWMPLGYAPIINRVVT NEUTE1DRAFT_150960 MLARSAWAWLVLIAAWASLVASKSPAVVYEMMSAYHIYNIAWLY EGADQRYIYPLLDKDKDKTLFDKSYRQQGHRGSLDKGQMNWGEFCMAWFKDDRLSPDM IPELDMNDLPKTAKALSLVSAPSLVRHELAAGVFNKSYYVLLKEWMDMVLKARKKLAT STIAKDLKGMGLASEKAADIRRAEFWQMEYLGQDMKRLFPGLDIKSRKVDFPEFEELY KRKRELTVVDVLATITSPLNKAKMPAILGLPDNFGWELKFMNAVHDYGASTYGANPKR DMEKSDWTAHTHHRMLMAVERFELEANDYYVCDDS NEUTE1DRAFT_74574 MSVKFAISSGTLSLALLLFGHGHLTKAAKDPDTTYIYFDNIPYL ANASNVIPMSWSALEAGFADPIREDVANFTGLDWTKPYPGSPLPGFTAHLRIADHLPF PPAVTEENATIDVAALNNGIPPSMRKADGLPKSMHPSWYICQHYYVSNLPDAASDVAH DCSFLPSQCQKDLKTDMVADWGAYADDSGGIMCSGWSLDTITPSCQEALGFVTADVLG FDASYLEDEVGARDATVDQVGRYSWMVGDGFQEPSTGTTYHAAANRTYLVATVFGYSS GYKSSVQPRVELSCLRPKWIAPPEPAEPTETTTSSSFAPSFTSSFTSTSSGSASSKTT SAASSMSSSSGSSTAMSSKTAPASSSSLTLSKTTTSTAPALPSSTTHCTGGTTAEGIS GNLLGLCSYSCDFDHCLDSACVCTRFAAAAVPAPAQLAGKHGCPADNQKPTNSDYAYY VDLCEFICSREVVKQCRKLPESNFARARNARLIMSNQIPKMVTDDAKPYCFWHPDIPS ERTLRRLVNHYPDMAYLAGRACAVAGYHELYHELDLLPEVSIAEEAREQNNPGSKAIF EHIMKQPVCYAVLDDYTRTNNYENPRCPAFMNGDTAVRSTLDVTVAPEDYWGEHYFNL DSDGFPFDITEDGHIGEVTTHASYNQAEQGTLPPEHVELLYLPLPLHLPTMVKDPLII MAAYEGNLDRYLRLRRPHMIMGEHGAVLRGIYHNTTFAKWWSLQPRDGHNSGFIRAAT LARFIMVNDLSHMTENDPDPEANHNEIPGMIWWPLVPAERTLEVLAKRRPDMHLQVAM ACIAGNYKELWDKLAPEPSKQLWDMATSQRDASVRNHFVEYLERRASELGQVADDRPL PQGLVTECDYPAHDWCWDAARVIKEPFDPFLQYSPCFLPRDIVIKATDDRFDMENIYG AFEQPCMARWELRMCATVETMAKIPDGEDGLRLYDDCGDDEQGPSTKDTETNNSLEVS SKEADDDDVEASSQDNDGNITPRTDHDPS NEUTE1DRAFT_126955 MLYHVKTKASDDGSVELKKMQLSSYPQCALFEQTILSREDAHGS KEVLVKWHAPAPAAGQKGAEEELEREQAESAKLKRLEAKTITVELDPPPRIEELECVE VDLHGSPTTCYKVGEEYDRWFSERFGLNSRRQSGQSTPQLQQQPQQSGGVGGGWLSTL TSIVSWFPTVPISVPGFIAIQGEREEEEGQEQQQPNKPWITFTDVAPLLITSESSLSN LSARLVPESPKESTESTTHPQHKVPMYKMRPNLVVDDQGEEAWAEDYWGELVVTSTST SRSSTRSTSNTCTAPTTTNKKAKKRKTKLHLTGNCARCTSLNVDYDTGKPAKGEMGTS GSGMKWSPVFGRYAFVGESVYVVEEEREDHEEEKEEEEREVWISVGDEVQVTRRNEER TVMDWPF NEUTE1DRAFT_134032 MSFYNSGSGFSNANTGPGAQYNNNAAGPQYNYNYYGPPCSGTDQ PPERLETPPPPFATIPFSRDPDFVDRGDILDQIDQRCSEPAGRVALVGLGGVGKSQLA IEYAHRTAVEEPDRWVFWVHVGTQARVEEGLKAIADAVKLPGRRQPKADVPQLVYSWL SNERNCRWIVILDSADDVNVFFGAGSNPERRPLAAYLPQSPNGSLLVTTRDKDLAYRL VGGYKNTIEIGPMAQSDALLLLERKLSLLSDKDSAEELVRTLEYIPLAISQAAAYIQR RSPRSSVEKYLAEFRKGESKRAWLLSHDEGEFRREGGALSAILVTWQISFEHIRSKRA SAADLLSFMSFFDRQGIPESLLRPPHMDGAIQERRSDVQHDLGSNSSDDEIDNDETDN GFEDNVAMLTNFCLVTVSESGNTFEMHGLVQLSTRMWLKASKQEETFRQQYIERMAAS FPTGQFENWAMCRTLFAHARVASDYRPNENTVESWAILLHNGGWYAWSQGKYEDAQQM LDKARKVRERRLGKDDMATLSSTSFLALVFRDRGLWKEAEKLFVQVMETRKTKLGADH PDTLASMGNLASTYRNQGRWDEAEKLEVQGMETIKAKLGADHPDTLASMGHLAFTWNG QGRHEDALALMQDCVEARQRVLGPKHPNTLSSLATVSEWYHGARRRVRGRLDMRSW NEUTE1DRAFT_150964 MRTKKSPIPATAPSTVTVTPEASAQQSQIVTETTGTGATGLTIA TVTGIAGTSTHTITGPDGTVLVPPPIPAHPGCELSLQMAKVMEDSAIEHCCDYMKAKN LLRDKIVAKVLENTQEKLMELLQNELDRMSSEEEEDDLDLDSDCDKDCDQGLEQ NEUTE1DRAFT_115504 MSMGGGFYRGLDDSAKNAAAVSRTVFIAFAVECTVPLTPSPAEW ARHTLHKYIYLEGIVGFWKVWLALVNTFLQGMELQKPPIPPIVPDDEEWKRRVFADVD EFIVTIHERSLYLL NEUTE1DRAFT_93287 MGKLIKNHWARLIILAAGTYQVAAALEGFFWPKIFWDFLTKTLD AAVKPIPILQIINLVFGLLLIAWEWPLGFIAGSSFHRSLEARLAVLPLTALAAALIYQ GTNAAIYQVIGLAVYFWAYSEGEMICAKPWTLPQRGGKTGRV NEUTE1DRAFT_119291 MRGGAHELKVHYKGNNEEDFLVFVDSKDDYNKWLSDKSTPLAQV VSVFEVFTTHKQGSQGIYSAASQAMLENEFGTKNAEDAIKQVLEKGNLQEMAFPERQG RKNDSNNDAYITR NEUTE1DRAFT_126958 MAAPGPDVDTHVDPVTKPLPPSPYALPISAIHTPFDPNGNPLPL LLTSDETQPLDHVREDLTVTEEKDVSSSPTVAVVDVSEPNEWDTLDTIHDFQDAVARH QALESSNHVYRQPTATPCEPPASKPSKPNRPFQKWIKTLHKRAVRRQEAMGNEHASTS YYFNLDGAGSTAWGPGHYRPSSSTSSFGFVTAVKSASISLTSASTILTRSRRNTVRSS RGQSRTERSSRASLSGARLSEDSYCPERQLMVDPAAIGRSLQRRRVLEELINTEESYI GDVRFLMNVYVTILASLPTLPASLRSSINQNLNDIVELHEEMLGDLHRAIPHSEYTQL KFPLQVPSAGSGFRGHQRWRSLDAVPEDKDGISWLREVPGMLADPQVAAEVAKIFSKK MNRFFIYEEYGAKYELMIKDVASAHRTMPAWQSYQKGIEILASSLSSANSQDDKQRKS LTIGDLLMKVGDISQNNTRMYANKDQPIQRVCKYPLLFAELLKHTPEADCPYSHMEIE NTLIRLREATAEINKATDDSKIKSTLEKTWILQDRLVFPNQQLDAASKNRIRSFGHIQ LCGALHACWQTKEGVNGKYMVALLYKEWFCLATASRTDQIYTIQACIALSNVKLEEVD NGRGLQCHTAPHSWKLVFLCDHQLYEIILTACTPKEEMEWRTRLGNQQTTEGQDQMQP ATFSSLSLNIKTLGAVFRKPGTIARKISIHRATTVGPKSPLCQVILKNTSVIKDAPAS GTNSSISRSQSLLTTNTRLPVLAPSREERARLETLLSDVWTRDILPFPGITARSRSEQ LVRASASSMIRKLSVVSITSSFTKRSASLTSLPRKGGSGSNCTEEETCVGSTATKGTT SPKVLSRVCHKAEETSRSLLSVIPDKIERRSPMLRSASDSVGKEMFSVVHQLDRGRVR SESESEGAQMVHNDFSGILQHSSGNSSRAPSIQQSNLSKQTTREMSFRRGKENDGSAG DAASVRGSGNSILSGDSEYKGSTPRKLRKRSKTSISTTTGNKWAKVNVHREVMVQSLR NMFR NEUTE1DRAFT_50921 MEGLENEEMLPRTAAHLILGPSDHHRRPAKSGTRQPKTGAAKLP RGREAH NEUTE1DRAFT_74588 MSNLHAPTVPSGPTSAPVTNGSAAHLSFAELQRKKDAIEGELKA LSGVLDSHGVDMNTNLLTPDGFPRSDIDVAQIRTTRSRIIHLRNDCKELMALIEKRLH EHFASIQDDDQESTPVPIDQAAPLPDSVPEVLEQPFAKVNSVVDNSPAATAGLKAGDL IRSFGYVNQSNHDSLRKVAECVQGNEGQNILVKVSRSTAGTRTQELRLTLTPRRDWGG RGMLGCHILPL NEUTE1DRAFT_119293 MAAATPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYMATLGVEV HPLGFSTNFGQIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPNWH RDLTRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFLW LARKLVGNNALEFVAAPALAPPTAVVDQELMEKYRAEMDEAAQMPLPNEEDDDDL NEUTE1DRAFT_150970 MRAIQAGAVLTSLLLSSLPLTLSQLVIPTNLPGVWEYDGCYTEV DRTIGAATYADGEDMTNEACISFCTARGFQYAGTQYAQECYCGESIATTAQKLEDSQC NMACKGNATEPCGGSSKLSIFHSSAAVGPQANPGVNGYSLLGCYSEGTTGRTLTFVVG TIPGANMTVDKCTAACDASAYKYAGVEYGGECYCGNRISNGGAPATSGCTTLCNGNST EFCGGGNRLNIYIKGDLPPTSTVSGIIAITSSAVPEPQDPAQPASVGNYDWYGCRTEA TGNRALSAATTASDEMTLEACSAFCAAYTFFGVEYARECYCGNSLNAGSVAAPDSDCS MTCAGKSTEYCGAGNRLSVYAKNGTEPPSTTVAPSSTVSSAIPQPTGLPEGWAPQGCW TEGTTGRLLNHQAPDSQTNSQVVCATYCASQGYTISGTEYGVQCFCGNSLFNSGAKVD DSQCSVNCPGDASQKCGAGDRLTIVSRGEPQVDLPPAPKETVGDWAYNGCYEDNLNNQ RTFFWQSEFPNVMTPKMCLDRCAEYGYMAAGLEYGQECYCGDPANIGTSGATKRPESE CNIVCPGETSSICGGGSRLTTYFWTGTPFYSWDFPQDYRAGKYELLVNGVTVPLMTSE TIEGKISFLSKWGTGPANETGAYELDLSKIGTNAAFRELHLKTDVFCAGGVTLPDKVG RQLTVGGWSGDSTYGTRLYWPGHDWEENVNELSLQAGRWYPSAMVMANGSIFVIGGET GSNAAAVPSIEVLPYTGTKPLFMEWLERTDPNNLYPFVAVLPSGGIFVQYWNEARILD EKTFATIKVLPKVPGAVNDPTSGRTYPLEGAAVLLPQRYPYSENLGVLICGGSNVGPG YALDNCVSTRPDDANPTWVIERMPSFRVMPCMAPLPDGTYLIANGAHHGVAGFGLANN PNLNALLYDPTKPVGSRITVMANTTIARMYHSEAITLLDGRVMISGSDPQDAVNPEEY RVEVFVPPYLLNGKPRPTFTLANRDWDWNQKTIPFTLGAAARNGAITVTLLGSVSSTH GNSMGARTIMPNVQCTGTSCTVDAPPNAHTAPPGWYQFFVLDGGVPAVGVYVRIGGDP AGLGNWPNTDGFSKPGI NEUTE1DRAFT_54435 MSSPAKTPSATAARRIHATANHLRPAAAALESTATSYPTTHDKI VDVKDTPWFIDNKFVTSSTTQYIDLHDPATNNLVTRVPQNTDEELKAAVASAQKAFES WRNTSVLHRQAIMFKFVGLIRENWDRLAASITLEQGKTFADAKGDVLRGLQVAEAACA APELLKGEVLEVAKDMETRSYREPLGVVAAICPFNFPAMIPLWCIPIATVTGNTLILK PSERDPGAAMILAELVQKAGFPEGVVNIVHGAHRTVNFILDEPAIKAISFVGGNKAGE YIFARGSANGKRVQANLGAKNHAAVLPDCNKNQFLNAVVGAAFGAAGQRCMALSTLVM VGETKNWLPELAERAKALNVNGGFEEGADLGPVISPQSKERIESLIASAEQEGATILL DGRGYKPAKYPNGNWIAPTIISNVTPSMKCYREEIFGPVLVCLNVETLDEAIELINSN EYGNGVAIFTRSGPTAETFRRRIEAGQVGINVPIPVPLPMFSFTGNKKSIAGGGASTF YGKPGVNFYTQLKTVTAHWAAQDAIGQKADVAMPTHS NEUTE1DRAFT_74601 MRFNVAAAAASAALLAGGVSADDSQKVLKDESSSSTVAEAATSV SPAEIPTFTPTKLKAPFLEQFTDGWDARWKPSHAKKETGPDTEEEWAYVGEWSVEEPV VFKGMEGDKGLVVKNAAAHHAISAKFPKQIDPKGKDLVVQYEVKLQNGLECGGAYLKL LRENKALHQDEFSNTTPYVIMFGPDKCGHTNKVHFIFNHKNPKTGEYEEKHLSAPPTA KIVKTTELYTLIVHPNNTFLIQQDGETVKEGSLLEDFVPSVNPEKEIDDPNDTKPEDW VDQARIPDPDAKKPDDWDEEAPYEIVDEEATMPEDWLVDEPQTIPDPEAQKPEDWDDE EDGDWIAPTVPNPKCFEVSGCGPWTKPMKKNPDYKGIWSAPLIDNPAYKGPWAPRKIA NPDYYEDKTPANFEPMGAIGFEIWTMQNNILFDNIYIGHSVEDAKALADETFFKKHPV EEKLEEAEKPKPEENKPSSPSDLKFTEDPVTYIKEKVDLFVTIAKKDPVEAIKFVPEV AGGAAALLVTFIAILASLVSGGSSPAPAVKKAAKDVKEKAKDVKDKAAEAVATGTEKA KEATKRNTRNQS NEUTE1DRAFT_74605 MCSPTTTTENNNDHLVQSSDPNHPANLIPALCAKFWTLGWVTGT GGGASIRSDDLVYLAPSGVQKELMKPDDIYVLSLAAQTQSLDKRQRVYLRSPANYKPS QCTPLFLAAFTKRNAGCCIHTHSHWAVLVTLILETQGAGKDKEFMINNIEQIKGFGKG FGKSGNLGYHDTLKIPVIENTAHEEDLTEFLEEAMDKYPDTYAVLVRRHGVYVWGENV HKAKTMCESLDYLFQLAVEMKQLGLPWVTDIEPTVPTRK NEUTE1DRAFT_106609 MRFTPLILTGLFALTASAQNATTSASYPVNSEQAAITKCIEACD AGDVSCTSKCIAVPNPSDSDVNATNDCVTACPKGSGTDADNAAYQSCVEGCISQHYFT ATTGAAGVVVANPTGTALSDSGTTGVTRTNVVVVSTMVSGSFTTTITSTSAASGAEPT ETGSDDDNKGDTSTALVESTRSAGGAAGRAAGAVGGLGGFLWAVAALL NEUTE1DRAFT_134044 MTTVAADSKTIVLITGATRGIGFETAKHLSTSPDQNYHIILCGR RPSSVESAITILRSLPNLHASTTFEFLNLDLTSDTTISAAAAAAHIAAKHGHLDTLVH SAAISSSFLHTNVTDPRAPERARENWHSILDTNLIGPALLTDALLPLLSASQKPERKI IFVSSGLGSIPYTFNNNNDEAANSRSSLDLDNVTSKYTEFSASKAALNMYAKHLAWRL ENAKEGKILGGTKGEQETKKWKVNVVCPGYTSKTAGGLDSFRGKQEPWEAAKTVVRVV QEQGEKTGTFRDKEGEVPW NEUTE1DRAFT_115516 MDIDDILREVDPVLHQIPQETRDLQDLTRAWVAERSTPELLPWP SNGLFERINDKIKRQIEKVEEMTGDMDPKTNFALIVIQTELERFKYLVRSFLRTRIAK IDKHLLHYISNDNLRTRMSQIEEAYARRHQLLLHNHYLNSFLGTFPPAMQNLNDTAGN ISMIDSPDLDSAVFIRLLKDAEIECHGVDVDGVLTGKEGDIVILRWSDANGLVEKGDA ELV NEUTE1DRAFT_106612 MLVPLMFLPDLEGTSKTPECRSKTRLKPQLHTQKNHNCYNGTRT LPIATLVRPQDNSTPDSDSQPQREDKPKSESKNHKDESPLYEITLYYPHNRACTIYRS REDFWLLRTGLLSSSSSTAPQPLTTLPTENREESEGDSEDVDKWDAMLKEALKRFKKS GHGRHSVEWFLRRRLGDCERMASGKGTGLPTGTTRRVRIKKPRNMDDMKTTDERAGMQ GYLVDFMAKLDEKVAVRDGVCLHEKDTEEEDDVISRESWTDDERGNVKDESVKDEGKR NNNGRQPDRERDEANDNTTEVPTAEETKERQSNGTEVVIGLDMISISHSKPLPDLSKL EGQSIAARRKSRQMIDKTGPLDQKTVPSTEGTLQRNMDNVETSKLNSESAVGVDTDED SSSDGTTVLTPTSSSAGEMSPGDPPPNEAHMYKFMYSPRASDSDWGPEDSQSSPTK NEUTE1DRAFT_93314 MSLQDTYKRFLGSPNAAALSDDASLHYITTTTSVAGASNITKHL STVASQVKKEKETVLYAIEGQDALALEVNTSLEFLITGGPYLPGLEDNYVADRKVNFT IFHIVTFNSDGKITQIRQSWDQGSLLKQVEVIGRSGRNWPIRDSKDQISLITRCIKEG GTPATDNQGSTSTRPKVTNAMRDPHASLSLFASREEVATPPEPVVSPYAGSKPRQRTF EEILGDEPVEDPTSPTTDRSRSMTPGVAPKAGASKHFQPIRLFETDENMPEVPDTPQS IYSVQRKVKPDPTKYKHFDFADGSDPADAPQPGVPFDKKPKTKHDSQWSFDDFTTPER PAPTRTILRRDDETHFSVGNDGPSPEIQKNNRGAGTNAASHLYDDNLLKADGSAPSPV EKSNRGAGTNAASHLYDDDLIKADGSAPSPAPRPLSNITNAKNREKHFEPHFEISDES PRAEKGANGRSKASENRQAAQRGLESHWSIEDESPVQRKENSNTGITIAGDGMGSRKG FEASTAVNKGITIAGDGMGGKKGTQRNWLTEEEEPQDMHLQNRNPGKKQTLKSDNFWD F NEUTE1DRAFT_134046 MDALYPRDVNAPARPRAHELKSKAAAGLKASKDKDHPPPPPNHV NEPPSSDRKEGAIYQVGKPLGKGGFAICYDGKLIGSSKRYALKIVKAQMASKMEQKFQ TELQIHSKMRHVNIVQFHRAFTFENCTYLVLELCSNGSLMDMVKRRKGLTEPEVRFYT VQIAGAIKYMHAKGIIHRDLKMGNIFLDKYMNAKIGDFGLAALLLTGKDMQIMRRTTL CGTPNYIAPEILEKGKKGHDHMVDIWSLGIIVYAMLTSKPPFQSSTTDEIYRRARERD YEWPSPDATQKYYSLEAKDLVASMLQDADMRPDPDTIVSHPFFTSGYMPVPSDISSRL RDSPPQNPAFYDPLSGPQAEADNLRNVQEMCKECSVGPWCTQQFVFKNIWREMAEEEA NGLTPVIPLAEGIVYRPYDEIKTEARRRARLLQQQQAEQQASKLTLSQQPQKRVPLAD IGGSRMEGVIHSQPQKPVVDDLSNSLAQASIANRGTTGLLRVPPQSFAAQQRAQGRPL ATVSSLGRSQTVPEPALRSASSTSLRTRSSRREVPETAPDALGSQPIRPTTRSTRSYP TTRSQAAVLPAEEPAPIQRSVPISTSQKPQEDKLSLFSPAEYQEAVPGTQPDIVLERL RRLQAELERALNARSMALVSSRDKTPSPPHIVVKWVDYTNKFGLGYILNDGSVGCILR SIPTQEGSRSGLLPPACVLVHGAERHCQRRDDPRYPDRHQILPQNEGVYFYENNGEDG INRVRVSPQNFHIPVNPDGTTGKLTAGKDIYDHRKRERIVLWKKFANYMIQYGRESDN QDDAPIRMPTITDPTVAPSDVVTFYQRFGDVGCWMFCDGHFQFNFPDHTKIVLDSTGT FCHFWHLPESAATHLSKTGSLSESALDERSVLSYPLQTLLNFSSPSRSTITSTSTSRR RPEIPAALQNIPSANQFREKITFIRDVIKEWSANGGLGNSDMSREKRIRWTGCRETRN ATGPMKHVWVTIGARWGDSRLSAYVDPRRPDELGEEVDENAKKR NEUTE1DRAFT_143493 MVSLPLFKLAALFVRHISKYGANHIKHQAHEHPKFRAFAAKYGQ MMHQLNMRLSVLALRNSDAELRAKEAKEKAEAPTVKTEEQVRKELELKAKYGVIPKES HAVREPPKSIWKRKFRPLPEPKAVDLFADVIGDAFILTIASALLLYEYYRSSSKPDPN VQRIKDLEKQLEEGKKREDEFFKRDEERQARLQAIEDALRAYKDPRTKKPIYPPSVAG SADESDEGFVLTRVFSGLTGKLGF NEUTE1DRAFT_51270 MSFDSKLPTILEVEVSDSAEQSDSSDHSATNPTSISSKSEEPPQ EQPAQAVADDKSSVPPTKPSSAPTSTPTDSKIASKPNVKTAHQLKHVFGAYGRMKTEW HEAMKQVLAVHGER NEUTE1DRAFT_93321 MYALTPTLRRSAAALTHSKNHGMTPGPLALLPPIYLYRRLLRAH RKYLPREMRLLGDEYVKAEFRAHRSVDNPAHLIGFLTEWQLYAQKIEGNSWVGEKLDK GKVEKMSDEQIGQLYELMQAIQKRGTEGDLEDGDGGESGQKSQ NEUTE1DRAFT_93323 MSRKRKAESGEVLKIGNKTISLEGYLTKKPKTASTPSTSTSDNN TPAPSTENDATTPTDPKEFKPSRPLTGDPSLFATRRALPLYLYQDRIRSILSKKDVLV LVGETGSGKSTQVPQFLYQERWCQRRRVKVKTKTREETVNVGGVIAITQPRRVAATTL AGRVAKECGTPLDTSGRGTGKDQEKGLVGYSVRFDHRVPPGTKIKYVTEGMLLQELLR DPHLRQYSAIIVDEIHERSVDVDLLSGFLKQIVTGDKAGRGGIPLKVVVMSATAQVER IKKFFSSDDSLKKGTSGEEVEYLEIEGRQFPVEVIHEPKPVPDIQEALLKTVFKIHTQ EPLSDKHGKKDILCFLTGQEEIEAAQRLIEEFAETLDPKVPKVKVFPLFGQLSMEAQH EAFQPIKHPFTRKIVLATNIAETSVTVPGVRYVVDCGKAKVKQYRPRLGMESLLAKPI SKSSAIQRTGRAGREGPGKCFRLYTADTFATLPKTDLPEILRTDVLGAVLTMKARGID DVLSFPLMDRPEIDAVENALVHLHVLGALGDDGRITDTGRKMVLFPVTAPYARVLLAA ADPRYDCLLEAIDIISCITAGDDIFMQVRSEKDKEAVDVYRKELQRREGDLITYLNTM QRFVSTPSADRGTFCKQRRINVRNMRQALNIRKQLRSLSVKNKMLAEFPMPDAEVTYQ PVSPETAERILKAFLKGFAMKTAVLAPDASYVTAHGKHVVAIHPSSSLHGVKREAIMF LEHVYTQKNYAKKVSAIQAGWIAEALAA NEUTE1DRAFT_93326 MIRRKRTALGAFFAGLFMAQGVMGDDILKTVGFNVCEQDAQVSV QRADVTYNNADRTVVFNVAGTSNQVQNVTAHLSVTAYGMNIYDNTFNPCDEGTFVKQL CPVPAGSFSAKGSQVLTSEVASMIPPIAFQIPDIAAMAKFELLSKESGQNVACIQSEV TNGKTAAVPAVSYIAAGVAGAALILTGISAAGAAVAGSSAAAGSAGGAASSAGVGTIS PSFTEVFGHFQGMAMNGMLSVSYPPVYRNFAKNFGFSTGIIPWAAMQTSIDDFRSKTG GNLTHNSFTFLRENATLVFPDNTTTTLHENTASSAPVKRAFTEFIRLAAREIETSVNL TDSSAANTTESGNGTTTASDNTDSFRVAVKGIQSYVQQLAIPSANMFMTILLIVAIII AAIVVGILLVKVVLEIWALFGSFPAKLSGFRRNYWISITRTITSLIMLLYGVWVLYCV FQFTQGDSWAAKLLAGLTLALFSGVLAFFSYKIWSTARELKKREGDVAGLYNDKNIWV RYSLFYESYRKDYWWIFVPTIVYMFSKSVVLAGADGSGMVQTIAQLTIEGLMLCLLIW SRPYERKSGNVINIMIQTVRVLSVVCILVFVEEFGIAQTTQTVTGVVLIAIQSTLTGI LAILIVWNAINALCKANPHRQKRKEMEKLQQRDTLTPLDARNSLLLGRAKTADSTSTF STLDKPYANHDEEKAILASRDSAAPPLPDLGLIPGPNQRTSTATTTAGPGPDVGNKAG SKLGRIQSGYTMSVYSDHSRSTTPFQYDGAAAPPMPQQPQQVQQQSSLGRMGSREQLT ASPAPMGISNPPMNPMTRQPTMPNVGAAGTGYRGMPATGQAQGQGPRPARSGSAPGSA PGQQGGGYQMRFQPQRPPRYGAGSQSAGSAVGGYGQQRQRQMSQSSQGQGGYYGQQQQ QQQQRQGSQSSQGGYYGQQQQQQGGGPVYRPQY NEUTE1DRAFT_126972 MSLGHTCYTPSSLADNDSNTPERNQLSQSSHLAPNTLRNSASPA LNNTQTPEESLAAFGNHHQQMFFGDHDDQFYGINFSSLTDGSPSFLDDDFLQIANSNP FADDISSEPVQKTQNAFLNASHLPISPEKTPSLQTSPNPEAGSNQATFPKRPVISVIT QEVTHRPKQPSLSDSQDSSQPGYELTSEVTNSAELGDDGSVPSINVMSEQSPRVTVSD YDTINSYTINGAQHHAPHPGINENDFVHQTPDDNMSPSSTLARDESVRWAPDQASGRS GVAPGNRSSAEVRSVNELAAEKQVQERNEEVDAWMARLKAVSRTRSGFVDVNQPFIRP LDHDDESIDPREIGAEPSENQKISGQTYFTEKGGEMTAKDRAIIYGNRNWADAPLLLP ITSERHQPETSHDAMKKFEQMCKDNGSIISRAATWGTRRRSIGTIDAEVESAGNILKK LSLSRGDSIRRPSAIFQELRGLVRRPSNAKRTRAENEEVASNSTRSSVDRKEGQGNLN LAPPNRTGSLGKKQSVPSINTALVAMSNLASIGAVHARNSSISTTPITSPNAKSPARN LGVNLTRLRSRSDAPTLAAMLKNHGGPPAPLPRPSAEPKPSAEVIEIDDDSDEEDDED GAGDSNADSSASIVHVKPTQDGFKQHFLELHPKLPEKSRYLVDRIAYQQMVRYKALLN HKKEHEQHLMNKSCPSGSMCIKSGGKAKLMRANPGGRGLSVRSEEDVPLGDKMINPNQ FPANIPPPITRDLPAELECQLCFQAKKFEKPSDWTKHVHEDVSPFACTWENCRDGNKT FKRKADWVRHENEGHRHLEWWKCGVDDCTHDCFRRDNFLQHLVREHKFQEPKYKTKGD IRKAGGACHPTWQMVEKCHRVTKTKPEQEPCRFCGEKLTTWKKLTVHLAKHMEQISLP IIKLVERTEVDPDTIISPVQEPPPRHFPPPIPEKSDRRHSGQPHMQQRFDPAIAGLSH MPMRQMPMSYSNTPQQHTGFNGFQSFPSPGYHAVPFGDLSQGMMTSGSMNMPMAQQPM SQGYANLIASQAAYTTMPMTTTAGYLTSPMSASQMGVSSQLTTPLMGTPLMGPVPNQF VGVSPDGMGTESFPGIDLNMNMNALGLQDSASMGYANLDSGGGMLTNTSLTSNPSQGN SLSHSQNGHHGRQHSHGHMVAQFMPMTGPHGSVSPFGTSPMPGQNGFY NEUTE1DRAFT_97226 MGLELPAYVLSALTVTGGIAGYARTKSKPSIIAGCAVGLLYGLG GYRIQNNQPYGVELGLLASVVLGGASLPRAIKLRKPVPM NEUTE1DRAFT_150983 MHPLLHTKDNAACHDVMVAFEECHARGFLWKSMGMCNELKEELA ACLRAERFKTQKINQSSVADKKAIIRQKWKEIDENS NEUTE1DRAFT_52003 MYVEVTDSFLRTDLQLQYTTYKNTLQQIAQKIGDVEQEAEEHKL VLETLDPLPADRKCFRMINGVLVERTVEDVIPALKTNAEGLKKVLNDLVKQYQNKQEE LDKWKKKNNVQVVQS NEUTE1DRAFT_97228 MPCHQGWSAVDVFKGKEGSACEGDNDRERATGDDVVLGYFLFQH REIDSAWDHIMDVDVDSCQGVADISSRTERMWKSSPKMK NEUTE1DRAFT_106626 MSDSPQSNSKDVEQGAPSPGEEPQMNDPQDPHSSGLNQYEFEVK EQDRWLPIANVARIMKNALPENAKIAKEAKECMQECVSEFISFITSEASEKCQQEKRK TVNGEDILFAMTSLGFENYAEALKIYLSKYREQQNQTNRSENQQNRPSSQGYGAPQGQ NQPGQGGFSSNDLGGQQESGDASGYNLYGAQTGHNGGGAEGY NEUTE1DRAFT_134053 MTTLTPVATRSQATPLTSSAIKSILRKPTTVLGRRSREEDGESS DGLPGSSPAKKRKTVMFNDKLNMIKEFGGKTLDDAKREVKQALEGHARGDDEDYDGLK EIFCPSPKRTIVEEEDADAPQPQDLQVYVVALTSHAPLLDRSCSGLIRAVLNCTWLDR DDVFARAYIQLLAALSAKVYFRQQALSMMVDKFTETRSSTVEVVPGFPPVDSETKKER LHLGLKYLLELYADARPMILDIVTHNFPHTEESKTRHMEYIDHLLRLKVDKLDLERDI MELILSRLVKLDVEMTLDLENDDDETTRAVLRQLEASNAKSDDEDDDAMSDADSDDED EEESDEQTRRILLLKSKLETMDAILDLLFSIYDPVFADPDSPEALATFEDLLTDFVNV ILPHLKSRHTQYLLFKFAMKSNRLMEMFIGTLFNIAFQSTRAPVVKQAAVAYLASFTA RGARVQSDQVQLIAQTFLDYIDHYRNTHTSCRGPDIRRYGQYYAYFQGLLYIFCFRWR DLIDRDEVPASFDWDDPASFLGQDLPWMKGLRQRLQVNIASKLNPLKCCSPIIVDEFA RLSHHLRLIYIYPQIEKNKTIHLSQFFTSSYATGGALRDSGFEFDHENWTHIEACFPF DPFQLPVAKRWLDLDKNYVEWRSIAMLEKGGDDDSDSDDEDDDDVSEDGMGEIGDASD YESDEEVEAGGVEHDDESTDEERDDGYHGSFRRI NEUTE1DRAFT_126979 MSDGDDDDLDDICVEHNSISQRPLNQMYSYVSSSSSSSSSSLPS SSSFPSSCSTKGQSTATTQQHEPTKPSSTMIMISQPSSSSSSFSTTNSNSNSNFNNDI NNNSKTSTSLSLSQAVTPPDLLQLQHHDPLNPQTTHQLDSPSPLMSNRDTDHMHTDTS TSTGTSPSTSSSTSTTTTFSSPGNSTPTSTPTSDTSPDTSTSTGPHHQKPTHHTRRRL QVRRAQSSHRQRKADYIKRLEREIASIRGMIDQMKEDMEVLRGENEDLGVMAVRR NEUTE1DRAFT_51643 FILSRFLFTIFSASSPDLHQHPASESAPASSLSTSSLDPHQHDH EQQRGQ NEUTE1DRAFT_74639 MSSDDEIVNILSLDGGGVRALSEVMMLDRIMKRIKEKQGLAEIP KPCDYFHLIGGIGTGGIAAILFGRLRMTTTEALTGYENIASEIFSTRKRRLEFSVDFR EEKVEKGMKNIMKGRNDGTQMRDEKGDRSIGKSFVVARRSDGSLRRIRAYRHKQNQLD FTIYEAARATAASIESAGDRYFSDDTKDYKNPIREVLEEATEVLENTAKVGCVLSLGA GTKDIHHRDEPTKDGKKRHTEKTNNEMKRRFANVPNTYFRLNVYDMADKVEANKSHEI PAVKSETERWLGTSAIRGVIDRIADVLIKGTTSGVTVDKLTLDEINPKPKQEEEKTVE SPPAHPVSYANTNSNQLIDYNNNDMDPNDPTYRAEQAALWAQYSEYASALGPSSPESL DLLHRIFKHMLTHKHLSCSLRVAEEAYKRSLARHGPPSAVTALTTCSHLGDVITALTS LGEFARAYDLLEGLVGIFAKVSGPEHINTWQAMEALEELKKRKREREWGMEQAMSIMR KHEDWVRGRPEAVLRAEVGDANYEQRDRNYVNAVVAYTEIPVVDVCRAKGGCKEKGFR EVDGKLAIGRTSKDVEMEMGLVDDFS NEUTE1DRAFT_74640 MVHQGKRHQRIDGPVNLLSLDGGGVRGLSEVVMLHRIMKRVQEI EGFKELPKPCEYFHIMGGTSTGGLVAILLGRLRMTTEEALAKYYDLGKVIFHRHNKKR LEISAKYGAEALETVVKKLVQERRTSELMYDPTDEPTTCKAFVCAVTSAKIGPPRRFR SYSSKDRKYSNCKIWEAARATSAAPTFFAPMTISHDNVPEEFLDGALGYNNPITEVLN EAGTSLDPTLKLGCILSLGCGTKADKTLRRSGRWFGQGLSWGWRMGKVMKDSLTDPDP KHIDVARFLDGWNETYFRFSVPGAADAVKLPEYKKMKMLEKMTEKYMDIPEVAAQIEK VARILAERKSEGAWIGLVCQLEKSAVAMSLQARPMGLSSNFFTGRADILRNMAKVLLS DPDGRNQRRREYLLWGMGGIGKTQIALRFAEIHGDRFEHVFWLDATNKNTVQQSYHNI ALKLGIQTDKIEESQAVVLNWMNASNGRWLLIFDNYAHKGDEYGQYNDIIPRRGNLLY SSRTNTLLQRLGPEAVSEVREMDDDEATTFFIKTLHRTIVDPEERQQCTALVKELGCL PLAIDQAGANIHMTERNIEDYLTEFRKRRDKLLRERQESTTGTDMEGDPAVYVTFDLS YLALRRQSRRINEDQHARDYETAIKILNIICFYHYDNIPEEMFMRAANWRSEQLEEEG QQEIPIDQQLGGDTDRNANIIIELDEDRKWDPTWFRRGANILKQFSLVRIDRHRSLSM HVLVHSWARDRLDTSAKDQYGFTEKESYALSARCILFESIPELSRPEEFSYRTKVVPH GLALNEHAPPTAIGDLYREAHYDKVWALANENVGNWEEAEKFLISSIQRYKQNSWLDE GRAIEAIDRLGDFYVKRQDWHKAHMTLLEAYERNRYICLKRDRVSYVRENGKVIETDS EEYVTPREAGEYCRRVITSLRRLADLLMEAGYPEGRLEALERADEMIWESGIKSDNEY LQPCGDQIIETEYWIERGQNPKAVANLTWEEQDEIYKEIEKMKADYRKKHKLVDEKMD IHKQDAYRKEILSSTTLSFHEKTDLLWAHYDEIKDIYGDDDIMTLIASESLAKLGLQF QESLPSPSSSSSHTNKPPPQLQQPPPRLPCPLLVHRNALALASKKYGQTHSHTLVSLE RHGLTLFKLNRLREALKLSIVFKDLITANHSANHDYSIIAAQRVKTVLQVGDPRFSDH RIKGVGGCFAGCTAQSHRETAAKLGVRRDWLNAKGEVVDVVGDLERGYMEMMEETRQN REDLELAEGVWAKKEEEGSRGVPMGEEEVVMMMQV NEUTE1DRAFT_74641 MGWSFGRKKNKEAAAAENPYAQQPSADPYANQHASPAQSQSTLS STASSGPSPYQNQQAPPPYSAGGPVQQPPAAGRYTNERFGTTDKYGDNRYDTPSSSHQ NPYAPSTSSNYNSGVSAQPSSNKQQYPVTYGDNKQPGQIPPDGYEPSPQELYGNKYGV PQEELTEEQKEDVEFTDVRNEIVNEQNATINTLDRTMDTSYAALANMRNARQQLREQN ERLLYAKGNIDHGTAQARQAKERVKELERVNRSMFLPVGHSKKTLDRMDRDRLQAELE EKEIREQNARELYKNRSQAQMANMKPKKLGLGGGADNKKKYALEDDEEMDHNENAINT KIALMEQVAEELKDGALDIGAGLDLSNRYIETMTENTDRLNIEVRRNKMALERF NEUTE1DRAFT_134057 MGHKMSKPLRQEADISEKPKPAQRYSTWGSTTTWRTNTWASRDA DPDLEAQMQTEKTETKKKTKKKSIWRSLACGIKHSPALACDTFKPAFPWIALLHCPFL CISASPPPLRSQEPAEKEAPWTPSMFRLPFDWTLGGNIRQHLLTGLDMG NEUTE1DRAFT_115535 MSVFTFDPNPPRVSSPWLKSSEGPSRRSTPEARSSTPTTLGVIQ TGLLSDYNGITRLEAEPQEGPTEYKLHLLLRPRRKYEHSSTSTAKICGSTQSRPLPYD PTSKSLRGTPVSVGGGPGATTTRQDRFEHLTTQLLWRLQQSCPYHASSAAVDLVIPKL PAPYELERDGKVKLGKLLPGLEASRGALYEIGVADDGTLVGLTRDELDESLANLRVMA ASLGCNMNVLRMVIVGECEWIESSDELGDSLFEEPRKSRRKDKLWVAEALIMPDLTAQ ASGTVPSALPTMKQGPLTANQLRVTLTGPTTSGKSTLLGILSQGSLDNGRGKSRLILL KHPHEVASGVTSSVAQALVGYHEDEIINDAHSGVESWIDVHDFTRDGRLVYLVDSAGA PRYRRTILRGTVGWAPHWTLLCIAADGSDASPSVDAPAPGTTPTGGSNGIDLASAHLE LCLRLKLPLAIIITKLDLASKPSIVSTLNKVLTGIKRAGRTPVILKADATNPSDLTHV SPTEEAAVAKIADQLASNGDFLSVVPIVLTSAVDGRGLGMLHALLQGLPLPPTPTAHD FTGPALNPEQPASLFHIEDQYNLAASSSLATGDSDEETDLGTVVAGYLRFGSLSIGDT VVVGPFPSSSSSDDISDTPSPATPSLGAVGATATGSDSHLRPTLSDIEANPSSAELNR LNSSAKSNPSASASSAKGEWHTAKVVSIRNLQLPVQTLAAGQVGSIGMVFDHRDPNTA ETSNGNGNGNGTGGKRAARIRKGMVLAVPGKHMSSTTLQAASGVTAVFEDDNHGSSYG DGNAEDEGVAGSLTVGSLVNVYVASVRASARVVRVTRLTPSPSLSPESTGKNEEGDGD VFTFGEGEDAYKDPLHAGNGDGDGLDPNGEETRKKGCEVQLELLSNREWIELGSRILI LGDNGSRDRSSRLEGFVGRVVEIVE NEUTE1DRAFT_134059 MLSERDFQISPIVQESVMHNSKALQNLQSLSASLFGISAGILGL ESYSGFLFYLFFSLLTSFLIYLVRVAPLSSPKEYKDNKGTGNGLGSGGLVLSGWAEEG KGGGREGEKRRVEGWRWDVGDGRWDVVMCGKT NEUTE1DRAFT_150992 METVIQDCEPLANYLKDQGEETRNNDWSTPPASESDHNGQFHDA HDDRLSEHSISPPSSPNFAPVGRPMVRKRFRKNAPEPIQLEIPHRMKRYSSLGSLHDS YSVRIFYAQIIGAMCVELMTFVRGEKKAVTARIDRADNQKFIEQFRYTIVASQLLSGH SVAGLNHFYRNQDADASKEQNNDVVLPNSTGLIATAAGALVVALGIRWLCLGGYARLT KKGVLVAVVIAVAVGLVAHFYIRKQWLRYVRNKALAEVATFVAKSQDWDSATSAALSL IQEVELVSRGYRLSAPLPPISRIEDRSQTRRCGRLRKALKQRFADMIKSYIQVTTAVK GLSEQLDLEKYYDVYDITDFDVSDAMQGFPEVATDEAESLRVLKIAAARFHTIRKLLL CALLAFEATGDNSDFVRWSTAAQCLSQLSSLTGDCYERLRLILSDEESFPAIPETKFP LSPNREKRRTQFRKLNSLSTGIRGLQAKLALLREESEKALNEADDISEVGLDLMSQYE SIGQDLKMLQQAWEDGKAALASGIDRNEKRISSMSMLYSPATSLSGLTTVGEENETGG GAEDALKALTGETSPTRNSSPSPNEPDVFEAVALSARPRSMLTREERLVKMKEERETR AMQREKAEASRGMLKELEMVINLRPKRHTTMGPGGGNGRSAAPTRISL NEUTE1DRAFT_126986 MLEARLEQASILKKVVDAIKDLVQDCNFDCNDSGIALQAMDNSH VALVSMMLKTETFSPFRCDRNIALGVNLTSLTKVLRAAQNEDILTLKAEDAPDVLNLV FESSENDRISEYDLKLMDIDQEHLGIPDTEYAATISMPSSEFKRITTDLMAMSESVNI EASKDGVKFSCQGDIGNGSITLRQHTNVDKPSENIEIELSEPVSLTFSLKYLVNFCKA SALSSTVKICLSNEVPLLVEYNISASSYLRFYLAPKIGDEE NEUTE1DRAFT_93356 MSDGEPPVPDTRVLAVASHVVSGYVGNKIAVFSMQSLGCDVAAL NTVQFSNHTGYRQFTGTRVSASEITDLYRGLKQSYLDDFDMMLSGYVPGAPALEAVGE IAKELKEKAQARGKPGSFFWVLDPVMGDNGSLLLSEVKIIDMPSLTRAISVLHERYAI PHIIITSVSLPGATTVSSTMPNSVPGSSAPTPTPQEEGQGQSQPPRTKTLSVVGSTMT SARRPRAFQISFPAIDCYFSGTGDMFSALMLVRMREAVYNTEGDLTERESWLSDDSVD ALDLPLAKAAEKVLASMHEVLTKTAEGMKGRVQRAKGFVDEQLQREKAKTDGVNGCGH ANGHESVEDGHVDKKPRLDSTQTTTTNGNSNGVEDGEKTEERIKEEAERRRKQVEEAE KEEEVQARKRLHLMKSKAAELRLVRHLDSLRHPKVEFRARRIECS NEUTE1DRAFT_53084 MTADTQTPVTKVDQAPPLLIKKLSDKARLPTRGSAFAAGYDIYA SKETTIPARGKGLVDTDISMAVPAGTYGRIAPRSGLAAKNFIDVGAGVIDADYRGQVK VLLFNHSDVDFAVNEGDRVAQLVLERIYTPEVVEVEQLEESVRGAGGFGSTGVSSSVG EQVKN NEUTE1DRAFT_150996 MSTTNNTNTMEGIIQGSTTTGSSNGSGGRVKDPVHEANLERTIR ELKRMTAEAEATLNELKQNIAQNGPPPPLNPLSSMHLLTRAYTSLAESDPTPLLPFPD SVLPALLAIRKTSQTITQTNSYLSSSSTLLDRQKHHLEDLKTEVREQKELQEALQARV ELLRKGMEAKKERTPEELAKEELEKMEEERNRYDEETKKLIRELNWFIEEYLGPLLAA EEMGGPVVGEMMDLDAEDLEAGFNARGKLKKAKAKKTDEEEEGDGRQRRIDEIWGAQK DQQEGRQGTGKRKRERDEASAAGAEMRSLIEKLLNKSMDAGGDSSAAYVKIERETAAA RFLVRSKVAVFHPRDATRLRLVDFGRELDD NEUTE1DRAFT_119308 MSASPQPTQSAKRPLDESSSPSRNDQPEAKRLALDKVVKDAQEE VEAPAPEDSHNADETAGSATPTPAPESNGTKVKGDKSEGQDVQGDTVVPDAPQSKATK DHGARSRAQPPVSAQPHDETAWIHVRSVISSPEAATIIGKGGENVSKIREMSNAKCTV SDYQKGAVERILTVSGVVDAVAKAFGLIIRTLNNEPLAEPSNTHSKTYPLRLLIPHVL IGSIIGKGGARIKEIQEASGARLNASDSCLPLSTERSLVVMGVADAVHIATYYVGSTL LEQLNERFGGPAASAYATRSGGPAASIPGGLQVVPYVPQPAGGNFGNRDYYQRNRGPD PRSHHGMPPSQYPAPYGAPHPAQPNPAVPMHYGAHAAGGYGAAAPHVAPHVGHAGPAP HAAGPHGAPVAGAALTQQIYIPNDMVGAIIGKGGQKINEIRQISGSVIKINEPQDNSN ERLVTITGTEECNRMALYLLYQRLESEKHRS NEUTE1DRAFT_53312 MKSFALTTALSLAMAGSTLAVPMMQQGHAIAHINAEALKNNLAM AKANTNMNTKRQTTPATSPAASVPAGTVPSVALTDLDRVVSSVGVIVKNAQDDFQKID IKDPQGITGILSQLLGNVTQTVQQGTRRIKANQKAAILPVGAGWGVAGGAYPLGVLGG HLGGLGGLGGLLGVTDGLAGLTGVTGLLSSLLNTVTGLLGNLLQLGGGDATSGSGLDA NTLASLANLQALLSLSGGLTGGVPLGTLPLGDLSNLAGILSPELLNQLLSGTATGSPL SVIESLLEAVQELLSSVLSGSVGVTAAITGDLGADASTSTSA NEUTE1DRAFT_119309 MDDTGSNPPIAGPFQPAHPPTLDNPITEAPAPHTTPVAPGTAGK TGPDAAAQGMGGGARGSLSSESSKLIDESTKLFPNVAGAASEAFRSERSASTSSTTSV TGSDRPMGSASTVTEVPYGRRPSHGHGGHHGLFEGLIDQKRRNDPASVARRQSLSEQR PVPQGFIAKMWDNWVRGAPPDI NEUTE1DRAFT_93370 MATSSVSKIVSQPRSLAEVCAALRAKLLAFLALHSNDETVQGTQ RRARDAMEVIEEALRRYRPEELSLSYNGGKDCLVLLILILACWPASLQPPSSSSSSSS SISNSSKQTLPRLQCIYIAPPDPFQEVEDFVATTTEEYHLDLARYALPMRQALDCYLD EKPHVKAVFMGTRRTDPHSEFLASFTPTDKGWPQFMRINPVLDWHYVEIWTFIRQLDI PFCSLYSQGFSSLGGTKDTRPNPALALNAEGNKFRPAYELTRDDEERLGRDR NEUTE1DRAFT_106645 MLLYAVYVDGSCSMFRLSEAESPSLSSPVPALTLAPALSSLLPF ARPVVLLPLPLPFPLENINLSSLKLRLR NEUTE1DRAFT_143519 MYRRHTDNGEGSSRRENPPRRPTRITVVIRDEPVDRPPPHIERE ERRHPWPPLRFPPPMVRWDLPSRPLPPVPPPRREGLWARAQELLGRNRHFLDRIDREV ALDRLEARQAERRAQRAQQNRQEEEARRDRHEQERRQEMGAERRREEHLEQVTRRDER NRQHGGNVPAARANSVEARRTPVNRPLVPQVLALVPAAPVATATTVNAATAPVPEPSV DGNDLVPCAVCTEEFPRSQVLTTSCAPDPHHYCGQCLQSFFSNAMDGNPGNEDPSREV RARNERFRRLLGVEMIRQYIARTEQVRMEREHTGTRCSNVDCGWLVPERFTGVEGRTE TEIVCHHCRQVTCTICEGRAHRARYQERCPGHRRRTEEQQQSEEQADRQFRQLRRDEA WRTCPTCDMTVERDGGCNLVKCIKCKTYFCYHCLLIHPNGHGDGPLCACPIFDLHEPE RRIRERRAAASPAPAPPDPGRHRHRHHRHDDHFLDHIPEDDDFFHGGDHRDIFDNAPE ELLNRPIELDSDDDIDADDLRFILDALTRRRMP NEUTE1DRAFT_93373 MTDGCRCRSNRVPALPCPALPYGSIPFVDLSSLVFVSSSLSCVS TGEVDRVFSFPDFHCFASNQTGNTVFLLLAAALPEFNPSMFITANIATSLGFFLGSAY LTGQLGHLIGPRRRLWLLVTNTIQTTLVFIAAALQFGNYHTNTPGKLLFESGSIDTME AVTGTRTLVCIALLASAAGSQVVLSRSLNMTEISTAMATAAWVDLVIDKRLMSRPLKE NRGRNRRVAFLVSLAVGSLVGACIYRWVGSAVAVTVSGGGKAVVGGMFLFSRGEGKEK VGDVEGGKFLSDACGDEKKSGKECVDGKEAEGGGSGSDSEAEMGPASGRADGNV NEUTE1DRAFT_126996 MTASSDHPITTPPRAPSPVHGFGTLAVHAGSPHDPATGAVIEAI SLSTTFAQTAVGKPVGEFEYSRSSNPNRANFEKMVAALEHAKYALAYSSGSATTANIL QSLAAGSHVISVSDVYGGTHRYFTQVAKAHGVKVTFTPEIEVDIRDHITDATKLVWIE TPSNPTLRLVDIRAVATAAHERGILVVVDNTFLSPYVQNPLDHGADIVVHSVTKYING HSDVVMGVAAFNSDELYARLSFLQNAIGAVPSAFDSWLAHRGAKTLHLRAREATTNAT AIAHALEASPLVISVNYPGLESHPHRAIALKQHRNGMGGGMLSFRIHGGHAAAEKFCQ YTKIFTLAESLGGVESLCEIPSSMTHAGIPKAQREAVGIFDDLVRISCGVEDAEDLKA DVLQALERAVADAANGVSNGVNGTH NEUTE1DRAFT_143522 MDEAVNSNDDSDQSVVVIAQQDWVIISTTDTIDDQQQPHSTAFP SGHNKMGVRGLGEARISTLS NEUTE1DRAFT_151002 MPPRRSHKKSRAGCRRCKSRKIKCDEVHPRCGNCVKHGVPCDFE HPEIIPELQAAQTASVSSPSTAAGTPYTPAYSPGAESVSNASTSRFQRAPPLCRSPPS QALSTTTPVAHRMLELRLMHHYTAMTCKTFTFTAPTTEHIWKITVPGMAFSGSQHLTD AILAVAALHLRSQSPNDKDLVRASHAYMAASLAEYSATLTKGIDSTNAESLFLTASLI AFQSTATRVFMKDELNLAQATGKYTEDFNKQGRYSAAGCYSIPFSWFHSFQGVKAVTA ASWQWLRTSPVVTEVINSQVVLQLDLGLNRPTFFGHLLDDLSEELEEMANPSGPASLH PDSPGQPFQQRRVPASPELVTSTRQAYQHAVAVLNWAHKIPHKGAPLAFPATVSKRFI ELLEERRPRALAILACFFALLKSLDSVWWLHGMARREVLGVVSLFNSDYFGPEAYSKW WPHLEWAMRIALYETPDGSSTIPPEVWGADWYAEEQALEGQGGHSYYRHIELLCQTGN STRSIPEVP NEUTE1DRAFT_126998 MLRQVKPRTARSKRALEKRAPKLNENPKTALFLRGTTCSQVTQD AMQDLYSLRQTHAKRFHKKNPVHPFEDASSLTFFSEKNDTSLILFGSSSKKRPHTITF CRTFDYKMLDMLEFYLDPETFRSIAQFKTKKVPVGTKPLMVFAGTAFESPVPNAFTTA KSMLIDFFRGEPSDKIDVEGLQYCVVVSADEPTSSSTDPSDPSTKPTLHLRAYLIRTK RSGQKLPRVEVEEHGPRMDFRLGRLQQPDADMLKEAMRKPKTNEERTKKNISMDSIGD KIGRIHMGKLDLSELQTRKMKGLKRSRDVVDEDFDDADMPMEGVKEVSDRIVGPRKKK ARDVVEF NEUTE1DRAFT_53139 MSLLGTIGPRVPLFLPFPCASVVLELVYEILNLNAVAYNFRKVV SPHVPTSSEECSFSLRKKHSLHSRIGTRMTCAEKQLDAAITELLMSVPFTIPGRDV NEUTE1DRAFT_93383 MDIVRPVQGSRAKALHAFDSPKSLQSRTTADHDGNQASKPSSPF SRGKSRLNRTSITSITPALSSSGNDTPVDPKPEGLLKLDIPRTGFDTGMTGSAQILTK TTKSPTSPSETHIHRLSTLFTSGRCNSLSSRIDLLNSPTSPISPTSAPPTLPDLNVPL TLDFAAELGRSFSIGSKIEPLRGVASKETVVTIKDAEPETPPAIAPVAPAILTVSTTE LTTAKTTTTTTTTSTAPIIPPKSPARESLSHRNSMIDRRRSWMPGSTTTVIYDAKETA EDRRTRKLSKPNHGTGTQEAALEDVKELQRPKTANVESFADYARRSWIPSSRSPSLPP KTLTKQSPVEGGHSEGRRTSKVEGLLRLGSKRDKSDGGVSGSATGAGGDADASRPPES SSRSRALHRASSFVARIKQRPQSVLFSRSLSTTSISASSVISSKSSDLDSNASSGIDT STSAAKPVNQSTPTTTPAKKLLQAKDAKHDVSSSNSDAPSTTRNSSLDTIVTAATSEH ASHSTADTSITMPHPTSGDPLWSTFRTLDSEFSRFVAKNSTPMRMNVVRSTLVPFLRS TSHHPSNTNTKLLAPEDFERRATILNKWWNGLLDMLDAGQSRLDRNAFAASAGTGPVT PPPPTLGTNLQPVAGVDRPTLLETITILMMRPEWRSCTSSFQPLSQRSPEERVRGRSS TQSTADEAELAAIDFVAQSAEHNVRTMFVNSLARQMALVVDKMCLRHAPLSLVNWSGK ACAYAFFFVPGVADILVRLWQLNSDLLRRTSDELGLPRRSKGESEDLVALFPPHLGQW GWTSVKTLGNKLRMAAKPPVTLTKPAWHGSWVSRWRGGDTDLFYIFCKYFHILCADFM PQGLPLVEKARAPGFVLVHAQLLSTLDGAIHRQASMDALLGPPIADSLHGTDATMTGA TGLSLPSNVLKGMDENRLVALLKDMLSGKSVVGSEIRHNFAESFMAVMVAATKRTSKY DHAACFMLCDFLQEALMAFNAYQDNQNNSIAIPDTPSSSLVNYIDWPFWFEVSKLIMD SNNTMSEIRIISFLYSVWDAIVADPVRKERLCCEWLLTEETFERFFNHWCPMVRAYYM RLLCWRVCRDTGSINELDNKIFLLVSQRLRAVWSHYLWMWQTAEAEGRMPPSRAPCLP TPGKRFLIIRSEFQPAQTPLYLGFDSFTTSFPGMDGSFDYQSTSNESRSSIRVEETSK KRWSLLGKVLSFTASQAHLPNGATNGKRTWDEELEQARRETAAAAAARAGPPPPGKQN SAMNAKPSSDSGSSSGSAPVSDAATFVFRFALTWQGTVGPQRDMVLYRPRLPAPAHSR ILNCAAVSSDGPLNREVYSSPGSPVINSGLSPFARRCSGQSETGLISHVRNARPLSSE ASSEKNPNRRRSTGGNVAMFHIGDSSDDEGPLVDTRSAVRMRDGSPFARARSPPPTGF DSEVNRLHLAVRAQKPVGIYASGAVYTGRALAEWSLVVSECNSFVERRRGEGVLGLKD VEVPNLGVEGMGLKRGG NEUTE1DRAFT_93386 MAKLSVKNNLPRPHLVSLSSSTTGSGSRPASAKHGSASSSTFDQ QQQYQQQKRQRSQSEARQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQAQQHARTTYAQRPQPTPQQRPPQNLLT PASTTGASVGPLQRAYSVSLAARQSPSTNLIRPKTDSPAPHTLHLKNKKNLRHPAPTP DSPIVDDDIFSDAVDLTEELDHDHDLNGKDKEHTDNDNTVASSSLIGFGDDKLLWRED FAERADPDAERGGSRPRQVKKRKISNDYIMKDEDVSLFDDDEGEEDDEFMDINELVDG DRQSTPKSKTPSRFVSTRLPPTVSLQRGRSPKRKEASIEKRTTENLQQAARDDEPSFL SSPDVDNSRKRKSSGSPKGLTTPRPQPEQTEEVPGTTTAKKPRRSEVMDSEDEAFTPF SAGSLPGSAEFFRSGGTTTRELGLDEDTVMDTPSRPPVESTLPTLESVESRPPPPPPM DLPSQRKPLEPLNTPRNQLLESVERPTQQPSVGPSFAQSSTLAESSLPPSMPPPSEDP LNTRENSNFEDFDYKLHKPLLDLFVNTPAILERELSAVNDELQENMIKLRDCLRLPRE ERDRAREEVKKEKEMLKRRDIALRALQEEHKLYVKKRKEHDSINEEIVRAYAEEDDEY EDQLMAQLDKLEDEIEAIVKSLTRHIVAAGITEKSFDLKKEEEEEEKPIIIATPTPST RTEAPVLPTAEYHNSQQVILQTQHPAAQQVAHRMPPPPTPSFQTARQTPASYQSRPTN NSFPDISAEEAMMFDEEDPFMEQQHAPASAPFQATLPQRNSPFKTASSKPVHGHDYFD DEDDDADLLAAVDSVETYTSTAATTTNNNNHLRSQSVMSTSTATTIKPRKRNENANTK KAKSLHAKLSMPPEKMKYAWSNDVRKALKDRFRMSGFRQNQLEAINATLGGKDAFVLM PTGGGKSLCYQLPAVVRSGKTRGITVVISPLLSLMLDQVNHLANLMIQAYAFNGDMNS EMRRMVFQKLDAEHPEHELQLLYVTPEMVSKNQTFVNKMMDLYRRKKLARIVIDEAHC VSQWGHDFRPDYKAIGEFRKRFPGVPVMALTATATQNVILDVKHNLAMEDCQTFSQSF NRPNLYYEVRMKEQNLIARIAELIKEKYDGQTGIIYTLSRKSAENIAKNLQEKHRIKA KHYHASITTDEKISVQHEWQTGQVKVVVATIAFGMGIDKPDVRFVIHQHIPKSLEGYY QETGRAGRDGKPSDCYLYFAYGDIQSLRRMIADGEGDYAQKERQLQMLNRVVSYCESQ HTCRREEVLRYFGEEFDYRKCRDGCDNCRNGRISKSTEMRDFTEIAFAAIEVVKSQQP ITLGKLCDILMGKRKNEHGGVCHFGIAKGSTQRELQRIVLQLNFHKALGEDNIMNGAG MPITYYITGPEAGAYLYNGKRLMLPVPSNKSVEPPSRSKQRSRRVDEDMDEQELPTLQ RPPTSTNVSSPVRATKKRSSKKVLPTLIADYEEPSSDGPHGPLHANGYERDNFVVSDN VEPEEEEDAFEPVRPSRRGPSSRATRPQHRQTTLYDTLSHTQQSQTLSQHLATLGPPI DARTMQNPRYAQLDEVHQDIVDAFVEEVKVFEEDFRNRNHMRKPIFTETQYREMAIRW TRTLDAMRAIPDINQDKVDRYGAKFIPLVERFWGNYQEMMGGGYDNPAVAGDEDDDEG PRRTGNGKGGNKKGGGGGNEVVDLISSDEDEPPARAPSRNPGRGKAQSTRGGQTQDKG RAVNRRGEPIAEEDEEDYGLSDPDIDAIDPDAIDPDAIDPDAITASDNSDEDDDDDDD DDDLESSRYFSGSTGPPVSKAVQDARLREQLSMYASGGSSSKGSSGSGRASGGSSSRA SGSGWRGGGAGGKKYYRKKRAGSTAAGGGGGAGGGGVTKRKASGSGAKTARKRGASTA PKTTTRGGGAGSRGGGAGSAGGAGGGGGKRGGGGGMGGMGGISVMPH NEUTE1DRAFT_115552 MLPSRVGSKQSTTAATTITITITLTITITITITITITITITITI TITITITITITITITITITITITITITINVLPQSPPNPTNSSQLTIPRITYQHQTTPE NTLPYLTCSLPLHSLQPHPLPFSSPSILKPS NEUTE1DRAFT_93389 MSASPENDSVARCESPEALPSPAVGAPVHKFMRCASATSAISHG SESTERSRDSLISDAGSAFSRSSSGTFPVSSRRSEIRSSSKRRGYMRPQGTDFAQSAR QRESVLSLGSIAHLQYYFARTGLLDGKGAQFARKRQQKAQTLDLSMLEGGDYTIPRVL NFENDSSYASMGSSPNTPGLEPDIKSPTGDEHNMEFQPPEEEFGSDYDEETDQQHMLP PTVSTYNQREKPIPKPPSMEELRAELTSALNAAERSLKEANEATAPAGESAPPSDRVV VPPAILLSDTSSKPNSSEQESSSPVTPTVPLNPTGWYEIQGMHILDVMTLAIRAAKIY YTSHERPDRLDAIKSEKDLRADLLSVMDILKKMATRGFIGGMRDDEFQTMNQWIKSLR DMLSKEDEMEAAEQKERESWTWLKPENWPTDENGNQIEREEAFIHSMLAGLPLPPPLS SLTPLPKWTPIDRSSSNPEPTPFLRELSNGIRLVHLHNCAVRKSRRRFGAIPSFHADT QKPYRAADNLRYWMKAAELRWEVMIKCDALGLQYAKDGKLENPEEGGRMWVDFEEAVV RWCRGVRAEVEGELRGNVKP NEUTE1DRAFT_93392 MTTSVLSPTSPAAGPPPPASDCGFTIRLVNDDGDSDLDIDAQDS LVTIDDCKEDQDSPSLQSQQLDRDTSSSHQDQQQRQDSAVELSFSEVPTELLQFTFAN SDTTTTSDSRPTTSSSASTNNNTNHVHISVNHLDSESPTSSSSSSSSTPRQSSFSTDV LLTPDPTPPGLRATRKPSPGLAARLKALGFGGQRKPASPPQHRELEIGKLPEETLKKL DEHHLSLSQALVIERRGRPWKGPLVHLPSSSSLKASTLFHHHRHKSEEAQEEAQEEAQ EEAQEAAHEEHADIGGDVLAQQQEHHDNDSVSTSPDDNTEMDTNKYRLPDHVNGNGVK AQPDTRHEHIARDSRRSSSQSSDDVPPPPPPKDTYPSQSHQRDNSNGSSNNNSADDFN PDDISFNPLSLSRPQSVYTLSRASFANQLAQLTSITLPDAELLSNKIAAIPTAQVAAR ALIGAAEQIRGWIYKASEVIGGLEADDDVEWAAAGGREGVDEVEKAIVRFEELINIYV GAIEELQEREDINEVSTEDLRRAVAQMESIMGEWARIRNTLRAVKSQVELAMEWEELW NVVLGDIQMEVDDLSKLVFEMEERRHKTAMLAGDGVDIGDLETIVEEAPTSLVSRLQA ANTHGNGKFGHPGFPNSPTSPLPTPSTGISMDDSSLLALFARMQPLRASLDFLPMRLA GFQSRAENIFPTASDELEMRRHSLEATYRKLEKDAESLRKELGEDRWVLVFRGAGRQA QKMYESVLRSLEKVKEAFDTGMHLTNQPMMSKRLESYEAKKTHYGPAIERVLSIIEKG VKDRLTVNGEILRLHNEMQAKWKDLKAEMVDVDMVVEELYSKGQQLRDSVSSILSDRS PLGSGHETPQSSPPSSVIMTGRNGPPTARSKSRQAGTPGSQLPKPAASRRQSTIPASA STVTRKAVPSNPRMSTIFASTPVKTDYRERPGTTTPSSSRSAAQPPRPSSKLDNRPRW NATFSPSDVDTGHNFKPLSLTTPSPYARSTSSLGQSSTSKLPQTRSPLTHGSDVQSPT IKRSNATASKLSFRERISSPGPYSQQTLSKSVGPSATPRQSKTSHSYYPPSSSNTSLH SSTGGRERVEPPTGSGTSNMRSNPKRQSLQPPRMSEPSLAVRPASSMSTSRSNRQSML PQPTSRLGRATTPGLTGRESPQAVAGARHAMGLGTGNSGVGAGRRSGSSLGMSGGYAS RSGSSLGMNAAGKDGRPRWRNPGV NEUTE1DRAFT_93395 MWVFRRSPKTPPQTVVPHILCDCCSRIVKKSKIIQAFAAGQDIN NIIDSPSEWFFHNHNVNNLSKSSAAGCHLCSLAIAQLNFSSPRNDLYGSSVLVRAWNG LTNKLEVVFEFHSARLKVTDELTKQHLLQAEHACVWAGYLNDAKFCTKSDFELLCGYG TARPISDTMPQGLPCGRQNPRFTFAQAWLRVVRGYERTEFTYESDRLIALSGVAKAIE HSKGFTYVAGTWKELWPLDALWWYEREPSREEQTGSTKLKAPSWSWADRKWAKKFALL DDIGLDIHQIIYLAQFDEFTCPTSTARYIGTRADEEGITLTTKGPVRRGLVHRSGRVG VLLTSDEEWVDVYWDLGQIPKLGESVLLLILISGKNIYGVPVWAGLVLVREEGAPKKD GQPCYRRVGYFEGGFGNHETWGEEAICLC NEUTE1DRAFT_74692 MKPLVGAVQAWSCTVISAFAMLILGVLCILFNNNHPELVGGTED PEDGKEVAATAGMAIAIYALFFCFCGLQGLLHLREGRRGAIAL NEUTE1DRAFT_119315 MKVGSKYNYQLAPPEEEGQEEEEVKRFADDETPFASKPDRRTWG DGVRYGRWRKQSGEKQTPRKSYRVLANVAWKDLKKSGEKADDGLDWGRCRFCGDYHTK SGEPHPYIH NEUTE1DRAFT_127005 MAFAFGNQSGAAGGVTEDPALEGLGFLALKGEAKVQLTSKWNPP PAPTASLLSIAQHKGLVAAAGPDAFIISTTESVRKAFEAEQPAEGDIRPFTPQATISM PLRVSQLAFTADEKYLILSAEEGGGLAVYDVDALSNGTTQSAFEISTNGEALRSLIPN PAPEFAHFCAVITTNGNLYMANLNERQLVSGANGPTLRSQVSCASWSTRGKQLVAGMA DGSIIQMTPDGAEKAHIPNPPGVGDYHVSSLAWLENHVFLAIYNPASGQDPSVYYVIT RQQQPNGQATFTYNKMTDPVEPFGAEKAPHHSVLRLKDFPPSLTDLLLVSSTATESIG LLTRSKTPLAADKPVVNAFTTTEFADDTKRALLPMSEDMTETFPVGTALDLSAKDKVY KPIPTDEINESPGPLPGLWVLNNDGVLSAWWIVYNDSIRNGTTYPGLAVMDSNKPAQQ AQPQPTFGASTTTAPAFGSSTTAAPAFGSSAFGAAPSLGAKTSPWGGGGAVAPAFGSS SFGSAPAAAAPAFGAPSALGGPAAAPAFGQSSMIGMGVKASPWASGGTSTASAGTSGK VFGGGAASSSGGFASFASTPTPFTSFAGKSEGSAFGSLAGNNSGGSIFGSKPAESKSI EVSMDSDTAFPPPAAKPAGGSAFGSSPFVLGTTFKADVKTANDNEEPSEKKGASMFGS GFGLSLSEPAAAETKDETMESAAPTPVIEKPKSIFNVESTTPAGTPASNNIFGAPKPP GSGLSNVFGAPKTEESKPKPLTDLFGKPKTEEVAAKPNPFAAPLKSETKPNPFAVPLK SETQPKSFADALKLATSPKVKEEDTENKENLANISEVPETTRSKAESDEAPLPPDFLS SKAKPASKKAEAAPLPPDTPSKAQDLPKLPPVPWSPSKEEEGEAEEEEEEEEEEEHDE NEEGYEDEEEEEEEERGSEEDEERSDEEEDENSDEDEDRSEVGSEGSGVDVSKELDQT TMSVHFENGSPRYQSRNIFGKSNNNMGASTFSNISRSEAEPQSRSLFGEANKNAPPLF SKPSGPFDSPSPVRSTQTAQPSLFRPQEGPRTASTPVFNKNQLLGASTTPKGPPPVDP NVTAQRKFAAKKEAEAQALVDPEDEGIQQLLAAEVEPTLTMNEFLVVDSKLETYHAPS SKPSSEVPAAVETLWRDINRMADRLGLNSRSLASFILGHTKFAKAGGRTKEDLENPED WVLVEASELTKLIDGQLARELEEGRIKDIAETQATLDKVSRELRTMRAKEEDMRKLFA AQTDPEQIATIRAQPLSREQSVQQIELRRSYAQFAKQLAEAEEALVLLRTKIAARAAE KGAVSLAGAAKVPTVEAVMKTIQKMTTMAEKRSGDVDLLEMQIQRLKLSQSQSREGGS AAESREGTPTPTHNPLKASTSSLRSSTTFTPRDSVRIELSQSHSPGAFSASVRSNTTA TTSSPSPRKKISMFTEEERKDIRAREVRRKGKLALLRKALEKQGPRVERLSDD NEUTE1DRAFT_151012 MPGFDFSNYNRNAALHARGVPLPKATSTGTTIVGCIYDGGVVIA ADTRATSGPIVADKNCEKLHFIAPNIWCAGAGTAADTEFTTALISSQLELHSLSTGRK PRVVTCMTMLKQHLFRYQGHIGAYLVVAGVDPTGTHLFTVHAHGSTDKLPYVTMGSGS LAAMSVFETQWKPQLNKEEAMKLCSQAIEAGIWNDLGSGSNVDLAVITPEKTTLHRGF VKPNERTQKLKSYKFKRGTTAVLNEKVIKKEEMGNYISVVELAEETPASGDKMEVDT NEUTE1DRAFT_127007 MSSTPRRPAQLDIRSKSAMSVSRPLRSPRLHVAGEAPPELSPLD AFAMQSRLLAKQLEESARQGRRLSRLPPLTTDSPLIVQGRSEYFRSLSQDSGSDEAYT PQHNVGLGLRHEVDEDVSNRPKSMHPRMSRIPPTPIESSVPMPARPNLDAESVEGEIS FGIGARREESPQPLDRSPEIERRSASAMGTRESMARSPPSAPTLTRAMTSPEHVQGGL LPPRPLFPKRSSSMMSSPLEPTDEDGLGSLGTSFHSQVSQGSRKMSTSSSILSPMGRS QRSPSIASDMSGLQKPSMNFSMPMSRAETPSFEFPARQPSSDSHASFVLVDNEAHTPV SMNGDGFPDPDGNHSFHNVQFTLPRGKSVRRAEDERPEFGTPLRQVTTNEQPPLPTSN ELLPRAHVSGQLPPSPSSSVGPRLSEDRLRQIPSEQSKVSLEVPRSASTRQPSPEAIG RLSTDSALERARPSTSPTPDSNQNKTATPTATPTSTAAPSIAATHDTAATLTATRSLG QATGHQTMAEMTAEEHVSKAVALHEKGALQESTWHLRHAAKQGHPTGMLLYALACRHG WGMRPNQREGVEWLRKAAASVNLELQQDDDKTKEGKSVDKVEHKARKAQFALALYELG VSHMNGWGIEQDKVLALRCFEIAGSWGDVDALAEAGFCYAQGIGCKKNLKKSAKYYRE AEAKGMSMVGNSWIHKPKYADDPKDGKDSKHSRNKSKSRKTLFGWNHS NEUTE1DRAFT_151014 MAGKSRFTKLDAFTKTVEDARIRTTSGGIVTIVSLLVVLFLSWG EWRDYRKVVIHPELVVDKGRGERMEIHLNITFPKVPCELLTLDVMDVSGEQQHGVQHG VKKIRLRPQSEGGGEIDAKILSLHAADESATHLDPSYCGPCYGAPAPYNAKKPGCCST CEEVREAYAQASWAFGDGATMEQCQREHYTERLAEQRHEGCRIEGGLRVNKVIGNFHI APGRSFSNGNMHVHDLAQWWSTPVPGGHSFSHIIHSLRFGPQLPDDLVRKLGGNGKNT LWTNHHLNPLDNTKQETDDPNYNFMYFVKIVPTSYLPLGWEKQAAQNKATWEQDHSVG LGAYGYGSDGSMETHQYSVTSHKRSLTGGDDSKEGHGERLHSRGGIPGVFFSYDISPM KVVNREERAKSFLGFLAGLCAVVGGTLTVAAAVDRGLFEGTVRLKKLRSKDN NEUTE1DRAFT_93415 MGSASSTGADDFERGRPLTRAGIDDETGTDNTNYSPSLSSRADS NQIISSPPYLPSSPRPHFRSPHSTSPRPRPRHRSPPRPFSRSPLPRPFSRCPLSLRAS FPPRTGFPSGFAPSFFERATAAARAANLAANSRRGSSPSSFDEREVPSPSSRDSSRPS ARLARYSAAPSPDARPIYSPDLRDSNLPFPSFPPPPPAAKPRNTAPYGFNYPPYSASN NNNRNQHSALPECLIADKECWRALDPSKTGAKEGFGRPNFDVWVEKEFTSVVLCVADK RNKCRGVIVRYRIDERMHEVKEAEGLDLDLGFDVGTSGKGAPVWKKGVLGLKVIDFWR PGVGDKELQAALGETTSDVFGLLEDVDAIQIHGGAGPSMSGNVEYPIDARMRRYLDME ENVQRLAMELGYEQVSEEQKPMGVRWVLEFPRDALDDLFRIMDKLSRNKLEEKVWKER ERKIEFERCAEEEEFKVVNEAGAGGTKYVEDAGVTADLMDMALLNEMERRGFQEVRTR ADDARRMPISTGDLPVRNSGGGLGPVLFSASPSPSGSVNSDTDRSIVLPWEENPGLRG RAILRYIERDSPESGEVDGTRSEDAIPEQSTMLEHKESTSPKPGQEEESRSEGDSMSS PEIATLFKDEQSSDDEMFPDEESSSEDADDEGDRYHLSGSNSPENKPTLVEGVDVDAE KAGGSLDGNKDVDVSVRPVYPSDEDSPHDDSSDDE NEUTE1DRAFT_106664 MKFNVTPKRGGAPSFLSIQQLLLLGFVALATPVAASIGDRLPEF QECIRVCERENCGPDAEHQTPIPLHRRLLLWSCPSECDYTCQHLTTSSRLSQSPPPFP HPVVQYHGKWPFIRFLGMQEPLSVLFSLGNFWAHYQGLYTKILPNIPPSYPLRKWYIL LSYVGMASWFFSAVFHTRDFPVTEQLDYFAAGANVLYGLYYTVVRIFRLDKKDTPRRE SLLRLWTALCILMYVAHVTYLKMWAWDYTYNMAANVAVGAVQNLLWSWYSWTRYREQK KGWAAWPGIVVAWVLVAMSLELLDFPPLWGSVDAHSLWHAGTIVPTIIWYNKLER NEUTE1DRAFT_93417 MPRDFRYAVALELPDASEGPRTPINLIPEHDGFPQIPSPPRPRL KVKRRTTSNQLAASQQFLASVAAADLAIPSIEEPESDPEDFTMHPFSPEPYQDSLSLQ IPRARAYSDAGPKTPVPTPMALPTLTPRRYPDWTLGSTSSFESTPEEDDDDISRPSTS QSTQTSDSFPSQWSQLSGDDETDECDLEIASLDGKEDTLHDFGTAGLLDFDEPTETLQ PLSTTTTTTTPKEANLQLKLPRKKVPWTKPMNDHLWSYYSLYLQDPKVTPFRMGKNCI PPEGVCARVAREAIRTWRGSKAQRSTAGGSAEGAGTFMCWPHTQAATRAQLRELCRQK AAAAAKNHRFLSRSVTPMQSVQTPRVERPFATGDMSMSLTLSTSEHMQPQGPLAQLTR SSLSIFEALQPIPIPQRQPNDADQEPLRGRLGSPLFGPFPRSAGQADFDDPEPAQPRS YGPSSSASLAAAIGAITTRKRGQTISHSLPGQHSRPTTGRLQSPVRLSRSSAPAAAAA VDSRPKVEEGTTTSSTTRGRRSTEFSSTLGHRFDDLFIPRSTSTGADLAAAPIPSEPQ AQGLPSSISMPAILGFTPFHVAPPPQEESNAQPPARLGSPLSFTSTFSATRHSHIRRP GHGQSVDLGMLGRGPAALMQQAMNQGPIRGGRRSQESISELQRPRPEASRTNSTGSGS ASGSGSGSETTRGGRMADLRQRLREFSRRRGGEGGRRRSGSPF NEUTE1DRAFT_52989 MASTESVNLVTLDNLDEVWPRWDPADSNHLYALVDMGSNGIRFS ISDLSPPQTRLLKCLYQERAAISLFDALSESSSGSPPLFPDKTIALVAETLARFHAIA VNDYGVPPDHVTVFATEAMRKAGNAAVMLQTIEAEVPGLAIKILHPQVETLFGSLGAR SAFSRPKGLFLDLGGGSVQMSYLDTTGQDADYHIHAAQVGKSLPFGAARLIKILEHDD ADFKTNEVSKLNQGMKLAFARLCETFPALADEAKGTQGIDIYLCGGGFRGYGSMLMHN DPISPYPIPAIGSYKVTGEFFAKTSHMLEVNTNFKKKIVGMSKRRRAQFPAIVTVVEA LISAVPRIRSVTFCAGGNREGALMIRLPQEIRESDPLDCLQAEASLQSIVDLLSSALP ADYSSPKTVFGLGLGRLFVSKIWSDIGVDALDHASAALHSAITEHPDYPGLSHAARAV MALTLCARWGGSVTPADEQLLKNLRALADTVNPDTVFWAGYIGAVAATLAKLAPTVQD AHQFGDKVQFRSTVEQSDDNKGFQVRLNLQVVETALRGIDTGDLISHFEQFGTQREQD ASKKVIVDISTLP NEUTE1DRAFT_127012 MANHPFHRRVFNGSADFQRDDCPGPCHPGEYLNRQPSYPEVGQV TEDFNQYPLGIPNATEHLFGLDQSSSGTRDITEEFFDWDLWSKQDEVTVTTETSIEGD SLVRSAPSATQDQDTRMTLDHESETTEGTVIVATSSPLAIPFLNQPTRGENLDPKRYP TSEDIDIHLSEPDSHDADETQASSRSRLGKQTAKRERILSNPEETARTRENRACLSCK IQKTRAWGNAKRTALLISHCTNAGNAILLVHGCEFIESGPDLRSYYMVGIAPDEGPSD KDLYDWGKRDVEAHADTEENFPNCLEKFLLLYEQMFHQRYVANVKSSDQTRPGKFHKL MDNAQYVACMARIWTARRFSVSGVPFGFDPEPVSVFLRQRAGKVIGPIEGQILELLKA FSTSTETKITKAFSNSQETKITPDLESTMGSALVIAVWTALWQMMLTYRSVLNLTPPG TAFHEETNELFQSMVTIYSRLFRTAEVLRTVEKAFDSADAFDNGQVRQAFRKAWGTRL DFYCELKNGPSSNSQDTLLKTHVVTWEELVLNRRSNEGKGKVCEKKQA NEUTE1DRAFT_119322 MAWGIAIPTSSLLSQRACEKDSEKEPPFPHELRRTDGSATFKAQ SLVGHPNLTPALFSSWFPPSRA NEUTE1DRAFT_106670 MVSAQGIQSAKQTYMRNSNTTCGIPSKLLRHDANASLMRKSTSP TREEAILKPGKSRVQVRLVRRPVEQAQSRRLAPVLRIIGGQNFIMHDEMCDRFVFQGH SHMFLVSVMNGSSHTARRSDQTLCLVAVPGPKPGGHETSKVTVAYF NEUTE1DRAFT_93419 MARFRLPLALLFLAVFAAHSVLAQYGAYDYGFDAAKLIKRQLAS QEPVPVVTGAEGGETIRPRQEIRQLEQDKELWTLYILGLSLMQFTDQSSPVSWYGITG IHGIPHQTWGGVTPTPGNEETGYCTHSSILFPTWHRPYLALYEVLLYNLIQNIAKWWP EGEPRNRYQAAALRFRIPYWDWASSPPSGQSVLPLSVGGSPYVDVNGPNGVQRIANPL FSYSFKPLNATAFLQDPWDIWTTTLRSPTTSDNKAQSNNSLVAVNFDQNLDSIGQRLY ILFSNYGNYSTFSNNAWIPYINNGSFDSLEAIHDTVHNLAGGGGLGQPNAQGGHMAYI PYSSFDPIFFLHHAMVDRIFAIWQSLYPSSYVTPMQAYIASYTTSRGEFQTAATPLTP FYFNANGTFWTSDMVRDHTRFGYTYPELVGVSGSVPNSQSRSLSRRARERVMAAINRL YGPSTPSSLYRKELRAGRLGPGKKVPGNLPAGRVFSGNGQYREWLANVRVKKQALDGP FFIHLFLGEAPKDSKEWASAKNHVGSMGVFASDERYGESKMDGMDEVMVSGTVPLTKA LVEKVMGTGRSLDPKDVEPFLRRNLVVNIVKVDGKVVMHGSYVEGLGIHVVSSRVRAA RVEEELPAWGQAESGFDVV NEUTE1DRAFT_74716 MAPQQQQPTSQDWDVKFNQVRREKLFRDPPTDRTAYPALQAAVD PHIESFNALFRDDGKPSLLDHALAEIGTKTFLDGDERADPQGKNKLTIRYKSIELQKS QVPPTNRWAKNREIFPAECRERHVSYRGKLSATFEYRINDGEPHEFVRELGQMPIMVK SNKCHLQNNSPAQLVARKEESEELGGYFIVNGIEKLIRMLLLNRRNFPLAIVRPSFQN RGASYTPYGIIMRSVRPDETSQTNVLHYLSDGNVTFRFSWRKNEYLIPVMMIMKALVE TNDREIFEGLVGPPQSKGVANTFLTDRVELLLRTYKKYGLYSKTQTRAYLGQKFRVVL GVPDTMSDYEVGTEFLRKIVLVHLGCQDVTEQQDADKFNMLLFMCRKLYALVAGDCAV DNPDAVQNQEILLGGFLYGQIIKERLEELLTVSFRASLRDYLRRNPTVSFQSDTFLKD FPIAIFRRANENIGQSLEYFLSTGNLVSPSGLDLQQVSGFTVVAEKLNFLRFISHFRM VHRGSFFAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHLAHKCKIMTESVDAST IPRLAFELGVVNISSAATSESVVVMLDGRIVGWCTPEECKSIAETLRYWKVNGENGVP LQLEIGYVPPSNGGSYPGLYMSSQPARMVRPVKYLPLQKEDFVGPQEQPYMSIACTEQ EVIPGDSTHVEFDPTNILSILANMTPFSDFNQSPRNMYQCQMGKQTMGTPATALAHRT DNKMYRLQTGQTPVVRAPLHNTYGFDNFPNGMNAVVAVISYTGYDMDDAMILNKSAHE RGFGHGSIYKTKKVSLKDDSRTRSAKSIVKMFGFAPNSTIRESTRDMLDNDGLPRVGR LLREGDVICAWHTVSADYNGQLVNRDGVTHYERYKDSEDAFVEEVRVIGADNGTEPLQ TVSIKLRIPRSPVIGDKFSSRHGQKGVLSQKWPATDMPFSETGIQPDVIINPHAFPSR MTIGMFVESLAGKAGALHGLAQDSTPFKFDEQNTAGDYFGHQLMKAGYNYHGNEPLYS GITGEEFQADIYIGVVYYQRLRHMVNDKYQVRTTGPVVPTTGQPIKGRKKGGGIRVGE MERDALLAHGTSFLLQDRLLNCSDYSKSWMCRQCGSFLSTQPTVSPFIGKRKAVSTVR CRNCAVRLDDMEDVDLMQIDGEIWEDGSGTQWIGGENTTIVAVPGALKYLDVELAAMG IKLKYKVDKKDEIRRGQLVGKKAGDLMLTA NEUTE1DRAFT_93426 MAGLFKKVYDWLLRTFWATEMDVTMIGLQNAGKTSLLRVISGGE FTLDSIPTVGFNLKRVQRGHVTLKCWDLGGQPRFRQMWERYCRGVNAIVFIVDIADPR LLPQAKEELHSLMRNETLQGIPLLVLGNKSDLPERLSVDELIDAMDLKSIAGREVSCY GISAKEEMNLDAVLQWLMRFAGK NEUTE1DRAFT_119323 MATQAVQVFGKKKNATAVARCVQGKGLIKVNGVPLKLYAPEILR AKLYEPILLLGTDKFAEVDIRLKVSGGGHVSQVYAVRQAIAKAIVAYYAKYVDEHSKN TLKTALIQFDRTLLVADPRRCEPKKFGGKGARSRFQKSYR NEUTE1DRAFT_93432 MAPLAEMANQVHEIAKRGRCDYVDAYGRPYCYSHWYYYGRWILL AVAILCVFLSVYLMARKNSRRRRLQGAQPMYGTGWMAPAPPPYAPPQYSAQPPPGSQV PGGYYAPPNGQKADQNGEYVYGNQQEGIQLQQPTSAYHRGADDQYAPPEGPPPNQAHR FQ NEUTE1DRAFT_143548 MPEITRTFNNPLASHQARHHHDLRGTGEQDPSGGQTRAEHEADK PKHPFDEALRPSHVLGAEKNMGAPEKLDAKAQKEGGALSGAGLKDTLDMMATEEYNPY REGKKNPRLQEGLPHGVGGLEGKKTELEGAGDLFPPTYDEETP NEUTE1DRAFT_106677 MTLCDYDSSSDSDSSTASCLSDGNIRYPVYNDDESDLPGVPDYH AGGSSARFCYDSDEAEFENSRDTKRRRTSNDRSVVPISRDVRDLIKCPAPAPRSTTAL RPNLFVLLKFGHLSMSIRRQSLRRRQQYG NEUTE1DRAFT_127019 MNSTANNTTAPSELCDPYYIWPPASERAHDSLRPNIYASTVICW LIAALFVGLRLYTRSVIIRVLGPTDWSILAALVFSLATSVGTIEQAINGSGLHVWDID CSDAAVGIAWYRASWYSLVFYTLCLYFSKASILLLYIHLFNFRWARLGGQILLSIVTI SYVCMLAVCFVATIPLNSYWDSTIQRQWTAPQSMWWSSTGLHMATDFLIFLLPLPVVW SVMLPKRQKIALSVVFGFGFVICFISILRLLKLLKVQTTRNHPTDWTYNAAELTYLTA LECNGAIVCACVMTLRPFIVKYFPKLLASHSRYPIGSNGQGGRLSDSITPPTIGSKPF KPPVSPAEAVYMRRERTNAWMDGGYVELDNTWEGFEGAAVNDMEMKEGVGNKKEKNGE KEHLGVRKWNSLRTLHHHHDQKGGRSTGEEDGIQTIPGYGIRVLNDYERWYQKQFY NEUTE1DRAFT_127020 MGSHQKVNYGDKPILLFTSIPATGHITPTLRIASALHARGWPVF YLGPTAHKATISATGVQFLPFLDDADFNDLTYFVPQGEGAITEKDAVVQGTTSEPTWR DRVFSDFREFFISPIPARHRSLCAALTHIHQLFPPSSARVVVVAESTSNFLLPLFHGA PLPPSIPKPIGSIALSVMIPPLRSVDIPPLVSEPIEFDTTPAYRERVAKIWRDWEMET RGLKELLVEKVEQAGGKDFAKAMETREREKGRESWALLSGECFVGYDHVCQIGVESWF YKTEEGWPANWRFVGAVPKSVPVLEEAKQALVDEVKLAREKEGKKVVVVAQGTVEIDP RHLILPTIQALGGREEDTLVVAILGHRGRTLPKDVAVPANAKVVDYLAYDAILPHTDV FVSNAGYGAVMHGIGHGVPMVVAGEEQDKKENVVRVQWRGHGVKLSKSWRDDKEYVKE LAEAVGKIMDEEEGREVRKRAMELKEEADSIDCIKTVEECLSSYL NEUTE1DRAFT_151026 MFRTIHTLTPKTSVFPPTSLHFKLKTTMAPSKRKASAPPQTSHV NGNPSADKKRKTTTDAPPTNPNTSSDPLRAPHPFYKDSETHGIVLRKFYPHEMSNARA QAYNDNELPRPIETLYAALAETAALRKSLPVRQAVVHWFKMDLRLHDNRSLWLASQKA KEAGVPLICLYVLSPEDLEAHLRAPIRVDFMLRTLEVLMTDLEDLGIPLWVETVEKRK EVPNRIKELMKSWGASHLFCAMEYEVDELRREAKLVKLLAEGEKGEKMAADVVHDTCV VMPGALQSGSGGQYAVYSPWFRAWIKHVEENPECLEIYEKPGPNPPGTKEKHENLFAC SIPEAPEGKRLQDDEKARYHSIWPAGEHEAFKRLEKFCDEAIGKYAERRNIPAMQGTS NLSVHFASGTLSARTAIRTARDRNNTKKLNGGNEGIQRWISEVAWRDFYKHVLVHWPY VCMNKPFKPTYSNIEWSYNVDHFHAWTQGRTGFPIIDAAMRQVLATGYMHNRLRMIVA SFLAKDLLVDWRMGERYFMEHLIDGDFASNNGGWGFAASVGVDPQPYFRVFNPLLQSE KFDPDGDYIRKWVEELRDLPDLKGGKGGEIHDPYGRGSEKVKRKLEEKGYPRPIVEHS GARDRALDAYKRGLARDL NEUTE1DRAFT_97253 MENDRGEIVDLYVPRKCSATGRIIKSKDHGSCQITIAKVDENGR AIQGENIIYALSGFVRAMGESDDSLNRLAQRDGLLKAVWNPQR NEUTE1DRAFT_127024 MAHNDTIDIISSSPEFPDISVLVAKAASKKPALRPGSNATPIPR DAVGLGTFTSAASIWHLSQVMEEEKPTKNSSSRPTKETLTIATSMSTVAVEVSPTTTT AVSEEKPGKKPRKPRKKKEEATAAVDENAPPKPPARRGRPPKQKDVDGQPALPKSKAT KPAAKPRASRKKAETVSKHFAASAHASTADPPVPAEPSKDPAASKPPKPIPVQSIDEP VDLEPAARRRLDWTPPPDDRPPPAVGNSSVVKELPSSTTSHTEPPVAFGKLLDTYGCE PETIQPSESTKGNVLGKRKLIEMVATTTTTNTATDKPTSPETSPTKPKAPKKKPRTIT DLATAAYRIQDPVDDSISTVAPKQDTLLGYIDVEDENATIKPGGAKTKAASKKPAKAR VSKKKPEPRKQLLLSPHSALRQVSGQNFVFGTSSQLVTEDTDLLRALHESMKTAGNAQ DSDPFMSSPVRFSNIASRRKTGNNKLWKVGARDEDGDLLDLEIFDLTEAVEVPEDVVQ QADKPAADVPEREASPRKQIEREAERAIEIFSSDPIATERLTITGPETLISTLSIKGK ESVRRTTKPPPPNPVAPPRERTPQRARPPSRDSLSSRLRSPQTETSPRPTTPPRVSAV LDLDYDLDDYEPPPSNQEHYQLLEQSQKTSPSKTQQRKQQQTQQQQQQQQKKRDPPPR PKYEVFTDAQLSREIASYGFKPIKKRTAMIRLLEQCWESKTGAATGKSTGLGDNTLQE GTGSLEPAHRSINSVAVSPDRGRGQTIQGAHMQEARAGIKMAASATKRPRKKAVAASA SAPRTSTTENPPKAATAASTSTTTAPLPVLSASTSKRQRRTSLSPIRPRSPILEIPDS DIDISDSDPFACSPPVSSPDASSSTSHLFSTPEKHHQDHKHDPAAEMSLITECEESLL DMTSRTPSEADLFKHITEAITTAPRTTNPSEPSWHEKILMYDPIILEELTAWLNTAGK GLDRVGWEGCEAGTEEVRRWCESKGVGWVWREGNRGQVRKRF NEUTE1DRAFT_127025 MMSLFFVLSCFLLAMMPTAFGSYETDPPPTSSPSIANILVDGDK SVTLKVTTVTYISAKNSTTVVPILTLSTVVEEIAQESVAVLTVPASPASETKSAPTPS VSTVPTPSSSSSSQRGAKNNANGGMTHDEPSPITFASSEHNGVQATPTSSSTVEVVSV VDLVSTARDQGAVDAQATVDTSTTPVIETTFTVLATDDAQDKPSVHVRDWNAAERTRR VSPSNMFTTLYGTRKPSNPTNGPPITRGIRDFPFLGKTTLVGTREPSTLTHGNRIREN QDGMDPIQARDTLSTSTSTALPPVPDAETPSALNLEPKGSDMENKDKAENVRLLLKEK SFWDFLKHVFCFIDVYCDDDATDAWKEKKKILESQLGNWMVEPIAAATATTAGLTPDP SSMATTSFASYSSPTSAPTSASSAPTLDQDPEPHAKRSNNTDNTDNIDTNEMGNMNVN VSHATEGFWDGVAEGANKFFDGVAEGASKFFCWLLPFTCHH NEUTE1DRAFT_56066 MAILTCLPGLEVAVEVDGQRAHEYEADPDEAESRAKEISYHSFQ QTPDHKVPYVLKYIEAKPGRPFAFILDATNFHDFKTEPKIYWNFSMDGFRTSYKRMSV GIENKRSHCRTGSQATGWRRHSFHFGSLDVRHRGDNTQAEAARHYGTLVVNLYLRVKT GRVISFRDIDGPIPILSVGEKDLKGKAVDSKVSFESEPIDRPGQVAISRNVDPHNRPI AIFEFRYRTMEGLLQEGIVSRPVVKSKEVVDVEEKFQPRIKSENEERRGVKRERPMDP TSSPLCYKLHRLENGQVEIDLTDD NEUTE1DRAFT_151030 MAILTGYPELEITVEVDGQRAQEYDAPADEVEARAKEIDFHSIT HVPIQESGSPFTIKYIESMPGKPFVFKLDSTKFSIPSHDGQKHKAEYQCFLDGIPTGY YSLPGGTKTTRDSSLSGNNESGWKLHRFQFSTLELVEGAAETQGDRTKQFGTLSVKLW HAIDSGRTSVLKPLDDPVSVQSVSETALKGKSVDSKVHFNSELISGPKKVHRFEHVDP DQRPFAIFEFRYRTMEGLMSEGIVPRPVKNEDDQLIDLEEAHQISQEPLTDIKPDPKN TRGIKPDPEIKRGIKREPVDSTHIPVCYKLRRLEDGKVEIDLTDD NEUTE1DRAFT_93452 MYNQGNIMRKLLGKTNNNPDSSSANNSHENTPSSSVANGTSHGS NITSNPSGGLAAISNYRQRPTAAQNASYQAGQPLECIDRSPDGRSAVLAGRHALRTVT FDGLSIREGIDLRSLLQGGSPDQLAIRDVKWGPAGGNPTVFTACGSGKIFQYDLVRAS EAWATGSPLESLQIREDSRQVNTLDVNPHRASYLLSGSQDGIVRCFDIRNPIPSRTGA TFRPVHAYKCNADGVRQVRWSPKDGFVFACSTDQGTILQWDMRKYHAPVLRINAHEKS CTSIAWHPDGEHLVSAGWDSKCHVWHLGKVPDKRQKPKWTISTPAPVASLAWRPGQWS ATAQAKRASQIAMSYGEGSQKKFGINAVHIWDLARPTMPYREIQLFDTSPNALLWHDQ YLLWTVGQDGLFTQCDVNFAPKVLDRQAVSTMSFSSQGDVLMFLDERAPSHRPRPHML HADSSHSISAPSYSSSPATPRFGVSRSDSEDDVIGSFLGPKRQRKRRPSTRSANLSTT PPTGSEEVLSLEQTIKVTGTYKPQQAMAIGHLPAAATVDVYEYLTINYLEVLYQELPH VEGGRPLPDRVRTILDHYAKAADSVNQYRLAQSWRILAYWVDLILRRRGQYHLERRLD HFEKRKTEAQLRALGPPFQMSVQTDGADTPRKANPRSLLSEELESTSNQPTPLARPVT ESEKLAPVLEPGSFALGPALQPRGPQKRSRLDSVPLSVVSFNSEQTQASTEGYDFYDT EAILGNAIDVPRKRHEDPRKSVLRHDSDDSFGHGLSVSAGSRRGCGTTGTAIASSVES SGGLPIRGSALAEIRYPRGSDGSEQASDLQMGSFGRPRFVVPPQLNRTETEMTGMTAY TDEHHAITQSTTDDSFPSQNENDTLHAAPPVVITDEPPTFPEEPEPEPTHIIETDYLP WPSDPAYPYPLHNHTSSFSSTTPPLNPYSLISRTLAFEAKSSALNASAIVLLLKPLVS DEVIDSYQAAAILRQHHSRLMNLKLFVEAALLRKLCMQGWPAAAAESMPGDQEKNALK DWGINYPAILTPAQNGVSVGFFCSSCHKARELDRSEASTDSVWTCKRCKAAAAPCALC GHRDSSPFIPSSSESTISSLQPDREGEDDYQPIATWWLCPGCGHGGHSSCMQAWHAAF EAERDSAMYVDGPVDNDDPAAELASSGGFCPLDGCGHVCLPGPMKLPWGTMRGTGTDT GHGHGMASRMGEMMTEVPSRAGTAREREREASAPSSYSRSAGNKTPVFSGGGIKTPVG DDAPPVAQSRAVESVRETGLAFGIGPGGGIQAHHSHSQTSHGSGSGSQSHNVTGILSS SPGRIGAWMSGGLTGHGGEGSKADGGGRGERDKERRKSVKFVTAVDGVGGGGGGGGGA GGSLSRQ NEUTE1DRAFT_127028 MNPDRQAMIAGLGKGRDRDNKRDITAPRLDNNNRISKPQYKPSS KPITGSNSTPVGSSGPGKYLTQDEQSEQFVADEDKFVLKQAKKKADIRVRERRAKPID YLAFNLRFIDTDRDVFDDHDDDVDIPVPSPERVLQNLNESQLKELEEDIKSYNTLETN RRNKEYWSALSILCDEKRNKLKPQGAEGRAVNTVAADVDRILAPKTLEQLEALEKQIR AKLQSNEPIDTDYWESLLKSLLVYKAKAKLKHVCLEIKAARVEVLKAKDPERAKALEQ ADALPDAALALTSGPSKALSRPTASTSTPLAASSSNSSSSTAPPPGTARFASTGNEDF SQATKALYDREVARGFLEGEEIFTAEESLTSNPKPVWADKYRPRKPRYFNRVLMGYEW NKYNQTHYDHDNPPPKVVQGYKFNIFYPDLIDKTKAPTFKIIREGGRRRGESFAPAGK EDTCLIRFVAGPPYEDIAFRIVDREWDYSAKKERGFKSSFDKGILQLHFQFKKIYYRK NEUTE1DRAFT_119330 MPMIFIFCECTSACNNSHILPTSQSRQQRQGRRHDGRKRKCQAH RNLTLKKGGARTAVEGNLAVSD NEUTE1DRAFT_127029 MDSSNSYMLSLQVTRSQTSSPVACVGGMTEGKTQVGVSLWNPSV RAQTGSDEFEHYAFHSTAGSSTAPTNGISSLSQESEYPPSPCSSIPNNFKLDCDSDTS MLSTAPASEAGDNFLVSNPYPAYNLLGDSNMNGVSTCSSVSSPVSPSASLDSTPTTAS ILPGNNKAELPYAQLIYKAFMSTPNKAMTLQDIYQWFRENTEKGKSDTKGWQNSIRHN LSMNHAFTKRERKPEGSTNTNMNDEDNNASTDSNNSDNKKSTEWYLEPWAIKGGVQST TRYRKGNHASRRAAAASACNASRRHMKDGKYDLYSNGMSTLYRSSPSLANRNKISKSN NRATLRSNGTAPTELQMHYYTSSHHIPQQHPFGVSMVTSAPEQHYNPYMSPVSARPAV THSLPEIMSMSATSAPSCAADFYESYTDPAILASSLEQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQGQAQREASEPISVMNEPITPEASFGSPPLMAANPTSSSASYYPSPEDH AMSFASVHHHAGYHQPQVYQGESRYGHHNGWEHAQGVAVPATGMMYGHYA NEUTE1DRAFT_143563 MSRHEAWQPYRRSHVITKTVDERAWRGKFCPRLVGHGNVVQQAH FAANLSAFTPLAP NEUTE1DRAFT_55287 SLLQRDITQGCRRRPLRRLSLGNLPRYPVHSYGQDKGGVWATGT TRYPPHERSSCRPKPPVHGRGWTTETARHKPCFTTCEAASPAAPRVAVPARQKQWKWP YWPRTTTNAQDALLSRSVPKPQLPIWRERD NEUTE1DRAFT_151034 MPAQTAFSAHQVVPLTLCNTSLASFPIQEALRSPKDKQGAPTWM TNTAPSHHNIPLRRAAAVVKSLTISARISNPIFGQLSNMSKSPPWRPLPVTAPGIPNL LVATNFTAQSYKVHLTDLANVWVENMDRRPIMGRASAEDTSIDPTDGNENLQRLLDWI RTAFDIDDPGHSNTSLTLGKGKDDSIEVQITCILPEPFKPLKWPLYLKKCSASTLATE LVLPLIQSHEARAQEINHLIATIRDKDAVINRLVDKLEANGIGLEHIFNKLPGKRKVG RKEAEGKIKGLATFVEADFRNDGVQGTTRSTDVPTLLDTVFRETGLKHNPEMEFEASA ILDTWWTELGRGRKLVLAERSKREIQTPPLPESPAKEENNDDFQVQATPPGFKSASKR RGTATRQTVIDDDDTTSDGEDEDMQMTQSPRPLLTKASPESTPAHRLGTLGGRKRSPS RSPSSAIPEEDDGLTSETASEAEEDASSPPPSSSKPAPRKGGLGRIGGMGGKAKQKES EQEPQPEHKRSPSSPPASQPKRHKLGMIGKAPSPEDSRIISRGRSKSPSAAPKPRETS EERADRKRTELQKELERKATGPAKKKRRF NEUTE1DRAFT_51860 MAFLSCLPGLKVDIKANGELAKEYDAPPDDVKAGSKKYKFHKLS SRISEEDPYALAYVESKPGEQFEVAIDRPRYFDLLEAPVSEKDIKKQIEEASRKRFYS SAQNIRWKGGREGPKGESSRQQSHGLIREFIVPRPKEVIDVEEEFMRIKRIKPELAVE ARGIKREPMDAALFASRYKQRRLEDGKVEIDLTDD NEUTE1DRAFT_106692 MNTPPISSEAAIIASIERRRYNIMSAIQSSFGLSKDRVIYIITK VIMPETFDACCNWIRVKRSCGGGCKSELTIDLITNPLTMFDWWVNYNLWAHFFCEYIH QPQFGELENMAPQYRSFLDTNELRTLEGENAKDKKVGWGIELSSPEKHDLYESAVEDR ISCSGR NEUTE1DRAFT_115587 MPLIKTEPGVRIKSEHEARFDFSSIPRPPVSSVYVKQEDCKSPS VLVKQEDRKPDFFLSPNDGPSRRHRRFRHARNCMVHRLRYGETIRAHYNHSESTVVFT LSDGAASIIDDFPEEGHQAEEMIFQRLQRANFNIQPIEPSGFLCREVQRQLSVCQSSP PKVISTAVQRTSGNNHAPGAIAKQEQTNSESKSLASTVHAKPSPLPASFFGRPQPATH IPQVTFSTPVPQKPSESIFDISQPKDQSNIFSHPIPPKHRSSPSLNFSSDLTSGLAVG CGNQSTRSPRSMFSHSFSATESPFSSKSALWPTESFNGFSLFNPTAGPDVFASSLAAT VKPSSEVIVIDSSPESSPAYSPQTKKRAAENQARSD NEUTE1DRAFT_74759 MAPAAVTEDVPNGAPVQEPVKAMCTCTCKCTETNGVNDHDETKP QDAPEHKKDETSQTDEKADSDIKDSATDDKDKPPEDQTMKCEFKHLDRRYDEKDEHYF TERKTEIEKPAQKDWWRLYAFCLVKHYDHRGEHDNTRLYVNPQPLRQLLRDVIGDYPS DPIDVDDVQIEAPYHALFHYRKELQAEGVKRFTDDQESLQHFNLLMDWINNHFESEIA AYEKCISGEIRAISYDNAWTLLPPDTIVHCKILDQDRAYRVRRYYDEYDEHANLVGMT LSCRYIDFDGENVGTLKSHLTFRKYTGVVQLSELDIVPLHLHEDADGIRKTLLARGRK WEKHLGQHYVQYNGIAVIKKEMQGYARFGVNGRVMIDCATFHRLAADHSFVVKKLPSS RPKAPSLSSIKFTSETKEYEPLTDEQAMLTNATVRGYSFTIKRFLEFFVDKLEPIQWN TSCFDGLVLDPTIKKTVQALVSNHTRERPGFDDIVKGKGQGLVCVLHGPPGVGKTLTA ECVAEYVQRPLYMVSSGDLGCVSSELDAQLTQIMDMTATWRAVLLIDEADIFLEQRAL HDLHRNAMVSVFLRVLEYYTGILFLTTNRVTTFDEAFKSRIHIPIRYTDLSFESKLQI WKNFCGMVPGGVDIDERGLERLAEHDLNGRQIKNAVKAAESLASFEGVKLDLARLLQV TKIQSVFEKDLSSVAGVDYTAPGGRVRDADRRNMFL NEUTE1DRAFT_74763 MRRSRTPPPSPYRVLAHHGGPQPPQDSGALIRSFNVETNPTRPI RPSPLTASTIPDMPLDLVDRIRSFPLFVSAPEGFLVAIGTHLKPQVHAAQDHILTEGD EAKAMYWLVRGVVAVTSRDGEAVYAELKPGAFFGEIGVLMDVPRTATIIARTKCLLVV LKKEDLHAELPKYPDMEKAIRQEAQERLTILKKKRQEGRQMANSLSNNLAREAVPGEV STGESGSIKEGAVVRSKKRKSPSPGVIDDPTISGSALGSGYVNVRKTLKELPLFSTLP PDILHFLGLSAQPKSYSPFTDIVRQGSPGNDIFFIVRGEAEVVHESEKTFSRPRLKQG QYFGEVASLGLSEGRTATVRSITAVECLMIGGDALEELWKRCPPEIRSQVEETARRRI KGTDEDVEMVDAQPEVTFTTPSKPTSPAKDKTQQPTDPDPFLSVDMENIRNRRRNSIV PPTPQTDASAIVNGMKAITPESSPLRHSVADGSPIPSKRARTLPWRPSTIEDEAKKLS LPDDILVCIFQHMDLLELIRLRIVCRDWRRLLTTSPNLCTHVDLSLINRKVTDWSLIH ILAPFIGLRPVEVDISNCFHITDEGFQALWKQCGKNIKVWKMRSVWDVSASQILEMSE NAKSLEELDWSNCRKVGDNLLARVVGWVVPEPPPSRSSENGKVVIASSNSRSRRSKPT ANGSATAPAQPPPGTVIGCPNLARLNLSYCKHITDRSMHHLALHASSRLQSLSLTRCT SITDQGFQTWSPHRFPNLTTLCLADCTYLTDTSIIALVNSCKSLTHLDLSFCCALSDT ATEVIALGLPGLRELRMAFCGSAVSDASLGCVALHLNELRGLSVRGCVRVTGVGVENV LEGCGRLEWVDVSQCRNLGGWLVGGGVGRWGFDERVVKKKGGDDALRMMESKAQGGKM GAGGVGGGVQRPRGNNGGLRQSRGKVLGPGPVMRPIIPPKGVTNHRTRKPIRFVVEKC GLELR NEUTE1DRAFT_55081 MTRGSYWDVSRSNGRPASEPQGCCCRLSCGTPRHEVIEVDIVML RRRYAGTGSIPIGGKSERRRCCWCS NEUTE1DRAFT_127034 MKITTLLWLLLNQLLTLCLARAQKCNPDGFVKSKFEQNQGLRYV NLSDENRILHIQSVPDDVPQVAMGALWSDNTDRLFLFGGQYSNSSASAPSAVETVWSY TESTGSWEKISVQNPPAFRLARGASVSVPETRKAYWMGGWADNSTTFGMHDRIYQRQL IEFDMKTHNFSYYDVPGGFGVQRTGGGLVYLPYGKKGVLIAMGGSMCTSEDCHPVPSY HPLTQVSVYDLASERIYSQETTTGNGDPTTKGWVWPEARADFCMVTVPSYDETVHAVY AWGGMVGPDGNGSDNVWVLLIPQFVWVEVYNGPYGRFGATCQVTHERFMMFSGGERQF GSNMGVLDLTTVSNGWWDGWVEAWQDLTDGQRYPAVEPWFRYNPRFAAYHLGSSLRRV AGNPARQFGDESPADIQRPFSGWSHSELKLIFSPSNHTRPNPFRTKNYLAAAKITSAL LIVIPFFIYMALYLYSLLQFKRQGYMQVVSGTTSMTWRPFFTRNPYLLFLALSSLSLL VVVELLYQHSINPAFEPGHRIMHTNGSEPSPPGYYPTIASRPRLGLYTYWDSESTDFK NMRGTSSRLKLEGYVVWNYLPTIVVVLYGLLWQQCDAEVERIEPYYHLFNNNMKQTAD RTLNVDYHTFWVPLRLWQAVKYRQWTCFLSSTAYIIAFAVIPNLQNSLFSLEKRHGGT YGDLVDFANDADGVGYGVRVAVMDGSFARALEVMFRVNMLCAVALMAIFWQRGTSTEG SGLYGDPRGMKWLKELTEEGELMGATSFPDDAQPMCQLLSPRALQGFVDDPDGLDEWA RNHLCWTEFQGGGLRLKFECAHEPAQTRSKPFKPLLKSIQDFLNQKWDIHVQRKPFML NLIPLTCLISAVLLVFAVTLWILCRHLMAIKSQDDTIPLPPELYLVIGVLVKASLTSF LPSF NEUTE1DRAFT_119334 MSFTLTIRAGPARLASRIPEFAKASSGPAAAIRAFHKSAPKTTN FFTSRTTTPLLIAQKPATNSLLARLQGARRGYQYQSHTQPQPDSGLLMRKLLYGGAMV GGTWVTLQFMFNRETRADGGMPPYERSYLNKTFMHTGLGIGIIGLTAYQMLQTGFTYR LMVTNPWVVAIGGLALSFGSMLATRAIDPDNYIPKYACWAVFNATQAAFVAPLLAMAP PALLARAGLYTIAMMGAISFVGATAKQEKYLYIGGPLLAGAAIVAVSGFAPLVLPATA VRTLAFTENLWLYGGLAVFGGFTLYDVQRVLYHARLAQAGVIKEDPVNESISLELDFL NIFVRMVQILMMQQNRRK