-- dump date   	20170509_025149
-- class       	Genbank::CDS
-- table       	cds_translation
-- id	translation
I206_00001	MSGTDSSGASKTVWLERDDLLSKAPSYPQLTTDAKTDVVVVGGG MAGLHIAYELLSSGQKKVILLEDGKIGSGETGRTTGHLSADSEYNDHLKLHGAEGTAQ IAAAQQAAIDRIATIVDKHQIDCDFVRLPGYMFQGLPTSSADFKVDTLEELYNAAEDT GKLDVTIVNDAYIKGFKSGPAIRFGNQATFHPTKYLQALSKVVSDLGGEIYEKTRYMS HTEENGGVTATLDNDKKVQAGSLVMATNVPLQKLVMIERMEAMRTYAIALKIPAESVS SNGEDALWWDLGDPYHYVRVTPSKQEGYKLLVVGGEDEKVGQHDDYEERFQRLESWAR ERWTSAGEVEYKWSGQVMDSADGVAYIGRNPGENNVYVHTGDNGDGLTYAAVGGIVIS DLILGKENAWAHTFSPSRQHSGSHLKQALQTLPGIIKENLSDQLYFTKWVTTCAKTMS DIEDLVPGQGDVVRQGLSPIAVYKDEQGGIHKMTAICPHLKGIVAWNSAEKSFDCPIH GSRFTCKGEVVNGPAKAPLQAK
I206_00002	MWGLYYTALLLVGFNCLRFARADNAFAGCFLVTPSGTTQVSGTF SSATACDAACPNNKHSFYQLSSQSCYCTDKYPAEGNFETGTADACSNSSYYDARITHT SFTWRPTCYSTAPSGLSFSSITGPDTCLKNCGTSLGATFYVSSVDGNYQCACGQPTSF GSTATCGPGTYFYYYHTAAQASQGLSRRRKIEEERKRGVHTYCPKGFTPCQIGETSGA YECIDTSAELESCGGCLHGSLNSPNATTGQDCTNIPATLGGVTCESGRCVAYKCRPGY DLTDQQCHLREEIRTKTKKRRA
I206_00003	MVSPPASLPSPTPSSSSHSHFQSLSSMQPPNPPRVPSAASGISS ISNREGKGYHQYSNGYNNTDYSSPAGYGEYSQPGQQPYNSSVPAAFLPAVTSSPPPHP PPYVPPPQHAMAPDPIHSLATGGPGMMGMPGPMSPTGMTSGPWPSNSGPNSWRGQPAQ SGYPGQPQSQHIYQRSSLPNGSQIHVSSHPPDPYGGAGRSSMSSSFSGLGHRPNSGPG ESRERRRDRDRRDKEDDGEDQEIISTIFVVGFPEDMSEREFQNIFTFASGFEAATLKL PSGSSRREPAAALLAELTQIAAAQAQAQANGEPFDYHLTQPALEEAMASLTMAATAST SASTTPSAAMSLTPSAPSGPVLGNPSLPTRRQTIGFARFKTRTDALAARESLQGKKID PLTGATLKAEMAKKNLHTKKTTSGEELVGLLLRSGRLSNVVGVSGTQIGPGTHSLPNN VGGISHPVNSTSASVRDAWDSWPNAASGERERTSTDDSISMPPPPFTPNANQPHQGHN ANDNIINAIPSSSHQAFIPSTTTQTHYASGQSNPSTSPSLSVKSPSLRQTDSKALLAL AEEADELEGWSVNGAVGIGIMDGYHSQTRGGLRGDDNGTAPSIPSFGGGSSGYGSRNG QNDAYGTSPPGGSDRLSENGRGLLSGSNPADQNPPINTLYVGNLPAISPPTHPPNFLE ESLRGLFSRCPGFKRMSFRQKINGPMCFVEFEEVGFASQAIKELYGHNLGGLVKGGIR LSYSKNSLGQRGNAHPSSLNTSMFGGIAHTVALAGMSMNSPTAAAAHPIPISNGQSIQ GPTSAGSSSGYGLQLQQGLPQGPGQQPPASAPIPDFRRESNASSSQAQSTSLSPNAQP FNIALPNPTSPSSRYFMPGNSNKRSSPSDIPSSSFTGTAAVPIPTANSTFQPFSSSDP SSGFSPMSSPIRTPASFSWKSSGAPPGTGAGGFGAFDFATTGTALGSLNGAASAWGAN SERRE
I206_00004	MSATKANGHPLATINASSLYFTPLPPTHVVSPGKESHGRYMLTI PWNRVSGWGQPKIGPRQDLTFDPLAGVLQYAVTCFEGMKCYKTDQGDIRLFRPNKNFD RLKRSAARLGLPCEWDNDELLQLFAKLMALEQPLVPNTDGSNLYIRPTLLETSEGFGI KEDAYAGEALLYVVTTLNLGKGLYPSSEPDSKGIKLDACKEFIRAWPGGTGSYKLGAN YGESVLQLHLCTVNISKKPGYAMSLWLHGQEDFISEAGAMNVFIIKEAADGYLEFTTM SLSNGIVLPGVTRESIIELLEDHASGKKDFPIDGVPKKIRVVERDISMPEIIAGLEDG SLKGMFGCGTGVVVVQVGEIQYQSKTYTIPSNPIIKILRDTITGIQRGKIDEGKSWSF KVPEWNPEGKEGDAEEHVTAA
I206_00005	MTNHLIDPSLWPDLEAKARLEYKPNQGLAFGPFLFGYAADGFAF GFLALQILQWYTLSYRTETRIVRAIVIWTLLLSTLYTALSIRYMLNLFAYGFDVYRNF YNFSWVSTFLLLSGFIQTPISCFFAHRAYIFTGRSKAFAWTTFPIIVVTLVICITLKA TAPPVWTEHLLAQSRLALTVVRDTIISGTITWALVSGKTDVQAFEKTDKWAKKAVIIF IEAQYAATLFALAFVISFIAIPRSNLTAFFMCTPKAYAVTVMGALNGRILFKRDLIPA NAVQLKHPFFGVFNSCASGISCLLPHTNKRNQNQNQSDSINATQTEIHVETETIQQTS QLEPLQVKQSVNRDPKPYSSPYSLDLGGMSLHDGVDYYGHRSRKRDTNGEHPF
I206_00006	MSWSAHSLLHSAKRRLPPWRSYWRSQNRSNQSRPNISKPHFVHS LVFPGCSSHYGPSVSRRSSTFSRSPDLPFIEPSRPNHPDDDGYNDTGQTGSDPYLADF DRAADDLLQATQSMIDAKRSCLRAESAGSRSWSELFGDRKRAWDAEKNALIEYGEDFR RSALAPDNLMRKLDSENFSTPDPTSFESYDKQVAEGNFSILSSALRSPFPPQLQWSPI VARSLFDKPLNLTYPSDLCDNSSLNALRYEVPELFQRPSCPYSSHGETEPDDIYRRVS LEDPRLDLYDITVGPSQTSGDTISQTDNVSSNPPDSPQKSFPSDLSDDSARSFCDALT RPASIDVTYDIGDYLHRSPSTSSGNTTFDDFLEPEKFGSLDDSTEASRSVQRSSSLHQ QSASLASAVSYVTTTLESSLGDKWGQWWKGSRTVT
I206_00007	MQFSSLIALLPFLAVALASPVDRNLGKRYNGVRIKSNRNGQCLS VPGDVFGDGVRVQTVDCANAQKWNINPGSGSVIHVPSGLALDAGTGKDNNEIVKLWTS YPTLFQQTWYLTGDNRIAITGGDQCLDQGSEFEGTQTWKCTTGNTNQIWFPIDENGNN LDPNGNGGNNGGNNGGGNGGSTPSPNIPKKDVYDDPTDGSHRLHPVGRDDLCVTVDGA KVDAGTQVNIAYCAPNDSENAKYQLFSVTGGQKGEIKLRDFPDKCLNSNVAGGNGQAI WIDDCNKASETQRWDYTGDKLRVDNGGNICLDVVLGSGKTAGTPYDIEERLQTWECFE DNTNQIFTIKY
I206_00008	MLLFLLAFASIVFLDPQTFATRLLGKRFTSVKIQSHRSLKCLSP IGNPADWADGTTVTSVDCSTAVNWDVNPGSGSIVISGTKWALDAGTGDQNNAIIKLWD SYPGLFQQTWYLTEDERIAITNGSQCLDEGNDGPQTYTCVTGNNNQVWTILEATTTQP GTSPSIPSGTVQVDKPNGKGRRIHPYGRPDLCVMVGNGVASVNQLVDIAYCLPDTSPY VGLQLWNITLGRSAHVYLQSHSSLCLDAGQNPRDGTRLTTYSCGSANTRQSWLWDGTA LALDSRDPSQALELCLDVELNSPRTPQKPYDRLERLQTWACVPGSHQQSFSVFGDDPS EDC
I206_00009	MGLAKYFPKNRKAFSSFHRGHDGSGILSDVYSSVSKKWRDISDR GRRRIGNSILPSTSSDQSSYASGNTFSSSQTDSSPNAYSSLYSSHGPIYADDNGRIQY TSRSSASGIFSSYSGPHQEQRVYRTVNGDASNSCTSEDSTPSGNPPLSISMHDGVSIS PYPSSLESSVAQFPSVKTSEVKQRSLGEEIARYGGRAKRKADSWSSKYKEITDGSSLN MVTHSPVVSSSAPSFSASKVMNSKTQFVRDQGSFQSMGPSFGRERTSQIVSVPPYEDK TKTHDSSWSGVTSNWSVSSNSDGNRVFGLDRSQVSDMTRDMVDLLSELMTSKKAGSLT TSISITKSKDSVLGVQVRHDLGFEESSGDSASSSSRGTGDNGDLWRSSRVSAIAETHN RINPNLFGVRNKWR
I206_00010	MSPPVLPRTLILDYYDSYTNNLLCLFTQLYNDVEVLQKVVVIKA DKYNWLEFQEQVLPNIDCIILSPGPGRPDNPSDIGYALELLRSHPMPILGVCLGHQAI GVAFGAKIINTPKITHGHVVPISPVSPKIGLFDSPFWHSSGIENEFEVVVYNSLTVDP STIPTELEVTAWSVSNSDRPSTVQGLRHRQYPIWGVQYHPESISSTRGAALLQSFLLN VNQHYSSPSSFPPLHSHIVSSCAYRVSVASRSRPSTRPSSALPTPPVTPSPSRTPSRI GQRRGTLRLLEKRLGDVGKQLRTQDVFESLVGGALGSKKGKERAIAEVWLDGQTPTRS TTTSLATPQFLITYSLATKKVTLHRSGRTTSHIVLSETTFWDWFSAGSQTLTAALSDS PAEGWRGGWVGYFGYEMKTESLQGYKRPLRGDRQEEEVDACWGWVDRLTERTSAGEWV VRGVTQDTAGLPFTNTDDEGEDIPMIDWLRSHGVAFGTTSEEWQEYVQLVGTALNNPF TSSKKPASSFPTFRPNATGADYRERIDACREAIRQGESYELTLTTRFSASSPDLDPYS LYLRLRSFNPAYYSTYFNFPTLTTPRGKGLSILSSSPERFLKIDKSRRVEMMPIKGTR ARGKKVDEQIGEELRTDHKERAENLMIVDLIRSDLLSCCTPSTVSVPKLIALESYGVH NLVTTVQGTLAENVGSVECVRRCYPPGSMTGAPKLRSVQMLDDFEGEKRRGIYSGCLG YISVDGVSDLSVVIRTIVAEGENLSIGAGGAITWLSDRDKEWEEVLTKVGSVVGKLEE NQ
I206_00011	MSIAAAFARKATLRKSMLRTLKGMSDADIEKLSQAVFRILLDQS FFKEAKSIGCYLSMKHGELRTNRIVDHILKRAGSTLYTPFIPSTTSHSPEAQGSVPSQ DMRMLRLYSPADLEKCPLDKWGILDPGEMRNDREGEERENVMNRSAPPLDVILVPGVA FDEECNRLGRGKAYYDRFLLSYTSKHRSPLLVALALSPQILETGEKVPTTEHDFRLDG VISPEGIVWRQGE
I206_00012	MSKKIILNLPRITILSGSPRLPTVHNLSIRPQWPPIFLHHKPQR SIASFPNIQGQAASMDNRPLAHSAGAPYSASGYFGNPYNSNMTMPYQQSSQSYSPFYA NRPQSFTTSPTDIGIVNVRNGGQVLLRVEAGSPWVATSFRESMAEYLDSHLQKIDQSS RAAAQQSAKWVQGLNDLHNSILHTAGTSSVPPSELKKLQSRYTSMILNLQASRSVESQ FDARRNQLIQDRTSALSDHVTFGAAKSILKTYKSTTRTDRNGEKFVSPFERLTTYASN KKKLFKDTDILRDYNPSSAHQPSWPGLAPQSSSFGFPGMPPYSSGSASSIPSGIFSNN DNSRFGPALGPWAPWSFHSPSSNSYVPPGGNWH
I206_00013	MLFGNSLPAFGSFASRFGPSPSAPSAFARFNPEATSSYIPQYVG GNQPYFGNSYSTQQPFSSPQSTPHFWQSSYNFQNPFNNQYTPNQDFSSFQSHYNPAQP PRGPPGQSSSYYDLNQNFGDDSSEEDEDDSESDDEPIMYTPQTTADTIPQNTMPDTNV NSAHTLPTGPTLISNPYWTPNSGWKESAVQGSASEYCQSAYDTEESGSERYAKSRKDA TDRVNEHIDELTENIARLQSSFTSIVSKMEDRATKDPELQKECIDTLLRFKSAHETAK QFQSDTKTALAQSQAEIEALRNMLSLKDAISNIKSSEYITVGDARAAYKTYGSKLKSG VNGEKSRSPFESYFMGNFNNARNEERIPFYTNASSSSTTGQTRAQQTNNGTQQPSFGG NSSAGGPDINNIFSRSNTSTYNPWGSNPSWAQGANPGQFGYGYGGFGTDQYSSPFVPQ SSGFSFPFGPQSSSFASPFASAQPQRSQLDDFISSLLRGNSNLAQGANTTLPGLNAQY VPRPQPQQTTASSFGTAPGSTTGTGRYSGGTWGRPGDDAYTTGLRPPT
I206_00014	MTHNTADNSWEERWQAGRTGWDQSSSHLSLVSLLQSPLALELGI PSKGRALIPGCGTGYDIQTFASTGLDAVGMDLAPTGVEKAKKWLGQQPKTKGTVSAIC GDFFQYTSDEKYDLVYDYTFLCAIPPGLRSSWSSQMKKLTSPNAYLITLMYPLPPTNN EPPPWPLTVDMYHELLDDTWEITWEKEVSDIEKRTTGAKGGESIAVWKRK
I206_00015	MSDTITGSCNCGSITVTIPKPEGVVLCHCINCRKSSGSLTSGNF STPTKDVQVKGEPSQYKNPGTSGNEVTRKFCGNCGSPILTVIADPSVVFVKAGLFDPH TVPAPSAHLFARNMEDWEIIHEGASRLEEQ
I206_00016	MHRSLKRPPSPSFYPNNLDQSQRWTSPPPLSILEEESDTSRRVK FRTDSGESRLYDYEDRADLKYENQLDHNYGLGYEETPYDQGPRNGQNYYIPHKQVYTD ASEDTAEHRYRYAAHDHGIESDNDVSNNPIEEHPILYSEDEQYDHGHRPRPESYDLDN DNEETDYQYFSANPPHIGNTINPHSYPTYDYNSIPYPQSELHASQAHPQSIQAVNSYD QNNPSTAYATSQAQQPLKLPNRNAERISVQTQLSENEKQRYFPHEGAVAENLDTFPNG SQVNVDDEDAEETGPVVKRRRVLALFAPSREVLAAAWYDPEKRNMEILEDTKDTRGWD LAILILEQVQPDVVLMSSNNDTQMVERVQAWATEDSTRLLIIPGKQCNYNSAIVHLSA VRLPDRSLIVSAPQPIPSASSITENMETSMPHRMEDTTGLGQHRLNSVKLGCWVNVQA PLAVIAAGQVVSELRYGLDGGLGGKSEFMNGFLELNSLESMDIERYMQINQDALTSLA IFSVEDHAAMFARDEKPALSIHGLLNTCITPLGKKLMHTWHLRPLVDLLEIQARHEAV GLFSTRLNSGSVDVLCRTMKRIKNLPYLFWKLRYGTAKFHDWRAIRDSLNAILEVRNT VIGMGWVVPVDIIEKMRTKVSAELETISSFMDEVIDWNSSKGQSRMSVAPGTNAELDD LRTLYSGEFSQFSHVIKEEIPRDIASNFSVIYLPHIGFHSVIVTEEDIPSPKIRGWTA RFKTTDKHYYKNSSMVDLDNHYGDIYVMMTNLEVDIIQDLNTELQKFEPIIDAAVDII AELDCLLSFARAVSLYKLVRPTMTNNTTLQIREGRHLLQEQVTDWCIPNDTLLAGGKD TKHHNMMIVTGANGSGKSIYGKQVALIVFMAQIGCFVPADSATIGLCDKIYTRLQTKE SSSKHASAFMIDLGQVSQALRGATEKSLLIMDEFGKGTISWDGAGLLAGTIDYLQNGP CPRTVVLTHFHELITQEFIRENDGIVLAHMNVELVPESRELHFFWKLALGPTKHSYAA DCALQHGIPQEVVDRAKYVTECVSKFDLTKLYDNKLTPAREAELRANEELAKRFLSCA FDEDTQVVRDLVEDMLEDTEIDKFKFQLIDSTDNINTNERQRQDNADEEIDELDSDDS NSVAYSDETD
I206_00017	MSEKVATEAGGRIYTSGSYRLGVHGPGSDIDTICVCPRHIYKEH FFGEFQDMLRAWPAVTEISAVPSAFVPVMKTVISGVEVDLLFARVNLAEAGDKLDIEK DEILRGVDDASQRSLNGPRVTDMILNLVPDVATFRTALRTIRLWAKRRGIYSNVLGFP GGVAWALLTARICQLYPTAAPATIVGKFFPIYYQWGWPQPVILKKIETGPSNMQHSVW NPKLDRRDMAHRMPVITPAYPSMCSTHNITASTMSIIKKEMLRAMQITDEIIKNPGST WISLFERADFFSMYKTYVHVVASASTSEGIKDWSGMVESRIRTLVQDLENTDNIILAH PQVGGISNVFYCLSEEEQAVASQGEVPPELMNRKETDIEGKEGARKIWTKNFFIGLEI EKKPNGQGSRVLNLFYPSKRFCAACQGWEKYNEMEMSVILRPAKRSDLPPYCFPDGQP KSKKKTKRGQQNGTNDIGLGDASEEHSQGPSKRSKATPSDESSSISYPVTNETSNSSI AASPIPSTVPLPNGADPVPPSILNGNSNAALPLPPPGIENLPPLSATSLSGFATAANG VVHDGHSGKNTDGLVVLNGAPQTASS
I206_00018	MFIVKFISGISLLALGCIASPLQSIDKRALPRLGGVNLAGCDFG MNTDGSSGTSYCPGTDQISHFVSKGANIFRLPVGWQYLVGNNQASTSLDATFFATYDN LVKSALATGSYVMIDIHNYARWNGGIIGQGGPSDSDFANLWKLLATKYKSESKIIFGL MNEPHDIDINKWATTVQAAVKAIRSAGAITQSIALPGNQWTHPEGWISGDNDPLLTVT DPADSSGSLLIIDAHKYYDGDGSGTSTECVTNAVDVYTSFKTWLKQHNKKAIISETGG GNTASCQTDVGQAIKYISDNSDVFLGFTVWSAGSFDSSYALSITPNNGQDNALFVNAI QLYLPG
I206_00019	MSGNTNYVIRIANTPEDIEKCMQIRKEVFIDEQGYDISIETNDD DYQSTHFLMISNKDEPIGTIRLINNNNQLGRFAIMKTFRSKGFGKPLIEFVHEHVRNK GGVEIWCQSQAANPNSGGIDATGFYKKMGYVNKGELYMKEGTQHQDMVYYLKH
I206_00020	MSSKGISTLKSNSNGMLDEWEVKKDIGPFTLQYMINDQLNTIEY SEKESKNIKTGEFKKEIKYKGINPIWNEIKAYENEGDDYYHYFVEKNSNDDCESENHP IIYAHNSLNWIKIKRNKDYSGRIEWNEINENENENSKNTISLKWKPIILKCTCSSSFN NEIHEKIQYSKLLISHKSIEEEKYTHGLFTYKDLEILSKIENSNSINSNINRNQSFWE KALNSAGISLLTSEADYSSSMYRQNTQPQYQSDGSSLVGIKEE
I206_00021	MDVTSELANYAAANSTTVLNRATPIITDAGLLAAFDNTPVDPEE YRANLNQHLLALTLTSTQSLITSLFGLPTKSTSSGKLTILPTPETILPREKPLPKPKP LTKWERFAKEKGISHKRKEKDVWDEELQDWVPRWGKGSKNRQGEEQWLHEVKAGDEAD QDPAKTAKSERKARIAKNEKQQAGNIAAAARSSASALAGTSSTKGELSQEDKKSLRER RKDELQRSMLISKTSTASLGKYDEKIEGEPKVKGVKRKFEPTSTKDYKGEKESQLDVL NKLEKGVGKVKKSKKGSAGEEGGLNVRKAVRFQGKVDRANGGGGGGGGGAAGKKGKRR 
I206_00022	MSHSSAVPIPRPPVITTNSGNSGNFRTRSLAISPINRLGSPNSI GSNNSTIHGIENELYENQQERINVNDYGKRRSSNSNSHPHIDIESGLSPNSSNTPLSP ISNKSVGGNIRHRKHKNRHRHNNKQREEYRWLNTSAGGGTGDEPGVDVKSKRDEEAYG HLKNKTKVTIIDYSSNPDEDNTNLRCEFPGERLREWLDSDQGARRIGEDGKPTGVRWI HIDGLNWQVIKTLVLHFGLHPLAVEDALRATNTPRSKLDFYRNHLYLQILIHHTTPSD EVRLHMAAEEMANEREGGMTVDENIVDEPIPAKRRRFGGIFGGTSSDSQTTERGNNIP KLPEGVEGVFEPSLISPRNGGHHTFEKQAHTLTVSELSAKYMVPIRRGILSLFMLRDG TLISMDAKPTREVLAPIYHRLEDESSLLRRSGDVSMLAQAILDVAADLAIEISQTFES ELLKLEASVLVNPQMETVRHLHILSSQLIRLRRSLTPLLHLCYIIRDQDAQRSIAASA MVPAGTRAGTPGIGLNGTSHHMGPNGNAHSHLGMNWTPQNNDQSPNHFGGSGAGGIPI SPTPSRGSTPMPGSANSGSKSRNRGFNDEKREPTSSLSGKEDDAQSVLSMFGVTPTQA THIGFFSPMTKVYIGDVIDHLEITVGSLDQFVNTCDHLTDYVFNVLSFQTNESMERLS IVTVVFLPLTFIASYFGMNFDDFEELHQSVVYFWKVAIPCTTAFFIIFSFGYLRAFAE TFYRKVKRWRWRRALGGSGGIPPRRIGSGSKRM
I206_00023	MSAVKSGGSSSPRDDNSVKSTIDENTLPEEYHLGNDRKHSVKVE AAPLAIGVSSAEVDKAESALGSAILRALKLRPPLPKDAPDAIATQPSIWDGEDVDELK ARYIRSDWENIEAFDPNFRWTVKEEHEVRRKIDWKIMLWACVMFSALNIDRGNISNAN SDGLLKDIGLTQADYNLGNTLSKLFFLIAELPSQLISKRVGPDRWIPIQVCIFSIISG AQFFLSGRSSFLATRILIALFQGGFIPDLILYLSYWYDSRHLPIRLAWFWMSSNICGI ITDFLAVGFLKLRGLHGYEGWRWLFLFEGIITLAIGLAGFFLLPTSPAKTKSKWFPKG YFTEKESKIIVNAVIRDDPGKGGMHNRQALTLKMIWNCILDYDMYPLYALGLLFGIPK YPVGNYLTLSFKELGFSTIQTNLLSIPYEVISIFTQFLITAISELSNNRSFVAAAEDI WLLPCFIPLITLPDPINPWSYFAIETVLLSYPYTHAIQVAWTSRNAGTVQNRSVSASL YNMFVQASAMIGANVYQASDKPRYKKANKGLVGLLVFNVVILYPGTWAYYKWRNRTRE RIWGAMSEEERQHYLKTTTDVGNKR
I206_00024	MTTTVLQSTPSLQQATATLHLSGGEEQIITTDEEKKVNRVPPPN YKYKRFLPTFDRETKYPSLTSFEHKDPGLDALKHDNPREFLQGATINALSPSFGSEVE GIQLSKLDDRGKAQLALYVAQRGVVAFRDQDFIDQDPDWQLNQWGKTFGRLHIHPTSG APEGIPEFHLVYRDGAPKKGLASYNPNKLSSTGWHSDVTYEEQPPGLTTLFLYDSPSS GGDTGYVSQVEAYKRLSPQFQAFLETLSVLHSGVAQADFSRNGNRGGVVRREPVENIH PLVRRHPVTGEKALFVNKQFSRHIIGLKTEESDAILDLLYTHIAQGHDFQIRAKWKPK TVVLWDNRITAHTAIGDYSPERDGFRHGARITPQAERPFL
I206_00025	MASPDLSEKELPSTPDFDVTSGHNKDLGVPEFYIDGNVNFVGEL GGNGAQATIQDVSGAPVESVNPLGYSVGWWSALFLNITMLIGTGIFSFPSSLLKSLGS VGLTLLYWPIGLAISLAGISVYLEFASYFPSRSGAEVVYLEQAFRKPKYFFPVAFAVQ TVILSFVSSNVIVVAQYIFRMTDHTPTNWESKGVGIAALTIILIPIWFSTKWSLRLSN LFGALKIVTLLLIIIPGFVALGGHYDQVPKPTANFKNAFEGTRNNGYSLSNALVSIIF SYGGYTNSFNMANEIKNPIKTIKRTANTAVIFVAVLYLLTNIAYFAVLTKEEIKGSTE VTASLFWSKLFGDKAAKGLTILPVLSAASNILNSVVGHSRMIREVGRQGVLPFPKFWV TTWPFGTPTGAIIAVWVVSFVIVIAPPAGTAFNFIVAIQNYPSSLFLALMTFGLFIVR RDRKRLNLPRPEYRSWTIVVLFFLAANLFLIIMPWVPPTGGINNSSFGFFYGASSLTG LGIVFLCALYFLLWSKIFPKLGGYQLRQVVFTLPDGSVSHKLIKVINEEVDEWDAKHD PSGRSLRDFEAGA
I206_00026	MSIVVTGATGKLGKLVVENLLTHISPTQIGVSVRDATKASDLSQ KGVRVTQASFDDPSALKEAFKGAKTLLLISVDNFDKAVDQHKTAIDVAKEVGVKTVFY TSHIGANKTSAFAACRHHAETEEYLVKSGLNFYSLRNGFYSESLKMMLGQPIQTGAIY APEDGPVSWTGHKDLAEAIAILLTDESKLPKDPYVPLTAHDALDFTGIAKIISDVSGK EINKVTISDDTFVEKTVQHGVPEFIANHLLGIYHASRQGDFKRVDPLLEQLLGRKPES IEGWAQRVFA
I206_00027	MDIPVDVPPVDKNQDQQIDRQINHIALSSALRKLDLFLLPIATI AYFLNFLDRSNIGNAKAAGLQTDLKLTNRQYSIALTVTYVPYIVAELPLTLAMKRVGP HILIPTLVVSWGIVTLFQGFVNSYGGLIAARFFLGLTEGAILPGLVTYLSSFYHRQVL GKRLAFFFSATSLAGAFSGLLASAILNMEGVSGKRGWQWIFILEGLLTVVCGAITFFV LPRDLSTVKYLNEEERNSLMLAHDIDGGATAIHERFSLQHMINAMKSPQLWLVFAMFF GNGVTLYSLAYFAPTIVQGLGYKGISTQLHSVPPYACSAFVAVIACFLSDKIRHRGSF IVAAAMISIIGYAMFLGSTNHHTLYASLFLQVIGAYTMAPLLSTWMPNNLAPYYTRVT GIAFGFISTNSGGILSTWLFPTTDAPRYKKATWTAIGLSISIVILALLNSAFLASRNK SRSSAIREDLEESTDSLDDLGDKDPRFRYIT
I206_00028	MSTVTFENIFHGDGPDLGKLRFNAAGFGWKTYSSEDAPTTFNGH DVRHATWFRVARNFQLRLAMRQAEKPRITFDGFKRDDHDKVKRTLDEFFNIKLETRDS SLKGWNWGKAQVQGNDIAFQIQGKTSFEIPLSTVANSNIAGKNEVALEFNPPAPYPHD PKDLSRRVPDELVEMRFYIPGKSMKSKGSDAGSDGEETDLDEEGNEISAADAFHNLIK DKADIGAVVGDSIVIFEDILVLTPRGRFSLEFYPDSLRLLGKSTDYRVPFTSIHRIFL LPKLDDLHIQLVLGLDPPIRQGATRYPFLVAQWPKDEEVDAELNLSDEEIAKYPDLQR KYEAPTFQVISRVLKSLTGKKVTPPGSFRNAQGLNGIKANVKAVQGELYFLEKGLIFI AKQPILIDFSKTESISFSRVGGGIASARTFDMRVVSKTEVADHVFTAISKEEVNPISA FLKQKNVKLLNEMEENVLDDVPLSDDDEEMESIASEDDEDDRKKSKSKSKQDTGKKVK APAMDVDDESDDEDFRSESSDGGSPSESDSDDEDSGMASDASDPMMEELKKKQAKRGK KDAGSGSDAEKPKAKKAKKGD
I206_00029	MSNPSSSSTLTANAEDMQPASESPTSPRLNRSVSISAPHTHDQH TLLGRIPTKGSTHSKVHHENGEELKRTTSSGTTGSRRHVPDLSFPYLTTDISRGGITE EYRTETRQGYIEPDDPDNGLRPVQSRLSTLHGDQFVLHAGGDAEKGGNKEILKGKKLV TWLENDPNNPRNWSKFRRWVITAIVSCAVIQVALSSAIVTGDFKGQQEEFGVSSEVIA LTVTLTVCGFGTGPLLWSPLSELLGRRFLWVFPTLIYIIFNIPCALAPNIGCLLASRF ICGFFGSAPLTLAGGTIADIWGPEERGFAIAIFAAAPYTGPVIGPLIGGFIGKYAGWR WLYWVNMISAGVVWAATLTVPETFAPALLKKRAKQLRHDSCDDSYVTEQELFRKPLAE IVIETLIRPFEMLATEPILLLMSLYISLVYGLLYAFFFAFPVVFGEDYGWDDAKTGLT FIPVFFGVGLALFVTPWLEKKYTEKGDQVTPEDRLPGMLIGGPFVPLSLFIFGWTSPP YVTPGGGSWVGPCSAGIPFGFGMVLVYFSANAYLIEAFPDYVASALAAKTVVRSAAGA AMPLFIAIMFRKLGNGPAATILAAVAIVMALIPFAFAKYGASIRARSKRAAI
I206_00030	MDSGKCCTAKYETRTSRDRKGHEVGKEFRYAGIEPKSEMTSWRR LEVNETENDDKTSIEEAIQWMDGNAQVVDEGETEFGPKWKKVARYRSNNLSDARLIVD WTNDKTDENFRGVFTFKELREQSERGRSSDWRYLEEALISLGGDDEILNFKNSTGDVS EEDQRAEWAEFVKQMRYRVGYNQKKCEVRPDDPVTLSPRRTLDPDGTDDSSKKVRFDV P
I206_00031	MSEPASTGTTTSIGTLSTSPRGRCKKGLRPLWQTRRQLQHEYDR MGTISEITKSGYEETRAALRDLIKTNRKTTKLGRQRTELLKEIAKSENTPFPSTKRNF IQLRKADGVSRSGRPTKTSEITKLWDESNASKRKHWSSEKWSELQQTFRTEREKALST NKTLREDIEILESMARTSHSMTNSDPRSKSGSSSPERDEQGERWLGRLRDLRLTKRAR WGAKQSKASDSDTPSIGTASSRSSSDKETLPEGRSSLSV
I206_00032	MSSPIHSYLFSPPPSPPRRAIDKDPNHGLTSIKSLLLPTDFIPR SPNVDGGLKVRSPRTPQQSRFTLDNVYPSGFPSKQKVTDPEATPIASYSTPTTPRRRP SEKTVASPQYVPSNVPSTHIPIPSSLPKPLIRLFFLISLLLSSILLLVFVPSARLPSL RAAGMSRRLALDPNGKAFIDIQGVTSWSDARDKDYRPPQIKAPHMMKRTFEKKTAPAP HPRASRPALTPRPLPSSHELLALQSYLLSSAYNVIPSHVDPTEPIDANAVLGVGVHKL GPLGGDLEQAWLDELKGEREDEVIVWYGGDGRPNHPHEVLDFLASVHGSSRKPTLVPC HSRPDRTTLLSILDRLNLPLRDHPIIMIGNEPIVGDMQSLEELRLSGELENMLSEIGW KKEARSDWKPKYAKVQKKTLTEVEQALQAQALAKFEAELEDEVL
I206_00033	MTIQPQEKIRVAVIGTGPGGLAAIIHLLRVPFVELSAFDQATEL REVGAGISINQNTWRHLHLLGAANAIEQISARGDGTKVDHEQRNGLTGELIAQSFQKV EINAPPRSRIERYKLQNALLGQVPKGFVQLSKELSKIEESSKGTDLTFEDGTTAGPFD LLIGADGIRSAVRKHAFPDHRLSYTGKVAYRVIIPQSKVAHIKGIPKAATFWHTKDTH VYTDPLDNGLFEIATRASEPEEDIKKVSWGQKVKPEQVQHHYDHYCETIKQVIAAPDE WLEFAMFGGPRLESVISNGHIALLGDASHPLSGAFGSGAAFAFEDAYVLAQALLYTHK NGESVSQAIRLYDEVRSPHYKGLYQILNNFASNAKEIEAISPPLIENDFINERTRRNW NAENKWIYEYDVTKVWKQYIDTIDAGKDLTKLSLQKNKEAETAIVPVIPVSA
I206_00034	MSIDTEPVPGHGFGRPIRTVAVIGSGPTGTPAAKHLQDAGLKVR LFERQSQSGGIWNWREDASLPLSVPTPPPSVGAFTPVLRESGVYEDPNRKERELFSPP NPCYWNLSNNVPTTTMAFKDYPFPEGTERNVSHTFLANYVHGYAEKFGLDKVASYNTR VEQVEKVDSKWRLNLRKVEDEGEDKAREEQWSEEFDAVVVATGHYNAPYIPPFPGAEE WAAAWPDKVIHSQGYRKPEPYKGKTVLLVGIGTSGNDIARDLDPYVEKTYMVGRNKLR GPVAYQKQRRMQRFMVPEKAEPVSEIHRFIPPTEGQSIDEAEIELTDGRILKGLSEVI FATGYQYSFPFLPSYHQDYQGVRSEKIKKHPLVSDGSGVLNLWRDVFYIPDPTLTFIG LSVNTSAFSFFEYQSISIARVLAGKARIPIEAKRWADYAELVNEKGEGKFSHLLNRDG ERKYVKETVEWLNRDAEWSGSESIEGHTPEWIAESDKMQELLAMKYGVTPEILKQINE EIEAGNGQNVAGNSKIYETAAEETNARIAEGIARRTTVVKA
I206_00035	MSKPTYPFPVTNDGDVEDPSKEVVPKSTWKGRIWDTLDKPPAER KLLFKVDAVILTFASLGYIKNLDQTNTNTAFLSGMKEDLNMYGNQLVTSTSIWTVGYV IGQIPSNLLLTRVEPRWVIPALELGWGIATLATYSVKSFKALYALRFLVGLFESGFYP GIHYLLGSWYTPSEIGKRAMIFWLAGSLGQMFSGFLQAAAYKNLSGVHGIAGWRWLFI IDAIITLPIAIFGFFFFPPLPLQGKKTWWLSDDEFTLAQTRLKKIGRAGKKPWTRAKF KSLFLSWHTYFLPLLYVIWNNQYPQAAIGYWLKSFNAKKNPPVPGKTYTIDQINLLPL PQTAIFIVVALAFAWLSDGLFRGRRWPFVYIGAVYSLIIAAIMRTFPLYKNIHATFAM YYISQIGQGAGPLILTFINELCSDDTEKRALLVSAANDLAYVVQAVAPNFVWKTTNFP KAKKGWTWSLILNIILIFWITAILLLIRRDEKKKTQAEIEAASTGDVSETYDSKAYDS ADDSQPATPGNTTPEHKQLAVLQ
I206_00036	MSTVPYVEEPIGNINDRRPVREAQPIKETWKGRLWDTLDLPSDQ RKLLFKVDAVMLTFASLGYLKNLDQQNINNAFLSGMKEDLGMHGNELVTAVTIWTVGY VIGQIPSNLLLTRFEPRFVIPALELGWGIATLGSYAVKSYKSLYALRFLVGLFESGFY PGIHYILGCWYTPREIGKRAMIFWLAGSIGSMFSGFLQAAAYTQLNGVHGLAGWRWLF IIDAIITLPIALLGFVFFPSAPLQDKKAWWLNQEEHELAQWRLTSIGRAGRSEWTKAK FKKLFSSWHTYVILGLMLKIWNNGGGQQAMGYWLKSFNANPALVPGVHFSVPEINQLP LITRAIFIISAIAYAWLSDGPFKGRRWPFIYVNAVCSLIFAGVLLKIDLYANITGTKV LYWFQDFGSGAGPLILTWIIEICSDDTEKRALLVAAGNDLAYVFQSIMPNFVWKTVDF PEARKGWTYSLCLAVLLILWTTLILILLRRDEKKALRSQAAIASDVAIPNSPVESSSD NRQDEKDRDDYSPSIDNLSNPAALNKV
I206_00037	MAPIALDNTPIAAPAQSSLEDIAALKAKLQAGKDNVVLPPDNTL RRYQKAGIDLSNGYPYFPEKIPEYVQDVGKIRDNLREYKDPALRADKEKKSLFGAAKE VKDLTKWIGTEIVGLQLKDLTDKQKDELALLVSERSIVFFRDQDISPQQQRDLGVYLG DGEIEKHPQAAQVPGVGGGITLIWEQGRKDKIYNGRSHRVPYGGGQFGWHTDLVHEAY PPGYTHLHQDTVPEVGGDTLWASGYAAYDKLSPAFRKVIDGLNGVYRSAHSYKDQNDP EGGKKHVERTHPLVRTHPVTGWKALWINQAMTSHIEGFDKPESDAILNHLYNVFERST DIQLRWHWTPGTSAIWDNRTTIHTVSYDYDGERHGTRVSSLAEKPFFDPKSKSKAEDL QLKGWVNTPDVKSRY
I206_00038	MSLSGTLTTFEAGPWKFPLFTSGDLNSDKAVAFIGGLTNGLGAV PYTYPLSEAVGKAGWRFIQFHWSSAYGGYGTGSLKRDNSEIEALVKHLRSTGAHRVKT LVLAGHSTGSQNVMQYLSNPLYQSSTPESDGLRIEGGIMQAPASDREFLDLLGLKDWF DVLPLAEQMIKDGKGEELMPKEFCESAGSDGVPLPITAYRVWSLVGVGGDDDFFSDDI PAEPKEGCKHSLSSSFGKLSAPALVLYSEEDEKYQKGDVHVKLKRWEEASNGKLHLHF LKNASHDVVQPEAQKVLSELVVEWLKQF
I206_00039	MSSDGRSTPTKLRRSHPSPPQAGPSRRKATAKTQGFDEVWEDVD SQNPEKPLSISSRESASPRRVARSTRSVKLRSPHKKPVLSQIAPRTQAVATPKKPPAK SIPLLDTLNLFLLPFRLLLAPINILLSPLYAHLANGLLLLTIASLASYFILPLVPSII LKLLGKAFRSVSSNFIARAFNYAQDSDISLGKEVVLLPAKTLATPACLLTGLFCHTSL LSQHDDNGTVIPAKPFWSTTSANEDDLDVGQYARVLTKEARGARDIFESVRTLNQGGV AGGLEYVRIWELAVAVNTGSTLEGKGFIAEQLRELGDMTRDLSDEIVHIDSKTVNAFS WLQWEFKDLVDILSRPPSSRPSTSVISGKLHSLILRLSTELDSIYTLTSTAAQQASRA SEQGQGLYTELNRKATGLQYERDRSPGWKRVYDKSTHFLVGGEPSKAELVDRDLKITT KTIGNIRALSRNLEETRIKVKVYRDQIGMFGASMMGFHLGSSEEVGLGPEEEIRVLNE VVEGLARSVGMAKQEARNGGSKSEILEIDQ
I206_00040	MVTSDNQTPQIDRNIENYSIKIGDDGEPYIPLDGFPDIKLTQWR ISDIDDLIELYNQPSVSKYAVNRPIPFEKENAAFLYQEIPIHQIYLQQLINNLPNPPS LENYPKGEISPFGVIRLTSSPSSSSSSNRLNTQGKLIGTLHIRPSTYSPQNWEISYYI HPKYTSKGIGKETIKQGLNFMKWLNIKRIIAFTAKENIASNSVLLKNGFSLYSTHIID WPEFLGGGKKDVCSWDKYLIPFKGKGPHIVEDPLAVYL
I206_00041	MREDHTGDDPPHEGKRGKIDECLIKLDANGRPYIPFPEHPELRL TPWKEDDIDDLVELFNQPNIAKWGHSRPFPYLKEHVLDSLPNLGRNDIQYLTILINSL PISPNLSIYPKTKLSPISAVRNKDEKIIGTLSVRPSSNFKGDFEISYNLHDQLTGKGI GKEMVKIGIKLAKWLGIKRLLAFTEIDNHSSKAILRNAGFTLHAERLVDWPEHRGGGK RSAYIWEIYPQSS
I206_00042	MRSFSSRIPILLVTFFLLSLVIRVEARSQRQKRDVISVLDIDVP EYMDRWDYTTEFNHICTGIFPDDGEPDTPILIETYAEYSKGSKLSIQNKEIDSPVKGV VFLVSCIYRYPDGELHQIGEYIAEKVGGRVVRYVNPDAHLDGKKKEKARR
I206_00043	MPSHSLIQDGPNGPYIQLPNHNLKLTLWQETDVNDAVALFNHPD IGRWSITRPYPYTTQDYKYISSAIPSLEKLALSLINESSPDLKSISTAPIFPLSALRN NKGKVVGFCSIGYSQKEKGSWEIAYDLHPDLQRKGIATVMVKSILDFVKGLGVERVIA FVESTNIPSASLLRRCNFTFVEHKSQNWAEENGCGSRLLNWYEIILQLEPIPVK
I206_00044	MFSIKIILAIISSLIIGISGKPIHPTYPEPVFVLRPNNITMDQF KEEFLVLCPKYYGNNEVTDQPIFIFETFQERFEKDIWHGRKAAVQCIYQFPTAGYQNI GVDIARALGGDGLISIDPIEGGPLAGGDADEE
I206_00045	MYYTKLTIALFTSLFVSVSASPFQRDFPGPVEVIRPENITTEEF KQEFLELCPKYYGESEITDKPIFVFEDFQESFDQDTWQGRKADVSCIYQYPTAEYQNI GVDVAMALGGDGIVHTLPVEDSSDAPQ
I206_00046	MRSSITFLITIFSTLTIIKSQEDNYSNPILVAKPNNVTMDQFEV DFERLCPIWISPPTASDGWYYDSTIFIRGGTEGNSPEYLAIVNCLYKTRGGEKNVAFE IVDSLGGSIANEGI
I206_00047	MSSPISKRPLTTSPTPKSESQSSVKPLYASQGDLPHLPIPTLNS TFHKYLETLQPLLSKEAYSRNEKFVKQFLNDDFSKVLQKRLEDRAAQKDSWLSEWWNE VAYMGYRGRIIPDVSYFYIHKRGLGNGQSQEERAAELVRATVEFKKLVDSEILEPEKV KGQALCMNSYQYLFNAVRTPTKPSDVPTNHGAQNHHIVVLRKNRYYKVDTQGRSKSEL VDAFKEIKDLADQQGEGSGVGILTVDNRDVWTEARDHLVNLSPNNKSSIDAIDSAILL VCLDDGPAPSTEDARAWSLWAGGHDRSPKDKGSNRWFDKHQIIVDSNGETGFNGEHSM LDGTPTLRLNEFMLGSLQAGKIPLELPENEKAKTPMPKVEELDFELDDKLKSIVAKSK QGFGEEMKLQDLKMVNFEGYGKELIKTHKISPDGWVQMVKQLAFTRLFGRPGITYESC QTRKYLLGRTEVIRSASNESKAFAESMFSDSATDSERESNLRKAVERHIQYSVWASQG QGVDRHIFGLKKLVKTDEGEKIPEVFTDEDGLRSGHWELSTSQLSSKFLDGWGYGEVV PDGYGLSYSILDNKITWCITTKNNDAEKFGKALCEAAEEIKVVLERNKKASSKL
I206_00048	MSHRPNSSGDYRDSPPSHRYSHLEENRASPAQYDVEEEQGDQNQ PLRQGISSPLHSPSAYPDQFQPQQTAPYPYQPSDRRRSYQNQSYLPPSGPGHNVGYED SPDPNASTVWSAYDKIDPDNYDGVESKSKLYDQEMEEGEMIVKEKKGSRKIHSTEVIA TTRARRWWIRITWSLTWWVPSFLLIKLGGLKRQDVRMAWREKLAIFIMIMLSCGIVLF YIIVFGKLLCPNSDKAWNTSELATHQGEDDYMAAIAGKVYDFTKFYKGQHSDFSGYTT SADVMLEFAGQDLTNYFPMPMTLACPNLVTNEDLALMKANFTPIVAYAVHTSGSQQTI TGTKLDDDDWYTNRLMPDLEQYYKGSYVFDKATIENGANSDSKQWAIYNKKLYDLSDY LYTVQYYSGSSGTDLPNYSFLNEDITGLFQSSAGQDITKAMNEILAKMSSDDVANNLK CLDNAFYVGQMDFRKEAKCLVQNYLLLAFSIIIMVTIGAKFLAALQLGSKRQPELLDK FVICQVPCYTEGEESLKKTIDSLAALKYDDKRKLIFIICDGNIIGSGNNRPTPRIVLD LLGVDPKLDPEPLLFKSIGEGSKQLNYGKVYSGLYEFEGHVVPYVVVVKVGKPSETSK PGNRGKRDSQVLLMQYLNRVHFEAPMSPLELEIYHQMRNVIGIDPAFYEYIFQVDADT TVTPDSLNRLISCTSDDQQIIGICGETKVANEKESLTTMIQVYEYYISHHLTKAFESL FGSVTCLPGCFSVYRIRTADKGRPVIISSIVIDEYAEPNVDTLHKKNLFSLGEDRYLT TLMMKHFPTFKMKFTPDAIAHTVAPSKWNVLLSQRRRWINSTIHNLAELLFLPEMCGF CLFSMRWIVFLDLLGTIILPATCVYLVYLIVVVSTHAAAVPVISLAMIGAVYGLQALI FILKREFMLVGWMIVYIAAFPIYSVFLPLYSFWSMDDFSWGNTRKVVGEGNQKTVVYE DDEPFNEGMIPYRTFKEYEANAWETASLHSEKSRVTTHTSISRNLHPYPISNRAPSFH SSTSELPPGADYWRDSSPLGPQHSSRNLRHAESNPSLRAGFTPRDRVQSMAGMSMWGN GSNVNFDSAGQPGPMNPMFTGGSFMDNGSMRGSFYPPQQGMINPIMGGIPQMGMMGPM GPIGMNPMQQGHMSPLHTGSQYGGNMNMNMGMGMMGMGAPRNTIMSNMNLPQQQQQHQ QMNQSRMSSYSLATTTNQLGKERDPLGGLVIDERESEEIEDEEILNKLKAWLNKQDLM NVTKRQTRETVYTLFPNADLQSRAGWLNENIDKILSES
I206_00049	MFNKLFISTLTLFIFLGKDVLASSDRIDILRPTCISDNQIYALA FKGVCDHFKDDNKDGLIHITSYTNVRNKTADYISAFCSYNDTKNQNQVVLFTTEVAQA LGGDKKSH
I206_00050	MVPRPRPDPSRRSRSVSHPRAHSDRPTSSATRLGRRRSVHNPPS IPSDRVTRSAVGLGRRRPIRHSPTFSSDRLTDPNIPQAEGQSAVVDTTSMRLTDQGQG QQESSSTTMLHTANSNQQFGTLPQLREAAEGPDPHPPTAGLTPQDRPNNDATLPVQSV HTSELSTPLRESWERMWENSSSLVDLFDSSDFDLTSGSSPSSDRLDGVEDSPHSYEHT DQPARHYQSSHMQVTPSEPGMIDPSISQNLAPAVLNFIRQHGAVTADPISQNNPDTGD ILQDTAQQPLGARSNNFNHGNILQDITRQTLGPKHYDDPLQDITNRTTNPQRNRNASN IPPNITRPAYNQSQVNDRNEFVIRSDVQDGSTRRFRPYPTQLIRADPHLVPASGQYDS AAHPFLQYNFQAIATQPFNHGAAASNLAQLFPQEAGTSSNYPPPPPPLSLDYHYVQPL PQVDPAGPADIFYGRNAVAQDFSHHGPNQTQPITMNSMAPSFVSHQHDNTQPSLQSQA YIPASQVHHQKTIQGNRSSQGYDQELPSNINTTMIPPHDQSTLNTDPVPPPQNALTLL DTQFNYKRDGGAAQQSFQHETRQSMPEPATIGALLADPGATWPFEVGGQVGNQATTNH PGSAPQTAVGGLPGLPPWNVSGQKLNQQDPASLDQPPSSFTITREMDRKPTFEYLNRP VPGAASIDMAQRAILMGVQYAENNPSSSWQNSARPAAPAPPTTLPAAGQFALASQIDV AINSNLSGFPTGDPEPRSGHGLVRPPLAETTVQGLPSDVLYTPAVYDTFLPSGGSQLP TPGLVTPNDYTAPTSAESTYVERFSDDPARYMAQRLDLLTSPPTIGLDGRPSDSSQAY DYPLPLSDHRDLHGREAAAEVQDITMAKDDSSFVVPGHLNLRGGSPYSNLFCNWYMKR TRYISTQSREENDESAACINLHGSRRYVGSSDIITDISGTQADILAAHDFGGVQWREK SSNRDFHSFTSPASTSRFFKVLRCAADDLQTSDPPNAPTPVPFLLHPATKSPSSPEQS GIPHFLLPAPRPEWYERIIRKLSKLIHKVIKRKYKPQSHADSLNSEYVQAIVSSATPS PPLQSLMTVTHHSQAETQQSGAVPSGTSRSSPASEYPGKVDTAREFSTHVRSPYKGSL QRSELPPSPKTRCSPEPVLHPLQIIKEPNVTVATNPRDENKGYHLSCEAKDQATRSST DTPHTVKEASICSPVLPMLDLGSPTPTSAERSTKKGDQIRSTPNTAEGPAHPVGAKSS KALWRNRWRSVMGQKHSHSHPNTPSLQPRRAMEGESVELSNDPSRTTRQVTCNKPSRS ASRWSRRRRSESVPGRPIKHTCLRDPRQNTGNQEFTPMMLLESLCPCLGIDSGMKDSV EGPGHQGQAQVRRRRFDFDREEALADPIDTSASIYGPVRESEDRSQYLFVPSGTSTTP QTGQGIPEPTIPSVPSEKQTVYPPNVETSRLESGSLPPSKTPFYSLDHAQVESTSSGQ ILGGYQLESSVDASSHSHDHLSTPPDSASIGQDPSPAKSGVSGKTFGQDANTPGTGPM SPDNANLPAVPRLPMSGRSDEGMLISGLGTGGARVTDPEQKPLEAKIRDVDRPVYSLP STHYINHGTPAQNIAPVVLRPEWSIGRAVLEENLRYGPDIEKKGWRSSFSDTESIFAF SPFQDRRSPEKSHILPSRFINCIPPRSPSPTGSAVSAGSDNTIPLFSWGPLIHPPINK RPSGHEARDNLSSRPSRPVHWSSITEEGSPVHMHRRSSDLSGSPLSQIITQMSSLGQA VDLSPFDQPFQSRAPQSKSLMTEGPGSLAGWLNDEILNQAGPNPNVFSSRLQKWVEDM GVPYALYPSAQGHPHILSYWTPEGTLHHDEQNPHMITSRFGNTALANDPLRSIVPESV RTDQVRPSGASLPEHDVLSRSAVNQQSIDDTGPNTPISALPHITKHIPPGGTEEGFTN RRQMLEEMVGSGVSLGAISKDFSEEPSWIAHVVIDSEHAIPSETYQGERIGIQEDRSM GILQQSRQDGLVAPQPSIHDESSIRTISPNGNQPVHQHLPEPFDTRKKILERLWDKIK KPFRN
I206_00051	MTSRTDFFSSLRHKRILSSSKRVPPSNTSYTLDNWIDIDDHGNG NLLNVNNDSSNASYQPQPSTSSLVSTSASHANTARVQYESVKMNDENDQSSLYQQRNF SGSRLKRKSLQLLKMVERKTSNSLNVKWDKEDDLRKSIRHPTLNGEYGENRESKDYTR GSNDHQSSNCPTNSSPPHTPPSPTLTTPNLPAEPYVSEPDKRSYDHHLEYEFHTHHRI TPEHLHSKSLTPIPTCLTSMDTPEYPSFIGDSDSSGRRTTLKPHRMKVPQNSPNDSPL ASLGLSNKSRSFQDVYVAKQAKKSRTTFEDLVNLPLPQPNGQPSRYVSYFDHTPNPND YEKTSTLANEFDVINTDIILGINKIHIEDQNPSDPRNFESSSALEALWEYGSTSSSSS SKSSLSPISKQREIEIELDLEIDLNDYPFPPELSNLSTERSLTQTFKKFENHNPKSHL NKISSSNSTTFTHMENEIKPNPIIDFQISSPLSETFSLEDDEEEEEEEEPQIKSVITF KIPLKFEKPKAQERHKDKKSNLSIDSPFTELYQISNPDKIQHP
I206_00052	MSHYTKPKPRRPPGGTNQITTTSSNQIHSNNNNNNNRINNLTED QKGEIKEAFELFDLDKDGAIDYHELKVSMRALGFDLKKSEIMKLLKDFGGEDGLMDFN SFERIMTEKILSRNPETELRRAFELFDDDRTGRISLKNLKRVARELGENLGEEELQAM IDEFDLDQDGEINLDEFLAIMLDGE
I206_00053	MTSFSKEIHFTSSIPSEAKHILTKESINFLTILHRTFDSRRQEL LENRKKVQIELDQGKPLTFLSETKEIRDSPGWSCAPPAPGLEDRRVEITGPTDRKMVI NALNSGAKTFMADFEDSNSPTWSNMVLGQVNLYDAIRRQIDFETNGKQYKLSEKPAVL LVRPRGWHLPEPRLLIDGKPISGSLFDFGLYFFNNAAELIKRGSGPYFYLPKMEHHLE ARLWNDVFSLATSHLGLPQGIIRGTVLIETLPAAFQMEEILYELREHSSGLNCGRWDY IFSFIKKQRARKECVFPDRTDVTMTVPFMDAYVRLLIQTCHKRKVAAMGGMSAQIPIK NDNEANNRAMAKVRADKEREVKAGHDGTWVAHPALIKIALEVFDEHMKGPNQYHVRRE DVTVTDKQIADPSVPGKITEKGVRDNVSAALSYCAAWISGNGCVPINYLMEDAATAEI ARVQLWQWVKYGSKTDNGVAITPSYLQSIFSEEASKVSKLPGIDPSHVKIASEYMASQ IKAQWPSDFLTSDLLIHLEGVGVVGGSSTGKSSL
I206_00054	MSQRRSAPRSPIPRYTPPASPFLSHQTITFLASWRGQLLVCTVV LVLGAIYFFLRPPIDQWHRKRKEALSRRRELELMKMSEKEDSTEEKDDASPKVATLRD KSKEKTKKEGRRRINSHLRPPTDSTTGNSVEDSISPTIASRPSTSPTKSKRTLSDHHT NSTPPSSAKLQKATNAAKTQSQSIATPTRNRPPPPIIVPKACPSITSKPIDPWNIPLP PSPLAGPSRLAPAPVVGSGVEDVSDDASISAEGEGSVKEDEDKPKNKKSEGFSIYPED GYLSQLMNSSKKKKKKNVKSGTASADQRALKGVSNEASLPGKNGSVVDEVFEINGDGS ERLPNGGAIQRHRHTRTSSIALLPHLNVTQLREIVEQRDETIDQLRAEIGMAKAEESK AKEDAVRARMGEERIRGDMERSKRGRSESIGQGHLGGRREAELQSRLAQMQQLYSTAL NRLSTCENALRDSGIMLPPLPSPIPMHMPHSPLPPMPNSPYVSSAGRNTPIMGGFIPY PSPGMYPSPMLHPNPHYPHNHHSPNPYRRTSSFTNGHSPVPVLSPGIIIGNGNGNGVE EINGLYPMDIGSSTMPIGLGHPVSNGEFDEDRERRRQSIQSSVLKRKFPQMTTVEDSA NLHENNQGNANDSTGTSESAQDDESISNQSAIDSNTGIHSGSASPKSRSSIGNGHVNV VLDSERGNLYYNSENIDSLISNEHNQVEEEPEDVVEERENTNHTSTFQPIFASLSHTP EQIEELRKINNVRERGRSVSSLSSAGRGMGAGLGNGGLLTPSPSKSPIPLRG
I206_00055	MSTQPLLQRTAKKRIALPVRVEPKVFFANERTFLSWLHFAVVLG GLAVGLLNFGDKVGKISAAMYTVIAMAVMLYALVIYQMRARSIRLRTGAPYDDRLGPT VLCLCLLAAIITNFILKAVYE
I206_00056	MSWQAYVDDHLVATGKITKGAIIGKQGGIWAASPGYNLAQNEQD VLVKTAFTQPDQLRANGIHLNGFKFMTLQATPDEVIGRKGERGVFIIPTTQAILVAEY DAPISAPEANIVVAKLADYLKSVNY
I206_00057	MPLYKLTEKRMHKKAREDEDGITEIKAKMREMGEDVDGSESEGW SESDSEDEDEDEDEDDEEDDDDDDEDDEDEEASENGDEEIEVDVEGIESVSEDEDEDA LSDEEVEIDDASSTNSVFPISIESAITKPIYQSSKNEIEKLCVLCPDKSLKSDQMIEI HLKSKGHKRSLKRYSLYLINNPLKEEEEKIKDPREIIEEILEKMDSNELNVDNNKKIE TNDQKQQNINNEKKRKRNNKKERKIKKAENLLSSSSNINTSIINELKNDNINNKTNNE NDLTLNRKARRLLKLKNGEIQLKSLTDQTKEMK
I206_00058	MAAGIKCGSFFLFAAFALLLVSSLSAPVFRQISFLDIQTGNQKL AFGVFGYCTNVNGNGNHGCSSRQLGYDIASISGEVSSFTYVNDNLEHITKALILHPIA TGLTFISFIIALFSDRLGFIFAALIAFLAFLISLTAMIIDFVMFGIIKHEINNNTTTA EAKFENAIWLTLAAVIILFFSTFVVCFETFTNRRNQRSTTSTSTTTRRDKESGYVNGG YIGNQGSMINQNGGYVAPPPPKKHFWQRNRY
I206_00059	MMQNLYLLGGLLFSILPLINAQTCNSTSLCPSIAPCCSEYGYCG TGSYCLGGCEPLYSFKSTSCRPNPICQSQETNFNDLSRVQSNGTKFDGNATAYDWVVN TGVLVPSPSGDGVRLTLRESDQGTKISSTRYIHYGSIDFVLESSKWNGVVTAAITMSD VKDEIDWEFPGATTDKVQTNYWFLGVANYSATEGAAADISSDASSNFHTYTFDWQEDY INWLIDGQVVRTVLKTDTLSDDGSQFKYPSTPSRIQLSIWPAGISSSAQGTIDWAGGM IDWSDPDYVSNGYFWNTLQSVNISCASEQGQSITTTGWSYQGNDTQGIPIVDVTNAST LLSASELKAVPIKNGQIFSLVGILSLFALSGITLL
I206_00060	MTTVKRNETLILKKHRTPHSFFTPTHLSFIDPHTGNSNASWNSR RARKGRYSTKVHNIHYTKSSLNDLENEEPSIQSNTFSRIKKEESKLQFNLKLDITFWL AIAFTLGSMVWVVNGFLVFLPILRPSLDIPSYTKTASATAFLGGSIFEIGAYLGILEV LNRGKEIHFNSSFGKLLHHRRKQQPESTIKSDIVKSKHKHGNTSFNTSTETSQDDLPI SNKNKFIWWGKPMWHDMGYLAALIQFFAATIFWISTLTGLPGVIPGFSEGEGSIAIID IFFWTPQVIGGTGFIISSLILMIEVQKKWYLPNLTEIGWWVGVWNLIGAIGFTLCGAL GYSLNSKAIYQSGLSTYWGSWAFLIGSICQVYEAIWREPESDNSNKEKK
I206_00061	MDSSIVVHPSTLAIPTLEPIPQSAVPTPSLRESPPAEAGPSNYD VSANLEHPLPSPSDFAGSSRRSSVSSIYPIPGSYFPASSNSRRYYSGSSGQNTPSERP RPSPINIPAATPDEPLFSAYPDNGSSKPDSKGKGKVFHLPLKSTFNLSSASLSRRRKG DEAEESSADEWRFPLKTPSSSRDPRLYTSQDLQYARDNSAYPPRSPPKNRHIRKRANT ISSGSNTATSSGHKKSASTSAVDDEWRSQSSAKTLRLGSMPGSKSLGGFRRKRASSHS APVQIEYEPPGSTNNWKNMPEEVAQKFESPPLPFSYQQPTRSDYTFQSVSTTTTARPM NPSSLDVQTIAAGLGDIVPVIAPRRSSLSSTEPSIVLGLTNISRSLPEGSVEVMIPED MVMPHDPPPRQSSLDHGEPFVVEIQSKADPEITASAQVIVMDDLDQSILSASLTNTDT STTSNSAAAFSPSESSLEHPLSSATTSLSRSAKSGREKTELDGDGKEIALSAVRLQRS LEWEAKQSKMKRKLEKTIMIVLELAETEVAYTEDLRTLCHVYLPQLSALPSVNERNAK MIARNTEDLLGFHATFVDKMVEILKAEGLNYDSTKDVDCEDAGKIYRISKRLATLFVD EITHFNLYKDFCAESIIATALVKHISERVDYEGFEKRCQIIGAAQPFMTLRDLLDETG PQKSNNRSRLHFKDYLITPIQRICRYPLLLGQLLEAAGQKAPESQPEFSDEGYDVELD LERALGAMRGVAEEADEARRLKDAEVKSATVLERLEPHSNLTPSFIRSLGTCRLIGSL DVLHHHPTLAPLAPPVKVKYLAAFLYRGYLILAKVKKGKNYEAKHFLPLEVFELIDIT EGFLPHSIRLTLRDHNFDLAASCETEKEIWASAICQARDESTVPPFELPASVSPFPVR ARRSSTAFSGDFDASTITSNVSKRHTLVGAPSELDEFASKTEASLNTATTSSKPSTPL LSPIRTTFGFTPERKTNSSGTILLRRASNGQRIIVERGLGDLFSDTLSTTRFQAQLQQ RTLFLPDLPQSPRIGKGRTRTRSGDLDGFVSKDSSTMLRRRKSFLDHRSRSESIDIAI TGEIKGSVIELRPTKSTGGHTRYRTAPSNTRRRTGSISSTKAGPDNAENSDEENESAS VTATAISDFGSIAPRSRRDYSRNNSFDSLGSMITPRRSVSNFRGVGSGSGSGNNVEEE NLTMKSRDQQSQKFPYPVPRSKSYSAESLSQGRKSKSRPNLNISSMPLPYGFKARSTP VSPILSPTHEVPPVLVPTRKTSIPMPPLLQKSRSDESPRKHLYRTSSPDPDRNANEIQ SNQGVLEYYIPPPHGSPLDTIGNNIPLPSGSSTSINRPDFGSSNNTYKVDPDKPLGAL GTLRRSMSFLPLRRGNSVSSFTDFGSKMESSNSNSGSASVSGEGSEESHNISTRDGDS TKDRSTMSTRATEGDDYEEKNPNVIRGGWTSVPSTPKRKKSLRLFGLKGFTPM
I206_00062	MATLPTSSMYALLMMKKYGDLPLIDSRDTPRTLSGGGAPRSAAD CCFSEQAVNPASLPDHPHLHLTRQIRFAFASRPAMLQVQNDASDGSHSILSSPTHNIT SLDRLLPLQDHIFHYLQTDHPCSVLRLNRSFYTDYLPIVYRKVEVTGDGLCKLLGSLI RLEIDPIRKGRSPVYGERVIKALKYVEQLDILDMGNGEKVDETLRLWTLYKMDLIFPR LTHMSIGKRVITPILNPSLDPASLTGFLGLDVLIHLIAFSSTKTLCLDWPITEITSND YGERDGSKLDCFIPELLKNLDQSITQLELVKVHVKYDNLGKMIFNILEHRSPWATQHP RPKIILELTNESNQSTVAILGELCGTVLCTMDELFENELHFDSTMIGNDITFILPDYK DRQAAIDEIMEEEVLDDYEGMWYTVLSNIVWKHNDNPKEWDCPCGKFPVRNIPDDTLI EDGLDSEQEDPTQTKIDQYFKHLT
I206_00063	MPSSRKNKTKQLNEFDRFAESFQKLHPVHYQIFDMLKQEFPLAL IRTCRALYDELIPQIYERITIGDADDLGSIIYNINVEWNPDEEFDEEGDGDDEQVNNE FPIFDSKNKALQFTKYIKFAKSDGATEFVSEAQYCESPCGCDCQETYNLVSPIFPVVT HISLGEDVVNRLVQATVAKEEICSCCWDFSHRLDEFIDCLAYHTSEQLETLCMEWPES WIHQNSNEYEDEEEAESDYDEDWAIKKGMSKLLNELCSNLPLKHVIIHLNKQQLINFD LMIFPGMADTKFDFVDSARWDKAKCIKTLWDHYRRASREEQVDNLPLKYCFPFSKSPE KYFTRIREKVKPSHLVRFEHFRQKLIWNDYTCECRDDDSEDDWV
I206_00064	MSQFPTNQQYPNDHSQNSSSHSNGHSQQQQQQQQQYEGTYEDPS NLLAVYPFMSYAPTARVAEHLSPHTTTYLPFPSSSELYPQYAEVFNRLDNPTTIEDWA MQQAAEARLREALSANGANAYSRHPYDPSHYQPPTPTSQPIPIPYNLLPSYPAHPQPA SAQNRQLHTPSSINGDSSNLHGNPPTFQSSPQEFFNHFVNDTLSRNRAQAIPLTTTIP NIPPQSVQPISVPVPSQSQLQNVLQAQAGPSRERRTSPRRLSNSMGSMRSPNPPSSVA STPTHERIMRSSPVSSPDPLGPSPTKKSRNNNGRIGRSSSRDISPSLAQIRRTPDMEL GSLSMRDQRSNSHDNDETPRASQKVKKVVEVVIPTRRKVSREEQALYDDEDEDDDGVD WGEDGTGDWKMEDREGNESPPNNVAPLPKGSGRTGERDIRSIWQRLQNLLEDVFEESD TFPSNPTSGDIAGSRFFSTITKDGSTTLLSFETMKKISSHVTRIQSARRRHTSANTYV GQWEEESLSNILRLLERNMRDTENLVVFSEGKKVSANSEEKGKKKKDKTSQINNTNGH DHVETLSDNVIEKYENSLARVRNGVVAAECVLVLLDSEGLSKQMYSEDLLSTCVSTIK EQMEKTIFPIIEGLAGEKISSTYLSHIVQVESNSVKRGKTKALSPYFAHPVLSAIAAS VISSIPRLTSMISRPQIALSDSLIIQTVYLAIGPLFVNEPVLKRSKKDTGGKEGGGVM KGLKMEALGCLRGTFARYEEQRQWIVEEILSSLVRIPEQNHAQNKFELPNGRSIHTIS ALLLQLIQASAHGTIDRVRKLHSNAVEAEMMGTDTQKMEVQEEETRMCASALDSALKS ARIVGGYLVQKSTSTKATKTSHDTEYKAILDLFLRDLMTVLYRPEWPGAALYLTVFSR ILIAALEDQKIGPEITASKGIALDYLGDIAAKLKSSGLEMLDDTKIATLDEVIANVDM MGLTRLIGAQSKILTFLSSATREDNSLSSSRDMAAIVWAQELQSGIKKVGSVVDKLAA EKSEEAQDTGLNLQTIAATLKSTLRNIWMADEHLFEVHDPKQGEIATTASIAVSRGRQ LQNAIDPILMALLGVLDNSAVGLRSKALRGVASVIVVDPEVLGLPQIRQALEDRLSDH SPAVRDAAVELVGKYIVQKPKLAAEYYPHIAQRAMDTGLGVRKRVIKLLKGIFPTMDS REIQVDICCKMIDLIDDQDEGVKDLAVKTLTELLYSSREDTAGLLVDILGDFRGSSDS LERAMSGVSKECDVTNHKTRFGQTIDVLITRLIDATETVDFDSLSHIRAIWLLCASDP SQIDTQKAGVLLSYLRPPANADDQATNELLLKIFRKCIPSMPRTASSFAADLTKKLMP MISKPAGGFQALRETIGCFCAVTNYLTKDWSKLINVLRACEAKIRPIRNQFLNTGTTS ASSQAASMMLYITALIVEGCRLDDIAQEDDVVDLELRKIASSPISEYFYETYLDLSKL PSFQNAATICIGSLFRSYPFLLLREETSEWMQDTFNSNNEDNRAQLLGVIHEFLASEV DKRSANPDGKNRKGRQEKQDTGVEMLRGDAKELQDSDYSTSIVQNNIEQIFECARSQN PPTQNAALDILTFVVNQGLYSPVHTVPILVTLETCSDPHISDRAIALHSSLHQKHASL VTVLFMESAKASYAYQRTITSEPTGHRNGTALLQNWYTLLSEKRVWRHDFLKALCRAF DSDNTNDLDPGFVLYLAENLSTLEYKLQEEPMTIVVCLQKVISTATNLISIMEKINLE SLTPNEPIFGRMANLGIHTADPGYERKNDIVQLDNMAKSSLIMSLAVILKNHLLNLYH LPEDKCAIHIPGKKSAIGDKPTSKRGSSVLELSKIPHIRGIETVGEFRTLQSSVSTVS YHASKA
I206_00065	MAPKKQPVKIESKTKADNVDEAPLQAVVLADSYNRRFEVLCQDQ PRVLLPLCSTPLLAWTLESLSLSKVKQVFVFCGVHAEKIRAFIDSSPYKHTLDIQCLA SQTAMSAGDALRELDDRNVLNAENPFILVHSPIISNYDLSKMVEVHKKRREEDKNFIM TMGVGLGGRRHPESPIMLVHPPSSRLLHYHQNPLSPSEPHVSFPSALFLDPFPTDIDT YEVWSGTPSSSSSSRGGYRDLGIDICEADVPALCTENFDYHDLRRHFVNGVLTSELLG KKIAVHVVGKDDIQDERIRDTRTFGEITRDVLRRWAFPLAPDNNEPGGVQYELRAGNV YIAKDNVVLSRTTTLSGPLLIGPRSALAHNTHIHQSTLGGDCNVGPSTTITQSYIFDD VRIGANCTIRECVIGEGVVIGDDVKVGKGALLGNGVRLGKGTRVPEFARIGRERYQGD DYDSEEEDEEDDEERDRRLEVLGEDSIGYLWPNEEEEPPSDSEDEGDDPYEHPKNKQL LQLGRRLSNLSASSVSLSTLSKASSSAASSPLSIASSASTLPDIAPLEIDSGPREEFY IEARESLARAYEEDHKVENAALEMRTLVMGENAGQDAARSEILNFFLGKIEINENSTS SEILNETTRIFTRWGGLVRSFSTTDYTLIAIDTQKFVLEENNEKYEKWFGILLRGLYD SDTLTEDELIDWRDSSISKGEGTNNELEKKRWKEVYLKGKPLVDILEQMDSDDEEDSE EGDEDDDEDSD
I206_00066	MPVPWEALLPFGLLTVMFGATGTLFNTAKRLTNDGKPPRYNLDS WESMMMERDRRLTGSLRGQSTDPIAPKEFATNSVWDTERIH
I206_00067	MIGALTSILRPSSIASSSRNSLPFLPKPNPTINQQVRFRGQLAP KRTKYKKAAKGAPGTQIPIGGSLKGTSLHHGTYGLRACSSVRISANQLSSCQMAVRRK IKPVKGAQMYLRVFPDIPVCVKGNEQRMGKGKGSFEYWSCRVKPGKVIMEVGGGGIRE EIAKAALKLAQARLPLQTEFITIDSSPRLGKLSSQVLQSPSYALPIPNPIVELDAKNE GRAKDVVLQREEEQIEELSRKLEGALLHDITNSSHKGVEV
I206_00068	MSYNIAGRAIKNEYLVLTTIAATVGIAVSQLGGGDKSSSSAPVS VKEDKTITGETPEEEDFIRQFVSDAEGSETKH
I206_00069	MLRQATSRLLNPAVKSFRPISTTSSTFIKIKSSKTSSTPTGSSN NIKQPSPAERPPTVPDEISSSKPFEPLVSSPTNNLNSTSSTNTSSPSSSKSNNSEKIL DVPLTPPTPEQVTETEKETEIEIPTDYSKIKLPSLDIDPEAQISIAEPKTEQKGEQKR TGAGKRENVSSGDKRRRMYMRYGYGALAIGGIAALITQGGGEESTITQSQESFPTRIK NNFSEMLDYFNKPAFKTLLPDPLPPPHQRPYTLVIDLEGLLVHSSWDRTSGWRTAKRP GVDYFLGYLSQFYEIVLFTSQPLYTAAPVAEKLDPYQAFLPYRLFREATRYVNGKVVK DLSYLNRDLSKVIMLDTNAEHSEFQPENSIIIKPWSGETRDKGLVEMIPFLESIGIFN PADVRPILKAYEGKNIPVEYAKKEAEAKQKAVEEWERVHPTAVAGAGSGWLSNMFGSV AAPGQTRPNQPMTYLEQKRAQAQKIYQEEQKYWAEHADEFKKLIEEDKQRQIAEMKGS LLGMLSGPKSEASPEQKK
I206_00070	MKPSTRLFASHIPKVDSYIVASKRTPFGAYGGKLKELKASELGG LAGKAALAELPTGVEVDQVFFGNVSQSDNSTPYLARHVGHLSGLGPTVPALTLNRLCG SGFQSAITAAQHISLGEADVCLTGGTEAMSMSPYTMSGLSRYGTRYGVDLKLEDSLAA ALVDQNPGGTKTPMGVTAENLAKKYGITREECDNYALQSQQRYAAGLDSGAFSAELIP VKLKPIKGVPQTLEADEHPRPGASIASLKKLPSVFVKDTGVVTAGNASGICDGAAANI VMSESSLQKYGVKPLARIASYSWSACEPEIMGIGPVVAVRQALDKIGKTVGDMDIIEV NEAFAAQWLAVQRELELPNEKTNMFGGAIALGHPLGASGARILANLTHNLIRLDKKWA LGAACIGGGQGIAVVLERC
I206_00071	MADDLHPDLDEFYDTTGGDEDQWEDEDDEESGALRTRNRGPGRG YQVFVDPDDLYDDEDEDDDEDYIFEDDIGEVYEDDEEEEGEEDEDLGNGMIIDNEEDG SAEALREIEALINSRRIGQVRTALTANDEGDSSILQQLLNRGIFRITRGTNIDESLSR EAERNRQRSEWKTPPKEPHPNGVGLLKGGEFGRVGQWKAGKNQYGVRRPKMNQPWMNG WSGPKITSASSRAIVPNTSGTIVASYSSIPYVGQYAKEDYSLFYTATQYFTLHLYATN QSKKNHIASNPRKRRRSRSQAAQPSAIAQEEEDDEEEENEDDYETEDSEEEERWGALG GTHFTSSEDSSLKRIKKVQGVEGSWTVTDCDADKKGDKMIYSSITPYVHMLYTNATDQ EHVELDFTGRRRDRDAIWGHDRFGIWSIRFSADGKEVVAGARSGRIMVYDIDAQRRTL AIQGHADDVNAVSFADESSTNILVSGSDDGYVKVWDRRSLSSSTPSGILVGATEGITY TSPKGDGRYIIVNSKDQAARLYDLRKMRSANEFDNEPDAVARYGQPRFDYRGMDYAPP TRLAHPKDCSIMTYRGHSVLRTLIRCHFSPRESTGQSYIYSGSADGLIHIWSLDGRLL NRAESLPLRQNSSYSDPSMADPTLPNASGRYQAHHGRNRYASHGMEFAVRDVAWHGYE PTLMSTCWETNRGSRGGNVAKHEWKGLGKNGLNRLEDWVEKRQSENEPSGGTRMPGSV LDWDM
I206_00072	MSNGTASSGTSEFAPHTSSKTYPTVTENEKRHHDSSVLVNLTPL PGEEDKQIFYPLDIDSVISFDQRDNKQSNDQEGVVDKDKPLPPIISPYLINSQPSTMS TLNNSSKGGSEDPTELPELPPVPPSRVFGQGYSTNHPVPTVQHYKEEQKQHEQESQEY SNIVESRRKQAEIREQQRQQQQQQQKEESEKSNGDVQNSDDLRKSGTKVKDELHEETN AIKNQNDKKDQTNPNTGANEKSRMMEQMNSNKLKPTERLQRAEKGQRRVRDPVTGAEV IVKDADPKDFDASIPATKGTNALYHAFPPPRPPSVDVMIQKLQLMQYVVAGSIFVIWL SVAFGSGLMKLIWRSILCSTVGFILMTGISLVERGLDKEIERVRQDMGRQRGEAFSPP IPESVEWLNGLIKLIWGLVDPSLFISIADMVEDILQQSLPGFVDAVRITDLGQGSNPL RITSIRALPDQPGDDGYPNSGWINEGNENIKSKDTAGKDISEDEAGDYYNFEVAFSYA ALPGQGAQLRAKNIHLLIEFFLGLYDWLHIPIPIWVQVEQIFGIVRLRVQFIPEPPFV RNLTFALCGVPAVEVSAIPMSKHLPNVLDLPFISSFVKMGIAAGTAELAVPKSMTLNI QELLSGAAVGDTRAIGVFVITIHYCEGLSAQDNNGLSDPYVVLAYAKFGKPLYSTRII LEDLNPVFEETAVLLLTMDEVKAKEDLAVMLWDSDKASADDLVGRVQVPVEELMLEPN TMHRREDGLTGFEDANDMPGKVVWSIGYFEKAPLLKELERGPTVEEAKAAPEPAKTAP EMEMMPQDVAPNPAKKDLPPPPPDVQKTRPDPKWPSGVLSIILHQINNLERQNLEGAS GDREGEAGQDTDQPSEQSANLPSGYGEFLVNDDMVYKTRVKQYTTNPYFEAGTEIFVR DFENTVVRVVIRDSRLREADPILGIISVRLSEVFAESSSVTQVYALTEGVGFGKANIS FAFRGVQTTLPKNLRGWDTGTLEVSDVSAVIHEGKSEHFETKATRLRVVTSEKVETVS KKEVEVNGNEMKWDLDLMRLPVYSRYQSSVVFELGKASGPLSTLGLQNKPNAIAVLWM QDLTDDVQQQVKLPILVSTEDHISNLRQNAINDQTKKFHKFEVVGELTAVLKLDSGLD EDHETIRQGQSRRHALEAHDHIEGEAEIARKQARFDDDGVIDKDEQKQIDRAHRKQLE SRGRGLAQVKAYRSAKWMMRGVKDRMPGQKKSREPTVQTEA
I206_00073	MASQVISPIKKTHPPPLPQPIIPTQTSNMSGGYTDAHPTDEEMF KRRYVAIQDIINELEDENNLIAYRIAKFKKQKKEKEQEELIIQREKAKQLKEKEEMMI TKREKRKKEIEIENDNDNDNDNENENEIENEIDNLNPSLSIITNSQNVNKQNSISPLL NPISPIQQSNEIEIEIENKHENDEVISNRSEEEEDVQMDDY
I206_00074	MKYIFMILHILMGVNVKAASTSTTTKLSTTMVQPSSKDEGLILF FLRKVPSSTYKHWSIGATSTISPPEITSNSAPIAGKNKIPTCDQLLANNPEEDWLFAS LCSWSNSNYNGTLSSLDFSTIFEENGNENELNLTSINVTLYDGKFNLLDSIILVYNGV KDNGNSNANEAWWGGSIRNSLLYYKDSNLIFNENFKKDEIFREWAGYYILINLLGINP IRENPSQINDLLWAQKKANLDFTKPKKKEMYLDKSSFTPITILSKKQTSFINSNRYFS IINFNKTNSNYVELWDPTAGGNLGFFTINIEDLKNDVKWLFHLDWPRYYAIPRKV
I206_00075	MTDNSLIDTTNNNQVTSVPAHTDDDTHKHTSDVPQSTTSDTPST SAAAPKFNSESSVTQKKEKPKGKGKKVKARPRRRVADSENESENEDRSDGSLTDPSSA SESESESEGEEETGKKSAVKEDGSDKKTTGKIESKSSIQKKGKGAGPKREYTEEETRK YEEMKAKRKAKQKAKKAELKKQKEAGNSTIPAPVPVEKKQEVDELVASTSELKLDDKE GTPSIPLAKVSSRNRRESKTLQSRELIKDDPKVVPRQGKFWTHDQRAEPPSQSSFPSR GLPDWRGRGVPRGGFRGGFRGRGFAPTSFGGRGSAQGVELTTTVEQASKDSKPVDGDA ESEGGSEPVLEMDRLEKELAKKESNPSSVVPTQPKEKKWGHEAFEHIQSQPEKKAFNP VAQPAARGGIRGIPIRGAAIRGGRGMGGRGSHFRQPLSSLPFHPSNIAATAAAAKAKA EAEAASGATSSFNAAAPLPSADLNASSTALNTTPSASTSTRPPPPTVEQQSTQPDSNN LLDESSQAVTIRLPGSGAPVEVPVLPTPTTEQPVTSTSIPPAKSPELNAAGQAILYTS PVPPSQPAAASQPPATINPTIAASPMYTNVSAPSPYPTGSENGSMSSGFSHTQFLPTQ PHPQQKSHLQQNVVAPGYPTEFVPSSQRYANGNGQSRPFYPSNGTASRPYQQQQQQQQ QQQQRPPVQPFYPSPQSYEYQSHSQRGSFSSQAQAYYPQGVQQNGFIDGRGSPYPANS PSYTHAQAQSQNGQMNYFAPARPSAKIQIKPPTSGIKGNHTEKDYSQQPTFATLNSGG IDSNQGYYPQHYNPYTQSNGYENNGYYLDANGYPTNGWAQAPGQDQVAYGYEGDYGY
I206_00076	MEEISSYYKNIKVNQSSNINIDINKNDELKIRLINEAKSILLDP IKKSNWIKSFTTHSSSSKEMNDNNNNNNNNNNNEIFNNKPHIFRNISLDEFKPHFNEN EIENQEKDEINWYSYPCRCSNEFKITIEQLEQNVNIIGCEGCGEWISVEYEVIEDDEE 
I206_00077	MDILLHYTDEYIFDSIYSYLLPNPHIPNTRSSLSEELLYNKTNL NSDYSTSITYYPPPNNNNEITGSLLSRDNILRQGISIFTIALLGAYIMYFLFCSISYF FFYDKRLEYHPKFLKNQKLKEIKSSLIAAPIIDLITLPWFLGEVNGYSKLYENIEDFG WLYLIGSCLMFLLFTDLAIYWIHRIEHHPLIYKHIHKPHHKWIVPTPYAALAFHPLDG YAQSLPYHIFPFLFPLHKYAYLGLFVFVQFWTILIHDGDMISGHFLERYINSPAHHTL HHLYFTVNYGQYFTWADSFWDSYRSPEDKLDPIHASIENMKKKGLLDSNGKPIPKSNK KDL
I206_00078	MEDPLSPQNINPSWSDTLITSSSSFTSNHLPPHPISSTFNSNSY NSQNEYNKEPKIFGEPIPSLLSSSSSSNSFINNDNEIESNLIQNNDNENSIKQPQNQN QNQKELYLRVRIIGLERNRKDLLIKFDASTNLPNFRISIYRNIQRTYIEFQKFSEQVQ LCCPQTIIPALPLPSTSALTDEEDDRLVRIALQRWFTRICEDPILIKDDELRSFIESD FGYTPVPPPSARRSSAASAATGVFSAALSKVVRRGPLDEDDELQSAKNALDKLEERWG NAATAINGIGKARRAFAVSNADMGAKLVSLSTVESDPQLAAAERKMGRTWEHLSGMLG AQAASENVILSDSLGYQALNAKAAKDALVQRTTLLEDSQTATKSAINKRRNVERLKGS SKIDPVKVDDALHEMEEANALETSLSNRLNAISQNLHLSIRTHSRHAHEDITVALLEA AKMSVMYHKQHLRELEALKPDLAKIGTSAPVSATPKSVNITPKRTNVGLPPVQSQITS QVQVRRSQAAQQNNDPPPLAGMPQGFAPVPTVVPPIIPPANRPIANVPHQPPQSAYQQ GPSRQQQPPYNQQRPQPQYSQQPPYSQNQPSFPQQGPSQGQNQSYPHQQMPYQGFPQQ NQPGQQGHSQPQGYNYMNGTQSMFLPNPNNRNGQISGNVSGPVVDPLGGRGPYQNSGS GGLSQSVMLPPHQRAGTPNSQNSGTMGRGGKKLDERQAARMLAGGF
I206_00079	MGDSITIAPELPFRQQVIELASHLARSLPSSDQSSYRDFVGGFE NQVKENEEISDLKKKEIVKTLVGKVDELKGALDGIKESDVESSHLLLQYTLSSNFDNS SEEYFTLVKSVVDSVKKGGEASGKQSRVDVASRILNNTYNTLPASSPLRPAVLLSLLS LLASSSDLSILPLSSSTLSKAVAQWSIPSAEKVSTLLSIADLYTSSDLKQSLEILTSA LKESVDSKIVEKAVLVNLAINDKFELDEILAIQGAKENLGQAAPVVELFTDNDEIAAV KKGLEWAKSNGSWVESAGIPGFTADSVVRKLRLIALLSLAAKSETRQLQYAPIATALV VDDSEVEAWVIDAVRSKLLSARISQPLSLIKIQSISSLSTSSKRFGDSEWQLLEKRLN EWKVSVNEARVVIEEAQKIAEQPLSNPTQRRGQGQNQGGNKRREQQQQQEQNNQSQQT TEEVAA
I206_00080	MPPKSTSIQQNKKPDPQEVPIIFQRYRTELQNLAQKIGEIESEM DEHALVLSTLKPLKRSDPTRTCYRLIGGTLVKRNVLEVIPNLETNFNGIKEVLESLVK NYKNKENEFELWRKEMGVQVSFKKYIIQL
I206_00081	MTNAKSIRQSPSSSNDQSTSSMEDTKPQISSANTATPKTPKKRK PDNSEVTPSPNLKRVKSDKSASEGWTSQARLDLFEAIFSTIEFKWDVVATQMGSNHTA KQCREQWRRTTVKRIRKALGEE
I206_00082	MNISTSTSATAGPSRPSPISSINRNGQDEGKGSLWNEILGSADR QKGLGRKNLIILSERHHGRTNLLSQLNLNSKKKKPFKQSRNGKKGLALGYEVIDISDG DEDSVPPLSVFYPPSSHPSLLKLVSKALPPNSISDTAVIIILDWTKPSSMVQELLTWL SWIDEWTTGNAKRGELDELKEKLQSHIQHYTEPLPTQSTGTASYANAGALLPLGQGNL TLNSHGIPITIVCTKADLIDSVGDEVGMKGGGWEERIDWIQQVLRTICLAYGASLFYT APTQPTTYKLLRSYLLHRLYTIPPPLGATATTTSADQTLHTALARFPFPHRANVLDRD AVMLPSGWDTWGKINVLREGFDPARIGKAFENSLKRFKGDEEDDLQGETLEGLWEGMI PLVERNIRPSNTTQPIIPETEQSFLSRQLELLLKDPNRDPRASFRHAAATVVGPMSGS EGLNLPGVEKALGDMEGLEKSEELKEKFARLSRKEPSKNGPLSPTNPVPGGGNAMPNE ALHNFFQGLLANRSKGGTPSATPVKPTETK
I206_00083	MAVQSPSLQDWQTYTATFNLTTIEPIFEVHNIILDTLITDKSLT LGRTCKSYYDLIVPSLYHDLKINRENFDSIFYGLTREIPRPPKKDFSWDTLDYGEPTY KVQDRKWKLLKAVHFLTFLDSYSVERFLYRVTDEFNSVFEDSYKPTRFRDENLGRIFE SIEHLKFSKELMSDFATHFFGQLPVDFDSEQMTDRHLFNSIPELAFHCQPSSLCLEWP HNWKLHSVYLEDLPDLDLGPPHSTEVIRVLLKRFKDHCTMRKYNKNKLQLRLHVRYHD WEVASHLRPVGIGAEIVVDFSDCIKESGLTSAYLGSPFRHDREVGWEVGFLFRHDRES NTPRRFKYLVRSDHDIPKEIQLFDDGTKSRRDFLSRLHTSEEMEWVCACCKH
I206_00084	MVLLRFTAVLAFASFALGLPRQSPFYSKTPNQYGTKEIDTWALN PTGDAAVIRVKNEGYQQQELHLLAINAQYTIPSTCYGPANTDDFYTFLDDKTFLTVSP IKDDIWQLSIQHLNYTTLPPAYPPSAFEPQIIGQITSQKQIRQIVYAAKAGVLTLVTE SQLILVKVIQDEGVAWSVKQYKTILENKDTIQLIDLKHEVISPNVIHTSSQRLSAITF GKNGQISWLSQKGEGFKRHLWILEGGTKWKAPLKFDHSPEQIIFSKDGSALYLLAGHN HQKSLFHLWASQPHDERPIEPTRIPSNGTIHSAIHVGITPLDHAHLIGLKSDASKGEG KELWVISHSPHEDPTYNYENIRLTYFT
I206_00085	MATNKKDFSLVGLFGVKGLDVVITGAGTVRAGLYMAKGFAVNGA ITHIIGRRQAKLEEAKTTILELKPDAEVIIHVADISSRDEVTKLASSLSKVDVLINCA GIVIPDTSSTHLTPLPELQKALLSSPASTWSETFSVNVESVYFLSVSLLHLLAAAPSG GRIINISSIGGTMSDPNTHQPAYQASKAAVNHLTRLLASKFREHGIRVNAISPGYFPS QMQDPNNPKSMLARSKDLVPIKRGGEEEDAAGTAIWLASKAGSYVDGQIIPLSGGRDW GLGSLY
I206_00086	MTNRYHEDEPPPDMTASFMSERTALLAKARNRREEPGFMTRLDY FLVLPALLSNTLLAAFESTIAASTQSSIGAEFHASDNIAWVATSYLIVSTALSPLYGR ASDLFGRTKVYLFAVIVFTLGCLLCGLSRSLSQMIAARIVCGIGGAGLITGAQAVTWD ILPHRLRPLYQAFNNTIFGLGAAFGASMGGFLSDTVGWRMAYFIPVPVGLFAITVFLA KVRPKLRELKAGELGTFHAGDIDYVGSGLLMAAITLLLIVVNLGGHEIPWKSPIIPIA LAGVILTSITFFRHEKRAPLPVLPLTLLNDRHMTSLVLFNFFASMAIFGSLYLIPLFF QATLLTTASVASRRLLYPTLSAPIGSVLTGIFLHKFNNHAYITQRLGSLILFGGTLAL LALNFEENERKGELQFGIHLIWVHLGMGIGFISSLMNILDMAEHAAASSMVFLIRSLG GVLGISGSQAVLQNVLLQQLRSNITGKHSNKIIKSIRESLSYLHQLGPDVQHKAVNSY IIACRAAFSTLAVVGGLGFFSLLLGIGAFKMHPIQDDLEEENRGGDRSPVTDPPLSSG IVTR
I206_00087	MSETLPTNTKDSPTPSSSSSSTSKPSIKERFQALIDAPGSTNLI SIYACFLTGLTSSPSFTACYIWCGFQTGNVAQLGLAIARTFSPIGERTYGFQKADQQA LTSLLSFWLGTSLGRLGDKIGARRRTWLVLASFIQALLAMAASLAAHYSGQNGIANHR GDPSWTNASGMAALAFISATMGIQGIVGKRVASPMNTTVVLTTTWVEIFNDPLLFSFK YTPSRDIRIAGGFAVFVGAFVSRAILDGGGQAAALGALAGFRMIQVIWWFFIPDKPVK VDKP
I206_00088	MAISYPIPKWDRPEPTTHELNWAELTELPLNLFDTAEGKAELVK RVKHALEVEDLGFWSVTNTGFSEEEIEQQFAIGQAFYKLPLEERKSNSIDAANGGYLG YRAAYERTINGTDVLDNMELLNIPKYTKDFSETPRHDIIKAHEAEISDFHRRCWYDVA RKLFVLFALALELDENYFVDRHDYEKISQDHLRYMMYHPRSVEDDAKCNNLWSWAHTD YGSLTLLFSQTVSGLQVKFSDGTYRDIKPKKGSIVVNVADTLSFMTKGYLRSTVHRVT RPPPDQAHIHRIGVLYGCRPNDDVPVVVAPSPFLQRLGYLTPEDIAAASNEKNAVTAG EYVAARVKAVHASSTYGNAPGTKFKHGNLEVLENFGDVKDGSKTSI
I206_00089	MSSVDSTEVARLREAERRVVRKIDRVLLPIMLITYGLQYYDKSV LGTAAVYGILKDLDLTQTINGVTHTTRYSTATAAFYYGYIVAVLPMGLLFARVPLAKT ASACVIAWGLVCILTVVCHNYPGFVAQRVILGVVESAVSPAFVAICALWWKPQEQAKR IGFFYSATGVFSMFSALINIGLGKTGGIHPWKSMYYFCGSLTLAWGFVIYFFMPDSPL SPGRYFNEEEKEILIKRYEENPWGSSQQSIKPYQILEAIKDIKTWIYLLMGASIYICN GSVTAFGARIISGFGYTSLQSTALLVPGGFVTVITIAFFSYFADKYKNIRTWLLPISC VPVVVGAIVIWTAPWHPTVGPLIGYYLVASFGAPYVLLLSLASANTAGATKKGVTSGF IFVGYNVGNIAASYLVFAQEKKIKYRSTWIAVIVCMIFASAAAILLRYLYIAENNRRD ELAINGNLKEAKAIGVIGSEESAEKLAGEGHTDIPIIDNPQRYEDKTDKERLDFRYTL 
I206_00090	MDQSASGPSRLPSTPRSSELGQGTSNSADKGKRKADSQYKPKNL QACDRCRTKKTKCEPLETRRDICQACNSASLTCTFELPLTASRTKRIRNGHYQSHGAI WSVDDDLRTNRGSEMAEEDRTRLERSGSEFDHASPITIPRAKSVLGLTPLRTTSSRRE GPTAISYILHSTPTLPISYLTEFDEHSNLSMAITPPDTGNGYMLVTTQNQSPPSNDPP SHVIEALRSPSWTEVVNRLVETYLVHISPLLPIVIREDMPEVTQTLCHVMAAVAAARR NCPKEIFDCLNYIATQEMYEQDTLSEPNKQNVQTLLVACLVDELALQNGSATPSGVSR TRLTAAIRLAQDLSMDQLTTDDRESHGDRRIWQCAVILDQWNAARTGVRPIIPSTSLL IDSAENSQIVDNPFFDYLFSLTLTLSRILAKVYGPKGITNTRSTELQDIRVKLLRWRE ELPSNLRFNGSWSSLPSGILHLLHTTATFLLYRPFMRWSFICPPHIDLSLDIPVWLDL NPATRQALEWATNQDELADLLFFGPYALSLMSLVQYHAYARRREWDGVVILEKFRETA TRWIEGWGEGRMPLQTAQLQVISLLYACAQKASKEGISSYDLTASKRGLNPTPGVLNR LPETVVHGVTFLRDPTHPRGGVLVATQKAAQEIKDLPPDTVIIGGQPHVSDIQSGSIP NLSGVPESTSNGNPMYQMLGGSSTEPENITNSVNNQADGRISMSTPDWDAIVSSLTYP LDGNNPPGFNDN
I206_00091	MTTEKHITRSDAEKGFSRADTGQTVTSQSLPFKPHTAPSGKVLD ALAANASKGLTEQEAKKRLEQYGLNRLKPPKKPSVFKILFRQIGNAMTVILIAAMAVS FGTMDWISGGVIAALVILNVSVGTYTEWQAEKTVASLESVGAPQATVIRTTNGKDSST NVIAVEEVVPGDLVLLKNGDIVPADGRVLEGHCSNLECDEAFLTGESMPVAKQSDPID EEDCPVGDRLSMVFSGAQVTKGRARVVITSTGMNTEIGKIAQALDSKAKKTDTGFAAY WYKFKVIMGVAETTPLQIKLNKLAYFLLGCAIIIAIIVVASTGFKDVPLSVATYAVAA AVSILPASLIAVVSLTLARASTDLAQRNALVRRMDAIEALAGVENVCSDKTGTLTVGR MVVRKFWVPTLDPRANESAPVNTKRGQAYSFETGSDPFYPRGEVRSDREEISSGADPD AVELELSEQVILVDEQERGLRDLGLCASLCNQATLSRPKDGEGQWEANGDPTEIALQV AAHKLGHGKPFLTHSRPAPQRADSVRSGHSGRPPIAGSRGYYEQIIEHPFDSTVKRMS IAYKFVPDDIKDAHVQCYLKGAVERVFELCTTIHGEQLTEEKKQDIMVKVNALAAQGL RVLALCGKKLPAGVAEEVKSTPRDQFEKDFAFLGLAGIFDPPRKESPGAVADCLRAGI TPRMLTGDHPATATAIAINIGILEKTYSKEAVMTGQQFDALTEEEIDNMPELPLVVAR CAPETKVRMVDAIHRRGQKTVMTGDGVNDSPALKRADVGVGMGTGSDVAKQSARIVLS DDNFSTIIRAIRKGRSVFKNLAKFLLYLLSGNVAEIIVLMIGLAFKDENGQSVFPLSA VSALWINTLAAGPPALALGLEPTAIDAMEQPPTAFHQIFNLEFYIDLAFYGILMGSLS LVNFVIVLWGYFPGDLGRYCNEGDSDICDPVFQARSTCFATLVIILMIHGLECKHFTK GLFQINLRDNKVLLWSVFVLALGTFPVVYIPVINDKVFLHGALKWEWGIVFGMIFVYL SCTELYKWCKRIYFRRNHIAQPTRGPSDKTLRIEATIAPV
I206_00092	MRPNVTPAPIWYGSPKRSNISGKDSTWLLAAASAIIWGNPEILT SKYTFGGESDSVQSTTITIFDQWQNGYQQELQYSTALFRDFSVNDNDDSVLENAVIQQ QDGDWIKNGQFVSDQWTPANAATGLWKITGKPADVTYDTSYDNILSYSPKRIDYWFSR INQTPIVVKLKSTNRFYDVRGEVNDGNI
I206_00093	MSSSSPPLHPSTTHYQDEPQPPPPMTPLEPPDVPAILRSKRSLK RQILSLDFPLPRQLQIGLTCFSIAISAVQANGVYCWGTYGPDVAKSLKLDITQAQTIV IGGTLGVYLCAAPLGALTDKYGPRVGSLISAGLSLIGYQSFAAILRSATSETPLVHIY LTIAYFLVGAATVGSYFACLTCSSLSFPSHPTLALSLPLSLIGLSALVLSSFSALPIF LLKHSRELDAAKFLFFLGILSPAINLFGSLFMKVIPQPELFGELKLPDDPESGHGEHA HIKMSESIGQLLRLDERTPMLIGGIEAAWEEIEAMESGKDSYTARDLLKDYQGFWSFG IFLALIIGPGEMIIASIGSIITSLLPPTSFNSSLLFTTLAPYVASTDLNPLATRNKHV FLLSLTSTIARLVTGVLADYLAPPLQATPNPAHRRDPTQSSHLFIRKRPVRLSRSAFA ALAGASLGLIFAWSAGMLGDTGARLSVLSAGTGAMYGTIFTLVPAIVSHHYGPTNFGL AWGMISYFAALGSIVYSYLYALLSVPESGDSSEQCYGHKCFKFTFIVCAISCMIGSVG VIILGRRWKV
I206_00094	MQAERVPNEIKEVAQRLISLDADEYGNALDEYFEASLRELKKYL DLASTVSFESHMIGQMIWNENDKVAKFAFARTIYLPTLPKWLFMSEEINKILNKQRFR TVFDTELHLTTKGSQGEEGIRYVVSKVGPTNRRDATIVEKLLPYFFLRPIITLFVLVL ANIYNFFQRHSLEQENPATMALSAVAEVWAGWNGRSLAREEYPTAIKNCQQVTDTIGG YVNNTVDSTSKAVRAAGDKAKEMGLPVDLARDLIEVSLHVPAYALHTAGNLTVSAIHT AVAIEQASVGAVQSIALNAVGIASGTVHLFEDQAKDFGVPVDEYRERVVGRASDVGEW VGVLKMKAEERGREGIQAVQDTARQAGRATGRAAEQAIDATRQAVELGAELTEEAAQA VKDRAGPTAEAAKDVVEDITPAQEGESSTIRSQSHITVRSPKWHSSGGHAPTAPGAPS YAEAVDA
I206_00095	MIRLRPRFVTPKALTPQASFIPHRKTLKHQPDHINVRNTAITSI RSFHFNAPNLAVSPFKLHDIGEGITEVEIIKWYVTEGQEVNEFDALCEVQSDKSVVEL TSHANGKVKGIKRSAGEIVKVGQVLCDIHTNEEDQSMDNFGDESIIESNDKEIQERET NIINEKASNEEVEKAEKMTEILEKKKDETQSSEQSRNKHPLDIEDDSHDIDYDPIQLS GEASILPSPPKIKIPQAQGQIENRRINSAGKDQKLIIKTSPSIRTLASRLNINLSNIE GTGENGRITKLDLENYAKNDNNEDPRELETRNSKISRDEIPEITKVEFGRTRKIMFKA LGEQSKIPHFGYSHTLNLTPLIPYMKAANPSSSNSTTNNGYIASDIPTDLVRDPSNQE KHQKQKTTLLSFMVKSLLLALEEHPIMRSNLKEEADKKWLQISRDGIIGIAVSDPKYG LLTPSLPPLSPFTNLLTITNEINSIRKKPNKLSTTTTANITISSIGGLGESINAFPIL PPNGGVAICAIGRANWQIEWNLKNGIKEENKSIWEFNENQIQNGGTKAVLKVPVSWSG DHRILEGAELIAFTETWKKYIEEPWRWVNVTS
I206_00096	MSHQPPPAASLTSDTTPGPPVQARSTSLNHPSSGAYTFNTNPYK VDFVIPFDISIGKDENRTQAEQEIKHDYEHLLRALESEDGLKIGTKAKKSSTKEVKGK EEIWIFVSAEDEKVNELVERERSLDQAHNLPAHSHPVPPSPSTRIRLIYNLLTAPAIQ DGLGITPTQGRWKRVKSIMALHDEAADKEWVERWTIGGDWRIGLLKGLDGAEGQGLGE HQPPPVHLYFNFLTTYTLSLLPLSVISVLFYLLTPADSYPPLYAFLLSIYSTTFVAMW RIKERKLAVRWGTRGCESVAVGRLRPEYVANLSLDGQTPSQNGGDAVDVVQAGNDLKR DIKVAASVPIIIACGVGLGVVLLGIFMLEAFVGQAYDGFGKEVVPLLPTALFAIVVPQ IVAAYGHLARSMVKWEDHPTPVGEEKSLTAKTFAMNGIVAYLGLFLSAYVYVPFGSFI MANVQHRLTKQDTINIASTPEKAGLGPRTSGKEQKGIKAGRLKGQLFAYTVTNQVINA FLELGLPFVMRFVDDWRAGKTTIKETIKARSQDTPEKPPQTDDEVEKRFLDKVERELA LPDYTLFTDYAEMVTQFGYVTIWSIVWPLAPLFALINNYVELRTDALKICKHVRRPVG DRVETIGSWLETLSIISWIGAITNSTLIYLFRPYVYRSDQTPNPNVTPIPGSASLHQI ISNFSDSPTIKAILPTLIPLGLIALLASHGYIILNWIINGFAERLMWRNSQEEKQVQK LISENKQQFNSSSNNKKEINKKRIWEKESWKDVNGFWNGGEEGGREIGRSLKAE
I206_00097	MAPFTNLMRLGIQQPHYTPYHHNEHILPRQQAATGTISANIPTS STVEGLSADGLIKQAENHWDVSATSILAAWVATMIGIVLILLLYSCVRLKWRRIYLPR LRLRKPGNTIEEKAEKKALEAKYKDDKVVLRKKLKDFEKKRYQEEPECYPGFIRDIDG RWKEIECDGKWVGKAPKAPLTFFGWMRSGWDETKIEMMSIIPTWLCCCWGFIGINHFS NGGKKGKQSTIFDQDVKSLKMIGLDAVIYLMYLRVLKYLFATISIFATILAFANYRIN TETIYGSTNSLFTNLAINNSTNKSEKSKNSTNTNVIENPNLLTAANITSNGLLVHMCF EILVTMLVIIFVLKASNHHQKLVQEWERMNHNEISFKTLFITNLSMRNTIKETKKKIR NFVIGDISRDEECQVWFAVHPLNALEKRIEKFKKKQFSKAIKAVAMETFYESQGNENH LYDSCWDRIIGRSKNARTKVREAIDEKEAIERIQKNIREDEYASSPNQLGGTITAAFV SFPTAKRAKEVLMSRRVELSKAGYNLQKAPRTHNVLWRNLEQDAKSRASHKTFGKVAL IFVCFLNTIPVMGIVLLTNIGIVKESQFWKIIFMVIEGVLPATVAAIFSYFLPYIMRE LNRWSGSITRGQLDKDQIRQVFIFLLVSNFIVFSLIGVLYETISGIYTKIGEESVENI YRSLGDLPAKITRAYISQSLYWFSWYPIRSIVMWLQLLQVPRLLMKTPQLLKFKTPQD LAEVTLAIHFEVSELLEFKSKCLDKVPNFDDLIGTSSLIYAPLAPIVVIGATLHFWSA HIVHSQSLKYVNDAKETDGECWWVIINRLLIGTVFMQCLMVLTVTLKTQSPPMAVAAA LPIFLIFIFKLYLNKHFNQNDLYHISANSNDYLEKKSDYLLEKYEPDVLRKDWMPTGR AISKSRRLRERAIKHVPDITQLVESWKTDNRVVHRKKLKSSKKRGGSS
I206_00098	MVKSKEKEKATQPIEKISEDHNEASTSIIPSKLKSEKKKKKQTI NKESLIPIIQIPSFSEEQIIQYHLMNPSIPSSIKSQYTLPPISIQRKRKRKEIREGEE EQDKPIFSHPLPVIWFDFDNNNSPSSKSIDIGSEIEVESLVGTPSKTFIKPKSKKQNN KKAKIKRINLSTNNINVPEHAFRIENTGNSAAFWLGDLNNSEASSMGYVNQILTNGNE HLKGLNGNENEKETVKVKAPRKSRAKAKEQEKEETVTGETNSNNLNNVDKSVVNGDGD EHEKELNRNEKELNGNENDFQVETVKVKAPRKSRAKAKEKEKEKEKEETSSGRPNENE LEIVDKLVVNGNEKDDDTVSNGEVGEKIRVKAPRKSRSKPKEKEEIPISISNDKINNE LIHEKEKKNKKSKKSEKEEEVKKTIQIPPEPKTTSKITKRNSQKVFKETSPPPTSTNI IEKKIISNLSDTSSESSSSSSSSKSNLELPLHNTTKSFSTSIIEKVNFKKTINEKSIY NSSTFDKPPPYPILSPQKQSIPILTPSKISTTTTPINNLINGKKRLSLLPSTPILNDN SNPSTPIEKKKKRTSTGGKRGPKWVTETPKVK
I206_00099	MSDEGSDIELSSSKQTVDPVKSFLSGGFGGISCVLVGHPFDLTK TRLQTASPGTYTGAVDVVRKTIAQDGIRGMYRGITPPILGVTPIFAISFWGYDLGKRL VYSFTPDRTSQSLSITELAIAGGFSAIPATLVAAPAERVKVLLQVQGQGGSSMYNGPI DVVRKLYAEGGLRSLFRGTFATLARDGPGSAVYFATYELLKKQLSSAPETLPNGDKAP APPLSLPAIMAAGGTAGVAMWSLAIPPDTIKSRLQSAPHGTYTGFMDCARKLIAADGV TALWKGFGPAMARAFPANAATFVGVELSLKAMEKMW
I206_00100	MSNSKSTIHIIDKDQNTKKPKWNRRVSDFMIKSIANSATMGQGG IAVTSPQQTTTPISMSDSESPANSPEVPIRPGGLSDGPNARSKTITVADSENTFGVLN RHPAPTDTPEKSSDQSSSKVTFRVGVSEDKNKRCRRTMEDAHSFVYDFAAVKGQGYFA VFDGHAGKHAAEWCGQNFHEYLLDAILTQPDQPIPDLMNKTFHVVDSRLSRLAQAGKT SSGCTAVTAFLRIEKGDEKKGFLNSGLQPRGLMQGKGEEELEAQTAMQPGSRRSSMGG GTSGQVGGAGAFGNGSSSSGGLARRMSSKRIKDFVKSLTGSSATNNKDDDNALAEDDE DGIKAEDGTKVEAIDPGENPNIRRVLYTANVGDARAVICRGGKAVRLTYDHKGSDAQE AKRITDAGGFVMNNRVNGVLAVTRSLGDSSMKEFVVGSPYTTETALDEQDEFLIVACD GLWDVCEDQEAVDLIRQITDPQDASKYLLDHAMSNYSTDNLSVMVIRFTHA
I206_00101	MLTSPKGISHLHDNNENDKQPNSIKPESKSKSYSRSELISLAIN DFSESQSERKLTKRLNSFTPILRFPSHSNSNKWIDELEGKSEFDLNLFDNLPISKDLP IPELTKNEKHPCDKSNISTSIEGEDENLFNYKSILKEENDFPILINFQNPFKFNQIEN KIPLNSYEQQQKQIQHFEKDNLINSSNSNSINNLGIRIGSRENLSNNLIEIEKKLSRS NSNSTCSISSQTSSSKLSSSFSKDRNELNPFAPPFPIQNNLSNSNSKNNNNNILQPKP IQFNDKSITINKLRSNSPNNNNNNNNSPPSQNEISLPLPKLPSSLPLKPSPLPPIFIK KESAALPQPMSLPDIEPLGSNQWINENSLSGNEKRRRRKSINNSYNNSINNFSNKFNF ENNSEPNSRRNSFNYNYNSNYNLEKEELTIRSRSNSPNTLVNRRSRNDSQTKQRW
I206_00102	MDSDPWADVPTTPKLSSQPTSPTKSSSSPKASSSKTTSPTTESI LPPPPKSEEEEEADGFDDFDDFDNNIAPIAGPSNGKMNLNENEQEDDDGFGDFGDFEE GDFEGSQPGISGTSFVEESIGQAERWHALNLRPFPPKGELLDQLSTLLSPIFQPDSEY LTDDQPRIGNGLSQVLVDEASRDIYAQLTSTPMLKQLDWTRSRVRREHLISMGVPVNL DEVDSHRLSALPPLRITTNINPVPRRAESLDVNGRNSSSSYKGKSREISPNANTVPNS ASIYSNGTQKRGIGKYGLGEKPEFQVEKAEEYCGLEEASLQKLQAELAQTSAMASSRL AWELQLKDAQTQDSTTYNGMISELISNAAKVKSAQISSGGVFRKSSVKKPQSVSGTVT PRRTGSPGMW
I206_00103	MADPNSLPPLPANHLDHPEQWATGLEPATEKQKGFIAVLEKQHP ELIEEEGGIDKKELGKSEASEIIDSLKKGEKPNQDKSNAKEQDSEKQDTVKIGEKRKA SSDETNKTPEIKNDEKKEKENLPTKNSQNDKSSSSNNEVVEIDEDGKDLKDSKQTTLD GAFDKNGSAPKNEDKEEERISKKAKVDDSSSTGTDIAVETPTSNTDDKETDTIPTDKV DTTTYNETIPNTPGETVPGSDAHLDHPENWTTGSEPATDKQKGFIKVLEKQKGVVEGE DVEHLGKSEASEKIEELKGM
I206_00104	MTQPTQAEIFAALSAQSSSSSRFKPSTKEYTSFENPKDEIVSSS NSLNNRKIYCSREKCSSLILLKGVAKLIEIEGSILPDDSSSPFIKSSSPHLYWSIPSG PFSFENIGFSRPDTSIINDSLPNFIPKDVNEKSKIKWLICAECDLGPIGWSFEGGKGA WLDVKRLRYEDVKSD
I206_00105	MSGPLGNLPGMTYDSIRNRYFPTPKGPIVIPTEEDTRPLPTPGP SGYSSPSQSSNFIGRRPSIPSSRSHHATSEIRNQYGYDQQLRSYTSQQSNENNLRQLD QMKNIEQLTLPQPECFSINMKRNRSGSTSDPVCYKQDQRNKLSRVGKIRKKSKFGIRF GGNGNQDKNLSKQDQMLSNLELDVEHHSCGCHGEIITSYKAFGDEGYYATTDHGKLVM HHKNGNTAIFSVCAHNLVGFHYDVPRLTMIAIAGGPDPHLHIFKRDPENLDNVFMSHS ELDLHRSEMYGFSSFDDVCTIGGAKAITTVNYTSTLRSTPRRLPSDALTVHQVSRDLV FVGQRSGNVSLEDLRVNTRSQNIVASTLRGKAVVGVKRLNDSAVPWGCIVSGMNHEML LFDVRFGDKPLRVFEGHFNTFHSNVAMATTPDDKTLFASSSDRRIKAWSIITGNPLIP EPTVPKSAGDPQHNIEEDNPLLRVFKNRVSHLDINDEFGLDVVVKGDLFRFGRKLL
I206_00106	MPPAPTSTPTSNPIFLSTKTRQFPNSVAVFNERFIFTSLNEGQV LAHGKIPYGHPETGESVLLFSLDEEMHYTPFASDHGPLNIAFTFQACIRIHDRLERTE RRKKPLCLYTTTEPTKKSCMALVVALYFLIVGRQPPWNAFRPIAPLEIMPFRDAGSGP MDYGLSIQDILYGVDKAIHNGLLDLANFDQDAYQKYEQVDNGDLNILGPFIPFASPLE ERWLKAIRSSTRTVSTPRGTTRTTVQTVEKGRITSNAMKCVMEVFEKEHVGLVVRLND ELYDRRHFLDRGMEHIEMYFDDGSNPSDEIVREFIRLAEHTIEVKGQKVAVHCKAGLG RTGVLIGAYLIYKYQFSAQEVIGYMRLVRPGMVVGPQQHYMLINQMKWAGWAARDQLL RDLSREAASKECANPLATPPLEITQFLSSPRVLQDAQSAQNIVSLEMTARLSERAGTP TVDLSQKGGDALGQPRKAHSGLRLSESQPTLRSSVNELAIVFEPASPPVHISSGSSEM QEESNTQSTPEPEIPFMPSSGSMRGTKRSAGASRSAIPNFKSSSPRVTLAVPAPTLSR SSSSASVNSTSSSDQDARPPKRRSPTESPLAKIGLSSPPPSRDSSPTIPAFELGDREG GMQIDHDGEPRLEPPATPILKQSKITGKLRRRVVSPSPSPPADTSPTSTSVLSVESGK AMNALTEMNASPKIIKRSFLPVRKNVPQQYLDPKNITLIPQQPSTPCRKVDEVKSSTP KRLTTSNKRCMLTPPRITEMWGQLAKIGSSSPASEAKCE
I206_00107	MGFSQSVVLGSCSFLLGMVFVCQVVDIPLLYKPVTDEAIQNAYT FYEIWYESPGAVKALFHVALGLPLIALLIKLHKWNESAMFFDGSSIAMHLATIILYLT VHIQSLRTFLPDSTITTSYSILPTPPPREIQPTENEKIEAVRVLSAANALVGLLTLGV IGMQIGQEFAKRQEEKEQIEIDKKIIQGENKKE
I206_00108	MPSTDYTEDEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRP RHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRV APEEHPVLLTEAPLNPKQNREKMTQIMFETFNAPAFYVSIQAVLSLYASGRTTGIVLD SGDGVSHTVPIYEGFSLPHAILRLDLAGRDLTDYLVKILMERGYPFTTSAEREIVRDI KEKLCYVALDFEQELQTAAQSSQLEKSYELPDGQVITIGNERFRCPEALFQPSFLGLE SAGIHETTYNSIMKCDLDIRKDLYGNIVMSGGTTMYNGIADRMQKEITALAPSSMKVK IVSPPERKYSVWIGGSILASLSTFQQMWIAKSEYDESGPSIVHRKCF
I206_00109	MPLESCMLVLDNSEYMRNGDYAPTRFQAQAEAVSTVFTAKTDSN PESAVGLMTMAGKSPSLLVTPTNDLGKLLSSLSKVQISGTSSLSTSISIAQLSLKHRE NKNQRQRIVVFIGSPIEESKENLNKLGKKLRKNNVLIDLITFGEEGRENDDKLSGLIE GVGGEESHLVSIPPGPQFLSDVILSSPILFDGEGIIPGASGSGGEGGFSGVEDGIDPN MDPELAMAIRMSLQEAQEAAARSNPPATTASSSTEQPLINTESSNDTSGSATLLPSAI TQPLSNANDSDIPMVSGDKPGDENVVDDVLVDQEEEDEDEDEQLRKAMALSRGDDVDM NEGEDDDDDEAAIARAIAMSLEETKEEENKEPKS
I206_00110	MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG A
I206_00111	MSFISKLFIRPKISTSIPFNSIANSSKITSTNLLISKFSTSNSL LIKQKLKSHSGCKKRFFPNANGLFKRAQTGKSHLNTAFSTSRINRLAKQVYVTKTQGR KLKKMLPYA
I206_00112	MKISSIAMFAALVASASAKPIRVYALSSEPLPPVEEISYRINAE SEPLDEIKTLPFLPFEHSSMHKPCHGKSQISSFKSLLVKLGFVEPDLQSKEKKMEDIH ETLFEHFKGQIENVENKIIPLLESGSVKILPFEKFSEDDEMKLPNEIQQEEMKWWRHL EEDKWIVRQGVKGEWRLPNSEELPPIEIQHQSSHHHHGHQHGHGFMANTIPGRLHKAL GNLKPIESIVLAFVIGAGLGSIIHFFFMLFLLTFRYFKAGCPSKEERRSRRLARKEAR KAKKAERRGLILSSNSIQGQDGEEEELLPAYEGNGFTDEKTQQA
I206_00113	MSVMLETSLGELVIDLEVDKCPKTCENFLKLCKLKYYALNAFFN VSKDFIAQTGDPTATGTGGESLESYLFTKNQSKSKPKPSRYFKPEIINSLKHLNKGTV SMAVAPTNPPGCGSQFFITLSNNIEYLDGKHSIFGHVIEGLDTLDKINEAFLDKDGRP LQDIRIRHIEILEDPFPDPKDFIEIPNSPLKPPDNFSSIRISDNENIFKEIENEDELE EKRRNTQANSSALTLEMIGDLPFAAIRPPENILFICKLNPVTIDEDLELIFSRFGKIL SCEIVRDKKSGDSLQYAFIEFDERESAEQAYFKMQNVLVDDRRIWVDFSQSVAKMSVH QAISGGRGRGGGRGGRGRGGRDNGYSGRERNEDRDRDERRYVASTPRDVRGTEGYGMV FDQSSNPIRRKSRSPKRHRDRSRSKERDRRDKDDRRDKDDRRDRHSDRRDRDRDRDRD RYRDDRRDRDRDRDDRRDRDRDHRR
I206_00114	MTMGQPFLRLKTPKFSHNNLSFSPYFENRFALASGSNFGLVGNG RLHIIDLDQNVFGGLKCIKYFETRDVVFDVAWNETHENQIFAACGDGSIKMFDVTLEG LPIKSWHEHSSEIMSIECSNLQKDKFVTASWDSTVKVWTASRQTSLITIQAHKGQIYQ ATFSPHNPFLLTSCGSDGNLNLFDLRQQNSNHDLNNSIKPIISINSNLNSNLNLNQEI EILHCDWNKYDENLIAIASKDNLIKTFDFRLGSNQFGKIIGKNQLSCRKIQWNPYNRN QLASVGYDMTCKVWDTNSTLPIFIHSEHTEFTMGICWSLFDPGLLASAAWDQEVHLYR V
I206_00115	MPPSPGKIHSLPVKVLYSIDTSSQSYLTVLHDRQDVYIHPGSPA YSSESEGPVGSVTLKSVARGICFASPECIPNSTSLDFSVYNLDPTISRPSTARAFPSS PTESSSSASSWTGKGFLSWVLSENGGGKTLIKGRLVREYEFSSAHFAPEGGLEGLMAL ASSEHNGAGGENLDGKGWGLEVGISLRQLNPDGKVEFQNRKEFEDMLAKGKSTSTSSA LPTSSPVRPNGSTPARSSPLINGITRLQSEAGRTPHVNSTPHQAGPSASSTATVRPHI AQPTPIPAPQSGPSSRPSSSLSHRASSSSMPPSSLPALPSSSNLPSSRPSSSVSDRSI RPPPQPQPAPVSKAEPPNRAGPSRNREVTPPPLPRTKSPPPSTPSRSKLHALLRADGM MSPELARHLASNPVLRNLLKAVPSNSNALTALRSITGINRSPTSNALEKGKKTTLNGN GNGHGNGSDDKEGSPEATTPTPSNPPLPTPGRVTKNLQADGCCNCGTTVSSCWRTKKM KDGTPRKVCDDCGLYFNEHKRMRPPELWSHPYKPGSSSVQVNHPNDSKRKHIPEITDG PASGLRSSPRLNRTNSDKETHTLSSNHSIIPESPRKRQKTKAAPPPPSPRRSTRNSTK SENLDFGAEVFGFSPSANSTNNNSIFGTSPAIPISTNQDGQNLQSFGTVMNNINNGNN NNNHQEEIDISAFLASFENLPSGNNIDGNNNLSGSGSNSTSEFSMDNLFNGIGDGLGI ELSQEMQDLLNGWESQLNDPNYLGNLDLSNFSTNDNTSINENNNTNIINNNNNNNGN
I206_00116	MAPTKSARTTTAKPYERPSTSAKGKGKAKASSTEVNLGAPSTLG QSYRKGKKSWRKNIDIRNEEEALEKGREEERVTGGPIAQKSNNDLFTVDVVGDVEVGK RARRAHKPLRSLAILNERSAVPSLTSKPSSSSSAKKTKIHISSAEKERLRRIARKTTT HPDEITTSAEIRKIDPSESKDVWVEEEEIKVKGGFGEETIIKKQIKVPTTLKKQREIY LNSQVENGIHLEIPKGGVSYNPTLESHQKLINEALNEEKEILRREELDLKRIEELGEV VKARKENWKPSEFAEGMKVGPGEDLEGEDENDDEEEEIIIKKQSKRKTTAQRNKALRN KLAQKAIKDELLKNKLNNSIGSVNSFKKEIEKKLNEQKEKELKLKLLKRKNEKLGLII KQGEKIGKYKLNKDRIQVQLGEDLAESLRQVKPEGNLFKDRFLALQKRALIEPRVPIL PKKRVTKFKEYEKHAYKRFH
I206_00117	MRPIIRFSSTPLSRGISTSSTVLAAAKPKKATASSKNAKQGFNQ KKKETTTGGSSGSGQSNVALKFSMAGQVPDLSDYPKLQPINFKSENVGRPTTFPKTTF DKLKLFGLSKKVEQELSANGGPASVIRQSTIDLAKQLDGSKAKSSKDARYVLTGERGS GKSMLLLQTVAYALESGWIVLFNPKATEWTNSSSHYIYDSQTQLFSQWQSAQSILSTL LAINKDKLDAIKLTSDIELAQGKTASKGSKLSDLVATGSKDDRVAVKVLDEVMAVLEK QTQFPVIWAIDEAQTLFTTSQYRTQEYTPIEPYHLSTPRLALDFVSGRRSFAKGTVLT SLSLSDPKNPLSPSLIDGLKLPTTQPITPYTRLDPYHSLHASSGLKKIEIPFNMSDEE ISGLYKLLVRKGKTSNNQSDNLYMEIKSLSGGNPNEIKRGLSRLTAAITV
I206_00118	MLPTQPINCGKSWWGPHIDYNMNSRFAWPNPHQVPIAESTLATG TLGASAIEGAAASNFASHAATFGAGAGAGAGAGPGGIPPRGPWGYHQHYYNGYGRYGR RWGRGPRSGRLFWLLIGIGGTSWYFKHQENKRENERRLIESTGLTQNQLNSIKSNWGS NKYCVHHPINSNSNSNSNSKISLENMIKEGGTTTNDINKNNNNMIIPNNEDEKFEWGW GKWRERKLRKRVEEWENFKLQKEKEKEKEKEKEEKEKLDEPFISIKSTSTQKRDDEIP LKSNNKSSDIFNNTEEGGVREEMNKMKEAIEKIWEEKKRNSLLIQETANDKAKEYARE KIDKLSAALETLRESLKVDNEEKIKKSDKDKKWV
I206_00119	MLDGNSEVIEKYKKPYTGETKEERSMREVFLAVALDKPAPRRPV TIKAPPKSSKEVTKVTPSQPPAKSIFFTLSPSAKPFVPTCHAKDLEISPTTAAITSAQ MNASAVAESDTLSSASSSDPETWSSSCSSSSSEDFNYSESDDEEELQRLLGIIEPVKS TPPRTVPSPSIQWLPHFSPERPKDEAKTVALPPPGWVFRTSIRPPPSSRRHSGSRAVR RRELEEYEYRSE
I206_00120	MAMPANPHMQHPGMNQPMDPAVLHQQVAAMNAAAANGNGAEYGG QPMTDQNRAYIEQQLRMQQQHQQQQQGRDGKVAAQRALGVGAQPQNPQQMLQQQQLFM QSQGIRQITTANGQVYVDAQGRQVALPAQWQQVNDPRQPPAGLVNQAQLQAFYQSQQA QAQAQAQAQAQAQAQAQAQAQAQQQQQQQQQQQQPHQQHMQQHHAQQQQQQMLPPQQA LHYQRQQQQQQLAQQQALQQQQQQQQQQQQRSSQPPSARPPHAVPPQAAPGQSPALLQ VPPPAIKRAVSRAGSRSASPIPHHIRPPSVMGQPMQVSSSAMPTTGAQPTVLPLRSAT VPVTNGEGSQTQFIDSSAPARTAAGSTKPVDSKFARLQSGHTNRPIQMSSAARLQRYE EKTLRLQRMIDKAQAALVEAEKEAEEARKVKEKTQVDEDPQLGFDRALLDYLNRAGYD TAAGALSADIAKKHPARKQQDLDASLSSDVTLVDDAEETKSTTVSLNKTGSSDKSGPH PDSSPKGERTPTDSIFGPEKGESSSPSTMSVDRVKEEGSPDDKTAPNGQIPAQGGLVK NDRSASRDLGDLYTWWVVFQDSKRILAKRRSTSSQPNTAAPMTGVTPSSAVAARIAMA NPAAAVRAASVDFAGQRGQAQAGPSQYQNQDENVPVTATLQQMEALKREQTAKIQAEQ EANRRRAELQNGVPDGRQMMYQNGPQQGMTSDQIQSMYQQQQQLHAQQHHLQPQAIGM QHPQQQQQMYQQLPVTTPGGTVRHMPQQMMMQQNGMDGSPAHDSPMAHKRGAEASPLE NQSTKKAKMVGKPPTPEDHRRQAMEMAKAVMPAPGALQLPGQQQRSVSQDMPRPSLEA QRQYSQTQAAYARQQEMAVRQHIANSPAQMTGMSPAQMTPAQMTEQSPITMGSPLTQN AAFDVGMNGMPTSSQAQQQTPTSGRGKKGKAPGLMVDTDTAAEVPEATPTGASGKGRK ASANKKLPKGGTSAGPGSATDKAPKGKIAKGLGKGGRPSTADASNPQIDESPTAPTPG PSYSVAQTPDNPTGQNMSYATGSTSAPGSSRSQVFSLPPVAEGSGGSSTTQAFDNVEV SQQPASQAPPLQVNQQQQPGQDQQQMNLDSTFVFDDTADFLKGFDFGASGIQVPTSAD YNNSFNFDDFNFNDYGENQDEWASLDGEATRRPNGGL
I206_00121	MASYFSDNSSTCSAPPLTPSFHHHSVDPDVHDHVYSTPTLSDST SPPASIRNYSSDLVRKMSAGLTAAMKDLRDDRGGPERKFDVEYGYDEDPIERHVRLFL TPRKVPNQSTPKGLPELGLRPPLQPSSVPQPRSSQSNLVDLSNPDNSFKAVPATEPRH LQQSQTNMLASRSHISEEKYSTVEEDSLPSKFRPGLIHFHRSNSTYSSSSSSSSLNPP RPAYSRMNTTMSNASSVSLMSEASFEAVKAEDIVSMYGAFTSTSTSNQKEDPFEFDFG NQDLDYQYQYEEDELEIGLGRTSMISTDTMDTIVPSQQPQSENQLPLDDLSGYSQQAL APIQRPATLPSRPSLFRKRSRVHPYDNPSGIAPLSNNLSGPITPNKEYDEVPAWLTNR TISEQMVAQAGLRCQRQRAGVNRK
I206_00122	MFSRSASRSVVSSLRSFQTPIRHYNSAFQSSPPTSTFAGKKGED GNYTVTLIPGDGIGPEIAQSVKEIFAAAKAPIKWEEVDVTPILKDGKTVIPDKAIVSI KKNTVALKGPLATPIGKGHVSLNLTLRRTFSLFANVRPCVSIQGYKTAYDNVNTVLIR ENTEGEYSGIEHEIVDGVVQSIKLITREASERVARYAFHYASENGRDKVTAVHKANIM KMSDGMFLTACRAVAKEYPKISYDEDLLDRVCLRIATDPTPFADRVMVMPNLYGDILS DLSAGLIGGLGLTPSGNIGRDASIFEAVHGSAPDIEGKGLANPTALLLSSLMMLRHMG LFELADKIEKAALSTIAEGKAITRDLGGKSGTREYTDAILAKLK
I206_00123	MAKASKSAPPAVAAKDNADKKALKKSKKDGEKVASAPAPVKEEK KSKKSKKAKTPTPPPSSSSESESDSEESSDDSSDEEEAKPAAVAAAPAADKEDSSDSE SSDSDSDSDEEEAKPAAKAEEKVAAKEDSDDSSEDDSESSDESSSDEAEAKTEEPKTN GKRKAEDQEEAAAPAPAKKARAEDEEEASTNVFVGQLSWNVDNDWLKSEFEDCGEVVS ARVVFDRDSQRSRGFGYVEFSNLESSAKAIEKNGHEVDGRAIRVNYATQRNPQQAVEK RAKAFGDQQSPPAETLFIGSLSFSVSEDQIYEAFGEHGDVQSVRLVTDRETGAPKGFG YVQFGDVESATAALKALNGQSIAGRPIRVDYAPPKKDNNERGGFGGGRGGGRGGRGGF GGDRGGRGGFGGRGGGRGGAGGRGRGGPPRGGARTGGIVKPEGSKVTFD
I206_00124	MDEESVHLKDLYAKFQDPTSHYHIPPGTNGPEHEEDHSTNYDPR LLSRFKGGRGNNKPSSARLGVPETRPSIQWSSPSSSSSGSRSMPNDRPWLGKRSGNKK KALEYFEEQGYDTIGILTWPVAWGDCDTYRHVNNVRFLEWIQSARIRYAESWASEMPE GYIAKMTAGKGTGFILKDVSIKYVAPVNYPDTVMVTNQIHSINPERASYGHKHLVWSL RDERVAAVCDSTIVMYDYDNLKKGTMSEEFRELLERVVNSYGK
I206_00125	MFTQLLSLLPILATANAYFILQHPILETTRLDPIVNPGDISSHV HSIVGGNNFDKTMSYASTQQSTCTTAPVSVDKSNYWIPQLYYYNPSGQNYQAIPVAYV NTYYLPRYSPGETTVQAFPDGLRMISGSPDRRTYNGDAESNAISYVCLDYYTSHTGDP AWAQRNSFFEHNCPQGMRAQVFFPSCWDGVNLDSDDHKSHMAWPSNGVDGGSCPSTHP VRLVSLFYEFIFQVANFPYNNGTDPTYVWANGDTTGYGLHADFVNGWPSYNNGTNILQ QALNNCNDDNGVGGDLDQCAPFVPYLQSGGCSPLNDQVNEDVGLGHYISQIPGNNPIW IGNVTKPSYANYSDDDITYTDFKSVIPTGWSDVGCIAEGTSGRALAAASFANNNMTRG GCVSWCSDRGYPLAGIEYGRECYCDFSMRNGASNTTLLDSSKCAYKCANNTNENCGGS STLELFQNPALYPVSKLPTGWSSNGCVTEGSSSRALTGYSFATSSMTQELCMSTCQAK GFSLAGIEYASECYCGNAFTTGAVPATDNCNMVCSGNKLETCGGPNRLTTFKFANTTT IATSSSASASASASASSSTSSSAKVSSTSASASASSSAASSAKASSSSSAAVSSSSSS AAVSSSSSSAVVSSTSSAVVSSSTSKAVSSTSSSSSVLKGSSSSARASSSASTSIAKS TSSAAKSTSSSIAKSSTSSVVKSSTSSSAAKSSTSSVAKSSTSSAVPTVAQPVQKFAV PASFSTSSVSKSASSSAAKSSSTSAAKSSSSSVKPSSSSLKLSSSSSSSVVKSSSSAV KPTSTQKASSSVASSTSSAAASSSSSSSAAAKSSSSSSVVASPSSSSSSVVASPSLSS SAAASSSSSSSAAKSSSSSSVVASSTSSAAASSSSSSAAKSSTSSSVAASSSSSASAA AKSSSSSSLVPSSTSSATPSASVSAGAYMGYVGCFKDTSGGRHLNGSASAGSNMNNEV CTSYCASKGYAYAGTEYAQECYCGNYLNMSLATIESKCNTPCRATNPSTGSTEICGGG MILSVYTTGLNANAKPYGTKPNPLTRRSAKFRL
I206_00126	MATKLVSTPSRFLPISRATFASSSRSSIPLPAVRTISTAFSRTP ARSQNSLTGKHAPSRQYASAAAVATPSPSADIPHIPRRPSIKVIPPSLEEIKEEGYLD DDVKLLPSDEAYLNITPDALQQLVNITSREPPELLAEGKLALRVGVDSGGCHGYQYTM ALSEERGVDDYVLQPEGVSSIPVVVDLVSFGLLKGATIHFATELIGSSFRIQDNPQAK QGGSCGCGVSWEAA
I206_00127	MTSTIQSITNAIPSLGRARLLINSADDVVVVSACRTAITKAKKG GFKDCLPEDLLGAVFVETLKRSKVDPSKIEDIAVGNVLPPGGGANVARMAQLYAGIPY TVPINTVNRQCSSGLTAVAQIANEIKSGEIDIGIGAGVEHMTAHYGAGVLPERMSDEV LSNPEAADCLIPMGITSENVAKQYQISRDTQDTFAANSFGKAADAQKQGKFKSEIVPV KVKWTDPKTEEEKEIIVDADDGVREGVTKESLAKLKPAFSKEGTTHAGNASQVSDGAA AVLLARRSVAQKLGLPILGKFVVSAVVGVPPKLMGIGPAFAIPKALQKAGITKDDVDF FEINEAFASQAVMSIQHLHLPFENVNPVGGAIAMGHPLGATGARQIATGFAEAKREKK KVFVTSMCIGSGMGMAAVFVNEQ
I206_00128	MSSAALSNANPNRESGPIEQDCLSCRLIGASAFIGLGGYAIMQA KKQGAFERVRPAGGPMIAGKVTGIIGTGKMLIDFFDPKIKAYD
I206_00129	MFKPISHCIVLCCILILSPHLVLSLPSPSTSSYNESKDSQKARL PGKFVPFSKLSTCPTLKHRKAPKHAKDVRPDDFKVIAALGDSITAALLARGSREDSHS HPLSKYSSSKSSQDQKSFQLKNIPEIAEWRGISYATGFDENAITIPNILKYYNSDLIG PSTGHHSPISCLGTGWDIGCGLHPSEDGLNAAISGSLSAGLLSQVKDYLIPRMIEFEV KDDDWKYVNLGIGANDVCAFCLTPNSTIFPSSGTPKQFARNIQKAVNELRIHVPNLIV NIIGLMRVSDIYELTLKDPYCQTPGLPFPHLALECNCALLPGPAGDYTRQRMDEQGKA YDEAVLEIIKGWEEENDPSFAAIWQPGTAVDLANYPIEALSKIDCFHPSELSHQRVAV GLWNRLTLSLDDKYKPIPWEEEPMIRCLEEDDRIRIGEIGRLYQSEKLLRV
I206_00130	MTTAIYPPRARRTVDNILVLTPRYYPDPGPDGFYPELQRPVQTR LGVRFNDRLNKDVYFQLNAVFMTGLRLDFTQQDLKNLFKHITSEVCVLLIPFLPWNKG RADQRKQIRFTALHPHGNKNVSLACMEFSSAAEADEVIIFVTTHRHLFGDNNIHVRHS DINASGYTAGSTMDILLVSEVLALSPPYTPRSGSSNTSSGQLPDGWHAAGFSRDFFKL KRSSSPTLICPNSSKKYHQTTPMPTDRIKSSSLTGRSRSRNTDQHVERPSVESESTWA AAEGYRLGNQDRLCDHNRKFTEGNCLKIPSGPKSLLQRIDMSTLAYHPSASRTCAYQM NSAESTLPSEKLEELSAKLDFNIDYSPTVVGNDRRTLEDGIEHAYSPKEERTSSLTSG LKHDPEHPVSDHTTAQKRIARSLQRRRSFNRTDGTSRKRRSSSQRHGHFTDRRERRHS HHPQGRKQEVLKKSITLKDLKAFHHYFVSRDRHTNAKPEPKYVGISEDGEQLIFGKKR RIVEKAFLCGFSEEKGEEYNLTSDDTNGVATFGGDDDEGTPLKNSLEVNLSETEEEIE EIEDERFRRKKVSLIDIHDEKEKKEVAAQRIGEIVEGEISESGLENGLHQHSSLDEIY NQPTQDLFFEKSVTNRGEGQTKNLAKSPVLTIPPSIDKAERDTNKDNHSTFTVYRVDE LSEEVLEMMGIELRYTFNNDSLNSAKAWKQVNETPKEANDDKDVIKVLQLVRTGSEDQ IDSILSDVSSGREMREVRRSKIERGKPDRTII
I206_00131	MSSIRILTRSFRSTNIPSTSYRSFSINYKLLKDSIDPFPLPFSN PELASNSSSRLPDDSEEWPIPSPLDRTGENLQTLRSRLIYQTRKRGTLETDLILSTFA KEFLPTMSYDEMKEFDKLLDEPDWDIFYWAVQKREPPPKWKDTSLLKKLIQHAKNEGK VVRRMPELMQKEPEL
I206_00132	MSTKQPTPNPTQSSAALQSSFQTLLENYQTTIPARVKLIDSFLL FIFLSGVLQFAYRILITSYPFYAFAGGFGSTVGQFVLLAGLRAQVAPGRDGEFKQVSQ ERAFADFCAASVILHLFAFNFLG
I206_00133	MPVHSLATVFGNISSSQLIVGAITFALIIFVKAWAGGRKCTWER DWKGKMILVVASPTTTIITLIDTLLHLPSPPQILFLPDTKSPLPESLLTLLHTIKLSS ISKNPSAQLHCESLPLTPESIRNFVIKWNSSPVQMVGEGGRRVDCIIFGKGWEVDKSN FLSNLTKNEKEEEIINWDNNQFKFHFLNSFLPLLLKSPLERDIRIIQLISPTWSSALP ALASSLNNLTKEEGEKTKKIRKLDLINGTGKKNLESLLCFKHFQIILDTLEAIQRGKV KPIPNPEKPEENLKVRDTNVKSNIKSISVIMPWARDEVLKGSLVSSPLSKLSWILFYP LILILTPSSKSTVQSILFALSAPVREGTIDDTPKVAEQGKEIYEQRRNGVAGGDVIRD CGVVDLPPVLSDPVLAKAVYEELEKQVEKGVKESQTKSKLQKAQ
I206_00135	MDLEIDNLTIILLFLLATLAIYHRFFSTPQPLVHPLLLGKQSDI STVRKSGETGVYRSWATGQGAPLTVRPASSVKVVSDVVTGPKITDSKKERFILDVPIT DEGLTEITRLIPIGLSLLFPLTETSSVVVLLPPSPSTSLPLLLLSVASTADKPLVILP SPTLLSSAIANKGRHPPAGVVVIHVNLLEDVIEQLYENKSSAGVLVIGDPDKSTQGVV KIAKGKGINVKYWEEIWEIAESSAAEKYQPKEKVYSDVHSFYYAQQNDQTVVTKVTHL NVTAGIASLLSSFPADKRPSAALNDTVASAVPLTTPLGMTIALSAVWTGASFRLIGNH EPVWDPEEVDHAAELEVLADTEKGLPKPTILFISPRHHHALLNRLQYTYTSHPFASLA ARHKSHSIRAGHIDRDSLWDKVLWSGMRENVLGGIAGQRLRGVILVGDAPPPDALASS HLLLSLPLTRLHPSPFSTGPVFVTHFYDLQSPGVGHVLKEVDMWDGASVHHSGGSEKV HSGPPASNIEILLKGDKVNEVYEEEEGRPIHGSIFIRGPSVLERVDDKGRDVDGWVNI GENAKVQTNGTFIIDHSPIRLKA
I206_00136	MSAKEHTDVYTNDPDEYYPGIRQRSRPGEAPKEEIFEKTQDAYG NTVSDKPANRAILASQRRRLEHESKLKAQQEQRLKSQNNENKKNSIWSYIPIIIFIPL LSSFLTQTYTFGLSQYYLPPLRRFWNETPLNPLRPTMKIFTPQELSFYDGIYQDRPVY LAIDGTVFDVTANRRIYGKGGSYNMMAGRDASRAFTTGCFETHLTHDIRGLNEGELAS LKHWKDFFMNHEKYHKIGYVMNPPLTNEDPIPPPCRIAEPESEGNSHSTGNGQHAPGE AAAKKGKHKPGPVTQ
I206_00137	MDILSDEIESVLFISREVMVYQVPPRTSASGYKAADWNVEQFLW KGRLRVLEIGSRCEIRLEDSNTGELFAQVNYATPWTQVEPVLDSSRYFVLRVEGEGGK RAYIGMGFQERGEAFDFQVALQSITKRTSNTTSDTNLSEPSKPVAPPKDYSLKEGQTF KINIPGREKKPTTSTSTSSSSSSSGGGGEGLFSLPPPPPPGRKR
I206_00138	MSGDKKEQEQSFNILPHPAKSNNPADLNSEPAEHGGLQSASYNA YNAKGPHIPSHEIQQGLEKPKSSEELKARAEELNK
I206_00139	MISTIHAPEILTNSSQKSINDIKGKGKAKVKETESITIIDDKGD ELFYRNYKDEQTDLEYMMKLVEQELSEPYNVYTFRYFLIDWPHLAFLVFPSSISKEPI ATIICKQDSHRGKTNRGYIAMLSVDRAWRRRGIASKLIKVAIDEMIRRGVHEVVLETE FDNAPSLALYDRLGFLREKRLHRFYSNGKDAFRLILPLETDPPPPFETDEEAEQGRWS VGAGSEPGPGMELGVINEEGPLRYFEETDVNMGDTPKLPPRPLDDWGMYT
I206_00141	MTLLHLLAYAGGLAAFLFVTLSLASGLLWLAELIEEHSKHAKYV GIRAIYAIIALHILLWFTDSLPFLPLIFSIACHLVYLTNFSSQWPYISLTSKKFIVSC FLVIGDHFIWFFHFANLAQENKKFKTSSQRYHYNKNYKNSNNGNNPSFGDVAAFFAVC VWFIPLFLFLSLSANDNALPSLNTLSSGPTSPSPTSVDLSSPSVSGHGSSSPTHRQIR RGSSTSLIKSVLNPLLSLLPRVRRNNIRKNEEGLIAPRTPIRGSPLHSPVLMPSSTIR KDSNANTSYFPWGSSEENTISNSNISNFNLNLNNNNNSGSGSSGNGSPRSITPPPPPK RIQSEIQISKNSNFNSQNPQLINPRGIATRNGRPALNGQIPSNFDGEIIEAPKRSSPL SHGSDGLSRRKAD
I206_00142	MSNLEKSLFQLKFTAKSLQRQAKKANKDEVSEKNKLKQALAKGN TDGARIYAQNAIRKKTEGLNLLRLASRIDAVASRVETAVTMRSVTNSMGSVVKGMDKA MESMNLERISIVMDKFESQFSDLDVQTSYMESTMSDTTALTTPQDQVDSLMQQVADEA GLEIQHGLGVASVPNKEPAPAQTESSLGNKEEDGKLAERLRALRVSRYLSINMNIRNI MLLTN
I206_00143	MSTSSTKFERAADKSYHPKGYGMSPSLQRARKPFVLTNVLIGGT LFAFAVGVYVYSISAVKQDDFSDVEDLLPPLEERRKLVSIEDEQRASNSLQSIASALP LSGPSSSSNRQAPNSTPLPNSTSTGSSLSKSIPQPDLSGGTLPLLESTSKSTSRWGIR RISELEWTKKRGLVDPKGNILVWGAPNVESIGRITGDKAGKRLV
I206_00144	MSSLPRLSSRVRSPIITTSSSSSTLIRPAVLTRKPILASSSSLS PLGKFRSVSSVPISISSVNSKRLFTSSIRAREEEKPKEEWPERVLPVLKESDIKRLKR QRNVGISAHIDSGKTTLTERILYYTGRIRDIHEVRGRDAVGAKMDSMELEREKGITIQ SAATFADWVSPPPPTELAEGATLFGTGSEEGKEKYSINIIDTPGHVDFTIEVERALRV LDGAVLVLCAVSGVQSQTITVDRQMRRYNVPRLAFINKMDRAGSNPFRVIQQLRGKLK MNAAALQVPIGAEGDFSGVVDLVRMKALYNEGVKGNQVVETDEIPETVRALVEEKRTE LIEQLSEADETLCDLFLNESPITELDIAQALRRATVSLKFTPVFMGSAIKNTGVQALL DGVCQYLPDPNEVHNQALDAALPSHAPPVPLVPAAEAPLVGLAFKLEEGRYGQLTYMR VYQGELKRGSVIYNARTGKKVKVPRLVRMHSDEMEDVEAIGAGEICAMFGVECSSGDT FTDGSTTFSMTSMFVPEPVISLSIRPEGNETPNFSRALNRFQKEDPTFRVHVDSESQE TIISGMGELHLDIYVERMKREYNVACVTGKPRVAFRETITEESKFNYTHKKQSGGSGQ FGRVIGKLEPMEMDSDTNKDTAFENRIIGGNIPNQFIPAIEKGFQEALDRGLLTGHPI SGCKFVLEDGSAHSVDSNELAFRLAAIGAFREAFQKSKPVILEPVMTVEVVAPIEFQG NVIGALNQRKGTIVDTEVRDDEFTLTAEVALNDMFGYSSQLRGMTQGKGEFSMEYKNH QPVMPNVQKDMMEAFRKKQLSK
I206_00145	MAVPDHVNLLLLIELAAVVIGASAFLFYWNRLLGSIVAFFIRLY TWRSYNAYLVIGSLQIAPLAGRISFRDVEYHSSNVSVRALHGHITWRYWKFRIRHETD SESSNKKRNKLPCRITVFAEGVEGFVYNRTPAYDAIVERMKKHEREEAADAKDSSRTS NDSTVEPDSTLRSRFKKVVKTSSRGSATTKEPTSENGHADHQHPPDPDHHNVNLVKPA VKPVPEGVNWFREALPIDLRIVTGSVVLGSDATPMVLIGNFKRAEGTVEVTDSRSSLD LYKTSINLTFHDASVIMRTNVDYSGPLLAHGKKVYDELLKRQPDLTAKPPSTLSIFSG FHLLSKQFKFLHDPKFSTPPVAGLPTDRIWKGLARYREPENGEPRAPKREEREYAKVT TLLETHQLDLTYYADTPGLVPHRSEAPYIDDLDEVGNVDLPPEYGIDIVIHKGNVKYG PWADKQRFAIQRAFAPSLFFDSENRPRLRPGDTRMHTTFVLHLLLEDETIMRIPTREP SKDWQYDNVSTDVERRYGWLDVVVGPNSSISYTQDQVATKQGYDSMVILQLDSIGISS SVNLDTFINAKTCKLSMTMPTPLEWNAQRDWGMDITLDTASISLLRDHVTLISDLAKD WSSGATVGDYHHFVPNHYNFRVSLINYDLHLYINDYNIVDAPWSRDANAFMDVYGPRL DAYVAVTSTQYRPEMSTVPFSVSLSDARVELCVPKWDTHRAFGPEVLEIGKIGKLTAK GSYLYYAIPKPDLQETLDLHLEGKHVVFKALGWVLRRLFCVKDNYFGSFTQFRTMQEY LEKFDHDPNSVGDPVEEKYRPGRSNPFAVFVTMNVEESLILMSDEIYNCKKGLVIPVP QLQMNLKSVDHFMELSLDATPTYVIASSDLDKSYARGSCPSISETDTVFVEGIEVKAN RLFGPQPYATTYLCLWEVAIPKVTAFLGPELVSVLQAAGRSVGYTFSDHENSPSEIYV PKTPPDVTFLKVSLDEAAIILTSGDNAISLELPRGFSLDTSSLGTRSCSSIMGASLPL AAMNLLERGPRRSWQTVGTAKAGATIDIYKASEGWKEMVAQQQEFLRREDRETSRIWY MYQDSEPSFDQHVNGLYLPLPMIERPHDCRTAEDDESIISTSTSDLHQNESSSASSSA SEGDLTESQQVRLPNKRSRSYATARETPDSSSVGDESDTLSSATSMEGSVISEKTPAY GDMASALDSRLQAFHLAHHRHLTSLFQESTLTAHPDDSTIPFPNSMRSNDNGDVIRIS GKPIDLDLRPTCIGVLTRVLEGLSTGEKGFEKRLDALLVEQVSSVEGESKTTAPQVFD IRTPLINLRLSLGLSDNTTLSAKMAGLDCHLSHHAPRNKQQILDVKARMTSIAILATA SSVPNSDISLRDALNLPDLTSNENPVLKLNIDGLEVAAHQSQGLRVHTKLAHTEFDTV TSAAETLFKLLTPWQEALAHLNLHKPLSNLDAHVLYTILQKAMQNGYDTYLPAFAYER AYGLHVQDFRNIRTQTGWCILERFRDWYRRIPANQDLNLAIPLEQMANFVVQNLCRVE ETVHGAENIIREQHFIQSVFGQYVTEAAHTRPREKAMDLFLYSDQLKISHHGPALSSK AVLSSSVFLNKSSIGGSQVVGKAEERPLSQVQLVFAVQEIKTDISDSIIGLVQAALVH LPKNEPAPAAKTRKPMSEDHSTVIISDVQLGAIDLNARGGGLRFHLGTRHLHLTGLSK KSTRNSQASAHVSSKETLNAHCESVMISLLQLEDDPETLADRTIVCLQLEGLSTLIDQ INKTGKDQRPEFKLAFGLETLDFDSLPQLKALYSFVQAWKRNELPLYTSAVDDMRSVL AAKFLSSSVSTLEEPSFTSRLATADVSIAAAHLQIRAAKSLWLRWDFGKVYISHSDNN SSTRFAVHADPQVVGAYASVRKQKIKTTDSSTLRLPSIIAVGDLKTIGGSNYVTANVE LGFFTGVLKPVILDRLLSLHQQLAADVTEFVNDWRRDMTSAISKRHAKGVSIASTESA NSSSHKSKELLFNVQVGVAGLRLGLRADDVATTLLFEALAVKGTATNHLTDDHALHWR AKVDHFGLSLGHLGSQALSNDTEPIRNNRTAYMVLDAEVQEIPATAQMTSKLNVNLCR VHTVMHPEALSELADLLKSWMSDLHALRDHRSAEVAEVKVHTTKVLKRLESAEKVEHS EVSWFANRLVFVEVSGIGIAIPLVEGAAIGATVQSDNPALLYSIRMISFQNRRNETAR FKVQNMALQFINKFDQSSPEHFTGDFHESVNRMSLPSIDMEAQMSSQPDLWQLSAHCS ATDFKLSLSPDVADGIFKLVDLFHHGKERISKLEAQYKSEMANNPYETVSAKYDDPAS PVVTRPSQRILVRMSYTFNSGIVELHRGLSEIDKRTLNADLKKTRQWHDTVVLPTVSL WMDYTGPKTVTPGLDTDEGNDASLIFNAAVHESRNLLRPSILPFFVQLINRMQNRAKD KLATMNSSSQAQLDTVQASRSETPAQTISRTTMEKIKMKFSLRIDKSRLRLSCAPDSN AYVDLKWESGGFLASTTIGGNDVTTVAGTISGVTAYLRHEFAEEGRSCIEAGAKDMAF SIAHRPYNGKGQQKGLSIVVDTQLSGQFRLEQFSAWLTFAAVWIDTAPPLDLPPKSAI VDAASTPAPALAPIPIPHQQKLAIVALVRFRSIDFDANVGVTNAKLELTPIVLRTLSN GEFTEVDLDVGVTQLRAKGEISGELRSEHLNFHTSRQSSRSAHQDVPTVLSMAIDAGD LTGSLKLQELSVITFHLEPAVVRLADDWTAFNEDPTAQVNLSFTVQTGVFRSVARLLA IPALLNKVYSITNTFDSQERVASQRSNTYKSMKLRKSTEPSPMAAAIIHTARKAGQSL NPTTNIRTSQTMRFDLGGIELGIFNAPMSIETRGDFYRFMVGKCESDLKRRLTKEGLP KRDLSVMVSFVSWDTSDGRGAARDSAESAGVKDMIESASKHGRREIAWLPLVNMTMKS IEEPRPPIIVYDFDLVWGEGDGDIQILPYFFEQAYKTFDAFNKGLEQEQITKAKRRGE DMPIRRNTSTVNFDIRASAPTNVYSEITNGQTKGRINGLKNDAQLEDLSAEAENKDED ANEELRFRNRLEGQRPLPVPRLRLLGEGTRQAMDMLPRINEFSDQLPVMIHKGITSPL EDGMDL
I206_00146	MMNGRKTDSSPFEHLRLTVDAATLDAALGKRAVQAIDLDGTLIH SDEKTASDILTEQLERIWNEYPNGLLDMSEEKLERLPPDEDSVEKHDATEEKEKEQDP FKMMSRTDMDKLRSEVHEQLNSARNELWFVLELAKTLAVSSNFTAQPPAAPNQPAEAA SKKAKAKAAQKANEAGTKASLPTSVSQEPPILPAGTYSTTPSTQSAKPTHVQIHDLEL VLAAKQQALDECSALIDSAVSELQMMATAGDRFWRDVRKLKEGENGRNQWAVLPKPDF GRTMSAGEMAKDVIIPYAIDEAPQITRSRCLAAFDLDPTKEDALTFGSRSYLRLRATL KDVSGAVVGSSPTPGTDSSDVRAEMEAAQMEAFDEDLFGELRYEAAQMSKSELDSRAI ALPIAEYTLSFELYDTRTSSQTPTSPLCDLMVSSARLNLINLHKYRKTRLVRTVLKNT AAPTILKPILQGLRYRQLCNIVNATLTNFSNLFKTAGLESSHDNRLDPGQPLSDVVSG FIMGESDTATLQISHKLELPGCPGVKIEISAPFRTKVIFNNASFDLANPAQLPHVLAE EFASQLLHLVYAEIRDRLSSQNVSIKSGVFLDELEGTLHLGHLGYLRITIPPPFHNVI CNVDQNSATEESITSTGPNQSYDARRDGSLYIWLDGIAESLIASQ
I206_00147	MFFSIQTIALVASTGLLASQGASALAVEERSTSLCNQQYYGASG VPWNSNSSPGSFCSSNKPSNNKYWKQLPYSDGYDKVRCSGSSKSRYNVCGSGNKRTSL PKKCNPPHKFPWGYTSPKTSTSSAAPAKSSSAAPVASSAAVSSAAAVSSAAAVSSAAA VSSAAAVSSAAAVSSAVGAASSAAASPAASSAASSAAASPAASSAAASSNAATPVQAT SAAASPSASAAVDPLSYPVCETTYQVTYQNYTLVAPNGVWTGLTVGAAAQDASYMTYT LATTIDDCLAACDEIEGCTFVNTYYDVNESENYLPKHTDGVLTCAMFSQCVGTDENTN WGGQDDPNTIVQSNGYCKSAACGAPS
I206_00148	MAYHPASIGGSHIYNSHSQFDSSRIHPASIGSTFDPSKYPPIKM EEGNNIPTSPTKDILPSYQTTMNEKSPIEVYGERNDVEVESGKYDPESTGLVVGGGKS TIREKVNKLSKVKKAFLALAVVYFTFVLTHKAAGALAGGHHGHHKHHGIQPWREVAPG PFAPCDGLTHDQMPENFNIVPTVYGTALTLEGEQKTANATFSIPFNRRKGLNINFKGL EGNVIISRSDITSESRHSPSELIVESLFEGDVSGVEMKAGEWFDELTINSEDAIGHRI HLVLPSQKHRLPSLTISSTNSINFELATSAQDLIFKDLTLKSETGDINVPSLVGGKIN LDAVAGTIGGTYNVSQALVLKTVTGNIDAKVHVIAPWHGPHHRPPPPHKGKGKHGPKH EHDNDDDEEDHKHKSEHHDGSDDEDEEKKHEHHKRNKKHSKKGKKEHKKRKHHDHHKK DKRSWLSKWFGKFERPSHPHPPPPPPPRPAFIGAFSTTGSVNLTVLSQGNYTSSIIKA ISKTNNVSVQHAENFRGLYEISSFVGNYSLSIPEKYKDHHILEQFVGEKGGKEKGLVG FKRPKGQHSPENNQPPSKGHNLDKRDEGEDEMEDWIDIFQFSDVEEEIESTPAPPKGD HPPPPPPHKGPGGPGGPPPPPHKGPGGPPSPPHGPPGSPPPHGPPGKHHPPPPPPHGP PKGPGGPGGPGRPHGPPHLPPGHSRVLAHTDIGNVQLVL
I206_00149	MSDLIATKRVDLLAPYLALDQGSKIQAEYIWIDGEGGMRCKTMT LDKAPASVSDLKEWNFDGSSTGQAPGDNSDVFLRPVAFFKDPFRGGANILVLCECYDN DGTPNHSNYRASCKKVMDSAKEHEPWFGLEQEYTLFDADGQVFGWPKNGFPGPQGPYY CGVGAGKVFARDFIEAHYRACLYAGINISGINAEVMPAQWEFQVGPCEGIEMGDHLWM ARFLLLRIGEEWGIKPSLHPKPLKGDWNGAGCHSNYSTKEMRTPGKGMAAIEDAIKKL EKKHLEHIAVYGEDNDQRLTGKHETASMTSFSAGIANRGASIRIPRHVGAQGYGYLED RRPASNVDPYRVTSILVETTLLNN
I206_00150	MPPHSSHHHHSRYGALSGQQGVRGKPINQNLLDSIPSQDKMSYY DVPASPSASSSTSSDDSIDDAPRHLLTNSGNKLNPNARFMRRGKMFAWGPQYEDAKSD KLVRKRLKVCLQQILPEAATEVGAQPPQNIIDAETKRKTRKRKRGNESDFVLPHLRSP SPPMSTTKLAPMLALPRTYLDILISPSTRHTLGDDNMETGLQRTAGELLEGEKPLMQA LGRTRDVIRLLQADVPVVPKSEVSHPQNQANGDGQQADGDESANKTDQSNQLQASMRD PSHIPPLPHISDTDNLWRVTQELIGTTADRSMPPLPPPTITYSMTSSESIPPPSSSNP GTPEPVPTPLQRLFTCPDGITLTSVPNPAHPGMGYPIGHGLHPSTIKYNLDMTNQCRA VDDALERIGELLADCNEYKERLEEARDRVADVARLRKKVWSVIKERGGWELDRKESGK D
I206_00151	MLLANYASDSDSDAGSDIEASSSKISAPAPPLAPKPVASTGTVK APINKAKKPVKITLGLPKSSDHNGELVKDVQNGIDGDIDEEREVKKSKITGGKGSSSL LGMLPPPKRKLPQASTSKASSLKIKIPKPLLSSTKAAEAAGAFDDNDDDEDDDDKEKS LPPSLARKAQKKKEEESFDLFGLSSSTAEITLPSTSVSSSSTIKPPTISSAPLAPDYI PPEPTSNDPYPGYYKLPSGEWKAYDPEYYNSFFTSNNNQEEEEEDGRVGKHWKEFNDG QFKGNLLDVNATSGIAEARAQEQINNMAKRQKVNENEFEYKPIGQVKGLASQRHQLTS LLNTAYTQREELEDRIAQNKKNMRMAGTKYGF
I206_00153	MSTLPGVVILLNGYPGVGKSSVAKELLKILPNSKLLEYHSLRAI IDPLIDKEKEMDRWIEMKKSLLQTILKNLSLNNKSNNHNHNHNHNQQPLIYIFTSHLC ATPNRLSILHSHLFSKNLPLIHILLNCSTEINLRRLNSRSKKEEEGKKTLIDESLLYE LRMEEELGRFYDFNNSNSNSNSNLKENGLLGEFEIQTDNLMLNQTAFLISEYIIESLR EIGILIRLNPQTR
I206_00154	MTTLSQSRPPHSLQLGNENLLQQFLNNPISFSEPIHRQDLKVGD GLRVVKNGPPSPPSTKRSLNGSKKLILSQPGLKDEDNENESEEEEEDGLIKGKSNLPN GLDISDMQKQLPTPATTQPSSSNTLSAASPIKPNLAIQPQSQSLNKSSTLITPKKGYQ STINPDDLYQIPIDLSWPESISSIKRPAAGLNNPGMACYANATLQVLLHTPPVLSIAM EHEEEKCIRSSRHLFCMLCSLKGMATGSHWGKRRTYAPSVHSDLKNIKKGFSKMRQED THEFFRFVTDALQLSALAGKPKDLPEKIKHSSWVYKVWGGRVRSRVVCSRCQKPSDTF DWFLDLSLDVNKKGPKSINNMMNGFTREDRLEGDNKYHCDNCKAKANATKSFKIQETP PILTLHLKRFSVEYSRMGRVRANKFNDHIEFPEQLDVAAYMVDPKAAGTKYRLFGVTC HHGTELRHGHYTSYVKGPQNQWFHADDDDVSPVKLVHVLRSRSAYLLSYIRIDENAIP TRTPFSNGISNGNGIASTPSKIGEKRSRSESESEYESESDEENDEKINELKPRKSMNG PMNGNSKPMSIRKATPPLSKTISSPIKSRLIEEERNTQMPPELPSKFGSLLKNKSTPP KIIQPKPVHPQQFYGGDNKSPIQRIPGPPPDSDFIGSEDPSDPISSFSRSDRDREMSK KMKKKDRKAQSKRDKKNKSKGYSHSMLKDNPYKAGSLSRGSKASRWSNMGKK
I206_00155	MSLIALIPILALLALSSRVLAEKKIITPDSIRGLDPALYNKYEP NSSNTFQCLDGSKVIPYSAINDDYCDCPDGSDEPGTSACPNTYFYCKNEGHIPGKVLS SRVNDGICDPECCDGSDEWFTGVCFSQCSEIGKKYRAEEEIIRKTRKTGSKIRGTYIK WAQGEKNRIEADLEAKKKEVVEKEKEVERARIALEKTESSSKEELEKKKKSPIYKSLL DHRLTLTRLRSKTQRLENELNSLRSILEELAKGYNPNYQDMAVKAAVVGYEELSGISP TPGEESEGDVKEEPKEEEIKEWELDDLQRKDLEALLWEHSPADEEDGDEDEESGLLWK IDEYIPDSLYDSWETLRDLTIEWLIKLGLSGKSKIVKGSAAEGPHVAAAREKHRNLGN ELKKLNDAITDSEDTLQRMNTEFGPQAEWKKLDGTCVDKVSGDYTYEICFFGKTKQKS NKDGSSNYMGTFAEWNTAATPGTYDYYTKQLYNNGGRCWNGPLRSVQVDLSCGKENAL LSISEPEKCEYQFRVTTPALCWPEGEGEESDVKVEEDVKAEAIKEEL
I206_00156	MSIQLPKSSIPRRIFSSRSAIFAITRPRSKVIASTSTSTSTVTP LRIGSIDSSRSISTTRRRKYATEATFDPDSVERATDEVDVCIVGGGPAGLSAAIRLKQ LEQERGGDELRVVVLEKGGEVGAHILSGAVIETKALDELIPDWKELGAPLNQPALSDS MRFLTEKSSFPMPHPPQMNNKGNYIVSLSRFTAWLGEQAEALGVEVYPGFAGAKVLYT EDGKGVKGVVTGDVGLDKDGQPKDSYEPGMEFHSKVTLIAEGAHGSLSKEIQTKFNLR EGKDPQTYGLGIKEVWKVRDEVYEPGKVVHTLGWPLDYKTYGGSWLYHMEDNMVSLGL VVGLDYENPYLSPYKEFQRMKHHPFFANILKDGQCIAYGARALNEGGLQSIPKLNFPG GALIGCSAGFLNVPKIKGTHNAMKSGMLAAESAFESITKSASEQSEAEAESEIEQTPI DMSGYATAMENSWVYKELKEVRNLRPSFHNPLGLWGGMAYSGLDSLILRGRVPWTFRN KVEDYAATKKASQVKPINYPTPDGKLSFDILTSVSLTGTNHAENQPVHLRLPETQGSK AKHTEINVSDYAGLLGRVCPAAVYEYSDAEGSEVDAQGKKFVINSQNCIHCKTCSIKT PTQDIRWTVPEGGGGPKYSKSIV
I206_00157	MMFSSSRPMGHYSSPQERQKRLPINAEAYLDILNRLLEPLAIVQ GPMGLRTWLTEVQYFMSLMKQRSFSGRPLMPRERQVLVWYSARWRELRGGPCDMGRPE AQIVLIALGELARF
I206_00158	MHLLNLTLQAPSNITQAVVGSFSGAKGQEILAIRGGTKLEILKL NPATGQLDTICSTEAFGTVRNVLGFRLAGMTKDYILASSDSGRLSIIEFVVAPTPHFE SLYQEVYGKSGSRRVVPGQYLAVDPKGRSCLVGAAVDRSKLVYVLNRNSEGKLFPSSP LEAHRNHNLVTHIVGVDQGYDNPLYAALEMDYSESDEDPSGEAFENVQKYLTFYELDL GLNHVVRKWSEPTDRRANLLVQVPGGQNANSDRFDGPSGVLVCTEDHIIWKHMDVEAH RIPIPRRRNPLAQRGEKSRGLIIVAAVMHKIKGAFFFLMQSEDGDLYKVWIDHEGEDV KALKIKYFDTVPVANSLCILKSGYLFVASEFSDQNLYQFQALGDDDGEQEWSSTDYPD NGNVEGPLPFAFFNPRPLQNLLQVDTLSSLDPITDASVVNLLGPGSDTPQIYAACGRG PRSTFRTLKHGLDVSVLVSSPLPGVPTNVWTLKLTDEDEYDSYIVLSFPNGTLVLSIG ATIEEVNDTGFLSSGPTLAVQQLGDSGLLQVHPYGLRHIRGADRVDEWPAPPGQTIVA ATTNKRQVVIALSTAELVYFELDPEGSLSEYQDKKGLPGNATCLSIAEVPEGRRRTPF LAVGCDNQTVSVISLEPDSTLTTLSLQALTAPPSSICLAEIFDTSIDKNRATMFLNIG LANGVLLRTVVDPVDGSLSDTRLRFLGAKPPKLVRSTIHGQPSVMAFSSRTWLLYTYQ DMLQTQPLIYDTLEYAWNLSAAMCPDGLIGISGNTLRIFTIPKLGEKLKQDVLPLTYT PRKFISHPYNTIFYMIESDHRTYAPSAVEKIINEKQASGSRVDATVLTLPPNEFGRPR AGAGHWASLLRVLDPLTNESIATFDLDEDEAAFSIAIAYFERGGGEPFLVVGTGVKTT LAPRGCQEGYLRVYAIKEQGRVLEFLHKTKTDDVPLCLAAFQGFLLAGVGKSLRLYEM GKKALLRKCENNSFPTGVATINVQGARIIVGDMQESTFYCVYRSVPTRQLLIFADDTQ PRWLTCVTEVDYKTIVCGDKFGNIFLNRLDSGVSEKVDDDPTGATILHEKSFLMGAAH KTELLAHYNVGSIVTSITKIPLVAGGRDVLVYTTISGSVGALIPFVSMDDVEYMTTLE MHMRSQNVSLVGRDHLAYRGYYVPVKGVIDGDLCENFNLLPYSKQQAIAADLDADSVG EVLKKLEQMRTSSAF
I206_00159	MVYELDPLPRTDTPSDYTRFKFNPTNVGDKRIVGLLACQRDPLL RSLTTKIHSIKEASIKSPPPPKGKNKQKKGIPNENVKVEEIDKRKLYEIELLDTVIFP EGGGQPSDTGHIKSLQSGNNEEHHSFVIEGCLRKKLDSVHLVRVPPGQVINWKEGEEV EVHVDWERRVDHTSCHTSQHLLSAILDRMELPTLSWSMHAYPSLEPIYVELPRALTPE EAEQVEKECNDLIMQNNRIWVDISVQGQTPIEQTEQNGNDDDNDLTTLAERLKVNKGI PEDYEGGVIRHINIDRTDRNACCGTQFPSLSHVSLMHVIPPTTTSSSSTKLYFVAGPR AIRYLQQASRQLSAIAKIVGSGRADVIERLENNEKNRKELFDNVKDLKGELSNLIIEK SLSEDRNLNKGIIWIKRENEKSTHEFEFLGTISGSLIYTLAEEQNEDALIIITSTLHL SKTVSEAQTLVLISSKDDKLAKGVNETLKKGLGDRIKGGGARGRYMSKISGKWGKNED TVVQGLIDELRAGKE
I206_00161	MVFSPDTTNDLWQNGATRWLPAARIKSRVQIESCSTSLDFKVDR AMTEDGLQPVAEHEQLGDVFAKAAGNCCWELGKELD
I206_00162	MSPRPATVDVEVSRKRKDRDTEDSVLLSPGTPGSNSSFLMTPKF EAKKISMIDHLALKEENTIKDLGPGPLASIEGEEPFPWYKSTYDVSNFPSAGAQNPIT VFPVSYQTYQQPNTQNNNTAQQGQTSQGNETPNTLAAQQNNANSVQLDPTLSSIRSSV IPNSNGDQNPLIDPTLSTPEIRFPDAETLASATASPGRDGIPGDASTQAALAAVGGTP TLTAEDAAALLAPPQDSDTPIKKEQPFSRSPELRVSHKLAERKRRKEMKELFDELRDE LPSDRGMKASKWEILSKAIDHVRQLKASQEQMVREIDHLRREVDIARGGTGAYTHAYN TYNLTGTYPPQNTFSNTSTPAAQNTAQQSQQQVQQPQQTTQQLAQPQQQIQQQVQPQQ QTQQIQQPVQQQQTQQVESTDIQVDPTPVAQ
I206_00163	MKLASTEDIQAYNDATINGGIRGIMYGIGLSVPGFYLLNKRVAA YRSLPTPLKAAGYVMVIVPCISITAEKQGEAFTRSQYSGVAKLELDREAEIEHQRWQS LSSVQKLGDWAARHKYQLVGASWVGSLGAAWALVNRNKFQSTSQKVVQARMWAQGLTV GLLMVSALLTGFDSSKSEEPRAPHEDHTWKAILEADPHLNAEERKQLHEITQAVKERK DQLVQGASDAISTKKD
I206_00164	MIRTIPSLPIRSIRIKAPNSVTRYYSSYSVAVAGLTESQEEFRN VVHDFAQKEIAPRAEEIDKRNELPADIFPKLGEMGLLGVTVPEKWGGLGLGYLEHTIA MEELSRASASIALSYGAHSNLMVNQLNRWGTDKQKEKYLPKLLTGEHIGSLAMSEPNA GSDVVSMRTKAELKDGKWILNGSKCWITNAPVSSTFLIYAKSDPSVAPSKGMTAFLVE RGYKGFEVGEKLDKFGMRGSPTAELFFDNVEIPEENVLGKVGKGASVLMSGLDLERLV LSGGPLGIMQAALDLTLDYTHERQQFGKKIGTFQLMQGKLADMYTKLSASRSYVYAVA RACDAGKVSRQDCAGAILYSSDRAVEVAMEAQQCLGGNGYINDYPAGRLLRDSRLYTV GAGTQEIRRMLIGRGFNEVYEEADGRGIAQ
I206_00165	MSDKTFKIAVLPGDGIGPEVVDQALKVLSTISEYSNLSLDLQKY DFGGIAIDNHGVPLPDVTLNACKAADAVLMGSVGGPKWGVGPVRPEQGILKLRKELGL YANIRPASFASENLLKRSPLKEEVAKGTDIIVLRELIGGIYFGDRQETDANGVAWDQC TYSIPEVERITRVAAKIALAADPPLPITSVDKANVLATSRLWRKTVTELMAKEYPQLK LEHQLVDSAAMIMVANPRKLNGVLLTENLFGDILSDESSVIPGSLGLLPSASLAGAPD ANSTTMGLYEPIHGSAPDIAGQGIANPIGTILSAAMMLRYSLGKGKEASLIEKAVQKV LDSTDVGGYDFRTKDLGGDAKTEQVGDKVVEALKELLKQ
I206_00166	MVLSYSAIAKPAANTPNVISIASRPIATTSAEVDQTQDAGSSSS PQDGADDTLIPSAEASTSNASPSTSIIQHLILDAGPLLSLTPLRHLATSFHTTPMVLA ELRDPKAREHWERLGLTGVEVTVEQPTSQSMAAVTAFAKKTGDFAVLSQTDLSVAALT WQYEVQVNGIEGIRTEPGQKITKKPTTVIDKQEKQSAVKEDVIESEEEDEEDHLEEVE AITQSIEQVLLEPKPELTPENSAPVETESSPRVAQPQQADEENSIVQDIDDESDGGEW ITPSNLTTHRSRDLGLITPGGSGAKPPNVAAMTGDFAVQNILLGMGLGLVGEGGKRIS KVKSFVLRCHACFKICKDSSKRFCPSCGNATLMRTTVSIDSKTGKQNIHLKKNFQYHL RGTKYSIPDAKMGKAKGQQKGGSGLILREDQQEWSDAVRIQDREKAKEDKRRAKGALE GWNDPDWLPEIISVGTAGQGRSNGNNMPTIGHGRKNPNQARRKR
I206_00167	MIGFALQYLLYLLSLIFFSYLATVFLSIEHLRNFEFGETCSPIP LTPTNEAEHEQSVEDEDEITHGPQDQHDQDEGLHQGYQLDFQFHISGQPTLSPSPSPF LSACHIPKQLLSQSSSSTRTKDVFSRQPCPPLRFSSSHSTSVAFRQHRIPPTIKSDMG ETCSISILPEAPPSPDKEKIFSEPLSLMSYQSFETLIQRPHARELFTTVPLPIHRMRK NNENHPKEATEPSIDSPGDKAAGPSRKKPSNVTLKPALRMRSPTPPLQAILNSYNCSS SPTPKPSSNPDSPLPAKPSAKGSGKRRASQQNEDYESEKSSKRRRGLTSDTEGTNPCD VAYSKKTPLTSTLKDNVKVLSAWMNPSANKRDSTTRRSTSPLEDRSNGTRKRRKTGGE SQILILDSETEAPVTPSRSRIRTPDLSVSPAESDGTILATPPEPEVDRLEHGEQITMA SSPRSGLLNPAFPSPSTSATSIDRRSRRKGPPPPLVLTSTADNDTPLPSPSQSTSDHV TTQDHQMSSRVASLVHRGLLDTTSPTTVMFEQVAPDDGADVDSQWNNEPSASRESFRT RKHNRCLRSLSFVVAMVNWTQQLPTQSHSLVHLQQQRFNLIPELHKKVLKYPIRQDLI SLQPSPPEKPGHPELPISISPLAGGCRQAKRALDPRYWSPWPRQLVRRDISPNSYSYA EMRVMDDAGKANAARVVDCKRILRTKWESNLVNRHCRRVYRLRRKLYGVGEPHEQQLF EVALRASLNGAISGEYSPQERKLVKAQKDLDIIERTAIRNFEETVPSRIRRIVCSTYL PERSVVGQEPVFAPTGPWAMPISNNPISHDDRVSVCLPGLHGRLPKIVLVPPTPCAGE LSSVYFDKQPKNEFLLRPAEIPTPILSMDETEPVDRAWSDVELQEDDNEDSEGSLATS EISSDLEDLEDIPEEADAEAYAAVAELFPEESEADPEPYRHQEPESYERLVMPESFER TTSNADDAEAVYEGALSSAYPLEISPDDDHLDTLSSYDQQRLDILAESARTPILDHQN SEAVSAESLEKDERDLINDGAVDVISKCQVKNRAKRGLMVRFMHLSDKEDKPVEEAAP VIQAFIYVPSAVEDCQVPNAQTSEVTSSNKSQPAPTFTLEPNPSIFPTIPTAESNMSS DEFSDSQDLTTDVANGTPVTALNHLSRSRPDDLTHLARPSSNSRTSRPAPVHTGPTHA FDRAVTRSRTLSSIPASTRRRERGKGVAKGSLGRLWEDHKQNINPAPRSDNASGGGEL ERQLDLA
I206_00168	MSRGPTASSQETLTIGQSPPSAVESVPNISLISSLSQFHEEMSH RSPMHSQPSSARLPASSPQPQEIDLEAGWGFEPGVEISALSSGNSLWHIAAPLLLPVK KTQLFSPGSSFDRSAQSITACCEEINMIEPDKWGSVNKGAFGQPKRSSDLMWGTNTPT VYLHPTISAASPCEETEEATMEEQSINDLPFGSVIFSNQALLYNHHTAAHVRGNYGET SLSKLESSAGPPDFIGDSSMETASSPYGFSDTIQLSITCSQLLSPQSCYQRPQYNQSS VQSNQEYQLRDPDEYDGDSDEENAYNEDKPEEAEIPYKHPIPVDEIVEDLLALSITEA PIEEQEKEISNLRSSPKRTKSTEPSCSKESQEAQVDRSGVFNTETSKPEESSSKSIND TPRSGGSIFPCTDQDLPDSFLKDEVAIPGMGPPPCKGGDQMWHMDVVEWLNSFDYSEL NDLAQTLSPALIDFEFFPASTTSP
I206_00169	MESGSPILTPVSTTLNSPPPLSSAGLSDRISYLSDPNTSYPRLS TLIPNPSGYLAFFSRHSENTSDDEGFNRSSSFRAWKYLRSIKKRLRSYNATLRNKSTK SQASRFAQEYQKPPVGFKLDYAKKEHGGIKTERRYQDRRSKGSHIRCLNKLLCSSEIR SNSAIRGQMTSPIVLVSSKNDPYHNSSFAAGMEETDHCHTHLRKRLSPTLKNQHDNPP TTLLKKVNIGEVKLNDLQSGTGYFQPAHARILDSRAGTASSSTRRVPTQKSVHPALSS GSERHGKVRTSKGIIPKDGYHINGTVVDSSEEAVQTPVVGYPRSRLASSDTARQTSLI LNPNEYEYLLKLQGLTSKHDTEPQLLASSDDKGSSHRSDLSESDMNSSFSSSSSTARP IIHGDTTLDNETPTYSSFFTYDPLPAQIRTHGLHVNFSTRQSSTISLSDSSNDQLISS TEGDVNRYQEHIRRSAVKQYNDSPSPAPKSETLSGVSPRVSRMDETTIEDGSVIDEHD EQERYI
I206_00170	MISRQIAQLKRCEIIPESAVKELCQKAKEILMEEGNVQYVDSPV TICGDIHGQFFDMMELFKIGGFCPETNYIFMGDFVDRGFHSVETFLLLLLLKVRYPDR ITLIRGNHESRQITQVYGFYDECQRKYGSSNVWRYCCDVFDYLSLGCVVDGRVFCVHG GLSPNVTRLDHIRVIDRRQEVPHEGPMCDLLWSDPDDISGWGMSPRGAGFLFGDDVVR QFNHENDIELIARAHQLVMEGYKLMFDRRIVTVWSAPNYCYRCGNTASVLELDENLRQ EYKVFDAAPQDARSIPQKRPMMHEYFL
I206_00171	MSSSAFLRLVNKRQVLRTGSILVSHLSISIKRPYNIKEVIVYHG AGSSAIRSISTSSIINKVKQDEKLNLSSEEYLDLPPSTMRVKYLNGIKKDYEAGKFNS FFNLEYDNLTINTNDLLNALRDMQSWRQLTPATSKSSDFRDALRCISLLSGPDGGKSK PFLKLKEIESVLSDENGVTWRLIRNLIEDGLVPGLEALDAKWKSMVMFNRIHGFGKVK AKDYVDNGARTLDDLLNAKDKEYGRKVSEAQKLAIKYHKEMDLMIPRSEVGEFEKIIR DTLAKVDPTIGFEIMGSYRRGEFVSSDIDMVVWHKSYPKRDREEKSKKGGYAKNSLMS KVMNALIDEGLIHEEKLFSRGKYRICEKKVLALTKLPGENSIHRQIDIRLCPLESLPY MLLGNTGDDRLMKTLRYRAIQKGWVLNEYAMGERVEGSNGIWVKEGGEIIVNSEKEIF EKLELPYLEVCDFILYP
I206_00172	MTGVLNGNGPSAELAPGHFLFTSESVGEGHPDKICDQVSDAILD ACLAQDPFSKVACETAAKTGMIMVFGEITTKAQVDYQKVVRDTIKQIGYDSSDKGFDY KTCNVLVAIEQQSPDIAQGLDHGALEKIGAGDQGIMFGYATDETPEMMPLTIMLSHKL NAAMAAARRDGSLGWLRPDSKTQVTVEYKKGEDGAMIPIRVDTVVISTQHAEEITTED LRSEILEKIIKKVIPANLLDDKVIYHIQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWG AHGGGAFSGKDWSKVDRSAAYTARWIAKSLVAAGLARRALVQLSYAIGVAEPLSIFVD TYGTGKKTDAELVQIVRQNFDLRPGLIVKALNLQQPQYLKTAAYGHFGNPAYSWEQPK QLNF
I206_00173	MAPTLTGAAGNARARRVRATAALAGVELNWDSSFDMKSNWKTEE FLSKNPFGFLPTLELEDGTVLRESGAISEYLAEVGSNSTLIPSDPLQKAQVHQWQATA DQELFIPGSLANRQLMGVLPYNKPNFDGIVKKINERLSVIDSILITKTFLVGERITIA DIFVASALAQIFATIVDADARVKIPNVLRHFETIVNHPKLLEIFNPVNLIEKVTPPSA PAKETKPKAEKAPKEAKAPKAPKAKEADEEEEEPLVPAEPKVKNPLDDLPKSAFNLEE WKRQYSNLDTRGSGGSLEWFYEKFDREGFSIYRVDFKYNEELTQVFMSSNQVGGFFNR LEASRKYLFGSVGVLGKANDSIISGAVIIRGQDIEPVINVAPDWESYSYKKLDVDGNA DDKAFFEGAMAWDLVIDGKEWADGKNFK
I206_00174	MDSSLKPKVSIPTQRHLDLQEELLKNALNLPLEYKIDLVNKLIW SLPRNEISNLNEKLNGVLQRDIIGSLPPELGFLILSKLNFEDLLNCSLVSRKWRNICE EQALWALLCANHIPPIRSSNPSWSDISTARSILLQSKNINSEKEEEEEEEYDNDRFYN SGGMGGGGGLDPLIMKSGLRRNVWERQGLNFVDSSLPKHIIQFNSLKIESELESINQN QIKKDISPIKSYLNIPSIKPKVNFKHLFIINQIIKNRLLFNNNNCNDDENKNLIKPKT IDTFSSIKNGGLPGHSEAIYSLTLINHEMKFNLNQNCKECYNKPSKNSFTNLENQNEN QFDFNSTLNFNINNNNLLPKNIVKGKEWLLSGSRDKTLRLWYIDNQEPPKVIKIFKGG HSGSVLTHAIVKINLFSEKDEKKSISSNNNKGINNLTSFEENLKIKQVKLIAISGGSD GKICLWNIEGSSFPEKVIKAHEDSVLCVRADERYVVSCSKDKTIKLFDIQTLEEKLVI GPSNGIEDDDQFHRGAVNAVGLSEDYIISASGDKTLRVWSIYDGQLLLTIEAHSRGIA SIDFSTALTSCVPILNENEKWKGSIVTGASDASIKTFQLIEKKIKISNHLEENMNLNQ DQNHSISTSSLNSFSMDQNSGNVKITYQAITNKGTIISMKEDYEMFSPCICPPGLHRP PLEFINLNNNNSRCKRCGNKGHTELIRTVNLNEKIIISGSYDSKVKVWDRLTGKLLIN LSNSHTGRIFSVISDKFKIISSGLDCRINIWNFAYNLDTSFIEQDPLH
I206_00175	MRSWGSFYEGTSADNEEQQPIASSSRSPAANEPTIDNKTEYMNL KRSKWEDNEEAGPSSPTSSVPAIKRRLVRPKKLRRPEEITSESVPSSSASPETGDSNL ASQEQDQPPILQPNAIAPSLPSPIHRPHRPSPPPRQPPKRIPTRSKYAPSRSSHPPLI SCRSVFNYTRLNHIEEGTYGVVFRARCNDTKQIYALKKLKLDEEKQGFPITSLREVMA LMQAGEHPNVVGVREIVVGDTLNQVFIVMPFIEHDLKTLIADMPHPFLQSEVKTIMSQ LLSAVGHCHANWILHRDLKTSNLLMNNRGQIKVADFGLARKFGDPLGEMTQLVVTLWY RSPELLLGAKEYTTAVDLWSIGCIFAELMQGEALFPGRGEIDQITKIFHLLGRPNDEL WPDHSSLPLVQKLNPIGPMFSTLRQKFKHLSYEGHNLLSSLLAYDPERRISAEEGVKH PYFFENPLPKHPDLFSSFPSQAAGERRHKSLISPSAPIRMDRIAKDNLEDLESFV
I206_00176	MPGSVRPRPSSPSADRAPASKKSKAAGDATSNLHESINLPTQQQ IQSYREGYNQATPYKYAAIEGLLSDDLLEAVVEESWTFGVRGEEGSHPGWGWEQKETD IYSIQQTPDLSSLDPAHLPDETLEALPMTTRLKNALYSQEFRNLVRDVTGCGPLSGRK TDLSAGLYTAGSHLLLHDDSISTRLISYILYLPNSPLDAPKSETELTTSASGKFLKGW DPKWGGSLELFPVENGEEVGPPGTKRVAKVDVKWGQIVFFEVQPGRSYHSVEEVIIDE GRQRMGVSGWFHRPTKGEEGYEPLDREKLKAELSSLAQITAAPSIPLTPYTSDPPAGL KSSDLTFLADFIAPSYLTVSTLEKLSGQFAEASEIVLHNFLKSDLAAQLKSETQNVDK RDYPNNLIPAQDLGEGDGWIIQGPASKHRYLNITGTSSSTPIFQSIRQRLFTSEAFRS WLSVVSSLAPLGYRAEGRRFRKGLDYTLASGEDGKGEARLDVSFGATWWADVPSGSDE EEKLVDHGGWECYLAAPDEGEDPAVYQSSHAKKLAKLEAEEHRGVRPVESVAPNQQKA EDHEPHPVEAATATGTGNHHSNHHHKPHPVEAATADKDTNGNDGPSISINGTELEFDP DQFSPSDFDSDSEMGDDDDGPLLTQPVSFNKLLLVLRDPGVMKFTKYLSARAEGSRWD VSGEWEIGVMEEEGEAENGDEVMA
I206_00178	MTNQTSNTKKTFISLLIASSIASTSASSHLPQIDRQARHRDLSQ RRHILGERDAAAVPGGFKIVGDSGVSAQMMFLGTEKTVYILDKAENNSMLVTNSDGLT HPAWGTTYDLSSNQATAMQVSSNTFCAAGLPIANGSWVVFGGNQPVTYQGVATKDAGN ANPYLNTDGGAAVRLLTPCDDGSCAWQEGGDALTMSGKRWYPSVEILGDGSLIVLGGD TNGGYVSTTVQNNPTYEYWPKQSSGGIHMDFLAATVPVNLFPLTYLLPGGKLFMQAAY KTILYDMDAQQEIALPDMPYAVRVYPASAATAMLALTPANNYTVSLLFCGGSSAPFNK SSDGGAGFNVTAVPADDSCVRISPEDANPQYEDDDSMPEGRSMGNFIWLPDGTLWMGN GVNMGTAGYGDERYSIGQSYGQAPLYQPAIYNPNAAKGSRWSRDGLGESVQERMYHSS AILLPDSSVLISGSNPNKDVTFEQWGTSYEVEQWYPLWYNQARPAPTSEFPSTLTYGG QAWNLTYTPSNTSSNPSNAKVVVIRTGFSTHAINFGQRYLELETSYTQNSDSGEITLH VSQMPPNANIFQPGPAMVFLTVDGVASQGKLIMIGSGQIEKQPILQASVLPASSTVSP STEAAASSSSSNSSSSDSSSGSSNQNTAAKSSATAKASAGLKLPFTLIGGILALASTL LI
I206_00179	MSAVHEIAISGFGEGTNDLYDRARPSYPPQALQKIYEIILESSP DIKKGWKILEPGSGTGIFTKLLIEPPPPHSIPNPTPTQSQNSSTINENQKYPNFPINT LISIEPSEGMRNQWKKSIFDKILSHHSNELNNNKNIKVVNGNFEDFNENELKKIGINN NEIDSIIIAQAWHWCQNSENALKEIASYLKSNSPLILIWNLESFQPEWQKKLRLKYQN FDKGSPQYYKGLWKEMYNTNSFKELFKEKIEWSTKWFIGMTENQLIDRLFSKSYLTSA HLSSKDRENLEIELRNIIKSAPHEWIDKEVSIISNSL
I206_00180	MTTQPARTTNGDVPTASTEKTTPSTSAPPRVVLSGKTGRILCVA DIRGDYHELNRLIKEHEATAVIHTGDFGFMNEDSVDRMGDRILRHLITYSPLISSGTR TQLLSIPSAKDTEPDRKALIDQLNNSSVHFPLSQFPHLLSGAINFPVPVFTVWGLIED VRVLEKFRTGEYEVNNLHIIDEATSKVVDVGGLRLRLFGLGGAVTPHKMCEGYATIAG GSGTMWATALQMGELIDTAHRVYDASETRLFISSAPSRNGLISLIANALKADLTISGG LHFRYPVSFNEFSVHERYDHYQQKLASASNHFQEVYDAVRDRVDSSMNEQQQALLKKI SSAVTNIPKETDQTWTNTWNWILSDASCGHMVLSVTDSRVSAETKTSGLNFAHRTGQG LSLPSAPVTASTAGTIAGTTRAPDAPKTIAPTRAPIGPGSAPSKPGQPSAAPPTGPNS FKNGLNRNNSSRPLAPNATTASPAPVSQSSAPATNNASSSIPVKPPTQPRGGARGTGS ATAAGSAFQAVTTVKDKIVDAVKPNSAPTKPPPAGSAKSSATTASTKPTAATLGAKEE TKKSSPATNGASANGNGNNSSEVANTSTESKEGTNAKPAHGREGSGEARPKKPSLYLK GLPIPTTEEEIKGLFKDQADKIVQVKIIQDKFTNKQKDFGYVDFDTDEEMNAALKSAE GAKIKESAITISVSNPPSRFSESTFRGRGRGGPSFRGSRGRGFGSIGGGLGRKDSEDA KESKGAVEKKE
I206_00181	MGKIRSFKFGASSSTAQPTAPSLSVTNPTPGSTPNTAAAPFSFG NPSTTSQPAAGSTSSSGGLFGNLGQNQNQQQQPQAGVTGTSTGGSSLFGNFGAKPNTS TPASGGGLFGNLGGTQNQQSNTGGTGGLFGSTSTQTQQPTTGGGLFGSTTQQPNTSGG GLFGSTSNQPQQGGSGLFSSTNPQTTNTGGGLFGSTSNLQQQQQPPQGGGLFGSTSQP QQSTSSLFGQAGQPTSSSLFGQTAPTQQQQSTLQTSANGQNNNGMSKSTKFSDLPENV QKYIEQMDSAIKTQKAQGSGMNIEGLGRAIWQTSLDVKAANEEYSAISHTLKSLSTSL TQLREKMINEGRDVERVKEIWDVYRSAEGRMGQVRLGAYRDFPHERVEFSLFFAKIAD QMEERVGRYKKTISQLNRAISSLTSEAHKSSPQAIAQTIQNHQQAMLALAAQLDGLQL RMNGLRSAFAEEWREKTGSVRDPFEIAREEKAVKA
I206_00182	MPIDVTPTPIHPHSLPPVNYHSGWSPGFTSGPTRLINILPRTNN NHIPGSILPNGLRNPWSHYSGETYIPSSESISNLNLNLQNASAASNGSIITERGGGGG RSIISPTYIGSNVNGGGCGGGYREDEGWNGSLGRNHLKHLPDFSNLGSIGPTHSNAGG GWISSSYENHRSMTPTIISGLQNNEMIHSPYGQNHAYIQPDQRNRIRRSRKNSKGVEG CEECMSSRGRKVSFSSVEPSPLRQVYEVRTR
I206_00183	MSKGTRFLTLAIPSIILYLLALFHILPIPIFSQEIADQILPVLP FWLLVSFGSYSLYSLGLGLVQFHDTPEAYESLLREISQAKDELRNYGVSVD
I206_00184	MKSINRWIYGPTPEEKVREWQRKLKTQERQLDREIRNLEVATSK SRIELKQVAKKNDVKSAKILAKEIVRANKQRDRLESSKARVRSVGMQLQHQLSMVKVT GAFQKSTEIMKTTNQLVKLPQLSATMREMSMEMMKSGIMEEMMEETLDSVNDDEELEE EADAEVDKVLFELTDGKLGQAGKVGDALPENKEDEEESEEEMRRMRREMQELLG
I206_00185	MDKIVRWLSLYIRLSADWRYSGFSFVLQQGKDYSVGRDDSSEIK FESKQVRPKEGTLVVGDWNALQPNKAPVLKWKNEPKKSGAYGVIRTLTPTDTADVGSF DREDYVVDEIADSQGCFLEKESVCGIKISEGLWFTAEWREFSIQYDKMKDESAEVRQL LTQYCIAWTQTFDTSSRPTLVLSALYRSNVECNYAVCFGIRILTPSYLHAFISRLKAC WKKMADSQDSFALPDRDAEAFQPEFDNALPTSRKDPQVWLPDEKRETLFKGWKFLGLR ARTPPAEKRYLLAMGADYQDMDIVTKPLANAQDFADRISSWLSHVDGNGGRELAGVVW FAPVKQKYEEKGINYASVVIATCQRLGVYHTHGGILWGSVNKGGVMDYLFAVASNLPR NTNPHKDDRIPSSVASTQATIPAVPGHALSSQAAPGPATSQMGMSARPDFIPSTFPDE TENRLRRSPSPSSRPSRLQRRSRQATSPLPKEKTPEPGPSAPAKKPLRRRANRPVDFT QIPDSPPQSHENTEDENSQLSQPLFSQHSMVPDSMPALSQMTGIPTTQRTQTQSMVPD SFPPSQSIAPGKSSKLKRRAGGAQPSLIEEIADTSINLEQSFKDEEKADGIRQLYEQT KTGSFAPSLAKRPRHAQRGSAESDSVVMPGSVPMDIDDTVERSSRSSKRAASPSGAMP PPAQRRRAESPTEETEEIEAGPQAGQGSPVKLKSQQAKSQMPTTNKDKDEAFLQAIKK SAKARSTVDELDKEFNQLRIPKPNGTSAVVKANQWNASVPDYAIVNGFDEELKGNFIQ IVRKDLFRKDQGVNKEVQRVDDGKPNFKKFKKKNIVRREPMQLALAAPTIQDAELGEP YWPTQSANRSRKGGRTQATQMDDDDVDMPLLPRARKRLLGTQVNQDEDEPPSTIRLKG RQKSSVPETQQSQLSTQMPIVTRKTRAQSVLSEAESVNSVATRSIRGGPIAKRGKNKK EAVVLEDSQEEEQDEDGVDWGLNASNSNSTRLRSGKESSTQARTINTGTKTLQGDEPP SISIRQRNVNTQSNSGMGTRGTQASQRRRLLPADDDDEVAFKGLGKKRRLL
I206_00186	MSQSNGHASSSANPNAPVRPASLLKASDALSYLDEYPRGDGLSL HELMDSRKNGGLTYNDFLMLPGHIDFPASVVSLQSKVTKNISLNSPFLSSPMDTVTED RMAIALALHGGLGIIHHNCSAEDQAAMVRKVKKYENGFITDPVCLKPDNTVGDVLDIK ARFGFCGVPITETGKMNGKLVGIVTGRDVQFQDSEAPVRSVMTTDIVTGSAGITLEQA NNLLRDSKKGKLPIIDSNGNLVSLVARSDLLKNQNYPLASKVPESKQLYCGAAIGTRP GDRDRLKLLVEAGLDVVVLDSSQGNSIFQIEFIKWIKSTFPKLDVIAGNVVTREQAAQ LIVAGADGLRIGMGSGSICITQEVMAVGRPQGTAVYAVSEFASRFGVPTIADGGIGNI GHIAKALSLGASAVMMGGMLAGTTESPGEYFYHEGKRVKVYRGMGSIEAMEHTQRGSV ASKNAILSTAADNAATARYFSETDSVKVAQGVSGDVADKGSLSKFIPYLYTGLQHSLQ DSGVKSVVDLQNGCRAGTVRFELRTASAQLEGGVHGLNSYTKRLFA
I206_00187	MSTTQRLRDPTHPASLCKLSTHNPTLVHSLKNRVRPEFFAHVAE KTSEVIKIAPAEDADVNMLSPPTTPTKEQYVDLNGKPVEWWQAPKGEEDDCNADLPEL SEFIRGLVVQSNVQMPTLSVTLAYLERLKEKLPTVATGMKCTRHRVFLAVLICAAKYL NDSSPKNMHWQKYGRFFSLAEVNLMEKQLLYLLDYNLRVEEPELIEHLRDFWNPAPVA QVPVIKAVPMSTVEARMPSPPLTPTDLRVNVNLPGPSKSTFIPPPQSVEQSLPTSQVA AISSWTARTSQALARSRSDDAFRSSPVARRPSSYASSSSLAPSPAGYMYLDAPTPALA RRDSCDSSSSISTTPGEAWAGSNYGSLSAAIVHSSSNGQLSVSKPGLPRKASYTAKPG SGNILIVDTAQAQLSTSPASVTTSPTRDLFKKIRPPTSLRSIRRHVQI
I206_00188	MQGTLLGNESETRPLLNPNGPAADYSTNPRSNRQNATIEEEEDS SINKFDISKKTVTPLPKFQLFIACFVRVTEPIAFMACFPFINQMILELGIVDDPRKTG FYAGLIESIFAFAELLTVFQWGKASDRWGRKPVLLIGCAGAATSSILFGFSTTLPMMI LTRTINGLCNGNVAVLKSVISELSDETNQSVAFSFFPLSMAIGTILASSIGGYFPHFA TRYPSIADKLPFIRRYPYCLPSLVAAAFPLISGIVAFFFMKETLPPKKQIKPKITTSG YQSVQGEDVEEHDDEDEEEAAVGFRGLLTADINKLMASFGLLQLQGICFLGLLPLFCF TPIEAGGLSFKESQIGLAMSIRGVATILVQLIAFPFLQKRVGTVRLYKFLVFLFMPAF VILPITNIFALKHQTWAIWTGLAASMGLYSIGNMAFACNLIMVNDAAPNRRSLGAING LSQAVSSLMRAIGPGSASALFALSVNRHLLGGHLIWLVLGCLSVISIIAAMILKNDYR KKSI
I206_00189	MPIPITRTKRHTPKFRPSYPKISKQIDIQVILERKMSAPPKIAF TDPFNGSTSVLIPPVDYISPSAFHTPKIEDSPTYKVDYVLPFHAVKHINDTKRSSQFM PTKEDNAALPVSRFSGEEDEEPLLSQEMDTYPIVGNEGSDAGFETSNLVNMADPLDGL GRER
I206_00190	MRFTKSAITALFVAVLATAAPAPVPAGGVGVRPNDTAPEYHTMT DFDFQSLNLALNQEWIELDLFNYGVRTFSVEDFAAAGINEEDISLIQFMANQEVGHAT LLTNILSAYGRTPAKQCTYAYDFYTVRDFVNFCQRLTRWGESGVYGFLPHLDSRPSAQ LLLQSISTEARQQMAFRQLAGAFPMPVYFETGISQAMSWSLLQKYLISCPAENPHIEW PIFPALNVTNDANLLVDGYNAAISHNRTSLTEPGRKVELTWEAPMGNVSYNGLYNTTI GGNVTDPTAPKYVAWISQLNATYTEFNQTGNYTGYTFQPGGVVYNNTDDGIVNGTMFI ALTDSNPYVTPYNLSLLNDVIIAWGLYQAD
I206_00191	MYTGTHQRTGSNPRTYGAQDITSLNGRRDDGASQSMYSGSGDDG YNAYSHAGYGGMSSVGGYAGYSSGMSLGGYPATSSSPSAPSGSRRTSGPKLATPPQAS TTTANPSPSSRYYPSAQAQPADQSPYQPSSAPPQVSSHHHSSLSGQPQTAHPYPGQYQ HYYDQQGHQNSSQWASYPSASAHSYASQSAAPRNNASQATTTPTMPQSATVGDTHHRS SASSGLYDYPTASSGYQSWPTHEQSRSTSGQQQAWQQQASASSRQSQTQSAQPAPMPQ GAWQGYGNHPSMSHTMPPQHMANPQYGWQPQWNGQQYGYPPAPVHTQSGHQAGQALPA TSSAAPLYPKEKKPKKEKKEKPPKAEKPPKEPKAPKPPKRAKHEIPEGYAGLGKRLME SSTEEEVEVKKDGRGRKKGKKDEKEKEKVPKAHPKSHLHPPRQAQSAWQLFFTDELNK AKAAASQGNSPGGTPHHAKLNVAQIAKDAGIAYATLGEDQKKYYAQKVQESKEQYIIE LAAWQATLTPEDIKAENAFRAQQRKEGKSRKGNLKDPNAPKKPLSAYFLFLKGIREND DIRAKVWGEESETTKQSVLAAEKWRSLSDDEKKPYLQQAENDKQEYEAARKIYEDEAA ARARGEDVPRRPPAIPESSTHPAPAPTSLLRDDVKAYNPADHTSSDPVKPSSPAGEGV PSSDNNFAGFESNPDHPGSSDHTPAHGSGDFELDDFKGFTDPLQDMDLAGLEGITTNT ADNNEPQWDELQKLMGTTDDGYDNSSAEDKPAAAEIQGETSDVAGVSIAPSLEEAQAS NDAQNVGALQSEAQVQDLATQAEGVSVVPQTLPQTNQEGSGVPTEEVNELPPAGVPIA DDADVPTEVPTALIGQENAQPTTDVLGSGPVVDGV
I206_00192	MSDYIHSQEHSNDVTITQDNIVPLEQREESNHVVPRLSTIASST ATLCMTDTEYDEVKPDAKDKEVLNSTGKANGIEQSTDTCQLQRSQSISTTYNAHTNRT HNLAPRNRSQTIAQPAGRRKVSLRRSSTLDDGPPPPIPAPGDVIAVLDPASVGGGGPL KRVETARSERYEQEAAERLQREANGSPRPNSGSEGILRRFRSASNTTTKRPIFNVPLG LHDHHEHDDEENSLSGYKLKFHKPTLTPTHSRKSSAVNSLAASEDGKEGGHTSTDSEK GQGQNHGDIEIQQQINFEDHVYPDGGYGWIVLISCVVLAGCTMGWNMNYGVFQEYYAS NVFSNTKTSILILPGCLNGFMMNFSAFLSGRMGDRYGFNRVLYASAGIFWLGLFLASW STKLWQLIFTQGVIAGFGQGLALPLFMSLPSQWFYRRRGLASGIAIGGAGLGGGTITL VARQLLSTVGYKKTLLILSFVELFFMLLATFFLRTRPTSPEARSGKTAPWVDKEVVKT GAFWSIMIGTVVGTTGYAMPFAFLAQYVRANFPISDPILLALPTTLLAYTLCIGRALV GFVADKIGPLNTYILVFVISGIIQFALWLTAKTFGGVLAFAVMFGLIAPGFSGILPQI IVQLFGPANLATNVGLILLSGAPGNLINGPIGGGIYDSTNRTTFKYTIIFGGAMQIAG GIIACYGKFQIHSPLIKSELN
I206_00193	MSSSFWSSSHCLHWLITRPALLISRHLDLQYCTPKQLYCLHIFF TQLIQKLGKRLLLRQIPIATACTFFKRFYLKNSICETNPYLVLAACVFVAAKVEETPV HIKSVVSEAKVVFNEYNIKLFPAETNKLGEMEFYLLEDLDFHLVIFHPYRALLHITGR EPADSGKFPLSRTEEDQRFKKKELETRKKKDEEIRKSNLNTVGNKPSPGVGLVNGNKD VNEDDENQLEAKRIRRLMGRGSTEGIGEVDEGVLQISWFILNDTYRTDVHLLYPPYII AISAIYVAFCLTSMNSSSASRTRTSSSSSQLNSVQSSTTINEQLGLDPPPNSASNFLA GFQVNLNILFACVQDIIRLYSIWESFEPTSMRNTNNPQNQQQNHLKSVLGGASMTGTE DGIDGKKEKFGFEEAEVLVRKMIESRLVDMGHPNNAGANQTAKRSLPTGASDGIDQSS VIGKKRVRK
I206_00194	MDEDSAHQANYSFPVLPQADLERVAQTILHLFTPSTSSNPDLAK HLQHELQQIQSAQEAWGLIAGLAGHDDPNVRFFGAHTAQVKISRDWETLPEDLRPDLL PLLLSTLGNAINPNNQHSYQPANGVVVRKLFGSLASLLLRLAFPHFLHPILTVIQTIH SSFASSTALPPSAPGSGYNTPGLAGPSQSSIIDSVPPQLKHKVRLLALEWCAICIEEI GRAGLAEHQRQPLRRHIESDLSVVVSTITDAMTGDSNLSTNERLKEAEAACKCAESWI DWGLSPEELNILLPALYNLLPMPAASSALVEVLSESIFKYGKGTKILTEPMLAWVIGP SGQALVGNAEGEPSEEVIGLTKLLAALVEHSSEWLVARIQQNDVQAFLGVILRLTGWQ GLGNVEESLSELTLPIYPLVQEAIMDAPMFSTPQETSPDWAVAKTFFRELVEVTRRKV RWPGAGDSGSSLGGLDKEDREAFDSWRRDAGEVIVGAYYILRDEMLESLTRIAGQQVQ NGACWQDIEATLHCIRYSSEAVPLGEEQSLPILFGEQILGQLAHRPLQGKGEERLRLT VVCLIQSYEEWFKFHPAHLPPVLSYLVPSLSSSSTISRSAADALKALCDMCRKKLVEH IGAFAELHGKIGDLGAEEQSKVIQGITSVIQALAPPDAVGPVEGIISPILLRISQALQ QYSVDRANAQPALIQSTASLTACFKGLSPSEDEMFDTTDEADEAAREAAIIHMREDPR IVELRRGIESAVEGLVAVVGRGEGDAEVADAISSLLKHATLSSSTLISLSPLPLLSLV CMACENSPSALWMSLASTLTLRVNAPVTSFAKKSEKSEEVKQQEEDDQVGKWNVVGDV ASRLVVVAGRYLDGEGMKEHPDVVEGWFKFCSSLASRFPGVLLRLPSQIVEGYMTIGL MGLGTQERFSLKAASEYFVALLANTRYPSPLEPLSDPLLAHFGPLILRALLLCAGSEG PRSVIPNMAELLAALVGRVKASEISGWLDGILRQDGFPDSRATPASKKKLKESILKSR TARRMREALHEFALIARGLDGTTYGNATAV
I206_00195	MGYTLQLQWSYTPPPGRQVSLADIVLPRVLESYSLHTPSRSTVQ FRTYRSSFPTSSNSESSSRISRYLTTINTLPNPIPPGQIQNQNSNRNENLENNEDITY LFLDDRSVISGNILQTTQEIVKNQNQNQNQINTNTSIIQNEFPDQAQNKNRNEPKQLI EIDKDTLDNDGFEIIDIPKSNSSPQDKNYATTSGENGNDIKSENKEEEKNRKSTRFKC IVVKPTSNVQPLLQSLLSSFVMGYTKSAKSTASNTSSLPTPTPLPGSSLLLTVLTFNS LPLPFFNPNYKLRLKVFILPNPNATSIFLEVEYQQMIESGLKIDNDEMISICKEFLEG CLITGLNGTLKWIHLDQDSFQKPTEDEWEGIERNKKSIFALAKAMRESGFL
I206_00196	MKITIKSYNSVAYWKWDITSDEPHKLHKHLDLEDIEQGYINPDD PNYLDQNDDDEDEEVCGICQNEFEGCCPECKVPGDDCPLIWGECTHVFHMHCLLKWID TESSKQQCPMDRRPWVTADRKPDKLPTTTTGEPVAQVAPGPLPTEEEGGVVMLADLSG EADIGEEEGEGESMEVDGH
I206_00197	MTTPSTPIPEIVTTLASPDSTKGVWNDSTMLSDESNHDPLRNVK EEAERNSNEVGRETMRGIEEASEQLSIHALAQRGDISTLSSLFRDDPSLDLSKRDDQG ITPLHWAAINAHMGMCRFLLDNGAEIDAIGGELNATPLQWAARNGHLYVLHLLLSHGA DPNILDSQGFNTLHLITHSSAVMPLLYMLHQPVAIDEKDTDGHTSLMWAAYQGDAISV QLLLRHGASVHLQDNAGMTPLHWAAVKGNKVSIKYLLDAGANLDIREESGKTPRDMAE ELKGLVPFERGLEEAGFSSLGSKRYGKLSDRNTTMAIFALPTLYLGLIFKTFDYFPGY ISFPLAISEFMAMQLTVTHYLLRHISNEYKVSSSNHFVSIIIASIIWVSYSWATRLVT GTPGHLILNLAFFISFTGCSYNLFRAVRSDPGYVPLPVNDSEVKEALEDLVDQGRLNG TNFCIECMAKKPLRSKHCRTCNRCVARFDHHCPWIWNCVGFKNHRSFLLFVLFLIAGI VSFDRLTIDYILENSPEYTPPSTPSPGITICDISPTLCRATSYDCFLLSVSLWATLQL TWTIVLGISHLWQVGKQMTTFEVSNLGRYGYMGGRGGSSLRDQSGALKISQAVSIGAA PFPGGASEEAQGLPDASPDGTSSFPPPPPPASGASNNGHVHGPECNHGNAGHDHGIMG ICKGLGKVISGPLMNILGLDRFTKGKALGGMKKAGKDQNPFDMGFIQNCSDFWIPSRD IDYTQLYEIPSEGWRAYRRKIAMQKKLGGDNGKGGYVAVSALEEV
I206_00198	MSTSPIFDNSRPAKRARTTRSQNSSSSSRTESAESSKRDKGKGK AQSPVLAVESDTISNHEGKRSRSKKVDEVKSERTKRAWETRRRAKVTIPTIEDDDQEA GPSTSLAHWETPLPNTDFLLSIHKHASRFYTNHELLFEHHTRSRAYPWGSKKRLMLIQ DAKTGKNLKGLSDTSSSFKSNPKSKNYNNEDDEEIDELDEDDDDSQIIVKQELVDEYG ELLRSNRDNSSNFSGKRDRPTGKYKKRDMYRAIEGEGLMALGILLQEHIIKSIHSSGY RKMIPSSSVHPLAYTEEIKAQKRKRISTTNLSRNTAEGNDNEREIESEEG
I206_00199	MSDVKMEDGQIPFDLITLAHQLLISSQNESLSEVKKLLDQGAPA WYQDDALGWSALHYAAERREPKLLEILLQGGAIWNALDRWGRTAGEICLSLGDEKGWE IIRNEVFADIWIQLVNVEMLHHALAGPSSPQSDSSTNIKLKAEDKTSAGDNLTFLKSQ LTWNIGDDGKERVLDADGNGVMMGWEEPLMIEHVRYMTNDHPNAQPSAEGMTILNVGF GLGIVDRLFQQTQSSSHPKPLNHHIIEAHPQVLQYIRDKGFDKIPGVKILEGRWQDFL QDPEKLGEVLEGTPGGMGYDAIFVDTFAEGYEDLKAFFEVLPDILEPENGIFSFWNGL GATNATIYAVSSGLAELHLEDVGLDTKWYDVLIPDSLREEVWKGVRRRYWELPGYKLP IAKMKLI
I206_00200	MSTSQQSTTQTQSATGTKRSRVDDYTQDSQDSPEATRPFVELNY TDSAQENSTLKKFEDDMSINMTAMRDSRPLDLKKLEENRRDFIVYMHSYEDPEVDRFR KELANLTEYAKCSSGV
I206_00201	MSKEVNTNDNSTQITTKYTTSASTNPTLMEFEDEVNDLLIQQLG ESTRKYAKYLLEHLNETLSKLYANPDRETISDKLLTLTYLGEKAYTSKVGENEQCKKL EQEVSEVAKKLEHEGEVSFEEVNKLRIRFESLSTTYDNTDGGSEDWKKLALQLYKMSK SCSQK
I206_00202	MVETAAYDPHHPLSRPKAAFNGHIAQPAALAEGSKDARIREAKA KARRFDGHSDGHLNGNVDQINQFEAVKISEYPLAGHQGIETESRVGVDGTSPKINPFH ILSHPDSTSPLPPLPSHLQALTSDPPYQPNDSQTQYFPSQIDSSTPPVHITKVTPTTV EVTAHTPEGTHRRVIKKISSVFRKDSADPIAPRGRSPVMQGPPPSPPLSENGDLDTGS VFASETTENPNTETIDTHQSHSNHQDEKALSPVEEVPPTNGGIPPTDSPTIANAFTTE PADLGGSLISLAPPILASTRRVSSSSATSSRAGSLTMVRSGRLAPQRDLPESSTAAQS QSHIGLGLSKNLPDIPIMIDQERRGSAASAMSAPRPIRRSTNPSPVVPSSPRSPLLHS QSHLSPSPSPNPTTAIPGLEGAALASDILAQTEILRQQRLERRQKKASAQSANTAVAP PTVESGEPNEVPPKPKAEEKPKEQEARVLVGNLIGEDHVNYVLMYNMLTGIRIGVSRC QAKIKRPLTDDDYLARHKFSFDIVGNELTPSAKYDFKFKDYAPWIFRDLRDEHFHLDP ADYLLSLTAKYILSELGSPGKSGSFFYFSRDYRFIIKTISHAEHKFLRSILKDYHQHI KTNPHTLLSRFYGLHRVKLPRGRKIHFVIMNNLFPPHRDVHETYDLKGSAFGREYPEE KAKTNPKAVLKDKNWVNRNRTLELGPEKRALLSEQLRRDMDFLKRVKVMDYSLLVGIH NMERGNRDNLRENQLQMFHPEVPPPRRKPSAIKQSAEASNVRKAVRRSDPKMLDVTSQ LPSSDSADRRHFLFYQDEGGLRATDEANQNMDVIYYLGIIDICTPYNSLKKIEHFWKS MTEDRHTISCIDPINYGQRFLNFLQSVMRGGDKSLRPLGLEAPSRAAEDEQPVGEVEQ HLPIQGQSISVAQGINQSPTRSNSEPPAPIEKESNLHNGQDRYKNDSNGDDSRQIIQE KQEPLDGEINNINPIAVDAETRGVYIGVGS
I206_00203	MASSSLLKNIPSIAKLSQKSILEITGPDSTKFLKGLSCKDVDQL KGGYSGFLNASGRILNTTFIFPTLKTIKPGKIEKSYLISYDENSSSSKLEDFLIPFKL RSKIKIENVTEQFDSYSVFGDDEENFYNKKKGKQPIRNWKFGSGGASESQWFWPNDEI RDLELNENEIGCWDLRVGFSYRGMGRQILVPKGVKPSLCSTHDLVETEDYHLRRMLLG IPEGPQEIIPGSALPLESCMDLHGGVDFRKGCYLGQELTVRTYHTGATRKRILPIRLI PLDSNIQENLISNYINNDNNPLGNLKIPKISELEQLEITYHPPFTSLSKKTRSAGKIL SFHSIENSIGLGLIRIEFIEKSCWSLNLNLNKNNDLKIKDFFSENLEIGKLTTKIGDQ TFGIYVNKGEAYDSALKANYSSSSEL
I206_00204	MPDIKLRNQPFDLVFHPKESVIFSSLLTGEVKAWRYDDDNGSTS SSWSVRPSKRTARAIAVEESGKNVWMGGKSGTLFQMTSEMGTIVREQDKAHDVPINRV HCINENLIASGDDDGIIKFWDPRKPEMIREYNQHFDYISDFTYFDDKRQLVSTSGDGH LSVIDIRSNKNQPLTVSADQEDELLSIVQVKGGQKAIVGSGLGILSIWNRNLGWGDSV DRIPGHPASIDAIVSLTPDIIATGSEDGMIRVLQVLPHKFLGVIASHEEYPIERIKLD RNSKWLGSVSHDECLKLTDVSDLFEDSDGEDEDEEMEQDEDDNDNDNENEEDEDENVN EDEDQEIDSDSDSDEEVEMEIEKKKKKKGKGGLGDLGRGGQEQETTDFFADL
I206_00205	MTNPVASSSRPKRDLPLLTRPEIAQRICDGQQLIILHSEVLNVS SWSIYHPGGALALLHFVGRDASDEIEAYHCPETLKRMKKFIVGKVKLDSKGWLPLTPP IALGLIKHPNGLKNNWLKEGSVSLGSNILQNNLPITLGSSNEPLPSKLVDELNIGKVE DEIIFNLKSEQLEPAISDLDREIEYNRSKAFQELKKRITDAGLFKPSGWLYGYETEII RYLILAGGAWGLFFFTNGWIGQMTSALCLGLLFQQLAFVVHDAGHKGITTDYFWDRMI GMTVASWIGGLSVGWWCDNHNIHHLVTNHPEHDPDIQHIPFFAISKDFFGSLWSTYYK RVMAMDAFSKIMISVQHKVYYVVLSLARFNLYANSYIYLLGPRPKRDKFWTYELAGIA WYWFYYLSMLKSLPTWQMRLGYLLVSHIAASPVHVQIVLSHFACSTEDLGPTESFPSR QLRTTMDVICSENIEFIHGGLHLQVTHHLFPRLPRHNLRAASLMVKQYCEEQDIVYKE YGWMDGNKQVLGVLKDVANQLDLLKKVADGEIQERMMK
I206_00206	MSFFKRSDPNAPAARRAGGGVGGPTTGVGNNGPYERLPADNGSY SSLPPSGTQQPGLPPRQPQSQQRDQYVGQDYGRSSPYQDSRNQNQPPLPPRNAGPGIN EGYYQEKADYRNQPQQPQQRSYPQQPTSGGGGDGGRGMYNIAPCPSDPLALTNRLVVN PNDFSQDVEFALMRNKYIFSIIRDPTHTLPPRHVGPSKIIRQWVGLSAVGETVDLEPY HPGNGDWASTVEMEVGFRLKRKETSDLFDSEDMAAAFINAFPSLPLTPLQPLVFDYRG HELKATVRAVSTLGGHDGGTGIIMEGTEIIWVKDPTSGIKLKNSSKRGPTNAILAPNF KFEDMGIGGLDTEFAAIFRRAFASRIFPPGLVDKLGIQHVKGILLFGPPGTGKTLMAR QIGKMLNAREPKVVNGPEILNKFVGQSEENIRKLFADAEKEQKEKGDESGLHIIIFDE LDAICKQRGSTNSGTGVGDSVVNQLLSKMDGVDQLNNVLIIGMTNRMDMIDEALLRPG RLEVHIEISLPDEAGRFQILNIHTSKMRNNGVMADDVDLAELAALTKNFSGAELGGLT KSATSFAFNRHVKVGTVASFEEVENIKISRADFMHALDEVQAAFGVSEEELQQVVQNG IIHYSQRVNDVLNDGSLLVEQVRKSERTPLVSALLHGPSGAGKTALAATIAMASDFPF IKLISPESMVGYSEPQKIAQLHKIFSDSYKSPLSVIVVDNIERLLDWNPIGPRFANGV LQALVVLFGKRPPKDRRLLILATTSNRSILSDMDVMSTFDTDIPISPITNLEGIDHCL REVKLFQSSKDHQKLLSILQNSTIGSKEEGISIGVKKLLTLAEMSRQDPDPVNKLASS LIREIS
I206_00207	MSGITPTEEELKNKASSLRELNPTLGINKLLLQLKLDEPNWIVS EKRFKKYITPSINTTSTNLNDDNNEIDELIAKTGIDNSIEISKIAPKVKVKMFNLNGK GKGLIAKEKLQKGELLWHEEPWIGTSDPSLWPFLEDNDMCTSCLTLFQQKNQPLSIKC KFCKQINFCNRLCYKKSIEENSTHNDFLCIEQNSNSKSILNFIKQKKSRDLQGVIRII SKWRKEREFGDLNKSIEIQNRIWNSMARINQKLKEEERKEWPFIAKDRMEEWRLTHLL ILNALNPSPKDEGYKSFQKFLNSKKRNESKPITKEEEERWFSFESFLELLGLIGLNQE SSGGLYILHSHLNHSCDPNLQVRNLPKNWIAPNELPAELPPPMTTQNRGTNRISIIVK KEIIHKGEELTISYVDQRLSRNERRIKLREQYGFWCFCQRCIKEKKEEDKEKEKEKLN DN
I206_00208	MPPPPLVFHSTSPPHRYLSQTESDFIPSYAPGTRRLVQPIPIEP SHPTTEFQPYSVGSQGSIMSDISNSLRPSPPPLTATSSLPTPFTLRTPVDTTSATATA RPIINSPVSPSADRTRLNESNNGLVRVKSFPHAGDLLGSPNEIVGNRTSNLGELESSL HHEDMDVDENEENRLAVAEMTSAGQVSDERDAIIQSEPSAPLGSLTRLLRDIGNPAPS HLTSQVPAYIQPMLNDRASVFRSRWSQPVASPSASTEPVHQNHALAQNPENGVPERQS STDFNELGPLHGDDSPHTQLSRYDSTPYVQPANYHPSTSGGYLPGEWGEAFTSHPQPS NVVTNIANRSFIPPNTQTTTHDPAWSSSTTTDFRTLPSPIANTASGSPSAMERRLDTI EARLARGRAQRSPPTPPGLIHGHTYEGLRSRGVATRPYDVASRSRPSSQTVEDGSRRS PFARSSIRPSWLDQTAASATSSASSSSSATVPPPRPRPTASFGWETSNTLPASRMRSF SGTDQHTQLFTRAIRDDNGRPPTVFPGEGLQRDTRSRYLQARLNMFDNLRADPYRRSS PTPIRASGADAWSSSATQFTDDSSTASDRSERWTNHNLPTWLGDTSASRSLSAHSNPL RDDDIFNVEVGTPFIADIPDPTWGPEPTRPGNRRWMSFDEGTENTRIRESSRSREETS SHVEAIHDLGLLNRDVNEVIGRRLSQPRSRPASISRRLRDHQGPDWEDTSVPDTISGL YGLTPVAPTAATRRDRFNHSDVQPARSRTGNPFGATEDDREPRDPLATVLGRDRSNTT SPADELAAFIDRSIRARPALAVGGEDAELLFLDRLRNFNNLNARHGDLMPSRNGYDGL EGILDISSDSPFAHLDSLRRYNNFYSTPSLGNMKITKDMDWSEKLKIVQLVIRGVSKL PTTPRKKAAESTLKYIKYGEFDNGDNLKVVEGLQKDEYCSVCHDDYEVESEITITPCR HMYHRGCLDTWLNNPSTSSCPMCRRDLAALAYLTKMVPTKEVDEASPLWMAVVV
I206_00209	MVIPNAVSREQAYISSLASQPKEVNPDKLYDKLEIIGKGAYGAV YKGLHKTTGHIVALKIINLDTEDDDVGDIQKEIALLQQLMLGGSGGGGTSSGTTPVPN VVKYYGSLMQGPKVWIIMELAEGGSIRTVSRAQPLKELHICVIIREVLLALAALHKNG VIHRDLKAANVLLTISPPRILLCDFGVAALLQSSTSKRSTFVGTPYWMAPEVVTEGKL YDSKADIWSLGITLLEMAYGEPPMSGQPAQRAIMMLSDRKMRAPKLEGDQWSRDMREF VLGCLNEEASDRLGAEDLSKTKWIKQQAKTPLTVLNELIGKYQAWKESGGQRQSLAPG VGANVDDDDDDGMGETHDDWAFDTVRSRMSMMVDQKAQEGDIILSPPTARPAPQSLRR LFHDESSSEPDPFQSFAHQQPSTPQSSEGSSSIEQVGRFPSPEPEETIQLPPDSPDSS NTPFDGSTIRQTFMPRNNYNYSDQLSLDTNFDLPSANTTFSDSQAPTPIARSNTDLPL PPPPLERGLKPKMSLDTLSSVPTSRSQPEDGRPSGLGKAQKRPGGGSMGEGLRGFQFP LVSKGPVPTTGLSMGGRPQAPALNRMHSAAPAMPSTIPSNSGESSNKSIGSLPMALPP RPQMMRQASVAVMEGRALLNNQAHQQALALAQTSDHINNNGPLSPNGTNKNLGIPGSL GLGRPIGHGMTNNQSGGIGMMRSRSGSRVDEGNIVGLRDLLKISAPKPDLPDLLPPSP STTTSIPNKFQFTPSPLSNLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSQQ QQQQQLQQSQQTNLNSNNNLLNNVVSIHEENISNIGNQISPISNKKPNEIINLPISEF EIEVEQFLIKPLDLKLNSNDDQVFEELLKNVDNLSNWLEIVNKSLNDILKPLENDL
I206_00210	MGPNRYPELGRTATTFTSHPTIPLNEELPFLPPLPDTPQHANKS YSKKWDVEIDYPVLTTRQAVFNTPSAINRIPSSDLLKTNGTSSQSKYKENTPSPDILR TVVQPSKAPSATPHPHDLILKTTKVPCDIDSPFTKHTDSGSSGSAIKVFTTELPQPRL SASFNFGSYVADHSLLADQSLMASSSADEDSFHLDTNTVRQKPHLSEETNPLSCSISS QTQKGTSAPEQTFLSIPPNALDQSTLLPRSPAKTAHLLDPKNAINNVKPPWQDESEIF ETCIDISVSSREPSLSPERTTSSSPAKSTMSIPHSQNTKGFPTSFSSHSLVSIPKIKR TFPASSSAQSLASLGEEAEGNEIAGDISTLLPVSPMKTAHLLTDPELITNEYLNMEEE KSFRLPLPSRATPFKPSFMSKTPRKSPPARMSPIKAIVQGIHSHNVAGLEAGDVTFDV KDLLARVNKPKRASGTEESFVDLLHDDLMFDGLDTSMMGPDESILPPSLRPRSIRGRP TSPIKPNPNTSPVRMARPIEPATIKRHQSNQGSTQQRDLPSSTSTHDLVDRHNQEERQ ESKLATISRSKSLSRVAEIVERVKSERNVRAQPDRVTNEKGQQEFNVPLSPPKTALRA RTYTTARTPTLESGSTSSKPRNSIMPPSATSRRISLSAGSLPLAGTASHKAMQLPTSQ STMADPLPPVTGRRLISARTAATTGSNTSRLTGAAPVTAQPALRAARTSMAPPPPPSL ALTSNEMKSKARFGSASNSISTSTSASESTVTSRSTVNRASRPSTVSSNIPSSRVPPT TASSARPPVSTASRISRPSIIGRPVGLPKPGDGLSGRSATSTTSAIRPDGRAKLSRGF GTDASGTTNRVVPRPSIAVSGKVSTGQTLSAMKSRSLSTPASSTITTKVPLLPTLPRN VKPITTREAMAKKSSLPPSSVIQTQRTRVGSTNATTLIGSGGLPRPSIRSTGSSKSSA PPGNSGSLTALRERLDKLHAKQGR
I206_00211	MNVFADEATEERGENARLSSFVGAMALGDLVKSTLGPKGMNKIL QSASTSQITVTNDGATILKSIHLDNPAAKILVNISKVQDDEVGDGTTSVCVLASELLR EAEKLITIQKIHPQTVVEGFRIASKASLEALEKAARDNSSSETDFRTDLFNIARTTLS SKVLSQDKEYFANLAVDAVLRLKGSTDLEHIQIIKKPGGKLTDSYLDEGFILDKQIAT NSPKRIENAKILIANTSMDTDKIKIFGARVRVDGTGKLAELERAEKEKMKSKVQAIAA HGVTCFVNRQLIYNYPESLLAESGIMSIEHADFEGVERLALVTGGEIASTFDAPDKVK IGRCDLIEEIMIGEDKLIKFSGVAAGQACTVVLRGATSQMVEEAERSLHDALSVLSQT VKETRVTLGGGCAEMLMSCKVDEAARTVKGKKALAVEGFARALRQMPTILADNGGYDS SDLVTKLRAAHYEGQSDAGLDMDKGEVASMQELGVTESYKLKKQVIVSASEAAEMILR VDNILRSAPRRREAH
I206_00212	MTIEASKIATEEVLPKYTKNEHNTPKHDKLEGYEFYRSIGSPKY VVAPMVDQSELAWRLLSKSPLPPSMAGPSETIITPTGKKITRHAGGTHVSYTPMIHAK VFMEAKGAETGKRGDGQFNLTYDEEGGEGIVAGIEGGDRPVFAQFCANDPEILLAAAQ KLEHRVDAVDINFGCPQGIAKRGHYGSFLQDEWDLVYKLINTLHVNLKVPVTAKFRIF PSLTKTLAYAKMMEEAGAQILTCHGRTREMKGQFTGLADWEMIKKVKEHVNVPVFANG NILYYEDVERCLEQTGCDGVMTAEGNLSNPAIFLPPSHPHFHPPITVLANRYIDIVSS LKSHTAGSAIKAHMFRMLKPILDTNEELRIKIAQCPYSEEMTEFKELIKGIEQRLEPI VKEAGPSFKPPPIDPKTGYRSLPIFCAQPQIRAKPISTEIGGTEEFVNNDENLKPSTQ SGTCLFDRSLRHEKINNKNKVEKCINEECGGVAAIRCPTKACIIHCRINKAIESGLTE EEAKIEFEKNGLIGLGCESHEEKEKLRKERLDRKRKNKAEFRAKNKHRKKNENQIIKA RSRSLSLSENENEN
I206_00213	MGITAFFSFTQGMATFTQVLLALPMTLDLLGPPSFLLLSLLFTL HHFIYSTLRLSFKNTIFAPIISILSFLSPFISFFLVLLTLYWYLSPPTASSNSIFPPI THYLVNVVPFFYAQILRWVSPLFVLLEGISTLLVIQVVGRVGKGWADEEEKDDIGIEW RSLLGLILAALVYCAGLAGVIKAFPLSSENTLPAFLLGCALTCVLFLSLIGFTLRRTN VLETSLVFVYVVYSAWLSGVEGAMEPRTYGTGWLPSPSSPLRQSNSLPYELNSISSLF NYTIHSVFSSFISVSRTLPPHLLLSLIYRVAVLHMAARIIPIIRKSSLGWDDGFSSDG ADNTPIQQKDGFWDGKSLNQEPPNMRITTIVLSYRRAILIAVYTHLLLLDGGSQTWWR WINIALFLAVWSLELLLDAEGDDTESVTRWKVD
I206_00214	MSSRLIPRSSLIRSPLVSRITRAAPARLYSTASSGTTKSIRTTA ILSVGLLASAYALYVYETSSSGESPSVGKSLNDIYGSTFDINIRGPRGVQPYQFIRKS EQEVEKILKENESGMKLLGRKGNPVIRWDTNWVGSNEPCEDRFASNIIPRNSDKLEEG RKKDLMLFSIIDGHAGDSTSKLLEKTLHPVLSFALAGLQAGYLPTDNKTSWKSWSENL NPISWIYSKVGAPWNSDNVIRTIQHAYTELDDHICQSPIKLLSTLKNPSNPSEYPTPR QTLVALAQPAASGACAITTFVDAENDDLYVALAGDCRAVAGWQSPDGQWRCDTLTEDQ MGENPKEVERMQKEHPASERDTVIKGGRVQGGLQPTRAFGDAVYKWTTAQGNAIADAF REESDKPRGVRPWNLTPPYVTAKPEVTYRKLQNKQGDKLKFVIMATDGLWDRLTSEES VLLMASYLSHPEHSDIPKTELPKLYPLSPSIEERPYPAQDLPRPSDSSWAYENDSNAA THLIRNSLAGANKKNRAELLSLNGKVSRWMRDDVTCTVIFFDDPEKNV
I206_00215	MNDLVDLDWSVPGTKPAQQVTKPLTGGANNSFDLLSLSKPTTTG GNTPNYYSSTPLRSSTPSQVNPILPSQVQPSASRFNGSTSISRSNTPVQNASPASQQG TSGNGGLDAFSSLLSIPSSSSGIKKDMTMADRQKALEDEKRRQADEDKKRFDAEGHFW ENLGSSFTSKLVASSSSSIKPTVKQNDDLDEFLTPTAAAPSRSKTPISASIPKSVSSS RPPSAAGTFWDHHNFTNGLVPSSISAPLKSKSASPAPPIDPFDFDSLSNSIQHQPESQ NGNSTGPTMDEDEFDILGDLGKPVIARPELSIEPTQSGPSRPPRQSSTDLSSSPPPHI VGQIVEMGFSPAQARQALAKTSTGLDVQAAMEVLLGGSNAQAERQRGEEEFDEDDGDF VERERQRREEEDRERRRRRRQGPSRDSVTPRSAEEREREAEATAQDQAEKYLAQASEI GTNMFNKATSFWNSSKEKALKVYEEQRKAMEANAAAGGSGEGRKPVKDGRPKWMTEGN SDISDEITGDKSGFRDDDEIDALRIRQDRQRNGAGSSIKITAKSSTRANPPPNDASSS SYRSSKERADLLFADEAPKYISPARHPKKPTTPSMPISRSPVPVKPLPSRQLVSATPH QIEKSSSFKVKGNDHFKLGRFAEAESSYTTAITQLPAGHLFLIPLYNNRAAARLKLGD SAPAVEDCTLVIDLIGPTYHPSKEAALPVEVTKDVKLYDGLVKAFSKRAQAWEMGEKW KKALEDWEKLLGMDLIPLGSGASSTKNLAAEGARRARKMLEGDSGVKSIANKPVVNSV VKPKPQASAKTADVNRSAAVSELRAQAKALEVEDEQRLALKDVIESRLNNWKNGKETN LRALIASLDSILWDDILKGGLKVGIHELISEKQVKIKYMKVIARLHPDKLNSQNTTVE QRMLANGAFGTLNEV
I206_00216	MARPVQGYSPPQQPIVPRVQPSVSSIPLVGSYTGASGVTPSILP GPFILLIILPLIPLLLFSLGARPPNTSNLPFSNSDIFTTGAFLTITMIIVICLGVYPE AGGAVWEFIKDGKNVQSEYLKKVKMLGGLVGDTPQHISNSHELGKMEWIWKWTFDEKY KRWIKIKVLAPVRQGHITPNHKGIHNLASKHLNTLRNTFPNYYSEYKPKLIHSLLFIS FGIFISILLIGEILNSAYEKDLKNSSSSSSSDEIKSNSSSWVEREIRKRKKEKPEETL NRIKIENKKEIENYKKLNDSEKFEKFQKKREAEIEILKKELKKRKLPLIGLDEKKKKD KKSKKEEKKSKEEDDELEKKDKGKSRAEKVKRLLSGKKATKKSSSEDIGKAGPGWEIA DPSLAQNTLAKSEMNAQQMAAKLKAGG
I206_00217	MLIKQLLGFISLFNVIYAQSFIGCVDNIPSDTTNVEVQGDCDST CKSLGYEYSFWSVFEKDCECGNSPPKTFMYNVAQNEYGICLPYDHAVTKIHSTFQFHL CTKTIELPSTSIIKSKTSIVNTMSECFDSCPEADYVGIAPQWVKGTYECKCGGMPKSY LPVICAEGTVYGYSNPSSKGNRRKSKGKAVAGAAAKAKAKAKAKPIPVGAGKGGNKKV KPQRLGKQQQVEQTIPVKGYGSGEKEINRYDGL
I206_00218	MFTTAHILRLSRARPPATVRLYLRSASTSPGAVEGEAPFIGWSV RSPRDLYNHLSKYVVGQEKAKRTLSVAIFNHYHRISPRSPSQNQPQASAPQSSPRREP PPIILDPPISTSPRVWERQRRNKEDGDQSIWDPSRGGSQLEDGHKRDATKVTGAEGTD PTLTHDLLTLRSREGQWARDGYFSAKPPPPLPSLLGQSMKKRKSSIDVEGSKGRRRKD AMEIEVEARSASVPDETHGSEASKEEVIIEKSNVLMVGPTGTGKTLMAKTLAKVLDVP FASCDATTYTQAGYVGEDVENCVLRLLQNAEYDVNRAEVGIIHIDEIDKLSRRGGGEL GSWGGGRDVGGEGVQQALLRLLEGTTLTLQAKGPAISSTPSSPPNNTNNLGGSGPSSP GTTLGPKAESKAAYGDPPGWDPNNPMNRGLGGKKSVREGLPGFSSGGGSPGGKGETYV VDTSNILFVLSGAFVGLDQIVNRRLGKGSIGFGAPLPKSISHESSTKNLPLTGLSTSD LTTYGLIPEFLGRLPVISILNSLTLDDMIRILVEPRNALIKQYQALFEKYGSQLRFTD KAIHEVAKLGLERGGGARGLRGILEESLADAMFEVPGSSVRYCLITEAVIKYQEPALY FSRGQRMGYLQAIENEDGVNITAQEIEDSEFEPERLSATG
I206_00219	MIHPEDNQQVQEQWKKDIGDLHLLYDYDAKNPETNEPEKWRYEM WFSHEDRITYAIHGGPMAGRYNYQKADYQCIRPGELWQCNWLEETGTICSLVYDIPNG KITTLLGFSIGHWENPEKAHGDKRNPEDFERWRGLAKLGKSQSDRFLLNDQATILESF RGKGELKPIDPTLPTI
I206_00220	MSFEPPPRRTAASLTPASGSTTPNEDAPGWKEKMKARGSVWGKI AMVKGVKISDNIGGRVNDIAEKRFGTEAFWPVTGDFPKEMEKCARILRAFTVDGIVTE EKEKPDDSATDDKDKKKKKKIKVIRKIPPAVIASATGLAIFTSMRTGFAPFGGAGGAG IVVAKLPDGTWSAPASISPNNLSAGFLIGVDVYDCVLVIRTQEALDSFKTHKVTIGAE LAVAAGPYGAGAAVEAGKERAPLFSYVKSRGVYAGVEVVGQVFVERFDENGAMYHWPG VKAGDILSGKVKVPLEAASLQSALKDAETGRAQALKGDSLDIVVLENSQDLDLADGET LKLPPTPDQTDGREHESDPETEKIHYPTQLGSHNVSRNNSPPLNSPYPLYAPGATKGK GGRLVPPPLPSRNPNRPNLNHAHSSQSNYSDALDNQDMVDSPTSIAFNAPSGPPPPHL LPPAQIETSSSQSSKHHTPLGFEDTMSHSDDLPSYAENFPSATSGGTTYPQEKKAPHT SGLIPSDALGMDGQPMSESERKEWEEFLASDSKQNNASSSIERPAAVDQVAERLESSH LSQTEQSVSRDREDILKNPYDEDGKDGFPQSKDREEMLKNPFDK
I206_00221	MTTSWVCPDLPTEGTDSRCCFANSTCAEFICGHYNSNITIQTTG SLVMHNCLVPNGTEATILYQTLPGNESCSTNRGGNGCIIRTDTSTTTTDTGSSSSQAA STSLPASASASISSSGTSFANPQIAINQLLILGILGLSLMIKKVFH
I206_00222	MVQLKLITIALTTFLLPLNIQAKPPKNYILPNIIEGPVSIETQV GQGSYDRAYIQTHNESSYEWWYFDAISSDGKSSIVLISLVTLSELGQGTELQGQIVTP EGEIFSNRSDYGPEGKLWVSTKGDGSSGIIGNGDFTWIGQPDLSQYVLNVNWPEKEMT GTITLISNASPFVGCDAFGPDARTDTFWWFNWINLMGDAVAIVDLKVGDKKIAFTGNG YHDKNWGPVSFPSYVNYWYWGHGRAGDYSLVWSRIVDKDNITISSAWLTEGGKIIHST CVHDDSIQVIPFGNNITIPPNRPNNTNNIDGFDININTGERGKYQFKFDSNQWTNGNQ GNYARWIGNFKGGKVGDEPGIGVGITEQMGPFGI
I206_00223	MPFKDILLNDGRKIPAIGFGSWKIPKDVASGQVSQAIDLGFDHL DTAQVYRNEEEVGQAIKESGLSRKDLWITTKWSGVDDKDPLTSVKESLEKLGIEYIDL YLIHHPRLTKGDIPGTWKKLEELQKAGYVKSIGVSNFTKEDLQELLASATIKPVVNQI LLHPYVIKSTTPLLKYLKEQHIVPEGYSTLIPLTSKTGGPVDKPVNAIAKRLNVKPEQ VLLAWSHAKDAVIVTTSSRKERLEGYLDVGDIKLTKEDVKAIDDAGAKGELWEERKQK LTVAAKYAAAAGLIGYIVFSKL
I206_00224	MESIVDITTTTFQAIFAICFVFTAGYAYTGKHAPYLSSTLVPFA RTILLPSLVFTTFAGNHVLTAKNIFQLWPIVIIALLTHIPSLVLGLFATKYAKAPGWI AESLTNNNNASYPLLILYALYPVGWGRVLEHLRWRSMDKIPVVIERATVYILVNLVIT ELARIVFAPFIATKSSSIDNDVLDEPVADEHQQEIVEDEEDADEQTPLVGGKRNIKAT HKLLLLTRSPVFAATLLGLFVGLIKPVQRFIVGTDQSDTWLWGSVGLALRWLGGAFAI VELIGIGAGIRAGEKHLDPEYKTPPSLGTVLTVVAWRFIAIPAVVLSTVYGFHKIPST KVYLQDPAFSFVLILTSITPPVLPSKLDPYQSAVLFSTFYTSLITALPLAIGVAVSGR GVSTHIDFDLARALKSAGGGGLAGAAAMVVQVLTLMPMRTIMNYQYRYGGSLKNATKT LWDDGGFKRYYAGLTAALFQGPLSRFGDTAANAGILALLESFTWPVLVKTIAASVASA CFRMTLTPIDTLKTTQQTQGGGAGLQLLKQRIKQQGIASLWYGALATAAATFVGHYPW FGTYNWLSAVLPPPHNIFQQLARQAFIGFSASVVSDTASNSLRVVKTYRQVHEGDVGY LTAAREIVASEGLLGLFGRGLPTRLLTNGLQGLLFSILWKLFADL
I206_00225	MSKIFKSIKGQIFLNDPRWSEEKRQERVLVRRLDIFFMSYLSLS AIVKYLDQQNIGNAYVSGMKEDLNLFGNELNFFTTYFNIGYLIVIPISSYVINSVVRP SIWLPTLELVWGILTGIIAAAKNAKTVYGIRFLIGFCEGTAWPGTMILLLSWYTPAEI GLRLAVFQSFTYLGGIFAGALQAALYTNLNGHSGLAGWQWLFVVNACITVAVAGWGYI GCPDYPNKPNPLAKWLKPEDVEIGLRRMGNQGRALPVGWSWKTAKSLVTRPQNWAIWA GYTIYCQGATGNGYFNLWLKSLKNANGHARYTVSQINTIPIACSCINIVSLLIILTIS DRFQTRWPFLIFGAINGLIWSAVLAGWNVSDGVKMASFFLINFSAPGANLFVAWVGQL AKHSAEERSAIIAAFVTTYYAITAGMPLKVWPAKEAPIYKIGWKYASSMYAAVIPVIL IVVFLEQRQQRIDNRKKDVEVNDSANAPEEEIKYSTPYEENLSKRDIPSDQVLSEPLP RI
I206_00226	MSYTTTTVEQLPSKEEIINRVSRLRLVGDQEDKAEIEQQETKRI GPKYPAYLPVWDKTKFPDWEEIPHIDPGSRATKEKKNLFVSGSTHREITPAIGEEIEG VQLSQLTPEGLDDLALLAAERGLLVFRKQDFKDIGPEKQLEIVRHFGRLHIHPTMGHP EGYPEMHVVYRDPKDSTISRYVKSNEVQKISSVQWHADHVAEIQPPGITFFFALEAPP SGGDTIFASATEAYNRLSDEFKKRLEGLSVVHTNKGMLEHSEASGGPVRFTPRETLHP LVRTHPVTGEKILAIHGGHATRIYGYKQEESDYLFNFLLDVLAKGHDFQTRVHYQEGT VAVWDK
I206_00227	MSCYRPPLADNSTFDVCCFESLECAEAVCGSFEILTRPDIGINI SVISANDTHYCNGYANLMNTSYRYIRNATCGDTTFECSYRKNSNYRPSSSITTINLSN SLIFGIWILGLLGLLKFSKA
I206_00228	MCDIATLFNTNPNSDLCCFDSIECANYLCGIQASTVNENNNSTT CYLDQAIATENYDKAPNGICNGNMLCALKALSIEPSVTVDQALLPTSVTTSPSASISS SSTTSGAFPKLDGNLLGIWGLAVLGLSVFGKKLII
I206_00229	MATSAAAAKRHTRNRLGERRAARDPSSSRDASEEDNDNIENEFS DVGSIVSTHADTISSTSTADSPRMQSSTSPLHVAASAIATATGSSSQPLTKRALQQKR VDELNEQGSMSEGLDSPTYDGDVESSSTIGGTPIHSSNLHHHMRSQLASSPTKSSGLG LHDITTATATSPYGTNRLPTPKASKANLQSESLILSTSYDRPTDVPVAPSKALAEPRR SQTLPLSAKPSMSSLGPPKMYTRPLELSEENIKSFVERAIHGKGAEDGIERWWRTNPP PEGKVVRVYADGVYDLFHFGHALQLRQAKLSFPKVHLLVGVCSDDLCASHKSRPAMTH AERCEAVRHCRWVDEVLPDAPWVVDQEWLDKYDIDYIAHDEEVYPSKDHSDVYAFSKK DGRFVPTRRTPAISTSDLLERIVRGYRDGFFDSKLEKNGHPELIAADVDWDSSASVEK RERRKEQAAHAHKHKK
I206_00230	MSTFQNPPSQFRDANSPELSYSEHNQSGEISEDQWRALAKIVRD VGPTLSPDEELGDLAAAEAAVNAKDTERNGVVDKVRDELRQLSRQLTQASAAAQRPSS HPSAAEHDAQVRSFEQQQYSTGKQLNEEQTLVSKREIELGRLKVEREELDNLRIGENK ENDDDWTNGKVIRLKMLSEGGFTLVPSKDNKSANKILIRNDLKNDVHSIPIDNSRSKV YTANLIWNLASDGL
I206_00231	MAIPSLGPPITALDDHTSDFHAVNPSSGPQENSAHQRTEYADQY ERSIADDTNPAAVPGHVEETRLNSPTNGSMQGNTLENEDADSASTVTAEDVGRRSSQE KGKGGASSNSTNEYRQNSKAISSGEVAEDKDEWNYGAQLKADQKLLESRGLIPHHSLA LAYDHLSVRGQGGADDVTYAPTVGAIIAPWSNRHYKRKAVKLAKARVEAEEKGGAGEG GEGGRGDDMRWKEGDPTPKKGEEGLRQGQRYLLKDFSGVVKPGEMMLVVGRPGSGCTT FLKALAGLHNGYAGIDGEIYYGDMSGDKTLRPYKADVIFNSEEDIHDPNLLVGRTLDF ALRMNTPSPQARLPENEGGEHMSPGAYQDKTKKELLKIFGLEHTHDTKVGDQYVRGVS GGEKKRVSIAEVLTTKASVQLWDNATRGLDADTALKFNKVIRTLTDIERNTSVVSLYQ AGNGIYELFDKVTVIAEGRIIYYGPRSEAREYFEDMGFVHPDGGNTADFLTSVTATNE RVIKEGHKGKIPTSPADFSKRYQESGTAKNMRQELDEHLKNDQKSQETRQTQEALQKE KYKLAPKKRSEKVDYFTQVRAALIRDYQMRWGDQWTLWARQATTLIQALIVGSLFYSV SDTTGGLFIRGGAIFLTLLYPSLISLSETTAAFSGRAVLAKHKAFSLYRPSAVLVAQT IGDLPIFLGQLIVFTLIIYFMVGLKYEPGLYFAFLLFTYVTTLCTTAFFRFIGYSFGT FNNASKVSGLMFSILVTYAGYIIYTPSMHPWFSWIRWIDPVYYSFEALMSNELAGLQL QCVSPQLAPSGQNYMGTPAGCAIAGAQSGSTILDGSAWENQALRMYKSHVWRNFGIVV ALWIFFLALAMISIERLPAAGSNKSILLYKRGGGGKFIRASNQNGNAPQDEEQGGSEM QTNEKPGRSPKDQGNNEKPEGVAAENNTFTWKNLTYKVPNKGKELTLLNDVFGYCKAG TLTALMGSSGAGKTTLMDVLAARKTEGEIHGEVLMNGEPLPVSFQRTTGYCEQVDVHL PQATVREALEFSALLRQPRSLSDKEKLEYVDVIIDLLELHDIEDAIIGTPGAGLGVEQ RKRLTIGVELVSKPSLLFLDEPTSGLDGQSSFLIVSFLRKLAAAGQAVLCTIHQPSAS LFAQFDLLLLLKAGGKMVYFGEVDNLSDYFSKQDVQIPKDVNPAERMIDIVSGDLSKG KDWAKIWSESEECKARMNDLEELKKENKNKERKHAEDDKYEYASTTGAQLRLVTKRAS IQLWRDTEYVTNKVALHIGSALFNGFSFWMIGNRYADLQNRIFTIFQFIFVAPGVIAQ TQPKFIANRDIFEAREKKAKLYSWQAFCFGEIVAEIPYLLVCALLYFAPWYPVVGFSF KPSVAGPVYLQMTLYEFLYTGIGQFVAAYAPNAVFAALVNPLLIGVLVTFCGVLVPYP QITAFWRYWLYYLNPFNYLIGGLVSRIMWDVDVQCAEEEFGIFTPPNGQTCQAYMTDF LSQNPGYLDDPNSTTQCKFCPYSKGYEYLESLNLGNKIDGWRDIAITALFCLSSYGFV FLLLKLRSKASKTAS
I206_00232	MIALSTLLTTLMVMSTAQAAPAAPIAERDIISTTLCTIFGCAPS IPTYGTTISNGFVAQGCYQDLGTHILTDYAATSSSMTQEICAAKCYSLGKPYMAVEGG NTCYCSSGNSIFSLTYQIADSSCNTACGGNSNENCGGTLAMVLFQNPTLASGSSASAS ASASASASASASKSASASASASSSAGASSSASASASKSSSAASSSSAAASSSASASAS ASKSSAAVSSSSAAVSSSSAAAVSSSASASASASKSSAVSSSSAVASSVVASSSVSSS VAKSSAASSVSSVAASSAPTSSATLSSAAASSTSSAAVVSSSVAPVVPSSAAASSSAS SSAAASSTSSAAVVVSSSVAPVVASTSSAAVVVSSSVAPVVASSAPASSSASSSAAAS STSSAAAVVSSSVAPVVASSAAASSTSSAVVVVSSSVTPVVASSAAASSSVSSSAAAS STSSAAVVVSSSVTPVVASSAAASSSVSSSAATPSTSSAAIDPLAVPAPWIAASVPVI AEATSGRALTGSSLASDDMTYTKCLNFCSAGGFALAGLEYGRECYCGNYLTNGASTSI TATATMNCAGSTTTAVALCGGPNAITLFELPTKVTSLASDLTVGSGALASGWSAASTP CIQEISSGRNLASDGFTADNMTTTSCVAYCDAKGYGYAGVEYGRECYCANSLVSNVAS NQCTMPCGGNSQIICGGPNAITLFQNPSLPVITGPILPTGWSVASSACIQEVSGRALT GSSITGSDMTVPKCLNYCSTQGFQYGAVEYGSECYCGSNLVNGASLSLTSGQCVKPCA GDSTTTCGGPNALQIYVNPSFAPKTVASNGFVQAGCIQEVSGRALTGSSTTDPAMTVA KCTAFCATGGFTMAGVEYGQECYCGNSLVNGASTSLVSGQCKMACAGDSTAICGGPDA INLYTTGV
I206_00233	MDSNGRQRNPSGSNLTLPTSSTFRRLPSLARSPFKRSEKISTPP PTVDITPPATSSKIHEDTPNHHRGSTFPSLHQVVVDDSSVSSAFFASTRNSESLDKVI EDHRSDPNLLPPSRSSSLLRTPETDLRKTPQPPLSPFNNNNKSSTNTGLGLVSSPMIK TRTPTPPNTIGAEPDLEPITYPAHLAQMRPDIQPQPQSQISQNNVASSSSRTIPRNRD FTPSPSATSSGPQPPDLGPIHRSSPSTAMTGSGGPPFGVPKRKESIQSTSLEDVTNTS HNNLNRMDLAKEGETDSGPEDSVITTRKRAITKHLASHKPRERSLTVPARQSAGEGDG SSTIREKDREKSRKLHSKSSRQPVTPYRIYEPLLPNAKNVPRAPASSMYYSPVPFHGK PPNQALRAHSGTLVGERIWVIGGVDKSNCWRGVAWFDTESLLWSTIDTMGEQFPPLRA HTTTLVGDKLFIFGGGDGPSYSNEVWILETVTHRFSRPPIGTSKSPIPPPRRAHTTVL YRNFLVVFGGGNGQAALNDVWALDISDLNNLHWQEWKTKGDVPQKKGYHTANLVGDKM IVFGGSDGHASFADIHILNLQTRIWTLISTDVKHNRLSHTSTQVGSYLFIIGGHNGQT YAQDVLLFNLVTLQWESKSPRGVIPPGRGYHVALLHDARIYISGGYNGESVFDDLWTL DLSAGAYLPQVTTFEVDETAEQARRMLESSMI
I206_00234	MINESEHEATTSNYFQVAISDDQHAIENQDYQNESLTKSKAEKA EIRKQRIEAAVKKSKIEYKSEHAYTERDWFLNSDIERNVLSKPKVDKQHLEYITTSLY YASPPKYQEALNLILKGFDPNSKKPLGGLTRELLDIALRCSLKIEDIDNSIKLVDSSR NLWKGQYAGIAALASDVYILLKKPKDALIPLLISTSSFGLHEPILNRLSKIIQELINK NDNLSNEFKATKHFLNILYKVIIWKSNYLQKPLFNDSPNIEKLNLNNSLNFNGIDMIS LNNSSDIDISFDKPIDIPSIILELNLNVENNNEDLLIGLNGTVNRLNKGLKSEIEGES QIIEKSVREL
I206_00235	MDTIKVARVDNVVLEHYIAPTEKNGQPSKVRKTGTIHLTPHHLI FHQNSSSSSSSNNQSSQSSSSASPDQNLSGKDDDKEEIWIPYPSITLLTKLPQSIQGY YPLRIETKYFQNYVFLFEKDQDNKTNFNSINEKEKGKGKFRGGSEDVWQSIKDSAIKN SVEQLHAFFYNHSISSSSLSSSNANSNGWSIYNPKNEFTRQGLGSKTKSWRFTDINKD YSFSSTYPNKLIIPTKISDSTLSYACKYRSKSRIPVLSYLHWANNASITRSSQPMVGL KNSRSAQDERLVECIFSTHHYPDTAFGTPIYGATTTNLIIDARPTTNAMANVAMGAGT ENMENYKMAKKAYLGIDNIHVMRNSLKIISEAIREAEMKPSAILDRGLLRKSNWLKHI STILDGSLIIIKNIHLNASHVLIHCSDGWDRTGQLSAISQICLDPYYRTIEGFSVLIE KDFLSFGHKFMDRSNHLSSEKYFIQSTENDIDSDEDYNEKDEFNVNVAGIAATKAAQA FFQSVSNKFNNSSNLNSSNLKEISPVFHQFLDCIYQILKQFSNRFEFNEFFLLDIFYH LYSCQFGTFLFNNEKQKTKYINQTTSLWDYISLNKMKYINKEYDFNLNKIDSDQGVLL FDPKEVKFWFKLFKRGDEEMNGSSSQSSTSFLNQNQNQNQGINSNGIIQNSSQDPVIK IISPLQQNSNNNNDNNLLLKRTISPSNSTTSSSSSWNWQQFSNGALNVVSQASKQIKN ISTDAYNQIKAEAGEVDTDPWKKQAQTHQSNEVTGENQSNVIVENKNEYNSYIPKTGF RIPSETNPWSNTKDIPNDTNHSIKPQTCRLNSNPWSTDRYVESTPIIAPVIRNEDDEK THSTSLAGLSLNEQNYPSHKTIPTTLPIKSSEIDESEEMIRAAMGDDKKAWDPLGAL
I206_00236	MLPVRRRAISAVNRRTLFHIQARSQQTLSSEIDASPPSSSSSSQ SRLTGSAKLFAESLEEEEPISSTSKDHLIHNQGEIWTGEESTHDAVFRMLVDSKYKPL RNPEGIKHNASDDKIKSMIKSLDLNPRLGNPVVNTKSSLQPFTDADELANPHRTTIPP HLHRPWHSTYTGETKLQNNTPQIKYGTFIRSTKDGDSLTNLLELKLPPGASIDGKTRS KLINAKRSGKTIRRLDNAREGALDYKLGLNQDGQQILLEDGEEGEEGTFRGNRQVKGS SVLGAQKGGASGLRAWGGLVEDRIQRAKEAGFFKITNGKGKPIPRDPEASNPHLETGE LLMNRIVKRQGALPPWIELQHSLDSTVNAFRTTLLTTYTTHLVRNVISSNALLPLPPL HSVPSSDEMWEAREFKFHQENIKQINDLVRRMNAQAPSPARRNLYTLEAELSKLRGDV LKNEVWNEVKRRAEDAAKFPANRPGPSGLPPFLFDNEGWNALKTATRRSFGTIAAPVS AVIGKGRVGGVVNSTREPIASDSDNGGSSGSSKGNSGGNKPLRVAVMSGVGLGAILYL YKRPVQNDSEAMSLGPVAEKQVMEEEDIVALSPTEKRMGPVLFIQLYILEPLATLFRF FHLAILFGPVILTTPMLLVGKPEKRRRTGKPIAEEEENWGAVWWYAFLVKQMERAGPS FIKLGQWAASRADLFPAELCDKMSKLHSNGKPHSLAHTKRILEKAFGMHFDEIFDEFD EEPIGCGAIAQVYKAKLKQAVFSGGSATSSHAEVEEKTRSVAIKVLHPRARKTIRRDI AIMSVFANIFNAFPGMEWLSLPEEVNVFGEMMNSQLDLRVEASNLDKFEANFEKRGRR VTFPKPFKIKSQHGDSKDVLIEEFEDALPLKYFLQNGGGDYDDKIANIGLDAFLEMLL LDNWTHGDLHPGNIMVRFYKPTTIDYLGPLLNRFSKHSQTPPSDTPPSDTISNQQLIH SLASIAHDHDQWHERLDQLSKEGYEPQLIFIDAGLVTSLDDTNRRNFLDLFQAIAEFD GYKAGKLMVERCRQPENVIDEETFALKIQHVVLSVKSKTFSLAKIKISDILNDVLNSV RKHHVKLEGDFINTVLSILLLEGIGRQLDPDMDLFKSALPILRQLGRQMGTKEAINAV PKGNLLAMIKLWVWVEARQVVGEASVLDQWIKYDRLFPAI
I206_00237	MSSQAVCRDFMNGFYHPPRGPTATQQRGVSTGSSKYQFNEETIR QDLTIEKPIFFISNYAAAKHEPNLFFGYDISPEELRWKSVVALKEGKGQDYASRVNEE STLMNQANSTITQAVANLSATTKVAEQLHNARFTGNSVPGDDRPKDFSEAAALGNGNS NTQTSGTSFGGNSSGAFGGNVPTAFGGSSNTSGAFGQSAFGSSSKTSGFGSSSFGSSS ANSAFGAAKPASTGFGSTTNPSAGSGFGSSGFGAKPAGGSAFGSSAFGSSPSTGSAFG STTTPQGGSAFGQSGFGAKPSVSAFGNSPTPSAFGVSSTPSAFGSTTTPSSGFGTSAF GAKSAGTPSAFGAPTAPSAFGSKPAPSAPAAFGSSSTPSAFGSSSTPSATSAFGSSGF GASSTTISSTGSGFGQSGFGQSAFGQSAKPATFGSSPAQPNTASAFGSSGFGKPSTPA GSGFGAFSSNTAPASSQSATPTTASSNPFAASSTSSNPFATPSETAPPTQSTNPFAST PVASPSAFGSTSAFGQPSSTSAFGASSSSAFGNSSAFGTTPSQPSSAFGGGSGFGVQP QGDVPPGWSYDDPWSYLLPTENGNDKGEKLDESFVKAFKESSFALGNIPLLPPPIELR A
I206_00238	MSIKQHHYQCYHSSISSNSFTSFQIHHQYKIEFPIKDECPRWSL KITKDELNFLIILQNLVPHIFLNSFDYELAIAPAMGYERAKDLSNAMVIPLDQISFSY LSPTGERYIGKINNIHSHMICLNIPSAN
I206_00239	MSPPQHKMGYFPRITTSLPSEHGEFRNVLWTGESSQLVIMTIPV GGEIGEEVHHVDQHLVFTSGTCKAIIADEEKEVKAGDLVIVPQGTKHNFINSGPTPLS LFTVYAPAEHAEGAVHKTKEEGDELEDAGKDEAPEWANKG
I206_00240	MTLRYEEDDNQRLFAFQRLLDGSRNASDPVVDLGTLRALCGKGI PNHPPHLRPLAYSLLLGIIPAEKISWKSTSGIQRERYYNLVKTFMEELEQLPSSSSST HDKLLLELSKDLKSLKSTFWRARTNPTQSSPLRPLQNLDSPTDTKAEQIDEDFSSDDE DTSSGVSLSPILNRRALFKRIDLLNEIEHKGGFGYSSKQKGKQSDKVNYKPLGSHQDG NRIKQNGNGDEDKNEEEEQIMSPKITLSIDPSPSHPILSRLDTIKPAPIDTSYSSSLG PNSIIISTTEDSSKSPTSPITLLSPKPLPTGTASPSPFSGSLYYPETNSECLTRLLYI FLRTNPHWFYQRSFIDIISTFYLIHSGGGGSINAQSTLDYPEESTFWFIMSFFQEFDA ALMTPDIVLDKLISRLYWINQPLYNILIIEKGLDLKLFAFRWINHLFLKDLPLSSIPK VYDFLLSEERSTPNQQPKVDLLIDIALSMILQVKDMLLERPISTQPNETTGLWGVDGS YTGQDDEEGESFLRLLEILRNYPLRQVGGINAVLDMADQIRKVRLDAEQNGEKIDISP PPLPKQKSQNQATDSSSAASSGILKASTSWSKAIGSFWGSSSSDSRSTTDNSKHQNQN ENGNIKKQQSQLSDQNGPNQSDSSIHQDNRPSVASHLTSRKRSDTVDSTTSSIRERLS NLTASTPPTHIKSASSPSSSSLPRPLLLPSSISNRRSSGSSITGLQRFNSHQNGSSRR DSSSSLHSSSSNLSSPVKRQSPPLSNNTIVENGILSPPANLQSPPSTGLYRIGSRQRS RSSLGDSIVSPNQKESIKRDLNYGEEFNLELSTPRPLSLNLSD
I206_00241	MATRIPVALTYSPIRHGVTSSSYTRNFQRCYQSTSESNPSSSAS RSSYSRANLATLAAEGDLVVPDKTSGSKSLQTPNKSHPIPNQVKPLAFPPPKLKANPY APNYAISEEDLDEPIEFANDPLSSDVGAENYASSSNSSIKGQSHHAATTLEEPNRGSH IDWNRNDSQTDRRESFYQMEGKTIELGVLESVTLHKNYIIYKNRTNIDGGVITWGRLR DSCTCKLCRDPNTSQKTYTTGQAMRKAYDNHPPLIEGIDLNSGTKGLKITWGNNKGEK SHISFFSRSKLRYLCEANSIKEGHYPSQIFNRKLWDSTSISNNQSLKLPYDMIRSRKA YTMLRLLEQLHTYGLVIIEGVPTNPTNDEDCHLRKVMGWIGEIRNTFYGETWNVKSMP QSKNVAYTNVDLGLHMDLLYFSSPPRIQALHCLRNRVNGGISYFVDSFKVAMDLPEDL YKTLTSTYIPYIYDNDNHYLRYSHKVIEDFGKNGNLISNPHTAINWSPPFRDTWVPSS SDNDEMNLEIKAKNELNTLWSITEFENKLNDSKYKLEFKMKEGDLILFDNRRILHART SFYDKSIEEIKNEKIQIIKGEPNRWLKGCYLDGEVLWDKLNVLKKQIEKEKLLKDLGK 
I206_00242	MFIISKASSSRIPVTVPRICLTCRSFSQSTINSVKRPQKPYEAP LAKIDSYGNRIPINENIDNDKSEINRIKFLESLTERKSKSNLEKYGGIKKFGLRGKSN KSELAEIEEEEDWREKIGIKRTNDQSQYNQIRLGKKKFERANLNYLEESKRLNPSSSF RGDNNIRIKNDKSFGINRKLNDESSSSSSSSKSNLFLKSPKKNFGLSLNPRTPSNSFD NNHNIISRNQSIITKISKVDTMIQQKSKSLIEERWSPKKKLTYSAMSGLKTLHSIDSV KFSKEFLSKKFGISREAVNRILKSKYRDSGQINILESQIQEGNNLKGTKWDRDPSTSE NVSPVPAILRAYGKE
I206_00243	MEALGFNIATGFLEGVVRGYKGALLTQANYHNLTQCENLEDFRL QLSSTDYGNFLANEPLPLSTSTIADKATDKLVAEFNYLRTNAVEPLATFMDYITYAYM IDNVILLTLGTLHERDTHELLERCHPLGVFDTMPALCVATNVEELYHSVLVETPLAPY FRDCLSAQDLDDLNIEIIRNSLYKAYLEDFHKFCQTLPSPTSEIMTKILSFEADRRTL NITINSFGTELTKEQRARLFPTIGRLYPEGNNSLARAEDLDSVIQSVDHISEYKTFFD KSNIGSNVNAEDGNESSSLEDEFFKYDVELNKQSFLQQFQFAVFYSFIKLKEQEVRNL TWIAECIAQDAKDRVNDYIPVF
I206_00244	MPRVSNEDKIINGPRKIGSKKVRPCDNCRRQKHSCHISEPGRPC TDCAARNKECTFVAPPLKRHGKTPPKSSSITGSQQAIAGPSSVPFDTSISIDTPKSSM TTLIRNARLPIGSDEDDAEGSEEAYYDSLDMEYDDYEESHYLGPSAIAASALAASLSG GAGPGQKFRQVSDGPIPALFVRNPALLYGRLGPPAGTQHLLDGFVETLGAEKTQELMQ HFKETTLKAFPVANRMRLEAIIRKEPGSGTYPTTFLAALMAHTAYTYRMVDPAVVKTM WIQVLGVFEDDFRLPRLMTLQTTMMLLLCEPHENHAQNSITLGRAVGCAYVLGLHVEC LNWKLPRWERSLRRRLWWSLIMMDTWRSYIQGRPPYIHRTDHNVSLPKMRDSDWGNDT SDEARQSMLAFIGMARLSIILERITSNFHTLQAAVSPPKEPYRSVLLESIANDLDAFQ DWLEPELSLPVSLQVTSKAQGVRSMQIAYLGLKVALVRLTLGEPGEIWHNVEGTLRSA LKIGTELVKFMECLDEQDKATYWFPYSAFNILNGAALLLRVAVKSGTSYPIINLEAGD VLIRLVTCIRAGYVSSWSIAITAKNHIELLLKSLEGNLPLAQTLLTILSDPLTQNQNQ DQDQYQQQIAPNDWSLDINNLFAPMTDVDQQLWSSLGWLWDTQTMQS
I206_00245	MSLSLPKLAIKPWLTAHTPERILKNCRIVDPASGKVLDGLKSIT IADGNIVSVENTANETGSNVIDVEGNYVSPGLIDCHVHITAAPGVKTMLELVKLPDQL TSLRSTFVLKEMLARGFTTVRDTGGASKIIATALEEGLLEGPRLFQCGKALSQTGGHA DFQSAVSGGNQTGCCGGHSESLGRVCDGVPQVLKAVREELKAGADFIKIMMGGGVSSE ADPIDMIQFTEEEVQAITKTCDRMGNKISTAHAYTPAAIRHAVENGVKAIEHGNFLDV PTAQLMAEKGIFLTPTLACYGIMARKPFEDFLSEVGRPKNLEVMTKGLEALKIAEDAG ITVCYGSDLLVSMHALQTEEFTVRSQVLDSPTILRQATTNAAKLLKQEGKLGVIAPGA YGDIIVLKSNPLEDITVLDQPEENLLAVIKEGRTSMTRIKGL
I206_00246	MAQAPPTQISRPDVSAPNPPEFDDIAKKSKQAFEYLDLTILQWI DERHALKRIYSTAPKDYPVGGEKIMTKGAPWPETVVRRHAAYASFNTEELQATYVDTP AMTAMGVHQCICVPIFDKDGNTIAALNFLAGKDQWDPSMVGKMQDLAKVDGTEAFNKF IASQ
I206_00247	MQDQDEKGFVPNTEQSVVVPDEHHRQVDTKQDDEAAGFLAEVAS RPDAEEILAPFTPSESKKLLRKIDAIIIPLLFVALMMGSVDKVSLATSAVMGFRTDTH LVGQQYSWTSSIIYFGAIAAIIPSLMAMQRLPPHIYISFNVSVWGIITLCLPACKTFA QVMVVRTLLGVFESVIFAGFGLIVSMWWTREEQPLRTAIIFSTASSITNGLLAVAAWN YKGTRISKWQLLFLLVGGITFTWSLLLWAFLPANPTKARWLTLRQKVGATRRMQDNHT GMENKQFKLSQFKEAFIDPKTWFYFFTNVLLNIPNGGLVGFNSIVVKSLGFTTQQTLF LGVFSWISSFFWGWVAVKTGRRHLAAMGSCVLPLIGTILLYKLPRNQVALLYLYLGYM YWGPYIVMMGSMYANTGGYTKKMTVYALGYIGYCVGNIIGPQTFLAKQAPLYVGGVVA MLVCYSLAIVVIGLFYLYLLRLNVKKAAEYEANKATLEAEDQLLSDWQDLTDLQNPRF VYAI
I206_00248	MSSYPNPNSASSVPYNTYPDQQNVRPPQQNHNPNYGYQAQPQQG ASVHSLTGGGESPFPTQPNYHYYAQNPVINNEYIPAQPTYPGSYGVYPHPDQPVNNYI HPYSHRTYYPSPQAQFLHSPQQQIPYHRSPSQLSTNSGQSASPVVSNLPPQAEAAYPQ PSLSPLAGGIDSSVVRNQSIHQQPLIPIHLAQQQKPANIPSITPTAQASSSKSPLKIR LKLSANTASQDPKMVGRHHHVAVVQEESYSGRPRRGASQRAQAQMVSYQEDEDDEDFE DAEGEEDDGVGNFVPDIPIEPIRPTRSTRTAKAPESYGNEDDFEDKLMPSSPHEVVET RRTSGRGKRIVIDPDEEDDEDFKPSVPPRNAFPSRTTRTSIGSVQGTIPIEAEVPAAG PSYSNGNSKTPKRATRQSSKVRHSSADAEEFEPTDDGSISDDHASSDALGNYDHDEEE EDDDDLISRSSHESLRKRKTRSSARNIHTRSMPTRRSTRSSRKKADEESDDEYGGGGK RNLRTRTSKPNYHIPTIDDLSKEISMAEAMAAASRPNGRPGGVGGLGNGVRFGASGSK KGLPWSVKGRDLAQAMGDPDTSDSDDFATPLKSAGAGAGASMTGAGPVQRTNAVGPSD VPNYGRINPKSNMADADPLGVDMNVTFDNVGGLDDHINQLKEMVALPLLYPELFQQFG ITPPRGVLFHGPPGTGKTLLARALAASCSTGNTKIAFFMRKGADVLSKWVGEAERQLR MLFEEARACQPSIIFFDEIDGIAPVRSSKQDQIHASLVSTLLALMDGMDGRGQVIVIG ATNRPDAVDPALRRPGRFDREFYFPLPNRAARKKIIQINTRKWDPQLSDEFLEKLAQL TKGYGGADVRALCTETALNAIQRRYPQIYKTADRLQLETKSIHVSAKDFMMSIKKIVP SSARSTSSAAVQLPSHLVPLLSAPLDRIRKAIDHVLPPRKQATALEEAEWEEEEGDSF EKHMMMQSLDKLRTFKPRILVHGESGMGQTYLGPAILHHLEGFHVQSFDLGTLMGDST KSVEASVVQLIIEAKRHQPSIIFVPSLSAWSATITDTARATIKTLLDGIAPTDPILLL GVSDAPVDDLPFDVKGLFGFGPENRIGLELPTQFERSAYFSDLLAAIHRPPTEFPDGI PRKKRVFEVLPLAAPLPPREPTAAELLREDEKDQAARDMIFQSFVHLVRDFLKRHRKV VASVKDDAIAYSNYLAEQAALTANPSAPASAPVITIDEVEPEAAAIMPTTEGDVAMLI DPSIPPVVTVNGSLPSTNGADTVVSPDPPFEVVPSAPAEVWQAHPIDIDTLQFKLLKH KYYTPSDFLADIAKIEENAAKLGDPDRMARVQEMSSSARYHVQGFDQKWIPEFERYKE RVLAKKAEKQKKKAQAEAEKDKGKEKQGESAPVDLQVNGDAGTIPVIGEASTLKRARD GEHDGGELSHETQGREKRIRDGEMEIDETQLNEPQQIANSVSSTLLNGSQPLLPAAIS TSMSLVETTTIPSVPPPSTSIPTYPPFNVPQDILSGLVHDLNSISNTLNIDQLEQLRA SLFDIIWRCRTEWDRTAMLENMRSRVVEWGREVEKWKEAGRW
I206_00249	MPPHRGGRNSPPVDPFPPLRRAGSSSSSNPSYASIAARSSHPQR YSSHEAGPAQRSWGTEDVDRQDNLDELNIHSHAPYAEPIDINPSPPWRSRTRRRSDHT STEVNEAAHSLPEREGQSSRNRITATPSGSTQSRTITIANGARNPPSDSGEGISTPPQ LPARPSNHSPPSPSPTHRTREQPSPSATRLAPMFTLEELLRTNPDRTEETTTNTNNTT SSSQPRRRADAATIRAHLQERRNERERASEPLPWGMRMERALPTGAGSGNRETTGSSS LDAVFSLFDDSNEPIVIPFPADNGGPSSRTITRMSGVPLDGSGNNNNPSQDASQAITS FLRRRRRNPAPVRPVTTVWDPAEILPADYLSGPILPSTNELDLEDEEDVDSEEERWAS RLRIHNFSDVDLGSIVPGNQALHRGGNNRRRMSFLERIGAPSDLRFPEEFEERMDFPP PTRGVNEATGCEPTNRNLAENITPLVVRSGGVIRPRDASKTSSPVRKKQRPNPRYDDT PASPSRPAYLDISTLSKNVALPSSFIPPLRRSHLAVSTYKSGNTIRPLITFVGCNPKR IDEDATSLHTTTPIPIECGVHYYEVEVIDRGEEGFMSVGWMKKGTNLRRLVGWDKGSW GWHGDDGRSFEGQGRGERFSETWTTGDIVGCGIDFTTGQAFFTKNGKMMGHRFSNLSK GLNPAIGLRSVGESLSVNFDGPFKFDIASYVESTKNGIWSLIKNTDVESVPRLVDQID PSTSKSTPLTAEDADLDSSTSTLKRANHKMALAKALEKELKEYEVDDSVQSTPDTSSL VDPIDKTTSALVLDYLQHNGHSSVLSTLRNAMVKRGRLPDSSRVIDVNDDHESTKKTP SELALSHFTTKQEALNWVHTTISGSFENLLPARLIQDLSLSSLPSSALFTMELYDFLH LLHLSALPTSSDDDFQNVLEKGKSIRSELVNWPLKEKTLAEKAFGLLGQPDELNDPFW AAKRTEWADGLVRILREANGLNQSSQLEHAIRQSSAVMKTLSAKNGKSGAAFIDVKRI FE
I206_00250	MSSDTTTNKDGISELERRLKLANRKISDLSKELSNSRSDQSEPE SSQGSSDNPSTPKRRYRSRSRSHPRHKRQREEDDDSSSSSSSGNRNYNFAKSFVGGMC TGLGFGMGASLFSAAFTPSYTYYTPTPVYRRKSIWY
I206_00251	MRIAIVGGGVAGLSALWELNKYSDHDVHIYEKADWWGGHAHTVQ FQRPGKEKCDIDTAFIAINEKNYPNFYKFLVDSGIELIKTTMSFSLSRDRGKFEWASN DLWALFCQRSNFFKPRVYRMMWDILRFNLFAIDFLLENGEAEGLSIGEYLDREGYSQA FKEDYLLPLTAGIWSIPPEKVALDFPAMALIRFFHNHQMLQLWGKPSWLTIKGGSTHT DQAVQLLGSDISREEKDLLGNCNWSANQCVVHYDENLMPIRKKAWTAWNYLTSTSYIH PSHESKTSASEVSSISM
I206_00252	MVLLVHSNLNILQNLPISKYGQIFVTLNPPIQPDENKIISKWIY HHPELTPRLITTQKNLNKIQGKRGIYFIGAWTGYGFHEDGWTSGLKIVNRIEFDLKKT KNDIKGTSNRNVEINYFEHLLRILVGIIDRIFKNIYNWIIWILFIWTKISKGVLNDKS IDKYKRN
I206_00253	MSSLISKRLRSDEISDNDSPDPEKKIKVAKIRDKHNNLEVFVDP LEDNSNVFDDLKQDIISLSTQLSIIAQKYAEVQKMSEATQQKVNYLENQVGRLKDENR GLLIERNALLEEGTAERKVHDKLKDDVEAFRKNDSEMKKKNAKLQDEIYCLTTEVEVA ERLSEQKAGEISKLIVSVQQQKQEVSDLIKEKQEIEVRLSESEAEGARRGRKVETLEK DCQSLAGEFEDRDKKVKILEIEKLQAQKEIEDLKELHRSADDSMNRWIGIAQKREKTQ IQVVREKAALEKKLAERKNGPNVNVTFERDLLQVQKEKADLERKITQMEERIIKLQLL VASGEDELVKNKDLKRSLEQMLNAKEKVMKDKNRVVEGGRSKLKEMNEEIKLFKEKEE KANKEILAKNQTIKLLTDDLMTFKDELSRIPLQQQIHRFSKMEFAFDSLRKEHDESSI IRKRMLDTVAHKSLYLGKIRAELAKARRSSEHWVERDLQECQDYLKILNDLGAREREM NRLFKGVGER
I206_00254	MAQQPKRPFWVVESMGSSEIQGNSESNFTSQLKSDVQDDSVKGY KRRRIENDAFNNVTPVMKSSLPPRPQSVPLNSNPSTNTPHRMITRSSTKSTIPLSNER AQIASPSETIIPNSLQRVAPTSSNLNSSSLNTSSIVNSTNDYGKVFSYPGYPPFTPIR TTSSHQFTNYQTNHKNDDSIESIGIIAILRNQLENSNLTIRKLESRIINTESILFSKS TELDNIRMENQSLKFQLNQISNQNIPKQIVFDDQVQLDNQKKEYENKLNLFKQQLDKI VENVRIDKENIQKESKETNERLKIDLTEKDKKIKEAEIIIKELKGKGDIDIKSREVLK RLSEARQKVIENLQNDLKAEKNRGEEIRRVGWEQLNSLRKEQEVFQERFKVLENENSS LRKTNDNMRTTERIRHDELLRERRELEKERTNLVKDEKTKSAERTKIEQLEKDLSMIK SSHQRTLDEKDGLAREVKQNSRKCQELNEQYNLISESADFHRNENEQLRGCLDVERKF NGGLNAEIKELKRQNTNLDNQVKQLKDYYSKLKTRSASSQDQSTLINEKNPIDDLLEK DRLKLWSKIARLKNHLRNIEEKLSKEGKCLSQLPEKRVLEIKSLTENIAKSEDKLRES LRRI
I206_00255	MSSSSPRELENQIKTLENNNAIDKQESVEHIATLETGTNNLKTD QEYLAKALKDYQSTKINHESQLEVMTEQFNKLNIFVNRIKSAHEKYKKKYGTIHLEHQ DYFTAQIDDILVFLDQNPRNRTIKEFLKRMKIEGRLNWSEHWFKLIEFALKDLYNQ
I206_00256	MSRFVRPSKYRHVYGAKSKVNYENAKISGSAWDTDLVTAGGKYL AVNWQVSGGGAFGILPLFSPATPPQPAGFPTKLPDIIPLARGHTAPVLDTAWSPFDDN IVASAGEDGKVLIWKVEDSLFEGWGEDQWVPEDLSPELRLSAGGRKVGQVIFHPTSSN LLTAASGDHIVRLWDISSKDDTPKITLKGHTDSIQGIAWNAVGTTLATTCRDKKIRLF DPRAGTEAVRITDGHAGVKGSRIVWLGDRDRIATTGFSKMSDRQVSLWDTAGLTNLDT TSLDSSAGVIMPFYAEGNDVLFLAGKGDGNIRYYEFEGDSLHFLNEYKSSDPQRGMTF LPRRALDVKENEIARAYKLAGGCVEPLSFIVPRKAESFQADIFPPATSIEPAQTASEY FGGKTARAKVIDLETRATSTNSAPVPESVKSAPASAPAPAPVAPSTPSPAPEPIKEET KTAPAPTPASTKQEIDSVPEVTAKGLEIEQPDESEDEKVEEKKPEPVKAVSESFAGLS VKDEKKENGQVPAPTNTLLIKKLSPAATTPTRGSPLSAGYDLYSAEEKVIPARGKALI DLQISIAVPEETYGRVAPRSGLASKHSIDTGAGVIDADYRGPVMVLLFNLSDEDFTVK KGDRVAQLILEKIVLADIAEVDDLDVTSRGSGGFGSTGGFGQAVKDVASKIL
I206_00257	MFSKALFLLPLLPLLSAAPLPYPLLGAGPISDLDSVINPLTQDD NLPGLPVTPGDPSPTLSNTGDGSLINGLLDTVETITGPLNVSIGANVDLLGINTTVGL NVDLDDDEEMICGPVNGYWSNQQYNIPCACWSDSRGLVISAQLEADLGLDQVEGLDTF LQAQIEFGGERFTYPAYSMPTCDGDGGFNCPGGRSSNGKCSKFLAAKPRPKVLIQSTS ASPASVPTANSVPTATDAVINEVPPTTLNSIPTSTIAGFDNVQPTVTPITTVSSPDQT QPVAESQEVDDESISADNVVLTTSTSTSTVILPATVFVEMVTTTQPTTIWATETQTQT QTQTQTQTQTITSTSVQTQWATQTQWATTTLSNCAANDEEINVNSVSQSVQEAGYTPT PTSTYTSSSISSTISSSSSISTSSSTVSQVLPTPSINNSVPTQTSNNTGDEDDEEDDQ FKPGGVIKLSHPPLKSQLKCSNGEEFKTTMCCRVDQIELNGECKCSKGFENVLNLNLC LSICLGNRLPSGECSLLDLNTNLDLGLSDILSPL
I206_00258	MSGSHSRSPPPPPRDYPPPGEREFDERPGFSRGGFAPIPHRHAD LPPIPPSRMDRDDYDRSRRDREFPRRELDPDPEDRYERREWDDYPPPPRGGRGGGGSH WEDDYDRPKRRRSPSPLGPSHRQRLHSPSPPPIHHRYGNNLPDPASVETLLSFRQFAE WFRASHPQTAKADEEETRKHRELIESGNANETEAKEKVGMAKRYERYRKEFTSRQLYA LFLTHKDSIWFQERYSHLPEFVAFRRRLNRQGRVPLAEKHLEGLRSGAWDTVEFDMAE ESEAKSMEKSRLNDHDEPEGLDRALGDGGNWSGNDTLRAEIAPKPKQVFVKTAPPTTS RKELEELFARVPGFQWLAVSEPAQKKSFHRVAWAQYADDVDISEVINKLDGQKIDGFT FHMSVNSTPTIGRLRVTPPVSNTLDRLTLDGEKAKALAIKVEEELLGDDEEDDDKVEA DGEAVKTESAEKKIMGLREKVSDSVEETIQRLLETNGLNGEELDEEKKLHKAKIILDQ WLAYLRHGLATCYYCVAPMSFAEELHRKCIGHMRPHPSSIPEAEADEVEQAVVENNGE DPEPQHTVDAVADNKEAEVEDGDEDRELREADQPSETNQAHQDANGGGKDRREGQGQG KKQFFPQKTQDEKWAEGLDHKLRPLLGEVDLADYGGRDVEAETKKLCAPLIKQEEASK YRCKDCNKLFRAPEFVIKHIIVKHPEITKEKIDDISTLNNYVLDPQHLQPSLSTPAAV DDKLLSNSLPLAIGGNFQMPMGAINPNMPDITQGQQGGGAGGNMNLMQQQMMMMMQMQ QAMLMGMNPQQMNFNPNFNISQNQQQPNTREGAGLASRMGGYASRDSDNNNSNTGSSL PSITPGREDPRARKGRVSYTDLDEPSSGSGGGLPY
I206_00259	MSFLSLRTATRAPRVIAPLAASLHTSPLVNNKKVTPGEPEPETD PKKGGEGFFGSLLYGSKEAKAAGLVDPSGGQHGQHSKLVGRGKYVHEKITHQIVPSKR DEYLAAAEKYFRELIDHNGEFGRVKLTGSWESVVGTVGEFTHILEYEGYKGYDETSRA LKGSKEMQSLQSAILPLLQSRQHQIVSEFSFWPSSPPHDSGYPDGGIFEMRTYQLQPG KLLDWEYAWRRGLEARKRFVQPVGAFFSQVGQLHEVHHIWQYPDMETRKHTREQAWSV GSWSDTVQETVKLAQSMKSTIMVPCPWSPLK
I206_00260	MSQPPPGYHAPTSFKTHSLSETQYQSHVTDQSDGSFAREILSRN THNPDVDSGAFPTTAPLQRHIAPSQKGPRHHALQQESRAQSYEDPNSQLSSQYHRNGR ASAVDSFTASPSKEISNLQISNPDPKSSQWRPVSRSMSTTDGSFATHEQSKMIPQRQK ALIPNDDDLLDDPLTIANTQYNKSLTGSTFHDPGAKMAKPSLNDQSSPSPDNRSAGQA SRLHRVQSTPLSKSSASLKPQQQRMLPQSGIQKAISDTSSDNEYPVGQHQSDIRLNSN RVFQRQTLEQALESAHDKHDALRIEDEWKSDQIVYLGQICAGLSSEITVLKRQWRQAT QEAEKKANERDDALYQLNSAREAEGKNALALQEVDAFLKVQLDSVQQAINEEGTSLQG SQETSDCATISRSDLPLSVSLPTSRSLLIQIGVSHPDLNFYETAFSRVKTQILEMKKE LSSEKTNKLKYDKTQDEVSRLQAQAQNELVRNKQLELKVETYEKTITPFLQKLEEKVS SLDNKTPDGSQLVELLKQRDISSNKILDLEKEKISLQKQLENLLTTCVGHTSLIEDFT RLLSQKGGEGCDAEAMLEDLSLKYKSQLKDKFREMDDLSFCLQQVRSKNNDLQAELDG LKDVHKGCVVRAEQQSEQATRGAEYEEKLKTMESVIRNLQAEKAEMDVKYQECLSKVD DLKDTVSELQLKALQTHEQILAKLEAKLEDRSDSVSLAARNSSKDGTSSETIIYRLQQ DLQECRKELEKKKAELTDLQGGMEKDEDPEAGVERMRHGTARAKTGKLTFNQRKQIIL ESAVKRLQGQAASQRQAVETRAMAKPPAAESRQTVEAASPGNVLPAVTDAVAGLDKST VSDASSKGRNSKKSQNKRRWNDNEEVMSGPDSKKQKNTPAEREDGGEDEGEGEYGDAL SWEDISVLDNVIQGPGPQTIEDKNKESRLKTTGLQKTTTSQSFKEHVELDAIESPPAS QPVNATRSGRRALHPVNQFNPSIIASTSKSTPKLRTIVKGQTTKKITREAEDDNDSDF DPSANHKSLRKKGGARVH
I206_00261	MASSSDQSRPSTLPLNPQQPHWTCPPPSPPSLTPLSSNFPRSAI GETSIALSSLSINQQPIPLPTPSPGIPHPNNTNGNALSPPTPAPSPSPRDRRVFREDD SSEEESNGDLWKGKGRQRDRTVITSDEILNAFTSLSPTSRFTFLSSLIGELRLNEALV VSRKIEPLLRRDFLRELPAELALHCLSFVDEPRTLARAAQVSNYWNQLLQDEQTWKDL FNRHSFPTPSNFTTRPIGIRRRSTQTSLLSSLATTHINLNNSATLSATTRGTINTGSG KGNVTITSNGSRGNGQSCINRATPFGLERRVLNLSSSRLRDKEEGTFKGRFKNAYLTE SNWLSGGRLLANHVSSDDAVVTTLCFDDSHIVVGMANNKIHVFDAVTGTFLRSLLGHR QGVWAMILVTANRGQGDDEEDELDDITERFSTNESLWGGKASESYRDNGQSRRSSFAG GSTTEQHPPLGSISTNITPNLGYNSNVSLSGNMNNDSQLNSNSPGGIFPSSQINQPIR PSTAMGFTPLASSVDNDNDDNGLYGLGIGNSQRSSSSSRYKQQSSQRQRYQRAKGEGA KMRTSDPCGCARGWKGNKNNLVVSAGCDKEVKVWNLDTGEMIHSMRGHTSTIRCLKVL DKRPIAISGSRDHTLRVWDIENGSLIHLLEGHEESVRCVEIAGNLAVSGSYDYNSRIW NLDNGQCLHVLRGHYHQIYSVAFDGQTVVTGSMDSTIRVWSASTGECLALLQGHTALV GQLQLSSSRLITGGSDGRVIIFDLKNLTTLHRLCAHDNSVTCLQFDDRFIVSGGNDGR VKLWDVKTGRFIRELCRPCDAVWRIGFRSDRIVLLCQREGRTCLEVISYRPGEGEKRS RR
I206_00262	MDPTKAQTQATFTHLKSQKANKQCFDCGAKNPTWTSVTFGIYLC LDCSSVHRNLGVHISFVRSTNLDSWSLQQLRTLKVGGNQAIREFFTKHGGSNLLPPAN SDARGRYTSRQAGLYKEELARRIAEDATRNPHGIHIDGLELTPLASPSKTAGDEDFFS SWDKPSPASSKPASPAPGSGNKASAPPSIGNGAKPAATGSRTVTSSSLRTGVNATRPA ASSRLSSSTTPSSSTASGGGKLSKLGAKKAVTSINFEEAQRKALEEEERIKRLGYDKK KEEEEAKALKEREAEEKRKAAAATASSSRSSTPISSVRREESKPAPVRLGFGQTAGQA APAQTKTRAAVVDDVHVARDKFGGQKGISSDMYFGRGTYDPQAANEAQTRLRDFQGAT AISSNAYFGRAEEEEDEQGNGGYGGGAAGGDGLMDNETMQGLERGIRDMAGRVLANPD VQNLGDQIRMGALKVSSIQIFSYHRSDTTKCQV
I206_00263	MARNSTSSNRKKVLIVGAGAAGMSCADGLSNHPDRFDVTVIDAQ AYCGGQAFSIPIDKKYGANWMNQGVQGGSYIYQHTFHHFRKCGYEEEPVELQVSFGKD DKFWTNLFPTNLVNKHSNDIKKFNKFLKLIRWTELFWALIPIKISCKIAGLSDEFLDF MLFPSLALFLGTGNATPDLPTVMMERLYTSPTYGMWYPHDPKSMSSNLPPMVVFPESS EFYRTWQGKLEERGVTIRLNTELAAVTSRNPKVKVMLRPRRQQEDLHNPEGADQDLPS QEETYDEIVMCTLADTAKRVLGKQATGREKWVLGNTKWSDDITVTHTDIDYIKKYYTI EFDENQAVENINGRDDSSRVRKGEKEFQPMYLIKQVPKNSRLLEMCFDCSAFQYQLNK SLPLKDHIFQTIFLNNKDENTWTKNEIDKTKIIREDWWHQLCHSWTHYAFVVPFIWLL NRNSKHTTFAGSWTLVNAHEVAVISGTAAAYKLGADYPEDLYKNDFARKAFKSYLFLT HGLTLAKNRIRKSSLQN
I206_00264	MSTLSDPVTAGEGSSKPSKRVAYYYDQDVGNYTYYLGHPMKPHR IRMAHNLIVNYGLTDEIENDAPNLNGEGKRELNDEFLSLSTTIIPENKFIKNSLSGSR SKTMQVFRPHRATKAEMTRFHTDEYIEFLENVTPELAEEMTGGGVRCLIGEDCPAFDG LFEFCSISAGGSLGAAERLNSGAADIAINWAGGLHHAKKTEASGFCYVNDIVLGILEL LRIHPRVLYIDVDVHHGDGVEEAFYTTDRVMTCSFHRFGEFFPGTGDVRDIGMKRGKN YAVNVPLRDGITDESYHMIFKPVIQHIMDWYRPGAVVLQMGADSLSGDKLGGFNLTLN GHGECTSFVKSFGVPVMMLGGGGYTTKNVARAWTNETAVMCGRTLPEDLPYNQYMEYY GPRYKLEVLANNTDDHNPTDYLEKIRTQVIDNLRNLPHAPSAQIQHVPGQSISRLIGL TKESDLKDPDDEIDDRVKKYVMQKNLNGGYSPNSSDDEDTPMNGDSDEDDAAYALPGR SRRQGGIRSRRRLPTRIVNGKRNNGHEESDDEDDDPCGANKKKKRNFFNKSTTNGVQS IVNKKINGIATPYGNGTAITIGNGGVVEFWKDAGGISRGESPISTV
I206_00265	MSKPKPGLGKGLVRTFATSVSQYPSHHLQGIITLAPVIGQQHYH SISASSSSHNTLGSPSKNGVNGWPTSSSSTITLLPTWDQPSEIVLPYHSSTSSSSKSR IRLKSSSASSSSSIIGNNQITSRRYSSISISPSAFLTSPIPPPHSLFFDKSLSYQSNV TPPSPAQISLETLLSALEPTSLINEFGFNSRNNSFSDLPPPEPPSSPSPLPSASRSSS PNNPPIKGLRSVESHTVLSPNPLTPDNDSSTNQSTLFSSNSLLSLSDTSNHGTASSLK EDSEDISSSTYSSSLIFHLGCSGLPKERIPLPPSKNIRRTPQPPRARSFPLPIVESPS HLKSIGVGEDAYFARTDGLCIADGVGGWSRSASASKGSADAGRWSRLLTHFVEEEVAD WWNGKEYYLISKEKGASKDEKSQYDSSLKKKNQSQGWARESWENKLNRNMSSSALTSS SEKFNRESERRPIDPVEIMQRGFEKCLSCINAEGIHGSSTCLLALLHDSTLHIANLGD CCLLLIRKGEVVFRTQEMQHAFNFPLQVGTHSRDEPMKDAQRYDVSIKKGDVVILGSD GLMDNLFDEEILEIVLQFTSDSITSDQSPTTPSLWNKEPSLPFSPQQVSEALCKKARS ISELVTATTPFMCKAIEEGIDFVGGKKDDISVLVGVIGDKEEVGQEGEEKTQGGLQLH L
I206_00266	MASEQAIFDAEVKALEEFQKQPRFARTHRPFSATDVVSKRGTLP ISYPSDILARKLWSILESKKRGEGGGCTATYGALDPVQITQMAKHLETVYVSGWQCSS TASSSLEPGPDLADYPSNTVPNKVAQLFTAQLFHDRKQRWTRTTALQRGEKLGPPIDY LRPIVADADTGHGGLTAVMKLTKMMVEAGAAGIHVEDQAPGTKKCGHMAGKVLVPISE HINRLVAMRFQCDIMGTTNLVVARTDSEAATLITSNIDPRDHSFILGSTNPDLISLNE IMVAAELEGQTGSALQDIEDQWLQKANLQLYPETLAKALSSQGVDQGKVQEFINSVTG TAHTSHNGALQLATKKYGLKNAPYWNWDTPRTREGFYRYQGGTQCAINRAVAFAPYAD LLWMETKSPIYAQAKEFAEGVHKERPGHWLAYNLSPSFNWDAAGLGEKEMKDFVWSLG KLGFIFQFITLAGLHSNAFINDQFARAFSQEGMKAYVELIQRKEREIGCDVLTHQKWS GADYADAMMMTVTGGVSSTAAMGKGVTESQFGDEKSKKALHKL
I206_00267	MFSKTNSLLPILPFLLPAISAQYTATYQVGSLPQSSEEGQSGTN QCGTTSSQTSNCQNVFVNSVEDFCLWGPPDTHSNEGDGTSKIGNVEQIVVSYCLKDGY GTRLIPQGTISGAHFVKVESEKVSYVQVTGNGDLTKLLIPAGDEGGELDPHSWTGLGN PQGGLVFTNAFSGGYEQTHEWTSFMSSNEFCIRACRDGPNAAAYCQHIYDVLSCSFTI PGDTGDGFDDCLGDPTDEAPGVYNGVTFHQDDPTTPAPHPAGATSQCTAQSTIGGGNV DIGAITALASASAPISTSVSGSISASASSSNSSSIASSSSSSSSNSSSLASSTSASRT STSVSSTITSAASSRAVTNAAAASASASASKSNTSGAGKVTIPSLGLAALLGALGLLL 
I206_00268	MPTVLLTGISGFLAAHVALNFLEHGWTVRGTLRSSSKEQEILNI PQYKKYVENGQLKLYVTGSLENGDYSKAIKGVDAVVHTASPVGFAGDEFRKTHLDPAK QGTVTVLEAATKEPSVKSVVVTGTYGAVGNHKGHPHTQKGLVLTEDDWNPYTIEEMDS IVETKHNPSTVFDNGSLFYMAGKKYAELAAWETQEKAKKEGREWSLAVMNCVMIFGPP IQPLNSLSNGGMSTEVLYALAKGKDQPIMPTLFTHYVDVRDAAEAHYQAVIRQAQGRF LTSAGPYDFQEIADQARELFPEQAVRFSLGTPGKYAYVDPGTYTLKNDKIQKELGIKF RPKDETIKDAFTRFFELEKQGLK
I206_00269	MSPPAAVPGSPYTSNHISSPTLSSFSQMPNQSNSTQSDGGEKKS QRLIVVSNRLPVTISKDGNGEYHFKMSSGGLVSALSGCKKTMEFTWIGWPGKYIPKED RDHVNKRLLEEYNCFPVYLNDDLADKHYNGFSNSILWPLFHYHPGEMNFDAAHWLAYR EANMRFAEVVSNFCQSGDMVWVQDYHLMLLPMLLRSMISGESAQGEMVRKELGRVKEG VDDEVVKDVLGMQPGVAQAVENTDEGVEMLDDVEENDELIQMKARRPHFPRGLSTFQK QEIAAKEKGKDGIRIGFFLHTPFPSSEIYRVLPVRREILLGVLQCDLIGFHTYDYARH FLSSCTRILGLQTQPNGIEFEGRYAQVGTYPIGIEPMQFVEGLQQEKVQNRLKALETR FQGCKVIIGVDRLDYIKGIPQKLHALEVFLTQHPEWIGKVVLVQLAIPSRQDVEEYQN LRACVNELVGRINGRFGTVEFMPIHYLHKSVPFEELTAMYALADACLITSTRDGMNLV AYEYISSQSKRHGSMVLSEFAGAAQSLNGSILINPWDTQSTADAIHCALEMGPEQRKS NWEKLFNYVSKYTAEAWGTTFVNELTRLSGLPPNGPAGPGRKKSQSLSRTSSKASIRR RASQASVVAPSA
I206_00270	MSLPNAFVTLLTTPSYLPGALVLLHSLLDLHPAPRDFKIVCLVT PETVDAKTIGELRKAGFDLVIGVEPIGSGKAGQEGLHLMGRPDLNFALTKLHLFRLHP FFSTLIYLDADVLPLRPLSHLFTTTSPHVLSACPDTGWPDCFNSGVMVIRPRESDWVG LRGILKDGEGDDGIYRENEAGNGSFDGADQGLLNEWFSEEGGGDQWNRLPFTYNVTPS AAYTWAPAYKRYGHKISNVHFIGSNKPWSNLNGRPAGVSNVKGKEPSYDYPALLDRWY HVYDTHVRPSAAHEPDVSRRFAVPQTIAAWSQSGAATGAPQQPADRLNLEELKAASAH GVLSFKTGQYTSLPLEGRVDLLMPKPQPKHASIALPTSPPPIDPSAMSSPPLDAAPLP STIQQQITQPAVWDAVHFAPPSHGKPEMSIRMDTIYHNAWEQSARNQSSYYSASTPQA EPQYPTLPDSVKRDDWYKQFTGSVPDRSNVSAVFPWEQKGKSRPTPGRVFPRGDTPPP EEQQHAQRPAILVREPTPDYRQPAQQQSPPLSTPRSMAEAMASYTNAWDSIPQINKYV KRMSGMGMSKEAKSFASHGGLKSVPGTPKGGSIDFGRGTDRGIGSYTDTSADGDDEGD ESDDEDLSESPVVQHSNSGQFDDPSFYPNHETYRDGSAQTESPNLVDAKVQVVPGGGD SPSVRTFTLPPHPPSSSQVHLKTASGNRDQNLNSNQQTQRRSNKISSTNSGTSSTGTS SDTTPKLISPPSNPGDNGQQQPSILQTLPEYGFDFRGASSSSQGHGSGRVWDPNTDPE TRKRDSHQVLNRFMRAGGFGK
I206_00271	MDVDKMFKLPSLPASAGQKRKMPDAPTPEMLKKYRQAEPEPSSG PQPLPTNGKGKAKAATVEEDEEDYDNTADRDMYDGEEDDEGRFFGGGLNNEQQQILDI FDKAGDGEDGPTLDLPGLRRQLGKFERIVTKNAEMRGKFPDDPSKFIDSESDLDGALK QFLPLTQNPPLFFPELVKSGVVALLTNLLSHENTDIAIDVIEVVRELTDEDVGAEVDD FDEEAEGSNSEGSGRAYKTRLAMGEFIDELLNNSILDLLVSNLSRLNEEEETDSQGVF HILGVFENLLSFMPPLAEQIVSDTNLLPWLLKRIQKKEYDSNKQYASEILAILLQDNR DIILKVGELDGMDILLQGLSQYRKKDPSDGEEVEYMENLFDVLCSLLSQPEMKKSFVD NEGVELMVLMMKEKLLAKTRSIKVLNYALQTEDGSEGCEKFVQALGLKTFFSAFMGKG EGKKKKLNATSSFEHEEHLLGILVSLFTNLGSDTPERIRLIAKFVENGYEKVDRLLEM REVAENKLKGVEKDITMEKRVMQANKEEITDVEETEWYLRRIDSGLSSLQNADYILAW ICMEDDGAMTHARLLLSRKDQSFSSVIAVLSEFKDNIGDDEDEEEPIENLQKMILEQL IAFMQGLE
I206_00272	MFPVPQHLPRTGAEGLSSEISEKDPVLDLLQPLINNGESSKFTG NQVKGVRESLQKAVDDNKAQTHRLLTDNFPSISSQIQLSTSLHSHLSSVRDKVSSLEA EIDHSDSQTSFLPPLIGSLNRHFSATSSLSAAQAHIHSIKSLSRRTERIKKLEEYIWS GRSADKWVLDESSGDHGYSISEDDTEGEEILRGTRIIQAIQAKEALLKSMISDQLSEG FNAAISFSHPTNKRVQGTALFLQNEVTLQHPRTTPPPQLKSAASPHYPLSELYSALSQ QGLLGQLLTSLSSRIQKDIIHPIVTSSHRVSLSSADRLSILRLEATTSTTAYTILEDI KTTLNFIFNTILPPSVDLPERRTFVSSLTNSVFQSILNSLILPTLPQNLSELPDWLNT LQQAVEVESIFSGADRIIKHFFETEAGTSWAQRRRYAVSDEVRQLILSGWGGWESTEK EQDKEVVQYVEVEIEDNHAAYSLESQDISMKDVNGAEDFGWGFEEPTSKSIDDTSQKA SSSHGQIAQNEDVSMEDDGWGFDGSIEPVAGPSSSSKPPPISDSRKTEEDGWDLDPTP AIIQPEPTAIVAAPPLKPAKPAREAKRLGKKVAKVKREDEYDPWASPDPGEESQKSVN GKQYPVPPSIITEDVSLLQAVKKNTADDGWGWEDDPTPAPVNTTVAPQPLPDVIEPPR PLIRKEMREEKVTVNERYLVSTSCDTLSNIAKSLIAEIEQIQSSEFPSPSFATSILEP ILFEGIKEVFILYRAFLPTHFSKQLNDVPSIAMQAFNDSIYLSSLVHQLQIPFAGQGF LEEEANRLIDLSEHIFENHLSIQRDYILEQLNEMDEMQNTNDDKVYKKDEKVIKEICH NLESLDRLIKPILPLSKYIEFISYLSKILIDKLNLFILEMIDITEIESNRIKELFKLI IISIENIFKKNQGGVIRYIGGNWLKFCYISEILQASLIDITYLIDSGSLIDFTSNELI NLVKGLFANSEKRDIVIEKIENDGLGGSNLDLNS
I206_00273	MASIASSSRLTRSSTTRTPLLPLPIMSSIQFQSSSTLPSPVSLP TKRGFVSSEPSSSGGSKARKVSRAEKDGSETIRKTRSSSRKGKERQVKDDDNDEEVEI GVTPKIRNVLNTDDLGTGKSPARRLFVNGNTQRESPISGISGAISTPPKYHRGLAPSP PFSDSPSNPASTSHSTYMTGIEIDVQSAPSPELLPTAVLETDCGFEIYESTQEEMREM DEQSASIIMQRGPSISRSNSPALSEVEQNMMYNQENIQPLPSISYPPSPISKSRSNRS TPSKYSTTDDEEIISMYLNAPSYSPSTISSISGSGTRRRERSKLINEVLLLRGENIMG SKRDEVMDVDEKEELTPGRTVIRGGRERLAREVNMA
I206_00274	MRSHPDLTYPLLPDAQDDLPIPSTSRSIRQGGMSLRDTEISEGE YEWPEAGPSQPKENKIGPFGAKVVAAMTGAMTTSLLMTPFDVLKTRLQTVQPHPRSEF SISKIIQPESLSEECCQTTLLSNSSTNSNSRITPIQCQSTSSTSSALHSSSTNTQHLS FANLRPSGAGVGIGTIPIQEAPEGCLHPSKWSGIWGEALTLENALENRSITRNSIGAG VGVLQLPVQDRIRLNTIQSEQQVMRGFFSELAAVKRENGVRGLWKGVGTAITMGIPSS AIYMLGYEQLLTIISPYFTGSSDPAKNTSSLNRRPDASGNTLTASLTPAPLIAGSLAR TLSATVISPIEMFRTRLQALPSVERGSPTYASTTKDMSKLVQNKGVTILWRGLGPTLW RDVPFSGIYWAGFELMKSYLNTSPYTSNFTPISTSFISGFLSGTISALVTQPFDVLKT RRQVFNPTPGCETIRASTIPLALHVIKTEGWGALFAGLSARCGKVAPACGLMIACYEG VGRYLGGREEV
I206_00275	MKAYVYDDIPNFGNRGDQRLPHDSGNPISLKTLSELGVIYKEIP IKEDKKWEDEINSFSKERGYKNRDQITVTPEGLGEAYEDKIKSFFDEHLHEDEEIRYI LSGSGYFDIRGVNEPYNERWIRISLSKGDLIVLPAGIYHRFTVDSNNTITAMRLFQDE PKWTPHSRSLPDTDERIAREEYLQQVKNSN
I206_00276	MPVSPPQPARLADPATTYTLLEKLGTGSFGTVWKASQNETKQIV AIKMIDLESSDDDISEIQAEIAHLSSCFSDHVTKYYGSFVRGAKLWIVMEYLAGGSCL DLLKPGTFTESQIAITCRELLLGLQYLHDEGKIHRDIKAANVLLSASGDVKLADFGVA AQLSSHKSQRHTFVGTPFWMAPEVIRQAGYDSRADIWSLGITAIELAKGEPPLSEYHP MRVLFLIPKAKAPRLEEEGWSANFKAFIESCLQKEPKDRATAKELLQHPFITSARSTS HLIPLIERYQALKARSPSKSNSPSRTLNRIAAGIDNLTIGPGGTMKSEWNFDETIKGT IKGVAVNLDLEDMQDDEWDYQNEEQDGTWGTVRERAELLRASTLNVNQLSTTRESIPL ISVTMQGSDLSLPALEKSSPNPTPSIGSSPQTPHSEVMDLRSSSGSGTSGKSTWKQRH DQERGTVVKEGDLGDGFSTVRPMKKVDTIRSAKISNEYIGNGSLRKAPGISELQSPMK SIPSLSPRGRAGQALVEEVILSVLDHTAAEDHDATTLEGVNLIRKGFADLGNSNPELA YKLVMDILGGIRQNDIVHSHIRNMSMANVIPKSRPIVESDVAKPIIPSQEDLLPLRKD KEDDMIKERSPIADLLYLRWLDGLRLKWPGS
I206_00277	MSSSLALPPSIKTMPSLPMTTPSPSTAANQNAIAGPSVPHNSNQ QSRKRSQSPIAEDDEEDDGDSEDEKKKAGGAAKKKKGEKDKGEYKYTNEISQMMFVFG EVQDPLPETVRLVEDIVRGQIIEIVTRARLLTHLRSSRFLSAEDLIFLIRDDRGKVNR LRTYLSWKDVRKRAKEDEERGGDVELEVDGADDKAAAKGRKSMMKLPWELLTPFSDYL RTLPSKQNRDEDDEEDEDEIQAHQDSMQRLRDADEITKKMTKDEYVHYSDCRQASFTY RKARRFREFVNFSAYLDVKPNDDIIDILGFLSFEMVRSLCVTALELRENLELTKPNNN NNNENSPNKNKKRKLELNDSLNKNLKLDNNNNNKQQQQQNLSLFQPPPSERQPLLPFH IFEAFSQIQRIEATSRVGSMKNFKSGLGKSRVALV
I206_00278	MTNSRDLGDGREPLSSIPLNTPQRVNAVAGPSKHTSSTSKITPS SSTKKLAPIFLTKRRTPTTSTTQYVNNENAPPLSGDGSPISRKRTRIDPPAPNSQSTS ISLEYTPSSSSSSSTSTCTSHGHAYSVSGLDVEMDGGKNHVRMHSIHDWFLPSTNLNY ERIKDGKKPEIDTSVRVEDLPNNGIWKRQRKRLGLKGIGSTIVDKRRLITAQTPYLTT LVHSLSPYEPLVPPSVLLLPSIHPPTGRPREFAPPLSISFNHVAKSHDAYASKELGLR RLIAIAGEEGGVRILDVDEGLGTHREEKGFWWRAHGNAIFDLKWSKDDSKVLTASGDQ STRLHALTTPTPTLLATLTGHTSSVKTTTFFDPSRSHSDLSTSSSVIASGGRDGNILI YDVRSRGRRNADLDQAHIPRGERERYSDGVPGFVAQPPSRGMELNPVMTIRNAHGDGR RNGTGRTATRSVTSLVALQSMPGILASGGSYDGIVKLWDLRFPAPTTRSPEPRPTCTA MGSLPDPTIYSTSPSRRARSVNALCESPTTGDLYALCGDSKIHSLRPSHILNTSNQDG PEAGELDLRESIGIKTFTDPNLLVSSFYIRLSISPDGRYLSSGSCKGGVMTWDTLNRN TDKATRMTLGEGGVQWPVGKEREVGAVDWGKDMLAASSDDLATRIWRSNRDAAKWLKD DPIKASEEWGGCIE
I206_00279	MTDSQSVLDSNLLEIDYITYRIRLESEPPTTWISGNTLGTKLRG YVFTNPLKIIIDANTIEFPACPQDNRRLTVNLSLLAAPNTQAISFSLCVSVPLLGVEV EQRDGRWIGTSVINGFLSDLIPGMYVLQIEVLDMDGIYGTDLADAIVATYLSDPINIL 
I206_00280	MSTSPTPSIGPSSISIYTVTPSIKGKERAHSSNYIIASPHEQAW PPARESERWTTSELWKRSRARAKTDRTSWDYVLTSGVAGGIAGCVAKTAIAPLDRVKI LFQTSNADFRKYAGTPMGLIHASGVIYKTSGIRGLFQGHSATLMRIFPYAGIKYMLYD WMERILIPTPDHRDPWRFFVAGSTSGVASVLCTYPLELIRVRLAFQTKTSERTSLLEA VKSIYHEKDVVHTSRQKPNISPFIRSIPLYPFYRGFSITILGMIPYAGVSFLTYGTLK KHAADYISYFKDRPTMRDLSCGAVAGAVSQTASYPFEVIRRRMQVGGTLVNGGISAKQ AIQTIYNAKGWRGFFVGLSIGYVKVIPMTSISFATWQLLKRSWEL
I206_00281	MSRPSTSSYPLQTLSQRSSFSGPSMNGQSNVDDMPSRRTLAVGH GKGKKKRVSDADEQEALLGYTNDLEGVEEDQMIQATGKSKNGSRNIPLQHSLTKSPFP PNVVRNQKYSVVTFLPLVFYEQFKFFFNFYFLVVALSQFIPALKIGYIVTYVAPLAFV LAVTMGKEAYDDYQRYLRDRESNSTRYLVLLPQPACPVPQNVSLPGEPPVSPSLPRPQ TRSTPASSIKVGDMVLLEKNQRIPADMVLLTTSEEEGTCFIRTDQLDGETDWKLKVAV GETQKLGEKGVGSVEGNLYADPPIKDIHTFYGILNIRSLTPGPTKEQSIPLNVENVLW ANTVLAAGSAVGLVVYTGKETRAVLNTSEPETKMGTLEREVNKMAKILCTVTFALSVF LVALNGFRGQWYIYVFRFLILFSSIIPISLRVNLDMGKTVYAHQIHTDPEIPETIVRT STLPEELGRVEYLLSDKTGTLTRNEMELKKLHMGTLVFGWDSMDEVAHLLAQALNEQD GASDSMSAIPGRSRRDMSSRVRDAVMALATCHNVTPVTNDDGSITYQASSPDEVAIVE WVESVGVKLIHRDRTSMTIQSTAGIQYTYDVLYIFPFTSESKRMGIIVRDRSTGSITF VQKGADTIMAKLVQKNDWLEEECGNMAREGLRTLVLGRKKLTESNFSEFDLKFKKAQL ISGEERQIQMNKIINDFLENELELLALTGVEDKLQEDVKSTLELLRNAGLKIWMLTGD KIETATNIAISSKLVGRGQYIHQVAKLKTSDQIRDMLDFLSAKLDACLVIDGESLQLC LDRFRPEFIHLATQLPTVVACRCSPTQKADVARLIREFTKKTVCCIGDGGNDVSMIQA ADVGVGIVGKEGKQASLSADFSINQFSYLTKLLLWHGRNSYKRSAKLSQFVIHRGLII AVIQAVFSSIFFFAPIALYQGWLQVGYATLYTMAPVFSLVLDKDVNEDLALLYPELYK DLTKGRSLSYKTFFTWLMISVYQGGIIMLLSLLLFESEFLHIVAISFSALVINELIMV ALEITTWHTYMILSELGTALVYFGSMAILPAYFDLSFVLSKQFISKVAIIVAFSSFPL YMIKAIHRRYNPAAYAKVAGI
I206_00282	MSNQIASTSSNHNSSSNGEIYAPIPRHLSIIPSLSNLSLTDSPL PSRYPKSSTSSSVASFNTPEGKKDGPRRAYTATPSSTSVSSNEDEDEAVIDVKNAKSW LNMASPNKDVKGKGKAKQVEFESISMEEGLANRLPPEILIQILKLLPDNKDLLSTLLV SKSWCLCTFSLIWTKPQISSIKTLASLIRTVSNPTLKKQTTLPYALSVKRIHLSHLSS TLNSELFMKLTNFQNLERLTISNCNHLSSTSLITVIRNLPELISVDFSNLLSVNDLVI KELSEGCKNLQAINLNECKFLGDEGVLALANGCTGLRRAKFSKCHRLTSKSLIPLIQN CHLLLELDLQDVISINDSVVYSIFLNLTYLRELKLNNCTELTEKCIPNLEDLKGYSEE TLIKEAQNVNLYPQSQTSPKQAEIEDEKVIKKEIRVMPKSAYLDHLRIVDFTGCTNLG DLAIENLISNSTKLRTLTLTKCQKLTNLSLESIERLGKHLHYLHLGHVKLITDAGVIR LAKACTRLRYIDLACCDLLTDESISELGVNMPKLRRIGLVKVVKITDETLYALVERST ALERIHLSYCDNLSAKAVSHMLNRLPHLKHLSLTGVTSFKKKQLQVFCRAPPESFNDH QRGAFCVFSGNKVDELRRYLNEVYLASTIEESDSTSTRRNSGSSSTSSITVPGTSSPP FINSNSNQPWNTTYTHAYPQSYIYGPGYNSSPNAGNGYVYRRGSAPTLRGSGSGSGIG LGLDNIAVPGLPSMAFANPTPNFLNPPTTSTIRTSNVNNGANNGLGLNISSRIRESGS IAMSSREGSLSSIDEPRHSRRERERERNRPMGPRDRETTLSNDHHHNHQRYLQGQEQD QSHRDEITRQSPKSRDVVDDGIEEQRVVRDSDSPDGAVSPNNGLNASALGMKWFGWGM GESGTGPGPGPGTDGA
I206_00283	MYVQLSTVSAEPYDPYIPAGSSAPQPGGGVGGSGAQGGGQQNKK IAAIQQQIDETVNTMHDNIQRVAERGERLDALQDKTDTLAVSAQGFRRGANRVRKQMW WKDMKMRIIIGVGIAVLIIIIVVPIVKA
I206_00284	MRFRTAISNVGLLHKITRSLAALARSCVIRLSPEQVHFIVPGNE SSTGVQVWSQVKVDTLFDNYKIESNANNEIWVELHLDSLLKVLRSADSSVGSINESRS TASLSDSDVTLKLNKKGQQPIWSFEIRGYTAQRKHMSITHEIPVKILSPKRQNELNEP LCPQPDIHVVLPNLLELRNIVSRLSHIADDVKVSANHEGRLEMTAKSSRVNLTTTWKN LQIPNSLANEDDQPPPPEDQMFTVSVAIKGFLKFLTSHLVGGTAIACICEDHCLIAYV YIGALNEAGGVLTFFIPAKMTDD
I206_00285	MAPAACQEAREELIACLLRTDCVLKSGKTPTECLHSQQELPIQC QHLIARFADCKKGMLDMRRRFRGNHLSESAKAAARGDPLNAGTIDIIPDRNSDEDTRQ R
I206_00286	MGILKPHRPSDYPSLLLYILDETHITITLLTALAILYTKDAHVV WFAIGALNSSLSAKLLKNLIRNPRPTSKTITTKTTIPKSSSSSFEKEKENKKNLKSSS SLKTYKIKNKKTYGMPSTHSTSLTFYFIYLIPFLINKNLNSNFQFFRNLLSILGISIY WLLGLWSRKKLGYHNLKQIFGGILFGSFLAFIWKFIYLKFPFIGLNIQNFINIVWNRI FG
I206_00287	MAVDYSQFKGKPFQVVSKLGVRYTGIFDHINQDDQTICLAQVYN HGTEDRPTARKLPGSNKSLGWVRFHTESIESLALVENYIPPGEEAPVDPILASVSQNA PPAVQAPPQSPPPPVASSSSQPRKQSYDLPPRPTGAAISAATALDRVQRSLSDLHVEE PRQHRRGGPPRQPIEVPDEDFDFAANNEKFKTEREAHAKNGHGEESEQNGQNEIGEPN SQPHPDALKAVSPQVEEENQAQKKPQAAKYNKSSFFDNLSTGTAKVSRADERHRNFDT FGEAGGPSYQNQQGGFRGRGRGGIHSQGGRGGYNGQSEGGLRNGTGRGGFNQNQRGGR GGFGQQNQGFVHYGNSQGQRQQQRRDQETFQ
I206_00288	MSTSTSSLLPILAVGGIWYYLQSRGSTINTIWLLLNGLDTLRAL RSVRSNGRRVGLKTRKKAMRDSLLCWIIYVAVQTVGPVFSTCLSWIPFYSPIKAVICM GFLALRVPASSHIFNHFLVPTIKPYETPIDLSVLLIQSIGVLVFHYTLQVPILLIVRI ALFSWTSIKSIIHFNSLSPGWSQTSPVQRKETPSTNENRMRASFLSPPPHIPGSILLR HPSPKPLTPRRSISFISPPKTPNILPPSPPSSDDEIQIIAGPSTPRRPSQKSFLHVEQ VREIRRSPRRSKPVAQRNRNEEIVSLDLMNTRRVPVIFPINPGRVGVRDMEKNQPKGK EKAVPVLIIPDEEKEANIPSRSRVTKAESNTRKDVKVLSTSSESAPSQGSNRITSHSK LLARPNYTLPTDSKLKTNSEDASSRMPKSQSAASIVSSRSAQTKINQTVSKKSNDNTI KRDTLPIVKSSKPKTPRKPRVLKASLGGVAQDKTITRTAITARSSSRIKDIRSGQAER EDDKKVGEKRRTVESGVPARKRFKK
I206_00289	MTFPSSVEQLPLPRSKRHGRRLRRDSNGEVIDQSNVNEDHNVPV HSEAGQGGPVLDFGRHGGVVLEKGKKGWEWIWANEDREDLRYIAGQEATCLFPPTRVV DKGSVELTTTDLIDSSTKYIESICSPYERYGLREALVSILDPDNLNQAGPSHTSKQRA LPQVDRGIYDGSKLAIIHNPRARIAKTLLAFPVGEVGHHLSEATSLLIRLQSTTHLLN LIPDHTYVPPSSQPPILSQRTASLSYEDTEGRRHVDVALDPTIWSRILVVDECGGVWL WWEEKDNRAGRIEKAWNLRKIRGKFSNAKDQFYRIAFGSKAGTALVVSSNELVVIDLD DPSHPSTSVLSLQGGDRQFISCDKTALQRKSHYTALCTNYEVIWVDESKMGTPIISWK HDLGLSSDMEIGVIPGLTNKDSCTILYSSDQQHIMIFPHTKSGTFRSLSTPYSLTLPV KTLGSILPFSPASIRHHRSLLGLTLDGAVHSVPISSSQFANLRLTRHQGTSIAEIKVH WDEHVSKFADRGKDEEKVKKGRELDLRWAWIEINEPTKIVEEDVYFHPDQFEQYIREL DAPFEHLMTASDLAREAAYHEPTDLQSHLLTPLSIHPQAPTATLADLTVVDLARHLPV ITSLNHNLPIFSEARPLLSTKDDQEKDALSPANIFESLKAAFPNTKKEDIAQLSLDLS LSRTIVSSDSITLPNLDAQISDESNESDDLFTRAAGLSLKDNEPPEIKFGFLQPKPMM EEGENAGNDIIMVKGNLQDDLTIKNLLVDWKLGENPLNFKWKSFKKENLNSEISTINE IDLSKSRIIKPLPSLPSQSQVNFSNSNSLTNQFFDLNKKFSNFSNNLFQNEKNLPPIL IESRSSPPLSFNNTNMMINNESSQNNEEEEEENISFINTQIERGPYGGREKDKLKKKK KDKKRIGGF
I206_00290	MAHLARLLALLPLLGGVVQAATKDEWRSRSIYQLITDRFAGGGQ CELGSRSYCGGTWRSVIDKLDYIQGMGFDAVWISPTALGIEGQTKYGENYHGYWTVDP TQLNPHFGTSDDLKALSDALHSKGMYLMVDIAINALAATDYHIDAQTLAFDNDGKMLF KDPANYHDRCNIKWGDHQSEEIRWLVTGGDDNDVALLDLATETPAVADKLKQWVPGYV KEYGIDGFRLDASKHLGKGFQHDFCKAAGIFCIGEVAGDSTEYAGTYQGDDGIDSVFG FGMLYGAAAVFAGGKTMPTLRHYINAAATSYSDPSVIGPFLDNQDLPRFNSRTGDKSL AYNAIVSSFLYGGIPTVYYGLEQDIADGPYDPNNREALWNYNNYNTAGDTYKRIANLN KIRDFLGFKGSFSTSVATVLKIQDQDIALQREDALIVLTNRGSSGSGTWSISGTKFGN NADVVDLLSCGTAKTDASGSMSITWSTGQPFVFVSSQIASEGGFCGATASSNAGNALI ADPDTPLNATGNPGPTDSDIVEPTATKSQSNSAQVTSIGTVASGQSTITSPDATSTAQ TASNTASASAAKGSEASSGACKRSRKRNGTGKRHHDHL
I206_00291	MRLNENTVIFGDRVILVPYRVEHVSTYHEWMKSPELLELTASEP LTLEEELEMQCKWHLDEDKLTFIILARKEINNSLLNKNLTLEEIKKCKMIGDVNLFLP DGIKGKGECEIMIASKEDRRKGYAREAIQLFLKYLIQNLSFKPLNLVVKIGLKNIPSI KLFQSLGFGIIKFIKVFNEIELNYGKEDDFEILNELGLSKIDYSLKLNWENLNLNERI GTI
I206_00292	MTSIGTGYDLSVSTYSPDGRLFQVEYANKAVEAAGVAIGLRCSD GVVLGVERLLHSKLLVKGANRRIASLDEHIGIASAGLLADGKHLSRRGREEASSFREN YNSPVSVQILADRISAYLQAYTCYGSVRPFGLSSIIGGVDKTGPKLFCIEPSGVYYGY RAVASGKGKALAKTELEKIVNKAIVNGQEGGITCREAIDEVARIIYLVHDDNKDKDFE LELTWICQESGNKHALVPEDLLKAAEEKAKAALEEGMEED
I206_00293	MDFDIIPYEDIKWGQRLGAGSFGSVYKGSYLGIDIAIKEVLPST EYDVHKYFEREWRIMRECRHPNIVLFLGLSKAPGEDGRVFIISEFVPRGNLRQYILSS HPFPWRLRLSFATDVARALTNMLSQCIHRDLKGENLLITSNERVKVTDFGFARIASRN AEEMRRMTYCGTDGYMSPEIINGLEFDLPTDVFSLGMIFIEIISRKLVDSRTYTRKAP HFIPDSFEVERRASPGCPSTLIKLALECTKEDPLKRPIMSEVLIRLREIELEVLSRMD NTSSEHVGSIKLSHRIGKRAMPIFDGGDINNLNEDVDEKDLKDEEEEVLRKLAEINLD ISGKGASSLTCSSGSNSELLQEEDRNDNEKWRTARWNDLGFTDTTSVLTFKTASSDVK GQEPLLHTSDSGSSFGSTGTRTGQGWSSFMNPIGGEPVQTASTLRAATPGPMCSSISD DSKCEEETGSTMTIKGNSNSTTCYPAENPNSIAIKSTHTSVISSIKDVSEQDHINTES NKSASISENIPSLPDLITPIKRKDQQQVNITNSPIKLTLDNHTKIIPTSSLATHRFTL VDKDNVPLINGKKALSSNFTSTFAFAFLPRSLASYPSPKKDEQGGKCAVCSKKMGARA GLQCDDCHLIVHVKCSHNAPRNCTGGDGKIH
I206_00294	MYKELLLFLLFTTKIQAKNVTLPYNPERKLLSLEQHGQNSDLGT QILGSRNYESKLDRRMYSQEDITSWTKSEKDKRQLKWDKQIEYSGKTFFEGWEFFAQP DPTYGLVTYVDHATAFARGLAFWTGDGKPGIQVDHFSNVPVGSPRDSVRITTKAMFAG GLFIIDMALMPWGCGVWPAFWTLGYKVEWPTAPHLARLRDQSDFRHVHRPTGRGSGCT IGSDSATSFGMPFNEAGGGVFAMLWNGNGVRMWDWNRAQIPADITAETPEPGNWGTPR AAWDASMCDPWKFFQAQVLILNIDLCAFPYCPGTCAEYISDPKNLNNTVMLLNYIKVF QQSGMQSVPEQAHDNSNLTGAGGDAPLNASEVNASVRQTNSAQIPGSSDTANSSSSAS DLKIPLMSLSMLWIFMLMWNMILNYGDLYLF
I206_00295	MATPATHPLHPPPQFSQPSNPTEESIRPSPNLLDTPQLSQPSTP AHVETPYTIQLQTPGTHAEGSASSSRVVSPVAKGIHTWTFPKGKGRAERTSQDDEGVL DLEALRRMKARISQMEGADSTCDGNTERDELLGMVKSILPLAIEQLPFLQERLSAQKD TIKTLQQQAKLSEQLMAIERSRQSAERDSWHAETRALINAREAEIAAGSRPRKVLDLD VGYHQELEAANKRLEMDNRLMAPRLADTQRQIDKLVTELRFLRSHVVLNTQPISKPDD PHSAAAPLNNVYLPSPSRRRSRSPTKLSGRTTMGDARTEHLLLAARRIRTLRQSDDRV GRLTLDELKKNGIVGPNGGVGYSEGYPGVESEAEDELSEEEEKPFQHARRPSMSTGKP INRKSSQVAGTPLLPRPKKSKKTLHPPPQPTIPQTPTKSIRKQPPPQTTPGGSNFNDL LRAAELATRPGTPTPENRSQQMVPISAMSATRSTTRVRDESASERGSPVKRIRRDEWS PEPEMHPLESQRTIPNSQGSASALDLLAQASQLEVAKSGEMSSASSNEALNSATRLGG LLEPIETTRNGNGRSSSPIRPHEEMPLGPAIDLTQFAKPRLPPPTPFHAEDHHVDQNL TLTTPKNRPRAYSGTSDLATPVTAREYPSSTIYPTPGRERDFEDDAFASPAGGPNSVP GLGKYVHLTSTIPTRRIRSPYLKWTVEEDELLARAVALHGEKWDLVSKGVPTRSYHQV RQRWLRKTGAFDKKPIEGQAGMDDEEDSPTPDENKTPTASGGKKKRRMSQA
I206_00296	MSWTIPDITLNSGNKIPILAFGTAAPFFGTDCSQYISQALRAGI RHFDTAQLYSNQESVGKALHQWEGNRQDVYITAKWGLEGDVENDPKSALEETLRLMET DYVDMFLMHSPITLSSLSMKKAWAMMEQLKDQGKCKDIGVSNFGSLDIKRLSEAWKVV PAMNQIEYSPYNSHDSRSIAAVQICKDHGIAISGFGCLQPLSLKNEPHPLLSVLAEVS ERLNLNEGQILLKWAQQSIGGPVVT
I206_00297	MSDQTLDRPMIFSDDPDFKTIEGWSRSNVEDDNDKLLPATTGFL TLLNDQNQKIRIDQLPIFSGQLSTNKINPDTVFGPEGQWGSKGKTVSDRFINMAGYYF ATKDTIKGNIDKDKENQGLSIYVSFYVDTEKHYKINPKDSSPWLNFWTNDTASKFDTI ELSLNLPKNKQWLNSALSAKGERHWIPSWRDTKDSLESIPGTVTSGKFSRAHQVKVGD STDTDMCFNMKVEWEGTKLKDPDDGASLELLKDLFRGDTGLGVSCDVSITIKSQEL
I206_00298	MSSTLAPTTLSSNNPITRQDVEDTLIKKLGASKPSDKDLDDYTS LLTGIWEIWNKIDTKEEDYIPFVDENRYPRKNVRRPEGEENKLNAWAWKVDLEDTKKD GKGLLEGKTVCLKDTVAVKGVPCLVGTDVLQDWIPNTDATIVTRILDAGGNITGKAVC ENLSIWGVSCSANTGPISNIYAPGFSAGGSSSGTGALVGRGEVDLGIGGCQGGSIRIP SSVNGIVGMKPTHGLVPYTGVVGLEPILDHVGPMTRTVLDNALFLQAIAGYDGIDDRS TGGCPSPSQIPNYPKLAKEGIAGFKIGIITESLDRPLADKRVSEVILKAAQRFKELGA EVVEEVSIPEHTLGPDVWAVIGRLGAAKSLMGESNGRHGLAMNDLTEKFLPLKGDKVD KMFCSGTNTLINGIWGWENMPPTLMGKSINLVRKMRDAYHKALSKYDILITPTLPMLP AKLPSPDASIRELMENAAGVSLNTSAFNLTGLPALSLPVAFLPSLVDGTTKLPVGMQI ISKNYGEVEIYKAAYAWETNSDWRSFS
I206_00299	MTELEDLIELHTNLWDAAFPNFPLDQAVARSVSSSPSDIAHQAI DSLGLRQNPEPTINLDMPPIPLEDTFTTLPELPVLSQLHMQPNSLIHVPNTTASSQPS HPSASSHTALSEIPNEAYPPTRAASPMNTPFLQNVYDFQSAAQAAASDWSEPHAAKAD DTPPGQLDGLGAMKLDTVAEMKAGAGYIGMSSMAMLILVLRRLVNRDSLLSPLNDTTS HEFVSTDTNSQSAHNTRHDITPPLLSGPSRLPRYIEFRPLVDSYFQYFHAIIPIVHEP TIRAQLTGALPLPSSGGSRVLIFMIFAMGAFDQATSENDDNGYRYYEIARQAYQPEMV EEGSMQLVQGLAIMANYLQRNNKPNSGYVCLGTAIRMAVALGIHSSAGHPNTNPLDGE IRTRLWWGLVALEAGCSTTFGRPHGFGHASYLLARRPVNCDDDDLTVGDSALPQDVDR VALYTALVMQTKLAKKMLHLQDRISRSLPYPTIDQIKWCGEAFLADVQSYPAYMQPGT PGPFRLARAIQNWRARDFASILYRPVLLSAAWNSSGPHNVGTALSEVIDACRSLAMET LRELRTYGGPDRDLHRGSQWYLLFYEVQSSLTLLLSVVWEPQHPSSEEWRTMISQSIQ RIREMRSVSKMGSSYAQTMENILQAQPSLDINNVLLPLRPQDQVQPLADANAVDWNQF LIEILASQNMTQEEIQGINTSPFAQDPTLYPV
I206_00300	MTTVFISGGNRGIGLGLVQKYAARDNYTVVVTARDPSRMPKVDV GSGSKVVVVTMDQAKKDGCLEAVEEVKSKGITSFDIVICNAATLLVEGYAKLRDVPLW AFEEHWRVNVLGFLAFFQAAVPYVKKGGKFIFISSGSATIDQVPRGYEVTYGISKAGA SYLGHFAHYEEPDLVIFPLDPGWTQTDMGKASAKNAGVDLPPLTIDESTTGLIKVIDE ATRETHGGKQMRYDGAQNKW
I206_00301	MATHTLNDEPSLEMISRDVDSNPDNKNVGEHIENSAHIVDPGEV FETKYGNLNKVQSVRSFWKAILFCTILLWSALNDGFQQQVPGNILSLPAFVAELGDVV VNGQRSESAKVVSYWQGFAEMSKTLGMFAGGTLMDRFGRKPAMITSLVILLAGSIAEI AAQNWRDWLGAAILVRLGVGLAQTILITYVSELAPFQVRGFMIGAYQVLLTTGQLIVA VAAKLMEVHQPTKWRPLIAIEFMFTGVSLITIWFVPESHIFHARRNEHDKAKKSMVSL YGTAPGYDVDWEYRVVQEGIEVERKLFSSNGASFFDIFRGNNWRRTLAGSVGICSQWA AGAPIVFSYSTYFFTVAGLDNPFLVSILTGCFLMCLFNVAIASTSFSSSAASGKAGLA CLLLWVICYGLSAGPIGFVAAGETSTPHLRAQTTSFNLGCYGLGFVVFQWSISYMISP DAANLGLKAIYIWAGLLVPTTIILYLFYPETYGRTYWELDELYERKIPAWRFKNTKTS SELAGQKHKNLVHYGH
I206_00302	MPCEGCTCGLREDGGDEGDILEQTNLGVRSFTAPAEDRGEPEGV EPAVPLRSKQWWNNPSDDMCGAYVERYLNGGLTMNEIANKHKPIIGIAQTGSDLAPCN SGHVQLAKRVRDGIIAAGGTPFEFPCHPIQETTKRPTASLDRNFAYLSLVEVLFGYPM DGVVLLTGCDKTTPALLMAAATVNIPAICMNVGPMLNVGYAGRRLVGSGTVLWDARAA LAAGKIDQLQLMQTVATSAPSLGHCNTMGTASTMNALAEALGMALPGSASIPAPYRER GACAYQTGHRIVDLVRQDVKPSDILTREAFENAIALNTAIGGSTNAPIHLNAIAKHIG VPLNNEDWQKVGYELPLLVNIQPAGEYLCEEYHRAGGLPAVAAELIKHNLLPHPDALT VSGRSIGDNCRGDFSTDKRVIRPIEKPVKTSAGFLHLSGSLFDSAIMKTSVISQAFRE QYLSNPDDPMAFEGPVAVFDGPEDYHHRIESEADIQAGTILIMRGAGPQGYPGAAEVV NMIPPGRLIRQGIELPCIGDGRQSGTSGSPSILNASPEAATGGNLGILKDGDIIRIDL ARGRADIKVDPKELEARRKEKGPFKVPKSQTPWQELFRENVSELSEGMVIPKAVKYQR LAQTAGIPRRNH
I206_00303	MTIQTTYKLNNGLELPSIALGTWMSAPGEVERAVKHAIESGYKH IDCAWGYGNEAEVGEGIKASGVPRDQIWITSKLFELHHKPEHVELAVLDSLKKLGTDY LDMYLLHYPVAWKTVAPEGVLPQKEHTPMVDGRLVIDIPLSEDFLSTWAAMEKLVEKG LVRSIGVSNFNIYKLKKLIAAAKIKPVANQIELSIQNPQFEFVEWLQRNEIVPQAFSP LGGLAGQHLRQHPAVLEIGKKYGVHGAVVLISWLLARGIQPLPKSVFENEIEANIKPV DLTKEEVETLSALARSFPAKRVVNPSSEYEPFYDVYQENHPEFSDTAQLLLEKNGA
I206_00304	MAVRTFGNHDGVDVLAIDIKSPDGSTTATIITFGAAIHDLSVPT SIEPRSVILGFDALSGYVANKQWHHGAVAGRVANRIAHGRFELDSVKYNIEANEPTGH TCHGGNSGLGHRVWTLENHDQNSVTLGYNSPDGDQGFPGNLKSTITYSIPSTGVFKLE YTARTDNKTPVSLTNHSFFNLDGARGSSVHDNLQQKLTIDADQYTAVDQDLIPTGELA DVAGTPFDFTKSRPVEFPDSKTGKPFHYDLNYVLRKPSVSSGLHRGAELISSNGDLTM ECWTDQPGIQFFDGAPMDLKNPGLGGAVNGYRAGLCLETQLWPDWIHHPNFQQSVLSP GDTYTHTTEYRFK
I206_00305	MSDANIKIALLGTGIYAESDYVPSLLQDSQKHIEVKIIWSLDQT AAQRFADQFKAAGRAELQVAIGEAGIEQILNDTEIDAVVVVLPFAHQPPLIKRFWAAG KHVLSEKPIERDIEAGLAFVKEFEDNWKPKNLVWRVAEDYDHEPIHKRAAELLADPAM GPVLFWDLQNQNYCPDGDKWQATSWRNVPDYQGGFCLDGGVHSIAMLRVVLPDPPASV IASASLHRKHTPPHDTIVALVLPDSGATKEPSGTSSKLDIARQHSAKLPEPGRSTPAG TITFTWALPNIEPRAPQNLQVLNITCLNGKLTLVNNEGTRTLEVVPAIGSQVKAVKET SRKKGVEVELAYFARAVQATKAGRPIDPKEDFGKPMNTVWDVAVIQAMLQSNGEKVDI KQLIAQYA
I206_00306	MEVKTVVQQESLLKYNCELGEGSLWDAIRQRLYFVDIIGCRIFT YEPSTGIHGFQSFDRNVTALALLEDDSGLLAALQDGLAFIKFDQLPFPPTNSPSTYKR LSLNLKHLEGFNRFNECCVDPTGKRWIVGTMMNEEDFPKSAGGGLYSVTQTKDGGLSA DLLLDNLTVSNGMGWTKDNKTLYFTDSLRKEIGKYDYDIDTGKVCNKVIFSNVDDEFL GVPDGMCQDDEYHIWSARWGSSKVIRFTPEGKIDLIVHLPKGLNITSCIWGGPNLDEL YVTSAKTGTTDEQIENHPSNGDLFVVKGLGFKGKERTRFKGKF
I206_00307	MSTYQHPFAARPSLYKRHTSPSSAILLSLPTLEALPPTPRSAKS YEPLNAFVVKSNSTNICGSSENLHTTAKRKPQNSLSSTMPLIKSRSKRPTSSKPENYS FLPSIAAALDLRSIVSSRNNSAGIHQGPTSHSSPPGSASAIAKDSGYQACSLLSRSTP PSTFSKDSLTALPSIQGVFEAYENEGSEIRRNISKRGKGKRDTLKLPMMNPSERHHSR NIYPFDEQEKDDNWHWNEINQSAKVIIDREFIPPRQSNSQQTFALSSDNRHVPRKRNP SLMTETNTYLPRPTVSRKSSSSNQSRRPPHSSLPAHSRSSLQLSLEESQTAEDRSTLN QWLGPEDDNLDDVELQALNVLETLSGHLLRDGFGYGTGQPKAVENIPSLTQSGHSTPS KTRSRSTTYSTQATSDNNNRRFSQISESNPPSIREWTEYRKRTKSQIQQNRPLSEQNQ TGRSAPIARRPPPPPPPGPPPPQSGLPPLPTQRKSMQSHTREDDKRSIFAENNVETPD NSIGINLNSENNKRSVRAEVESLLDHHQIQIPNKLLPLPIPPRAASRLDKPNYIHLSK HSHRMASSSQMQSRPQTPVQRKDNKEKPGVKVPLVFSPSSSPATTDREEEANSVIITD NRLLTPLRNMSSPTIPVHQRPLPPIPALPDVSTLRLTSSQPRSTLAQLAHSRLPTRLL HPKKPSTSPKTASAGSNSPMSRVESKPLTRLVPREEPRRLFASSRAQREEGRKGQMSA LSFLALDDAASNNLSRSEKRTSSFSGSASNSCMDSAYIYHTGYSQAKNESFSQGSEGG YIMPPSERSKIGVDDRKAIRSRRRGSQSSLSSIAGSEMTSLPDDTKVVPRIGARIEKE VEDDWTTAYEFGRSKSRSDGKELRLSLGIGIRTTKTASPYPQTKFRPTPLDLFSLSGM AVGRDSEIGREYPKSAGLLPPPRPRRQINPAMTTTAPPVPPQPTSPITPNKRCGQISP RYFHHSTNPRSDVGSKPDVVAGIDSMKSEKGDRQTDGLTDFMIFNSPIIPSPNLTTPG QSFIFPSSKETSPTTSKNPQQERKILSTPEKSGSSSYSHDNSSNWSSDTYEKERKIIT GLWLSSKPTKNFKSNDIEGYERNSLDQDVKQTLSDHPFYSIGRSSKMPLLNNGKGESV VIDGGYGERASTGIKS
I206_00308	MSREEEITAEDFEFDEETHNSSNLLNGQNQTNGNMPSTRSQYHL PASEQLRGVANRIIFSRYYIIFYGAMMGLSFATLIISLIATHGNKCPPAVWHILEVVI NVLMVLEVGTRWIAYGKKYPLTLLNIIDIILVLFCTVTLILVFKNPCGQGTRSEELLD TFLLIIRNTVQFLRLGSILRRSGHSLLNPPKPIDLSQAGQASLALNLELDDDEEVAAE RQLNNNSRTLRGTGSSNSSGGRYQRLNQDVEDEEERVGLNVKNNSGRENLTNEDEDLW DRL
I206_00309	MSEQDSGVKRPAENHSEEEISVKRPKIEDISSTTISNEVPKSSE MVEITGEAPKSSGSTTIITTPSSTPIPLPIIPTSSTSSTITPNENEITSVRYNNHLDA PHRNIPEPISRLGFKPIIPILPDSLEYITGNKVDLTERKGFVGESECGIRGFVGKDNK GIRGVIKQRFTDFLVNEISLDGTVLHLNDINKPKEPESEKPKDTSGPSTSAISQKSAQ AGEGDSKEEEEEEKVDISSLPESLHFQPLAHWSNSTTIKLRDHLSDETIISLYELLVD GKTPKPKQDGGWGSRVSSVVQQAGKEEGAVNEEENAIATAGASSENRGQGGSRGQGRD RGRGRDSNRGRGGRDSKGAQGGWKVDSREVLSQPITSKEARGAAHKILRECFGSTFES TTRETPGEEGQRLVIKYATSGGRNSGGWQKQLDRPKLPPYIHFTLHKTNRETMDALGH ITRMLNAHPKDLSACGTKDKRAVTVQRVCFKRNGKNMQYVWKAINGIFKNRRSEEAAV TERGERGVRVGDFAYSNKYLELGMLKGNQFVITLRNVQEEDTQEIDKTMTSVRDHGFI NFYGMQRFGTSSWPTHLTGLLILQSKWTEAVDSILHLREGEHPDCTKARLAWLEDGDH KKAFDMMPRRGVAERCIWEFWGKNRVEDKVGALNSIPRNLRTMYVHAYQSYIWNLVVS ERIKLSSTKPLVGDLVFVDKDVLGEGDVPDPDSIPAHAKDRKGRPLRKWATTSSPEVK QLTEEDLPNYTIFDIIMPLPGWDVDYPGGIIGEKFEEALKKDGLNIHKMKRDQRDYSL PGSYRRIIIKPLKLNWKHIKYTDTDLPLTQSDEDKILNLNPPSIIDEENEENEKGKFK ALKIELDLNSATYATMVLREITREETSTWHQIGLTMNGEDRDYKGSSEKEIKISKSQI QDKEELENDIDM
I206_00310	MVLENDSRIEGDTQLTNATLIITAVGDDCIAPQYAFNDYGTGEM EIKFRLLDPNERCSTSSDIHPDSILDRGDRSSTFPKSLYGILQPDSGGEKDTRSYYFE GEFVAHHDGILESLGDRWRKEACKVSLPINAWKIYIDVNLIEPEDWAKQSLESEPLRE NLEYLSFRVFYNSNNGTVYHNGYLIPTLLQVRQNESRTCFNWNTHKDRDIISKLLSEI GDNPIAPSRGSWIEIQGQVDSNVSLW
I206_00311	MALAQHVDAEKIIAESRDHPVRKHTHDRQSTLLDIPYTSRYDVE IDLPRYSIPETGVNAKVSYQLLHDELLLDGNPNMNLASFVNTWVPDECNRLMYENLNK NLVDQDEYPAAQAIHERCISMISHLWHAPKGATALGTATTGSSEAIMLGGMALKKRWQ EKMKAAGKDIHNPGPNIVMGAEAQVALEKFARYFEVEDRLVPVHHDSGYVMDPKEAIK FVDENTIGIFVIMGSTYTGTFESVKGMADELDKYQEETGIDIPIHVDAASGGFVAPFV YPDLAWDFRIPRVNSINASGHKYGLASVGLGWIIWRSADYLPKELIFELHYLGQTDYS FNLNFSRPAFPVLSQMFHFLNLGFSGYKRINENNLSKARLISRALESSGYFTCLSQIH KPKNQGVSNISPVISNAASNVIHGHTPENNDPTYYVEGLPVVSFKFTDEIKKQYPKVK QEWIQSQLRAIGWIVPNYPLPPAEDNTEILRAVVRESLSGDLARKLILDIISVTESLL NGAGPSYVMSVANRRQSTTTSPTTGKRGDTLDTQHISEHTTTYAKTC
I206_00312	MGLPSGKGLYWNSFCHVLSIILTFSSFVVLLITVFYNAPLDHEH QTLEGKMNNRFWLIVVNETSNTLVFDDHKRSDIMKNITQTEIVNRQDDIDTNLTTSIL INRDQFEKRGGSGIYAYGFGVWGWCGWSDNKWTSNAICTKKPFWQLPKDSKYSRDNID QILKDLPTAIKNALSITSFFLLFTPFLVFVYLILLLFCINFKGPYPPWPVPRKSQWPK EEVKQEKKVKIAWNLRNWRVQLYFFALSVIFMLPAIVTIGVGVNSVKSEMDIGGGLKA EMGHGGLGVIAAWLLFILAQCLTMSKYGLMAWRKDIKNKTTK
I206_00313	MSSKNQSSKKPSDNQQNSPFNHLICILLSLISTLFLFLVILYNI PFSNDNDNYNEISNLNKKLWLIKFDKKNKIYGFSIWGWCSWSSINSNSNSNLNFNQNI ICKRKTFWKLPEDFQLNDSVNLPNEISKSLSISGFFLTFLLITSFAFLIDLLITIKFH SPKQPPSIDKIYWTCPRKMRYTTWLAYCLRNFYLRILASIFILAWGLPVIIISSIGVN KFNKNSISSKLNDESMSLGSGWAMSLTALICLIIIQIIIPLGGLWNDARRSGKKH
I206_00314	MGVVRLGVCVSGVYAAFLLWAIAQERLSAPFPSTSFRPHTSPEH HSKGDKFPSPLFLNYAQAVASSLSALCYLLFNAWKNGNLHKGLREIIGYNQLFSIGKV KTIKGEEIEPNGKEKLINGNGSSVNHQKSVAKPWKKSLPALLLQVSLFQGLAGPIGFL ALRHISYPTMVLGKSCKLIPVLLLNVLLYRRKFSPHKYLVVILVTIGISLFMLLAESG KKKKAGNDSAWGLWLLGINLFIDGLTNSTQDQIFSTCRSFSGQQMMFFMSFFTQLLLL PALILPIPSNPLSFISHLPSPISSTISSTPIIFAKPEILNSISFIITHPDCLLPILAY ALLGGLGQLFIFETIQHFGSLTLVMVTVTRKLFTMLLSVVVFEHTLTKGQWIGVGVVF GGIGVEAAMKRREMIQRTKKDK
I206_00315	MSQPIFSASNHKGYTDESTGEQLPYSRHLHLGDGMRNGESGVTK MSPPDSIFTLSISHPMFLIISAILCVLFTMAFITREPKSYGRNGITTEQFNKLLSKIE ELSKEIRELKEH
I206_00316	MSDITITVYIPILGGVVVVLPTGSTAQDAIDLAFLEAEETFGED KLVEALNEKTADPENIWKGEDTGDEWTLKEKRNLENGKWWNEEDIVSYHDSILDLNDI IISQKLFTLIRPHTPLLQVSILLPTTSSSIPLRTTSIFHEMSIFSDIISELQIELGLP KTSDDLIGPVSMRKMTSRSRSSSLDNVTGANIEKSDIVKWKIRIGEREVHLEEKVKEV MRSHECDVVDISLDEDWIFERKETDNVSRTPILTSQPADAESEEEESQKSTLKPSTSQ PLNSGLKGLSSSTSFQSPNLSNELIDGLPNDNNTHSPILSVSPPAQAISPPRPTTFIS LSTGTSAGISRLLPQFTGGTSNAGTGSTPATPNRGKEGGWKRFSLAGLGVWSGDTPGK GEGLTPPREESGGERMLRRESSAAEKALGEVGDIKPIEKQSTGGLWAWWTGSNKVEGE SPSEYIDALRLSRKNHQPLLKHLLSLRGTMSTARTTWISEFILLDGLSALSSVLNRLS KEQRQKADIGEQVIGEIAKCLRILMNTDVGFSAVLAYHDLLTNTVLSLRVPSYRIRNQ ILDLLTAVITLSPELGSKIVLNALSEMRILNNDKYRFSWLLESLKPAEPLLEEQGIWE WRSGILNLFSALCNASEEVEERLEIRGELRRRGFQDTMQVCSADLNAISERKANTATT IKVLERLEPPTSFLSKCASYMDDQEDDLMEFRELFLGEVQNADLAVAVGRLLSAIGGD EVNGLVEVIEELTEITSSFHTRDTTTSILACFARHLSGLDDLSADWSSLLRSFLVDLD DILLTAQAGRKGTNEGALIESYVHEVHALQATSRELEERNNMFERQNEQHSAELVVLR EIIGAKYDGDGFVHYLVVKEKEIQKLQTELLALEGQIGPQRNVGANNELRDRERLRFD ALLEEIGQLRNQISERDREMAERHKEVKYLERALQTIRSKFNVRIPTREHRLEQTIHL DADLIATEAVKNWAKQEKTIEKLQKEVETLHQAKERLREAQRDQTRSGLPILQCCAPA LTPPPPPPPPPPPPLLTSASIPLSDPTVSKATPAPPAPPPIPSLPLMSCQSGIQNATA PPPPPPPPRPLPSYPSTTLAHPISASSSDLAGPPPPPPPPPPPPPPPMLGPSIKPTAI PLAPPLPPAPPSGIPMPPPPPPVAGPSRRRIAGPSQAQSKLKPFFWSKMPQYAVKDTI WTSMSSSDDLDLHFHDLVEVFSLNSGVEKVEKVKGKSKEVITVLDITRSNNIGIMLNR LRLSPSKIRRAVVEVDDDLLDIDDLATLSRMLPTTEEVERIKAFLGDTTKLSKPDLYF REISAIPHLKIRLETMVFRRKFEMMLGEIMPDMMILRNVTKELRDSKRLKEVLKVVLA LGNRLNGGTFRGNAAGFQLEALSKLKETRTAKGPGCPTMLHYLAKILIRRDRALISWG EEIPSLEPAARIVLSELSSSIFEMTTSIGTPRTLLPLLTSDDSLYPSLEEFLKEASPK IDQLKDTFDEIKIDLINLSRYFGVKTDNEGEVEKIFGILSSFSRNLEIASNEMTITLL KEQNTGISISTTFSNSTTITESSNNPTIDTNNDQIVISPLTPSSYNKEEVEHKRQPSI QTLLKRHENLSNLTIRKGQVDETIRTIHSGSIRRPSRKEREPSLWNTLNRNYGNSRIT NPGFGANRNSLDKKEDKFRLSKMFLDGGTGGSVRGTIGDKSISR
I206_00317	MTRTERAQGPAAISKDKHSRSGLTKTELSHKNGDGGHNWGSDRT KQQDELMGEKDASDELFNLNENININDNKRKNRRKSSLNSDNDNESNLSNSPNQSIGS IIERPNFINGIGGNQRRMSSYSEEEQKEAFKFRSGWNKNGVDLAQIARTSYGIAQSPP NNTYMSTSPTQVKSGFNFHK
I206_00318	MYSALESFQKATDLSCLGLTLVICILGVKKDATDADLKKAYRKL SKKLHPDINPDEGAHERFIEVSKAYEVLSDSEKRGIYDRHGQKGLEQHEAQKQAGSQD PFARFFGGGAPQENRGPGLITNLEVSLADMYTGRTVEFQIPRKIICTHCHGSGAESDR DIHECDRCGGRGMTIQRHQVFPGMVTNVQMQCNHCHGKGQRITRPCHLCHSAKVIDTQ HTLAVHIPAGAPEGFEEVFSGEADESTDWEAGDVVVRVRSRKGENEGGWGRKEGGVIG RVVLGVAEALLGFERNLTHLDGRTIPIGRKGTTQPGEVEVIEGEGMPAYSDIPQGDMY IEYSVVIPTEVTSGTQEKLRDIFNYHPPSSHHDEL
I206_00319	MSTSQSQIQPPALQRFQNTRAEYEAYLPLSQRVQHDLQHDADEL RAQEGWDEDEWSGMEEWISDSDSIFRHLRRNRFDENKTLTALLSTLQQRINLSLHQPI PPFPPYTESPLFFILPLPDHADHLGRPIAILTVKEVYRDNDGKLDDLKSYAWWALEMV RRTLRDYWVKDHWSKTKRLGSGGEGMCVVVDANGAGYRNMEVELLPTLLSVGHNHFPG MIESVYVVNAGWTHRSMWNIIKRVLPKSALEKVAFLDDKASLEAVFDLDKLPQSYGGN HSYTYSPSHNPIYTYYSHHSSTDHPFLASRNSSYSSIAEIYHSAPNTPFRSRRNSSAV NLGGWRFGSRLRMTKSRQANSPLDSPEDEDDMPVNSTLEESTPTNHISILPASDSMRR RDTRGIGPRINGNGSGSRTPSTATNSSAIQRIKSLSDFHLYLSPSRLAHLDLLSDSDS DSDPEPDEHGHKEGSPPRRTLKPALFENSSLPLSQRRARPPLKLSGVTNLEGEKSVRT YSDRLQQHHAKVLQQFKGENAPTSSRLGQTSTVPTNLSPPESIDKNEGDSTKGVSTEG SSDATINEPPTMKTHETSNGHANAIDSYDHNNPWFGYPVIRVPSPSGNGRSSIRPKFS RNRKRDLIKTLLFLFMLRLQSWRDSLERYLGLNYIINISNSQYNKNFGQPRSNGIGPS EGLLATALGGNDILTKRSNVQKDWWWMLIGFLLLRGTWSRLIVAPLESLGWGRELLGL 
I206_00320	MTNLGDSEDSSYNHGAPTGQEQVFTIPPDSNVSQGGQSSSNPNV NNNYNLSQIVSQGATHAQSKDSNLDHRVGSDDATLSRVSDQ
I206_00321	MSDQIPIILFKTPSPSYELDSYTKILSKTKYNSTFIPILEETYH INELISIIEEGSNKWEGVIITSKRGSEGWIKAVNEIILSSSKFKKNKEEINLKNGDDD GDWNKIPLFTIGLSTLNNFKESLLPKRFLPNIINFDKEEIPNSANQLLPFILNKPCIN KIEYKPYLIIRGNKSIEILQNELKLNKRIIKEIIIYKINSRLDINENLNLFKKNNNNN NNYYENKNKKFKKGWLCFFSPSGVEIVLSLIKLNHKIDIQNEIEKEEETNNDNEFWNE WKIFVIGETTKKYLEEEKGIKVNAIADQPTPEGLLKAIREFDERHNESNVT
I206_00322	MTGTPVKKKEKEVPPSPPLVIKDSGKGVQYDRVGFLGEGGFARV YEIQDYRNRRKAIKVINRKSIQTKKNKTKLWAEIKLHQMLQHPHIVRFEECFEDHENV YMILELCENGSMMDLLRRRKRYTEPEARYYLVQLIAACQYMHQMNVIHRDLKLGNLFL DADMNLKVGDFGLAALIENPGDRKKTICGTPNYIAPEVLFDQDNGHSFEVDVWSIGVI MYTLLIGKPPFQTKDVKTIYKRIRENRYEFPADKEISDAAQSLISSILNPKPDERPPL EKILSHRWFLDGPFPAYIPASANDFAPDYRHLSASQSRRNFQAMCQKSKIGVVPSLAP EVIARPRQPLGPSILQQERDFKNAVQPDSPISALITSARQPLVQASAPIKEPSLLRKL SAAGAASTLSPARRSALGKENHGGAEEVVEQQKERGYDHAVRERGLANQKARIVSEMA GEKRHHQHHDHQHIQQRQPSASPRKMNPLATATTLPRAPAPAPTLASSSKSTGYTKTK DYKSSLFDVIGQNLSNGLTLAQSDKGFRTPHIPATPQSPSVFVVSWLDYCTKYGMGFA MTDGTVSVHFNDSTSLVLAPGKQYFDDIRPTTTDDLSHHTRRSQGIENYPSDLKNKVY LLKHFESYMLDKLFLEQPYTYDDVNLKTGMVFIVKYLRMKHVILFRLSNDVLQFNFYD HTKLILSQDGLVVSVIDRHSVLRTWSLESLLRPVDEEVSPKDKKRIEGVVHKVQYARD VLAKIKSHGISKASGQPTNGVPVAAGTRGALEREREIMKPIR
I206_00323	MNGSPSLASPIPTLPNQSPSPSPRRGAGAVAGPSSPRLPRVSMG PRLPSISASQPFDFDRTESPPLPGTSARTSIVMGFSPASSHQTLTAVPEASSSTSPRN PVLTLNTSNRRLSSLSPQLTTPVEQVFQWTEGSPRPLATSPRGLASEFPPRSRRNSAA IVSISLSSRSRSRTPRGSAAVLPGQQGNSGTGTPNKGSSSATPKGDGQVVQMGDSWIS GQDQEELDDWQPAGGMLLDGDETAVVGDEDGDEFDDDAKGRSWTGYSDEDGSDSPHVE EPFKPGMLIGEGMQFQGEVIVPAVGRMGTDDVGLPLRRGGSEATKNTRTDGKTEKKRY EVVRKLGTGSYAVVYLVREKGGRHREFALKCLSKQDLEEEQLETQLFEAHIHLSLPIH QNIVTLHQTLQTRKWLFLMLELCPGEDLFYWLEKSRDASPHAQPVPLPGDRNGGVMSS SKLSSSSIPFSSSQMFSNFNGMSSSFTNSPGNAFSQFSGSPASLLFAHHNGHSHSSHF TPSQTPPTPSLLSAFSANTLLSSRRLRLIASMFSQMCEAVSICHDAGVSHRDIKPENF ICCDSIELEAATEGDYGEDEEDGKPVNFGPQAKRKVVVKLTDFGLATTEEESGDVECG SKPYMSYECRNNLGPTYFPAPADVWSLGIVLINMLFHRNPWKDPTPGDPNFDNFLMDP IGFLLTKFTGIGGEVASYLADHVLCIDVDARISARAFGQWIKNLPEMIAGRKAVQSLR ISRLETQKTPTDKGLFVKSPVVTTQEATRKYSASALTSSAPTLSNLPPPSQLSQIEDH HYKIVEEENEDTSPTTPPLDHDQDELVSATTVDEQLTPVDTSEYASPENEAIDNDSEI YVNESVADGSERADANSRSLSTNKRRKRGVRKGKAAKAAALAAAGAEQPSQEERDALL AELTAASQSLAREVSKFSKDGDSSTPDLSRMEDFPPLGATPAQIAEAKKSKWKDMMKF SGNQNTELAALARRVAERDGSLNLSAPAKLQHNKYNSPMTSATKHALRQTTTVSTTSA FSTTSALSSFGGVSSATSSADDEDWRKPRTVKPQAILEDQSETRGRDKTVTHAGRRGE DQSRARKAALAAAAITGGFSEMGNFGKPSALSLSQPIAAPARPQDPRPQAAYQGNPIK HSISANAVPTNYTIRNSAPIGQSPITNQGKAPYKSGLSHTHAISMDGSSPNTILDNSS FTTDNSTRNAYARPVLSSKESSSTITSPSLITAASNNVTSPTSGAGPNKPKLKGQIHT LAKMLSGLKTKGKD
I206_00324	MTIKRQRTDGDHLYVGRIDVPPSDTGTQMEQSTDQRSAEEGGQS AEISNVSKITEKPSSFGFNATLTKLEPTFQTEEVCLLDGIPDLGLKVSKESYQIATRL HEPGDFQGTPHLVAHDGPQSTIWRRTKVAPDPSKRDTWEAYPTSFSIEKKW
I206_00325	MTHTIEHPTVVSETEISVDSPNETAHQDTANTSRRGSTASSDQN FNGADLRNALEDIKPSDSHATSYNNLQDPRKPAQDTVPSTHSASTPDSQVSAAPSHPA DWLGSVKGWISRLDDEDDYREVYHIPTQRSMVEKDQDGNFWPIPMSVFPLDTLNEFHE ILERKFPVQKTELWTPLGDDWQTEPHRAPTSDEVQTVLRNLKDEKLSSAPPTPPSRLP SCGPPQADAITPSIGAVPEAPSQ
I206_00326	MTTERDYGAASPPASPSSSSRPRKAIHEGHASITSSVVNLTNTA VGAGALAFPSAFASMGLIPGILSCAGSAGTAIFGLYCLSRCAAVVGTRPGDEGRKASF NELARLTFGKGWATKLFDLAIAIKCFGVSVSYLIICKTLLPQVCFTLSKVFHHPLPDD SILLASHFWLIVWMIVVIPLSFLKTLDALRFTSQIALLTVVYLVLVVVGWFALKGISP THGEIVLGRFGSNTLSSFPVQVFAYTCSQNLFPIYNELKNKNQKKMNTVIVASMGSAA VVYEVIGIIGYLTFGSKVGSNIIAMYPPTSLIIAVGRFGIVLLVGLSYPLQVLPCRQS LHHLTHGLFKKAKLLKRPTANQEENSESDEEDESESNPLVPKVDDHGHNVQKSEMSKI EFIVITTAILIAGFLIAYNVHELEIVLGFVGSTGSTIITFILPGFFYFRLFREEAGMT KWWALALGVYGFFVMAFWQSDIQHSQPRQGIDE
I206_00327	MTGWEREGSPMPSGPPPTTFGRLTLMKRKGGGDVQTIPLDAERI TFGRDFDCDVRLYYSDVSKLHCEIIFDIISGKATLHVRGTNGLLHTPAKGSASTYKPP SEISLSDQDIITIRKKPFRFEYGPSVQADLPFSPAVHTSTNDVLPSPAKQPNFESPNG NKARRRASHRLSLVPEGKTFMPLSPVNSRRQSSLGLGGMGTPSNARESKKSKLSEQVY EEQDEELEESTVDVAEGDEGDKVYLEANEEEDLQEGKTDAQGPIHANPFMSPQQTRKA PLRNTSAVPRTRRSAVETKAQETKATSPVSRDVPAPATPPKTPRSVPLPETGETPYNP PATPSLKANSTPVPARVALSTPKGPATLRKALLLRSARKVWQESRAPGLEGAIQDGQI ETRRKSTSPKSRAGRKSTTPVPSPAKQDDEDEDMSEEEQEPAKSNNSGHMKWVAEDGQ AEVSFESDSSGRDSFEADMSLDVVSCPGQGVIDFALSHPEVEEEYINNDHPGEYDYDD DEEEEEEAETIETNPERGGVSLGHAELYEEYEQAVNIPVDESVEGVSFEDQNVEAKRQ VEDDEVMSLPGTPRVREPISKQFFTPQPTRNIQKLPRRSLANIGAPPVRFERLPATPN SFKRERAPPGSMGKPSRRVHMAVSDSPKIEEQVMEKKEFSTPSKSEAARAMARRQGEA LATPRQLPAMPASGFKNPVVETRFADFLPTLSHPALLARSPEAEPEVKVETSEHKIAI PATPINDLKARLHKMRRQSTQRVERRATVGFVLPVTPSRAINDGSGSRSVNPTRIAGK GPKTPIFPKFKREEQIIEPPYEVVEDESPSSRPLQESSPEYESPSSPSTPLYAGIREM LKPSLPAKTPDMSGLRKLFPITPENAPSPSLVGVKEMLRQPAVPATPNFAGLKNMFNI LKVAQTPGFEGIGELFEEEEEEEEEEEEEEDIEDVIIVDEGIEIQSVEEDEVADQNVA QPKEPAINKRKPPANSKLPRAASSSLSAPSRTRRTATSNQTSESASVPSRTSRLPARQ ATSFETVPETSKTSGSRKGKPAVAETEAEEPKSRSTRTKRTASVDPETTSKPSRSTRA KSTVVSEEQSVVEIAETSQSMKSKSKPTRATRQATAEAEAEEEKSKPSRGKKPLTQLP EQPSEADEPKSTNSRTTKSKLPTATTKKTGTATTSTTEAKSISAPSRRRAVGNKENTD EIVDEKVVQKKRVVPAPKKDEAKSAVPVPRATRSRK
I206_00328	MLAQILLTPLLATLALASPVPRDSYSGKRIRSLASPDLCLTVQN GYAAYGTIVAFTACSQDSNGEGYLDQFQYWSVVGPQRGQIKLSSNDEMCLDGGDESNG SEITIQACEDEKDSQIWTVGTTGTGNQPNLQLAVGSSESQCLDVVKDSNPVQTKPYGS EKDSQVWSCHTQDGNEADAIQQFFELATADE
I206_00329	MSSSSSSERKKAEEKLKAKKKEEEEIKRKRKEYLKKCDPSTIEE LINSKEIESKWFEEGKWRPGWANVEFDEDACLKNVLKNKADGFYTPAGTILPLGAQMP EMTVLKYGGYFPEGTSFPGGVSVPMHARMVNLLPEETQSKYDPKIDESLCSVQ
I206_00330	MTQTHFTLSNGKKIPSIGLGTWQSAPGEVAKAVEYALKNGYRHL DCAWAYGNEAEVGQGIKASGVPREEIFVTSKLFELHHHPEHVPLAIKDTLKNLGLEYL DLYLLHWNINLEVDAPAGVLPRNEHRVKGSSGKFKLDVALSDDVTPTWREMEKLVDQG LVKSIGISNFNINRTKKLLKEARIKPVADQVELSIQNPQPELVAWLKKNDILPQGYTP LGGTGKTNLRDNEQIKKIADVHGVQTANILLSWLVSRGINPLPKSVTPERIANNLKLV DLTKEQLEELDALSKSHPQQRVCDQSDSFEPTYDIYQENDPEFSDKVQFAKEQ
I206_00331	MPSSSKIIALSLIAGATAAPLNLFGSTSASASGKASVDVGASVN SATTAVSDITGFTNAFLSSATGGAFTAVSKVDTQLGSTVGVARTIAMNTWASAQCLAT HKDIKMCTDISAYLSAVYYAFEQGWSAAQLFAALGTDRVNQFEALLKTLCSYADASST CTPLLAAWPSYVAAVAKQDINAVQQASSALVANGIITIIDAANAGTNLISGLTSGITA DATANAQGSLGLNVMGLQLRDAEEKRFLGLENLFGNHATITATAAAQATATAAANAQA QAAGSLNVANLVQAAGSLTAGTAAQAGAGVGAAAGAGAGLISGLTGNIPVVGGLLNGL PIVGGAVNTVEGAVNNLPVVGGVVQTATGLVGGLTGQANGAVGTATNLVGGIAIPGLL SLNGQVGASANAAANAVTNTGANAGLGSVTHGLLGLRSQIPHVANGLDDLASEIFEGD AFAHFSHEYKRDLLSGLLSNPTSILNGLPVVGGLTSGLLGGVTGGQNGGLLGGITGGL LSNPTGAVNGVVNTVDHLPIVGPIVGNTVGSVLNTVGQLPVVGGVVNTALNTVGSTVN GVLSTATHLPIVGDVAAQATGALDNTANAGLNVNNGGISLGINDHTAAAGGVAASAGA HLRRGLLDGLPLLGSLPVGNIVNGLPLVNNLPIGSILSNPVGTVQQTVGSVLSNPVGT VQHTVDSLPIVGGLLNGITSNPTSALNGLPVVGGLLNNLPIAGALSAGAQGSAAAQAA ANGALNIGTGAIGATVNGATQAATQVAGQTGIHLGQRDLLSGLLGGSQQGGLLGGVLG SSSSSNGQGLLGNNLPIVGPLVNGLTSNLPIVGNLGGVVNGVTGTVGQLTGTLGNTVS GLTHNLPVVGGLVDGLTQSLPLIGANAAAQASGQVNSATNAALNLGSNGLASTLNSVT GLAGGLLGSANLHL
I206_00332	MSQVEEPVSIPPPTSESSPQQPSVGAIAYSKPLLNPLQSEAPPP SSTLVEPPKSPNSSNPATDDKGNATVESVNGTAASHTPPAPAEAPGVVVPTSDPAPSA EAPASAEQAGKSPLPPDATVPPTPRAEDEAPPTLPPDAIPPAVPTPTVITTNEQEAKG GILGVEEPASLPTPGPEEIAQPSATASQEPAGEPMDVDVEKPAENPPAVPALQSSQSD GSLKRSGDSLDDGRDEKRMKEDSISAATPVPAPTSQIAPNQSTTVQPESSTVPSAQAP STDGAPPPTPAWVTYQPPAPRPSGPTTPLTLHQHKHLLNAVRALKKKPDAMAFLHPVD GPALGIPHYANVIDSPMDLGTAEIKLVASDPRGPPKDKSKAKNWDTSKGSYSSYSELV GDVRQIWENTRKFNGPHHTVTVSADKLDIAFESALSKIPPEPVIAPPPPPIAARVATP PAATPVAGPSSARRASISQPPTIRRSSDDTRPKREIHPPPSKDLAYEEIPGSARKPKR RNDPQLQWGLKVIKSFETVQKFYPAASPFLFPVSEIIKAIPDYTSVIKKPIDLLIIKE KLENGDYDEVNQVDNDIRLMVNNALKFNPPGDPVSIAANQLLQIWIEKWQSLPPKQEV RDSSEDPLADNYEDEGYHSEEDTKQLKSLEAQVSSLNDQIFDLRTKIAKSRSERSAKP AKPKHAKSSSIAQQPRKQSNAAKHSPGVNGNGHGGQSSAKKSKKSKEINYRDEDDDME SEDEQPNTITLTQKQELAEKIQEADGDTLQQAIIIIQQTTNLGSNNEEIELDIDSLPI PTQIQLYNLVCKRKIGGSKPRKSAGSGPSKKQSKKAGGTKRGVNEREEAERIRRMEAQ LQSFDSRQSGGVPATTYEEGESSSEEESSDEE
I206_00333	MGKQGNSKSAKGSITSRPAPYGKKPNVEVKFEGVPSTSSSSVKP TAQKSGKPEKPLKVGDKTKKVKQEVDAPQPTSKDKGKSKAIEQIAPSISTPSSKSTFV IIAGTYEKLLYGLEGSYPDPESGFPTLEPIFIFPAHLACVKAVAASKGGKWLATGSED EFVKVWDLRRRKEVGSLSQHTGSITSLHFPTSSHLITTSEDSTLSLFRTSDWALLKTL KGHSGRVNHVDVHPTGRVALSVGKDNTLKMWDLMRGRGAASLPLGSEAEMVKFSQSGT HFAVLFPRKIQIYSLTLKLLHTLETKSRFNTLTFATLPDVGVGEQEYLCVGTEKGVVE VYTLEIGEQDVPEESDDEEDEEKEEREKEGSSAEVTRVGTLIGHTNRIKSISTLQFVV PAEDEEERPTILLTTVSSDGLINLYDLFWVENAHEIQGHGEANTRDPDASYDTKGSRL TCVYIADGQDLKNKNTPPKSSDLTAQLDDDEQESEEDEDVQDIYESGENDEEDEDEDD MQVEFEDEEEEEEEDEGEYED
I206_00334	MSTLTPSQAVSDLLRQPDDLLKLASYRKKLLKEKSTLDAKLQSG VKTQLDATRDALLKLQTSRAAVGLIREEMMSIEKLKGEDGEAKGEAFDKITRVSTVHR NLSQTTKMVQNLRSMSDKVDHISSLLDSDKNQIGGPSGYSPNLLPIHFQLQQLESFRN ETLHQAKKNASNEERQVLLQWFEKLDKVGQDFESWLWEISKNIVELTRKGNGGTVVRL LKIVEVEGKEDEKAVAMRLVRKVATHDAASKFKSMQANARVIKNYRHKLLDVMTNSIK EAFDNHYMDNQYDMLGFIEGLGWIYKDIIRIKDDLEPLFPEDYEITPYLVKAYHKHLD LTIRKVVNSAPEAKVLLELHAWIKEYRVSMKELQIPSGWLQPPLLDGKSQDLIEDYVK LIVTKLDEWTINLMKEETGKFTWRTKEPEQSDDGQLGMEGVVDFFTLVNQQCDLALDS NQGAVLVRVVNECSKVMKRVQGQWINLIYEETKAQIEKKPEEVPGGLVEYVIALANDQ LKSADYCESLLIRLEPLVSNKYKELISKCLNDSIDGYLDVAKKCTSTLIEFVFNDLKN VFKNLLTPIWYSEENSGNGNPLLINQIIETFKDYLNDYQLHLNNSIFEILIEDLLDSF IISYLNCLSKLQPKSLKIPKSILKINFDLELSFDFFIKYKSNSEELEKNFEILKILLN LIQSDSEMIFLNYWNFAKKFGPNLNFIENLLKARDDLDKIKLNEIIEMLRRKVKEEEI TDPEEPTIMVKVQGPSSGLLSNLSNLAGTYASNFSTGTFASGGFAMGGASHFAAIR
I206_00335	MHLVTVATQLDQWSLDFEGNCKRILKSIAIAKSRGATLRVGPEL EIPGYGCLDHFLEGDTILHSWEVLATILQSEEAQDIVCDIGMPIEHKNNNYNCRVIIH SGKIVMIRPKMWMANDGNYRELRHFTPWHKHRQTEQHSLPRIIRNVTGQDYVPFGDAV VSTEDTVIGVELCEELFTPASPHILMGLDGVEIFTNSSASHHELRKLNRRIDLIKEAT MKLGGIYLYANQQGCDGDRLYYDGASLIAMNGQILARGSQFSLSDVEVITATVDLGAV RAHRTTSSRRMQSAQSEAYQRVYVDTRLDGGQGIRVGDDETKGTTEVKYHTPEEEIAL GPACWLWDYLRRSRQAGYFIPLSGGIDSCATTVIIHSMCRLVADAASKGDEQVIADAR RIAGEPEDSSYLPTDPKEFAGRIFYTCYMGTEHSSPETRKRAKDLSEAVGGYHVDLNM DTAVSAVKGIFSLVTGKRPQFGVHGGSSAENLALQNIQARLRMVLAYMFAQLLPWARG KTGGLLVLGSANVDESLRGYYTKYDCSSADVNPIGGISKTDLKKFIAWAEVNFDLPIL KSFLDAIPTAELIPIGADNVAQSDEVEMGMTYDELSVYGRLRKVEKCGPYSMFGKLVQ EWGTFLSPTEIAQKVKHFFFNYAINRHKMTTLTPSVHMESYSPDDNRFDLRPFLLPSR FNHQFRKIDELAEKLPNRATQPGNDKAKVD
I206_00336	MLELDIILKKLTKLETISHYTSKSNFVQSNLDTLQKTIERKQDN VQSVIQVLQIKMQDAQQQQQQQQQVGKA
I206_00337	MIRSLLPVVEIADNFPSYDLPPSPPPSPYVPFHLTLEDYQNNLF PLGLLRQDVLREMKNTSDQYKDIHGEGIWEFQENIGKPDESGIFLHEIICVYFNENIL KKGKEGLSEIIANVVKGWKDQGKFPGPLAGWRNELYTIYASPKSSGLNQNSKVNPIIL GPGTFKNVAFHLERAACALFGLATFGVHMTAYEGEGFDMKVWVPRRSKTKATWPGRLD NTVAGGITAGSTPIETMVKECDEEANLPEDFVRKRLKNTGVCTYFYITDEGFLQPVEV EYIYDLPLPFSNSSEYIKPRPHDDEVESFALLTIPELIDALYSGDMKPNCGLVFVDFL IRHGFITPENEPNFLEIIWRMKRTLGIAMPGI
I206_00338	MSITDPSSSSSAGPSSFREPIIGPPNPQNPPLLPWAFSDCPLDI LVDLLTHMLELLIKHNDQVVLTPDALTRFHSRAAPGISVKDYLGRIVKYTNLEKIPLL SLLAYIDTTCNNLPSFTLSSLTVHRFLIASVCAGSKAQCDVFCTNAHYAKVGGIKTQE LNALERELLRVTKWDLCCHAEQLQKYYSSLIRSHGGYAQAPQPTSPPFLPFPRSRSKP RATASPTPEGSVPPGEPEPPDEDDAMGEAEEEYGVEDEDLGITDSERRNGRMAFDSTD SPTETSRGRKRNRERRASNGNMDIDVDPSSTGSQPVDIVEEEGSPYSNASSSVPSSSK SSIHRVGSIGSGSLRGKRRSRLVSISESRIAEPKIDTTQATASPSTSSHDIKGINGSA SGELSRRISHSESRRHNEIPPTIPKPAPIPTPAPTRKSLQYSQSHSAISPPSSTPGSH DPKSHSHHSGKLLKSLVGGIFRRKSTPGESVDLIQQISPSSTPDNGLSNLASKQKSSN LGIPSNSIQNSTTILNRSNPPTSGITSSRPISTSPRISTSPRMYSPNLTISHTPKSPK PGLAKPVTPKVRTRDETSLEPKDRIALNAPLSMSLGMGGMEMSMAANDDGTRSRVP
I206_00339	MSMLRSRAFRSIRVCSRCSISSRLAPVTSSKLITRTNSTIAPRE IKPFVPKNGPRAHHGPRAKITHEIRDLSSALEGQKVVVAGWLFSQRRASENLHFFTLR SSSTSVQLVSRLKETSHDVMGWPLESVVLVEGVVKSRKAKAKIETKPVDEIEIDLSNV TLLNPADAQLPFYPNRPEIANEDLRNQHRYLDLRRAELAENLKTRSKVAHIVRNYLHD NGFLEVETPILLNSSPEGAREFLVPTRSASADGSPTFYALPQSPQQPKQLLIASGAIP KYYQIAKCFRDEDGRKDRQPEFTQIDLEMAFVDGSAPQAGKDGMRSTWNIGGSQIRQI IEGLVKKIWKDIKGIDLEGWFRVMPYDVAMDVYGSDKPDTRFEMYTLPIGYYPTLSDT SLDKILLDQSPSTVEFMIVPAHQAEGLDIPSLARSSQSIDYVKITDKNIYTWQNESVL TAPIGLEQDKALPAGVKPGDVIWVSRRKKIAEGGWTHLGRLRAQISEVLISKGLINLP SNPHFLWITQFPLFTLADEDKAQLSKGRYSSTHHPFTAPMFEDLEDLKKGKIDGVRGQ HYDLVLNGQEIGGGSVRIHDAELQEWVMKEVLQLDDQEIGRFDHLLKALRFGAPPHGG IALGFDRLISILTESKSIKEVIAFPKSGTSGFDPVFKSPSLSSNEILKEYGLISLNSN FNQKEKIEKS
I206_00340	MALRQQLLSNSLPLISSHSFARPALIQSLRQIKPEVQNPDAVID TLFGTGSVASSRALVEKWEEEGLKSMNSSKETEDDLEEVLKRRIEYSSKAGEHLVEAY ANLTTPSSTPSIPLPSLPILRTLLSTIKIPPSYVPPVLQSSSSSSSNRKSNSASSILD QIVSTTNHRIPLLSINPLGPLGYAWRIADEALFLCEQKVKAKALIKKGYWNEPTGPGP EWYTKRIESNLLQPYPANSLSTTNPHLEKTLLALRTNLQRYKSTISNLERSEENMGEV AGFIDYVAKSWGGLITSRYF
I206_00341	MSHSSHHHHHSHSSHPYPSHGPPAGYPPSSSHSHSPYPQSGHHH HHSSRHVSNGPPAPVVVGGPPHPPPMTMAGPPPDAVGPPPVVAVSNGHGHPSGPGGSI SPAARAAKEKMDNLLAQLANANENTWMLIGAVAEGMNNPDRALTAFENALRHNPSSVL GLNAVASIARSRDNFDKAIEYFQRILNINQENGEVWGSMGHCLLMKDDLPKAYTAYQQ ALYHLPNPKEPKLWYGIGILYDRYGSFEHAEEAFSSVLKMDPNFEKANEIYFRLGIIY KHQRKFPASLDCFKYILNNPPRPLTTWDIWFQLGHVYEQDRDYEAARDAYMRVLSHQP DHAKVLQQLGWLHHQPGAPFADQDKAVAFLTKSLETDGTDAQSWYLLGRAFMAGQRYN KAYEAYQQAVYRDGRNPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYNLG SLYESCNNQITDAIDAYSRAAELDPTNPTIKQRLSILQTHGNAPLPPAPGPVDVHPSQ YSATPTGQHPAGTSPGQSPHGPPGQLPPADGSAAGRDLPPPPPGSEFGRPQSPGPFRG GPVPPPLALVDESRGSMSRHAPLAPIEIERSERGGEPPFNGGGGGGGGRFDPTSRQHM DGPPSPRRRDPFGNGPPPAASNHAGYPPSGYRGDRERDEWERSRRAPPPGGPIDVRGP SSRMQDPHADPRRQPSPRIPADFRGNDYAPRGGDHPFPSAYPYDHHRGSFGADPRYDP KREAEEARRRDDRRFDEREAARHGSVTRDLRVEENVRVPSPAPSTGSKAGSKRRSAEE KAGIAKRAKDEKPPSKKSSGDSAKKDKSRSSGFRASLDGADSRGVSPRPATVTSSPSA SVRSTPHQTPQKTIPSRTVDEDYDEGAADALMTLHGDRPPTSKDSSVRNGSPASHTSP AISSGLGAKRLEPPSPTSPAGNNKKPKAETSTSPPAPAARRTIIEVLNTPSIGSPLPR STAPEEKSESAEKKVDDTENVLPTEDKPAEDAPVEKSPAPTTQAQAEEEADQDKTTEE QEKESSRPPTPPLPSPPAAKAPEPIAENATEVDGDVQMGEPEPQAQVSTVEKATPEDA VVTAAEVTEEGEVKEDEPVEEAQE
I206_00342	MSSSEKTQSLLNIIRQADNFPNFSTGYPERHPINGKRLIPLYLS QKDFQNKIEPIGILPAIFINEIKNEKGLKILSIMKKLNEKEKSEKRKIKEEQKKSKNS NEDKSKQQNEITKGKEKEKEKRKEDYKITVLAIYFSDEVNAKGVEGRTEVMARLVDRW KNEKKFGYALKAWTGEKISIYASTRSLFWQKDKELKNKARKPFGNVAFEIERAAAPIF GFTTLGVDLIGTCLCFQRIDSEYGLMYRIVTAYQDEGDKFGTVSGAIAAGKTPLDAVI VAAREEAGWAEELIKSNAKTAGLISLFQISKWGGLLPCDYVYDLKLPSEDPQTPKKVG EDNAFQLLSISELFSALENNEFTPNSSAIIIDFLIRHGHITAENEPNYLEIIRRLHRR TGIAGPGH
I206_00343	MSSDSMIRLTKLRELMKDRNIDAYVVPSEDAHASEYLAPCDARR AYITSFTGSAGCAVITKDEARCWTDGRYWIQAEKQLGEGWTLMKSGLPETPTWGQWLK TDLPSSSRVGIDPTTIPQAESTSLSTSLSANLTNSTLVPITENLVDIIWRGEDSQPSR PSNEIFHLADQFTGENVGSKISRLRDKLKKIGSPGMVISSLDEIAWLFNLRGSDIPYN PVFFAYAILTRDDCTLFASPNSLTDKVRQYLHNNGIALLDYCQIWNSLGSWQKRLIIE RSQPQNTKQEAVVDVDMDGEEPASKRVKTDGQSDKEKEEKIIKSDKVLIGMKTSWAIA KSIGEDNVEVRRSIIEEFKSKKNATEIEGFRQSHIRDGVALAKYFSWLEEQLKMDMKL NEYDAALKLEEFRKENKWFMGLSFETISSTGSNAAIIHYSPLKENSKIIDKNKLYLCD SGGQYLDGTTDVTRTFYFGSDDELSNLEEEKRAFTRVLQGHISLDSIIFPQGTTGYIL DILARKFLWLDGLDYRHSTSHGLGSFLNVHEGPQGIGQRISYNEIPLIEGMIISNEPG YYSQGKFGIRIEGVDIIVRAETRNNFGNKGWLSMERITMCPIQTSLLQQDLLSPFEKS WLNDYHAEVLDKIGPLLKDDERALRWLKKECRPI
I206_00344	MQSFLGGDKAGSSNPLKKISQREGQDNSILRDRFTPSSGSSSSS SAFRPSPQIQQSQGQSSSNALHTTPHPFNLSHLSAALAQPSPAIHATRPGFDAQWESV RSSGHSPLPQLQARPPPPVQQQARIASPPVSQQVNSAWSNDFQNFNQSQVKGKGRAVE PAPPVQSTYQNGYSSYTPQFGGYGGYQPTFTPMYGGIQHAQPPPQVEMQPQLNQQEMD DLFARAEEDYKASSSINGGIEVAEQPIVEDTMNVEEEAKEAVREPKGDFEAVWESLRP EAERLNKLAEWENDFSQFTNDEDDLFDTLNDSLNRSDLDDTTLAPQNDIGSTSIPDGL ESSDQRNAFASFTRDDGIPQSAGYQFAPDNVHDSSELAALWNDANQIVNTGGSLTKAG LMLESFVQRSTAQDRQNIGVSATEVWSLLGRVHAMDEKEEQALKAFEEGRKTLVEEGV KGKEKVAGEMLTNLAISYVNESLDLAALTVLHQYLSLVHPNFAGEAPSRSSINGETTS PWALHQSMSERYLNLARDQWQNNQSVDPDVQVGLGTLYYMMDNYEEARGCWTNALSEK PDDYLLWNRLGATLANGGNSEEAVDAYRRALELKPTFTRAIFNLGVACLNIGVYKESA EHLLAALSLHSTRTDEGDSDVLSSDSWSIWSTLRRALVALNMNQLAGNAEPGADLNVF RQAGFEF
I206_00345	MILNSINPYVKILRAHAGPSTLRSFNSSSRRLARTHGNLSHYDV LSLSKNSTKQQIKARFYELSKQYHPDAKGGDTLKFHEINDAYAILGDDSKRRQYDLSI IPASQSRSSQTYGTHSHGTSGHSSFSPRDPYLHRAAQGPHRAWNSANAQMNAKSGNNP KTENYTPFGRKTPPNFQYSYEYNFNYNPNARTSKTRRKDGIRNEEEEGNEHNNSSAGG GGIWKFVVTVGLIFTVISLGGGLTANSQDVVDWKLPQERLEEQDES
I206_00346	MSIVETPSKAAANALAEFNLNTPKKASEPTLLSKLQAVTKPIEK PVIVEQTPEEKAEEYRTRFVGDINCEEKDEPLLQETNSRFVLFPIKYREIWQMYKQAQ ASFWTAEEINLAPDLHDWENKLNDNERYFIEHVLAFFAASDGIVNENLVERFSAEVQC AEAKCFYGFQIMMENIHSETYSLLIDTYIKDQERRNYLFDAIDTIPCIQKKANWALKW ITDEKSTFAERLIAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGLHTD FACLLFTHLRRRPHSDTIARIIKEAVAIEQEFLTDALPVSLIGMNAKLMCQYIEFVAD RLLVALGNEKIWNVSNPFDFMEMISLQGKANFFESRVSAYSKSGVNQSVGATADHSAV KAGFTLDADF
I206_00347	MAKTTPSQPKATKQSSLASFFGPPKPGPRPPSTNPASSPATSNS VRKAVPNAPASSPVIRTTQGKDLVGSSPAVGKKQTFIGSEDELTPPPESGPSSAKTNS GGKRVVAEDTDVDMDDEIKEDELAADGSPIKMGRRAKRKVMYVESDSGESDEDVVSKD KTGELASQPTKTKIPSVARKPRKSLKAESDDEFGFDETDEAAMAALAEEYEASVISRS PSAFSASPEPIKKKIAPKKGFVAPRSAPKQPTTSWNAHKFDNDELPEASSSTTRPGPR PLPNSKSGNGTGISAQSNNSETMFLTKAELAKLEAKQQQREAETCFDFLVNPKDRDGH TPDHPDYDKRTLYIPRSRFDDKKKGGFTPFEAQFWEIKQNHYDTVLFFQKGKFYELYE DDAMIGHQEFDLKLTDRVKMKMVGVPEQSLEYWIQKFIGAGHKVGIVEQVETAIGLQM RNKGKKSDEPKIVNRELRHVFTNGTIVNGTYLSSDEANHCVAIKEFVSETDDTSAFGV CILDASTGSFDLSAFEDDICRTRLETMFRQIRPKELVHAKGNLSVHTIRMLRNILPSS TQWSSFKEGQEFCSAQETLNRLGEFFAFTEEEVALGKKAPIPDAIRQHQQNHLAMEAL GGLLFYLRSLQLDKDLVSQSNFNVYDPLGEGKSLVLDGQTLAHMEVLVNGEGGSEGTL LDLLQRCTTPFGKRLFRIWLTTPLRDAAAINERLDAVEDLMNQTSNFNREFEQLCKGL PDLERLISRIHAGSEKESKFLDVIASFKKIQKGTDRLIRTAEAFKSPSVAGLLRSLPD LSTHLGHIEGKYEVPDDEKTVTILPIPGADEECDEADEAVAELDAKLNAILAREAKDL NLHGIRFWHSNQGLKEIYYIEVPAGTRVGHNWAKQNATKQYARYYTSQIRPLVEDMKE AKETASIKKNGFYKKLLKEFDQDRTVWIKTVRVIAELDCLVSLARVSNDMDEPKCRPE FIEASSAFIDFEDLRHPSMCLRSDFISNNVQLGYKQPRQVLLTGPNMAGKSTLLRMTA AGVILAQMGCYVPASVARLSPVDRIQTRMGAYDNMFASASTFKVELDECAKILRDAGP KSLVILDELGRGTSTYDGMAIAGAVLHHLATHTLPLGFFATHYGSLTDDFSYHPNIRK MHMQTHVDDNLQQVVFLYKLIPGVAESSHGTHVAQMAGVPMEVVQRAQTVSDEFFKAF NVKLTKKRKSNLSLMGQSDFSFLIKLINSLTNNNDGGIQSKASLGEQLEVVRECIGRY ELS
I206_00348	MRSVLPNGYYYFFWILEPTLTIAGGISAIFNPENFGKNQLPNNI EKNTINIGSTSRGQIIISQLGSCFILLAMISLSLIYLFKKYLNDKPLILEKMLKGLLI PLAIADELTIYDHSVFVTLLPLPISHLKSPLEWTYILHCTVWITLTLFITRISWLIGI GRPSAKSLSFAKPLSKIGQRPIPLPKQSELVVEQVLKANVEETEQPRRRNTPRKTRQV E
I206_00349	MAALIEGDLLPPTLQNILDQKSLKWIFCGGKGGVGKTTTSCSLA VQLAACRESVLLISTDPAHNLSDAFSQKFSKDATKVNGFDNLFAMEIDPNGSLQEMIE NSDQSGGMGGMMQDLAFAIPGVDEAMGFAEIMKHVKSMEFSVIVFDTAPTGHTLRFLS FPSVLEKALGKLSSLSGRFGPMLNQMQSMFGGGGQQEDMFAKLEGMREIISEVNSQFK DPDKTTFVCVCISEFLSLYETERLIQELTTYEIDSHNIVVNQLLFPKSTDNCQQCTVR HKMQQKYLNEAYELYGEDFNIVKLPLLTEEVRGTDKIKEFSKMLITPYVPPQ
I206_00350	MSYNNSYPVEEKAEIHHIEAGDEHLKDEAHHHTESEFKHGDRAL KYVGEERVELTEEDNVRIRRKTDKRILAILMWVYFLQIFDKTLLGYANNLGMSSTVGL HGNQYSLLSMINAILQLAWQPFSSYLLVKIPARHLMTGMVFCWGVSQACMAGAHTFGG LLACRALLGLFEAGCLPLFSLLTVQWYRRSEQPIRVAAWYSTNGMATIVAALLSFGLG HVHSPHIHNWQLVFIISGIVTVFTAPVIWYTIDSDVASARFFDEDEKAKAIERLRANN TGTGTNEFKWRQILELFMDPKTYLWLALTLCNNVGAAVTTYFGPTLIGSFGFSKNISS LLNMPFGFLQVIAILVGCYAATKFRLKSAMLASFIIVVIIGLILLYLENTRGHLRIGV ALTGYYFLAFLFGCNPMVVAWIAGNTAGQTKKAAIMSIFNAASAVGNIVGPAIFTDKD KPHYIPGLKATLGIFCAMFACVGIQVVLLFFFNKQRQNQRVANGKPRFIKDTSMESKY QAYGSEEHNNNLGQNALLDLTDFKNDEFVYVY
I206_00351	MVLGILSAVAACPAIIGTTEAVRHGQKAQAKEAHRGQKVNMIVK LPTAVPGYTEKFDGALIVLKDNKLYIRHSQSTFPPDSIHPFAGYYLPYPSNQTKWAGA GYKGEGLVSTINEANQLNWIYVDRNTHELKYGIKTDVENHCAGPWDCTSIEKRMTFES WEGFIAVQEDSNKDIWSLYFDRFDDGLSSNGLIGDGRQIRMLEIQLIRKERVKGFDMA CEERVERIRAMRGKQKEQQEEGLQGEQEE
I206_00352	MSEQEQQLQKQQANQSQDQKQEDEQYDNEEKTPFENTMSNGMIK SGQPGDDEDSKNAIKDVALNPLGKRKKMLSEQIGEENLKQVGKKDGKEDNDSGSLKIR IQLDLDVEVHLSARIKGDITIGLL
I206_00353	MHKDNELGSSNHTKVHIYQQTKEQYEVDIADRLDSTLDNPFLVN TPQSNKEIVKSSSPTVSSYSQISLPGLGFSQSSISSSPIRTPILQGISDDDNSPHSLQ HTPQNTIDDIHNILSPFRNFGSLTPGKQKRTNAEIDETDSLASPSTPTHIELKLELES PLSNLGLCTPTRLRLQPQYVPTSSDREWRSPLTHIPSHIESSPGLTSPFLRLGLGSPK QCISSLSPQISTSLKPDITTAVPIKSKSQLEIPSPSDIPDTPTSYRFYPSAKVYNSHP SYDLTIPKQPIFIPIPIKQSPKTPSKDIKPLLPITPPSIPKTNNNESNDQSEIKVNFL THLSIDGGLIPSLNRLKIRMKGTFESVKGVNMFINPAKKSVIERDVAEVAEAAELMSS FGYEFRRLSMAHAVHTTNLLSSDDNLRNRSKESIITELKLAEVLGIPTLVIHLGSEGR FADEDSKIDKKRMKLLASDLLEILERTKEVILAIENTVHPSPTSLTMLQSLALLFTHI SHPRLKICLDLAHLHISELDLNELDNREDLFEMLKKVGKDRIAGIHVGGCGTEHGGKS DRHIEIGFGSIKLSSIRSILRHPIFHSIPTLIETPRYFRDFRQSKSLAISTRFNNDFQ LKNRNRNHRIEELEESRSELERKLILNSINISDKEWEINEYKLMIKYKKERKKIDNSI YKLIKFGKNKEFTNGWIKFIESRKKHLNCYRKLLGKRRSKVNKRK
I206_00354	MSVPKILVVGGNGFLGSAICKAAVGKGWEVSSMSSSGKPYKTLK GHTPSWVEKVNWKKGNAFEPETYKNLIKEKESIIHTLGILLENSNYKQSLKKGNLFEL FSNVLLSNEKEKNNPLLSLKNEKNENQLGYEGINKNSALKVLDTFLSEPFSLNLNQPK QKQFIYISAADAFKPLIPSKYIETKREAEFEIIRKCELYNNKESLNKGNIKPILIRPG LMYHPHIRPLTTLPAFLIDISSKLSLKLGGKNPFASNSFFYGTLESFKTFPLHVDHVA LSILKSIEDSRQGIIDKNNLGQARIIEVSEMRELAGLGKS
I206_00355	MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGQ
I206_00356	MSISLPNGTLLAAPSSSSTVQLSAAVTRILHLANFSSDLKTRDL QNLFKEWESEKGGYRIKWLDDVNALVVFADASVAKRAYLSLLLHRPPQFSGLIKPYDR PDAAQIIQSLAARSLGHRSAGSTMNGSISFPFPTNTDPAAPQVHSRAMSVTNPLNKSG SISINQGQSISNSLNGGGGGGGGIGHVRGLTAPQRIGGGTIGGSIVGGHHRTGSASSS WNNRLSGSFGVGGGGLSGVGNSNPFNGGGGGVLNFASNSANKLPTHNETSISASRSTS ESDGEPNIVILDPSATQGLKTRSRITNGNGRRESISAEKAMREVEKALASVEAQG
I206_00357	MSRPNISYPTPIPGPSTYDQSSTNMSLDPSPIGRETGGQGSIAD RPPRRTSGSSKSTGQYTSALDSSITRLLVTTKQLLHGLEQWSQSLISETDVSDIYVRL GNGFEVCIQAFHRAGIPTQELDAIPQDLRGCLEQCLSYDQNHETLEVYLPEIRQIIYN LLHGLKQKQAAYKRLLQDRQAGPPVPPDARQQRKPSKGEAIPPPEVDPRMTHKSGGST SSTSPASQHSNLPSTKVPSSSALAERNHNRTGLPSRPAPPDAFRPPRIRPEQPNQPKR SLSPSPIPQEPVRHQLVDQPVPFPPPTIQVQNLPTKPPRPDRFSRDSYSSQRPVSRFS ADSDLTNGSPIKSPPTRSPAKMLEQIPPSDAEPPELPPSITPSLPILNLPAALDPPQP SPDSLPDVPPETRATLAALQRSDALERRASKRFSSYTFHKMLPGSPGKKGGISSPQRP TRRADRPPPMPALPEAMTNAALHKAVGAESLAPDSVTNGDSSARPTTPTSDDQSDRSV RIVKTPELDDINQHATPRPRSSVSAPSNVSLFLQIGRQVKKAVVDLPVTLSNLRLLFM ERFEYDPGMEDFPDVYIRDNRTGVQFELEDMDELKDGCVLSLNIEPLDQVKQHFDTTF ASLMQEIKDMKSAIDQTRRHSMTPSPSLLAVSPSTQMSRPSTLVRKASSDTVAPSSAN TAVPPVPLPGSHIKLSPEKEAELQKQHEELQSLRRDLAVMRQIHVDFLTETKESFTKL RTQNSAMRDVVKTKMGGNRALLDTSKAKLESQCQDTIQSVEDVSDLIDKAREDASKRY VIPSKSQMVTITNDLDKAKKLVDQLSSDVQLADPMWRATWQIELHRVMEEQKLLSYQI KLCTDLKKDLEDVGIIFGNVKDFVSQRTINGGGRVFSNNNSSGSGKTTFIKPLITLPI DSEGGTSGEGGGIDNLLLEIRTKEIGNPNSRLKAIEEQQKQREKEKLNKIDEFQQELK LGGKKLKKTGGTEEAERIRQRKNELTLKKMMNNNNNNNGNGEQNIIGILSPQNTGQSN NNSNKIIPQRTGSNSIDKLNPQFTGNSIS
I206_00358	MPAISSAGSSSRMSSPAPSAAGSGSVQPIDAYRPISTQVKRPIL PLIPSSEIPNLPSLLSLDPSTYSSRLSGKTLQTSDPSSSTTIPISSSTISPLVKGKKR NRGYPSEREKARNEHRMSETKRKDLGLSGMRKVRSRLGGIMGKGLRINYDSLIPLNHL HTRYLFQLLSLPKLPDPNQPLPTPGSETTINSDVLLSKISKADLTGMKIQITSAKNPS LIGQKGIIIEETYSTFRLVTSHESKVKVIPKSGSLFRIHLPAYSPMKGSDDLEGFLKI CPRLQIDLLGDNFLNKSNDRPGKKLKYGQGGGGGSGWAQTWIKETDWEMTFAKLSETL DEIPQHDQQSTSNIGKRVTQKPYKRKRNKSRRKDPPAFGNPE
I206_00359	MALGVTSERRHDAQEASFAPDTHRPWHAHSRAYCLAAVGFMGIF LFGYDTGLGGGVIALPSFAKDFHISGSKTHIAQLQGNIVAILQGGAFFGAIGGAPLED YLGRKWSLMVGCWIFILGAILQVVASSSLGLVYGGRFVAGFGVGLMSMVCPTYASEIA PKEIRGRITGMFQVVVVIGVAFSYWINYGVTFMNPDRGAIQWRIPIGFQLVPVGFMVM LLPILKESPRWLATKHKDELALKNLAWIRKLPASDPAVQFEFAEIIAAIREEEAATSG ASWREIGAKGNPIRFVIAFVIFTLQQWSGQNSISYYAPSIFQSIGLKGAKTGLLASGV YGIVKIVATSLFIIFGVDRFGRKKPLLTGIALMSLFLWIVGAIFNTHLPNPNATTVAP ASIGMAVCIYLFVIPYCFSVGPLPWVICSEIFNNRTRHFGLMTAAATQWAWNFGVTKA TPLMVIKMPKGGIFFFFAAINMISFTLAFLFLPETSGTSLEAMDIIFGAVTKEEREAE IAKRAAMMEKAFDDEEKGVTSHHEIHDHDQRAEIKA
I206_00360	MAPIAPLRPQNTHRTSSGTSQSSSFRSLFTQTNSKLPEQPTPKI EDNRILPVKDFPPQAERRRSSQPDLDINTEEVPVSQNSLAAATTTPIPSRSTSLNHQR SHSLRRKPVPPLLLNLDAEEREQSLPADHPFAATHLRTHSKHNSASSSLRSGSNGRIT PVLDVSNRLEGKTPPPPPGKATFTAPLSPTALSDKSNGGTPPPSRSKASIPLFSGDET DVKVVKKKNVRPDTAGTFGGRRSVDKTRPTSAMESNRGQLRRLDKEDALNARMISLSL DRPLPPTPSGSQDMTTQASYSSSGAKPPKMRGSVDTNATSSRSIDLLGMLRSGPSRQS RSSSMSGSVSMSKNGGSSGSKFKSNVFRWDSTEKVMKSTSGEGKKRTSLEEEEFSVDR IPSKKNLWEAGTCFLKDENGNLKCFGDFFPRYPNDSNTFHDDYQNKGKSKQTESPLAS PAMEKSATYSGAGSIKSQEASGSKILKTVVFFIRHFWCGQCQDYTFASLSLLDPVALE KAGIRVIIISNGSWKIIKAYKRLFNCPFPIYVDGPRRLYQLLGMTKMTNDFGPMFKGR AAYHQRAVPGQLIHGLGNAFFRMPLASPGTLTQLGGEFILSPGWNCEFAHRMTNTSDH MEAPDVLRAAGCAYPTKSDIIQLELADSQKAELEKLEKEMKEWQENRAAELERIRQKK ASRRGIAYIPPLDLSEPNGDASGTFEQDMNQALEARAHTPVELFSKLSGEEKPSEIDA RLEEVLQEHEIREKEKLAAGELMLARGKGDLEVQLVQGQA
I206_00361	MTLPGGGRLGIFPAVKTRFVGPNVGYGAGLMGMPHPLGTPLAWG VGTGIDNTAASWRRYYGLGGMLTGYPLHGRIPGKPGVGGYWGGWSMRD
I206_00362	MTNTSTTSSTLVGRAAWGGVDAEHPFEILSNPHEEPPIFILILA LILFGFFILFNIPKLVIRWISNKNGGELFNGIKLSSKLPSPSPNVVNGYGIALAQTPI TPKSRSRASTFVEKYGPGSGAGAGAGAGAGAGAESPIYPSPIYQTPTTATPLRSFNTY PSPSSERLIRKSNPPKHFPSITSILPGGSWIDTTVPYIGYTIGQIFLCSIWAALTALG LFYNNDIVKAPVRSGFVATNQLPVVFLLAGKVNWIGYLVGKGYEKLNFLHRFVGRCIF IAATFHAGGYLAKWLHKGGIAHVSEASQTPFIMAGIVAWAAFAFIGLTSIPIVRKRMY GLFWISHWIGFVTAVIALSFHKPYTGLFATICMLLYAKDLILRLLFKTHIVPAKIIAL PAPTSDPSSGSIQIVLPLRSGWRAGQHVFIRIPAMQEMGGMAWLENHPFTISSAEGGE LILIIKKSGGWTRDLYDFASKGGILQLEVLGRNCKVLVEGPYGGPCSTIFSSYSGIML IAGGSGISYALGMFEDVIKKAEEGHLRASTVHLVRTVKSYEHAVPLINHLADLHTRAY ETSFKPQITIYISRSLRKESVIQGNIQFITQRPDLPTVVREGVYRTRRDNLSNAGSGK YGLIVGVCGPRRLIDGANLASRSIPSKDRKDIGGVTVRFPSALIPRH
I206_00363	MAQGNVPTKRTTSLSGSARLSLSVGKSAQNTSNTASGRHPLRQD WSISYVHRPPGAKVEYEKEIRKVATFGSIESFLHLYSHITPPNELPPVTDILVFVSRI GRPGVWEEMRDGGKFTIRLVHPITPLLFENLLLALIGDQFDESDNVVGCVLSVRQTED ILSVWVEEESDSVRSGALKEKILTLLSLPSTTSCEYRANRIFLEATSKPAFNNVNPIN AINEHQNEHHSNNNNHHQQHPRQHHSHHNYIHDKHNVDRSDRGERGERPERERGERNH WSGTGGFRENRRNQPGGGVVGGEREKRESAWGS
I206_00364	MSYHATAPTLQAQPSIEQPYVAKQPAAKTMMNATSANTQVSNQQ EPLRLRGGCPGHLCGLHVIFASFLFHAVKAS
I206_00365	MSEDKYLRLEPFDRSRSSPNGKPLTPTSSAFSSWIPGPLREKVS NLSLSDTINKGTDIFKAVTKSEAVTSATTFVNETLLAASATSDPSKSYNGTFRSEGEI DLLNPHTNSLVNLMKPFDVKRKTFGDSLGVGDNHWQAYALNTSNCTYKTKSQALRFTD KAIPEKLLYSKCTCGDSMVDTEVKGEVLSYLKGEKWFYSRFDSQGRRQFLKMGHEKHR DGFGDDSSALVDQDGFETVQAEGASYGDSER
I206_00366	MVRASVLNDALNNIVNAERRGKRQVLIRPSSKVVIKVLSVMQKH GYIGEFEIIDDHRGGKVVIQLNGRLNKCGVISPRFNIAVDAIEQWVALLLPARSFGKI ILTTSAGIMDHQEARNKHVGGKILAFVY
I206_00367	MSLATAKNATAGPSKPKSQAVKTVAVDSGKTIRAQSKKDKAKSN VNNAGQEQVDRAGMSKEVVKAVLASPLTVSWPNIPRHLQNATLHALKELIPSNIADYH VSRARCHQREKRSRRRILRKSDNAEKSNIVDSTENLEASEGDKDTEEHSEVGKKRTSG PSPPEPATKRSRLEVDTAREIDRPLKPEILSHMVLGINEVLKTLESQISSLRMRLMIM GDALNGKITSTLIKSNQKSHLLPTAPRSPSSSPEPEEVKKSETNSQNDIKILEYIIVP LLSINPQSLVSPIPQYCATYNALVYQHQHLSKICRTRLKSSEVEDVIGEAMEEVRVVP LGAVEKEITELVGLRRVACLGIRGSHPSVSLIRNLLPKSVLHPPRHSITLPIPTSSLN IHNSNSNNIATTQKSKPLIPGVHYADLHIKGIKTKIPVDNAARKAKRLEEVRKKRVEA KLKKKEANEKAKKR
I206_00368	MSRNSTASENTLAMRENPPASDIERGEANIIGSEMEKKGKVSDI VSWDGNDDIANPQNWSKNKKIGVTLLYGANTMCATFASSIFSAAAPFIAKQYGISTVV SILGLSLYLLGFVVGPIIFAPVSDVWGRKISLIPPMFVFVCFSAATATAENLQAIFIT RFFAGVFSSAPVTVVGGGIADMWNQRERGSAIVIYSLCIVGGPTVAPVIGAAVSQSYL TWRWTEYLVVILTSFILVLDLFFLPETSGNVILTKKARKMRFESGNWALHSKHEESDH SLKVFLNKNLKLPVKMLLMEPMCTLITTYNAFAYGVLYLLFASIPIIFEENRGWSTVP GSLPNLATLASVGTLLAATVNYFYSEKFFAKYLDQHGGKAPPEKRLPPMMLGSILFPI GFFIIGWTSKPSIHWFPSLIGFVLIGMSFLLIFQAGLNYLIDAYTKNAASAVAANTFN RSIFAAALPLVAQPLFHNLGIDWACTLLGLIAVLLGVTPYLFYNYGERIRAKSTLIKN L
I206_00369	MLYSVLLLALSPLVQCLTTSGLRSRSIYQVLTDRFARSDDIITP CNPAEKKHCGGSWKGIERKLDYIQGMGFDTVWISPVVANIEGFYDHEAYHGYWTSNLF ELNGRFGTPQDLIDLSAAIHARGMYLMVDVVANHVGAESLESFLPSAKYGPFDSPADF HTFCKPNWDDQWDVENCWLSENMPDLNTESPHVVSSLHSWIHDLVKVFSIDALRIDTV KHVRKDFWPGFVKAAGVVAMGEVLHGDPAYLAPYQRESMTSILDFATFFHIRRAFETP LGSISELVDMVSKVHRLFPDPTTLGSFLDNHDLPRFAGLTDDLSLIKNAAVYPFVNDG VPIFYQGGEHGLRGGEDPLNREAMWIYGYSQETNTYNVIKALNSARRSAYSSHSEFLT TLMKPYQLGNHTIALSKPPLLSILTNYGSSVPAIGIYISPHQTGLKPLLPVVDVLTGQ IFSTDPKGGLTVSLINGEPRVFLPLTVHQGVSSKETWAAVPPPLKIDINTSSRLGSPG SPSGHRLRPSLSGLMGWWSSSGRGKLGDL
I206_00370	MEPFLEEPIAGPSSRPFVHPSPTTPCTLESDCPFLYTTPTIISP ARRGRVPRPIKAQSSYVKRQETSSTSASESLSGASSYESTSATGTTERPLFTGPSPSA TTTTFSDESASYNGSSIAESYTYTPTSTSSSSYYTSTSFTSLWSYSASRSRSYTYSAS ASAPSSTAYVPGVLLNLTLGGDSDTEAVYSVDVSLGHNDQASSRRRATPPVWNGVDVQ TVKLQVDLGSSDMWVATTDCTSSSCQSAPSLFNASQSLDSGVSADLTYQSGAVDGDIY WEEMNVGDFGIGYQAFIAATNITNEDLSGGNFVGVLGLAPPASSTILTAIGGTTGSNP DGATFLDNLFGAGSSAPSERLFSLALERREDVRTTSTFGIGTVDQNICPSPCSPPYIP IIAQPQLGVTGYLHWRIPLQGVSITTFDDQQQGTGPSTQNLTLGPSQVYSTKSTPLAV LDSGGVPILTGYRQYADIIYNAMGISMSSDGLYRMPCTQQVALSFNIAGQTIPIHPLD MTYTDPDDSSQKQCIGMIQYSSNLGESGDFILGSSFMKNVYSVFQYPDTNKQKTWQPT VGLIPLTNASVASQDFYEVRVQRQSLTSVSSDQKASTGGSSSTPGSQPSQGAAEKKVV NTTVIAVVSVVGFFVLAAAAFCAWWFWLRRKFGAAGVVEYNAPPTRPTPAGYNSDSSF TSLRTKKHTSTQRQKSMVDGFSGSEYEGDSWMSTTEGNDSIRLGYLPEVAEEDDDARR TRAADKRSSRGSTLAGVDEEDYQLIDMGDPLSRGRSRTRSPPPPFPINGHQHTNSIDM EMPNSANSELTPLTAAPHPSGPTFQSLPTPNARKSSMTMSGPFPSAPAMNMSGSFPAP TPMSHMAGPFPSPNRQSVRPDISPMYDIRTSDYFSVTPSTNRGREHRRGSSSTSDRGE SSGRRRSSPSKAATGIEETVQEESRE
I206_00371	MFSVFDGHGGCYAADLLGKTLHGVLAHNAGKMLEEGYKVGKDWE NQMINGNMEHGLELGDDLRPALAAGQNPQSFAEMLSMSFMAVDANLLKTTQSLTFDPQ FSYNHLLPPNNPSLLALANLFDMGSCAITAVIDVENDKLFVANVGDTRAVAGCWDPAK SKWRCDILTEDATCENEKEVERIRSEHPEDERFTAVFNQGFGDTNRVHGGLQISRAFG DDAYKLNHEEFKGDIHPVSGEELKFVILATDGLWDRMTSEEASYLLASHFTHEIQQDV SKVDVMAYCPHTLPAMDNEHPYPKEELNVHGKWVYEDANAATHLIRNAIGGEDRELRR QLMSMKRPGARSVRDDTTAIVIWFDDPQNMVNKGGGAA
I206_00372	MLLKLRHFRSCQGINGGPLSLKSFSTAKPSSFGQPKLIKQYSAI GLGSLVLSGVSYYYFFDHKYKNITLFDGDAAKIRTIPAKAVELNYTSDSAEGAKTHTH SHLLLSEEEVENKIKAGAADVKMNRENNPVRKWEVNSLPSVETLGEDRYSIDIILKAD LANLVNGEEPFWKKWWNTRTQLHPRKEGEEVVLI
I206_00373	MAEVWISAASPLLKYSPSNSNWVQQTDGSVQYHGNEPFAVELNA YFSSISWYYDQLSSQKLQVRIDGSLEEGSAQSGSASLPASFNTHTAKLQSDCKDCGSA GSSLFILQGVKLLTQLVETGTGQNSTLDDASSQITYTGFQSATAPNSDITAIKTGTFQ GDTVSYTSSGGASASFSFQGSAVYIFGMTGPGFGCYEVKVNSQVIGTYNASSSVETYN SLLFFTTYLEAQQNQQVVITNQNDGCLFALDYITYVVSGSSGTNSTGTSASGSSPVAT AIFPSQAGSNNNNSTTTGDSGGAVIGGVIGSLAGLFLLWVLWRLRQWKKGGGQGSFMV ALCGGFKTKTGEEKEENKFHLWPMVWARPKYDT
I206_00374	MALVNEDFAVQHHSHLPMGIFSLNRPHPFSQAQPLQNLGYGPQP LFGWASVQPLSHSYGQPKNWKQQKPDYRRFCYSVGKAEVPYAALPGGPLHGFPTYMSE NVVKRW
I206_00375	MSVPAFDINTPPAIPPESGQNAAPYTPEINWNHDEILTEEQWHQ SQHHDQLLTQPPMISPDPNDNFYVPPEDFHPPPYSVVPANTAGNNKQPSVGVSNQQAL PPRTDHKDSPEGLQSSSSYHSEPYISYPVHHEEHQYNHLHRPIKVKKYTEKPQVQIVS QATDSRSSSVANSMQMMMMNSMMMQQMQAQQMQSAQMAAINQQNFQMQAQQLQNKAWN NLKSNKAKSSVNIHSHSKQKRYKPRSKVIQAKAIPKIALPPISGMYLELSEMSFELIC FLNQAVHQQASIESVALDNWQEATIILCFAAVGLIYLICSRAGGEEKKEDIARKHMS
I206_00376	MFRFVILSILYNITAIGAQSKTYNGFEVPSANNGSMLTYTLNTF PPNLGEPLNVIISNQSDPRVLIETMWEGGLYNYLEAAAFGGQCLGLQMGDEQQSNLGD GNKNQTQKSLLRYQYFHNQFLGTCLETLYGGNHIRVFKQETSGAFFLSSSTEEDSTRN HALGLNAYDNGRDFFIGNATNVVIEGHPDTNTTFTGEISKRGWKYRTTVNYVDDLVPA NKSRWNHYVGVQAIGGGVSDGLVAVLTVQVARDDPVNWGQWVWSILGL
I206_00377	MEAFPIYEDSIPLVDQQDPYIQYDTDYIPDQPSYVKYGEPEGDI NIHDIYEEEEDELPEAWIPYPSYSNLPPGNGNQQVIIGAPFPQASQLKEHYCDLYPEE CAKGTFERNDTIIRNETIEEDVAKGTGLNHVDLSGCGLALILLFLLLLGLNGGLGGGK DEDKGRTREGGKPDPRKGGKNGGNGERETPEERAKRKAREQAEKDNKKSNRGSGKGET PEEREARKAEERRNKRNDTDKKGKRDRNEETPEERQRRKDREQRQKERDAKDRRTNNP ERAERRRKAAEKAAKNGGKTETPEERAEREKKAAKEAERQKRKNETPEQTAERHKREK AEKDTKKESENSSWLNPSLLLGCLLLFALIGLSGAGKGISLPQSVPSWNDFRSPLPSF NDWSPPTFFTSPRNPIGGRILGSKTSPPIIRSSPKIIPSKSQSKWLVNEPITHGQNGG PQIKINHVNSQGDRNPILVEEEIVIDSPPIIVEEKIWEPLSFKFTGPTNPNRLLNMLL TILIVSLPLFIDFIKDYPEHSYVQPEYVASIIQLLILASLILIGLLIADYHFKWTTTI GPYAEVSIDGVQSGLTPVLVGAVETTEQLVWGLEDVLFGDSRVILGMGLAALGIFFLS QREPSLDIPETDFANATTQALVFLGALLLGMFFWNA
I206_00378	MPFLQSISSFFAGSSSTNGSSSRTANRRSVMDSTTDAFSLPTAQ GIRYGNGTGYGDGGLTTDSAASSPGPSRRGSLLPPPKDAFAGSPNYFPPPSHTFHRLR NALANSFPELLETLNGPANPHLLAAFEAELGCALPRAVRESLLAVDGQDLEACANISG SGGLFLGLHFLPLEDIMREWAFWRQAEHDPMAGNNAAVLATMASVPPNWIKKLYACRG WIPLLSDRTGNYVGVDLDPGHSGSWGQVIVFGRDFDRKCVLWRGDGEGGWGKWLASFV EDLESGEGWEVEKNGGSNGSTSDEEEDIGYGSYNGGGSFGESGSGLRLAGEYRGWNVL EAWWDKSVRKWDSLGLGLDVEDIEKGLEEARALAGYSEPSQVGKGKGKGKAVEGLGIG MRVGESAAQVEIPVVGSPHIPPGPGTPVPHDSDVLLPPASPEQPPIPKIRHPAPSPVR IITPIAATVDHPLRVTTDSSGYLSPPSRSPPRSRRREPPPIASPIDLPTRADVQAMAA IAQAEKSGLRGGWVMNLDVSAGNAARRASKLSPGLAENEMVDIDLEGGRAERFGSPRM TDAEMERQREEEKLALAGVEHRRSPQLVQPSRTPSPLSRESSFDEPSPSPIGSASEKT PRALSRGNSEGLISIPPSVIAATQSIRPPPRAANNSELNIRTFTREDEQRDAPLFRGN SSRPGRKERESSVVSMTSQDELLDRTSGNRSPSPSFHSTNHAGGEFVNSPTGISMSRT NSETNNEERLASPLVSESGRRNGFRRVDEQDKGLEEEMEEISIA
I206_00379	MQYQNNPPPPPYSKFPNHPNLSPTNIQSNSETHNLNNFSTFHSN MFVNPNLNSNISEQNGIPLLPVQNIDHNQSQLKIYQRALEDFERSSSPFNWGKYRHGK LLKSNDELENYKITEWIIWIVELFGPQEDLSRRSVNSSSIYNVHGGVY
I206_00380	MTSFNPLPAHVQAAQDTFSSDGIPTPTYMGMVDTGAQWDYIIGK DGRRSRTPSRSPHRSATEPSVHRPSSLSHSQTLDPPVPLGSSFPSTSAHPQEPTINEE AAAVVSRSMNAQHYQQQLSNGESLAPNGHGASRSGRSKTRIVGDWQLGKTLGAGSMGK VKLAVNVVTKEKCAVKIIPRYTEATRREEPKTPEEAEKQRQRDESKEIRTIREAHISL LLHHPYICGMREFISHQNHHYMVFEFIDGGQMLDYIISHGRLRERAARKFARQIGSAL DYCHRNSIVHRDLKIENILISKNGNIKIIDFGLSNLYAPSKHLSTFCGSLYFAAPELL NAKAYTGPEVDVWSFGIVLYVLVCGKVPFDDQSMPALHAKIKRGACEYPAWLSADCKH LLSRMLVTNPLERANLTEVLSHPFLTKGYDGPPDSCLIHREPLRAEEIDWEIVNMMQG FTFGSSEQIYDDLHSVLTSDSYLSCVAQYEARKDRRKGPTMSSSSMDVTNVTESPKKK RFSGFDFAKKKLFKEEKKVEEPVVKEKEPLDPTRGFDPLISIYFLAREKVERERVYGK GHFASSNMSIDGNDNTGYGRQVAQLQAPPSSHAMGGAYETPRDSGYLPQPRQRTEEVP NPVMQHPRADQEQSNIADLPHAHQRQPSLSQPPLPSQSLQPSPIPDQESLTKKFSLLG RGPRPSSSIPPSRSSSTATPPSQGMTPSPSMPLTAGGDHRRATTVSDKSNKHERRVSV GSISNISSTVGRATGLGRRSSQRERKATPTEHEPSWLNTPKEADEYHPAQSSVHAEDT NLEPDLQSEVGANAIHETHQNGDVKSVYLKGLFSVSTTSTKPAAQLIKDVSTVLNRLG IKHRPIRGGFECVHIPSIDLNSVVNGDEANTSLHSVNQSSGTKRKPSLRRKSSKANVN GSNSRNASPAPQKVLGTGSSGTFSMGDTPSTPGKRSTPGQGGAPPAEEDELDAWALAQ SGGAGSSLIVRFEIFVVKVSWMPLHGIQFRRVGGDGWQYQMLAKTILREMRL
I206_00381	MKKSNSKSTKKPEIPLTSLIKPDLTSPKSLKSNSIGKEKEENKI NVIYWHRTDLRLKDLPALNKLLNKNENEIKKINNFWPIWCFDPNYLYKHRVGLNRWNF LLESINNLSLEYKKLNKNQKLWVLRGKPFLIFKKLIKDWKITHIVWEKDSNAYSKIRD EKIITLAKELGVEIITTPGRHLYDPDEVIKANKGKPTMTLHQWQSITSKMKDIDKPFP APESIPDPGPSDLKDHHEENWGEYEGIDLNADVRTGKDTCFDSLTGPSDDPFSVPTME QLGFPPATTTIHGGTIEGYRRLKLFLSDPEKVSTFSKPHSAPTSLEPSTTLLSPYIKF GCIGIRELWWGCKEVVEKWRKNGGKGETKEPENMFGQLQFRDMYACAEAAIPNFERIR GNSICKYIDWSLQNQYDKNGNEILPRPKDEKDEQAEKRFEAWKKGETGFPWIDACMRQ LKYEGWIHHLARHSVACFLTRGQCYISWERGMEVFDEWLIDWDPASNPGNWMWLSASA FYSQYFRVYGLVSWPQKTDKTGELVRKYCPELKDFPDKYIYCPHLAPLTIQEKSNCII GKNYPFPILNEQLEKELCISKLKDSYKLNFNGNHLEVLNGNVNKKLKELHENNGNTHF KKSEENEKKRKAKGEGALDKFIKREKK
I206_00382	MSVNLSPANQLGFPRPLTSLVKRSLFIHNPNPHPVAFKVKTTAP KQYCVRPNSGRVESGESVEVQVLLQPLAQEPPPHAKCKDKFLVQSAYITPDEEMHTLG EMWAQVEKTNKSAIQEQKIKVIYLPAEDGSTNQNGIPEEDDHAGEASRLEESAIFSHA QSSPSQTLPSVQDKPIPVPVQVSPPSTAKATNGPTSPPLPDLTPNQAGATHAALEQSL NATTSDSEKLAVALKENEKLRAELEEAKNGPQVTGLRKRGNASGGAETLVEKAKDAVT STSVTAGGSQGVPLEVVAGLVVGVFVLTYLFF
I206_00383	MIQTILRRISPFSVPLAGPSRQSIRQCSSCPPLRPTFNASSSRP TLLLQTSSPSSIISNLKLARTQPLIMQVRGMKVRSSVKRFCDGCSIVRRKGRIYVICS KNPKHKQRQG
I206_00384	MPARRQSGLSPIDPITGLPVEGATPTSGRSRGKEGKEKETFACT FPGCGQNYSRMEYLKRHQRKHQDDRPFQCKDCTKAFARSDVLLRHRRRCHPTPPPTDR NSHSPPAPHRNYPGVPVSSSRTDARDASPASRSRKHPRRSSGDDERDATRPRLDPSLD PSLEDDFTGADDDDRYPDGTRFNRQNGMGNGGVYSSGNPFYGSGAVPEGSTYTPHLLP MFQQGQTFHSLNDADHLEDASVLLSMAYPGGVPSGENPDIQRDLPDWANNPTINMMME AAVAANREQEANKTVNGNDQTKEVQSTEQPVVDPALASASGNVTNNGDDSFLNTMSWL SGMGTQGVLNQSQNQSQTQNQNRISSQMDISQNRTGQNSNSQKKGSTSTQNSTQQSTQ NLSSADMGSLLGWMQLFASNMNGSSGPGNSPKAQTPFPISSLFSPSAFGLNNVSSNTD GENKDEVPPMSPSIMGILDQMAMYEVPQTLANPNPERPLLRLANSEMNTRAGQEWFDK TSPFYLPADRFAGVYQIPHWALPPLRTLSVMACRTYHTVLNHFSFVHMPTFKLNDTAA CLAFAICTVGGIRTGSTTAADNAVLQSLGLSGLIPPKSLDGPVVPDQSWESIYESNWN RANDDKALDSEKVTAWKNGPLVRNEKTNMLVKSFSLAQGVLMTEYNVALLQALILYHT PNFLSESESERLTANMFMGTIVNITRQIGFFTPEADHFTTKIDTPTEPFTPNDLDRCW KKWIQLETRRRTAYLVYQLDTVSALESNIPCILSSCEISYLPLPAPDTLWKAPAAVDW LKAVKKYRPMTLDEAMRRIFFLPTFGSFDNLHENADTKYYNLLNTQDLGPFARLALVL TLLRGVMDIGEGKRDRGDWRDLTDLWVGCGWLRPTKRMLAQDGTDLGRITRESLRGRF GMGLQKWREGWDFDRLCSSPATPNGSRADSAGVSPQSNSSPPGREPELPKETLNYCED ALPFYWLAVALLNQLNNSTHNEPGFNHFSGVKYGDMLKAARTFTRTGEGIPGATSNGS SPSYARQGSTSTASVVSATVPAASAQTQNTAHTYSAPSSTGTSNSLSSISPPNNNNKT VDIPPVVEETNDDNFDLSNMSPGTINGFFEAIAGAGGSEDTFDGGTVPISTKQNPSAG TTGGTSQQVENGGGGIAGQGQLYEQIGFII
I206_00385	MPGSKRRALKKFLSPNSEPVSPPSSSAISPIQSNENSSNSLSQM ISSNSNEGLPPPALPLSQEEIQEDLILENMAAREKEIGHASSPSGLTISPSQTISNGA LQSKSSSQLSSIQPSSLPPPPISTTIPSPPPPSGGLYNNPFGMGNGGGKKKKSSRQKF EERQARKTEALLNSAPPDDPNWNAQLEKERLEEIQVISDACTSLGREIYEISPDGHCM FSAIAHQLAEIGILPSKDSENYTVTRRAAAEFIFAHPDDFMPFLPSITGEDSAGATDD GVITPEGFKKYCQLVAETGEWGGEPEIQALSRRFDVPIHVFQRGPPTVVSHGSSGDAF GGAMTPEQSMAAGDKVVRISYHKRMYGLGESEKGMRIPRNGQKLFIRKEVYAYKIS
I206_00386	MPRTYRPLLQKTATQSDVPQDGQRTPTQADYGCINPQWLISDIK SEEETGQPPLFDYPLNTTGGEINFAQGHNPITTTHPFGGSSSSVNPEYMIPNEANIPG DDFTMYGSTAAYINSKNTNAATQSAASTIDQPTNAWGTSTPCTALGTHESHILPGVFR EPGALYFKQESENWNTICLRAKDHMSPNTIVHVTVTDWESPTSLCTIKLFIPSPSGLA RVSYCEDGSLITQYKPDIRCEDKNHIIQELNKATNNALSRIDNEAHEYGQALRISSIT PIEETDAGDSVIWEIKAQQNDASEPPPPTVVKYMREVDGQITWLSPNPSDVPWNDLIR SFVR
I206_00387	MISDEVTHSQANSFEVEIPNTTAEENNASSSGDTSTTPSDNPFS VDQKTSGAGTEAQQSDENSASGAGIEAPKAEGKREYPAWQIGLLQAYENEHPYITDGG GS
I206_00388	MSHSSTAPPSRPSSPAPLYRRSPVRIGCVQYDVKLGRVSENAAK VERMTRNLQPGTLDLLVLPEMCLSGYVFNHPTAILPYLEPQRIGPTSLLARSLARRLR CHVVAGYPEALPSAPQPFEIDDTQSQTESNAGPSSMKQLEGEGTGVGWNSAVVVGPSG EVVGNYRKTFRFETDKSWAKEGDGFCHFDLPEPLGRVAVGICMDMNPKDFLAPWDAFE LANYCREKAVNMLVVPMNWLSPPGEPPEDVPEQDPNEPSDSSINYWASRLVPLHDPVP GYATPREETPNPMGGKDVIFVGCNRVGTEEGTTFVGTSNVMTVSSNPSRIELVECCTV SEEKIMLVTVT
I206_00389	MKQKYRISKSGYMGDITREETNSSIIFRMKPPKKAFNGKNDTFE CKNIERIEYDQLLKTKFNNTSEYMQTNDTILDISPDKRMPLTYKGHKFVPDWAVAEES HSDINSNIHPSCDMTFFALAEDNKTIKRFNVYRIPHAYIKSNLNETDQSVTVFVKDDT DPDSPVTKFPATIRSSIVHIENNVPVGNPSNCGTDHNAHNHSYALYAKKNPSMIPAPT LYSRGPDITTTEDPWLMRTIIEFFTATDESNQIVKLTSVR
I206_00390	MIRSILRSFLRLITYPFFILLHLIFVISSIILRTYETFSSTSYQ SELESNENENEIIPPNHLALIFIPCKKYKKGEKEALLESIIRSIEWSLQKGIKELSFW DGKGLIQSILPNLIKQINKKYINNQNLPLSPPCTPPNELIEIIPTQDNEEFEFHSPSP KRKVECDLVQKLESLDKEVRTIHIRSNAFMNEIKGLKLHFLSPSSSDDLLVNLTRNYV EKKINVDDITVKSLDRDIEDQLHFTSDPDLLLIHHLTPPSTFQSLLPRRPPELWGYPF WSLRITEIYQFPSPLPLLHHINPLIQSLRSSSLPFLRKLGHIVSLPVKLDPRGILNKE EWDGAMIAWSKVEQRLGK
I206_00391	MWKQPAPANSSFNEYNTFPLPQTQRGGQSSHAHGPVGHTQQPLV TGTSVLGLKFDKGVMIAADNLASYGSLARFRDIQRLHPLGKHTLLGVAGDMSDFQWLK KELDALLRQEDALALTDSHPSFSPKNIYELLSNLFYARRSKMNPVWNAVLVGGWDKVK EESFLAYVDLLGTTYTAPTLATGFGAHLAQPLLREAYEAKAGINGEGPLLTQEEAEKV LDDCMKVLFYRDARSINKYQVATITSGGVTISDSRSAETEWKFAEGLRGYGGQTQ
I206_00392	MAAQQPQFFETITKSFTDVTITEAGVDTAEFLEAAENLVKIFGL FGNPAFVVVQNDLTGNIAKVRAYLASNPESGKTLESLLAAEKASIPKVKDRVATDALT WLLRGLKFTSLGLKFNLDNPGEELSASFTKGYEGSLKKHHGMMVRPVFYLAMKACPYR ATFYPKLGEPQSEVIPKLEAWLKALQDIVAKEEGVFKAGGYGEI
I206_00393	MSEEFNLQESLLSLSDLSLYHIPAEIDVPSLRTSSIDESLSTAI ESIVHDPDSIVHQPASTFDVFQSILKYSDSPNITGPILTKLLDVILSSLTNHANAVVT LVGSQGFSAEDMDAPMIHKQPLEMWSFLLQWFITSAERGAGKSSDGSSMTTTTGKGKK KITNKQNSSSTSTNFIWIDQLPFVLSTIHKILKIPTSRIWRTTSEKENFISCFVKPSY QLAENELYLKNQEIKFGIYKIICLSVKFHNHAFGAQTSIIQNLTYFEHFSEPMAELLS ILEKEFDHSQLSEEVLRDVSTKTFAHNDVKGPRSFSKFLIKFAELSPRIVSKQMPLLL GHLDSDAHPMRMAIVEIIGILIRDLSLSDEGDEEQKSKQIKKYFELLMERFLDLNSWV RCKVLTTLIKLCDLPAKFPKQRHQIVELTIRTLEDKTSSARRYAIQLLCKLLETHPFG ALHGGTLNLAEWQERYDKVSTELAKVDAIELEKAKRDVGLLDGEAGEQEEAEEEGEEN AGEVDEDMDNAEEVEGTPKPKSKRKERKPRQSQLDITGIQAEQSTLDPELIQRLRLTK KYYSDALRFINQLEGAVTTLCQLLVSTTKTEVLEAMRFFRIAFEYDLQSSEIGIKTML HLIWTKDNNAAPVATTSATVTNEDGNPATGMESGGKGIRANLIDCYRSLYFDVVPDLA PKQQVNRIAKNMIERTYGATLAELTSLEELMRTMMAEGGVHHDVVNKLWQVYSTDQEI PKPQRQGAIIILGMLALAKREVVTERVEALLKIGLGPLGMHDLVLARYTCIALQRLGG SAKKVKGSLSDKTMRLPMDNPIFVKLQEIIEHSPRSPQWFSMAEQAINTIYLLGEQPD ALCSVIIKDLTVKVFEKPSNSTDETNPPPAGDANAEADETIREDHLAPEAESPTSNGN GEVSEKKDQVGSFKLAQLVFIVGHVAIKHIVYLELVEREFKRRKDETAKQKAAAKTAD KDSNDLDAVAGNAEDDIGELISGIRERELLFGDKSLLAVYGPMIAGICASPKRYKNPS LRQAATLSLTKLMCVSAQFCESHLLLLFKILETSRDPVVRSNIVIALGDIAVCWGSMI DDNSERLYQGLSDPDPIVKKNTLMVLTHLILNGMIKVKGQLGEMAKCLEDKDERISDL AKLFFTELSTKDNALYNNLQDVISHLSIGQHKVDEETFERTMKFIFTFIEKEKQAESL VEKLCQRFRLATEERQWRDISFCISLLPFKSERSMKKLIEGLPFYQDKLHEETVFRRF TEILAKARANKAANKPETELKEFEAILTEHQAKGLEDQALEADVLKKTKAAKRRAAKR PQAGRGKKLATQEDEEEEEDEDSRPAPAPTRNTRGKAPAKKPPPKPAKRGGRRKIVES DEDDEPDLSGDE
I206_00394	MRPSLRYFTQRKPNYPGHEPLSFSQNALLAIGSGLMGVYTSRGD LIASLSESTSSTFLPKLNEKLKLHPEGRQILKDRPLITNQSLIRLSNLKRGTLGREYF EWLDDGKLDPDSRMPVQYIDSPTLAYTMLRYRQTHDLYHTLFSLPPTLPHELSLKVLE FSNMSLPVAALSSTFGPFRLKRRETWLKDWVPWALRTGQEGKSLVGVYWEKRWEQGIG ELRRELGVERNDLEGVESRWGGYRKIREIERELRRKGEWIDEPEEW
I206_00395	MSTDTNASTQSYVYVVRDAATGNLTPRGITISSLDDGTRIVIKT YNDTIVNDPVNKDVSFEPYLAETDLNDYYHLKDEGKAPWKQFPLGQTANGDTSVIKLK PGKWENYHTIRNESFKYTHDHESQLKSPYCLPQPIRKKVADTKVQANHRTSESYRYAI LSEADLDTSQLSEVGKTSTGAQIFKGASFLYKGKAPSWRPWTGIPTALSPTDEQQQKM WQIIKRISVDDTSTPTLVNAEGMSQPVESPDLGEKKSWNSDDREKWCWKVGDEERFYV SPLSTIF
I206_00396	MSQRTLNCWSHDDPKGMTYIWKDSGKIIHATIITVGGDDNVLIS SLNDVLVKRHLDQGDQLDLLPSFCRTNIHAGENAVYASPIEVPDDSNGSFHCDSLEMV PNDQRIDTYRYYSWPKDFREYLTQLTGDTKKKFFLQGGNISNLTQARFIPVTDSNYTP MNSEYGSMHRDGSAVRASRQTQWGNIKSEWRFSTSPGNSAFDWDKIRPAEKDLKYVEE YIQIPPSTAVPTRSSGDPEVEEESGGSWTVVKGKKGKPI
I206_00397	MIAHITCPVNFVCGISDRNCTCLPSCPCKDFRPCAQSCCYRIPK GLSDNSYHEAMARSQYSQVISQGSASGYGNQGMNCPPSHGVYMSTGGMGRDDFKKGCC IVM
I206_00398	MDTIQSRNKRARSSSTSSFGSSTESTSSKNESNTPPPKYHRGRS TSPSSSSSKPFLCVLPPTCSQVGTSTSYATQIELDRHQDTFHKWICHIPVRDRSFNPS STPNKGKGRDNGRSVPESFSGDKEDGRRMKECLKVFPNERLLNLHHTEVHDPISKERK EKGQKTFECFLDPADCGRNFLNPKKRRRHMIDKHKYPPDYFFSITNHGINAIAHEDGL AMSLIRPRRDPSSRLSEQENTVMSALQSDSDIPTLNAKITPAKDEKATKPVDVDMDEL LGQLESSLTFVPRGVRKAARAKDRNMDIETSR
I206_00399	MSTVAELCPVYAPFFGAMGCTSAIVFTCIGAAYGTAKSGVGISA MAVLRPDLMMKCAIPVVMAGIIGIYGLVVSVLISGNLASPMPLYTGFIQLGAGLSVGL AGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALILNTNSTVD YTVGLVFRLRCSIAQ
I206_00400	MASAAGSGSEVEYLKTLVSQLQDKIHTLENKTSSTVSSAIDSAK SAVGLGAPKDAPRMVLIGPPGAGKGTQAPNISGKYCICHLATGDMLRSQVSKQTELGK AAKKIMDQGGLVSDEIMIGMIKKELSENAECKNGFILDGFPRTVPQASKLDAMLKEAK QAIDHAIELKIPDALLISRITGRLVHPASGRSYHKEFNPPKKPMTDDQTGEPLIQRSD DNVETLKKRLTTYHQQTGPVVDYYKSTGVWTPVDAAQSPKLVWASIGKILEGTREGKM VRE
I206_00401	MFALIPALALLALSASAQNSSSTVTTTDIEGLEANFKQAQLVPQ LISDFEPEALLSVSFSGQAISIGDKLDKDAVASSPDLTVSPAPDQNLDAGALYTVLMA DANAVGTDQNTTEQTRHWLVNGVSLSGDAAPYTVNYTGATTITDYAGPGPFEGTGSHR YVIAVYEQGSDFAAPANLSTPGVALGTWFLSSYVTEAKLGDLLTANYFQVENGQATST AEATTSVDSATLAAAASTTGASASASSGAASATSGKASGSSGASATSAAASASGSSGA GAASGAGKTGVAVGMLVGAVGVVGAVIGAAF
I206_00402	MSRPLPVERSQGSVSALVARFQTAANRDVEATARENRRASLQSS SSRRTSSNLGGSGIGISSSSSNTPQINTANLPNSSEKETQNENSKQDEKKDKSVEAKE QGVVGKSLDMAESVENKFENLIIGDEKRDASLVNNEGSDSPSRPPKSPKRVSSSNVMS TLPIPDAPKDVQIEPSVKNKLGSEKQVESSKSNINGKEKDVTTPTKSTPSKTQNLPPT APAIYKPSPMKPTTTTMPVNPKPRTRLSSGPSSTPSRSRTSLDHQTPPTSPASTKTPS STTSTKPLVSGPTSTPKPLVPTHTGPARRPTSSAQSHGTPSPLKPQLTGTPNKPTASS LAKARIPSGSLNTPSSDTNSTKRESLSLGKNTGAKARSSIGRDSLSPSPGPNSSGPKS TGSRLLQGTAASRAKAAGVQHNNSPATSPSTTKTLPKTPTTISSSNKSQVSSSQSRTQ SSQARIKTPSSTSTSRVRSKSQNQPNENETPSKDNILKTPAVGKSPIGRIGLAAANLK RPENLTTKNENKTKKQDEITDKKSKEELQELTDPLKSLDGRDKQISDIVERPKTPSPE ENGQDVEKVTSETNDVSGLERNIKKMDVQTQEANGYQDEVEKQKEEKAIMETGEESLE EIPDIE
I206_00403	MRFARKALRPSTASLCIQHQKYRCLSTSIQRLKEEPKAAHPTKH ESRPIRSGEPVAEHVKEDESKRAGLPEEPMEGPPPLFSSSTSHDSETTAPLAPLPAQE LFSLKDRTILITGGGRGLGLTIAHACLESGANVRCMDLLPEPSQKQWLKSKLYANKND LEITYHYLDVTNKIQVEKVFKHLFEINDEKKPIKGLFTSAGIQIMMPALEFSIEKFRK VIDVDLTGTFLCTQSFAKNFFKKNPNLNVDNDLKKQASIVMTGSMSGHIANLGIECVA YNASKAGVNQLSKNLALEWSKKGIRVNSLSPGYIRTALTAAQLNEKPELNEIWLQGSL LGRLSTPDEFRGPVLYLLSEASSFMTGADLLVDGGHCAT
I206_00404	MAAPSSPPPPSLDPPFRGYIESTYDALLVFEAARRGMIPRVTRR LIERERGMVQSGAVFVFDEHESGIKRWTDGLIWSPSRILGNFLVYRESFPRDTSDTPN KTSSTSPPNTSPNSSTPLGRGKPTLGVSGYDSPIMSEATGTMGPGRPSVMDTPTDGLL GAGALARVRSASENGVLDKNRERQLVGSLTSSAKFRDDGLVKKTMSVSVNGFNQHMVS YYTIQDVIAGKLRAPSTIPELASLEISAEYLNKQNFRFPPLIERGPDGVNRYRGEGEE QQSPTSPNSQYSFQAFPPTSSGGDYYDGGYQMTSHAPRAGSPRNRSVTVPMQIPMGHH PQTGYMGGPSSYGSQYTDSPGVGNMHYAPPIARQSSSSSIQSNSTTGAIRPGSSSRRY EPYGGLATSPRLSGGMHYQPSLQHRRQSQPMPPENLYSPPNSAGYDIKPNPYVYQAPS TAPSSFASFGHPEAASHTSYGHQQVQSPITSPTSATFAAAQSNGYAAWQSVPSQPQVG SLPPIGSAGSGSTSRLMPRGDLGNPPSSAGSASTTSGGSRMNTATTGLNGQHPSALHS AVNPAEPWHAHSGVTEASPNMWNNGSNVPNGTATNYIQPIHSGHDEWARPNTGAIV
I206_00405	MGIDQKVEAAMKDSQGLKETWSENRLELVLLWTAIINRYDILHM TLIPFGYPLDSPALRLPADHPSETIGLIIAHVQLLFA
I206_00406	MTRSISFKKFIFLLSLGISSVLSQDSSTGSEILTIQTSPPEPVS STSSFASSAFSGNSSRSGTTSAPSSTASASSASFPGNCAGECTDIGNALASCGAGDTL NTTCLCTPIVEADYVSCLQCGLSLTPTDSERRVYQAILDAYINQCASAPVSPISLPNM TITLSSSAASGSSIGSTSKSASVTSSISSASSLSSASDTNSYPSSSISRGPSTSTITA SASSTPSSTGGSARRINNENALMSIGFAGVIGVVFAAAAI
I206_00407	MRTFTFLLALGLFGSAFSAPVAEPNVNPILFTKDPLAIHKRSEI DIPEKVEDIIMTPAFQAEVEASSSTATSQAPTEDSCSEICGIQRVEGAKSEREALCSS EGLLATLQCAQCIDQTWPDTSYEDSAMAEYERIVSACDDTPQQ
I206_00408	MSSLSNVTLDDSSSAITYIGNWDGQLHKGDPLVGEYSNGTFHAS NTTGDTATFTWNGGGIWFFGAFRANHGYFSIKLDEKEKEYFNGQADSDIFNQVIYANA DLEVGNHRIVLVNEANYNTSNFELTWVDLDYIMVQADPAQFDQSSIPSESRILTTGTP RVQAIL
I206_00409	MTGNQKIKLYMIRHGRTEYNSKGIIQGQMNIPLNEYGISQAEIS AEKYKDLMIDEFWSSDLSRAIQDYSIVKIDHRLKERFLAEWQGQTHDIINNQPEPDNA ESYEAISQRMIEWLNDLLETHNNLQNQDSELEYNTKSILMVTHEDCICALARTIVSTH ALGPSIKIEIDEGVKVEEEKGCPNLGVSVLEFESVSKIEGRWNGLITRWAADEP
I206_00410	MSTAEPLSRIPRGLQHIISTKPNKFAHKLPSRLPHASNKFVQPR DRVRKWNIRPGDKVRLLVGTPKQKFINQQDATEGWKTFTVKQVDLSRNRVFLEGVNNN RSNVIHARPSNYDNLTPNQKQSYDDQKNFVTTMRPVHYSNVQLCYDDQKGPGRQLTVG LLFSSFVSRMKTGRTHFNVKSQRLDWNRIAARISGGSDTPSGPLSLPWPKPEKPYELP KPDDDLDTSNNVAIRETLVLPGLEAIGNTHAADLVPQHINAPSPSDTSFSDAYINNLH GNRPLRKEESELVDLLMPLYLSNELSPRFGKYKTYKAYKSRREAEELERVEVGKLAVD EWELMGRDKGLKEVTELDEVALEGVFIKPRTRKEVREAAITEFDLENETMRKEVNSNV RSGKIWSSEVGDWIDGPKAQNIARKQDRRERKTRRAEEKLANLKLEA
I206_00411	MSLLPSLPRRTSTWSISSTSQPPTPLTGPRSPKAVPETPFDHQQ LFRHTEKVLLTQGCRVRRGYTPFIKADALLVISKKETGDEAAIFMVTPPTSESPATIL YMMPIVPGFKHRLEQTPPSPSNSFFQQSAKPHITLYLSCEDVKLELRISASQSAKVQK LVAELRRQSDSAAQAPCPRSISHSWLGLYPVFPPKEKDDVDVLTSPPVDTPSNLTPST STSLAVDTPLLSSTSKDQLDTDTPVHQEEEHYPDPYLPTFSRTNFLRKRLFARQDKWS RREEIKIRIATYNVNDKIPPEGTTELAPLVGKGEEDILVFGFQEADLRKQSLLISQGN SRADGWEAALSAGLGTKAGEYEKLVFNQYVGVMMIILVRKSLGEHVARVETSERGIGL LGFGGNKAGVAVRLKIHDTTLCFVNAHMAAFATALDRRRSDYQVLKAGLTFHRPNETD LTAAFEEFLPEAKDKFLNQEDSHILFWLGDLNYRIDLPDDEVKKLVEEKQWEKMLAKD QLRSDISSEQSFAGFTEADITFPPTFKFVHGSTTHDLKRAPAYTDRIVYNMPKNEYTP SNYFDLACEEYTSHDILWSDHRPVTATYKAQVRVVDESSRGVELAAVMKELDMLEEVY RPSLEIEGTNLEFGGIRYKQSVERQIKLRNTGRVPATYSFKPPSSDKPICKSFMWLYP ATAVVAPKQEMTLKVVIDVDEYWSSKLSSGSEDINDVLVLQIAGGKDTFITVQANYLP SIVSLPLHVLSALPSPIRQIPLSERKILARPLAPSATNGEAPSKPVRDFWRLLEYLMA KGKGIEGLWAGDADFRDVIESLDTGDELPEDQPRIVSLALLHLLTALPTPLLPVTHHT SCLTAEDRDAAFAVLEGVPQVNTNVLIGLMSVIRLCSSPEETPDVSELKIHNTSAVQV KDESQDSTDTTQVEQQEVVQEEKKEDESTVDPAVSDGNFKISEDDDDEDEDEEATLDL ISLTHSQDKPLPEVNQEGYAKLTEDSEEPKPLKLASPPKKGLSEAERPKTPPSQSTVH LERAIATVGPNEELIDALIPAVFGLTAAVAAVKNKRRRFIKLLLEG
I206_00412	MASTTNKVTLSNLKSLIKTFPSSPLSAESVQFSDALEAIAEKAF SSSSSSTSTTNQITSTSATTTNGTSSSSNNNSNSMEALKIAGHQRRIIQMRDSLIRIR NGNAMKTYPLTGRTLSPPNDPHYYTRFRNGVRNAEKGIHRPWWKIFFNIKGEE
I206_00413	MPIAIADLVQRSIVLTSVGLTIYGGALITHGIGYRALKAKGYFG GPDEDQKPIDTPTGFSSSPSQPS
I206_00414	MATIVDDSNSNLLWSGNSWNTEHSDDPLTGRYFNSGLYGIIIDG GEIQYFSGFSQDGQFQATLFATNGLKDGSHTLKIINENQRNQEQYPDYVYLDIDSVAV NGVLINAASNTTSASSSSLTPTSSSSSWTLSESSDISSGQYSNTVSSAIIVSTASENP NTSNTLKTSVSSFIPSNPVTSTSISENRNSSNDRKIITLAISIPVIVISSIVIFIFIV VYFWKRRQKKYEKQTPRQYNNYYNGYMDEQLSS
I206_00415	MPDHKQPIVGIIGMGDMGRMYAKRLKAGGINTIYVCDKPELFNS LIEEFEGTGIIPLLNGHQVSRISDFIIYSVEAAVLPHVVKEYGPSTKIGAVVAGQTSV KAPEKEAFERYLPEDVGITSVHSLHGPSVTTEGQPLIIIHHRGPKSNVKMVEDIFRSF KSRYVYLSYEEHDSVTANTQAVTHAAFLSMGTAWRNSASYPWETTRYVSGIEVVKVNI TLRIYSAKWHVYAGLALLNPDAQIQIQQYSKSVTELFKLMVENKKKELEERVWESREK VFGWKKNQEPEPSSSSSNKNIRDPILLSEDILDQFSLGSKSSSNTNSNININSSPNSH LSLLAMVDCWSKLNIRPYEHLIVAGTPVFMLWIGVAEYLFRQPILLSSAINAALQDKI HRTDDIEFVVAARGWSECVSFGNFDLYKKRFEETSNFFSPRFEEATKLGGRMIKAIQD LQAGKPTSGQSNVQ
I206_00416	MTNALDALKATGTVVVSDTGDFGSIDAFKPQDATTNPSLILAAT KLEKYAKLIDPAVEYAKKKGGDIDTQAENALDRLLVEFGSEILKIIPGRVSTEVDAKF SFDTQATINKAHQIIALYKEQGISSDRVLIKIASTYEGIQAAKKLEEEGIHCNLTLLF GFGQAVAAAEAGVTLISPFVGRILDWYKKANPDTTYNSETDPGVKSVQKIFNYYKQHG YKTIVMGASFRNIGEITALAGCDYLTIAPKLLEELAKSNDPVPKKLDAKDADSAPIEK VSYLNDELKFKWALFEDQMAFEKLHEGIRGFAKDGQTLKDLIKAKLE
I206_00417	MGLPSFAGRVGLAGGHSHATRGHQKRACNLANGDLYVYPKSTDK VDATQPVTFKWDTSCAIDSEQITLSLYGSTGLVRQWGNKDYSDGSLTVNLLPKYWNDT ETAQLQMNIVASGVEDWMSNTPAGPVFTVSYPASAMFSTTTANGQVKTSTAAAAATQS KDAVFQDVSSTNSSDKSKISKGAIAAAVIVPLIVVAVLIAVAVKFWRNRENEKRKRWS QALSTHSNLEWEKGALPGEKPRSILGRPSMGGRPSIGGERPSMSTYGGSARPTSSVFA VENNMAGAGAAGSHFQRPDLASLRTHSAENVNPRSSLAMPDGNVRQSRISFAESARPD RRSRLSFGGDIRPNVHSGIFKNPGASRSAHELNSTPTNRRSAAYATGSAIEDDDEIQI SPSQMQGPHGFDQTDMKKVGKGTRTGRRSFMSLGGGDKRRESTASALSVDDFKSAASA RGSVDELRDMEAVMLMRRSMISQASGQRSPNPATMDNNDVEALDNVPSSPLPPSAPSP IAGSSTVAYGPDQMLAVYAARGKVSPSVTPSTPTFGTAPTAQNLPAPKPVATRQNSGG MRLFGKKNLSEDSTSENAPAAPAPGDMRSFVHLNNGTVSSAIIDALPAPGPRGVTSPT GERLGAPSGQGRVSGMSDGSRYSQAEEDIGEAQ
I206_00418	MYLPFGPSASGSSTLDSMSNTPSSSSISQSQSRPRQSYPYSSST TTQIKEMSYSDSQGWPSSSSPSSSSSAIPSTPSNNGTYITNSQNAWMNWYPALPSSSS SNIPTTIPTTTNTNSTSTYDPNTFISYGFPFKENSTLVGSTNSNKDESTYLDKKDKDF DTAYAAPAINLGTGDRFSQLLEAKMSMMNGMNNSPSMNVNSTSMSNSIPTASTQLYDY RLSEFPVQNYEANPMNPYPVPTFLSTSVPQNSVNPIPIQPITAKSSQHVANPINAPIQ TGFITPNRFMEMDMPPPPLPQPVPIASASSLHSTTSPSIPTPYISSPYGDPSRAVDAS STVSAVQSPWMQGVSGYSVSPQPPQGDISSMSPMTSSGTIPNTPYIQLAQPGGSRQSP TYAYQQHPAFDPLAIEKHLSDWSQTQIQPDLVAPLPDPIQYYNRQRSPTSSQGDASIY APPSNQLHMQSDFLPIAYPHSQPQRTSATPSTHSSSPNPLLTPHPASAPTSVRSSKSP IASFAAPPPPRKQVITGWTENPSNVSNPPSYSQPFSSRMSATTSAEEDLPPVLKIRVK RDNSFDKGSNQSTPQSNPGLVKKITNPLKASSSNLTPTAKGTKFSFSTSINKGDPAFK GKAKKEKATKDEKEKVKVNDETEEHFNGRKKKRKTSHNEEKKPGQDVQPFHDGSSETT QSSAQDQSQKPLIDKTIIACNNCRAKKLKCNGEKPKCFHCDRRGEDSCIYEAILRRRG PGKHNKEKTLKPIKCGKKRKSNQSKQGDEDNSSNDQDTDEDNEEEEEEEEKVKEGKQI SKISDFENSQTRSNFSSFGLGGGGNLVGRINPKILNEDEIKGMNYIIKKDQNNGMKSN LNVGVGSGLGLGSKGKGILGMGFGNGLNTQNLGSGSRFELSTARE
I206_00419	MAPSTSTKSSSSDNIPSLSHTAATSVTNSMPVSPRTASPGPNDQ KPIISNGEEVKTPGEEKVSTEELIEDIKEEDETDPQAAARASRLSFLLDKSTIYAKII GERMERQQIEKRKAEQRAEVRKANKEKRAEESQPSGRGGMRDKKVKVEEESTEATGNK RKRRSEGGRGEKRVKVEDEDEDTKPDVNGENTTINTDEANGAETNGEEVEAEADIQYS FKQPELVTGAKLRDYQLAGVQWMISLYENGLNGILADEMGLGKTLQTISFLAHLRAKG TWGPFLIVCPLSVLNNWCMEFEKFTPTIPVLMYHGTPEYRAEMRKTRLSPPSSAGVAL RPGQNDTSTFPIVITTFEIAMRDKQFLSGLLWKFIVVDEGHRLKNLDCKLIRELKSYT SANRMILTGTPLHNNLAELWSLLNFILPDIFDDLDSFQQWFNFDEMNNGTTTEGLLNK TSVVSSLHAILKPFLLRRLKVDVEKDLPPKKEYLLYAPLTQQQKDIYQAIASRSIRQF LIDKKSGGNADEPAQDEEPPIAEEENSAVRASRKKDRVNYKIEENDSKFIRDLENGVK VDEPSGVKEKSAAEIGKEWALSQATKSVNNMRLQNMVMQLRKISSHPFLFDWPVDPET NEYIVNQELVNASGKMLLLNRLLGELFARGHKVLLFSQFTTMLDVVEDWATMHKGYKI CRIDGSTSQESRREQMYEFNNGGDDPEATKLFLLSTRAGGLGVNLVAADTVIFFDNDW NPQMDLQAQDRAHRIGQTRPVLIFRLVSAHTIETRILAKAGSKRKLEALVISQGKFGR VVDENGRVLLGKKAKAESTAEMAKALLELDGEEIDVVSKDDKIISDADLDILLDRSPA AFARQKGWSAGLGKSGADRKVQISKGEKTAFEVFEAAKDDAGGLDTMFGGDGELEEK
I206_00420	MSLGLPVGAVMNCADNSGAKNLYVISVVGFGARLNRLPAAAAGD MVMASVKKGKPELRKKVMPAVICRQRKPWRRRDGIFLYFEDNAGVIVNAKGEMKGSAI NGPVAKECADLWPRIASNAGTVV
I206_00421	MDPIFTQPTLVGSISPTTSHTLSFLFTTSYVGSLYLSQKFLPIS PRSSRSNTPKISNTPENKNVLPPAISPISSTDQDDINYNKGPKPGSRDHPETIKKRMI AVTISTILSLSGVYLTINNLSPSYSISISKSITLLGLRLPPLKNNLINNLLPFTLAPI LMTGPLYSTYLDDELPIFRNKYYGENLWDGIKRSWRSFGLIEFRNYIVGPITEELVFR STILSVSILGGIPFKSLVFATPLWFGVAHAHHALETYRKNGSTRNAAIHAILSCLFQL SYTTLFGWFASYIYLKTGSVIPSLTSHIFCNVMGIYLPTLAVARHPKRKIQIWTSYLA GIAGFVWGLSRL
I206_00422	MSTSSPSNPLQRAHALSLQASTILRPSLVPIKELNQALIFYQEA INLYEKAQHEAEEKENDEANTLKMLVMQHRKLLREVERRITNAQKDHSDVPNPTRVVE NRPLQRRLVSESAASSRNAPGTYTAAGIQPSGLVNRLSPPHSIPPFAFRPNTVPVNNP NPTSEPSLSPLYSSSSTSSSTEESFIHFGSPPETLDPFSRFWGMVENMIEEVSGPVMF ATAPVDLLHSDPKKNSDAQLSRERLSTKVKDKKKETTGEDSFYVVKNRNKGKGKEIES TDEEEEIVPKPSASASGPSKTAEELSLENASLKTSLDALAIHAESVDQTNKALNMKLE EREKGLKIIMEGLKKEAGRVKAGQEVWKSQILANSLMSSTNPGRIPSGSSGKDDACKA IVISHDNILGLADLNYIEYAAKKRIKELEDEVKSLKGENQKQKEQIGRYKERFEKIKM NAKAKKEAKLAAGQSDGNV
I206_00423	MALQTLPNDVAKVASEGSVKLFGKWDAEGVEVKDISLTDYINVN HAVYVPHTAGRYAKKQFAKGRMPIVERLVNALMMNGRNNGKKIMAVRIVQHAFEIIHL VTEQNPIQVLVDAVVNTGPREDSTRIGSQGTVRRQAVDVSPLRRVNQAVSLLTVGTRE SAFKNSKSVSECLADELVNAAKGSSNSYAIKKKDELERVAKSNR
I206_00424	MSILRLRSTVSPFAKRFASTISVQSTSSNLSGGSLGNIEASWKG LSAENQFEIYQQLEQIQKKDWKELTLDEKKAAYFVAFGPHGPRTPVSEKGHGIKVFAG VLAAVGAAYGVFLFARSQAPPPPATMSPEYQDQMTEYMKSQNMNPISGVSAEGSKKTM RQ
I206_00425	MFNLVTLLPLLALIKSGQAKPLPQAGDVGTATSTNSSAHAGYPP ATSSASTTVNTNPASGQPSETSNNLVAVDQSTPTDSIYSNATLHGVQIQSYRDGKCLS PDPRTTLQDGLPVDLYPCVNSDNTSVALAWDITPGVGSLIISGTDFALVADEDDIENP NALVLKQSCGCEDLTPWYTTNDGRISIYGAGVCLTEDKDTDKSGPDQDLGIVLYKCTD QDTDQMFLTINNSTHDLEPIVPPNAEYSAARESAIAAAQTGTMTAPGEAGTTSSKDAG QIAADTATTSTQNAESTSNPNGDGTATDSTDTNGKNDAGEAGGDGTGSNGGDGGSTDS NTQNGGDGGSTDSNTQNGGNGGSTDSTSQNSGDSGSTDSKAEDGGSEDGASD
I206_00426	MSDGKRETLKAEAASLFKHGYEGYMKYAYPADELRPLTCGPLYR DPDPNNVGINDIHANVSMTLLDVLSSLPVIHPSAFPKAVKLVAETVSFDQDVKVQVFE MTIRALGALLSTFQLLDDLPDDPAEQYIKLHLDENDHDDSWIWPSRKDTTIDLKQYKG RILELAYDLGKRLLPAFEPITGIPYARVNLRKGVLKGESIETCTAGAGSLLLEFALLS RLTGDSRFENLAHQAYLAIWNRRSPQNLLGNTIGTHGHWLAPGMSGVGAGMDSYFEYG VKAGVMLDDDTYYDIFYDSYAAIQTYVRTTDGFIYRPVQTRLLQPASPSTIDSLSAFL PAMQVLAGDVESAIKSHLVFWNLWRKYDAMPESFLWQERKVEWTGYPGRPEFIESTYY LYQATKDPFYLRVGEKILHDLKTRTKTKCGFATLKNVMTGEQEDRMESFMLSESLKYL YLLFADIPFPNSNTVFTTEGHPLKMPASLLKAPSSTRRAIRKGESSTCPLYRPFAAGG ISTRTGIIVGIEGREDYDYARSLVYGLDSSGVEVEDGSRIWYDGGICRTSSEPKFAFE IVLSPLDASKSTAVPPEDPSPGPSKVDQDSMTGDYHITDIEGLRLGVRWRFDGKGYDV VNIGPHRIRQGQNVIIRDPAMRDHLPIQATSPVDSASPPELLLRFLSYSSSAASQNGQ LLADGGQILLHAVGATATFGTDFVSFTSSKTETGPATWGIGHKPLRLIIPPEIEGRPY QGCSAMSLEWLGINKTSTDPFVLMVSRGGCTFLEKLMVAEDIGAIGILVIGQAPIKEG EPIPPEREVEGGFEEGLIRPSAEFDESHPRFRNVKKVGMIYTEWVIGEVLRKMVEGDD DKRKVLGIEILNIDAQDGSVHPTMGNRPTSQINEKSRTLEGGLLMVGEWPIMNLKVTD NALRFKQSNLPEHV
I206_00427	MFGLVALVPILGAISAAAISPEVMRLIPRQSSIADAFPAVCQSR CTGAIQIYNACSNSDYNTCLTVCQQDTFNDFVGCFQCVLDNTDGVSQSEWTQLQSAVD QIKSGCSQSGSAVTGGLQALSGSSTPTLAGGDTTNSATGVTGTAGGAFTSAASGITVA ASGASVGIASSAAAATSAAAVATTAAASAAGGATNAAGSAAGAATSAAASAAASAGAP KSGAFPATSFAGGFVALAGIAAGMAIAF
I206_00428	MSTASSSAPPPHLQFTLNLRAVPQDNSFVFDHDFTLDPPARQHY KAVEQLIIDSDASVIDWMKSSDGSAKYLSNRTRCLTDESGKTIISSESQDEIRSTLSE RIEPLLQKYRDETSKIVEFGITFDTESKYGTIETALEPFSIPKGPGSSNSFSNADSSA QETDSTNSHGLSTGGWRVEEDEGGSNVSDEDRGSDYSVASSSYGPRTALLNAWRGSAS ISGTSGLEETSAPIPVESALVSTSKFPGDDVSSSEDEIM
I206_00429	MSTIERNVRNRFSQDEIIPTHEIPINDETPSSSSPSEEYKERDI EKASSDNDLPAANIAILENEELTPDRAFDVKVSGDQSPFPEVAACVPNWDDTTALCNT VRVWILVTIFVIVFAGANQFFGLRYPSLSIGYVVAQLLVHPIGKAWEKLPRWRVPLGR FTFDINPGPWSIKEHSLIAICVNLTGGTAYAMGSLVAIISPVYWDRDYGPGFSFLYLL TTQALGFGLAGLCRRWLVYPAALIWPSSLPSTVLLRALHEPQDHSPANGWTITRYRYF IYLTVGAFTWFWFPDYIWTSLSTFAFVTWIAPNNQKVNAIFGMSSGLGLLPISFDWTQ ITYAFSPASPLTTPFYITCNAYATIVIFYLFLSPILYYTNTWQSAHLPLLSSSTFDNT GKTYNVSRVVNKLTLDFQLDKYKEYSPMYVSMSYSLTYGLSFAAVTAVCFYTVLYNGK EIWARFKDAKHGGEDIHKRLMASYKEVPDWWYGVLTAVVLGLGIFVTKYWDTGLPVWG FIFICFGMGVVLIIPEGILEGTTNQRIFLNIITELIAGYIWPGKPIANMMVKMYGYNT VKHGMDFAQDLKLGQYMKVPPRTLFFAQIYSTILAAAVQTGVLRWMIGHIEDLCSPTN KNRFTCAGAKVVYNASIIWGTIGPQRMFQSGQTYNALVYFFLIGPVVTVLVWLVYRRY PNSWLKFVNVPIFFNAAGNIPPATTTQYSLWFIAGFFFNYLLRKRAFAWWKRYNYLTQ AALDTGTALATIIIFFALSYNNITLKWWGNTVGSNTMDAKGTPWKTVPTGTHFGPGPG EF
I206_00430	MTGGQQQGMHNLSTILKRLEAVTSRLEDVAVSSSSPAPTSSLKS PTSQTHETLAGSAAPPPPPPPPPPAPAAEESNSPAVRAYEDEIINGALKEFIEKAEEV GGLVKEHSALLPALCEAQLTFLKLTSNHAKPTTPAALGPLLEPQGKAIQAILETKDKL SRTKEGRDWNVCFNTLGEGVPAWGWVQVEPAPAPFIAEMKNAAQFWADRVTKQFKETN PAVVAWAKAFSQLVTSLQAYVKQWHTTGVAWNPKGSPAPASISSAPAAGSAPPPPPPP APAASASSTSGPAAGGTAALLADLNKGGSVTSGLKKVDKSQMTHKNPELRQSSVVSDS VNGKKAPPTLKPKPGAVVKKPAKFELEDGNKWMIEYQEDNKEIVIDQTELHQTVHIFS CKNSVVKINGKINAVTMVGCKKTALVLDSAVSSLSITSSPSFEVQITGSIPTIQVDTT DSGQIYLSKETMDRVEIITSKTSSLNISVPTGQDGDFEERPVPEQMKTKVIGGKLVTE IIEHSG
I206_00431	MSISIPTPHIAHLTEEDYEHVYEPAEDSFILLDALEIDAQIIRD DKPIICLEIGSGSGIASTFLTQLIGPGSSLVLSTDINRYACKVTLRTAQANNITLNPI SCHLLNPLTNRLKGKIDILLFNPPYVPTDSTELQDTQELRDIGGSWAGGNNGMIITDV ILDQLPDLLSSNGKMYLVTIVQNKPLEIINKMQLKGLICKEMIKRRAGRELLSVLRIS RK
I206_00432	MSQSQPQSQRYDEYAFEPTQLSQPYFSQTQNSQFPNSQVEPRRK YWAIFIPTQSDRNILKLPWSKPSIQLGRGPRVLSKNDVILPEKRISNIHCKITLGIQG ENGSGSSSGNSIQAWKDGEGEPEVWLEDLKSSNGTFINGTRVTSRRMLQHGDEISLGH SGTLENHDVRYIFRSVGGKGAKMGQGASKHDMVGAVYERYQVLDRLGKGTFAEVRKAV DVETGDLRAIKQIIKHRFAGNAKTLALFQREIEISRTLEHENICRLLDYYEDPQHICL VLEYIDGGDLLDYIMNWPDANQMAEHHAAELTVQICRAMAYTHAMGVTHRDLKPENIL LTKETADAPVTVKIADFGLAKMVHAETMLTSMVGTPQYLAPEVVMQSREQPGYVNVVD SWSVGIIVYSMMTKALPFDEDAELPVEQRIKARYTQPADTELLVKLNISDTGTDFISR MLAKDPRDRMTMEQALRHEWLSGPCESQSQLPPSQILGGDSVWSIESFEDQFPLNDDP SSDLAGEEAGEEEIGRWSRPMTASGTNYESVNEFGRSAVSLGGSDESFSQPMGNLHLN TPSAQRNGIKPAESRSHEVQFPSSPPLSNDSIGAIHVDLRQHHQSPQSTDQKTKMQDK NENDNGQFDNDVKMTSNQGLLTPITPNPENGISIKDEDVSMMGKSNLDTDVNTNGTAI LTSVIPKRKNEDDDRRAEAFSSGSLSPPPVKIIDMAQDQDTKPNSTSSNSNSPAKHTT LPTRQSTRTTRARKSMRLA
I206_00433	MSSSSPAKDKEVTASSTSTPTVPITSSTTPPQPASPAETIHAST STSTTNIESPTGGSSNAQSTRTVQQALQQSINRNNFEEEVGQVMGTLNSWWGGVKKQS ASALTTLKADIDKTVHQAQADLEYLRTANIEVVRKEPEEYAAEQEAEKAKKEAARVAK EEEERSKEKGKGKATEQGVETSTGNLLNKLTSSTTQLQHTLQQTLQKTLDAASNNPNL SNPEILRAKLAENLKISSAKENLQLSIHQAEKLAEEYLKKSEGLLKDAEKWVEDNVKV LPPDSAFDNDEDKHMVSMGWDGSDFYSFSTSTPTTASAPKEKNVLFDSGDKKPISTLA LAGSRKDALLRRLREDKELLLVDPAGEGETAERKEEFRSWVTEHFESQKEEMREAEEG NVGGIRMELVPEHLTDDQFWQRYLFHKHMIEGEEKKRKAILQATTQEEETEDFNWDDE PEESPVSAAPRTTSEPVAAESTPKVENDGKVPSSTTLPAVPSKTLTSASTSPRDSEES YDVVSDQGNVRKPVVQASTPVNETDEDSDWE
I206_00434	MTLYDPAVSIECEKKTFNPEQFLEWFEKAGGWYDSKYLGLKPFS GMGYGAVALDNVPEDIPLFHVPDSLILSPYTSELSKHLSQEEWERIDRGWDRLILVMM WESGRGKDSPWSSYLSNMPSEFDTPMMWNEEERKELVGTDIEDRIGREDAEKEYSEYL LPIIQAHPNLFPPDSTDHSLESFHIQGSRILSRSFTVPSSRFGESTIRDDSDLSDDED EEGEQIVVMIPFADMLNAGYERDNANLFTDEKTKEEGGQWDKRGFTMKSTKPMMKDEQ IFNTYASPPNSELLRKYGHVDIIPIPDEQIELLNKEEMGDWPFGNPGDEILIDGKIIV KSVEKVLGKKKGDEEKWQAKVSKRVDWWLEEGLDDMFPLTLSPEFDDEFIAFIRLLLY DSEWLRAKKKGKLPTTTIDKEVASVIVGAVQSRLSRYTSNVKSDLEVITSVPSSHISR LVSSTSATLQSGINDTTILRKAYAAIVRLGEKRILQVASRNAEKHLPQKRKAE
I206_00435	MSPQDEQPRQSAQTPQAAQPPQAAPTIAPPTRPTPTTTPQGSSE SVPSNKSSNRSSHPGSVTMPARPVYAPGQFTTGHAQKPISPGHAPGHPNRKTTTPTSE APRHSPSISPSVMGSTGPLSPSSTYGGNSFVFPMRSVFQGLNSSDGQQGESSTGLNRT ISRSSDTRTPSSRHDPNRRFSQSMLTGDGDAGIQSIAQLLQQQDDRENDKPKDKVKGS VTFSGHRSDRMSKGLSTPPTPSTTNTFPFSDFSTLQKDQNSGPSSRRTSAEEVHHTER PDLTHGGSSGTVKHFNEDPPVSNPSADASGSGLPDKSRPPVGVPQGAPATVNFKDYPA DQDNAGETTDFSAPTKEERPPPELKQPKPIHPTADTLDQLTRKKTSSASGSKTSVEVD ESGIQALVNDFSGIVRLGEAGSFTTGTGGNGTRGGSGTGTRSSAGISAGSKAAGGKGG PSGPTTAARLAQQQRQQGPNTTRDTVRDFINSQARTVNMDDPNAPTPTPMDEESVAHE PATSENLTGPTPAEEIQWAEDKEEASAPASSEQAIASGDEKDEFTATSSEAGSEPIIT FRFEHVSDNDGHHVVVGREGKLRRCEDEPITTPGAVQGFGVLLVLEEDYESGALAVRQ VSENATELLGLSPKYLFALDCFTRILTIDQEDVLRDNLEYLPIAEGGKGSVEEEGPSV FLLSGYGEPGSDDSEEEEGSATSGGSVNGRRREWTCWAAAHRPKINSTEKKDENGISI PLPDLIILEFELERDEYNPLIQPFESPSIDSTTGSDTPESGRGSFTGASLGSVGSTGG AGSGGSSVTVGSTTVPGRHQGSEGSTVMTAGGNSSTPNTSQHASTSHPSSMLPPGSKP MGLDGLEFEVPIERIIESTTNHAKPLRALERMRRTGQHGGSSVDSGSGSRNTRGARRP RRRPPAGTTGTMDVFAVLGQINDQLGASPDLETFLKITVGVIQDLCRFHRVLIYQFDE TMNGQVVSELVEWGKTTDLYKGLNFPAADIPAQARELYKINKVRLLYDRSQTTARMVL RSKEDLDTPLDMTHCYLRAMSPIHIKYLANMHVRSSMSVSIMAFGQLWGLIACHSYGH HGMRVSFPVRQMMRILSDSISRNIERLSYAQRLHTRKLISTIPTQAHPTGYIVSNADD LLQIFDAESGLLVIGDGCKLLGQNDQGQAMLAIAEYLRVMRYDACKASNHIQRDFPDL VLPRAQDTIAGLLYVPLTAKAGQDFIVFLRKGQAREVQWAGKPYKDDRAGDSASLEPR KSFKTWSEIVTGRSRAWTDDQLQSAGVLALIYGKFIQVWREKQSAMASNQLTAILLSN TSHAVRTPLSQIINTLELALSGNIDADVRNMLENSHQASRALLFHVHDLLDLTRIETG NEQSFNDPFDIRQSISDAIRLYQTESSRRNLEFRVIMADNLPQYVIGDSRKIKTVISN LVANSVKFTEKGFVEVYCGLQQPSSSDGAASSKHAQQEIMRGGHVPIEIVISDSGCGI ATDKLEAMFVTLEGADELKGSSSNGLGLGLAVVARIVEQLEGQLRAESEVGVGSRFFF TLPMLVHHGRPSSKSSKESAANGNDNHNNNNQSLAIRTRTGSSGSNSVASLRSGLSEV DSFVNDFGNSHMLSQPVPADDQRIKDAEYRMSRPGTFPVTDSSWPVKPSRMDPDSDQS VHTDASPTHGRSPDFVQPPRLENTRRISYRPNKEQGGHARHQSHSSINKTRKDSSSSA QSVIDSERRESASTDQPKRSTKTADGRSTLRVMVVEDDMINSQILQKRLKMDKHTVKA VTNGQEAVTALQADWDYDVVLMDIQMPIMDGRQAAREIRKLEAKLESQRDIEPLRVDG RIPIFAVSASLYESDRHNLAEHFDGWLLKPLDFARVRTLLAALEDPAKRSAEVYEQGH WEKGGYLRAAPSPSSSPDTK
I206_00436	MLVLSLSHVSSAPPTLSNDELFNIILRRLEPWVGEEGEGGYVLV VLAADDPVGGNGMEKERRKLPGIGWWVWKCKRLPRKYRKNLKRLYIVHPSLFTRTLLP FIVPFISPKSYSKLHPLPSLLSLYHTHGVSLKGIDISPSVLSTESRILKELPDLLPAK PALKRMDSESSLASWGYHTISSAVETAASYLGMAQGHDLEKEDKRGYWGRELDDLLRD SIPPLLSDLRRVILGECVTTEGVFRRSSNSTLLPAIIALLDLPIDRQPSLPWGELARD DPLLPPKILSRFLSELSAPVFDQGLYDGIRGAVTLDDLKSKFIPSLPDSTYLILSYLT RLLHDLSLHANRTKMTSLNLSIIIAPVLISGTDPVEDTILCLEPSRPLPAGLRHMAGD KYQEGQGTLVGLLDMWIGHYGEVEEGMALDD
I206_00437	MSVLPRNFARLASTSAAGKHKVVVIGGGAGGLAAANQIYNAFKS QGKTLGDGDVAIIDANKNHDYQPGWTIVGSGLADKQSYRKPLDSLISPHFAHIPQNAS AFEPGANQVVLADGSKVSYDYLVVAAGIQINWDNIKGLRNAIADPLKSKVSTIYSYET ADKTWDLVRNHKGEGEAIFTQPLGVIKCAGAPQKVAYMSDSFWKSQAETSNNHSTFIT GMPSMFAVPDYAKALNAIREKKGIDALFNTNLVEIKPDEKVAVFEVVAGEDKGKKIEK EYGILHAVPQMGPLDWIKKSPLADSVGWVDVDQGTLQHKKYDNVFSLGDSSSLPTSKT AAAITGQTPVLTHNLVTLMETGKVGNAIYDGYTSCPLFTGRGELLLAEFKYGAQRKES FGRFTDQTVPNRLFYHLTKDIMPRAYFSRMLKGEWYGPRTIFPPSFLPS
I206_00438	MIQGRLDFPLSKLGQEQAQKLSDWLKLVPIDRIYSSPLKRAQDT ASAICAQQPKANLHIDDRLTATGAGEAEGEPWEEVKDKLDEMGREPVAEVRERLHSWL SSLITAHTPQTSGAVTPITPVNPASYESKPVFERALSSTKGLPRPGMIRKPSKEFDQS GKGVVLAVTHQECIMAILDLLISPIITPDRHDEQSANTPINLHIPEHFEFVQFEHERK VGNTAVAIVRIWWEESVDGEGLEVRGRLEAWGSEEHLQD
I206_00439	MSSSKPTVLIIGAGELGASTAVSLLRSGKYGKVTVIDRAETLPA LDAASCDINKVVRFDYIDEDYALLAKKAIDEWNKPEWKGIYHQSGVVVRGLHVKGHHG ESGMKTYKNVKSQEPKAHLINSPQEFIDILSGGNSNVKVKTPSEEVRGYYNPTGGWAN ATAAVEKLYEWIRELKGELIPKAEFTSLIFSDNSDVIGVKVKDGREFKADKIILSLGS WSGSHPSLKGIIPENLITATGQTICAIQLSPEQMKRYKDIPVSMHHDGSGYYSFPPNE QGIVKFALHAAGYVTENGIPRTATDPKAVAYTNDKAVGWIPRDSYTKLKEQLGIVYPE LAQMPIAFTRMCWYSDVVDGNWVIDVSPEYPSLVIATGGAGHAFKFLPIIGDLIRSRI EGTLEPHLAQKWRVTRDSSYVDPARKDMIRRPLKLGELVTEQELLSDSIVETERSSRS 
I206_00440	MSLSYLQQDSTSILPDSIWALKWTSTNKLISGSADGHVRIWDPS EITTKPIYDLSSHPLAISSLSTTSDGKYALATSLDGSVVLVDVEDGTVVGRVETGREV VGGGEKELPAFTSAIHPEGKCWAWSGRSSKLAMRPIDQIVPEANGEEANGEASNNRRG GLGGESKAIDTGKGKFGMDVQFSPDGQSLALATEQGQVIVLDVETSNIVATYTSHNKA VRTVTWSPDSQWLYSGSDDHLIVLYDVRAGSKSGSGGKGEGAVAMLQGHQSWVLKVDA SPDGKLLGSGGADSMIKLWDVGQRACVSTSTGTSEIWGFAWQPTATDTFAAGKQFAVA GDDKAITLFRAAGSI
I206_00441	MIPRALLLSLFIRTVLTLPFPHTYFQPDEFYQALEIAHNYVFGY GYLTWEWKDLPSLATGGWYDIYVAGGRMRGWLWPGVFAGIYKLLDILNLDNTELIVIA PRLVGILVAALTDYYTYKLASKLISPGASSAAIFLSLTSLFNAHLLPRSLSTSPETLL TTMALCYFPFPELPFSKSSLQSMNSVHKERSETDTANLQDEKRQTDKLDYLSMDRERP LFTDVSCSENLPLSIILATTALCIRPTTISLWNYLGTDLVIRTSQSSGLCAGVRVIAT AVLIIFATLAASTVVDYNFTGRLYFPALTFIYHNIIKNISSFYGSTNSLYHITQSIPI MLFPIWYWSLRGFVSSLLPAATLPTFETPGPLRLLSRAITFSIFILSLSPHSEWRFLH PLLPPLLIFAIPPLVAGHNPHIIGCYRLTQSIRQYTRIPKHPFYLILFAPIVPFLYLN IFHGAAQVEVMNILRRGQLGEVKSLVALTPCHSIPWQSHLHSEDMEGWFLTCEPPIGV NADIHRTQQDFFYQTPVTYLRDVFPYPPAQLHEIANITANPSKPTHIIVFGELLNRSG SIEDRTVTVQETLVGLEYEQVWSRWNGFDILQDEDERKGGLQVWRLVT
I206_00442	MSDAGSETASNPPVEVEAGEVEVAEKSGSLSVEDALQQVIKTAL VHDGLARGLRECAKALDKREAHLCVLVETVTEAEYLKLIEALCAEHSIQLIKVSDAKV LGQWAGLAKIDREGKPRKVVGCSCVVITNYGQDSPALQVLLDYFKTR
I206_00443	MSDPNFDLDSTPTKKGHSTNPFSPDTPLSSTQYVLSHPSQSEIP ASSSTFSTDRPSQDTVSGEDLPLSSGSASSSRQGFNAESRLEILDDDEDIDVDEYDDE DMMRFINANSSSRDEETGTETEDHEHDHDEFEFDVSEPLVNGSNSGRGRRKGRRRKNR WNDQEEKEERGLYELIPPLILAHPLPLLPLLALLPYNFLPAGVVFFVPLFCVLALLST CAHIVIVYLAWYLKVSSFEEVFASVTAKYGKYGLWTGRGTVVCAVFGTVVSWIETLHP LLEPVIATYLPKNAVFSSRIFWTIIASSALLPSLLPSRMTRSLRRSPIVIALLLPVVA FLVIGRTVEIKKASELPQPIGEDPETAEVVTEVLGHLVKRRFGLAGGSSAGAGLTTLA IFFSPHINTLPIHASLARNKSTSFPIPCLLASSLILILCLPLALVPYYLLPPIDTSTP IISSPTTPSGVFARLPADDGWVNFSRILMCIVILGSANMWILRGRDTILSSMGIDQGE RLKAGKWVGIVIWLITVLFACISGWVTEKIELLGVLAVLAIGWFLPSLFFIITFHVRS PLSIIFPSRNSQQQLDENGTSSSRRNLGNGNGHNRTNSLNDPSTDALLARKERQLQKR RLGRRLWQDLIVYIGILPTGLICSIWTLGRFLGIW
I206_00444	MADITLVQQNTFKRNGHINYFIRCLKALPTAAQGSDSNRITAAF FCISGLDLLGALYERTSKEQRDGWIDWIWSLQAPSGGFRGSPFMTTQNDKTSPAHIPS TYTALLTLSILRAPLDRLNISGLITLLQSCQGKDGSFSPTPGDEVYPNEGFQSDVRMV YCATVISHMIQDFSGINVGLTKQFISNCQTWEGGFASRPGIVEAQGGTTYCSLATLSI LDRYTSTPKSAIFTGYKDTLRWLTSRQIGGFQGRPGKLEDVCYSFWCGGAINILGHSD MINNKADRSFLLSAQFPIGGFGKEPEDYPDPFHSYLALAALSLTHSTNDDVGLGLKRL DGIWNVGQDTSDWLKEEITRIKTR
I206_00445	MPRDKGKGKSKATDPNPQPEAAPLLGSPSRTYNTQPATEDAIQP TRTSKIASVIYTILIVIATLLVGLILFLVLLAGSFKPSTSELSSLPKTAFKYSPPDQI QILNITDDGLLLNISLRCGIDADQAFGVKSFYSPEEKLEAEKNGERGIGSEWWENLRK YVAHKALSHLEEPSISVEFPSSISVSSPQFVIATKKILSVQVLDELQIPLIWDIPLQP PTGQPEWLQPISFTALAKPLASTGELWEFVQRGWVEGTIKATIDLDHVKARPTEKAWW TKYAEIEKESLVMDISSPIPHIPHLPDPGRPLNLSELVTLQHYSFNTSQSPQALTIDA IATVPNFAPELNVTLDFSLPFAIALPALHGSMVGESKMAEVITQPITIGGIKKDIQLK ISGVITADLSEHANSFSSSPLSFFLQNYLHGKDNPILVNGLSTLPPFVPSSTPHPPSW LLRTLPTLSLPLTFPGPKPKPKIIESVTIDHMRISESNGKMKASGIVIAQIELPKDMQ SVKIDVVEVLPDVLVFDGPSPEDDDQPSSVKDGDDFPLKAFGHIRPEDYLNSTTSISG DPNYPNRLIVSAPLKDVDLDILPGRDAILSEFISKVIFKGGALAGVKGLANVGVKIVG VNGKVRLENLPVRGEFWVGKQRLLDNSE
I206_00446	MSGNSQYRITHRMVPLICQALIAAPDDETVWLEGLRMLANPKLK QALTLTSSIWLSLLLRGLEITKPVAVINSALNLLTHVSEILEPMKSCLLKPLRALGRE KQFENMVEFINVVEKLDLTVWNSEKKPIGRPQSARTAPNSQATTPLPSGSDQAPAQKE QHPLLAEILIHNLPPKSRTVQQAWSQTLFSSAHDNPKIWLHNLYQATLEASNVPELVI ASKLGHLIHEELFQTAFMHCYVQLEADASFKNVVDNTLMLLLNDPSISQDITIVILEL IAFFNKDKREFLPGVHKAAKNCALNHFDGALNSPLPGIVLWYVEQNAESFPIQENIAN LVETNIRVGSAGYDAAWSTLLWLERDWKVEAEPIWITQLSHWQQALDAQNKLDHNQEG TTFSSFNTKMICYHALGCYQQGYELAQNLFEGLDDLERRNTAHWATAAAWHMGDFETM ADYLAFHPKGTSKSLYKAIIDVHNGQYASAFHHISKAQSLSYDEVQVQLNVGPQLAHR SLAKTELLVELQEVIQYKSQPELRENLISTWKTRFKKSHADPNTWLKRLELWTLACPP TTTGLQSCFIDCAKLCESAGMHQAAENILRKITPSITPLGCKVEYTRFRFEWKSAYQH HDQHKMCQVLDRLIKHTQAYMKDIGVDQAELERQGLGLQPLTVLSGCDPTHHHTLSRR YFRIAEWTAALQGDDWITDETSQVLNYTSLASKIDNNWYAACFSLAERSLAIFETNEF SRSDNMAVSSYIVPALRGLFQSARTKENPEFVIKALLRLVTLWFRFGENIAVLVEVEN QLSLTSVAPWLSTIPQLIARLGTPNKELQHTLINLLKSISSQYPHAVIWPLLTATQTS KSEHQEAARAITNFICTMPDGIRLVDQAELVGRELIRVSASLMEKWRSIIEKIIPRTD LMDCPWHEVPSIWEHDMLYLKAPETPDDEQFVQVFGDQLFQVDKALRRYKNNRQISIV NFAYQELYKLYGDLEAQINQWKQPGSKLHLADTAPRLLSIRDCVLTVPGQYDPNMKLD DQAFIDSFSPIVDILSSKMLPRKLVIRSYTTDYTFLLKGNEDLRGDERIMQLFNLINT MLSHNSDAFSRNLHLLPYEVIPLSPSAGLVSWVSNTQQLQSMIMFNRAKNYQQDLNDK ETASLLGVEMDRYDKLPIPTKVERLKACLAHSKQSDLKDVLWQKSPSSDIWIRRRTNF ARTVGVSSFVGYIIGLGDRHGSNILIDQLTWGALHIDFGDLFNVAQERSFLPEKVPFR LTRMMTNAFELASRGGLDVPGTRGTFKQASLIVMNVLRDSRSTVLAMLEAFLYDPLLS WTVGRTNSLHFETMNDDINSETAQTSSESKPKKGKKPPVQTHIVPQSLAPQGIQGGSD LYDRIENSLITTYLENDSYMAKVSSGTEMTNSKALQVLSQIEKKLVGYHKDTARPLTI NRQVQALIEEATDLENLSQGYVLGWIPQW
I206_00447	MSGLPLAEWDSSLFSDLQHLLGRVVSQPTTSTLRKLYGKLEEAQ PWLLNLTRLPGPNDADKQYIEKNPLQLASGTSVHITGDILNTTNTISTALSLSQLLSA VLALQAEEQLFQYPSRSTPEVAVYLLHRWETDMLDFLREFLRTILSPEEEIGGAFEQL KDWVLGLLNTRVSLGQGKGEGALVDQIIVQLDNIQSKIDGLLRSGASGGADYELLRFR VEALRAEQNKMSSILAIIAESGHLGRGQVVRIVKWLKKAERADGLIAMVVSALFAAWK PLEAMDASDPRYDVAEDWCHDIKFLKIVSSLTIQDQWSLPKLRETVKIAWSLFYLSCL RHDPSVVQTGIDAHQMEQFLLEAVNGESFQFVHGLVLSIRRERGSEEPDEVREANLDA LVKVAIASDASNDSFLFEQFRDLVDLLAGRKQFLRTLRNKEEDAAVRRSQSTPPPANY QAFLALMAIIYKSLPPDSADDLWDNSTFLGTVLDTRGGFPGPAFWDMLAAISTGPSCS AKSYEKMKDTRLPWSALFKFYQHYIDIMPHIYEPIKTTRNTSLDPMPHDEAQICAGWT RVLTTVIRWSPAARGALLQTKPYPLQTLFDFLNCENLPFELKAAVLRAITAFCRRTGD PIDDDVLSKAVEFYEKITFRDPGLDTRQLDSARIPPPVGWLVKMEYLEQDAGSYPLSR AYVDFLTALLPDPSDLESSNTSRNRLVNTLRRGTFYILDGILLTLKVRRYTRDSERWE VIDSLSAFFEKALLSFNMGELLNQANSRAIGQIASTLSEEPGFIVLLRLLSDSNVFAV FASVLDNASATPTPRPTIINNVLLRMLRIFHRVHDIQLVSVDVLLLTLADPTRNPTNP LKRPYGLQSLDNHLLAHLSNINAIALLVGDDDSAISYLSVKIIAALAQSPVFSRSDVF RGEYTSSVNRLAGIIDASDDSIRIAQGFCRRLEAEDPDIDDMPSVEHIALLGDIKSLD SLPVIIRSNILDLLVEGTTADITSPNLAHFLLGYDFRHRDFALQDGESCLHVILRQLC EGAELGGPIGETMINIHPLLAAKSAQLVHQLFAHPLTGRSTLSYTMSVTGFSARQLAS FPRICPEAAQPTGVVKTFDEEVHTTSKTLIAYLDFQRWILSAAALETYTYDGHGASAN HIAQTLFRGSTEEDDLEEDELVGQNPALIIDLLSSIDVQWAENSEDKNRNLEFYGSFD FDQYKRVDADWWDLEGLTNGLKAFRRQLERQGAVTGGSSKAMVAEADYVVKRLASRNR ETEISIAKGNFLTSWNEILKVSLAMLFKNVAEEQQEIVLFDLIDAILQRLEADLAPGV LDLLCEAILVTMTTLINVLVEFEGINLPADRLSATLARITDAAVKPGSTETARGNLYA AITQYLQLVGNSSCNIPDDRSVLSNESLVGSSASMLQKATIAVFASRKERLLPILCRD AMDDRDVWKTECFALLNGIISICSNDRDRHILSPLISNGYLPLFVRSIKEKELSLLEC LSPEHGNLHAYWVFEAKIAFLVAMASTRKGAEELLDAGIFEVFATCGFINIQLGEDII DEAAASDIIARQHRVLVCSLQLLTRALSSLHRSSRSGAGHALSFLNAHREPILGLLRD TQQNITSTNIEETRLIVSILCMVIHKVPTDDLHSPTGFGAFHLATLATAARYLDRDSW IEEVEIALEGEILLLNQVFLSYLTAATTGLKGGQGYPVLVTGAQRSNGATSKYLASAP SLQMAVNCLSDLAENVQEVSNQYETILDRLQDGSELEDEDVQKLRTADMIGDEALSEE AITDAFVIKSQTIFNMIESLLLLIWRHLLFYANDARGHIEPVRPNNLSASLGSFVSSR AQMEASRSGAGTIRMLEKVSASLKGTLIRLDDMEVNLKLRQLATSTSRGNDAYYGMLV RRLKELVAGLLGADSNSNMEED
I206_00448	MTSRPVPIDFTEPDSTSSAGPSNWRKVRQAVKVASAMKEKDRAD TLAVASTGTSASAAFARSLVLFTGFLFRRPSKLFRPSRVDTWAGLRQLAISSDQTLSA SFIRDLLRRKTGLLAITLTILPPLIVNTTMGFLLFTSHSFFSLSLAKLSFFQRKDITE DTSDEEEISLDTLLRGPSIIPNHPTLLSAIAGAGAGLVQGAAFTPVENVVRFLHQSTT SLTSLAANFLHLPIRNVPQAFDASQPATPIQAIKNLFASETWKKSNSWWTGWRWAVAR DALSYSCFFAAFDITRRVGLRVKALFGGSVQPGWHNILILDFPSEHDPSSANQGSDQP VPTIARVAQAATIVTGGVLASILAELVGRPFRACQRIMFLESKAQTEALARYKGGIEP ILIGGKGSGGKWEPIVRTFRERGFRPFLQPDKPPVLSTKPIEPMVQRQSRLIRMSKRV GWRLAAVGPWGFGFLVWAWVGGEI
I206_00449	MAYPNNPSSPTDLLSALPAKFEQARKSGQLYFFPSEARDVYSQG RRFNLRLCPALQDKQKAKSDALAAVQIEHGQSSESGSPDRKRPRKDGNENPDKQNAEE PFKPPYVEELYVGCLKGLEGEEGMSILVSYSLLPEHILLCPPSYQPQSLPPTPPQIAL AYHILLAGLRHPSKPRKLLGFYNGGEGAGASQRWRHLQFVQVPGGRAPVEDWCQGVQF SRPDQAIILPSVPYLHIVHPLPAHSSVPYPPTERSNEQLIDILAPALMKMFDLAFDAL RNGGGNKEGGWNLLITLDHLHLIPRSAPSFPLPSPHQPLELNSLGYAGMMLVKSQEEQ ETLTNATEDKGGLMYVLEKCGVPREWGEKAKEVESIQQGSGELDFM
I206_00450	MTCPLRPPFRNRSQTQSHLSYKALPHFTPIISAGWRGGETQKRW FLLVQYGKEFLIDGRTRVDCDEDEEGGSLLVALQLIAHQQVIHLLSQLQLPSPLGLSL HLVLAEGGHNPKLTYSIWPSFFSSYFLVHSSSHAQGLNGCLPIAANVVLKLDQRKARW FDGWKRDHQDGLISKWVNISRPLTASRSLEERCFDDTRPTVTKEEHDPDLNQFTDSPY DPTLTFAKIRENPLETTAPNNQQSQTDEMAEQAVRESEEISIHQKHDIDNIPQANQDP LTITLPPLSAFPTLDNHINSSRSSTPLSFHSIPYSPIHTLPDPEALITQPFLRELYQN ISITRAKYNSDLAIRRGKRRLTIETHKQVRPPWADLSSTMWSAGTSWGPPTTPEGWDL TPTSRERLKLSKIGKSKREAAHLEISRKEMFHQDSIDFSRSPTISCSAVSPRGAMPYT LSSTEYSVEQPDPHEDHCHSACTFLPKGPVIPTP
I206_00451	MAIIVNERSCKSTQFPSTPTSISQNPSELSIVARENYSNLRASL VSASRNISHNRGISSLSTISSVNSTSIVTPIEEELTSLQNKSSKATSIFRHNDCSVEQ LTPRLCLPFEMTRSPEDLDGPVKGLPRQIDDYEVSGRKRSSTLASGQGQSFASSEIQG YLRKTRSNTIDDVASPSSPTSASRIPSEAESEGTEEKRKVGTLSRD
I206_00452	MPKIRTQRTKPPPEGYEDIQDVLEDYEKKMRDAENDSHEGKRKV ESVWPVMRISHARSRYIYDLYYKRELISRELYDWLLKQGYADANLIAKWKKQGYEKLC CVRCIQTKDMNFQGSTCICRVPKAQLKKGTVVECPHCGCKGCASSD
I206_00453	MEPLESSPFFSASPLIITSSIDEIPLTCVALTLILMLNIPSEHA IGNIEKRDLRNVPDRRFRSFIKHLDPNNKHIQEKFEQLVLDQLFPRTYRLPPTSTSMG PSGLPISDSLPPIRTRPIVGLRRENRVLPVRRQTIIDFGRSNTQVYRSPSPASLSGAR NIHSTESGDLSDFRINRDGSIEPLSPPTAASRAWLEDLSRTQNENYGQSNRDPARRIR SINGRRAHAPIFHYDSDATSVPDDFDTLLDRQDGEGSGNRIIGRRRRREESTDSDVLD GDIRRTRTGLRQLRRRLDREGNMSVPEYDRLDPFPNLFEALPGLLPWSPPLPSSNLER DLNDDLSAENRDRRRDGVVFDDPAIDNFLASIASPEATVVDPREQEGGTIGEVEREER EVERSIPN
I206_00454	MSAETSPHLPPHLPPPVYGQHSAPLSSAPSHMSHTSAMHDSPYG PGDTSITSIKTDESSANDSPAPASSKGKNGATGPAEGKSKPHVCPICHRGFTTGGHLQ RHHRIHTGVKAFKCPFPGCETKTSRQDNLQQHYRTHLSPTLRRGSGSAARAAVNAAME AAGLKSSSRASRKSKGSAGGTPSSTASGHMPSPYATPTSQGPSPYAPYMYDPQHGYPA YPIPPPGVSLAQSQSAASSRVPSPVNGHSSGHSSVGSMPPAHQQPFFSQPYTSPYTAY PGVHQQPYRYGPAGGIPSPYGAASHPHHGLYSPGLASEHGQHMYSPMQSNFQNHSRES SYGVLTPGYGGNPMANGYPPRTQTSTPLSQTHDDYGRRPPSPGMLGNGGQNGRRRSPP HDMLGQGVVDPSSMSTRMGGGGPMSYGGYGGHHNGMPYGYGQPISQPHSRDPSGHRAS VSSLSEDGSGNGASEGSKGELNFR
I206_00455	MSVKVDVEKSDKSRADGNTSFKKGKWVEAIGHYTNAIVYNPTNP LAYSNRAQAFLKLDKFNDAERDCTTCLKLDNGNIKALYRRGLSRKGLDKIEEAIQDLE DVLKIDSSNETVKSELQELYGLRQKKTKPRKPLTPPSIPTTISSGASIQEISDKTESV DLRSSIDGKEDRAISEFKATNSFASLRQAREGKKKAFVNGNGSENGKNQSDTVSSTTK ANTDSSVASPDDKSRSQINSKDSAIHGEVPPSNDSAAISASVSSVKSKSLSRPQGSSR SSTIENQVPPSSNSSTSTSASIPIPHSKPHVEPSISQLIPDLPKDIDVNSTSPGSGLI FLRYLSSSSSTSFNFNLISLYKPEILSKILLNLLEPDILGIIILSLEFGLNKREKEKN DINIDNDNDIGKYLTELKKTKRWKINFTMLSKKEKQIAERIWKECNLENEGNLI
I206_00456	MTPTDLMTISNLPEDVRYQILKYLPILCPTTILRLSKDHYKSII PSLYTKITSSPAVIEGLHYNRQPTGDGPSGSRFLFYGRKAQALSHVRYLDISDLSILK WNISPLDTMDDGVNGEEHRGSSYEVTTLFNSVRKVKLSQEVMQWLLPLRIRDLPIRRG LEQLKPRNNNRNRQETWDLLSCLIGCGMELDIDINWSRVYMYQLDHLYELISQYLKPS NITIRMDIYFIAPFLNQLALLAPISFILDFPDRDYTDGQKQDIQQSRLSFLLNRILFY HSPSYHLIQIWMENANLYIPLLKQEFALSETHKIQNLEDILIIHDIENKNEDADYDGP FPR
I206_00457	MISARMFLPPKQCGLIIDKGYDFPQKFINTLKNYGEEMIWFRNR PDKTTRALNIYSGSKIGEGHQSFKYLSNRINLFPNDLILNPSTPFINENENNLPEYIH LVCNIERAKLIINEIKNINLKEINKINNKIWNPKLIWEPMPSSCISSEIENILEIISN FLIFSPNLIELQSILGITSSSSNNSKNNPTKKDVENSIKILINLFSKKYENTNIPCII IRSGELGSYTFSKNWKGWIPPYYDFNKQYKVIDTTGGGNSFLGGLAAGLLISNDDMRI ASIYAATAASFTIEQRGLPSLSKSPDGERWNDDDVWRRLRELASRVEKVNSDQP
I206_00458	MSSKVTGGKGGKSKTSSETKTLTSRSSKAGLQFPVGRIHRFLRS KNANNVRIGAKAAVYVAAIMEYLTAEVLELAGNAAKDLRVKRITPRHLQLAIRGDEEL DLLIRATIAGGGVLPHIHKSLVAKQGAGKKVKLTPAA
I206_00459	MDSDSETTPNLSTEEVLKLFDTIQTSVEATTSSSSSLLNKVKTN DESLDFTNGLSLLLLRPQLLLSSLHNLIIMLSLRLLDPSLPFPSPEELQSTIALSTPF TAPRSHSSITGSKDLVAEIGGELVLGQEVMDKVRGMESKLEYQIKKLVGLAEADEKKG TKAVDEDVEEDPLSFRPNPSAIVSSREPATQSSRLTALENESDSEGEASSSKIYRPPR VAAVPYLDPSSSRGEKKQRERQAPALLSEFAQSIDTAPIMESTSGLSVRQTSSGNKHH TNSLSQKRAEELRRINEFEESNMTRLVTSKKEAKRRRDDEAALAMGFGINTGRNRKGR NGLEAEMEGVLNERGSKGVWDNVGKLGERGDALQRGKKRIKR
I206_00460	MTDNEFSPSASSSPLSSLPTGSSETPETPTMVIDPVLLELETQS PSLDTTQNSSSNLAEQQSTWAEDVMILQQHGININNVIMERVEQEEEEQMIDVEKQKK NKKKKIRKPLLAKKVEWDDIPNWKERKDCPLLDKLPREVLDMCFGLSINLGLSMRDYV SLAGVCRFFRHQMTDTVFKELCHAAKITYQERPLTNQAERIFTHPVSEDWKLPKEVPF LYDYRGDHYTPRGDRSQWTEAQWIVFKEEQYFKRWQSRKYEYDNNKREQQYANRNGNR SKNDKTVVEVGGIQRKIIGRVKGRENGENPVKKDENGKPQEEYQLDIEKFSNNVNLPT ENNLIIPKVIPVKLRERIQSKIVQVKSQTIGGIWVTPDEANDLIDLDEDYEGLERPRA DSDVIKMGFWPSEYRKKAIISAHSKYIGKAEAMREYKVTEAELLCLKHILVPNPMNKK SPQQVFWKSAVEALAYRSHGGPIGHKQHIEKSNNLIEKIQETKKRNREKAEEEGTLIK KKRKHRLLPNEWIKLQLKENNLIMRKDKNQLIDNNKNQDENEEIEDDNDNDNDNDNDN DNDNDEDNEIHHQWCEEGCNCQKDIFKRI
I206_00461	MSAPAPVYKQSLDGTTVGNGNGKLPSNEEDLHRYVTDDQPRQPK MTKASPKGEGLIIVQPLKKNEMQPSYAQDLGTSTIQHGFYGSMMNGLGSCIGTIGMVP CCPFPNPFKSIDQGSVGLISRFGQFYKSVDPGLVKVNVCTESVRVVDVKIQLTSVPRQ TVQTKDNVSVDVDSVICWHVISPYRAAFGINDVRTALVERAQTTLRQVVGGRVLQSVI SDREGLACEVAEIIEATAEKWGVAIESILLKDINFSVELQQSLSSAATQKRIGESKVI AARAEVDAAKLMRQAADILASPAAMQIRQLEALQNMARSSGSKVVFVPMNLSGMGAAG MNGDVAQQIAASAHNDHNAEAGPGPATNAGLISSMANI
I206_00462	MGLNTTSWRSNLFFLSLLTFFIFGGFVNATAAGSEVINNDSPAK PEGVVGIVKRLIKVAINGQDAISFIKRDTEDGITRLTDDNYVDLVEHPLVQGLDDEVW VVLVHGRLTDPSTDVVLEYHKNASDLIKKDLELSNYKFARLDYLSSWKTCTKWLLMKP PTLVIISEKGKNIRFIPLPSLGKEPENLYKVLKDKMYEVILPWNTKWSPNGDRAYLIE YYITTQEKITKLTHGIPNWMILGLTGIISQQVMSWLHSSNPSNSTKKVVRQEKITIKE DKKVN
I206_00463	MSNSALKRRPSSELDQEQHKQSRQKRRRSSSSSNQASIKHRRNS PSFEPQPSTWTRMVNLARGAFGKYTEIKEGQNELNGSKSHLPSPTNSQSPATDNGRSV RATETHAVTSDTDDLEQYLKPNADGSLAALSSGNWLDGPNAVAPIGDEVGSEQHPVDC TNDDSTEPSQQSKVNDTFQSITHASSQDSEAGPSYKSNSSFGLLFPENFSTEKKGNQD LMKNGQLPTPENTQEAEAAPDVPHTRQRASSSKQQSPSYTSSTLPKSIRAQAEESVKL SNGAASTSSSGTPIPRKPLSRPNVITTPPRHSKKGWEPEADKRSISPSESTSSRSSRG ERRNRPYKSNMRKRADIEVRKSKVKSLVEAIGQLSAAAGDNRAGASSRRVAQMFAGNP RSVGRVTEYAFKQLTKDGANQRDAYNDLMRLLAPRQPTIEEKTNNNNRPAFSAANKRI ISEKAKKKASELRVFDFERSYKELQKIHEEEEKKEKEIQKALKPQAPTDLPVNQKQKV ESYLKDPAFKARIAAAECEAASIRRLKDGVWLDDEIMNFYGALMVERAKLQDNRKLHF FNSFFYQRISETGYSAVKRWTKKVDIFSMNTVVFPINIGNMHWTACAVNFDEKRIEYY DSMGDGGRHRDDVFELVRSYLNSEHKERKGKSFNFEGWSDEFNKNTPQQSNGSDCGVF SCQTLEMISRGRDLKKQGFEFNSENMPYFRKLMIWEIAQGKLEPRTWGKPKI
I206_00464	MTALHPTESSINLLSSDERTLVEAESSKSATRQPKAIFVKGNTD MVEAEIDSKDPSTSLSSTSPQVNEDGNDEIDVIGMERDDVDMVENRQQDGGIEDEGGG GEGIGDDGNGDIDDLGNEMDPNSDGKRVKVYELQDTSWHDRGTGFCKGIYDDTQDIAL IIVEREDFSSEGGKAEAPGGFLKEELLLSARVEKEDIYGKQQDTLIVWTEPATGLDMA LSFQDPEGCEDIWQFICEVQRHLLNGTMANAYFGQYSVSTSRLSRCPEILLTTLVDNR DQEMFIRMQAKSPIGREKAVEHILNEEYIKHLITVLDQAEDLESLDDLHALCSLMQTI ILFNDNGVFEYILQDDVFMGVMGMLEYDPEFPGLKASYRQYFQDTARFRQVVEIKDDN IRNKIHQTYRLLYLKDVVLARVLDDPTFNILNGFVFFNQVDIINYIQQNDMFLSHLFN GFHEPPPDPEVSKSEPLDERKRDVVMFLHQLMTMGKGVQLAGRLTLYRNLVERGLLFV CEWSFRRTEAQLLHAGAEIMTLAVEHDANVVRLHVFKEDEAKRRTLIMEIISLLQSTK NLGLMSQMSDTLRTLLETPPDNEAFVPRTKEGPLSESFSTYFYENCANLLYKPLLDAP DVKAELNKNPKFKLTREHITLLQHLVELLSFCVINHAHKASYFVLSNPISKKIVNLLY IKDKPLRHAALRYIRACLKTSNHFMHRYYVKNDLFLPLIELLEEESVRDNMMSSACMD VLELIRRENLKTIINHLFDSYRERIDKLSSRAFLRKYIAGLRNRWEINNEPPPSIPQS SAVEAEPSKANADRNAEEEDYFNGSDDEETASSGDITKAQQNVEIPSKRKRMYTHGGG PKKRPAGARSPNMSTSSNSPSSNLETSTSTSGSGSGTGGALGLDYDDGSDSDSSSTGQ RSPRNSSSDKIPNSNLGNLNLTKEELEDDLGDVAMRMRAKRQREEEEEEGFAGLLVGA KTTTTPTTTITTTKEENKEEDKSINDNNIISKSRINSNNTGNSTPVKDMGKKIRLNLG FGKKLGGGGGGGGGGGK
I206_00465	MGRVIRAQRKSGGIFKSHTHHNKNPARLRNLDFAEKNGYIRGVV REIIHDAGRGAPLATVVFRDPYRYKLRKETFLATEGISTGSFIYAGKKATLNVGNVLP ISQCPEGTIISNVEEKIGDRGALARTSGNYATVIGHSETGVTRIRLPSGAKKTVSSRC RATVGIIAGGGRIDKPFLKAGRKHHAMRAKRNSWPRTRGVAMNPVDHPHGGGNHQHIG HASTMARDAPSGQKAGLIAARRTGLLRGTAGKTVDTA
I206_00466	MSTRKTLRKSAAELDRDARNEAGNLKNILNSQSPWSREAEQSRQ RCREIHLLLIFSYSLSPYSQSLDNLWHQTSYLIISSYRNIISNIERNSFKTNQNQNQN FKGKLLNDNNNYNNNEFKKIITRFKQFLSTEETFYKSIISKIYNFNNLFEINYLNEYL NKVKIPLSTFENNDNENENGNELSNFNNGIIGEQEKKDKINLIYKGLICLGDLERYKE QYKEPIKLRKNNGNLEINEKFNNAREYYEVARCIQPDDGAAFNQLAVISTYLSDPFST TYYYFRASAIKNAFKGIDGILYEYLGKATERWRAKRKEGKQVEESEQLNEVKKWKDDI IVLVGVLYLKAGFSFIPTLQPMLLDQFGNLVKSRQLATENIVQTIVIALGLHHHARNT SGLEQDPTLVKRSHEAETKALELLLAVSEVIMKIAIEEIDDIRHNLQSQSALTINDDE EKEEIDYNASDLSFLISAILRRILPSLRIMSKWIKMDLDYLSRQQQQNPTSSILKDFW TTYKEFIKSIGSVFPIASLPSLPEPLEEDIDMKGFVPLQRGKTSGNGSFNSSVGTESV NGVSHGDVHPNEEQLMRLADIQVDAKLITQSGVGSTLVGTQQLPLSTGLPGISREMES DIASVSTETEDDPVNLAMRATLASESSIDGDEYNDQDVDEVIVWNKSPPSIIDPRPQA TVPSPTPMLPTSKKPTAYDLLQNLMLESTPTPPAQAALLPKMTPSPSAIGVPLSTPSP HMIGQIQNIVNPSTSGLLFGAGGNVPHQNNSIWTMTREESVKGQKRSSQQGNISAIWG APSITNESNNHIDSNQNSSSQKQYTAFNEIPNNTISTSIPPLINTHQNHYTFTHSPQI QSQSQYLINQQIPQIQSNQTMNLNTWGPPLHSSNNNLSTFSYEQQQQQNQQNQDIPYY LQPAYYANSTKNYENWNNGNNGT
I206_00467	MASNMVPQEELPVLESLITIRNRLTALKKDTTRFIRAPDVMPIY NSVVKQVTRLNAIRDEQSHNQSSLSNNAAASSSTSKPTIAEANRVDTVLADVFSLLSL FFLTIGKSRETPATYCQIASMRQILSHMNESGAYTEEILLPIRERLQALKDVIKQDSE DGKHPEPIVRLMSRKLEGVELQLQDLLSSLSVLSVELVPIHQRLVHFRKQLSALAADR KPNKAEYKAILEDLRKIDSKRVDGKFLGPGGSFVPEGQALLSGLLESCFEITQDIKAR EAEEDVTPSLKPIYERLSEMKANLDQLLLTHRWTLRETDLYNYALSLREIDAMRVEGK FVDVDGNKAEGQYALLFLLRRCYGLLYRLMSESEPISEELMPVANKLSTIKKCLNEVL KYGGPYTPRDLYPYHLALHQIDSLRKDGKFYADDGSIPEGQAILVAQLSEAHELLEML KESMSDDDEEDDENDENEEI
I206_00468	MSNREDSVYLAKLAEQAERYEEMVENMKSVASSDQELTVEERNL LSVAYKNVIGARRASWRIVSSIEQKEESKGNEAQVSMIKAYREKIEAELAKICEDILE VLDKHLIPSAASGESKVFYHKMMGDYHRYLAEFATGDKRKDSADKSLEAYKAASDVAV TELPPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDTLSEESYKDST LIMQLLRDNLTLWTSDMNEPTDKEEKADEPKEEAAAPAAA
I206_00469	MSIPEQSNANSSLPKQPTNPTSPPNPSPLNTSSPTSTKPPTLNT SNGNINKPKPIELTGFRSALSHTGIPHSVLTYKPKLPSRNWLIFWTLTTSISLSYYYD RRECKRIKEETINKVKDKGNEILKGGSLGLNRKIIVYGAKWPGDEDTDRSLRYFRKYV KPYLVAAGIDYELPTSPLHGSITRQIHSKILKERRQELGLEEIEPQLSLPGILSPEEY KKRELEGGIILVGRASFKEYMEGLKRGYMGKVDSWKWEDEIENKLEKDGIFDDNEPIL SESKDLESTVTVEQEKSSNALSSSSSSSSIKSTTGLGFLSKSPIQTSNSTTNIIPSSI PEKYHEPKLPLPEQPPILLLPFINYIGFKQIPYMLLSFFTERFNVKQGSEAALSIINN KIRKFKLEENDLLNFDLNSENFYNSDSKDLINRIELTRKEYYENLKERIKKSKEYENG ERQLNSEEEKLGKVISLKELKEERKKKELRWMGNLEGWNIIKPENQVTWDDRWNNWLN VFELPKDDE
I206_00470	MKGSTKVHALDTDSDLTDLSDIDMKEDEQQSIKNDDTHSSESRN GSNNEGRSIKRKASSVPSVDSDAESDYAAKPLKKKPKKKASKRKTTKSKSTKSKGQSK TKNTKNRNAEDGDVDDQEEKPKKRVLLAKEVHWDDLPDWGDRTDCPLMKLPADILDMC FSTETDLNVRDYVALAGVSKYFRDRLTPKVFHCICWTKNVRRTSYSYLRPEFIVKPEN LSIGKHIFSRGFKPWQIAKRTYKYCNRFLDNHYIPCGPRDQWSEAQYIVYKEEQDKWR QQVKQWKIKELRSEMDRKQQEERKEKTYAFLGNYRRTVLGAVAGRKDGEPPVQKDTRG LPIVNQKQAEEENEKAEEPDHADIAAHPKTSRKRKSILTNKKRWTVPDTDTEAEYEVS PHEYLKPDEPFVFDHWPNEWRALAVEWIHLKRINKTAAMRVFKVSEAELLCLSHLLVT NPMSSKNPQQAYLEVAVEALAFRSHGGPQGHKASLQARAERSARMAQSREEKTVQAKK DGTYVYKHRKMQIAPYDWHWKQYDIHGPNGNCDSECEACLHDGWSE
I206_00471	MSTRTVDIPQDVLAALKKFRFTNRKGTAAISIKIVKSSLSMAVD EEFEDQSIEEIAEELPENHPRYVLLSHELKHKDGRISYPLILINWAPTGAPIELMTLH ASALSYFQQAAEVAKVLEVRDGAEGLDTKAVNDKLLAN
I206_00472	MALGWLLKPIALASTLAISTLGILSRKYQRARFYYHLTLYVSTL GALSIWGVIVSILATVSGQRLNINYLVARSFYLTCSPAVGVTFEVEGEEHLTGLLTAR GGKEQSAVLVGNHQSFLDILYLGRIFPKRAAIMAKKELKYTPGLGQFMSLSGAVFVNR SNRTDAVAALQAAGEDMKKKGVSLWVFPEGTRSSSAEPALLPFKKGAFHLAVQAQVPI VPVVVENYHRLFDGRTRFESGNLKIKVLPPISTAGLTSNDVTQLSEDTREKMLETLRE ISEVGPSGKKPTIQLDEISQPEIAKEEIKEKEKKPVHLDISNSGTEHKEEEANSRSSK SGNDSTEDEMDDDAVLLKRPKSQPSA
I206_00473	MAMAPPRKGENYELRQQLNSEYRDKRADAIKRVIANHTIGKDCS GLFPDVVKNMQTDDLEQKKLVYLYLMNYAKTQPELVILAVNTFVKDTADPNPLVRALA IRTMSILRAEKILDYLASPLSRCLKDENPYVRKTAALCVAKVFDLKPELCVEYGFVET LRDLIGDGNPMVVANAVAALADIYEASQNLPPTPPGSPGRSTSPPPSSKPNSELFIID PPTLTKLLVALNECSEWGRIAILNTLSRYKAVDEKESEHICERVMPQFQHVNAAVVLG AVKVIMIHVKGVTREDLVKSLTRKMAPPLVTLISSPPEVQWVALRNINLLLQKRPDIL ANEMRVFFCKYNDPPYVKVEKLDIMVRLASEKNVDTLLGELKEYASEVDVEFVRKAVR AVGQVAIKIDEAAERCVSVLMELIETRVSYVVQEAVIVIKDIFRKYPHSYEGIIPVLC SNLEELDEPEAKASLIWIIGEYAEKIENADELLGTFLETFREESYPVQLQTLTAIVKL FLKKPDESQAIVQRVLQAATKDCDSADVRDRAYIYWRLLSSDPAAAKSVVLAIRPPIS LPQTTVPPATLEELLSEVSSLASVYHKPAATFIGKGRLGAEEMQRRAMEPEEDNAREK ALQTVVAGQQAENLLDFDSDDIEPTSANSTQNQSLSMFGNSSSNTGISSTTISNVAKS NNPLDELMDLFSTASMTTPQNAPQPIAPQSAFSGIQGLENSTSSSSATNNNNASYDAF AGLSGLSSPPPTIGNGSGSTSPNPPQQQQKPLQQTGGAQDDLLGLF
I206_00474	MSSSLLEPPEMLDVDHRTDILDGSADGSERGRSPLMHAPHLEML RSSSRTPTSAEIDAVIAAAMANAPPPVPVQPEYTENINRSATPLMTNLLPSAVGMDGR VNLFVGNLPYRVRWQDLKDLFRKAGTVLRADVSLGPDNRSRGYGTVLMGSREDAARAI DRYNGYTWQTRTLEVRPDRLPPEYEPQTHHVPHPHRPGVFAYHSSGHPPYPMSGHLTP QNGWPPGHSRPPFPGGAGHTGSHGFTIPGLGPSPVAPPMGLCGSSPIPPSQTPHPMYP SVSLPISVQNTGGQPYPPLAASPLAGSLSAGTTPLQNQSHSRGESHTPFSHSTSPDQS IAVPGPRSVSPHAPSAGNASKSDSNQDETISPGRAPPPGSLGPLPPPPFTGIKAVVSP TPSQQNLAPAEPSLKDGVDTGPSPPAAGRVQTAPMPQLEGLAHQGMGLGPPETLHDRV IFVSNLPLSIQWQDLKDLLRPAGTIIRADVATDASGRPRGFGTALFASVEDAARAVTM FNEREVQGHRIQAHLERQSRIEALQTDNSASGVRPSASALAQTPTQSLESTGSSAEKE DIHPDGLASSDTSEQNNTEQKAENSPVAKLPWNLNTSLQQQTPGRPGTGVTNQTPGLH QHTPNFRQLHHPGPISMPPFPSMSMNEPNPLSPLQTRGLPPMTPSMPGFVFNAPAYPE TPPLHHFMNSSNQFGPFSPGIPVTSPNAFGYNPFSAPGAPIRFPQPPHQGGSAILGTP TTQSFPILNGNGVIYPNAGPPGSIAQTLQQHQQQQQPEYFPTINNPPETPTPKLSSNG LRDKPLNAKERLASTASEDDLTNSTNKLSLNENQEGIQTPESPSELRRTTSNNNRIEK GRTSLDEKRNNNLLGAIIGGDRRASFDSRR
I206_00475	MSVETQSLLDVLERAQKPDRLGGLVKEALVLIETVLSRLGEESV AMSFNGGKDCTVLLHIYAAVLFARHTQSCQATLLPKPNHLIEIPSLPESSSSSSHAQT NFKTSITSSDNSINSQFSNNDSPNSSSNVIHYQPDSNLPYPPIKSIYITAPNPFSKLD EFVLDCIKLYKLDLYRFGGGMKNALEEWLNTEGKGCKSVLVGTRKGDPNDNVNILAPT DPTWPQFLRVHPILHWTYQDVWDFLRELNVPYCSLYDEGYTSLGSTTNTSPNPLLKNQ NSSSGWDPAYMLKDASQERAGRH
I206_00476	MASSSAGPAPSSSTGFGISGISDLPNHASSSTQPFTKPRNPQLS NEPWFAYPTPTAEQIEEELPPYFEGENVPLGPLLDRLVRKGYGDLRYLLAEILPPLSA KQKPKHIINYATTTRQALLKYLAVLRWKSAVDVPSSYPYASSSTNLPSHINGQNGMIN NFPTPHSNGDDTSPASISSTNKGKGKAQATGVDNEDVLIKGKVTDSRRLAQFMEHQNK QHDDAVAHLQHVTKLVESLRERNPDLLTAIALVTTGTYQRLPTSITESFKPQPPLTNS AILSLLRKLNRQIKYRLRCIDYIPPDLVVEGIRDGQVYFIGGGEHGWRIRMSIVGFGV ESKWWLTGVEWLWRHRERGVNDPGGESRKKFTGEERQSILDLANLEILPPRVDQAEDS QADTTKKRSNHVEKEEAKDKVMDAPLVRIYNFIQHLSLSYQLEILFTQAMALSQGKWR NQLRVEIDRDTKTLKVKYWIRPRPAVIPQQQQAAVGKRPTLVSSGGSSRTPLVGGVIS VSLSEANIPQNESAAFMGLVASGQVTSDERVLNLRLGVKWEVGELGIGGGLKVGDVMD GGSLQIDPASLDMENLIMTSARAHAAHLTRVQASALISSPKFILSLLNQPTLQESEDP TSSCPLTLRIPIPSRQNVSSLIIGVSAYNGHIEIEDDGSIGNEVRAERVELAMKSIND GKTRLVDDIGRLTVAVVMENLEDQMRQLGWKPIRRLALRSQDLAKVQLHPATTVLTPI LSAPTYYFVAKVTQAGLAFELLKVIKVPSETGMGVGMKSAVGDRIPLDLERLKSREKG KEVDGTLNGTLFEIGNKDLKNLYIYSNALVAQTIIENQLKERNIPFTAQYPPTTQSGP NVTRSSSALAGMIPTICVDVRDLLRNGTRGAAVDVAMPKVGMQIENWWTGGKCEVSTI VRLRQQSSMTQTSTNGLSDINTPQAHSEDISFDPTSSIVKFRAKEIERCVPAFLEQWE RLSKVIVVAGEVNRLNKYAEFSDIKMLSFDLRTATLSYSSDYEASITYLPVDDSYQVT LSRNNVPIPSKPSTENTTNIDNPHERLSSLLSARLTELAATPLEGIPKGAVGREFFGL LKNTLPFFEQVEKLKERGWDLIVLDIRTYRIMKDYKGRRYALDIILIPALSHYLIQSS LKPKGPDKKLDFEIIGQITELPLNKIIPKIYNNLKSSSSSSSSSYHLKNLINSNDKEI INIPPLMKLDKDQSLICKVNLIQNVLIEFMDKVDEYIGFKKDQ
I206_00477	MPSARPSTSNANQTAAGALSDDSDLDDFLDELSSDNEDVKPKKK GPPNVAEILKGQVGKPHNLTLSCKSLHDMIHTGRIDLEADYQRGVVWTEAKMIGLIQS LFLNYYVPPILFAVSKDEVGEETRLCIDGKQRCTSIQRFMDGQIPFISPNTKVKYWYT KFEGHRGKQLPKNLKDQFDLIQMMAVDYYNMSDEQQRDVFQRVQLGVQLSAAEKLAAH AGPWTTWINELEKRYVAAPDTLGDKVVTWNKARGRPFQNLLGFIVIARESTPSKIFLP SGTAMRNFVQRADPPDNDFKIRAQLALSIFINIAYNYREEAFNTTTTSVIAPVEFWFI GYLIYSRMGFLSVRSLAEEIGKMRAMIRRHVPGNVSANGLVFSLLSDFVRDIPKKRKN DDLPAAEQYEQDEDVDQRDARALKRSRRAEDTDPTYNEDWTERTISGPEKANTRGAVG SSSNSSNDGQQARPAPVNVAAAANARTIAGLPTPRTSGTTQPQVYNQQPANGHLQQSQ QTNGLGNTSQQWREYTQDRVKALNAQALSQSHQVSNQAYGR
I206_00478	MSIPEWNPQHEENHQILSTERNPITDATLTIRIIKSFEFRTQKS MVVKHLNLEELTVDNLMELVRKEIKTQSGYKPYRTLVLDTMKLYTLAHGSKTTNLIIN LDHDEWILDPSKILKDIGAQNETEISFFNRESYDKFKLNPEVKWD
I206_00479	MSKVDVSFPLLSQLSKERAVYGLRNGDHERYRRHCTNKIHRLRT TTNQTCGKGKQYKAPPKLEVENIKDVRHLQLLLFSAERALAHSHEFKAQKAKPNPTSS PYQLKKDQISWLRRSLKLSTNLFELVNNAPTDDKVDGVKLNVRTKVEVTIYHLLVRSE LYFEKANYGNCLYDLIVVRKLLNILNENSKNSYDEALSNEFIDLNEPLIRYCAYKLGR SESHDIQGIVNDIEDKTLEEESLPGFISLSSNLKEELSNQMEVDKAKLQPFVFAEQKI EFRNPELVNVIIKVQETLSKFESKRNNNKNRSKNVSMKGWDKVLSVLGEAEGIARKLK DDHEASGSSTSLRSTQITSSLNLAHAYIVHLLLSHRIKRDLSLIDTLQSNFSSFLPAN VGDTKIKGGKTRVEEVVKGLGGIIKLLDTVLQSLRGISDLSIVQEKEGVRIGVEGLEN YYHALKCFTLARLHCLHPTPSYSSAVALLQKATPSIHQAKSSLIDPITPIEEEILPIS SEQIDNLEEDIKVLDIGAKKGLFSQNIEKPVFFDMAFNYIDIPFDELEILSGKKEKTT STGTTTTVVENLTSVGNKAVEGIKKLGRETRETTPAVEIRPPASTTRNTKQPAKEDDD DEEEDTEESQERGQEGKKGWLGGWFGRGK
I206_00480	MSGRGGRGGSRGGAPNNAQSGDRKKRESILNLAQYVDTSVRVKF MGGREVTGILKGFDQLMNLVMDDVVEEFENNAMPPRNLGLVVLRGPNVVLISPTDGSA EIENPFT
I206_00481	MTDPVKPRRKVASQISLAPSPSPVASSSVPSRVRAHLTSSSSPA TTRPRLRSTPSSGSPQSTPISRARSPVPPLSANTTPNPRVTVRKPKTPITPVPSTLPP RSVVGLTPNDNTPVIKIRAAKSLIGAPSSSTSTPRTPDFRRRNTGDVNDVRVRTLSLK NTPASGSAPLARVRPSGTSSANTPSTSLHSTPKAPFTATSPDISDIPPFTQSPNSTNT DNNALSTSHETILHGLGMDDGGLRILQSTWRDSSPERIRDSTEIHSNRSSPSRRSPVL LPHGTISSAQHALQYIFQHPTASAPTSPIPSSTPSHDKLPRSAHKTNGHIKAKLPYHP YGGHPPSLPPPPHSPELRTVALPSLTPARSSEEWSRTTSSQGYGSSNIRKFSGTSSEF SAGILEMRKEDARDRLSGMTAVAVDDTATKLGEAKIGDQDVDNVLGADAEEAKVNRKI ADLEISNKSLLAINKTLEATKSKQRTEILKLRRMLRESLAGNGLPSSSFSSFNPLSPS LNLLSPSTDRFDEDLDPEGAYFEEEMVDPQIEARWEKIVDLVGNMKKRGENAVELGKE EIKPSNGRVLDWTEIERNRKDNITLNNDLDISVDSLTPNELDPEEDNYAGETSREEVD DVI
I206_00482	MTSKGQLPPTPTSANSATSKKVVLVTGGTGLVGSALRYVIENEP VGSPYGRQDHEEWVFLSSKECDLRDIEQTRKVFQKYSPDKVIHLAAKVGGLFANMSSQ HTFLRDNLLMNDSVLQISHEVGVSKVVSCLSTCVFPDKVTYPLTEDKIHIGPPHSSNF GYSHAKRLIDVQNHAYHDQFGNQFTSVIPTNVFGPGDNYELHTAHVIPGLIHKCHMAK KNNTAFVVFGSGRPLRQFIYSRDLAKLFLWVLREYKDIDPVILSVAEHDEVTIKEVAE AIVEAMGFTGPVEYDTSKADGQYRKPASNDKLTRLMKESGSADFEFTPFKTALKDSVD WFLANYENGARI
I206_00483	MTELRETSTYPLEPDVSSSAILPTDKPSHHVPSSYLKLSSCTSL PSSPSEASSLPNASLIHPSPYDDYRSTPSPRLNIPSEVMDLASGDKLQTSIIQLWRSS EPTETRKAAMEDLRILLTKTINDKFFPQLMEDGRQRFEVDIAGSTSWGGEIGPDTDVD FVILDRKFPKGYVHEVWLQPLNSTNPLSQEEIKQIRYKPSENPLLPNCYSLKSLSNCV EDIGMIATIRQPYLQIPLLKFIDPIRNLDCDLQCNDLTGVYNNSYILAYAKLSPYVLR PMINILKNWYNIKSGKKLKHGNKINKLGLSSYPICLMCIAYLQNIGYLPNLQKNIESK IYKNEKEWLEDEELVWVEWGTNRGLTSHTTFQKNLMVKEEEEEGENWKCLNSKFEKSK QEGEYFNTNKQIISPLNGGIISRSKNYMSHENGTDDNDDNTTNLDNQRNYLKSQNFDR DQIELILKQYKLLKNVKPDWKLSNEFGKCNKGKQPYRWSKDYLIIQDPLKWQKVN
I206_00484	MTEPYLQYTEPFSHYTTLASLSTSSILLTPLPRLADLLKVKLPL AQSYISQVSHAIAPATTRLDEIYDHEQNIGPSRIPLDEPIVDDFVISRKGKERAREKW ISTGDVGLDKALGGGIRRGCLYEISGESASGKSHLSLHLALAVQLPSLSSSPGGSLVL TSERDLSTDRLVQLGQHLLVTHESSEDTTERSARNLLDNILTNRIADVEALEHALNYA VPAILDSRLGDVNEKNGQLPIRLIILDSITALFRGSSNANQPPSAHNAISLAERSKHL CVIADILKSLAVKYDLTVLVINQVSDVFQRLPPIINPLPSFSQQPTSSFSQTAIFTGL TNSQQPNEEAAMLYKTQSRWFSGENDQLKKEAALGIVWANAVNVRIMLSRTGRRRLMD QVELTSHKRKRLNSQGEEADKPGYAPRMEEINDIKQTLIRRFHVVFSPFSAPSTIDFV VTSSGVHSLTETYKKIDTTETVIKRKIKEEKERELEKEDEEFIPRDEIGIDHQYGMNR TMEDEQTKVTEEWDEVFDDFGELPDEFWQGKYDLGVIDFEAPERKSDQLLQNDRRGAD QHQSIEHKAFISDDDDDLFSSLGDIMDGNEDQSGARLDSAKSASISELETNQEDVANG I
I206_00485	MRLFVIALTILTFYISGSLAATEGLIRPSITNSEQSQSTTINDL TNTNTSTFNNYNSNNVNIDVDNNEEEEEEEYNRFIKLQLNSNKTKCLSVIMDDDGYAQ PELTLNVNCNFGTIWNISNNFEKKKPSKIFCKECKDFVLLDGGFYANQTNNTLLSNQD FNSLGQLWQIGFDNRISIRTLSEPDKANLCLSESKDNNGYSFVRTEWCGKGDDTIDSK INQSELLVICLLSYVEFS
I206_00486	MVKSAVLGYPRVGVNRSAKKAIESYWAGKTTEAELQETAKSIRK ERWESIKNAGVDVVPSGDFTLYDHLLDHSFNFGVIPQRYVEQKLSPLDTYFAMGRGRQ DRSKGIDVVASEMGKFFDSNYHIVKVDHSPSTEFSLKNNQQLNEYKEAKELGITTRPV LFGPITYLSLVRAGRDAPTDFEPISLLDKLIPVYKELLSQLKEAGVEEVQIDEPILVL DKAESQGDLFKKTYEALAPVSPKITITTAYGRVGKSIEFLKDLPIHALHLDLDREPKQ LDEVLAALKPTKIAIELGVVSGRNIWKNDLKASKALADKAIAELGADRVTVSTSSSLL HTPISIKVETKLTPQQISWLSFATEKCEEVATLAGALNGKESEAFEQNSKDIAARREF ERTSDSAVRDRVAAITEEQLKRKSPFPARREAQKKHLNLPKFPTTTIGSFPQTKEIRV ARAKFGKGEITQEEYEKAMEKEVASVVEFQEKVGLDLLVHGEPERNDMVQYFGEQLTG FIFTQLGWVQSYGSRYVRPPIVVSDVSRPSPLSVRWSSYAQSLTKLPMKGMLTGPVTI LNWSFPRADVSKEVQSKQLALALRDEVVDLAKAGIKAIQVDEPAIREGLPLRKADWDN YLTWAVDSFRLSTSGVEDDIQVHSHFCYSDFGDIFPSIQRLDADVISIEASKADLKLL DVFKSYGYSNEIGPGVYDIHSPRVPSEQEIKDRIASMVKVLPADLMVVNPDCGLKTRG WKETEESLANLVAAAKWARETYA
I206_00487	MSSNGTKLSFSFAAPAAASSSKPGPSSTPKSNMELLMAKSKPSS SSNLPSVGKKPTLPFDEDGDDDDDDENEGGLNALAGPSKKQQNKNKAPIGQTNLLSRS ERKAQKVAESIDQSIFDYDKHYDTMKSIEKAHEEIRKKEAEERKPKYIESFLASAQTR KLDKLRAEEKMLSREREKEGDLYQDKEKFVTDAYKKQMEQVKKAEEEEKKREDELRKS RSGPGLTSFYKSMLESSEAENAAAVAATQAQSVPSFTVRPPTSGPSRDDFDDEEEYDP LLAREAKSVNEKAGSSRVDEKSGKDVEINDEGEIIDKRSLLKAGLNIMKKPKPTLPNS LLTSQRSGEINEGPYKSRAVGTAASHTERMERERKRLAEQMKEQVEKKRREEEERIRK EEEEARKRREGDNGDAEKRRQDAKERFLARKRQREEDEKKEKEKKAREE
I206_00488	MTPPRLRRQSSSTTTQPLRTVNGIPNTRSSARLAAYSTSTIKNK LLVYDENAHSPATSDTLNGGLRNSTSTKNLRSRGPLRESKSITNLNGMSIFEDRSSVD GKGKRKLIEEEGIGGTKKVLKVNHTIRSTMGNELKKGRINKIRSVGHQSSSESLRSLE PASKPSTPSTLPTMIRPQTVPAVPTPARELLRKSEGDTTDPADSSFTHIVARPPTPPR MKERSINLVSAEVMPVTPRKEEKREVDKPLSRMPASLRKTPGPSTTSSSLNQNSIPGT PSQLPTAMNLYGPSHTPIIAPSPRKIITTVNSSTTPSEPPPSNKSMMPSTLATPSMRV VPPSPLSSRISSAVKMKREVQPTLDSFLKKRESLMEVDEEETSPFVQALTASNETKPD ISTPIDTALDVLKITPNDAQWLDQFDTVHHSLPSEPAPSAAEVPPLPTLTPLSVPKIT STRTLSSMGPPSRIPVSRPSNAPTHIPATTRKADVPSLQKAKPVGILPERRPSTRPSL VPPASLTVVDEASTSPIKRKPSYPSSLGSGPLSKPTQRIVSNPIVKPRSTSNPSPTPD DITESFIQGQRSVSAPIRSRLSLSIREGLNSETSKSLAGLSDALSKLKSKRAQDSISM KDEKPRPNLNILPSISVFEPKEVNERPNNLSISTNSRLSFSGHRPRSSIIQQGDLSIS SSSSSGEEKEEENGNRDIGDTSIAALLNSTNGSKCLKGVRAFVDVKTSDGEDSSRIFI DILKGLGARVFVKPSEKLTHIIFKSGKPSTLTWYRKLLENRKNDNEVNEEDKNLNKCL IVGIKWVMECKKSGKRLDEIPYLIDISQEDVFQKRRKSMEPKSLAASQGQNLGLGQPS AMKQALLDVAQAKKRSLMYAPKISSPLKKGYNDIED
I206_00489	MSVGSTTWPVNYIRAIGFTDGDKSKRFKVEFIKDDQGRWDWLSD SRISGIPNHSLVDDHEFHSGEATNEPLQDDSTPDYMKYKWFREKDENGETLDWEQLRF NAEKHSWEFFHVYCESMGDDASSDLYDESLEENANSDVEMEDIAEPVDVGAWLKEVQV GKKPE
I206_00490	MSSQQPTLNASSSQAEDALDRTHTQLSGTTVGTPGAGDSESSTE KSRTQRAISTAASFGKRVVRAALDPSPSSGSGF
I206_00491	MTTLVTIPNVTAYHLPTPTSDPLPLSTGELNLTLIPANPPTHPS STLTLTVGGSSFPLLPNSPLQKVQAKEQHPSYIFAPVPADGGAAVGQVKLRIKDSANQ GEWDATESLFKRFEEALKANKIWNETTLFVDDEFETGETAKHTQKWGETIAGAVTSAG QALAGRLGAYTDRHVTQTNPEHPAPPSDLTKERAEAINHGTSQLAESVEAGANNVGAY IHDTAKSIGEKLPDSIIKKSEPVKEEDKGQFRKMAEEGWEQVTIAAKGIAGAAITVGS AASQSAHRAVEHNFGKEAEGVAQDVGQAGANLGSTAFSAGKATSVIMQGTNAAQGAQA AKQGE
I206_00492	MNNAQKDITSWADKSGSFKRQVSSFRDIIEPNGQFPPEKGRYHL YVSLACPWAHRALIVRQLKGLEDFFDVSTVHPHMLEKGWHFAKSSENPAPQSEHSDDT FPAATVDNLFGVSHLRELYFKVEPDYQARFTVPVIWDKKTNKIVNNESSEIIRFLNTA FNDQLSGEQQSLDLYPKELQKEIDGLNEWVYNDINNGVYKSGFATTQEAYEAAVKPLA EGLEKVEKILSDGRDFLIGGRLTEADVRLYTTIIRYDPVYYVHFKCNLGLIRHDYPHL HKWLQRLYWKNPAFKDTTNFEHIKEHYYYSHKHINPNRIVPYGPNVDIEPLEE
I206_00493	MRSPVSSAYSTSTDLSPPFTYSTTRPPTTFSQYISNSSSNSNSK SQTRSRSWSRSSNWGKSPLQPPEEPLPDLPITQSPFNDNSYTDTEYPNDAFNRSNWSS TTPRTGDLDTIKSTGYSRTSYKPSSRPLQTIPPDTYADENAGINSTPGWSAKTTVNRV KFAEDQDMIMDSPNQITPATELKHTRDEKDDSPEKVPWNGSHDQLVDGRSKERHSTLP SILSISRLANVKSKIIKSEPVSYRNGASSLTDIENEPSTDKSRNATQANGRSDHSLLN VGVLIDNKAEGIYQRKTYNKSRTPDITRQQTKLLDIQDQNKLGRMSVATRRYTLPPQR KAQTDHPKSSDMVPSKKIKGILDSSSKLAIHEKKRFIINLYYNICNSAPSKIYLTYRP FFAAVLSLCAALILVMTHGITNDSTIGQFLFVNKDVFDISRAGGTDIVLGIWGWCQSD QNQSQNQCQNYGFRDFANDQLTFTIPGDPSLEALSLLLTALTTLTWLLAIYQIVIPFL HFYLFFALSIPFNHLVEITQSTSQAWNHLSPRKKESKSPSNNSSDANDHVIAEVDLRV KCERFPYESYLWVWWAWWAHRRSPVGHVFGCLVGLLGLITFGMTFKFRSSIINATGST NLSLGTGAYIPLMTVLITIDTFVLSLMYFWSFRKKLELFLNPPSPSPRVLLLAPSENA ILQHHRQTGIQSFFAPYENSFIDYKNEFEINNKFNQIENQNQNQNEIEIDEETKRWLE A
I206_00494	MLAPTQRHKRPRSPSPPLSPDVASPLDVLLKRRRREELSFSSPG EHPISPFAPQHQSNDYFGTVSAADIELPHAESSTSALKRSIAGVERRRTKQWEKQNAP SISNSQPTPPHSNHYLNVTPTPRNAYSQPDPMSSSPIRNILPSSSPFKPKPKIEDDIW TSHTSGEMNDVQQQHQFGVNHGSGEEEVMDMNEDEMKREWGEAYEEQNWLLHSLHVAR LQSQCHPHPSYNQISLHQAHTPLRQTESNMSNQTITSHDSTLISPYPTYRNQPSYPDS SPVNSHHPSVELRSPSYGQLNGMEDDDMEILHSGLSEAVEDEIRKRYEETNKLLAELE VVRRNRWG
I206_00495	MAQPTDFSEGADFISFGLSPPPEAGPSRSSTSTLPNGIASLPPK PITPGESSTRKGKRKISDAESTLTQHDTNNAGKDKKSSKKQKKGKRDREKEKENKKDQ TNETGPKNLKEERKANERHAPWSDLVNWEKCKDPAEMLNEEINAFYKYVSPTKEEFEV RLFTIELITRSLMKLWPDAEVTPFGSWQTQLYLPQGDIDLVVSNKQFSESNKARLLAE MARAMRQARITDEVAIISRARVPIIKFITNEGKLNVDISLNQVNGISASKIINQYLDS LPGSRQLILVVKSFLSQRSMNEVYTGGLGSYAVICLVISFLQVHPKLRRSELDPEENL GTLLIEFFELYGRNFNYQDVGISIRKGGYYYLKSSRGWLRPNQSFLLSVEDPQDRDND ISGGSFGIRQVKNTLAGAYELLLMRLFERADKMSGRKSGRNKEDMDPDTMSILTGVMG ITKETLKQRTALQQLHSSGKLQKLLSIPMGANPQKYVTNYRPPPTLFTPKSRDRISRS QRNDNFAPVPKERNANGVGAIMVDDDEVSDDDSEFYDSDSDELGPVDTFAMIRNDKNS DSEDDVQEIPGLNLRQKAIATEVRYGGSSDISEDEIEILDSPPEESRYSISKTNSNTK KSGKSKVPPVEEDDLNSISSDSDSSVRYVDKPLNKKDNGVKSKDRRAFWASKGLGGTV GERENEFEDDTEFIGLD
I206_00496	MSASSSTLGYSPSAYYLERGELIKQGAEARVYSCSSFFPEPTIY DPSSSSSSSSSSSSTSSDSSNNSIIIKHRFPKKYRHSNLDLNLNSSRLIFESRSLFRA IKFGVIVPKIYWVDEKGGCLGLEKINGWSIREILGGGAEGEIEITQEEEEEEEEQEVD LDQNFEDRDEQGQGEFEEINLGMKTLIELGISQDHLMRSIGSALAQLHSTTIIHGDLT TSNMMLRLTPNNEEPFEIVLIDFGLSSTAQFPEHYAVDLYVLERAFASTHPKSEKLYA SVLEAYAQGLGPRKWKPIEIKLKEVRKRGRKRDMTG
I206_00497	MREAASILMDIGSSYAADKQTNHHHHRPRRPSAIISSIQHRPAW IFNPSPSPTLPVPTPIIPRPIVNARLSISVAELLNPAPLSPKIDNDGQRKAEVPQYIA YQPLKMKGCCP
I206_00498	MSHRKYEEPRHGSLAFLPRKRAARHRGRCKAFPKDDPKKPVHLT ATMGYKAGMTHIVRDLDRPGSKMHKREVVEAVTVLETPPIVVVGVVGYVETPRGLRSL TTVWAEHLSDEVKRRFYKNWYRSKKKAFTRYTKKHTENNGQSVTRELERIKKYCTVVR VLAHTQISKTGLSQKKAHLMEIQVNGGSVADKVDFAKNNFEKTVEVGSIFEQDEPIDV IGVTKGHGYEGTTARWGTSKLPRKTHRGLRKVACIGAWHPSKVMFSVARAGQRGYHSR TSINHKIYRIANGSSGSSGSTDFDLTQKDITPMGGFVRYGIVKNDFVMIKGTCAGPVK RILTLRKALRTHTSRAHTEKVQLKFIDTSSNFGHGRFQDAAEKNAFLGQLKIKSDA
I206_00499	MPTIYFKSALLKVLLIFTYLLLIPQTFAQTNTPATDKEDDDDDA KDCEYNGSVSDYLSCTKNKISTPMLIGAGVGIALGIFLISFLCIFLTRKKRKRIAQID EEIDQTRFEFIQDGLNKDPKFWETKEIEKENINKFDETKKVTFDIDDNYNLKTQNQNQ LSEENKKDHIIHLYSNKGDKSNKLSFQLLDPPKSNRPSILSNSNHSLKLKPSIIKDEL NNSNQTQLKNVPSIKRPIPISQNNLHHSINGSIFNPLKKLNNEIFNQKENLSNPIENI KHDNQLNLNLNPNSNSKLNSRSGSPIPYNQNQFNLEITNPSNDLSISRENSIFLNSNH ISRRFSTINQIQSQIEKSNPTYQGENNTKRSMDDLPKSNERFSSHYNENNFVDPKLPS KVIKSHKPFIPLRGYSKIEQEKESPTNHEILKEKHNNVDVNGRSGTFGPPKLYPRSRQ NTSIIAENENQKTDSPNSSPFEVVPIDMNGSETEEQHLTAGDDLKKESISRIGEDSIE SISTEAKIENSSLNNNDNAKSNVLETNKLKDNAPIENNEDISAFNSTVQSEIDKKVSS EESLIRSGTISVSRRKQLRNTNLIPSYYVKHNPLDTDQDVEELPTNALHKSEQKDVEV NEKIAVTGDDNVDGVIRSETSNPFDRAFEQSDQANVITETSKKRLAREKERMKGKEKE KIKKSKKSKTKDKVKG
I206_00500	MHPTSLVLRRSTWKGPFFTAFPSLSQHLKSNTPIFTKARSCTIV PNFVGLKFMIYNGKDYLPITVTEEMVGHKLGEFASTRKAWSYRLVSQYHIKSMNH
I206_00501	MAMPGGVPVMVMNTGPERQSGRKAQTANIVAAKTVADVIRTCLG PKAMLKMILDPMGGILLTNDGHAILREIDVAHPAAKSMIELSRTQDEEVGDGTTSVII LAGEILAYSLPLLERHIHPVVIIRAFKQALNDALETISSISIPVDISSEKEMMALIKT SIGTKFSSRWSDLMCSLALQAVQTVAVSAEAESGLVGGTTSSSLEDKTAGAPLNIKTV DIKRYARVEKVPGGEIEESRVLSGVMINKDVTHPKMRRKIENPRIVLLDCPLEYKKGE SQTNIEIQKEEDWNRILQIEEEQIKNLCEKIIEFKPDLVFTEKGVSDLAQHYLLKANI TAIRRVRKSDNNRIARAVGATIVNRVEDLRDSDVGTQCGLFHIEKLGDEYFTFLDQCK NPKACTILLRGPSKDILNEIDRNLADAMSVARNVVFNPILAPGGGATEMAISVALGEK AKLLPGVAGAPYKAIADALEVIPRTLVQNCGGNAIRTLTELRAKHAAGEHMFGVDGDT GKVTDMKEYGLLESASVKIQTLKTAIESATLLLRVDDIVSARRPGEEAGAGGGVQTMG GEEGPGGEMPEM
I206_00502	MTESSSLEPSNVDSTSSYETLTSSSIPENSEYKIRYAIPEDAKE ISKLVSETWSKLFGWSITKEDLNLYLNTNLSIKSILKEIKDENNLFILIVSSSSSNKK EKEKEKEEIILGISQLVINSNSNSIFKKINKLKSIELQRIYINLNFKGKGLGTLLIKE SEKIIKNKYNNKNQIWLGVWENNENAIKFYKKLGFIQIDEKVFYAGSSKRRDFVMVKD L
I206_00503	MSGFILGTGSGILASAAVYYTLSTSLRESTAGLRSELHNSSTLL SNSFDPVPPPAQSSLIGPSAGYYEPTFGEIVKQRWNNTITSLVSGVRSTDWEIVGKNV YEAGQGVIERIQEVTPTTTIPSSSSPIAETEKVRSTIAVVPGTTGIIDEAEKLPETKV GINKVSKVDLRKDSYGNVIGNETKVALKRNAEKEGRRLV
I206_00504	MSLSRKIRDIPLRTKVISISLCFILTLLLIQPFHRHITSALPFF SQHEDTIISPDNANYTVSEGGRVQDRPKRVAIVGAGASGSAAAFFLRRAARVVESRLG VDAGTRLGEIVVFDKEGYVGGRSTTVHPHSDSRLRAQELGGSIFVKANRNMMKGVEYF NLTLANPDIGESGIGIWDGSQFLFTTSSSSWIDSAKALWRYGPMSPYRTKSAVSALVN NFLKLYDPAYLAQRGPVESIEDFAEDLGLGNEITTRTGEDWAKNVVKVSDKWLGEIWE GSTRVNYASDMNQIHGLGAGVSMATGGAAQVESGNWQIFRGMLDDSEATIHLGTEVSE IIPLGSSQFVVKSNKTEINNEEPFDAVIFAAPWHSSPISKSLSSHFVRPIPKQKYIHL HVTYFTTTQPHPLPSFFGLPDDAYIPNTVLTTGQTSREQSVPPPRFQSISWHGEVLPG SGEYAAKIFSMTRLSDRFIQSLIGEEPTWLLRKEWDSYPKLSPIASYAPVEPIKGLHY LAAQEAWVSTMETQTISGREAVARIVNDWWGLGLGECENGDSWDWTCSTGSYDLAQ
I206_00505	MEESWNEYSKKSGRQEWEVTDISTKTDGRDSEHPNLSVDHYGNG AASQHGYTMCERVLNHVGDEKIHDHFAVAGGVKPWGYWEKVDKGSTEDEMPACQLSYS IVNGGKFIAPDEM
I206_00506	MLSIVLLSLVGSVLGSTQHNRAYDSPSYRAPQLGTNRNFVKKNH KRWEYYDGQLEFPYNVASGDPESDSVILWTHPVPTTDDSRPVCLEYQVSKQNNSWSDL VTSDQVWTTTDVDYSYKVEAKDLDPKTTYYYRFVNCADKNNVSPIGRFKTTPTVDDDD IDKLSFAVFSCSNHPYGFFNAYGNAAARDSIDYAVHVGDYIYEYRGDGCEDYYSCYGD GRDIGRVPEPNRELFLLDDYRARYAQYRSDSDLQALHQSHAWQLVWDDHEVADNTWKS GSADSNDTIAGTQYNTSFTERKANAVKAYFEWMPIRTVDTDDSLRIWRSFKFGTLADL YMLDTRQYNRDLTDLYYNTDEVKLLQEEEHRSLMGGRQENWLYHGLKNSSDRGAQWKI LGQQIVFANLVSDNVDSWDGYKANRRRVIDTISQNNIDNVIVISGDSHANWVSDITYD DKEGYNTVTGDGSYLVEFAGTAVSSPSSHGYNSTANKPLPKEQYLQVAQTLINATGNE ELQWTEGATRGYFELHLTRKEATAIYYGIDDVRVHSTNETVLATFVVEDKANKLKRPV AGGKVNGGWLAQRG
I206_00507	MSITMTAPSAHSETMERSISRPSLTHSSSSTIEDSQNSQLHLNQ VASSNEDTETLITPIEPPLLHVSIKNGSSPIKIEATIDEEIEHNSPVKQAKKGRLNLS KSMQTLRKKGEKGRERASSVGEKTTPPVPAIPAITEKKTISQPKTNVFAPSRPPMPTK QSSGFASFLRKLTGRSSTTPAPSSTPYEKPAIKDDKSATLAKRKTMAVAGNSKPSLPR IDTSKVEGVPASATSKAGQPSSAKQFVQSPVPVTPSIPLVRESDPLKIPLPPSPMLEQ PPTLPPGAAPAADFSTSTASQIGSSQQSTVTRKKAEAMLSLEGFEFEEEEESKSIPEL APVISATPVSPEKDHIESTDVVKPLAPIRIPSRTTTNGPASASSVRSSVFSSSAETRI ATPLSATSVVAPQLKTASTSPQNQNQGLSPEKIYEGMKLAGSSPPRKAPVGTGLGDLG RKESKWRKSVMGLSDKAKPVAKRQSAMPPPTSYDAYQAQQARIARNRQSCAPTLHSSA SIAAAARGQMNNMNLSKDEQDMAETFFMS
I206_00508	MSTFVLPPAFPIVGLPLVGAFALNLFQQTRVMSARKEAGVKYPT LYVSEAEANADPKKMKFNCVQRAHGNTIENIPYMLALFGFLSVFHPKLATIFMSHLVI GRFSYTIGYSSGNPLGRMSLIYKSSYLSLFGLFLTSAYVAVVKSIEILL
I206_00509	MSESTPNPIPVSTSEPTPIPSVDTPAAPSAPPVPQTSSTAPAKI PSPVKAAIPLSAPTSTINLPITQPAPTSTPAPLPIVSPATPAAPSNAVPTPVSAPSIP APNRNVISLDEEKKLELVKGMDAPKLAMLRKRTLELQAAGHTKETSSELGKLMMVLDM YAKAKQMELEKQAKAAAAAAAAQQETNGGPPAGPSNGATPTPAPAPAPAPTPAHVSMT PAQIAQLRSQAQAYQSLQKGQPIPTYLLNAAQGIHPAGVAGQPSTSTPYTGVEAKIAE KTAEALVQGAIDEKKDATPPAINTGEGTPKAAENEQKEGEGSGVSSDNEKIVTPPAST ENAPDPASAPAAPAGPPYAMEFDQSSIIYPYNAYIHPSIYANRKFDYEVTNPLSKMQK LVAPSLMPKGLDPYLLMEERNRFIETRMAWRMKELEQMSSTAGLGEQGAKDVPEIVDD EKKPGSNLGIQARIELLSLRLLGKQRLLREDVVRSMHSATQVPADRSQFRRFRTHALR DARATETAERRQRTEREQRGKQRHLAYINSICEHGQNLIGAGVGSSRGSGADKMKRLG RAMMKLHADTEKEEQRRIERLAKERLKALKNDDEDAYLALLGEAKDSRIGHLLKQTDQ YLETLAAAVLEQQNDDVHKDQQKLELPFEQEEGMASEEMFGARRQDGEEEGAERKAGK VDYYAIAHRVQEKVTKQASLLTGGTLKDYQVKGLQWMISLYNNRLNGILADEMGLGKT IQTISLITYLIESKRQPGPFLVIVPLSTLTNWTMEFQKWAPAVKTLILKGSPAIRKEL YPRLRAQDFQVCLTTYEYIIKERPMLSKIKWIHMIIDEGHRMKNVKSKLSQTLNEHYS SRYRLILTGTPLQNNLPELWALLNFALPKIFNSVKSFDEWFNAPFANTGGEKMEMNEE EALLVIKRLHKVLRPFLLRRLKKDVESELPDKVEKVIYTKMSALQWKLYESVQKYKQL PTDLSATGKPQKRQNLQNALMQLRKICNHPYVFREVDEDFTVGNTTDEQIIRVSGKFE LLDRILPKLFKTGHKVLMFFQMTEIMTIVSDFFEYRGWKYCRLDGSTKAEDRQTLLST FNDPQSPYQIFILSTRAGGLGLNLQSADTVIIYDTDWNPHADLQAQDRAHRIGQKKEV RVLRLISSGTVEELVLARAQQKLAIDGKVIQAGKFDDVTTGAEYEALLAKAFETNPED DNEETNELDDDELNELLARGDDELGIFAQMDKDRKAEKLEQWKNAGKKESDLPPVLMA ESELPPFYRRDIGQEMAEQIANEEEQGRGRRTKNEVRYTDGLTDDQFLAALEDSDDDV EEAADRKRKRAHNKAERKRMNELLAEAEAQGKPLDAVAEDSASPQGPITPLTVGGLRK KRGRPSKSATPTLTGDEPVPSKRRKIGGTAGAAPISGPELSLMQKLFTETNKLKSEAG EDLNQFFLAPVSKRDYPDYYAIIAQPIALSQIKTKIGKPGYTIQNLKSDMHLLWDNAR TYNQEGSWVFNAAEDMQEFFDNLWNEEYPRLSTQPNSLIVEGGDGLTSNGSGSSTPMF KANTEKINAPKIRISMGKKKIQAKVEVEDNEEEEEEQEEEDEDDDMGDDDY
I206_00510	MHSSSHGQELMTDWTPTLSSFGNGIRREKDSLSAVASPTYSHLS TAESQLHSPAAQQSPYPHGQTLVLNGPAPSYHHPYPTLSGSHLQPMTVQLSSRLSPLG QSTTYYPTPQAEYDVFQTPSRPGTAGGQVSPDPYLDQASFTTQHSNAYDGTTPLLTTT TLSRSYDSTIPSYYQTSTDGRRAWSQATPDKQPTASKRKRERHTSKETPAKALKTPNR SKQQQQQDENSGGRSSKRVRVESAPTPTVQLPTPPSSGKEVSIINLPPHIHLPIMHED NMTPIEEEPEVADSSARRGLVITRHHEEGRKLGLFGISQGELEETSTSNDHNLSPRTT TIPALTTDESGLASLSTNEVLESEVAWFEDRARGQRSPPSSPDLVTLRTPTMSHDRSL PDDYDDSPEAQAQRIAKRLQAFSDNNIQEEQPLISTRIDLFGRVAVRKATAMKFLGLD RSTSLTEETRNEDEDNWTARPVASSSKVVLKPLWPDEEAPWALAGGSKKEKMRKEESE KATLLKRYLETSSDDSGSDEEGLMTMYTTYGKGKGKSVSRLMSSSSSSTGSSNRVSRK RRSVGPDGVDANARSALLVSLRSRAVPVLPAGVVACVCGTSNTSGMGSMISCAACKTW HHIMCCGIEDESKIGSNWWCNSCENSSRSIIHTPSSHSVAHSTPRGRYSQLADPRSSA VKSDIGHIALAPSPMFVNSAHHSLASSGPGVTSTRTPITRVVSSPKRPERARMLSYGS DMWAFAEADGNAPPSTPAPIMGDRYSTPRIDDAPFDVTSTPSRHLDFNFGQPSLFSLT PLGGQGRSRVPSSMLIDGTPIRGISVARNISFSGPIIGSAPPGESIGTAISRSDFFKD MNKSTPGVREREENFPPVSPRWPVGLLGAHNLSPSPFAHGHKRNLSGNKLSSMRSSSR TGNSSTPTGLMGIGLLAEEKDEE
I206_00511	MSSIRILTASTVDNILSKLSPESALSSQAHVFKHFSKPSPKPKK QQYDLIQTPHRITVNSEETTMLFMPARAPTISNSTFESSTTTSIKIVSLPQKSNDGLP GTTLILDEKNGKVKAIVNAKKLTALRNACGSALFLQQFPNPTPPKHLILFGSGEQCNS HTILFLKLWPSIEKVTFIIRSETIRSNSLITNLSNQFPNVEIKSSIHSSSDSKGLNEL IKQGDIIITATPSNEPLFKSNDEIPKKGTRLILIGSYKPTMHEIDTALIKKSSIIVDS KDACLIESGELIDAKIEKEDLIELGEVLNEEGQNNDKKKIVLDKVGGEEGIIIFKSVG LGIQDVAITKLVLEEAERLNLGSIVENYD
I206_00512	MPLILHSGVIMGSGDRSIRSLPGVSPSFQSRLFHRHDDIPANQQ RRERPRSEYDHPLPPVAGTSDSMNYHHSFLPGSEFCEPGPSHSTSRFRPSRPGLKQDA FNQIGPSRLAPYVPADQRYHAPRPADRQWLGADGRRSEIQERPVFPPPPVPAPPHIVA SSSRANMQASPTTRERPYSSPFPRRRYSQSPEINTASMQAFNDRPYSSRLPSMGQTSM SAGHFPSNRSSEASHSHRLVSMSPSRYTHTIPADFGHSLSRTFSNESTHSHHSYAMQR DLSGGSSMSGSSYTGTSSFQSGQPYPGGGYKKKRTRALMTHMQQSGLMKLWRKTKFPT GADRERLGQEIGLTPRQVQVWFQQSGIISNLVKINKFTAKKNGIISTEPISLGFWSSA NQRQKGRKTLAVNGGIPEGEDPANYEDLQKSPRSRRLSLEGDERISAWTGESSNTASS RFLLDPPSAVSPSHNEPLIRHSRHFPHPYLQEDPASRSVISLPTTSSHENWDHTRPGR EPKGKERAQDPTKQSYPQNDPSSHSKSHPDLILRSPESYPSLHSRSRSLHNLLLPSID TQKYASPSNYDQPLSSYDTIHPNAISPSDKPFPSVLEPLYRPARPSKTSSTPLPPIIS PLSAGRSFRSDTRHNQSSGTPPLKRRRTSPETGTNFRFDGATNFTKPRPHMGDDKGVL DQESERERLGSIRKFGLPSSHLPPELARIALRAPYHSEREGDDDYNLPGIDDQLFSPS FQDGDAILSPIDHVSPSNQGDDVDVAQVPIETGDYKSSKKPPEDTQHDLGHDQAVMNE IGVAEETPTIKPISSNLRNLLD
I206_00513	MAEAIREEVVFPRPSIAEIQLTWKGIFSDPLISPSRLKATALTK NGLGEAAADGGIILRSVYWRLYHNLLPPPSSPNLFSGSVSIAREAYNSLRRRYLIAPD GRWASDCTGSETHLAPTSSSSSRSAQVSGSHSAQGDGWDPLSLDSSSPWKTWFAYVDL RSTISQDVERTFPDIPYFQLERVRKSLVTSLFLFSILNPDVGYRQGMHELLACCFLAV DRDSLSSEDRIENDMQTEAMWDTLDRNFVEHDSFQLFQAIMKGAKEFYEWRAEEGPIV SIFSRLPPSFIALKCGQSGELIDQEQKSRTPNAPPAPIITRCNNIHTSLLRRIDPQLW ERLETEGVEAQIWAIRWIRLIFTREIPFPSAMRIWDGIFAEDPGLGIMDFICVAMLLL IRNELIEADYPTLLTQLLHYPSPSGIYPFEPSLILSQALFLRDNISPTAGVEVVLQNQ DLLSVKVNPSDRVAEDHPVPRRGRGGISYRGSARGGRGRAGMGGLAQGLFERAQAAGL DKAFMTTVADLRKNLPDSATAYSYLPNLPFSPGTPSKENGSFSSIPSSSAALPRSFLT SPSATYFQQPQAVPQAAALPQEQAIRPKIGGRPSADSIGTEQSLKDAELEMAELRLAM VGMGKAMSEWLLALQPSIERDTGESEKENAWKGLERVKDGLLDAAGKETDQIVREWGW GLETSSSRAGTPAPDPEPYHPAESLVLTDNHAQTNDVGQNEKGTLEFEDNTTPTLPSH SIMSTQPAFPSPSISTTTSSFRLNNDASSSVLPRNPTSTIQNPDKSNLTLSSARSTPS AGLFRTPTEPNTAPLPPRPLSASPINQYTTTAVNSSQRQDPSANKRTTDGDRVNGDPL AGLGVTNRDTKDRRSGGVDPLLGVGVR
I206_00514	MPLRLRQAVAGRPNALSTRNVGGIVLNEKGYIIYPSGSNVVLTT PEGDLSDTLPFWASLHHRATTSTPGNVRGVCCSQVENIIVAWSESHVVLWQHSSTKKA GSWNNHSTVLASSAITSLDYISGTLVLGTQNGIEYWRMDPQAEVVVWDRLWARPYPTP SDIHISPASGHIAWYTKGQKSAYVLGTDRKNQAVGMAQEIRHPREISWIGWRKPSIGS VDSHLYTITTNSVFRIYSPVLDDPSWFQLLSSLDHKAFSKSAKLDTGKGKEPQSNQFG AMWVWDAEILREAVKGDLTRIREKQIEVPVNAVKLLQGLESEENDIIAWISENGEIVL RSIVNMERKPPTLLKTLPLSVSTLPILSASSSLSSAQLLYIPGPSAALHALLPPTEER PTATSHRISLIKLLSSKQAAIITESASTTLTETGRVQLSNPIEKFARTPNGRGLLAIC ENGEIGTWYKQQLSLKPAKWHLGPRTLIGRGHWTAPTVPSEAALFAKGRAIVLCTRSS DGHPIITLQHLDSNASEPREPLMLPHFNPKKDDHVEMLLAVSDIDDGYSGGNRRTQRA IITAATRNGEAWVWRVISRITPKEGDLETQPEIQLVSHYRLPLDDLHEEVIPKMILPV DPMGWHQSVIDWTTDTPLQDLILTISSDGDLEFWTPRIGGHLAGQQLDEKVKYGDIAC ENREVEKGDEAWIKTGTVKTGRQNILMARCSSRKKTVLVCELEDGRHEMTIWDSKVSE FSTGLELTHIFEQGDIILDLDWTTTSDLQSVLAVGFAHKVVLVCEQRMSYIETTPGWA PFLTIDMERYTPVPISDSIWLAGGALAVGTGNQIYLFSRFLVKSVALDEEEPEDIFQL IAHRNGPLVDYHPIQLGQCLLWDKADLVKGILLDLLKSYKKCEEDGKERIRFSRLDPS EFYSKRKRAKAVKVNSNKYDGLFDSFQPPQDDEDDEFTDRAVSELVDRLNGNIAFPLS TPEKSFLATIAQATLEAEQQRRSLDLCGMRYLYSLRMFANWDRLSSISNTPISASTST FPNHNMLGADHKEEKRNNHFSFRNIVWATHSESHELLLSASKQMCKDGKLLWKDARRM GIFLWLKPGETFKSQLEDIARNRFMQDEDRDPTSCSLIFFALGKKKVVHGLWRQAPSH KEQTLMLKFLANDFELDRWKTAALKNAYALLSKQRYEYAAAFFMLGGAPKDAINVCLR HLNDWQLAVALARIVENQEQDGKSIGPLLRWILQDTVLPIAFKGGHRWLASWAFWTLG RRDLSVKILISPMNDIALDFASDPDHPLEVGNPDNDDPSLLLMFQHLKSKSLQTAKGT NEVSTKLEYDFVLHNARVFFRMGCHNLALDLLRSWSFERPFFPSRTKQITRTQSIAPA TPSSIPSPSVSLSTISTSPISARPTISGLNNLTSSYIGHNRRPSFMLTSEKRRESLFM DIDVLAESSNVTSETQTPIESNAHTPDGASTPEEVITNGHTIHEETNESDRADKEIEE IKSPPKKIGNLMKELKQDVQQGGMEFNMDNFF
I206_00515	MFPHSYHSYTHGYPTQRRGFATQPSPAYHHPASYLHQSSPPTPL FDSYEQSHDLSIDEEEQAALAHLRSIQRRKEQAAAAATAAAREQALRQAAAARELATI QAREAREQALREAAVARQVEQARRKAYLDAVERRRNEYLQAQLIAQAQAQAQAQAQRQ AVLETRRQAEINEAGRRCQARCQRRLVKTSECPRTSCYCHTPLHIEQDKTHTDSCGCD RSVPVPAPTASTPRHELQDLNNLFGSLFGFQLVPDTQNDEAAAPAPVPASNGETTSQT KTAPTETVAEKPRVEVEEAAPSTSATPAPAPPAEKKEKDFPEDINNLLSQFLGLRVDP LSGSDSSSVVKAKGHGIPEGLNELLGQFGLVFEPDSESKEDEKNEESAQAPAVTTETK QEIPKAAPAESSSSQPAESSTSTSKPESQKEVPPFTSLLGEFADVNPFLRDLLGNFEH ALTDEWKKKGQQARGRGCARSCEKKDKGKGRAEGERKEVPKSSEIPTPAPAVPTSTPS ISQSNETTDCSASVAALDSIESQLSSLKSNFTFPSKLAFAHSEGSSAPPLLFNKTNST YHTQANAYLQLLLKADGITSNGDKSIRQRRKDLVRLVEQEIEKLENQRDELWKEVKEK RENGVESEPEEDEERSWSGSETTSVNGDHESENLEQKVEVEHVENAKKEEEEQHKSYA DVAKADEIEAQPINETVKNVENVQDEPEGYTISVTFPPEEAQQEQKEEKVEEEKKEKV VEEDRKSDKSAKVEDEKDEAKEEGYELL
I206_00516	MDNSPWNILNQYCQDPTQREWVAEQLTIAPIPFGQSPEDWLLAR SMVAEGMTESQAWSQVHTLRQNQNQNPQHNSINPMFASQTFQNQNGFHQDPVLPNLNV TNSIQPAYGFVSLQDVAPRPQQASQRYQEPRNPSTVPVFNPSQPQIPTQVLPSYAQNL SPEQLRQLYLQHQNLLRASAQANAVAGPSISTHLVQPSRQLQVQNATYRPPPIDLTLS DSPPRDQSVLSPNLNQSSRTQSPIQLIPPGSRRTPDLVPHRQLSVQTAPGQKRPLVPL PQNDNPNNLAKRVKSNSSSIDPPVQSNGIQLLSDSGSTAKPAEKTEPTMKDVREFLTS NYFRTGKPMELFKFLRKREKKGEILPPQFTPNPKQVFEIVSAIRDHAPDSYLKKMAED DRYCDVWGVWLFKAFKEIEKWESTIVPIFQVLAKTDMPFDNYEDARLRTRSRKVADLA MTKGLDSRNDIQLAYQKYEVWVKNHILPKAKKEASDDEKDDSNNKKRKIDDLTNDKSG TSNLKLGTSKLPATAPMVNGTKPLVKPAAASIASSSKVSTGKSAADMSFFGSTPSSSS STATKVIGKLPEFKKKPTPTTNAPSQSASSSASSLLIRTMQGLKAVPNPQVPISQSST AGQSPVAEVKKEEVKPRYNSKGKLIRNVRFKDDVKSEEGGGALEQVKEFTQEAKEFER FEWEPTEEEEDEVRGHSAHDLDMAEGAALASARGHAMIDWYDPTPYTESSHEVNTPEV QHQTIRENGSLALYYPPGLPIPDPTENDVVIIEGDISIRKMDPVNAGQDILEYQSGGQ YRAPPTQNSGNIIPPIQQGSIGNLLNSLKGIPLPVHQQQQQNTYESYGYNQPPPPPPL TNQTYQNGWTGTYETNHDERQWGNNGIPQNNYQRNYDNYNIQRETRPIDRDPNVPICR FWPRGE
I206_00517	MSYPPNSAGQDVFEEHELLAAEIRHNRRQSDTELRAEEAEREDL MRRYEDFSTVDWIQDSLLERTVQSKGPTNPFIARLDRIDGAFGYVWRLIRRALEEGES WVVISLVGVIIGISAGMISIITAWLSDMKLGYCTTGWWLTQKYCCLEITEEFESCAEW RQWGGIWPLGWIAYIIWAAAFAFSAAFLVKNFAPYAAGSGISEIKCILGGFIIKGFLS VETFLIKGLTLPLAIASGLSVGKEGPSVHVACSIGNSVARMFSRYDRSHLKMREIVTA SSAAGVAVAFGSPIGGVLFSIEEMNQTFSNRTMWRSFVCALVATFTLASVDPFRTGKI VLFQVSYDRDWHYFEIPAYILIGIFGGLYGAFVIKFNLQVAAFRRKHLANHGISEAVT LAIITAFVGYMNRFLREDMTESLSLLFRECEGGGDHEGLCQTSSQWRMVNSLLIATVV RTALVVVSYGCKVPAGIFVPSMAVGATFGRMIGILVKAMHTSYPQAPWFAACAPDAPC ITPGTYAFLGAAAALGGITRITVTVVVIMFELTGALTYILPTMITLLVTKAVSDQFGG GGISDQMIRFNGYPFLEKEDKEDTMDKAFIEPIANMMKKDIITMSATGVPLQQIAEMV QSTSYQGFPVVKSDTDRTIVGFVRKNELRYALDKARRTRNLAPDAICTFQHTPPLTLP PDKDLEAERLQRPESVVPGRTGSIFRTPSVGAGGFVRRESGMEAEEVDFGEYVDEIPL TVSPKMPLEIVLQLFRRMGPRVILVSDQGKLVGLVTVKDVLRHEVVEHQKHTRLTSAN TTISPLTTRNPYSHTREESTSSTGGGGWDLGLNLTNREEGGNNGLEIVLEEGFSWIRI QGSRIYNILHERFRFRGNTTNVDFDLNDE
I206_00518	MSNNSNLSEIEMGRQTSRNCNPENENESEDPKNKTKQNTVIDAV WGEIDLNDGSSPNYRNLGWIKASVLEVKTQIGLGVLGLPAALNVLGFVPGILCILAIA IIITWSDYVVGTFKMNHPEVYTVSDVGYIMFGPWGREILGFAYLLEVVATGGASFLSI SVAFNAITDHATCSVVWAIIGAVVVGLLSSIQTLGKISWLGWVGLVSILSAVITLMIG LGTSGKPSLAPAGNDWQIETKAITSPSFVEAINAVCIIIFAYAGTPNFFNIVGEMKNP KDFTKSVLVGQTAITTIYLVVAAVVYHYAGQYIASPALGSAGVVLKKVCYGLALPGLA VGGTLFMHVAAKYIFVRVLRNSRHLAKNTPTHYIAWYGSVVLVCTVGFIIAEAIPFFN DLLSLIGALLATVICIQMESFMWMWDNIRSPSRGTTSWNLLMAMNILFFILGWFLMIA GTYGSVVTINDNFKSGDVGSAFSCADNSS
I206_00519	MVSLNQHSVRALSPITELKTPTSLRTLRLPLEEADYLSERSIHH EGDDDASVYSQSSAAETVRGPIKQQIEDSEPVTPPKRLRTLSSPSNPTPPPRSPRQNL PLSYNGSNPSLVRIPFPRQNSTSNTTPCPGGLPPPPRSTSVSKPKLIRRVTPPTTPTT YPENQAGVPIDRSNVILDRMREHSAIINNMAGVGAGKSLSQQNANPSPSDDTISGEEG KSPNSDVDYSPIKVDVLHNVPIVTVDSLDEVARIPPQSRPNSLKKEPANLRSRPNSFH GSSSRGQSTMNVVSPALGLYPVAIPGPVRAEDEVVDTIDSHFPLKDSVRPILVSHQSD SSLHIRSKSVRSVAPARKSPRRRRVSSIFSSIFGIESDKEHVARKLSKSSRKTSGSRG SSRAPSPNGPTSDSPVDGGQGDFIEPIQTAIRTSGTFGIRDDGSELSNNANKADFGSP RHNFERTGSGNGSVITHSDGVKRVLYVENLVGTPEIEEDKDPDVQQTTRQLSGDGEGL SNQIRTPPHSKVTPVAMLGLLPNGSSVHHSPLIAAQSSSELFPPTVRAPSFTVLPLNG STPSPGTFKTCNHYRLSHGPGSTTTSTDVQYSSDSHLPLGGTKENLVDASIQTSLQSS PAKSARSRPLPRPPSTGTTPVGTPQPTSIPPFPPSTLPSADLPLLIASHLLSTHAAAL IRHSSSMKEVSETMHRMARESLDWGGILMGMANRTDSSEETHNTYKRSEDSNGYEGLP FSRPSSSRPDPAPNSSAKHEGFHDPRQEAYDTLTRERAARPGIPNISDLQPRGEIRKR KGESLPADLLKDAERLGAEGWTNLHKAESAWSEAMRGLTNLIRAQSIAESEGQEDEGQ SPTGMASILPLPEQMGYLPAQVAHPRYSATNFGHHQTSIIASPDIREHTSMSFLPDEH DMSRSPITPSASSQSHFSFPQAHVQGHPFQQSQPEIKDHSQNASERTIKRPQSAQLPS RFHDRQFILTKPAPLEPQACSQGYTLEHLRFISASHSPVSASIIMGRGEEKKTPSSRS TMNSASSHPSTLSYSHSQEGKSTTKTTKKLAKKYPYPPTSTSDKASRILGIENVPIPF NGGAGSKNGSLRSEGGKKHWWNRKKDN
I206_00520	MTFSQDLSIDTRYSLQAPCGLPSPLRSSLSSHTRSSSTPPSTRL STAQHSEDHDEYREPTSLDDIEEPDTLMSCFGTLSISSLPPISPKGVNASGPIITRFP KPPPPRPSSILGTREEQRKIYLGPKLSDRATGGPDAGPLIDRFSCLFQDQYDCVSNPK GIVSLGVAENFLMQEECLELISTALKDNFTPLDLSYGDSLWGSRRINRALAGLYNDYF DPAEEVKPEQIITGVGCSAVLDQLFYTLMDEGEGILLAAPYYTGFDRDLIGRGRVRLI PVHVPIGEAAFGPESLKMFEEKLEEVEKEGIRIRAVIVCNPQNPLGRTYPRSTLLAYA KFCEEKDLHLVSDEIYALSIYDNEGKFNYISYKQSEADRLKAHLFELNSDFPQADPFT SMLSLNVEKELGIEFDKARLHVVYGMSKDFCSNGLRVGSLISQHNPLLLRAMANTSML MKISSPADVIWSTLLLDSKRLKSFINTNKLKLTESHKFTRNWFIERGIEVSNSNAGNF IWVNLGKKLKFENSIIEKKIFQKLLDGGVYIAPGSAYHYHIAGWFRVTFTVPRGNLIV GLGRIERLLNLRPLSELKFE
I206_00521	MTDFHPPPSADLLALLQDMRLSVPRVIQSPPTQPRRASVALIIR LKPAPELVFEGHEQEGYTGEVIKQSDFGLGYTLDDFFRLPWVNHPNTTPEILFIRRAP HQSSSSAPSWSSHIAFPGGRHEPDDQSAYFTALRETWEEVGIDLAEKEFLHVGRLDER EVTTSLGKRLLMILSPFVFLQTSPFSPVPELQATEVSSVHWIPLSLLAPPFDTAQWSQ VEIDISSRLSPRNTFVRWCLRNLIGKMQFGCVLLPDEPDTVAEGFDPSLAFDDSAEGS GSWFNDGKRHLRLWGLTLGMTLDLIAHYPSSTPAHLVLPPTSSRSSSPTASEFNHDQI RDSADFISDPKTPVTVTSSFEDQWEAARLALEEAKKNRTPATGSVGPGMTAVFPKFSY PDVNFWIWVFGRRYRQVIQGWENSVRGPDRAADRRVNWSGQALATFYSAVRQALVVAI IMRALLSGIGIAGLTWIFVKGIGGFGGNEL
I206_00522	MSSFSIHKAALEGQSGLIRSILNDDPKLVNSKDEDGRTPLHWAS TTGNLNVLQLILGYNPNLEIKDSMGWTALIIASASNQVEIVRELLDNGAQVDAINEKG QTALHYAASKGNVSIGRLLINKGADINAKDRASQFPLHRAATTGNHAFLSLLLNPPEG RAKTRLNGADRAGNTPLHLAFESGHGDAAVTLIEAGADRERSNSEGQVPEEIDGVGGQ EQKNVRQYVVSKVGPRRD
I206_00523	MSSTSDEDLTTSLLSLSHLTPSSHPSHPFSSWPSIPPNPIGRLI LLTPEITKNAMNECIKTGKRFSLDWSIYPSGARMYGRSCGKHVIKRVDKGPSTKNDSK SINLDNNDLEEIEKNTFHPCFDDFIEINTQGSTQWDYFLHYSYPKSGLFYGGLTEDKI KNEDTGDFGISAIAKVGGVQTRGILLDIPLYLSKNNLPINSPLSNPPITKLNFELFLK VLNFFKIKPKIGDVLIIRTGFENSIIEFKNDIKINSWWGIEQSSEFIKWIWENGIVAV GTDNPTFENWPVSPNELQLHPVLLSGMGIMICELLRLDEISKQCEELNRWEFFFSSTP LMIEHGIASPPNAVAIF
I206_00524	MAGDFAFNSVPNATAASWWNDPGLRKLVFFMAVCTSSAIGSGVD GSLINGLQIIPQFNENLGDISDNHLGLVIASFSLGALPALPISAYLMDKFGRKSSLLL GCLSVIIGGISQSFTFGPNSFLGTRFIIGFGIALTGTSAPTLLMELSHPRLRGQASLL YNCSWYIGAVIIGWCTYGTLNWTSNWSWRMPCLFQIFPEVLLGIVTLFFMPESPRWLV SKGRNEEALRILAKYHANGEENDPLVRLEYSEICEALESEKTSQHGTSYLTFLKTKGN RHRLVICVLVGFMCQWSGNGIVTYYLSPILTAAGVTSSSTQALINVCINIWNYPWAIA GALSANKLGRRTLFFISTGGMLICYIIITALAAEFNKTSKSSIGYAQVAFLFFFFASY DFGFTGLQSAYPIEVLPYSLRAKGYSITQFCIYVALFFNQYVNPIGLSHIGWKYYIVY DVILVVFLILQYFLFPETKGRTLEEIKEIFDNTSLEASDAINQSRAVGEDEQGEKKYE EHTFVENIPGRV
I206_00525	MVMDKGKRPEEISDPDLVDDIQETQRAGTVNGHSPFIGFAAGIC SGWTKLVVGHPFDTIKTRLQCTPTGTFNGAWDCFRKTISKEGPRALYKGASVPAVSWG ITDSILMGSLHNYREVLLRHGFAERTLKGDGERLSLLGHSVAGLFAGWTNAAIAHPTE VIKCKLQLQLVQPDHMSKQFSGPVDVVRQTIAEQGVTGMWKGLGASFIYRSCFAAMFG GFEIFNRLFKSFDGTRWEMSTELANFLAGGMASNMYWFTALPLDNIKNRIMVDSIKSP KYTGVFDAYGQVWRETYNPTKGFTWNTIARTKNFYKGFVPVVLRAFPTNAAALAVWEG VMRWSNAKS
I206_00526	MMDRPTESTRFHLAQRYWRDATFLEPFLLPVWQVHLICDQKIAL QREPPNGIVEIGPQQRYYSDMGLAISAVPRDHWASGMFFPWNIRANVETIEHIYEETK TTSIDCLPSETPDIYSDPLPHVLDPFMPALWNQRSAPKFAYGPPDLKGKEEKIILLQA EEGEPGEVYEAFVPQGIKVYAIPVYRLMYRATLKSGMAVMDATLPILDVNTFRIDWPL GGIRRHNKIGHHQRRMTGSGYMHPSEIINAAFYPEENIQHLVDSLFEAMWARGPIKPS MWENERIIPEFGPQKDGNVITLSEYNERCILGQDPGPEPTFPIKKVEVASKTSLFNPR NPRNNNKAKSDQQPLDTDSSSTTEERPSRTAASRTLGQSTLLRRRTHYRDQAGIRLGN VKKSIRMSPEEEYVTKLPVFLPDPQGYYATLGISQPAKDFLKSEKRDYIDDLISDRRN KKSFECHPDYGGSHILQRELNEAFYHLESLEKRRQYHARSKGLSSLVR
I206_00527	MALVTIAGFPCSGKTTRAKQLKEYFEQRIRSTEYNGPNLNVELI DDDISHVPRSSYDTSALEKPARASLFSNVSRSLGQDTITIVDSANYIKGYRYQMYCAA REARVRVATIHVVASPDKCAEWHEKRGECSYKPATFDNLIMRFEEPSSMVRWDSPLFT IPWDEEPPFEEIWNAILKGDKKPPPAAVLQRNKPPPNTLQTLTKTTQLIVTSLLSHIS SLPGTTTFPIPSPPSPSSNSLILHLPPGRKLTLSEMQRLKRQYESVMQKGQQSGGIAA SGNWSEEEVATGFVRFLEQLWETS
I206_00528	MPAPQYAQDKPPLKQALDPISSPYMSSINGTLSIAKEVLMGSFQ SEHRRSESTRILSDLAPSLMQPKFLNAASTYGPSKSLGKPPSRPNSLLRLPTALPSGL SNVGTKPTSSSLAILEAVDRLQSLSLIEENQGEEGEQPSLIRGFKATIPSSELAKQRR RVIRGDIVDADLGNSKLGLKKLGDRARGLLTNHEENHLEEDELALNRKSKRKKRQRDH NRRISESRRQLEGKLKSEDLVQQADEIQQDKENLHVRQSLIHAEILEVSAKIDVLEDI RRRLETSLLHIQEEDLELDDELEGVQELMASPAVKSAAGTRALPASASAAITKKSSRR RKGPAFLPSEHDELPAGVAFMTLNGHTAPITALDFNEPYGMLVTAGQDDIVKVWDLCD GEEIGQLRGHTGTVKALQVEDTLCLTGGSDGAIRLWDLRMVEDYEERLQSQLDELARQ DPLERIANQRHFDTLREGDEAHILDESIGEEKGEFDWDEGPSGITQASQFDISSPCVR TLEGHSKSVTALYYEDGCLVTGSSDKTIRQWDVATGQCVLTMDILWAISNPPPAPTAA PAAPPRLKHRSSTSFGSIHYDDILPSPGASLVGMSGASLLSAVAGNQFAVPTPPYADG SWEMYQDFVGGVQFWGYALASGSGDGGVRMWDMRTGQAHRTLTGHTSPVTCLQFDEMN IVTGSLDKTIRIWDLRMGQSSEIHKFEYPVTALQFDSRKVVACTGENGVEVYNRTTHQ HSRLVTNGHIKPAEKMRFIDKYLVSGGRDGAAKVWAM
I206_00529	MSASPASIPVLDQSKSSDKTSFSAPALATVPFPPSRRDSIRPPS LYSTDDKEMPLPLTLSQPPISAVNPEVSANAVAGPSSAPITSSAKPLNPTMNSGMRGT KQKPIIVEEKEEGEISDDEIVEINPIPISRPIPQRQRSPPRPSLPRPPSPPRAPIQSA HSISVPQLSQRITQPLSRGQPPSAPASHLTKNQRKKQEKKARQAAQKFQIAQRYQEQL RQQYPQDHRTPPHIQPQFPRNPSPTPSMSIASDSAKGKAKESEREEEAEVSLELNDDV AQTAENLSPEEAEQYIDIIRNLISEGVSPDTLVERGAAPEYVMKVCQEIVDSTKKRKA LWLESQDQPREESEASSIPLLEPNKSPSPEVEIIADYNESGIPGLTRIKSDGSNQSDG TSVIDRMSGPVNPEKPMFAHPIKVESYKPGQTSTSSSLPLRPTTLPSSDVTHPRSDPN SLRKVPPHRRGRNRDIDNTNDADSLQYNDEVRSLSSTSLPRRPPSTTVDSYNPFAVLE SIPLTPPFSPRALPDGIYPPPEPVSLPPPAPPALTAEQTLQNTLLETRRKALESMKRR RAAVPKTIPTAVPPMQADATSIEAMDISDDPNSDLQRSIEEQMASIEREVMEAAAAAT TSTGIEAQAFTGESKDAVIVGTEEGMDVDDEEPEEGEITPSVDPTPLPPVDIVLPATL LSKPPRGIKRAHAEDLNENKSTSIPSRSLPPTKKRPFGASQRAQRLVLHLDDSDSDSS DDEEDGNGMQTPIHVIVNGDVDIIERQRMLEEKEASIRKLREQIAARMAARKTKSIGD TSLNGGTPLEKTASGQMAEVVKNALQPNDVESGIESPIPADVRQLTRDLVKAEAEVEA MEVDSSPQGNRIIETGANKDETFTEYQPLLNRYPQLGSTNLDPTLLSLIQIDTMPESV DVKNENKVDKPLLNSIVLTRLLKANPSLVACQAEISGGKCADRKCKDIHLDKGIASTG K
I206_00530	MIIEITLLLLLILIEAPLNDKEMKCDCTCKCGKKNYIPSKYPLV FQEDDLNVKEKQDEENNNIVKDFDSEEPEYVGQGYEQEWEEVNRGSNPQPSYGRKLRS HKPLVLSGGSLSRYEKGRDHSNRPKPPHLDRPVPDKQSFVLGRQKKRNRDDLWCDHCQ RSLVDLVALQQHNFALHPKVPIMSRSRYDIQQARSVTPIFDFSAYICEVCQEEFITSN SLYQHKAMQHPWAVFCSDCLTDFDNATDAQDHYHFIHNTEWKKPRQIQHILDTIKGSP DRGHATAHLPANQSHGRSVALDRPLVFPTHTIQTYYNCNECKMVFGDPKELERHKATP LMHGCRIYQEEDFPPLGAEFNEITKKSTSQVNAISEEFDRSHPNNWSMPNYTMDEKEP ATIEKVWTPQARLSPETVPPDDGSSESLFSLENTIQQGAAFKTYNSNDTTGPMAVKDF DMQDSHRNAFIANESGVMQPVDQLPQNSSPRPTPVDTEALNEFALLQNNAETPEARAS RRDAITTDDERNIGHITTLTDPKESKVSTDLEIITSKHVSQTVPNNIQLIPYARAALA SASRITEYPPADGSSAVTSDVYELSPELQPKVIGGIECHVPSFFFSTTPSISPSSEAL SEALSHTSTSELTLSGTSNSSSMSNSLIIQDGQDDTAQEVIEFAHSEIRQNISLDLGS IENGNRPKVKTRALTARQARLLRQSNNGRKETFVTPAQRELYARRDKEKKQIKAPLQP IFDSEWSRIELSPTVGLTGGQGGRIIKESAWDRGIRETEERNMMIAAGRGEVDYGGW
I206_00531	MSDIQSIKIISTEVIDIPKPHVVYVIQISTPIRTWTVKRRFSDF ISLNIELKSTVGKDPPGNLPPKQWSLIKSLTDEQLIRERSLILEQYLILILNSKNSIW RESFGFKDFLSIPSNLKNLKLVVEGEESEINFTIKSWLIEFKNLENLLKKIRSILLKR DSFALYQGNSIDSRKFSIESKKLLNEFKKRLEILEISLNNNFNFLKELSKGEKQRREE LIYQLKIEYNNLIKISEIGVKVNNNNDSSSKNSKILQNENSSSSSSTLTTTTTTKTNV GRIFGQKSLSTTPTPLETNETRPLDDENLIQLQNNKMNNQDEQLKELSNLLKKQKQIG QEINQEIQEQNDLLFEIENDIDKTNRKLGKAKRQLNKLG
I206_00532	MPPKSKAPKDDKTFGMKNKNKSTKVQKQIATIQQQQANAGKSKA DKAKDAEKQKKDEAKAVALAKKKMEAELFGHAQIQKVPFGTDPKTVLCVYFKNGHCEK GTKCKFSHDLNVGRKVEKLNIYEDARAQKSADTMDTWDEEKLRNVVTQNSVKQTNATD IVCKYFIQAIEDKKYGWFWECPNGGDKCMYRHALPPGFVLKSEKKAAAEAAKKDKISI EEFLEVERHKLKAPLTPVTPESFAHWKKTRIEKKQAEQEALEKAKATQRAAGKMTGMT GKDMFEFGGELYEDEEEGEDDWDISRMLARYREDDTRPEGYDDRETIEEEDEGVEAVA NGVEGVKVSG
I206_00533	MAPKKEVKEKQVKGDQAEEMVLAYMKETNRPFANADVSANLKNR VPKAAAVKVLATLAEKGQLTVKPYGKQLIYLYNQSLLEVLDPKELASLDKEIKEIKEQ LEEKRKELKSLQLDLSSKEALPKTKDLAKEIERVQAENDITLKALAPFRSTSNGEAAI NPMSAEETKKIDNDFNKWRKEWTDRRKIYKELLGMLTDGGQIDNTTQFEEDQGIVRDD DEAIEVEKGEFVALSTPIRQIVKRQTTVQKVVNGNGKRNEPNGIVESAEEGAKKKKAK KA
I206_00534	MSKSAAFFEAIENRRSYYNLTKESPLTNEQLKELIEKSVKFAPT SFNGQQSRAVLVTGKKHEELWDVVFQAYKLTLGGNKEQEIFWEDKINTQYKSGYGSVI FFEDQDVINAFSGKMPFLSQHFPIWSENSAGILQYIVWTALEVEGYGASLQHFGGFVP QVQTDVNKLFELSPQWKNTAILPFGIPSGPPGQPGKGDKTFEPIENRTKFFFD
I206_00535	MRPTVPRLVNILVPVKRSIDYAVKIRVASDGKGVDTNVKHSMNP FDEIAVEEAIRLREKKSVKVDSITAISIGPTKSIDTIRTALAMGADSGIHILTPENTI IEPISVASALKKIIEDKKIDLVIMGKQAIDDDSGSTGGILAGKLNWSQGSFVSKLELK ENGEINITREIDGGLEKITSKLPVIVTTDLRLNEPRYASLPNIMKAKKKKIETIKPED LGLDFTPRLETISVSEPAKRQGGGKVESVDELVSKMKEAGVI
I206_00536	MTRTAHHPHPTPAFPVYCLDWADDETLILGGGGGASRSGIKNKL KVCKVTKDGKSVNYLSEFTLSSEEDAPMTLSIDKTGKQMITGVNASSSIVKDGNNQHC RVYKYSEESVEFVKGQKTIQAEWSDDYPYQKLTSLSPSNKFLAIGTTDDKVTLLNYPS LDIIIPTFGVDDELVDLDWGGEDGTLLIVTTTKSLYIYQVKDENTVKLELKQTIFTPS LDLAPVAFRSAKFSRNSATPLVIHAVLNATRAAKKGSPRKSFVVSFGLIASSSESPLT DEELKTLEKHEEDSSPKDSKGEDLGKWDVIARREVGTKPVTVFDVSDDGKLLAYGCSD LSIGILDSKTLAPLLKILHAHSFPPTALKFNSSASLLVSASADNTIRVIVVPASFGGI STSMLAVLLAILILILALFLRR
I206_00537	MNHGDHSGHQGHSMPGMEEGIQTCSMNMLWNNQIKNTCVVFKSW HINGSTSMFISCILIIGISFFYSFLLNYIKTFDRKIALSIYNQNSISSSSISSSNSNN NRRENGLNYNSIEIGSLSKIGMIKLSLSTRIIRAILYSISIALSFWLMLVAMTYNTYL FSSIIIGAFLGHITYEEEMDVGSVLAGGNSKGLACH
I206_00538	MTSFDPFAPSPSAQPRDQPHPLSPSRVAISVKSLVNSPVIDTTP SLRDVKGKGKAVDFDLETNLILEGGSNEENDETDSEREDVEDSDTEDENENEENYEAE RLRRIRENQLILAGLGIEGSSSNLGIGSSSLARIRDGKSPPPGQIGNTNPTRRKRGEI PIFDRSGHIISLPPEGQTHKMACIELPSDRRLRKRISDGEYTDCSRWSDGETRRWKYG FGTGPKILPEGEEEEIGGVTKEFRWRRWRGLNKELRREMRQRGELVEMDARPLEAIIP EGVTAYSLIPGETCHQCRRKSDKPKMKCRNINPICRATFCETCCKRYNYFDFDEESRS FICPLCKDCCNCSNCIRKKNLAHLLSANKGKIQRKSLKYTMGVESNREMDVQTWLENA VKDISRAPFDLVRIVDYEKDVISPDLPLEAEEDIGEKIVVEKPKKMRARKRKLGETPG DPSTANPAGDVDKPKPKRGRPRKVVADGSANSPTVKKSGIRKTGGLVIKLKIPKPPAI ETTVTKRPPNQNKVKEVDSDGDTVGDWSDGEPDEQGSESPLTTLSSLSSEGPVIPPRL PFPSQPFYASYPRLDPISSSQTGTTVNATEPLRTTPFVNPHSLPPALGQTSPEVDNDS PDRKRRRPPPRANIIRPPRHSSFSTSHSDAPENDEIVVEQQPSNGLMMDGVGIGPRNL TNDTHNQTSATSSHYPPLSHQQQQEQQLSQVQIERVDIGSSALTLSGPTPSQILNEIH NHNSYDWSGHSRYPNNPYQNHPGYQTLYPPSLPLPHSHQPSSTSPAFSTLPLPIDYSS SYQYSSVYPQAINPMRIGLNISSGPPPYSHSPIHRNLELNPDTSIEDHFLVSPQRPKL PYVNGRFDSNIVGPNQEQTLNHLDILSLAAAEARHSPQPPYDSGNK
I206_00539	MTRSTPLELRTASPSPEPSTSINTMWFPPLPHSQLHAPANTEEE DSDQSSSSRMNTYWASTYEMNHAQTSKPNSVNQPFQRPILPRPVKIESYTPRRGPVYP FSQTGNEIQEDTSMVKLNGRLPHSGGLVPSSLGKRKAESEIPEDMDNTSRPNDGHLTL STSENGGSTSSSVDTKPNIPIPILPIPLPSKRFKKRPTAKALLIPVEQLPVFALPPMP PIEDPDLLKQVFTHQSLFERAKGQFEDPIDNPSKHYEKLEHVGDSILGMIVTTWLHES RPNLTIGTATRLKAHLVSNATLSHISGLYNLPQRLNGHPELLPVLRAQTDVRAALIEA YIAGLYFSFSIEKRLNKGLKKIDKWLREMYEPLYDFFYNYMKKEFEQHYLTIGSTLDG KVISLKNEEELKNLDKLTIGMSNLISMYCKNQKRELNWQEYKIQTNQGFLWKIKCQID GIEISESIRSFRKSAKNVAAWEAAKKLGLTVSLTISVQDLAN
I206_00540	MNGSGSNHASSSSSPSPSNIVFIPEGVYDPQPQPTFDYHSLDGQ DPSICIDNGAHSWRAGFSSMPTPYIDRPNIIARYKERKIGKNIMLFGRDTEVDANSRS NIRSMFDGDLLIHSDLLECTLDFTFLTLGIDSPRIEQPIVMTERLANPLFSRAMTSEL LFELYNVPSVTFGIDSLFAFSRQKHQDGLAVNLGHQASTVIPILNGKGIMSRAKRIPW GGAHASELMLKLAQMKYPSFPTKVTASQATFMFRETCYFSTDYDEDLRTLADPAKLSE MTKVVQFPYTTPEVNEKTEAELAAAAERRREQGKRLQEMQAKQRAEKLAKKIADLEEH KLIQSERPQYKKAEFIARLREMTDFDNEADLDNYIKKTELEIRKKQRKDLGIEEEPEE EPSFPLVDRPDAELTEEEIKEKRKQRLMKAGWEARVRAREEKKKERARVEEEKRLEDE ERTTNLIGWSARLKDEQETVIARIQERKKRKAQLGDRKSAAAQNRMKNIASLAAEEKS STSKKRKKGEDDDGFGMDDSDWHVYREIGGDEESEAEEDDQQLLDSLESKLLQYDPSF NEEQTLIGRAEAKNKLINAFVRGGLQPGEKFDYEDIRLNHQLHLNVERIRVPETWFQP SMWGIDSAGLGEISGWVLNGFEEEERKRLMQCIFITGGGAKIPNLIPKMRNALTPILP FRAPLKIVSSIDGGDPRLEAWKGMAEWSYTTEAKEARVTRAEYEEYGGEWLKEHRWGN VPP
I206_00541	MSASIDSAKTEETVLTPDAELSELNKAIATGVIPDSPLSREVYI NWLRFPDCILLTRVGKFYESYFEPARQLSSILNIKLAQKTYKLANTETESKSTKSKSK TKETERKFPFAGFPVAALDKYLKILVQELGHTVVIVEEYDEEGSIAYVGKKITAATGP KERRVYRVVTPGTMIDEGWLDGNESRFLLAIAMNDQRAVVDGDATATLSLAYTDPSTG EFFTKDTFLSNMEDELARIAPREIVLDRSLRAAWQDDAGEPLRSDESSIPGLFKLLQV LGVHVSFADPSRPPPLWATGVLSPDVSVRPQTTENVAIALLRHHLQYALRESMPALTQ PNRQVAESQMQIDAATLQALEIRHALRPGGLVAIGERQTISPVSSRGTLLSVISKTIT QSGHRLLIRTLTSPSTDLDLINKRLALVQSFFDREDLREEIRDILRNVEDIMRIIQRF RTRRGSSRDIWDVGIWIRTIEGIIARINEEIAYEIQNYGNRAKSEGVLRLQELTRTFA PLGELATKIEESIDENAILWNPAPDDIDDGSEDPGEAMLGEESDLLDKKEAKETKKER IEREKQEREDRQWWILPSFSQELQSLHDDLAQLKKERDKLQADLVKRFSTPQLKLVKS VRYGYHIQMTISEATKVEKARSLERIGGTSGKIAYFAHGAWSALGAKTEIAMETLSMA QRRAERDLRNLVIEQADAIQVNAGLIDELDLTMCFAQNAKEMGWVRPSLDNTSDLNIV AGRHPSIESSLLQSSRSFTPNDTLMTRSNHLHIITGPNQGGKSTLLRQTAVITILAQS GSFVPAQKAKIGIVDKVFSRIGARDDLWRDRSTFMLEMVETAAILKHATPKSLVIMDE IGRGTTLQAGVSIAYSTLNYILNNIGCKTMFATHYHELGRMLGYEDEYGSPTKKGKIA RKGVEYWCTDVDEVDGAFSYSYKLKPGINHKSHAIKAARLAGMPDSFLHTAEHTLKYI QQTGRFDPPAEAISS
I206_00542	MYAPAPAGRTSDRTPLLVPKIASVQHSSHQYHRRINKRHPVPLF SIIFTSIILLVVAFLAWDVSIYGHCYVKPLCRALSGRNGLEQTWWRNQGPYSPFRSQG PGGGDKGLPKGCELSQVTILHRHGARYPTAGSGQCILSALQKIENRQVKVPRGYPEFS FLLKEDLKLSNWKFDELMDQGRKQSWISGRTLKQQYKQFLGQAEGIFTRSSGGGRVVE TAGYWLEGFRGERFKLKKISDLPQVDVVIPEGEEYNNTLSVHSCPAFQNLNPKPSEIK FKDFSPLLEPTLNRLNNILKPQPLLEMEDLICLADMCGYDSQSKGENWEGWSKWCSIF NKNEWELFGHLKDLKRWYDLGEKSKFGKTLGSGYTNELLARFKNSKVFDNTSTNHTLN SNEKTFPKGGNFFFIDFGHDNEILEIISTINLLNQHRPLPINSIPLKRTYIISKIVPF GAKLTFERISCKIGNWEPDPEITIKHNDSNRNNDGKRDYIRILINDKIESGNHPSCEF SGLADFGLCELDSFVESQSFARELVDWSICYEDQNEI
I206_00543	MQVTRSIQTISSTSFRSASASSNIGRRLRPRSAQADRYLAIHDF FTRQASTLAANTTSRTAPFTTTKPISHSQKSSFQKRWSSTKEESKAPCPNCQTSSVSN TPLTPPPPTAPTHNQGHAQDYAPFIRRLIKNSGAIAQNSPHRPTKEELLNAASGWWQR LRIRLKWFTIRGWRRFNTDDMSAFASFFVLGNTLWILVGTTTFVSAVFATLNSLSLQE YVARWISDYMTYNTGVTVIFESAIVPKWASSTIVFRNVFVSRRPSTDDPEPQSHKTKQ SKAALAKPPSPIPFLSSAISPDTYLAPPPASEADNYTMFDVNFDEVEVSLSLKRWLDG KGLIKDAKVKGVRGVVADRRSVWWDMSKPLTPADFRHETRSGDFEFDSFQVEDALITV YQPGGQRPYNVSIFNAMIGPLRKRWLFYDLMCAEGMTGQFDNCLFSLHMPQKLGKTRD DGELVKRMARFRIDGMPVEHAQYATGHTGPISWITSGKLDAVLDIKFPFHPDDSVDIK AIFDEISRNVVTITQQGVHPEDPNIPLNSAINAANEANKVIPGQARLARPPLRAPKLP IIPEKEMEDRRQVVVDIDLRFRDLKAAVPIYTTDLSVTNNALIRPIVAFINANRTLVP IHCQVAADLNDFDGSWTLFETGLMTSISDQIYAALAHHVSSEAANSKRIRQVGYWGIQ RGAEVLVDTLRNVVDPAHAQLAPN
I206_00544	MGNISSMARASTALDSYVAELGNDISYDKSLSSSRFLKTILAKH AYGPIVLKIFIKPDPAMSLRVIQRRLKTERDNLADLPNVNTYQAFVETDKAGYLIRQW IGFNLYDRVSIQPYLAGIEKKWIAFQLLTCLRDARLRKVAHGDVKSENILLTTDLTLL LTDFSSSFKPTYLPLDDPSDFSFFFDTSSRRTCYVAPERFYTSDSKLAEEKRKVPGEG EFEGWGKRDGKVTEEMDVFSAGCVLAEMWTDGRTVFNLSELFAYRQGTVGLEGLLDDI DDNAVKDMISQMLSLDPSSRPSFDRILSMFRETIFPEYFYTFLQDYTTSLSEISDQAT SDNTENTFYHKSAGTCGMKIDRILDEWDSISVHLESGPSIDGPALLLLNIVTSSIRNC IWPSSRLHGLQLFLNLLPFLFDEDKIDRIIPFVVELLSDEVAIVRAEACRTLVIVVET INSITSQNATFIPEYLLPQMRHLSTDNDIFVRATYAKGLVRLAEAAVNMLEMSQATKA VSGPGPESSGVIEPDYDSMLQEIQTVVEEQATTLLVDISAPVKRSILASVSDLCLFFG RQKSNETVLSHIMTYLNDRDWMLRLAFFDGIVGVGAFIGVRAIEEYVLPLMLQALADP EEAVVARVIGSMTSLTSLGLLARMKLWDVFSAVRGFLCHPNIWIRQGTAGLIATAAQN LPPTDVWCILYPGIRPMLHSDVKEITEASLLAALISPLPRSTLTAAKVAAQQNTPKGY WNVPQTKGTTKAALVKNSQEKPNISQQLRDKGITEKDEKKIEVMKDFILKQAHTAKAR GDTDSTTSLPEVNLVTGKAVSLTDLGVTPQTIFISPRTIGVDAKADLKRLRPYLSESP ISRRTSFASRSNKGPVENPLDEIRKRLATLEPISKTDITSIETPLPSNAESNATPSES GLSSSIDLAAMSRSGRKKIDSKAAPAIGASHANAIGTTTIHDDTVSGRTTPTVTGTFA PFTPRTTAPYSSSYEGQDPGVKAFLEQVDLENYREPLLDLGPRVTASQRKRAPRVKNT TSTPQSVTMIAHLTQHEGAITSIVASPDNLFFATSSDDATVNIWDSARLERSVTTKPR LTYRMDAPIAAMCRIENTHCLVVSAEDGQLRVLRVHVTGSGGSTKYNKIECIRSWKAG QEEGYVKSVTHLQGTLKSSLLLVTSASTIAILDIRNMEITRRYQHPLELGVITSFCPS IHWIILGTSSGTLSLWDLRFGLLLKSWKAGGEVTSLGIHPTRGKGRWIMVSIIPHIPS KESVSMTTDTIGRDSGPLIEVYDIETSKLMEIYEVRQSRVSKNPDPPSREIEDVIPDK AKYIAQLAEGPESVLGSIGKTITAEDLASSFSETPLVTGKTILDLIVGQNWSSLPRND ESVLMSVPELGSKGDTSGGPGWLVTAGEDRVVRYWDLVKVQDGFVVCGSQKDKDVTFR QSNNTGPAHIYTLPNVHRHPNMPTRERDRQPLRPHYDAICKLGTVETPFSSCIISGDR SGVVKVWRMEGGTASAR
I206_00545	MFTHISLATLALVALSAKADIPRYAQKINPRSFAVLDNVPTGKV FNGTSHFIPPGTTSDSLKAKPFHIYDDSFYDIIGSDPTLTLLAQTETDPIFHEAVVWH PETNSVYFAQNAGPIAAGTGLNKSAIVQKIYLDEAERIFKQGNGTGFVNITTVNSGQQ VINPNGGTNFRGKIVYTAEGQGDNIPPALYLIDPNNNDNTTVILNNFFGRQYDSLNDV AVNPKNRELYFTDGPFGWVQAFRPDPVLPTQVYRFNYDTGVVRAVADGFHMTNGVTFS PDGNYAYITDTGLSSGFWGYNYTNPSTIYRYNVDDDGNFGNRRVFAYNEIRVPDGIHC DVKGNVYVGNGDGINVYNPSGLLIGKIYLGTTSANFAFTGKGKMVVCAETRLYYVNWN AEGADITSREYTG
I206_00546	MDMDMDVAWCLTCSKQTRDPRSPYCSEACRLQDTGSSSSPDRHI PLTSPVPPGLFTSPSHPIHSGNPTPRANNSPVRRPSIGPLAPLSNSYRSKPIPRDRRA FSFPASQFTAQVPPRISNSRRPTQTGETLQFARRTNPVSVNLTVSPSVGGLSVPRPKG FDKLSKTTGANTPVFQDSVFCSTSESSDDEAIDIKDVSPMKIPRTLPIIENTVRPLSI KRASMSHAFTAPSFHIQISSTISQPPSRSSMASRKSPSPVAAMIASSASSKSREDIVS WLNEVKRLPGYNDATDEFNLPSHSRGRSRTRRDKLPSASQDVREDHEGENETLGTTPK GRIVSAFAGFSTFSGFGVGPIVKALTGVTSVTSASQLADSANTGLGLSSVPAPTEVSR VAVAVATPNEQDNGHYLQMGGATPTLSTISVSEVIDPLTDSGDHIDFMTTTDDQSATG SVSFMRRRFSSARQPSTLLSLGGKQSPAKPVTSTTSAIWNLSSYLKSFSPFSISSVIS PHAPNAITDAQQANLPTSDLAKLQAQDQSAPAPVPTARLSTSTPEESPAQQMVRSLPM DIVIPVGGENTNVDRARQREEAREWLGTPQSSRSPSHSQVRGRHRSKSACHSRSASHT RGHEPGRGHDRKVSYDGDASAEEDGDVVHIERRGRSRREKGLKVEVSQERGRRNSDIR TDIDADRGRGRERDRTVRV
I206_00547	MVQVYKKTHSYPDPPPTPLLAFFLRYPNPFARHVLSVDVLSRNV DPITGQIYTTRLILKRGILPKWATKWLPGAANSGGRGLDAWILEHSIVDPPGWGESST SSSSSSLGSSSKKKRLNGTINPNSDQDSDSDVEYKRQPRLRVQQGNLNHKKLMHVIEG GEIRAGPDGTTLHHTTAEVRSSFGGAWSNLIRNRIEQYGVGKFESNSETSRKGMSLIL NLLRTRHPLPETAEFEFYPPPPPGFNDYWSDLPEAISRAKSKEGSPRSFFLSPGSIGS WVRARREGGNGNSNSDNPINPP
I206_00548	MTTPSTPGDSSLATSVYSLSAKSSTEAEQYNARAANWRFSTLCA SVDTKDQYGASSTPIYQTATFKGMDGQYDYTRSGNPTRGGLENHLARLYGATQAFALS TGMTCLDTILRLVKPGETVLAGDDLYGGTNRLLTYLGTHGGVKVIHADTTRIEALRPH LQPGNKVRMVLLESPTNPLLKIADLEGISKEVKIAAPDALIVVDNTMMSPYLQRPLDM GSDIVYDSGTKYLSGHHDLMAGIIAVKRPDICKDIAFLINSVGSGLAPFDSFLLLRGV KTMSLRMDRQMATAHLVALYLDSLGFLVHYPGLKNHPKRDIHYKQATGAGAVLSFVTD DKALSERIVGGTRLWGISVSFGAVNSLISMPCLMSHASISAAVRAERGLPENLIRLCV GIEDPRDLMDDLEHSLLSAGAIVPNLAHSPLSDSRSSELYTSNPEAWILERAKGFKRP SESSTIDSLISGVKKGLGFTSVERKTIEEDITVSAPGKVILFGEHAVVHGVTAIASSV NLRCFAVLSPRSDGKVALEIPNVGVEAEWEISKLPWGLLPVHSQTQRHIADKDLDPAL LEAIEKLVHEHVELGKTGINSCIAYLYLYMVMAGAESEALAVTFTATANLPISAGLGS SAAYSTCVASSLLIAHSHIEKPSKDQDRVSESDTNVIDGWAFLSEKVLHGNPSGIDNA VSVRGGAVAFTRSVGGRKGGLDGLHGFSSIRLLLTNTLVPRDTKSLVAGVSAKRLAEP HVVDPILDAIQSISDEASSLLSGQIRVERKELIARLETLIRENHSHLVNLGVSHPSLE TIVAATAAEPFGLATKLTGAGGGGCAVTLIPDDLPQSSLDALITTLEAQGFQPHLTAV GGPGLGIHAFTSVKEDKVRNHEEGEGMVIPKRATLRETNSEGLQQWSERIGNWVHT
I206_00549	MRFSPLILLPLVVKALPAKPSIPELNVNQWISVQSGFTDNLKSL SSWSWNKAEDVIDELEAIAGLNTNTKTNDDKSDLTIWQALKADPHSFSKLVKIIEFEG KAIDYLDDKDLQITFFAPNNDALTAPEHHHHHHHDDDHDSLVELLHNPSLQTLSTALE VEPSLLSEDDEDKHHKHHGDDDDPEKKRRRKEIFRKIAGKVLQYHGLTKAYTAQELAQ NSTIATALKAEDGSFGGLHRRIRIDKHFVPPSLKINFYAKVYASDIKARNGYLHAINH PLIPPGSLIDELFLFPDVFSTLTSSVQKLHGREYLDWHYDREHSKPGKPQFHGKPLVT LFAPTNLAFAAIPPKLKFFLFSPFGEHALTKLLAYHYIPDTLLLSELLYIHKEKTSEV DSFANDLGEYTYFTSALADDPSFHKELEISPALPNSTLKIEIDKTKFLPIEGAVKTTI KVNGQEVKVIDVPARNGASHVIDHVLIPPHHHHDHHGKDVAHLDTWANWEDWFPAWVE EA
I206_00550	MSFLPSLRASAPSSSSIISISKPLLSSNPSRPYVQIRSIASTSY RHAAVSSISRSVQDVERELEEQKQMLAKAHRTNPGMDTGFQNLPVFESYVDPQKPFYY FLKGVGDKKYKAERAERASLTRQSLAEIIKRGALPPYQNDMRRSGIDQWRQLMLFTRR QQYIKKSITFFNKMYLDYMKIQAEGTIGQATGIAKDTALQTALNVIRGRKEKMSWELI KENKKPYLVSSRMTVVDPRDMKMAAQVTIRFDTQQALTIQKGTQNPVRREQRVIENII FEVIPVDITCDWKVKGKLVEQQEVKKN
I206_00551	MSKPRDIELFLSAYAGQRSNPSSKNNYLFYKNEIPCQPDGLRYE EWMKAYEKDFIELEMNHGYIQWFFPIRERGVNPLSQPLEPYEIEQMKDDPIISERLLR SYKMMLLFYGIELKDDRLSLSSNYKERLRNLQDHSHNLLRITRIIKHLSEFPKLQSHA ANLVLFFVAIHSEGLLNFQQGSMRGNSLDQWWSNCFRNQEERQNIRNIVMNRGEFGEK IWGFKEYGKWFDDRNAKT
I206_00552	MDISSFLPIETSETSKGIQDLTLNQNEQSQITNDSNLTEISNIN QKSIPIKTNTKNKPTLENFLNKQLCITLIDKRIIIGYLICIDKEKNIILNDSLEFKPF YPLGVSSSNFKEWDKFRINRETFWPISEPFTFNSQVQIIENDINLNEIKGWGNRSIGM ICIKGKDILKIEINQKLWINLGGDI
I206_00553	MSSAAAAATQNAATPQSSVAASTSAAASSQAAASSAAAASSAAA SSRAQASSSVAASTSASVAAASSTATSSSTSASASASASSSSSNARTSSTATTAALID ATSTSSSSSKKGLSGGAIGGIVAGAVIGILVVLLLVWIWKRKRRTRGEKVPPPPPMRH AQPSIKSRQSTMMAGGMSHQRHASTYSSLMAPPRPSSTFASHSRSPSSHINYPITTMA SMNHDGSPPMPPSSASNSGSSESPALSTGASTPANTLPSPPPGMKPTLAPIDTSLSRG GSASSEDLRGAPRPRRIASIDRLRGEVNGIGGGSDRAPSPASSRHPSESGMDYSRGNS PHTSIVGLPSPQGRNSWSAPRSPGGYPRPGSMASLGSSRYLHVGPAGRAPHQGRPIQL TMPSLLGARPDENGDFFGRTGRHDSGYQLGLDEYGRMRKMSNRQYNEQDYQSSPIQTR NTSSNSLSNRSRQESDEINHNDVPPIPEAYSRNQTPQPRRSDVADPNVVLQRQ
I206_00554	MASTSHQLRIGEEFSDTIAEIDANEHSSTLPGDYGSGADDDLSY PDSVTHPPESVKIPSNRITKSDLASLAADYSISDLASGFYRKSAWNSGGRQAHRKLPS RGFVAKCEPHMIRNSVSAYIGENDSFLKHLEKSSEDVKDRAENWLHVMLGKRRDLIRF PELPKGPSLNVTSNASHQVLPMKRCFEEICQYVGSLSDISDNMCEYLGFPSQFIVEDY GPDGDKVEDGVFQRKSINIIVAPHMMIPEQHSFENSKGQLGWHCPITESCQSLIDSVV TKELGRISDESNGYLASEIGDAWNRRLMKAVQEIGRQISHGTLPAWSAEPGESHTPFH NDTTGGVSFEVVSSKHGPIQVNTSWLKLPPDLAKSIENISVAKPDEGYDAESDISMTP EL
I206_00555	MSSNKDVKDNLEEYTDGLTLDRLHTAVSDDIALFEKDNPGKKWN TDPKDRRSILLGTRTKIADKYSLVANCPPNLESRVEYIYPDEEEEDLNAEEWKRFYDE SGKRMYDYHKILDGFIEDEISSPSRYDIPRHIIRTYRKASSDIRSKNELRDAREIIRY FGSITTATNNMCSKIGFPDRYMVAHNKKVFILDEEKSRRTGSMSYSKQTRISSIDLII NPFLSIPEEHSTKREDVNRAWYRPLPLNMIFSINDYVIKYLEDTHGKFSTEGSGDIDE ISEEINWNLVQAIQAQARDLAEGRSVEDFVSEAGRISNSHFMPDKTIRGFNMKIYPKD DDPIQLRVSWLKAPTDWTRVDELSYQNSQSGLGNGEDWQNEKPVTTS
I206_00556	MSATANLIALLNEYADNIPGFKERVGGDYARGHKEATGGIIAKA EYDLLLEEMGVPQPGATVKSEAGVVNSPSPKKSKAKIIDPKQAGGGLDKFFKVKPKLE TKVE
I206_00557	MVKRSASSEAGSPPKRRRRSSTTSLKEISTLSHAAKEYGPEWND WPAPIQAMEEARGFIRDIVINKRSVLIVPDKDADGLSAGTILYQTLIHMNHPPSLISV HHLTKGNNVHSDFERNTMDISGAEKVIVLDQGSRPGRSIVPPLPDQKVKRVLVIDHHW SDEWPEGSQVLTACKSSPIATAALLTYLLLRDLHPKVYEEEAWRAVVGVIGGQH
I206_00558	MLNDQDKPHYLSQQIPTLDIVNGTSDHDVTNKKSTGGNTIDKKE SDQMSVSDVAPSSPTGTFSTFSMTSTMNDRVFREDGGRRFQAQNDDYSRLECQHRAFK AVQGGINFLAPVHGNLQKGCRALDIGCGTGIWTIEMANEFPHVEWIGTDLAPVQRDTE LPDNLHFVQNDVTQGLPFPDNSFDFVHSRLLVMGIRNWKEIIDEVLRVLKPNGMFCMI ECDFPWGLPGIPENQWKEKAKGHCKFSDYLTMAVKNRGYDHQAASVTISSLMKASGKV KNVNQLESYLPLWGWSNDPNYRKGGEIMRADAEDIPNSVMIVILDACEISESKYRDIK AGYLADLGRPGANTAVPIEHNWGWKFSV
I206_00559	MSLRTACPLRRLSSRSIRLDRRCMASAARPSTGPVSFTLDDDQR GIQELAQSFTRDKIVPAAAEYDRSMAYPWPILKEAHSLGLLNTHIPEAYGGPELGLLE CALVSESLAYGCTGIQTAMEANGLAEAPLIVAASHETKTKYLGRMTEEPLMAAYCVTE PGAGSDVAGIKTKAEKKGDKWVLNGSKMCIIVLQRITNAGHANWFFVLAVTDPSASPS KGMTGFVVDADTDGIVLGKKEINMGQRCSDTRMVTFQDVVVPEENVLGKPGEGFKIAM KAFDITRPLVAAAAVGLAQRALEEATKYAQERKTMGQPIINHQGVAFMLADMAIGAEA ARGLVWRAAWAKDCGERNTFYASMAKAFASKTAVENANLGVQVYGGAGFNTEMPMEKL YRDAKIYELCG
I206_00560	MVEPTNKKRKLEPINGHGQPALAKQESFSAVLEQLEAEEDASGD SIETSAAWPRPAAPKLNTQRDSIAFQQIELEEASEPKHGPTIRLFGVTERGNSVLAHV HGFKPYFYVAAPQGFLNKDLEPLKDKINVSLASQFRITSANILSYQQMSFGLTVTNCA IFNRRSLWGYRGDETVPFIKITCADPKGIPKVKDEHLFSILAQVDFNGLFDTEVMTYE SNIAYSLRFMIDTKVVGMNWVEIPGGKYELLEGKDKKSRCQIEVSLDYKDLISHAPDG DWLKIAPLRVLSFDIECAGRKGIFPEAQIDPVIQIAAMVTRQGETKPFIRNVFTLNTC AHIVGSQVLEFKDERQLLLEWRGFMETVDPDMLIGYNIVNFDLPYLLDRAKALKVPDF AYLGRLLGVRSEIKETHFSSKAYGQRDSKAVNIDGRLQLDILQVMQRDYKLRSYTLNA VCAQFLGEQKEDVHHSIITELQNGTADSRRRLAVYCLKDAYLPQRLMDKLMCFVNYTE MARVTGVPFNYLLSRGQQIKVISQLYRNAGEAGYIIPALKSEGSDEQYEGATVIEPTK GYYDVPIATLDFASLYPSIMMAHNLCYTTLLDKNTIERLKLVEGQDYVHTPNNDYFAT TNKRKGLLPTILQNLLGARKKAKQDLKVEKDPFKRAVLDGRQLALKISANSVYGFTGA TVGKLPCLAISSSTTAYGRQMIEATKQEVESQYCITNGYEHDAKVIYGDTDSVMVKFG CPDLATAMRLGAEAADLVSSKFIKPIKLEFEKVYFPYLLISKKRYAGLYWTKPEKYDK MDTKGIETVRRDNCRLVSTVIETCLFKMLIDRDVKGAEEYVKQTISDLLQNKVDMSQL VITKALAKADYAAKQAHVELAERMRKRDAGSAPSLGDRVAYVIIKGVKGAAAYEKSED PLYVLEHNVPIDTRYYLENQLSKPLMRIFEPILGEKANSLLAGDHTRTIQIATPTIGG LMKFAVKTVTCLGCKTPLRSNKDGAVCVNCRPKLPELYQKQVVQTSALQIDFARLWTQ CQRCQGSLHQDVICTSADCPIFYRRTARQKEVAAAVTQLDRFEKEAFW
I206_00561	MSTPSSSAEAGPSRQKPSTPQRKHASKSSPNTPAQDEEGDNPRT NLAATTSTPGGSGINGDGEGDEDGDDERIDIKLIQSFADKIQHLPSSSETDGITSRSK IIIPRRGEKDFEPLNETVNLQEMMLQKSREALFGALVGVRGGHSKAISHAIITPSNPY PKVLIIHGHLFDTIGMVVKYPSTPQQQAKGKGKTYSQIELLPEEALYLLERGTLQIWL SRDFTVEEADEGFGEWCEEEYGVKGAIEMSVMEGFATFIGREGLTWEKYQAYAYMKRL GYTIHRTRRFIPDHFLSDPSPSHTTVDMNALYPGMKPFQTWWLNIPGWISKLFKLASR KLYNLIGRINNIGLGDKRFKGTLLEGWNGNSYKSIFQHLRIIPQGHSQPPPSRSLPIQ IENDSKYDILKYNPYLPFFHIWKPSATFTKRNWDKGSEIGLKECPPSYWAGVVESRNI PIPTIQQLDEVFNFLPDEPKGPIKKVGPQYIKPPRPPRPNFNNNKNDNEQQQQNHSKF INYLLSYLGIKSNNKEEIKNTNPFVNIPALRNGDRAFIVAVNDSGNTGWIRFGRSGFA EYPPV
I206_00562	MVLLPRLTRLGAHQKLAFIHARQSGRFYATVSNPQTVIEKIVQK YAVDLPQGTKVRAGDYVMIKPEHVMTHDNTGPVISKFLSLSCSKLDNPRQPVFTLDHD VQNKSETNQNKYKKIEAFAKQYNVDFYPAGRGIGHQIIVEEGYAFPGRMVVASDSHSN HYGGVGCLGTAIVRTDAAGIWATGKFWWQIPRVVSVSLDGKLSPGVTGKDVIVALAGL FNNDEVLNAAIEFTGDGIQHLSIDERLTIANMTTEWGAVAGVFPIDNKLEEWYNNIFK KNELRRFLSQPSTSSMAPIPEPSDPVNSTSPHPRLNPSRLEDAITNRPTADEGAHYAS KLSLDLSTLVPYVSGPNSVKVATALPKLASENIKINKAYLVSCTNSRASDIAAAADVL RGKKIANGVEFYIAAASSRVQEDAESSGDWQALVDAGAKTLPAGCGPCIGLGVGLLEK GEVGISATNRNYKGRMGSPEAIAYLASPAVVAASAAKGYICGPDSLDFNALPQFDQPR ISIIEESSDSAAPIEVDEASLEPLLDGFPAYFEGPLLFAPQDNLTTDGMYPGKYTYQD DITPERQAEVVMENYDPKFAAIARDLRSTSPSSSPSGSAKSDTKPGAILLSGYNFGTG SSREQAATAIKNAGIPLVICGSFGDIFKRNSINNGLILVESPSLIKDMTEKFAKDGIR GKGSKDGELTVVPNEWTIKVDTRRGQVTVKMGEEGEKVYPAAKVGRSVQELWVNGGLE GFIRASL
I206_00563	MSNISEPTFDDLPTFDARKFEPPSWAKETFHQWGENHGESSKSS KSNDKDNVTSKDEYNQSLNLNNENSDDEIDIWEDAEEDIIEPEEAKFNNDELKDLLFK AKKLKENGNELFKNKPPNYEKAIEFYLKAINHLPPTPELDQKEIIKEQEEKVKISNSG IEEVTDEEAFKIQENSNKLNNSNQEESDRINIQLEIKELTKAVWGNLAACYIAIKDDK KAVEACTEALKIDPKYVKGLHRRATSNERIGDLTALLSAKEDYTLLQTILPKSSTILP FVKKSLSNLSSQIEIKEKEQMDEMMGKLKEMGNSLLSNFGLSTDNFKFEKQDNGGWGM QFER
I206_00564	MTQKYGHRPPILLTLTILPISLILILNPLIPLIIPKWIFKFSTS LSSLIKEDEYKFPALQANLGFSLLAFIISIWLIPKVSESFILKGLKGRDLLKSGDKLN GPWVPESLGLPCASLYLALMMLFIPFPFSHLFKSHSFSSPETFPQRELTLYLSSLLSL LTATLLGFIDDLFDIRWRHKLPIPIVSAVPTLLVYYSVGGVTSIVLPKSIVGWLSSIG PIYYLYLIMLPTFTTNSINILAGINGVEVLQALIIAISISINDLIFLPIWSINLLNLF GFKNPENGRILNWAIGEVVNRHLMSLYFMIPLIGICLGFLWHNWYPAKAFPGDTFCYF TGMAFSAVAIQGHFSKTLILFFLPQIFNFILSCPQLFGLVKCPRHRLPSYDEKTNLLN PSKVLFDSSPPKKTIIVLKLLSILKLVKLEYDVDDNNNKEYIKSSTNLTILNFLLIHF GPLKEDKLCILMGLIQVLGSLIAFIIRYGVGSWFYGGERR
I206_00565	MSHAFKFGSFDYFCEHAALVVCPLLGSSQGTMATCYSRNVQLGK QIIFQPATCFVHIAALGMAAIMLFHVRSKYTAVGRKEIVTFFYMYMFVELLAIFLDSA IIPTSHAVYPWFAAVYAGAVGALYWCILINGFVGFQLYEDGTPISLWFLRLSCLVIWG ICFFVAIATFKGYASFSYEKPTGLFVTYLIFPAVCAVIYFVSQLLLVVRTLDDRWVVG DLIFMAGFYVCGILLLLAFSVTICDKVNHYVDGVFFFSMAMLLTVMMIYKYWDSITKE DLEFSVGSKAAVWEVKDPLMASGSEYYPEDDAQSSYRGAGGSLVGGMGGNNYYGNYPQ QQYGQQAFGQGGYGGGYTQGNGQYGGGHY
I206_00566	MADDGVPLPYPNLKVATWYYQINNVERLKDEASTSFWKAVEEDE MAPYLKSISSDKSDLINTIEKKNNEKLEEFEKKLKDDEENQGDSEISETLREKAMYLT RIGDKERALPAIENALEKTAGLGARIDLVLAMVRIGLFFQDTHLVTTNITRASDLIDS GGDWDRRNRLKVYRAIHHLSIREFKEAAELLIDSLSTFTATELMEYEDFVALTVLAAG VGCDRKGIKSKILASSEIKSTVTSIPQLEKMTESLYKSNYSEFFSALAEVEQIYLLTN PLLEKHSRYYIREMRIKSYSQLLESYRSLTIERMAKTFGVSESFIDRDLSKFISNGRL NCTIDKVSGIITTQKLENQNKSLVYEQVIKQGDILLSDIQKLHRVVG
I206_00567	MATRSAASPEIAQDAKKQKTDSVQVKKKVCLIVHDGWGLSDNEK GNAIFHGDTTHMDAIRDKHNFVELEAHGLAVGLKEGLMGNSEVGHLNIGAGRIVWQDI VKIDQTIKKDEFQNQPAIVQAMEHAKSNSGRLHLAGLISDGGVHSHIQHLFALLKVAK KHEIPHVYIHFFGDGRDTAPKSATKYIGQLQDYIKEIGIGEISTVIGRYYAMDRDKRW DRIKIAIEGLVEGKGDKSTQEILIADVEKGYENGITDEFIKPIISGSEDSRIKKGDTL FLFNYRSDRMREIASVLGLPDKPMEVNVPEDLNITTMSRYNAEFPFNIAFPPQGMTNV LAEWLGKQGVKQSHIAETEKYAHVTFFFNGGVEKQFLNEQREMIPSPKVATYDKQPEM SVQGVADKVAEVVKSDEFEFVMCNFAPPDMVGHTGDYEAAVKAITATDKAVKTVYDAC EEAGYVLCVTADHGNAEQMLDPVTGNPHTAHTTNHVPFIVTGDKGALEVSSEPGALAD VAPTILAILGLPQPEGKLLRDSQAKQPNDELTWIEI
I206_00568	MTKDIKVGWIGLGAMGSGMASSLVSQGYEVKVFDVYKPSLEKVI KKGAKGFNKPFEVAKDIQVLGLMVVNSIQVEDVLFGNGKVAEVLEKDSVIICFSTVPP NFLISLAERLDALGKNIGLCDCPVSGGSTRAETGELTIMTSGTSSSIERSKEILNSLT KKPIGGLSIVGEKVGIASNFKLINQVFCACQILSQGEVIALCKNWGLNVRLFYNVIKG SSGDSFMFRHRVPWSLNHDGIPKSAMTIISKDIGIVMDESRLLKFPLPLSSISEQIFL TAIGSGMQKEDDGLISKLWENFGGKSISEKGTIEEEENNSKELKIEPIPSNFEEFNNK QQKLLIIGLGIIGLPIAKILKKSEINVIGYDINKNNLDKFSEDGGEITSDLSTIAKDV ENILFAVNDAKQIQNVLFGSDGKSGIASDLPESSTVIITSTISPSEAIFIQSQLDNLN KKIQVIDAPISGGPSRALIGDLSIFASGKEEALSKVYWILYILSSSKSKSEINENENL HFIPGGIGNGLKIKLLNNLLATIHLSVTAEALNFAKYKGMNINKVFEVVKNGAAWSYM MVDRVPRMLNPPSSPHATTSTMLKDLNLILNEAKKLNTPLFLGQATAQQFTKAISKGW GEEDDSCLGRLWEDMGVDLRQ
I206_00569	MRFTLFTILAILPGILAIPIKLANRDALSDNIASSVSTTNELGT ELQSIINRIKSDPLLVGEEYEDNSETTKYNILDGIESAFKIAIDSTESLPSNLITSTN PTSELLSDYGPLIKALTNSIFYSLIDLKLLSQDTIGQEIPGFKDWTNASDQQTYEFVK SLNVKYQGLINDLANTVGEDTTLGKGLKSLGGQTFYLLFDHIYPS
I206_00570	MTKKKPGVQPDDMKPKRERSNSHSITPPPSSQKYNMPKYPSEGE DHFDNTQSSETPTPPQKKPGRHAHDLGPSTPSKEQKKTDTTSSPGKGIFPIEAKKVLL ERAVDLAYKSLPYRELAEELGISESRLKDQFKPGRHNLRKSILDIHP
I206_00571	MSKRTRTSRRQTFNPSSLSPIPSPSSSSVSPIIQTPHEITSTVA YQRTRDLDELHISNTPTKRRKTSHLSDIRTKEGHPTTPLLYNKKTRASSPYHARTSTS KSNSASTGSKKKPQMSSIISLIRGHEPKIRTFSRSVNTCIEDQTPSDQDAEFILPRVD FIPKTPVKKSKVKINLSAQMKLDLLEEAMDFVYENLDFEALSSKYGMPKPFLKDQFKS YAGHPKDLTHTNLRKSVLDIHKMDLSFLAPNLDHLGLMGPVGKSKIHGRGDIIEHGLS SAQRVSLQVENVFGIDSRGGEMKNMQKRSSTNGLAKIGRDPLSTTVELRSKVANDAEI HQQEDTDGQEKERKELKDGQKDRLDEVEIKSLQIEDMKGDEEEIERHSDYHSEQDEEG LVSEHGNLESDSEEEEEIEEIEPWDNHRGKVAKESVVVGQEDPEGDVLMN
I206_00572	MTEYLKSYLPALKSIIPQTSPTPSPLPEIGSKAPSLPDVTLEQK PTIVAFVRHCGCPFAEKEINILAEELKKNDELRIVIVQHAEKEQVNNWFNEIGGPRLF PDLSRYTLIPDPSREIYAKWGIGQLGWLGMISPSIITNLNNLKKSDNIDLRSTGAGSY RWQNSGGFAIDKERIIRWRKLAEDSSDICDYAEAVRSMI
I206_00573	MRFGTAQALLALLLSLAASARPVTDAANNQLSARNTPDESCSTT STVSATSEAAQGTTSTSSASSYPPGTANPPVEDTSTLTNTLTTTDYQGGSTAQPPSQT NNAAAPTTSDQECEEDQTPTSTSSEASAPTDKDDCEEEGGEGTSTSNNPAEPTYNTSE NTGNAAVPTASATSSLSSYPPTVPTSTESTTTSAPVYQSTTSEEGCVDKQGQSCPCSE VNSASDSTGNAAIPTTTTSSTTEQTEECTETNTKPTSTTTTENTANAAAPTSSSNPPQ ETSNQAPPGEESAPENPEDYPDGQ
I206_00574	MTIIGSFSSIILIATPVIQVTFAIPLADQSNRSSKLPRGIPGSS IPLLSDTAQVSSLPGTCSSSTQSTLTVFSTSFVPPLVTELTVTETSTSWVQEISSDLI SATSYSPTRWDTAPTSTSTPTATSTPTSTSTPTPTSTPTSTPTSSSTSASSMTTADAH TGATVQPFFGLLTYELGQYILMYDVTANNTPYSSMVTDDKTCTKYPVQLITDKPNTSN RSFEIYKAAKDGSWGPDVEGSKCERKLECETTFNPDPDGEKNKPAFAVWTSEPYFKNI DPENKVQGKCEFVEC
I206_00575	MIAFGTMFSSTYFSLFSILALSATSLISATASSSDENTATSAVV SSCSRRVPASGPTSSTDSGPSETATIAGTSIPPVSSAWAGVVASLAAEAGSSASESSG STASAAATQGTNSTGSEDSEKNSFRYLVKLDPYTCGMCYGRFMCNDQSSDGQTVSIIL PTLHPNDHSWFANWTFTAYDHTEGEDIQSWAAGVPVKTFGCKFEILAGGSKDENTTVE FHHKSPYVKGIDDKNLLEMTCEDMKA
I206_00576	MTATYTPQEKQYYDQLFAIIDKDNTGILPGQDAYPFLTSSNLPT TTLGEVWALADPENNGFLTKDGWYRAARTIGWIQKGGASTVDETLSNKSGPLPTFDKG PPPPAVQPQPTGQRPQPPLSAHNTGTPVLPPLTPADRAKFTRLFAGAGPSNGLVSGDK ARDMFLKSNLNYDKLGQIWNLADTQERGSLDLTDFVIGMYLIQSCMTNPNLTLPPTLP NGVYETASGGRAPPPKAPASPISRQHTGGAVPSPVRPQYTGGMIQPQRTGQSATGTGV STPPRTSNTQKSFASPPTSSSFSTIPSTSSFGGPQRQMSGFTPTAQPWDVTPQAKATS DQFFTQLDSQNKGVIEGDVAVPFMLQSQLDENTLASVWDLADIRKEGKLTRDEFAVAM HLINSKLAGQDVPSSLPNTLVPPSLRDAYGNGAQEVLSSQRPSSATKDLFDVFGDDQP TPTPQVSSPQSQQQAPAQPFSAAAFLPQPPAPPSRKSTAPSSGQRGLSPAPTGQQQIV GGFGMAPFAPAARGGDLLGDDSAEDKPSSVPDHSAEFGNKQNQLANTTRNLSELEKSH AELDETAKSSAALLQELDEKLSSARSRHETETKAVTDLRIKVGEQKERHRKLESEVIS AESDLSAMRSEKDELEQALLRDKEEIRGLQKMMKEVEEEKTGMKFVLEKLRKEARQQK GMLSIAKKQLSTAEGSRDSVQKEIKDTEQEIEDDKAELERGPPAASSPQHIASASPPA FFSPSMAAGVPLPPTPKALSPAPTGASTRSNNPFERLAGARSMAPPQQPSQSASPPTE VEQPTSPTFGTGALAGLTAAVGAAAGVAIAGAETLYDSAKEAVTGESSETKTQDDKDK EIDPFGAPTSFDEQKTPVPQGQGEDSDPFGVPPAAADSDPFGATTTPKPADQAGFDDF DNNFGDSFTALPASEPTIPTEDLNLAPASEHAGEALPASGNKDFDSAFADFDQAPPAL DENQLALPDDGEAVSEELSEGLPSGIPKSAIPNELTARPEAERTFSTQAVAGESRPAT PAPEALSSGLAGSPASVKAPLPPSGLAQEVIPVTTAEAESSDEEEGPEDLEAPKRGYS GGDNTEKRGEFDDFESSFPSNTNLATQEEPATTSPPVAPVAALAPPILSVEEPTPTKT RRHAPPPPSHKSTPPPAIASTASTVGGYSGGEEFDPFGAPVFSTTNTTATESQAPKTA AFDEDDFDFSDSPPATVDQSHQAPSAQGDISGGHQNFDDDFANFDDEFENVTPNNQNN GSDSTQSYEMVSPQIPQAHGQTQGQGETQRFDEWGFGGQPQQHNELASGQAQAQAAPK GFSFDDAFGGDFEPSGSRNVTEDHAFSPPPGPPPAQTQTRTQPEDQLQPPPMPQRRPS GAQPDDIEDVKKLCAMGFTRGLVVEALAANGYDFQKALNVLLSA
I206_00577	MTTISASPASSGKTIFTAPQWVKTFYSKFPMVILEQEDEIDWKV RAKEGPDHAVELWVHSSSSTPHHHSKSWASSSPSSLRVQLLFLLRQTSAKVPISFRSW SNESSAPGGTLPTLHVLNQDRLLPTGEIRGWLESTYPLKGKGKEIQGLPSQESYDNAL ALSHLILGNLYPGYLASLPKQPSNFPLLFPNPPPLASGLSTPLPYSLIGDARDIDTDE VIRKGLTAIEAVEVILDTGDEWLFGAKHPTSIDALVTSHLYVIYSLPTSSILRNKIES LDGLGEYVDRVLDFAQSRLI
I206_00578	MLMIFVGTEHEGGNQFHVWIKQKATIEDLIEAISKHELISNQEF IIQHSEISIKPLIPLIKQGILNYSIFYLFKLEELRKKEIKRKKEQKIELEKRGRYKSE KDGKLKLRRRTRKDLIKLKEKLDNEKRGNGFLPCFKYLNEISKEEFPFITTTTTTNSI IHKKQKISLLSDFSFISIDNNDDDDDDRNKKKRIENQSLMTSIPLKNSLNDIWLKSSL INSNSTAPWSSSFLFPLNQPSSNNTFLERPKFESPQTKSTISALALNGLRRSSDHECI LLRSENLDLLGSPQC
I206_00579	MSKGTILFIFTSADKCLDGKETGWYLPEAAHPYYALHKDFNIEA ASTKGGVVPLDATSKKMFTDEESVKFLEDPKAQELVKNTKKIGEVKADDYVAIFVVGG HGPLIDLAPSKEFAKLVEDFYNSKKVVSAVCHGPAALIGAKKNGTNESIFNGIEATVF SNSEEAQTPYNDFVNTLPFSPEDKLKELGAKFVKTEDWGVKVVYDGGVLTGQNPASAG PLGQKLKEILLSA
I206_00580	MKLHFVLLSLLPAIAAQAQDVEWPSPTEGYDINAARELYSSQSA KLGGSSTTVIMGFPTAGATAPTDACDQSDDPVKRGVTQVGGGAFIAPTAGPYGMGK
I206_00581	MSRPATSTSHRPSGSGSSMGMPGGESMGRVHTFSPTPSASSSHQ PIYYTHEQFQEGYIQPYNSYGGEIQGQMITPYAGHQVAQGRIAIPMHGHPGNVMSINS HQSSRGAGKSLMRSTPPPQNGFEDLGPSPFLPEDEGTPYLPAHFEAYGQMSASPSMNQ MHLPPNHLVSPTEQMNMARMAPQLHRNNTMPILGHPHQQQYQPLPIGRQKQNGQQGRP AMVQRQSMPGPIQRPTSLSRHASLHGPSRSASPHIGGDIFDPVPLGANGSPLIRQQIH PLPPQENIDMSWDLSAFDPSFNPTGQGISPARALGPAPNHPHRFSPHREAHMITPQHN KLGYPNHVHSSATSVASTATASSSISSMSDISVRSAHHQQILHRDAESDDEEHDSPTR GGPSTSRAMMKMRLEEKKSGSIPRQVKQIPKSTATGGRTSAKFAKVAEDPGVEGVPPG PRPMERPGPSFACIIGQAILRCKAGGLSLEHIYRYVETAYPFFRNGDGAWRNSVRHNL SIHKMFETIPRTEAFPPGKGGIWIIHEDEKCHWPAEDKFIKNFPPSHPHHAVCRQTLH EKAKENEAMEKAAREGRVYVPKKGKKGRKLSSKDDEDEDGSTEMLRTSSVLTDIPLQR SESQQDMGNSSTPIPEITEDESTTPKAQPRLLEPPPLNGQDSNGLVESEDDEGEFLPI ESDLPEPLPVDVTPSDPVKKRDEQMAQNGMMMPPRFERKEKRRPLAVEDDNVFTSTKR VRVAEPLQPIHPLPQETASIKELDDSFITPERERPISTSKIMSSAFKTPALIQTSSSP GSSPMPATITRSTHHPSALQQAWTHDDMTENHGSRESSPARPMLESAFDFKPKAQQRR PLAQEDEYTPLAKQSMIHHSHVERAPPKTPVSRSSAATDKTPRMQHLRTPSLSKTPMF FGGSPALPPPSASALLSTPMWEVGGVLDRLKDHLTGSPTGGSIRSPMPSTDPTRYAML LDSGGSPRKRRDVSL
I206_00582	MSEDSDADTGKERPRSREGRRRSRGRSTEERESSDSGGGDSEFQ SKYSGGNRRRRRRTRSSTVEGESSDGDRESDARPSNRRRRSRSRPTEEGETSDGGPKS EPRSAERSRSRSGKSDTLLKVSTAVTILSSITEEEINKLSHEDAKSVLNSLKSVKGKP DAIREWLIKAMEDKQKETAAKAEAQAKDIEDAKNSSSLDVTTADTKSEGESDSDSSKS DKSGSEVSEKEDGGSDEKKKKKKKK
I206_00583	MRSISISYASLIAVFGTLNVLAIPAPSTLGTTNAASTGFTYTCQ SDKSYTDGSGNTVLCQGDTVCKEGVTGAPCVWPDGYGADAGTGAAGAASNTASGSGGT GSNSEKAASSSATENSGSTANAQATGESPKTSDPSSVATSAATTATSDTLNTTASSTG GDSTSKDPQSGGTKFVGYWQNYSNLGGIKADQIKGMTHIILCEQIPAFIDMTTWTTDN STFVVSSNGNFDSGTLKSLREMVPEIKVIAGLGGWGLDQAIKTAADGGDDSINTFVAN AKGVVEQWGFDGLDLDWEFPTAAEQPAFVQMVQGLKKGIQDVKKDGVLSVALGSRTSQ KSPITGNSDIDAMTSETFKSLNEVVDMWNVMTYDYLNRYDTNTTHQSGGMVVEQSLKF YEGVGIDLSKVNIGFLNTAKYFTEVEAGCSTSHPLGCKLGGVNLYETNGQDNSKSGWL TYNPDLDDGLGEIPKAKAKELRPQWDNRPDDSKTAFKDDKAHAWYDEANKVFWSWTSA DDNKDVCQEWKSKVGGMFVWSLNQDENGLDGGSHIQALAECVQGS
I206_00584	MPFNDAVDTAEFKTWLVTTIEPLCDADPIVMSDYIIALLKHDAV MAEDEWKIFVSRELADFLEDNSKPFVDTLFQTLANKSYLPQAAAVAPTPAIVSSYRPQ APAPVSEPEAGPSTVRAGQNGRSGLQKQDVDMGDSSQSVHGSAQPGQRRRQKCFDYHE RGYCMRGTNCPYEHSEDVIIPTPEMMFGGQFAPMMSGPPGRGRGAGRGRGGGRGMGGN QQNGNGHMMGPGPGEPFPQQMPFPFPEYMGSNRPPSTRDINTLVITDIPQANLSVQAI RDYFQKFGDVTNVAIEGKSKRALISFEDNRQAFQAWKSDEAVFGSRHVKVLWHKPRPG QGGAGHNALEKSAELLANMKKMESGEGIQGDVQVKLSGPESRLKATLAELENTEKRAK KETLIAEQKVLFSKTTNASKEEKLQILSRLKEISKDLETINNPPKVKETHSELSEKER LDKELAEHGMETTEGKDQAELLRLSAQLSALRDKANTLGINSSARFSPYSRGGSRGRV YTPRGRGRGRGAARGPMRLDNRSRTITISGEAIASDDGRKAVQDWYESQGGVTELVDG GLRVTYPARDMAEKVLALGTKDISGVSGGININWYNAPAESAAPDIEMMAEDEPVRGE RLEED
I206_00585	MPLVQRTSSPLPRSTTDGQSGPPVDQLQIPSPTQTYLSPPSASY ISTSTPTKSKHKTPVPYLKILPLLLQRCSEGLTYAIIFPYINQMILEMGVEEKSVGVW SAIAESMMMATEAMSAPFYGPIADRYGRRPVLILLNAMWGVFGIAFGFSKTVLTIILL RAALGLLAGCGVISRTMVGELCDKSNRIQGFAVFSPALVVGMTIAPVLGGFLAKPSGR ILPASWSLFVNYPYLLPSLMTGCGAIFAGILCMILLPETLQRSKMDNSLERHSEKSSN SGLRGLLKYEPFLNILLLYGMNNAVMFSWEAIYPLFGFTSKSLGGLGLSTQALGLVLG FSAGLSILMTIFVFPLLHGSLSEATCLRLCLISYPCAIIFFPILWALSYPVSGDNLPI SVWIIMSIQMILRRVGDFATTQLDTLILDSIPGPEHLASANAISFSVAAIGRAVGPFI ISWFFSLSTRFPSPFSPGRHMVWIIFVILCIPSLYLANRLLSDIDHTSGKEETSNEEE RYELMSSNQNDPSEQVEARGTSIEVANDDLMRYRVV
I206_00586	MPGRFLLARAQSHFTLGNLSKDDKSSGKPVRPSLAERSLSDSSI TKIACTVGPSELRSVINPNWKEREKKDRNVHQLRCNTLMNQKKLVQAEEELLAYEEGD GDLEIPFELDETLATSSPSHSLLTTPTFPYSPTTMTPLEFSTSFSSSCECSIECIDPS LCNSSFISIGSSYRDFNSQNIQFSTNSSNCFSNNNNSNRRRQKNPPIKFSSPLTNRTF HLSRKNSSSIVGESSSSTTKLQVEEIIQEKCSWATSPQFLNFSNYNSFNMNMNNDNQL NLRRNYYEEEEEEQVKSFSPNSFAESLNSNSSLRSTRERRESEDSTYSGENEFNNEKD LSNILENCGSLLQQDDDENHFLKNSINNDNNSFKISSLSLSPITINSFDKKSSSFSLS PILISEFKNNDKNKNTDSSFLSIHSPRSDENTIKSIGFNAPSLSQFQQTHLDLPKQSI KSSTSSISQQRKLSTIIEFE
I206_00587	MSHYHQYESNSFQIQEEKLEDLSQGIKVTSHDYHISNIPTNETR RRASTLVNLPNSQKSSTQSSIPSDKDIPIRPPKSQFRPRFPSISTSTKIKQTDIGTER KRHNSQLSLGGLERLGGILKSFVKGLKSDFKEQQELPDSQIWIDRKKEEDHLRTRDRL TSISLSALIGQSQRTHKADPSGSIKTMSLSDQRSTQRLINRFMEELEPRSPTGNFHSY SQNPSPTTRHAHVQRIRNVGGHRRTHSSPGPTPTLSGASDIQSERGRTLSGGIFGNSI KSSTGDSHGLISDTDTSRSGRVDADGTASAPISPALTRQPLPSSPLPARPSARTPPHT PSRAPSNRGISPDTHPFAAVVAMMEAGNSPTKRRSRAQLGSKEVLSSPTKKGKERAEG ERPHLLEGNQGRKQTPRRGFIGPGGLMPRIASRKSLAKLKLATSHSSSTPNPVIVSIP PSPPDTAKPQYPQTVSPLTPSSTTYLRPAPAPPLMPFWSKPRFAMSTSARNPSPAPST ISRGGTPTPLYAPRSGADGLPPGPGSELVEIPRFKKKELNLGIIRRRGLRQRVAWLCL WVAWLGNGLLSLFFDVNVIYILVQCTLHPSFTTNSEKSWQFATAAYAVLWAISTLAVW VGWELGYEFWRRWRLPRPSVETIYMSFPASLHLSLISFNHFTFLLHIRTSPLNTPYAR DILPETCQALIQLIPGLLPLLPRAAIAVVALISFWSPAPDVQAPYGGAVDQTSNRDSN FFNADAPGQLTSYAKGVLLSFTAWIALRLLIVIGSGIGLWMFSGRPLGGLIGQRLSRK KKVSGPPTTPRKPKSSLQPRDPSTTSSPQKSWVDQENEFNWAWRERTRSRIQDAFELC MIRRNDGGIGRLDSFLYQSEIPWGRMMGQRSRLSNNLNTSDIELNNQDPSKVTRAISA KEFVDGFVEGKDLPSVTTTPDRSHFKSRTSELARPESLLDPTSNGLETPIRPSPSRAN TAASSSATDLFYTPFEGNTPQTEKTRSVAEGIDKLPTQLQVTPHQTPVLPPSSYKTRG GLDEFGVKEKSSQTRGGSPDSANGSGDGDDESTGLLTTSTSNSARNTIIKKSRSRSST INSTDHSSNDHSTSSPLGGNSRSSSFSSNKARKRAYTSGSLPKDSLRRTRSSSITLLR ESVTNAANASGQLIRRARSGTVLSSVSTYSKMDGSDEEDDEEDKEILDHGKITPRSRR GTGLNLSMNVPFAINEKSNS
I206_00588	MSNGVQNSQAGPSAFKAYASRFLSGQMNKDVEGSQIFRAPSPPS PSRDPFAPSPSITSSHPHLAGGSRSPSISGNQTPPFPGPGIEGIPDIDESGLGSGIGV GLLFAEPQDGQSENAPPPSVRGNKGKGGQVKSNIPNPYAASSSESEEEADLDEVANVR RSLLTRPSQQSQKKPPQSERARKGWLAHQSVFPPSSSSSSDEDSDDSDKQTESASEED EPRMMGNRRKSRSRSRGQEDVGESQGYMLSPSELYNVSTGLQDAYDGPSNLEEPLLGP DELAGGRRGRVPIKLQVYHGRFGHWEREGLRKYKDSGFLALWLSSLIGIIIGLGFVWG STDPPPTAPDTSPKSAPSIVPLLPLLLILLIPTLVLPPAFLLLLRKTVRPVLLATAIS IPFSLFICGWWALGESFDTSGLSGVEQGERWWGTTGLRLGAVLLWLLAAWFGRLVWIR RKRLDRTASVLSTNLLLTHPPLLLLTPLLLGVFALTSIPFLTLLIRLGTIGYWRHPRE NTWVFHIRPYAGWLIFLVTLVWVWTWGVIRGVGRVAVAGVVGEWFFHRDDQSHPPPLE ITTAAVHRATGTSLGSICLGAGIIAVVRTVGRGAAEMKRITNPKSRILPTPLHFLTNL TPLFAIVAGILDQLNGYALVYVGITGEAFWPSARRAVGLAGRRKGGRLLDYTLIKLLL TLSSTAMGLFTGTAGYLYMTHSLSNPGYAPLAGLLCGGLPFLAIRAGAAVLGDAADAL FICYQIDRELGGDHCEEAKEAFAGELPRNESAV
I206_00589	MPQDIRISGNRWLVLLYLILYLLEFASNVSAEDSNSCQNTLQST FTESNAVYTSYSDSTSTTTEYFTSWLNSQQGSTFTDITTNTTWVNDPSQNALSLTDIS PTTTIPFEAPLTVSSSEELSTPESNEPSISTTMTEDSSKSQKPSSTSAETSTSSTEKP PKPTTDMQALSDKAREECTEFWGCFAVIQQSDKVKVDEIGVPDPSPRLGPGFWYKKLD NQAPEYNVRSFRISIDVTGKKPQYKECNYTLMTAPKDIFISVIVTETEPYFELEGMND KYLKLGDNCAF
I206_00590	MPVKREISPDYYNSEPEEEVKTPSLSTTESSPQTPKKKNQSKVN ESKTPSPKKIKSSPKKQVSLTSNDDNENLSAKAKYAMMIIDKGIETLKKDEVEAATGL KANQQKDMTRKDGKGALYKALMGSCKTL
I206_00591	MFIENIDMLEEEIKPILSFSSSNSNSNSDESLKDVKPHIHRKSP TNPKSKSSNTDSKTSNSMPKKKQKIKVEFNMSNSSKAKFAENLIDQGIKNYDKTLVEA ETGFTKSQQAEMLKKGRGSLWKALYGFASTL
I206_00592	MDKTRYHRHPLPPGPSSSQLDPDAEVDAPPISQPEPPEPPPTIL GKPDDCVNKFPTPHFSLLCTMMDRLRTEEASKRRDILKRFMDLWRIKVGNDLFPLIRL LLPDRDRERPVYNLKEAMLAKCYIEVLGLDKHSDAALRLIKWKQPVDGKAESHSGDFA RVCYYEIAARSTVEQGQLSIDAVNALLDQLAQGRLKQQEYVPILRKINQQCTAAEQEW IIRIILKDLRISIREKGVFSCFHADAGDLFNVCSDLKRVCWTLYKPDQRLEKHQTNIE LFRSFLPQLCYRSPSSSHEAIAKLIGGPNTEFVMEEKLDGERMQLHMRGSGAQWFYCS RKAKDYTYLYGAHIGQGSLTQHIAGAFHEEVRNIILDGEMLVWDPILEKYVAFGSLKS AAGDRVNDENAPRPCFKIFDILYLNDRCLTHKRLSERKRLMRSNRIFKNIEEYKGRLE FAEEQKGKNGKDIRAMLERILETKGEGLVVKRSDAVYQTNSRGADWIKVKPEYSDQMG ENLELLVLGGWWGKGGRTGKISSLLCGLRVQQDDDGTDKKPEFTSFCAVGSGMSYEDY EWIMNKHRNHWKTFDRTKVPPWLQLGATGLEDKPGRWGCGYTLRFPRCRYIYFDKASK DHPTGDDSQDRDMWNSVSFVEFMALLNKPKKRYADAEGGSFRKKKKVLTRKKVQIMTN SRGERLSDDAVETNIFRGRTFYIPKGNSAYSKQDLEELVHKHGGEFSQAQLSDLSATV ISPDEKNPLVRAQIRKGLSVVKPEWIFASIERGHSIPLIKELLVFASEEVESSRNYNK TLEEMDHISLVRDRGEAEGDSEGELQEDDEPLEGHYEDEDGSIEDHEANKTDQQVKME EEWGLHRERSPISLPSTSRGNSRAASFDQSDTDDEREFEIKLTLYLQEEEEEDDDSHD SEPDAANGFRLLPVRGIDTADSDGMGEDEEAMKYDEDKIFTHLVFYMDTLENADRNGL ESSSPAAEASKRLQEAENILRENGGKITDDLQDKKLTHIIMDNEDSGRYAEIVRKTAL PKRKHIVLPSWVIECIDEETLMNEDGRFTNHNGILG
I206_00593	MSASEVRRIALPDPHCTFPPRFVVGGQVSQNVAGDIKMYEWRRD KGEMGMVGLQTDVGQIRSMAWSPLPTHRNIIATGLSTGRTLIHSLSPSTLSFPLSSSN STSNQTSTIATLNVKYSRPVTSIAFSSLDPNIIATGYEKHRSEYSLLIWDISEAINAS QIPIDGDKEWERPSIENRLEITNLTAKINITSEPRHIQHYCPSENVNSISFLPNSINQ LLVSVSNKSIRLYDLKSPSSSTNQSQSQNQIVGSNYQWNTRAVYGLTPNEKKEGLFAS YEIIPAGANTHSIVRLWDIRKPGQEILNFDVAGGIVNLEWKKEAEGLLGVGTRDKGVG LWEIVEGKRVEEDVVVEEWVTLGGMKQIVKPRQNLHSFSFASSEKGQGDVMFVLKDGT VGIGPIGTAPVFATGPQGDLAIVTPSMKVLNPDEIPASSPEPETPVSPAVKRPETEEL EPIHRANRFQLAPERVTQLIAERSRSNSPIPYHSNGFATPATEQTPYGSTSGPREWYD SKQKQDLIDDEEQLMGGWEGWKRTLGSDVGVVMRRRALEGYGLDDLLLNAAIATRHPG KERLAGIWEFIEHLTQVMAPPLSSHRGYNLTNHGVYPIWFGVSNDQSQPLSPSSIYSA ATLTDAPHPHHPPLRQSSTSAAWTSLKSQSQSSTPIPPHSRHSSSPMPGATTPSHIHR ERKASDRRGDSEIDGEYVNAVEMLNGRRKDVGGVGRPGTVRAAVGGEKTEMRKLILSV CGENGENAKDELNKLVQSGQRTKAAFRAYFAGDEAATVSILMASENQNHRLLGSTIAG FMTQSAAARGSEFFNSHWQGIVNRVDDPFVRAILSRICGDDWESVLEEEGIPLLDRIG VGIQYLDDREFSIFLKGRMSRLSRSNSLHLLGLTGLSAPAISLLSRYLARTGDIQTVS IISCLFPLGKLNKNEIEIIKRWKETYRDMLDSWGMWGERCEFDIRSGDLSRSLSGLNA QDSGAIKGVGERCPVCNNLLSKESESRLHRKHAIRGNVNVGWPSERTTTCMYCSAALP RCVICLMHVDPQRPPPANGHGPEERPENMTDTIDAAYVCCLTCRHGGHASHILPWFEG GLDGGVPHSKCPVSGCDCECANL
I206_00594	MVNPVSTGKMAAGPVENMQPAVLYKLIIFAVAMAVVPIGTYYVV LSYFTKGIVRTNKDANTALFDTGNTIASAIAAIVTANLVLIAYIVVAWLEGPPGLPPS GKGVGEIKKDK
I206_00595	MCGLTLTIQPLSATETEDSPGSSSLLDSFRSTNVQRGPDSQQTY THIIESIDGCIIEVSLTATVLGLRGELTAQPLIGTRGVLGWNGQVFEGLEIRRDENDT RRIFEKLENGEEVEDVLRSIEGPFAFVYIDLRTDTIHYQLDPLSRRSLLIHPSEVISE PTQHFILSSSRSSLARERGLDMRALLGGEGGVLRLRDIRVSGTGDSMTMDISEAWTPQ HTLHQPESSDSWTRVSPINTALPPSDIPLENPQIVEEVPRFIQQLKESVRKRVENIPN PEKGSSRVAVLFSGGIDCTFLAYLLHLCLLPEDPIDLINVSFSAAPRELKPNDKGKGK APASPTYDVPDRISGRDAVAELREICGNREWRFVEINIPYDEARNHRQNVIDLMYPSS TEMDHSLALPLYFASRGKGTLHGSDESYHVKAKVYISGLGADEQLGGYSRHRHAFQRE EWQGLINETQMDISRLPTRNLSRDDRIISSRARDARYPYLSLSFISYLSSLPIHFKCD PRLGEGKGDKILLRKAVESVGLIRASTRVKRAMQFGTRSSKLGGSGTGVKGPKAGERQ VE
I206_00596	MGPQRMAFRPPAPVNKPASQKSASQMPAERLETQQKLDLAITTK DDSLKMMKVALQAHIGIICYLRALLPNGDFETHYISNSHPPPNKSVEDLFYLTRKEAE ECYQKSIENDKDETQDISGQNSKFFQWRKVRQDGSPQGKALANLIGVGATDAMNKGYL QSLVLAIFLDPNDPNNVVETYTFNFFYNDEGQPFMDLEHSAEVSDKFDHKATGITLQK RYMTVKLFYNDSAPSNYSPPGFEDAIGEEMVIGTHNVNDPPLKIPMREFQTGHHGLTA TALTVVDHLPKTLYDDESIDGPEKYARLYGQKAEYEEDARQRNVIWAADLPIHDRAVY DPTAPDVYTATINPHKAVPEDASGGLKQPIGRRGDDGTIVDITPSQVGSGKQRAMRRN ACKAAGSRYIPEESIQQSQTLLSRTPLRGRTASLPRAHHGQSLAEDLFIPISQSYSGN DSQAYLGKVPEEEEEDESNTQPLSSQKLARDFHEKIALQKRKSDIEIEPLPRPRKRNI DKIVEASMLQNGYELKARSNGKARSVPKTDKPAKARKTRTPRKPRSKIAKVDTEDNKA SKKTKAAPAKKRDKRQQSHSQDIIECFCGLNEEIDAMIQCDGCDMWYHAICLGILDRA FAVNRQVLCIACEMKQDKHTKWNRKQIEVAVKEMGTLALTRKVLQEVKRLGSLGSDDL NALSKSLGCSVSELKRILEGLTGGLLLQIDKELYADIYPAQQASDNNSEVTEWKWLKT PPAVKAFTRFFQYGGGVEKDIFVIRKWHSSESQTQTQSQSLSQPQSSPEPTSSQPDYV ADSQGEDAQGELRSDSSSGQNGFSVFNSTQNSISTQGYTPYCVPTIKSSKAINIIDCT DVWTGNNDIEEGE
I206_00597	MPFQPPTRPHSTNPRMNPSIFPRTVSSSNVYTHDSQQQKSSSMS SFGKSNEEWDDAWDSSSDKEDEISNTKSNIRISAEPMIKSKTVPIPLNTRDISNGNGS PSISDEKESVIAGSWTSTSYHHISPPSPIKTLRPNLSTSKTFSEGTIPPPPGTSSISS NSHAGSSGSKLPPGGAWEIVESSEVGEDELDNPQIMPRIVGKEAVKDDVEDILRDPLQ LLSDLSLDAPQTPGSSDPSYTSSFPFLTPTSSHPQTPSTATSIQSPDQPPSASGSYSA IPTTPRLRKEGSSLGRQRSVRTERKREKFARVLRGRDGAGSVELGELRKLAWNGVPQE VRGVVWMLLLNYLPLPAQPRLTTLNRKRKEYTQLVDQYFGRGLSSLDQQIWHQIEIDV PRTRPGVPLWACITTQRSLERILYVWAIRHPASGYVQGINDLVTPFFQVFLGAYIDTD PELFDVAHLPPHVLQAIEADTFWCLTKLLDGIQDHYISQQPGIQRLVKRMGDLVKRID APLAAHFEDQGVEFMQFAFRWMNCLLMREISVKCTIRMWDTYLAEGADAFSKFHLYVC SALLVKYSERLRDMDFQEMIMFLQCLPTQSWTDHEIELLLSEAYVLKMVWAGAENHFA NLPIGNQGQFGMLGR
I206_00598	MQPRPIPTVSSSLANSEDNSMVLDNEMATPPTTTSHINSTPFAQ SSGLAFSKIDESQPSTPPVPVRFASNTNAFGLSSSNSNLSSSSSISSINTLKENINNG PVFGISGMKVDISPPSAGSITTRKLRRPSMLSLQQNISFSSDNSGKADDESTPIGELF SSEKLNKNINGLNLIQDPSSSKTNNQIKINQKPKLLNGTLIGNPFNSNTLQPTPRWAG NGGSFANAMIRRTSSAPLIPFEDLKTSTPPIPSLSRMISSDNTIPFDIEENNKLLDNK SSINWAYTTSIGNSSGKGKSKMKEDEEELQLNSPPLDSESGLSNVLPTFTGKPLPNAL LQTLASEQKPMDHEMKSEAKLQRYLLSHPSKLPLTPRAPKGSRGRFPDQVGGDDDDAD DDEILFPTGRRISSNNWTTTRRSRNWMDRARFDQDSDTESEEEEEDENMNSNNINKIG IGNGNGIGNGKEEPVINAFAAGMDMDRPVSSSSSSMNLGSWGIFNNSNSEEGSGKSTP PHPPTNIQTNNGPNPNPNPFPTPPSSNSFPPNFNSRSARLSFSSVGQGMVPSPGYGLP SAFGGLGMGGNGNGIGTPLGSPTIERSELGASPNANANANAIGIGSPGLMHYRESQGG QATVRPGKRKAQAEDRFDPYKRPRGTSPSLLGSSPFPISPSRTSAILIPQSPSHIPLY PSALSSLSTSHPNTGRHTRPTHPYTRPMTGRSRAASPALSIGSASGLGSSLGNNGSRG FNLSGNSNNGNSNGNGNGQQQQLGGLGLLSLQNRLKEEDEKGLVEAGEEMLREDSEER MEED
I206_00599	MASRKKVLLKVIILGDSGVGKTSLMNQYVNKRFSNQYKATIGAD FLTRELVVDDRVVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNSSKSFEALDGW RDEFLVQASPHDPENFPFVVLGNKIDMEESKRMVSQKRAMTWCQAKGNIPYFETSAKE AINVEQAFQTIAKNALAQEAETELYNDYPDPIRIDSENSQNYGCNC
I206_00600	MSTFAHGPPEWQNTIKERLMAQQADQEVYKDIVDQYRRLAKTTR ELKIRNRALSKSGGGGPSSAEGSSALLTHLDAQLTSLRSELSTLYRTQAASQNKQLSM ADALRDRDEEVKGLRDELKELRDQRDTAYRKDRDWEERWRVRNKDMETLNDELLSLNL ELSSLNEQNSNLRIDNANLLQRWIDKMNLTAEEMNEEFEKEINEKENLKLNELSQAQQ EEEEEEEESQNKGKEKINSLINNSNNTNRYKEKIKDKIIPKSSQSQILKNSINSIPNK NLSTISKGNNTTIPKINLSNSTSSLTPSISSNINRNISNISSSTNLISSNNNKLNEKI NPLVENGGEGEDWEILEDAMNQPIKSDYGNKIEKQDTTIEDPVSNDLTSSDEEEDESW KEARLVV
I206_00601	MSVLQAPPPTISSLSISSTDLGQDMSAKHSANHYNGFSTSHSMK SIASTSTNGYTNGYANGHHHDDIEYEEDMEDNVLDHRLNHGDANSEASEEAESYYDVH LEVRLLPNSVQTTATIRYWVLDYMENSIANLVLGAELRGWQRDRDMKNHIATIWVEEA DRKSIPFSEVNLNIHVYRLLRNEQVADFDNDLEDTEHEESVSAGSMRTLPSTELDGLW QTLVYADNLKTRLLNFCYSTTYFSENNIDFNMIAWNRVILLHGPPGTGKTSLCRALAQ KISIRMRDSYPRSKLIEINSHSLFSKWFSESGKLVQKLFDTITREVDNEEQFVVLMID EVESLTAARSAAMTGNEPSDSLRVVNALLTQLDKLRTRKNVLVMTTSNLVDAIDEAFM SRVDMVELVPLPPPEAIYYILKGCIEEMMSKNMIKNRQLLEWTRAEDQWRTWKKSEDK IKRYSGALAQLAIKCYEKKVSGRTLRKLPVLAHTSKLSKFSGGQNRKRSLEDWIKAML EIIDSFSQSSELIKKNNNDQSEVKRTHQKSTSVVSIDRSELGHLVATRGE
I206_00602	MSKDLAFAPLEDDSDPTYTHFAHRAEFLGLLNRFLEIDITAKSS SKDDEEEEVFVKSMGAILDYYLPLPGLLDPSLDEIVPPLMDLLSRILYTLAKNDDSIN SVVVNSGRLARLGKLLNWIVKVRGWKAIVPHFPSSIPNLPMLVTLLSPPITPSTSMIP STAHHPLLSSSEAWELRVILLLWLALLLTVPFNLKALSSNTLPLSTSFGIDLPSSNIL FQSEIANLAKQVILLSIPLFSKPGKEGAYAALVLARLYSREDTSKGLKGFFVWSTAEL EENEREGETHFITSLLEFTALLPSLVKPYLLPLLEDFMDANILPHLKGSRTAASSGLI RKLAVKAKGRIWLAKVGKALNDDEDVDMPDGLEEWLDDLMGGLSDKDTIVRYSSAKYL ARLSSLLPPLFSSQIVGAVIELFSGTEEEPVTLTSFGEARWHGVCLALAEMARRGLIR NEEIGNAIKWVIKALTFDLRRASHSIGANVRDAASYLLWSLSRACPPEVLQEHAEDMA TSLICVALFDREVGVRRAASAAFQEGVGRLGLYPEGIDVLGKTDFYSISVRRMAFTTA APAVAVHQVYRDRMRNHLHHITLRHWDTVIRSQAAQALQSVLKLGDDRDIQDSLDREV KEFQSLDSVNVHGALVASRYIAELFDENDQRKIRIFEALSTIRPASFVSSQAADILSS TCSLLVVLLNQSVLDLPGAQAVLDKFIESSMKRREAEVHESVARVYGRLSELRFAEQD VTKLISDTKTFRIAQRQAASLCLGFICYPKSSEWNDKVIKTLLGLLDQSDKIDIESRR WAIRSLAEISTQKKAGAMIISLSQFKDILQTFIQGLNDYTTDQRGDVGSWVRIQSLNS IGKVSLNLDPTTTSTVSMLLGEIIGGIVKQGVEKLESVRGESAMTLAKLRKAGLQWDG MEGMNIDLEKLENEGFRQIPQDEWFASSMLYLNTHHRKYLLSGLVLSIGSQVLSLSEA AFKPLRIHLLTHPDLIQLVIQDLSEILNKNFTSNRIFIPTLQSVYKLSTSGVLDLSEA KSKSTEIGHKSLLTITTTSCKGISNIKSIDRISSSMKLAISFLSHSSSSIQLKVIQYI PLFIGHKFPRIRAISSEELYLVISSNDIDVPDELEEILLETEWTSNEFNDNNKIRRIQ ELMKEMYELK
I206_00603	MAFGERGGRGGGRGGGAGGRGGFGGGRGGGGGGRGGFGGGDRGG FGGGRGGGGGRGGPRGGGAARGGRGAPRGGPGGRGGFGGGRGGKPGMGKKGPGAVTLE PHKHAGVYIAKGKEHLLVTRNMTPGESVYGEKRISIASTNSEGEEEKIEYRVWNPFRS KLAAGILGGLDNIYIAPGAKVLYLGAASGSSVSHVSDIVGPEGVVYAVEFSHRPGREL IGMAKKRTNVVPIVDDARHPQKYRMLVQMVDVIFADVAQPDQARIISLNAHHFLKNDG AIVISIKANCIDSTAPAAQVFASEVNNMRKEGIKPKEQLTLEPYERDHAIVVGKYERH SGN
I206_00604	MPPQSALPPPSSSQPTTPFQPHSDPSSSPSISNNPPPQPPPGSS IPSYASLNSAPYTSSGPSSYSQHIPNSQYMYQQQHLGYYPQQPPRHLQPNVSYNPSNA QYSNRYPEQQHYPQQSHYQPMFQQHSYGNYPGIPTGGGGGPGPATLQNGYGGVAYHPE SYQHIPYGIYPQQHHYPMPTETYQPFSHPAQPNGHGDEVDPPRQPPQAGFSLDQGMNG QRLPNNQSFHPTYPPNHPYAYGVGVGYPGYHQHPQQGSYSGLNAYQEGGYIPPSGSQN GNATRNFSKSLNPAAAGFSFTPASGTGSRANSQPSSTPILNGESSSATSQSYPRTPST ELASETPQLDISKSNGHISAVKENTPEPQGDTSGTPQAEEAQSDLILIHPDVEEPQTI ETPSSITAPSSKPNISATTTTATESSAPTTASSPISAQTPKPLEGDRGLSISTKTNSE QSNWTFVGNSLAGLTSPDTAASSRRVSGPSGVSTSFGPNGKKRTSVSTVSVGPLRLVT ARPDHTLETLNSYSAVLKTIIPDAVQIEVIQSADQKRLVRHSKGNEEAAKKKLIYAFG DDEKKRTSSPQPSADAKKLIFGQIDLSELAEVSPSVQTHSPNTSLTIKPSTPSSDTST PAVRAKPASWAALVGGSSRTPSVSSSSKLPSPARSTASLPPTENEAGPSRLPSSEAVK SDEHASKPVPAPENKKVPFNYAAAAASGASMTPQEELAKLLSEGVKGKAKQNTQATLP RGLINTGNMCFANTILQVLVYCSPFTELFEALGQRLKADLARKTPLLEAMIIFLREFN APYPAPSAPTPNGSNTSDSSTPKGKGKDPRREAFIPENVYDAMKENKRFDSMRRGHQE DAEEYLGFFLNTLHEELLYVLSRTQSNPRNVSRSGSTPNGDTENRIERPVSPGAGDDS GWLEVGKKQKTHVLRATESKESAITRLFGGTIRSLLKTPGNKDSVTIEPYQPLQLDIQ SPAVKSLEDALKHLTEPEVVHGVWSAQRKAEVEATKQIFIETFPQVWILHLKRFVYDP KEYNVVKKNKAVAYGQELVVPPEIVSPGRRSAGSIKYRLFGVVYHHGVSASGGHYTVA VSRQDGGGWIHFDDENVTNIPKEDVIVSKEEAENGKIGLIGGREKTAYLLFYQRIR
I206_00605	MSKNSISNRGKVYSLAEVERHNTRTSTYVTYSGRVYDLTEFLPD HPGGDDIVLEYAGKDIGQVMGDETQHVHSRAAYEMMEEFRIGELGGGEKIVSEDWVAD ENFHPDETDLLSDYNMNKFIDLSKPLLIQVWNAPWTKEYYLSQVHEPRHLKESARMFG SDLLEPFTRTQWYVVPLIWWPIAAFLGTLSIAQFNNPSLSAKDLLTFPPNFSALPSTS LFSLSSWFSCFAVGVLVWTILEYVLHRFLFHLDYYLPDAQWAITLHFLLHGVHHYLPM DRLRLVMPPLLFFVLQTPFTKLAHLLFPKAIANGIISGSFAMYVMYDLGHYALHHTKL PAYLAEMKRYHLAHHYKNFELGFGVTSKMWDYVFGTMLVTNTK
I206_00606	MSNPYQQNGYNPAQLNPALFASLTNQQQLQHQQQQQQQQQQQQM SNPNSIQIQQPQQGNMTMNAAQLQKNPNTNTLDGALQNIMLPMFNRLQGQNVARIEQQ RLGLNPQQQQQQQIQQVQQQQNQQFQGLAQQMNMAGLPGMNMMNPNSQQSQSQPPQQQ QTYSQQSIQQQQQQQPPTQQQPQQQQLQPQPGQPGYGSLHSQQQGLTMQQLGQQQQNQ NQAPQQQQQQQQQQQQQMQNMQGVNVGLVGDSEQGRRLMLQNMLSQATSATQPIQQQQ QVPMAQQQQQQQQQPQPQQQPAQSQQAMQPQQIAKLGPPPQSISELLKQRPEILQLLL SKHNGDYQAAMTDMQRSWAQMQANPQQRVNQAQAQMQGQAQNQQIPPSQQQIPNQPIG VGLNGQLGVGMGFPSQLPNQPQQQQQRQQPQQQPQIPQPQQQQQQQQQQRIVSDGSQY NLPQGQQGNQYANTLSQLEALQQMKERQQRSGLKTPQMPQPNLPISSPQQPTPNVNMN QPQLAPTQPRPQTQSQAVSNTNQTPQLQNQTPNMASGSMAPPSTTNTGGSSKFQYFDQ IPTWNNEKLISATTTIAKRLIDGMQNGSSTTVNEQMSKFHLLLLIAEVKKRNIIIPQD AINVAAAFMNVPNPVQALMSMEAPMLLTIAQSNITRLLSGHNQAQAQAAQSQQAQPPQ PQQQQIQQPQHARQRSYQGQPNQQGSQNNPIELITPTMNQMNLPQQFSTPVQQNIAPQ QQQQQFAPSNQQQQQQWAQQQQLQQQQQSQAQQGSQLQQNQQNQPSAPQPPTMGLESL NLPEESFWHSLRQIHSTQNVQNPVVEGKQVNLYKLFQIVLKNNGSAKIDNPRWTFVAG QLGFASEPGQPGQPPSSSPSVANQIRSCYAQMLQPLENLFMARIQQRRQNVGQQQQQQ TQQQQQQQPQVVNPSPSLQQSQLTQSQSQSQSQVPQLSEQQRRFLEAAKNAGSSAAGP GTGTLEGLAQQVTGQHTPQQSQQTPQNQPQQDQQQQQGSVNGIKGNPLKIYEFIKSQE AQVRAKLDRNPSIPSVDKEAYRTELASLMPIIKEAENRVPMFLMMMQEMGAPEMSAGP QIVSMYTSALYANSAAESDRFILNVSDLHRIKIGLAQLMARAQSMYRAMLDKPGGQAL LRTIASALNSAKISLGGSGSVFTSQAPPQAPLTGSGNQPSALAQQANSPANLAEAIAA RHKSLRVEDLKPPPAKRSKGSKGSPATPSGSGTVQTPEAKTPTESPGSAKRPNISQSG GKRKRQSSTVTSTAATALQNATGGKTPRQLMAEVKESMLKSKIPLGQLSGNNLNLPGN INALGIDLSPNELVGQVELDQNKNFFDNQHNLSLAAGILPYNSQLSVQSQTNEQDLLS GLSLDTWQIFSQAYEAFRASQVDANSGQDQAQPNLRGTIGISTNTSSNGNNMINDNST KTSGTDDIFEQYLDVTQLAEEFPTPELYRSNSILSLSKETPESDNSPESIKTVASTSV PIIPNDTTTKQSTSQSLDKMNEITSDAAGVLNGQLSDINPEGDLGDLNGINQWNSFSS EGLMYGSEESRFYNGAISW
I206_00607	MPSSKSSKSSIDHRDVYYRLGKSAGYRARSAYKLIHLDEEFDLF SGVETAVDLCAAPGSWSQVLSQRLKPSISENGRKIVSIDLQPMARLPNITILQTDITL PSTIPLVLDALGGRKADLVVCDGAPDVTGVHDLDAYLHSQLLLAAITLSLTLLAPHST LIFKIFLSPLDPHAALLASQLRCFFLGPKQDEGDYEEFDEAEEASLYAEKEEGPDRMD ATGPNVGKDGYDLRGRRGGVWVRKPRSSRKGSGEAFIICRNFSPIRVPLPQTFSSSAL AELRQQTSGTLTLDSLASLGNDEEQGSAEWEMIKAYVGGGDLKYVCKPHDNIYSPIGV LPTPAKPLITTAPPITFQRPPSSPSNKHEQLSTSPKALFAHPTPLEGPSEYFTPKTSP GSPSPARRTVEPPILLSPERFRLDSGRLAGPAARAAALAYGSNDSGETSSRQSSLDSI TPNPSPIHLSDPSRPWASSSHDPTSTSYAGSSKLSVPGPIPSYRDRSSSNASVLSNFS AKEDYLAATTPLVSPSLSSSSKTDLFFASSLKDSLPIPPRALPNAAKLGRGLPSGISK NEDRSTSMPTNRPSAHDRMKDSQNDRTSIWAESSSSASASNSAIDSIDLTSPFPSNQG YSDFGLPIQSGDLSRKLGFEEITSTSRLRSLSNPTATKSIPISPSTNYSPATSRFMKG HTSTLDEPLATLPTLPDIKDYTTPTKGQKRPILAGHGRRESQTLEREHSQAKEGIEIE IGQIIRPEGDEQKTKTSWKLERKLGEGAFSAVWSASPLDLGTESLGYIRPGLVVGSGG KVAALKLMDRQLSLQDSRTRISFLREVEVLRHISHPSIVSYLDSFTTPTHHVLVLEQL EGGELFDLMSDEENRKRMMLPSPPTEGQWDETGEGFVRRIFSELTRAVGWLHEVGVVH RDIKLENILFTINPFKLPPTSTNSIPLNLLPCSNNQPLIKLTDFGLSRFISINSPLLQ TRCGSESFAAPEIIMGKPYDGRETDSWAMGIVLYGLIFGELPFDRESNDFNQNDNNNN NQNQNQNQNEIGYKLQLNQKLKGRKRMLKIAKCEYEFPLEINLNLKKSEQVKKLIKNL IVRDSKKRLKINQIWDQEWMKFNLPGSILRPDIIDNKSNLNDNNNNNNNNNNKKIEIE NENNSSSKFITRRKVLDGFLVEENDIEEVARAEH
I206_00608	MTNRIGDHLNEFSKNGESSRSQGVYLPTNPAIPRQCLNTDSAVS QWHTTPGYQAFWGWIKRRCERIRGKDILRGEYQGSSEGINILLKMLDSMMSWVREVPI QPQSNQRFGYLAFRTYIKLVEERLPNLFTSSTIPDHLLDQLLPLFLNSYAFGHPVRID YGTGHELAFILGLWVCVVSGWIGGEGPKEVEEDELILRVFTKYLDLTTLLQKTYRLEP AGSHGVWGLDDYCFLPYLFGSAQLLGELAIDPSSSSAPPDDIKDLYTLSLYHLALFKT GAAFSEHSPMLHSLSQMPNWVKIHSGLRKMFTGEVTGKRVVVQGLHLGGWLWGEDLPE AGRLEGTNNGSIPFTSVGEGTKAPWAR
I206_00609	MTLYILRVSQDHLTFRIPSLLSISQLFNFPIRFISTDLYRGVLI VELQKEEDVQHILERDTLVSSISELYAEGKTYADLHEQMRGNLQVLKPYMRSSFKFTM EGVNHRVVETRIRETVESFAYTALKGDIKMKNYDEEFVIFEDYDFEAAHTSEARIGRD GNFVRVYFGRRIGFGKARLLANSHEVKARAYYGNTSMDSHMGFLMAGQALPAPGKIMY DPFVGTGSMLYAAAHWGSYVIGSDIDGRQIRGRDKTKGIKPGILRAAEQYGIENLFLD CLTYDITKSPIRRGGWVDAIITDPPYGVRAGAKRLGRKEGGKPLRDEPYLMPDGTYSH TRPGYVPPSRPYELANLTLDLVQLARWLLVPGGRLVFFLPTVTEDYTEVDVPVVEGMK ELKVGDGSVQDFGKWGRRLITMEKIAQDDGPPPTFEDHEQFDPKNRPDYLPGHHAFRE RYAAGFRPRQKNDDSSPISTSPPTLEPVVNIEKGQQA
I206_00610	MSKIPNMPSEVNILKTKAANKKGQNSLDVETVWPKPEFGPSTTI DNITKEGKTINEFIVPAGSMFSLGAALPNHTSLKWGAYFPPGTMFPDGVLVPIHARMV SVQPIKTIDPMKAPADPACVIM
I206_00611	MSLAPFKVLLFDCYGDWETGMINNFKHLLDQPTSPDRTKLFEIV GPIENKIQDDDPTLLYSEVLTKVYSETAKKLNLNFDEVQAKSFGKSIPSWPAFSDSSK SLKKLSDAGLKLVILSNVDNESFEGSRKRLEDGYSFDAIYTAEKIGSYKPSLKNFDYA IENIKKDFGLEKEDILIVANSKYHDIQPGHKKNLKSAWIDRAKAHMGVEAYKDVKPDY QFQSMEEFANAIIKDKS
I206_00612	MSGSTASPSSRLRLAPHSSPISASDVARHSIINSSAKGWSPLQI NKRDSINQSPLKPSLSPDPNAPRRSSSSFKHVSKNSLVSNSPFKSPTTVQGAQAAGFT LDTGGEKVIHEKRSTRALGETPSPAKGVNVTSKAAIGLGIGISPSKRSTSRSSSGSGS GSGNAVIGQRRVSTEKGKVAFPVVERKTSSERKVSGSKENESPDVRSSKRVPRSSMGF KDLAKGTLVTKSPFKRVPSGGLSSTSSSKANESTSILDIGPSPKTIPVERDDVFSSPS PRRLSASKQQQQRRASPSASLGVGARSSNSPTPSPPRSVPQPLLTTSNTSAGPSPLSK SLSIPSIDATPTPTPHKSAMTPSRRLRGPRDLSVGLESPTRKTVTFQSVPDVKEFETI SAEPSMDGSFEVRQHDDEDEDGWADEGINMSLPRDSSLEELLSDTHAIDRHMQAVAAS ASPILTEENDGTMPEDSTTANFMDTLIEEGLFSPPTMDTPAFEDQSAFELPLEPVDND SEPPFLATPSLGGSVHATPLFAGGMFGETDSEGIPYGRTHHAERAAIAHSLSPAKIAL VEQPPLPRDVDHSMLLNANAAQPSLAIPQSPVHYPEYNDPFASASAPHAHQVGAMPDP FITIQTATQVLPIRHEEREEDGIPLGRTSHVERLQARRMLATQSLGLGMPRSPAISQG LTRNYAQEEPEEAFGEHSQGEVSHNPAPQITRNASQESEEGTKRRLPKIPAPAPMPVD IPSPVTSPNKNEQLLDTNDKLSVTEKRTSKFDIGGFSLPFIGATSPFFNTAPATFEKQ PSIDGLSTSSNEPERPLTPPPAIREALTERKESPHKLPDLNFHFEEIHLQRTDSDELK RTATIKSNPVSPIKASNSDDLKKAASAPGAREISKSAVPASSGSNNLPASASTGSLKN DFGGTSTTTTKAQDSTTTKVRQRISREMIRETINQRIAEGSLSRRPASAGDLAKSLPV SFADGLQQRRTSSVDKELPPPPAESPALASSSSSPPSSAQDLAPKMQKAHTTDNALGR VSESRPTMRPRSQTQSAHEVLKQNEKDGILDEPKSALDKLTSSLPSPAEGAVLKREMS GSIAAEMPPQPQTSARPISILRNPYPNPNKQDALLPPADVQSNQRSKSTAPASGEGMK AREEAINARRREKERDVSGVSTGSRRSRRSLSMGDVDKEAEQAKQFRRTAKGNPRLTL GIDSDQQSILDAFREEITQIDRGYKVREKAVVRASYHDKVRHNKAGDIDSGKAWKQLR RPSDMNEHAAEIRAMRARESTSGKASGTIFVKVLGIEGLQIPVPDQTTYFCITLDNGI DYIRTPYSVLGEGAKVNQEFSLVEHPNFEFSLSLDIRRDPHIIKMIHQKPEVPTSLAR PVTPSSQAQKGGFRSLFASPRKPKASKDPRSTTPLPTPPNTSVVKPDNIIKYLSSSSG STIAKTHIAFKPIAKNCESRVLEIRYPMFAITASSNGTTRKQLAKITLQIFRLPPIPG LKGDELPQCIDEALRGMRHHAWHEHEYHEGVLTQEGGDCTMPKRRLFKLIGGNLVAIN EVTKKEVTKIDLRQAIAVIDLNASADGTPKSKLTMRPRSSDEGLSTRPRSFMVEFKDG EGISFAADNDKSKEVWMDTLNGLIGKIPTNPLWAELLSTRQKEKIAKRTPSSSSLAKE AKRQASSSGRPVSMPLSGPSKTR
I206_00613	MAEEELPPSKLGTKGHWDEVYEREVRVFKDVGDEGEVWFGESSV SKMRKWAQKNLPQTDERIRILECGSGNGTLLLSFLTSTGDPPFAQKFHLTGIDYCDSA TILASSIEKSRRENIKDEIDEDEEILNEVKCDWRTEDLLRKDFKGENWDLVMDKGTYD ALCLSQEKIKNTEDDDLEDDGKLSSQLYPERISKLIKQGGYFLITSCNFTEEEIKSRY TKEGLGKKIFCQ
I206_00614	MNPLLALQSQYAAVRDYMSPVLKESKFKEHGRITPEEFIAAGDF LAYKFPVWQWEQGTIQRDFLPKEKQYLICRNVPSLRRAAALDYTDQDEDAEKLMSFMD EADDDKPQGDDDDWVATHVGRAPQASAKDIDEIPDISDSPSMAPAKDDPPTSSMAGLK LDEKEGPLEVEEIPDIDDIPDMEMIDEAEGLEDDIVDEAAVRIVHPSEAAIQSTAKQN LLQVRTYDIFLAYDKRYSTPRLWLRGWDENKGPLTAAQVFQDVPSDHAFKTVTMEAFP HSGEQMASVHPCKHASVMKKFIDRMEATQAEREGSSTGGEATKAEKKKWGLGGMVRRV TGTGQSSKDEKDKRGEIVEGEEEREKGVQVDMYHVIFLKLMSSILPSIEIDSTTSTAL 
I206_00615	MSSEPTIVPSSAVNLNGGTPSQGGTSTPLLGSRGGPTGSVGAGG SFGVKSGLAQMLKGGVIMDVMNVEQAKIAEEAGACAVMALERIPANIRRDGGVARMSD PGMIKEIIEAVSIPVMAKVRIGHFVEAQILQAVGVDYIDESEVLTMADDQHHIGKHAF KVPFVCGCKNLGEALRRISEGAAMIRTKGEAGTGDVVEAVKHQRAVMADIRKAAAMSD EELYAFAKDLSAPYHLLKETARLKRLPVVSFAAGGVATPADAAMMMQLGCDGVFVGSG IFLSGDPAKRARAIVQAVTHYNNPTVLAEVSTDLGDAMVGISVAGEKEIKGGRMAGKG N
I206_00616	MATSFVGRGMWPKIWLWICKGWVYIVAVGCLIMNLIVWIIIFIE NTKCYTEKMDQYLGNDQRVYDRIDGDPNARYVANTNIPKHLGGPVFAAAFDVAMWMFI LIAICADLFWRIPFCRRILGKFIIFKFISFSKLSLANYEFALLKESMWTNGLYYSPEQ KFIDIEFFFLVASAFLKIFIVVATGSQTYTGLYPKQDQPQLDTPLFCLYATLCLAISI PFTIIALFYILHGMKMKEYKDELAAAEAAAKEKKKKESA
I206_00618	MPSSFTGAAGGGSWADDMDFPSAPAARADTGPKKGEPGYLDSMP DRAARTSTNFPGAPSQREELPLPTVPPFTAFVGNLSYEPDLEDAVRDFFTDLNPLSYR ILKDQDGKYKGFGYVEFPSQDTLKEALGRTGAQLQGRTIRVSVADAPTNRREPTAAEE SSQWRRATPLAAREAPIPARRTSSFAPSEPGPDRDWGAARGARFTPAPPAPANDFRRD SSGAGRLREPPTPTAADEVDQWRSNKPLAEAKAGPRDLPPHTRGGPASGQSSPGLADT ENTWSRGTKVRTPAAEVPPARSPVQPATEERDWRSARGTPATSQPGSADGESPKQPPA PLERRRLQLAPRSVPATPSTASIESESPSSKSSIFGNAKPVDSAAREAIADAKLAQKE EERKKAREVEIAKKKEEDEKAKAFAEERLNSIKAAQQKAEAQVSGKPQPPQQQRQNSG QGGQRHPPKQQQHAQSKPAHPSRKASTEAPKKDDDGFESVSHTRKGSQNSQQQQSNAS TVPKRDATTRPAFSFAAAARAEGGFVEGDEDIEEAAKGVEEVKI
I206_00619	MSEQTTVSPCCITGHVHEGTPLGSFEIIHGLRTYVSNPKPPTSG KQNVVVLISDIFGVDLVNTKLVADEYAGNGWKVLLPDFFEGDAIDHNLLNAIVPNLRV QAEATVASKAVDSAKMAAALGPWITKHREAVSKPIIEKFFQALRADPSTGKTGTIGFC WGGRYSLVLAQDDSPARVDVAVACHPSFLVNDDVKPITKVPCAIYKGTADAMMTDEAL DEVETILKGNLGDKLSVKKFPDAVHGFSVRGDLENGKEKAQKEEVTKDTVDFISKYFN 
I206_00620	MSSGSNLTSAVKELYDPALSVKVVGVAKKYDTDPNGPPPSRTPE YTKPGGPNNYHCSKNVTAWTQGFFPGTLWLLAERADLDPSSIDSHYTKEDLIRLARRW QADFKYQARPSPNHDQGFRFQLSYGKDYAMTGDEEAKEVLIDAAESLVDRYNPNAGCI RSWDSMTFVEDGYNYTEEKKDQHYLVIIDNMMNLDLLYEATKLTGDERYAKVATHQAE KSLISHVRKDFTTYHVANFDQKTGLPIQMRTAQGYADESVWSRGQAWAIYGYGQCALR TGRRDFIDTARKLTDVFLSLLGPSGVPEWDFRAPKPCPYDASAGTIAAVGMLWLYKLL QPTDKTAAEGYLNRAVKLIQDTIRECLTPQASLKANGETDFGKDGWETLLAHSTIVGN PKSVRRLMDHGLVYADYYLVEFSNQLLKIQQGK
I206_00621	MSKKEDIIPTTEHLEDSHDAYHDVDAIKADRDRHEVADDEARNY VNPDLVITEEESRKMRRKIHKRVLPLMCLAYITQAMDKGTLGPASIQDVKAGGNDYAL TSTLLWCGIIVGEPIANQLVRRFPLGKLLGSAMIVWSGLLMGLTFSLAIPPVFAIRFL LGFFESLFGPVLLSITVQWYLKDEQPFVSSVWQSMLGASQAVMSLFGYAFYHLANKPG GLHGWQWMTMTVSLFSFCSAIITFTMLPDSPTRAKWLTEEEKSRYVERVRSNNQGIKH KEFKTAQIKEAIRDPYTYLLFLLAFCNTLVVGGINTFNAILINQAFGFSVLDSQLLSI PLGAMVVLTYFLQAFLVTKTKQTLLVMICTVIPNIIGTILLITIAPSNKTRGVLIFAF YLMQFFQSCNPSIFLMLSRNSAGQTKKSFTYATTYIAWAGGNAIASQLFQHRWAKRYL PSLYIHLGLYGAFISVCLVTRILLVRRNHKKIAAQTTEDGTEINRNARAFEDLTDIEN PDFRYSI
I206_00622	MPRITPQVSAIISNPVHSRDDLIQLLSDLLKPITDAQSEGGARV SLGNTGTHFDSVAAEMEGYARALWGLAPLLAADPDHPLLKDLGKKWREGLDAGTDESR SDEYWGDHEQPDQRFVEMAAIGISLTIAPQVFWEPLSPAAKKRVNSWLMKCNGKIFPA NNWRFFRVLVNLGLKSVDAEYDQKAIDDELDFMESYVGGHGFPSDGPQNPAAGAYDYY ATSFAIPFYSLLYATITSRSPKLADPERAEKYRQRARANVPYVINLFAPDGAAIPFGR SMTYRFAASAFWAAVAYDDLELPKPYTWGMIKGIILRNIRWFTQKAEVFNRDGSLSIG WAYPSLFMSEDYNSPQSPYWALKSFFILALPASHPFWTAKEEPYPAELLEKPYFIVKP WMQVYTHAAGHTYLSNGGTNVAFPLRQTAEKYNKFAYSSAFGFSVPTGALMFAQHAPD SALALSDDDGKRWVVPFKVEHLGISDQGVLKARWYPWNEIEITTWLVPPSTTSTPYHT RIHQLKISKNFQRNLKIADSGFAIHSHFGPLGSERRIPIKDIPEEGVAARISNQTSAM AVSRKGVSGAIDLLPSQGGKGSVEDTDGNSNLISPRTVLPTIFHELKAGEHEKWIATR IFAIPFSEDKGLETTGWLKGWKDSQEGYAGVEEMKKDLDIN
I206_00623	MNTIPITLPFEKGRELPPHLSAANQFYDSSSPSASSSSTPIPYN PSIFPSKSQQGLNDQDEDLSAGQEDEENIFRDMSWEEILEDLNARFLINLPREEMSLV RVYWQAEQAHWFYEDYLRPLNPLLPSLSQRVFTRIIIESSPLYASLMAQGGVDYDQVW AEYCSYKRMVPCCGGILINQDGDKCLMVRGFKSNAGWSFPRGKINLEESEVACAIREV EEETGFNLTGMIHEPDKIKTHINAQEVTMFIVKGIDESTVFETQTRNEIGAIDWVRLA DLPTWINKRGPKRTGGNGQKKFYNVTPFVTPLKHWLKEHGIDPYMKPKKKSSHQANHR DLQPYQFESPSPLSASPAPLRGSSALDHLFANFLHKQEEELSAPKQQAAVGSDAKAGM ERLFGNLNVLKEEEDSLHTRTDAGLSDQERYKKEDTDLVRLLGGIGIAQTPAPQPPKV LPSTQKQSNLLAMLNAQPPIAKNDSLASPVKPHQAKLLSVISPQSTHAQVNQIPSKPL SLPTSPRPASPSHDAEDVQRQAKARALLDMTIAGIGIDVPANSAHQIDALTSSHSAFL PTLPQTSSRSTGSTSGGSGIGAGITPPGQGSMPPVHQPPPSSYTSNQGYRPNTQPPLG GYNVPRPPAGPTNPPQNVYGSPNRSAQPPPPYEAASRGGVPEYPAFNNNNQGYLPTGP SIYPSGGNVAMSQNRPPPVGPGFANVQSSTGFRPTSNPLPPPGNYGNYGHNGPHPPHH HLPPSNLQGGFVPPHHTANINLPYQPRPPPAMGIGSYQLQPNSYSNMPSQQPQPYSNS RGSPPRQQNVNNPNVFHPVPRPPQGQGATLLAMINGNNQQGR
I206_00624	MIPTTPVFISPEVSTYSLDPSPRPSIASSNCSGASLLTPIDELD KMSSDLTINTEEVEEVNAELVINAKETNDSFMRRNSKANLPNLTVPPPAFSNFSFGTF SPSASSSSSIGLTPSPLSSTDDVALPSEGFKFGTCPTDSFVGTPTAEQGPFEYPVASG SSSGFSASPPRSSPTLARRGSLALGMTHRRGSIIASHPHPNITSSHGLSPPPTRRSST CSTITTLPIAGRRPSIIHSATVEVNLPQTHPSVPPFEEPPPSSASSSRRPSVLMFPSK PLPAPIPPSLLARRGSLPAAQLFGIPLSDQPNRTRASYSSGSNPVSTASLYLRRQSMA SESGFSNGSGATVMENSGLGDDHGSRRLSMRSGISSTENDKILPLSSQRRGSLSFFPP IPAQSPIRSSSISSTSTRSSIASSSSSIRSSTSSRVPINFSPRHPNYAHTYGPSRQSS ITTTSTNSLPCSPKVSKINRRNRKSITEISHSSSSSCEGNSNLGLSSSEEENENENEN EEELPTPNNIINKKIEFNQNQNQIPSIVPTFSNPWNNTNLIENKLNDISIIENNKYNI PLETPPLETVLERPPLETFDSGATEKP
I206_00625	MPQPLRPTDSFNSSTATIVPDKKKSEAPSTRFWNQGSSSNPRGL ASTSSLEGHINSALRVNAGGDDGMIGILHTQTQDMGGGNLSGLSFMREYLNLPDSQSS EPSASQSSSTGQYMNNDAQIMQQVHDNRPAINNDTFEPITSPHSNFEPIQSPHSTFEP IPSPNTVNNPFSTLTSPVNPMPEYSFRPSSSSSYHSAAASSVDASDYYSTTDMDTDDD DLASVNDGPPLAVRSNIDDLGIANMDLTGRPAIMTWTQYQPSSTSVSPNNIQPKSQAT SPEQKVVITGSTAPTQAKAGSSKGGRRASGKNTAAMTDEDRIKADKLEHRRDINRRSA QKHRLQRKRDMEEMAKKLAEKDSVIHQLQRDLEVEKARNDQLRNLMNTQLARGSNKPI 
I206_00626	MGLASKLAAAQAGGNTNPYGGAAPAPAQQQQPQQAAQQSYGAPQ GQYGQPQQQQQYGAPSGPPPTPGQRPSSGQYSSPSGPPPGQYGQQGQQQYGSPAGPPP GQYGQQQGQYGQQQGQYGQQQGQYGQQQGQYGQQQQGIPGQQYGNQPPQYKPPQGAAA GAPAPGGGPNAQAILQSLTQCVQEQKIQAFYPPGSLDAIAQRVAASGVLSKIASEWRM PLELASDLVKLSLFDVILYVDDSGSMAFEQGGERIDDLKLILSRVAYATSLFDDDGIQ VRFMNNRLEGNGIRSEQDALNLVNQVKFSGLTPLGTSLWQKILQPLVLGPAQQNRLQK PVLIIAITDGTPAGENRDEIFKVILRADNELKRSRYGPDSVSYQFAQVGDDMKAMKFL EELDNHPQVGSLIDCTSNFEAEQAEMMRKSGIDLSPEMWLVKLLM
I206_00627	MNHTSPPLPNLHSLSISPPPGSYPTNNNQYRYNGVFGPPSQGST VSPDWATSPKRTSRSGIPQGWYEPNAPPSRESPNLNAFEAFRRDAPSPPALSPPSSIT SVPTTASSHPYQSYQQPNYPPVDNFMPMAVTPSPPPVMSYQLNTASSAPNLAGYNAAG GLPQFGSQSLASLNNNNRSQANGWRNGYGMPSIPASDEDVIPTAIVIKNIPFAVTRET LLGVMESLGAPLPYAFNYHHDNGVFRGLAFANFRAPDEAASVVAALNGYDVQGRKLRV EYKKVLQPGEKDKIEREKALKRMRSIQFDKSEMMPPPITLPNSRPISGVSMNGGGGYD NNSPPQSATSATSDSLPVTLDMNDSAVLDIYSRILVFKEDRMRDELAFSKNLTPTERR IVHLVAQKLGLSSSTRGEGESKSVVVLRDAPSRPTLTSSSSATVSSSYLSPYSTTPND LSPNLRIKKSMPDLRGFNGPVVARDPTRSLNPQRSSGNLRADAGGRDYVSMGAAGGRR AFGNGNGNVANGFNSGSGSFNNLFGSSIGDIPPVPPLPSGLGLHSKGHSISSFSTIGA GTSDPMGEMLSSQPLRNPRGPAGESRGFGGTLRSSGNRTGTGGIMGRRVSEEDEEVEN SSVGGRSGSGSGSIGSNSAVGSERHAALNQANGNINGNSNSNLNGPQTQSQGFMEGMR TRESLEP
I206_00628	MFTDFITPMSNDTISQVRFASIEIDRQSPPAYNAASEDIDNSIE IDLSSLLSQAQKQQQERSSSSTKLNFDIGSNSRIRRKWEDIPATAFGNILRVRNGQSE DDDITPTNSTMHVPFPNLKVGLPEQPKTAPLRLWREFQPLNELYSAPLTPQYGQTSSL QPPPIAEQAGLTLPTSSDNRPLKLGTTSTDNVNNVKNHLEGLSIHLEGLFDQVKQLEE MKKEVKYWKDVCLGLEIGKKDLEVVLAQTQKQQIESKFAVLLIDGDQHIFHESLLQAG YEGGQEAAKTLLRVAGTARETTKVLVQIFVNKSTMGATLIKNGIIQTWAKYDSFWQGF SAFSGFITVCDLGSGSEKAEQKIEVRTDYPRDLGGTVRQPHNVSISRSQAHSSANILH LSSNQNTSIGSEKIFIPDLFQTVELIPLTAKPAVPAKPKGPEDQIEVYDWATYGTPCD IKGNEKHQDKIKISSISVSSQNTSSGDDLSPKRKFRKQTKYNKVAAEQVRKLEPRPCH THYLSEWGCRDVDACSYGHGYHLNNAQLGELSRMAKSVICPYMKDGRCRYDDQDCVYG HKCPSASKCPFGEGCRFHSIPNGHGELD
I206_00629	MVNVRLGDTAPNFQTETSQGKIDFHEFIDGKWTILFSHPDDYTP VCTTELSAVSLSYADFKSRGVQLIGLSANNAESHKGWIKDIDALSPNGPSLEFPIIAD EDRKVSELYGMLDNLDKTNVDKKGIPFTVRTVFIIDPEKKIRLTLAYPASTGKNFQEL ARCIDSLQLGDKYKITTPANWGVPINGKTEERVIVHPSVQGEKVKELFGDDVDVVYPY LRFTSNPEKKQSA
I206_00630	MAPKLKSSQSPGTKRKLKRGFGSSFNDALVKNVKADLTTLDEQA ATRYAFIAKWFEKCASDLGGVSVNGKKCMTWTEAMSDEVGLWKLQTYKTVIGYYALKA KPSDKTLKRIDVQTLLSFTYRIHAAHNFWHNLSGDPQLREKLKHFIDECEVTFKLRRS KFIRAALTPASVYALVQQSARLSLPIRSILSIVLFQAICSSTGYRAGSLTRAASKRLM SAEKSGLKYSSITAWAVPGSTGSKNTLIVWISPRSFKATHAKGSSAVLMDNGKIGVSA NYLIMMAAELDGVLGDLTVEKILDPAFLGNSSKPRRVVFDENKVDQHILVRRAGGLSL CTSDFVAAAVYQKHDYETAGQIMQHRHGSNVTGRYFGAALPYVATLALYGQTVSPEDS FHMTRRTAVVTEDAPRALTPDELDAVLADPALLKLRELQNELVDSIAKEYGDLSLAPA SLQKEFRRLGGKWRSKVIFGIETKFRQSRDEWFRRKRQGTSEERENLLDTPVKLLEEE PMDVAISQAQEVLEYIALPELPEIDSDSASPVNTKPSIPSTVMIVDDTNRNRLAELLD ENSSSIDIEETLALLHKIEAGEGVKGSMDWMMARADRARRAQTHRLTSRTRQAKKARR SKDKEEDEFESTEGDDTDDMWERYLEEEKEDGDDAETDKDIAEISDEELIDEDDEAEE YWKDDEKL
I206_00631	MKCGVKFNFPELLRCVDSLQLGDKYKITTPANWKKGDDVIVHPS VQGEKVKELFGDDVKTVYPYLRFTSDPSKKQTA
I206_00632	MGREIISLQAGQAGNQIGAQFWQKLCAEHGITPQGNLEEWAQDG SQGDRKDVFFYQADDEHYIPRAILIDLEPRVINNILSSPFKGLYNPENIFVSKDGGGA GNNWAQGYSAGERLYDDLIEMIDREADGSDSLEGFMLLHSIAGGTGSGLGSYLLERLS DRFPKKLIQTYSVFPETSDVVVQPYNSILAMKRLVNNADSVVVLDNAALTRIAADRLH VQDPSFVQTNQLVSTVMAASTTTLRYPSYMNNDLVGIISSLIPTPRCHFLMTSYTPFT GDEIDHAKATRKTTTLDVMRRLLQPKNRMVSTISTKSSAYISCLNIISGDVDPTDVHK SLLRIRERQLANFIPWGPASIQVALTRKRGGPPGGPNSNRVSGVMMANHTSINSLFKR MLHQYDMLRKRNAFLEQYKKEEIFANGLDEFDDARRVVAELQEEYVAAESPDYIDYGA GADNHVGR
I206_00633	MHRTPPWTHKYWQKFLHNPADLLSPPIIIPELREAPWAGSWELP YLTQSTYTSTKHSFEEEDKVRYRQITSPALIMLHIFSTPTEKSRKRRNLIRELNFLDA IPVEYRHLVELKFIIGVNKLPDQSEEVYEQLKEEEMAIEDELKKYNDLIRLKSLKNGE NMNNGKSWEWLRYVGREGARQAWWVLKCDDDTLPLIPNLLQTLLTLDPNQPTYFGSSL GRWTGYHYYFQGMMYGFSWGVVKTMAVADVPNSTRNYHWDEDARMGGVMFSLPLSPHA DPKQPYCSPPDRPNPMYSLPPPNPDPCTGLLRVDMGSKIGAWNNWLIDDEQTAIAWHE LKDDHDYRNAYEQAKEGFAKNGKEYKWVAPEVFKSVAGI
I206_00634	MPKKVSRTTITINESNGDESRPSDQSKSSRWNDPRLRTNRRQGS TLTSAAPTALPFGNDVNPPRGRIHSISRLAPDVKTAIHPTERPPHHPSRRASRSRPAG NGYPVTQANPPSQHTLHGRRSSFSSQQPSQPQALESRSSFSTHLPSATQPQGIPTRSS RSVSRGPSCDRHDGQCSLETTPAGHKVLVHRSASQDKRREHRNEESHSPPSVSELSSR RARSSSRATNLPPSQQGLTEVLMANERRRDSGHPTGQKVYHPGENGRYDRTAQNTGLY VVDGSRGRAGDIHRVSSTRSNARQHSSRQTPRPDQKFDPSKMSIACFRRVTNHLSEKQ DRETCDVRPIWTYSNKEELLLTSPYHFRLTNKEGISRWRMRCPHTDGKSKNPSEECRI LHVDMDLSAVSLVPKRSDNSVDYSAYILPSNQSISMTNNGDQSGCQYDDLQYQRLEEK IEDNEVIQKAMKKRYVEWSKTRQGRSRLNTEAVYKNLLNQYQLKQRKLEDLRRELSFD DKVRSGPEIMSSKKEERATLSDIQNRVYTISQDSKSFGERVSTSYQNSMQSLNYPSII YPDRKPNSQLLSRLMRLEEYVKDLGFRDLNGLNSKLMDTLARDSASGTSACNRNDAPR IVKLNVPGDTDQTYTNGEKVVNETNPYSLAISLAGESDKVAREIVSRVKSLILDTERD QENTKRNVDTLKGATDLANMTQRNLDSLENSLVQERKGQFDEQEREIRGLKENLNLLE ESLFG
I206_00635	MSSTSQTVNVTFDDFDPIFLWPDLTQWSTPNPQDHPEWINATES ETGLPWHEATLHWTTTKGAEFSLNFTTTEIWLYGALNVSSPSYTITLDGQSTTQNPSS VSSGRALLYSATDLSQSSHQLTVRNEGEGLGLDYVVLGYDLGENPQNQTIDDALDQIQ YDGTWTRQGGNFFNGTNIYTQGPGNSFNFSFAGSGLYIYGDSVQDHGDFSVYFNDSQT PYGTWNARTPCGGQVEFGKTCEKLGSLKAFIGNLPAGTHQVKVVNDGPEGSNATFFDF DYVEYTTPSTYPSFTLNATCANGICADSVSPSNSASGTQSTSPSSSSSSSASPSGSNS ASGGKTSGSLPQIGVANTALFGVLSMWALRKLVLGRLS
I206_00636	MSSDDDEYPPIPPPITRPEESDEREIVRFRTRSGYETILRRRKI DYRDERGSLSTSPVHFSWTNREGYVRFYDICEHNAPHGKPAECKIDHRRSVQLSEVAV EKIHPTDERYYAAAILPLNHVYLRHISGDSSRLSSVQNDCEGRSVADFMRKFQLHHDE YRQASVLYNQSASLENLTKEFNSKINKYGLPSCEFPDPSMDPVELGARLAQERHDTEE KVRSKFVGNPDVSTYLNRLTGQYFSDVIKTIDPNSQYYLG
I206_00637	MPNPRTFFDFSVGDKSLGRVVFELYKDVVPKTVENFRALCTGEK GISKISNIPLHYKGCPIHRVIDGFMIQGGDFTKRNGSGGESIYEGPFEDERLEGEGTE VDKKGLLVMANRGPNTNGSQFFITLAPSPHLTGKHVVFGKVIFGLEHIEAIGKLSTDD RDRPLTPVVISHCGELELKRPPPKQIRSPSVSSSRSRSVSPDRRRRKSKSKSRRDDSE DEVSDDSLEKERRKSKKKKDRKDRDRKDRDRKKEKKPREETEEELDMRLEREEKERLE KERLTRLEEMKKQIELERQKIKDEGGVVYKGRGSMRYLDPETTHRSMPRNFDSRATDS RPRAPRGPPPHLSDRISNPRDRRDDRGFDRGDRRENTQRDRLDRDMDKWQHDRSQAQS IGDRERAKFRRGRSNSLDKMRSPSPPPKGRSRSRSPVNNGNERSISPRPSSVIPTRMR SRSKSIGARSDNSDMVLDLDD
I206_00638	MPPSKSKQTTLFSHFDPSSRDQNAGPSRARSTRNNQPLFDPSDS EGSEGLDHVRMKETKPDITKLPMTQRRAMKHTVFDQKEWEEQEDEEEEQIESPRKRKS TQSKIKSTKPRVKRKHRSECSDTDPDEEFISIPLEGYLFPEYRGTHSQKVRRRNERLE AVEIERANKKADILTDDEIELFPSPLSPKGRKGRLGKDKITYGKGKGKSKVINIDDEY EDDEIVAIDPPVTSHRATRANASKLTQSSETPDVNKSRNIRIHGKAKLPMKRTRSEVI VEIPKMSQENRKTYLPFSASNSSESIGQSSSNIMKNKFKPTVEIPSRSKKKSPIQKSS TPESLPPILEVEMSDNGNNDDANEDDGSYKSKPKSRSKSPVKGSSPLKRRSPNKEPSL TEEGHPTTSAAWIKQFSPVRESSPSREASKVPSSKRSSVDHAKVDSPIRQASQEAETP LRRKQVLPAAHAPFELVDTPPRQPNKGKSKGKSKAKPVSSSDEEDSMPIRRPKKPVKV VYAVKSSHPKNRNKTSKPNLKTKAKRIVDPDDDNGAETENEENMLDDLKMDEPERFKS VSRLRKRPKETATQRNIRKLKNKRLGIVESSTEEDNSSSMESDPTDSDDETATDDDSN VEDIIVPDDSTVIRAQLPHEFSSNSAQTPEFKFKVVFHYLVMIVMKGKRAFPLSSEAA NYFKPQLNQFRNRMESYRDLRVASQIWRMNFKRALKKYPLFDVEELLHAEPGCDACHM GGRMSKFRVNLDGDQYNPETHQPLDSSSSEEEDSGSSDDSEAMKRKKLPKSLLMGRFC KQRAEVYHQMAHWEDTLFHRIRGYYRDLLRAKYKPVPSDSEASTPESEIESDEDSKER TQRQKNRRVRRQASQARCERLRNKTLPSDVKDVDGVTEWMDKMGYQNKEFRWIERLID QSGQLEHSKDRDE
I206_00639	MTNPARPFPRDGRLISLILASKGIQDTDERVIHQLLDFAHRYTA DVLTSAQSLSDHASRTGSNLNKIEKEDIELAIQLRKRYEFFEAPPRDYLATLSHELNS QPLPILPESFDLIKLPPIHQRLGEVNFNIIPELNLKFSSENEEEEEEENDENQIENED ESSEEEEEEEDDELENEQIEENGNEINGNNNLNEDEDEGMEEDMEEVGIENSINQPEV SRQDREVDEDYDI
I206_00640	MSSSSPFSTPSSTSSSRLPDPTSPQIHAAQELELDNHSSIPEDV ITPSASTFNVSHSPSKPSQTNMNENEITPVKGKSKGRSTGNASKVKAAAALFENKTSP SSSNFRSIPPNDKSTGPVTRLTPSPSNRSPSFNSISRSLSSSPTKSPSFASSTSPSLK KTQTVLKSPDLILEIPFIKNESNVPSPINILSPIPANTEKFSEVSLLNDTPQEDIATT PTVSRYTHSVSPNQNATSSISTSRSPSIAESSRSQMKEVYTPPAKETPVGKTSFFSST LNFGFPSSSASSSTSPNNVNIVSEPQAEHPADSQQPSRSGSSSSQAGGWKSTMTSFLS SRSTSTNSQTGVTESTTAEPDAQQTPKRPTGMERQPSAETSFILNRVDSSTASRDRRI SSQLGGGQAIREGFERVRGEMECAAREMRRERESKALTESEHGDDQLEEEENGEETVD WNFWGSVVQDFESVARERPKELSKAIQMGIPPVIRGAIWQLMSSSKSTPLEETYKALL KIPSTHEKAIKKDLSRTFPHHKYFQDNAGMGQEGLFMVVKAYSLYDPEVGYTQGLAFI VAALLLNMPDEEAFCVLVRLMDSYNLRSHFTAEMRGLQLRLFQFDRLVEEILPLLHTH LVRQGVKSSIYAAQWFMTLFSYRFPLSLVYRVLDIVFAEGIEAIFRFSLALLKKSENQ LLELEFEQILNFLQSDLFEVYRISENEIEKPDAKTGGGDEEEWKANDFVRDAYEIRIT PFMLDSYASEWEEQQKNQNRHALEVDTLRNANRNLSSQVKQLEASLATINQEHVELVR QLVMSKIEKEEIENELVRYKMLYAELAHAQQDALSTHSRLSGGSIHSQMTGDKPSS
I206_00641	MVSAKASSSAPPKVKSDKKVKIKTDPTLKSKPKSKTPQFTTPEE VPSDIDDDDDDENMNEHQDDDASSSSSSSSSSSEVDSDENLENITPRRSTQNQSTIQE KRGKSLKKYEPPIGMSELKPSSTFASSPFEWDALASKPGVELWAIRVPKDLKPSRLSA LQIQKPKSNSSSITGSLKTKNSNYTLSSAGTSLHTKTVIDEEGRQPTAGPSAMDSLRM DIDERDINEEMKVEGGEEMDGLKLLVPKFKEGGKFFTVSKPIIRKLILTPSLTQSNNQ QSSNESNEIPSIPSFLSEPIISSKITQSIELPPKRVQPTHLLKFRNHAYGFTTLGPNS TVVKSIEIDGIPQDQLEEKKEKKDKEKEKKRKIDNKDSPKKKSKKLKE
I206_00642	MPSDIVVISDTEEVSDEEIAFVGINQIQPKSRINQAGPSRPNQV PIFIDSDDEPESTDENGDQDIFTFLSSTIDIDNPVTRSTNISAVKSVSQAIKVTNKDR KGKRKAEGVDLLEAKALMEEFQAFENSISKSSIISKLDAITSEIPSETQLKSIEDEDI EDKTKKKPKKRKSSANGDDAGSQMRQRSTTAIDGGSVPPKSTLSKEEKEALKAQEKAE KQFQKDTAKAAKEAEKSYQRKLAEVNRLRSSKSDTVREIHLYLSSDLSHPKSPISGAL PEIKKKMTDNFSELHFLHEDQSPICGVIKFKRHLKARWDSEKKRFIPLEEPKWVWEGI ILVLVEAEEIVDKISTSSNVLGDDAILNEKEDLSSWVSDIRLNLNLKKDDQVIIMIKG LQKYYSKTKSLANKEFTAAARAGLNGSSSTQGGSKSITLPTRPEKEIIEMALVELQVK ERCFLVHVEKTEDIEDWVYNIAADVAIRPYKLISKSHLNFCPTDGIRKSTNPTETFEL MLQEVTGITPSASMGIASEYPTFRDLMESFEKAERRGGVERAEGMLADCEVRHTLRNG TANGRKLNKALAKRVYNVFRGEDSLALA
I206_00643	MSPTASPFEFPNALDHEGDHQMIDPSSLRLPDSIHGSSAASDHG SGSITPQPTHVDNSVSTSSFWTNAAADMSGSSQPPNPSMSSPWTFDNLNNPSTSTTPS VDAQSESGVLSMHTTPSSSSAKFNFPQSTPSRPSAISNNMMFRSANELVQTPSTAAST PWPSTASTSAMDHTFMPPPPPNTASQSMAAPQGHSGIQGGMQGNHHHHMSLSGAFTGL SPMLAGFSTSSPTFDQSIQFTPGSGSLVGSPINSQLNRPNGPIRTFSASAAIPQTNRK RSNTLMTLASSSPSSSSGPHSYPYPSPRFPTSLMNHHVHPGILPQSASRLNRQPSAPI MTAEPKRVFHPSPATAISPAIGADMGQMSPEDGYNGRLGTTMMGLGMPMGYNSMPMGM MGMGMRSTPSMEAKPPRFKPTKEQLDILIKSYEENKNPDGPAREALAKKLGPDVRPKT LQIWFQNRRSKSRAKERDANLPKPLHTRGGSSSHGHRSSSTASASKGGGNKGVDMEAL RGLIHDDDPNLTILPISVLSIANWTRFLMPGSGISHPDLAAAVRFTHSTHPSLYAYVV HQTDTFRIEIPINPSAISNLQSVENPSLNSEAVAISFELSMNTAKYAAWNEDEHTDHG VWNEVGDFTGGETQGGGKCELTGDKEVLLAAFSRVHQYLASGTDSSLSDNIDTTKTSI PGNLWRFPSISSSSPFPFSTSSIQISPFEHPVLNHLQTSHLQKQQAFSQPDLKSSSPS ESVDSGSLSEFEFTSKALNFSQNESHIQQTSGQVPLPSTATTSAITGSFDSKNDFGYG WNNNITSPNQLNGSSNNTSQPTIGQNTPFTNWGFPSMNSNSNPTLTPIENTTNTNILH TPVFSTLDPSTIIEGTNNFNQFQTLNSGFNGNMTSNKTTTNVEGFTMTRGEEGDQSEC SSEMDLSTPPFEFEEDKLSSNDIIGTTKIGEEDGMKRTNNVTFGMDSNPRIDL
I206_00644	MSVDISDPQIGIVRNKIRDQNDPTTWILLHYSTSSTSPNTISSS SQNITLLASGKEPVLPRWKEHLSDTNEDVLFGYGEIAGQGLVLLFLRDNVGGVKRARA VVHSRSVASLFPDYSALITIAHPSQLTEELISERLSLNQSSSLPSAPKPKYSIPGSDL TNPLSPLNRDGPMPYLPTASSSRSVSASHGETIHQPSPKKYGDIASTSSTPSRQRYND SPNRRDPRVISLNDTPNRSNTASPGPVLSAPIALGSPVKVENRGISSSDYFGDSTSPR SRKTSFGMRLKNTFTNTKPSPNQSIDESPSTPNSQPVEHNLTTSPKESRFKSNSLVKA FHRRRSSTQTTNTPPDSPQPNEFGSSSLATSNELTKGIQYAPPVPPKDLPIPTQPITE DDSIAEFDEPKLPLQSEVPTPSSTNTVGHGSTIPIPIDTRSNQLSPSPSARQALYDAR QKSLTAETEIQERFRRDQEIRTRANENGNGHESLEEHANGHESDEESVRLAYDRSEDE EEHPVEDQHQFDNAVEDSGEAIQNELERETEITPTIRNTGQLQQAEKISQAAEEHAER EAALRVEEERIKHLAEAEKHAQEEKDRLEVERIEAEYKVEEGRKLEEERTRLERIRLQ EEALREEERVRLEVEQQAQREAEDRARVEEERLKVEAEQARIEAEQQAERQRIEAEQQ AERQRIEAERQAERQRIEAEQQAERERAEAEELARKQAEAAELARIKQLEEEELARRQ AEEKAQMEKQAQEKQKAEEERVRKEGIKQGLERGKREGGIMLRGWVTVQTYKSMTWRR RYFHLLAKEMQLYKAEGDVKPIQTIYIGSSSSISEKYEESQVKDSFKVISDGPKGEEE FFLFTDSSEDKETVLQGLRLCMT
I206_00645	MIKTLSLFSLLLAVSVLARPPNATVYFNGLEASLIDNGLSGLWN AIAVANSTETGPDLINKLYSDNKFTIYAPNNAAWQGSGLSQPPANGDLASLLSYHIVQ ATLNSSTDIAPIRHHTIAFTELRSPTVDLPGDQTQVIVLETAVNATTGQEVNDGSVLI RGDNWNATSSGDQFTYENLFIQPMDKILTVPSPFLKTLSQSGLAITANLGATSAISAI SSSGLNNTLSQNCHGCTFFIPVNKAFESAAQSTNITSLDDSSRSNVILNHVLNGSVVY SPDLNSGNAYITSAGMPLIFLIDEQGKKFISVGQYRASIVRSDIPISNGVVHLIDTLM VVPQNNHQRADDAASSAASAAVSRTTTTNVIGVGGTSPTTTSTATTSSATGSRTQSSA FSNKQIDLGSTIKVSIISVILGGLWV
I206_00646	MPNSSKAARILRQAVNTPLRSTFCPISSCLCGCAQFTPSISTIS PQSRSLTSVRSLTFGYTRTTNYGQKRRYASAALQTQKAEESEVQWCTENEKRQAKLIE SARARVDMYKTTIQQDIDATDVQSQIARAKLQRELGPLAQVWDKYVDMRKLIIDLQPE LSDPDPTLREMFISENNSLCEEIDLLLNEELPKMLLPISNTSFLPCMISLNSGVGGLE SALCTEEISRMYIRFAELKGWKIEEISKVEGTGGKGGGGIRELTLKFSPSSSSSSSSY GIEKEQQQQEGEEIFGLMQWEKGVHRIQRIPINETMGRIHTSTVAVVVLPIYPDTEES PLVDPKDVKIDVMRARGAGGQHVNRTESAVRLTHIPTGITVSMQDSRSQHQNRAWAWD ILRARLSEKKHNEEVEARRASRRDQVKGADRSDKIRTYNFNQDRLTDHRFGFTITGLQ NILDGDGLEEVILMMKRDLQERRLEALLQGEEDIDY
I206_00647	MVTDLWYDSKLGMNQAKALGESFKTTKIHHIFASDLLRANWTAQ QIHRNQPEPRCQLISSNLLREQNFGDAERKPFGEKGGWQRKSGRLFKFENGESLNDVR DRANEAIHRFIEPILSECHGSPAQNRHVVVVAHGIFNAEFLGALLARRRDHKPLEWGY KGMTNTGWTRAEIGYIDEFSRTPTPANIITNPSGPISPSSSPASPPPLEEDDDLLPGL TMRILCTDVTKHLEGVHRQKGGIGSTGFDEKQGDIRKFFGGGGS
I206_00648	MPSILSRFKRSPSTSSTTSESDLFAEALERDRKNRRVSSVSSTS YTLPEGEEDLSYNDSPRPGKGGSLFVENFDNSSSIGGSPIKRQITPSPRNKPVPLSLP IPNSESKSNQPLGTPKLVLTEEGSNSPRSFSSSPVVTSPISRNKANRPNLRLGSSNTS QGISEDELETPTAYDHSFNSPISRMSLPVTTDSPNRDRSGSIISSTGGGRSRRGSILS RHTNKDMISPPLSPNALQPIDSRQTSGSKKSSRKKKRSKSINSTSGQSGIAAALAKGG LHLPGTGQGQVTPEDIINSLKNKKRSLQKRSPFLTSSKDNHDDYSLNGEEYDGEDGAG FDDDFDDDDDSDSDLDDDLPVTGFAVASNRRNADFHALFPSIDDGDYLIEDYGCALSK DILLQGRLYVSENHLCFHANILGWVTDVVVAFADIKTIEKKMTALVIPNAIQVSTGNA KYTFASLIARDSTYDVMMNIWRLCNPNAVMSSISLGGTNILGSRPGSVAGDEVEAPVS GGAARGSKGHAPTQCACGKEARHYNETALDTTFHSTPEKIYELMFNSGWFKNFLSENQ KLRDIECSEWRPISPENPLLTRSSSYIKPLNGSIGPKQTKCHIVDEHDHLNYDDYISL ITTTRTPDVPSGGVFSVKTRTCLMWAGKNSTKVIVTTTVEWTGKSWVKGIIEKSAIEG QKQYHDDLEQGMRQYIKENPGEFAGIGGGSDDDEIELNQIENEKLEEKENKSEAQVYA DQTRKKRQEEDMGLLQYSFDTLISGLKSIFSGIKGIFESLNDLLIDTPFKIQNLMGII IILLIISNIYTFLNIDKSAKKERRYKKLGSESGSGSGFKSGKVMKNDDFDSDFFEKAI KRILYEQQSINSDPIEEIKNLLNNLDNIESRVGKLRDSIVKIKADVGGNNNKKLDNLD 
I206_00649	MADGHLLEFPTIRVDCFLPSKSTLLPSPPSCPTHPLPLTPAPNA QLFLLTHVHSDHLLGLSDSFTGKIICSPDTKRMLLALEAEVDKGHLEEGTKEIKRLKY PGLRSRLERKGNDERLVDRIEAVPYGFPKDYEIGYQDGKPQKVKITLLDANHCPGSTM FLVTSPTRAILHTGDIRSDKLFMQSLRREPSLQPYLAPSSTYSGSTGISSSRRILDRI YLDTGAILGTGDMPDREPILQDLVEQMSLFPNDTVFFLDTWCFGWEYVIETVARYFDQ PIHVDRYKRSIYTAIEANPHLLLCTTTDPNITRFHACERSHKCRNCRRFEKGSRQPVY NLDKRIVSVNMVEIKDASWAMEHQKFLDNLGKAALGEGPWPYSINVPLARHSPLPELQ NLVNLFKPKAVSPNTVTPALKGMDYYLLPSFMREFVSDATYDVMTRERDFYFEEKYGN DFLNGLEGMKLKCQSDLPSPATNLPEKTQATHQSSRRSSMSKDQLSRFSGLPALRPDE LLDMIGLSVSHGHIGPISSTKHAEDYSTEEESPKKRRKVVYSNAESDFLQPEASPIAI KRERSVSIEIDKEFSSPSHRTRSIKYDRILSLNESKRSFSMDKKPSRFSLGTDEKARI RKLMARDRQAFEGVSG
I206_00650	MAVPKGIASRNRVLALAGLLFSLLFLLHFLFPGSNKLLAHTQRW TTASSSVIRSKFLREKAKEPKSSIHHPIPKLMLDAKEEFEIKLKKQSKTLNEAIEEYK KRYKMNPPKGFDEWFEFAKKHNSIIIDEYDQLNKDLKPFWLFSGEELRRRCIQVGFLP SVDLVRIENGQTRTIDVSKGFDDSEVGARAKGFRVMLEKFQDKLPNMDFPINEKAEGR ILVPWEENLFSNLTADSTKGIEHVLGGEFIPDWRGDGNVWEAYRRTCEPSSQARRLFG SLRSHLKEGQAPISRLADAGITSDTISEDFKFPSNVDDKFDFCDHPWAHYNQGHFFSD WRTIHALYPMFSPAKGVGYSDILIPSHYYFSSTKRYTYGWDPVNMVIKDVDEMETPWE EKSDDIFWRGATTGGGSSPPGFLAQYQRHRFIKMTSDTSDVNKTVVFADPPGTNNFVS AQVPIGQLNEDMMDVAFTKAVGCTQYPGGCDGMRKDHRFADAVPLGENWRHKYLIDVD GMGYSARLFALLKSESAVLKSTVYTEFMSEWLQPWLHYIPISQLYQELYNVHAFFSGP SEAMLDASNATRGTYQAPGLTTKRLDGDAELRKIAKAGRDWMFTIGRKIDMEIYVYRL CLEWGRLTADDREAMTLKD
I206_00651	MVVALPSTLPSIPRSPLSNLSARRRQALVIALISAILARSVPFD VVSKLTGWKENRASRKAEWKRRETETPLNTPALEKKLVDLYIPDPSGSRTLLVPHLGR VSKVRITPTSNETYASHLALFPPLDPREKLGVNKKFWKMLFAVLKVAFPSKTGKEAFL LVLHTFFLIFRTVLSVMVARLDGRIVRDLVSANGAGFVRGLGWWFALAIPSTYTNSMI RYLERKLALAFRTNLTRYIHDLYLNDNLNYYKFGLGLGVAVPGEQKKTGESGGAGEAA GGTADQFITTDVARFCDSLAALYGNIGKPTLDMLIFTSQLAAALGPLGTIGLFANYGF TAWVLKKATPAFGRMAATEARLEGAYRAGLSRIGRDGEEVAFYNGGKREKGILMAAYE KLAKHVHTTFKARIPYGMTEDFTIKYFWSACGYCLMSLPILFPAVDKVVHTGQPREVH HEVAERTEGYVSNRRLLLSLADAGGRLMYSGKDLAELSGYTSRVYALLSSLHSLNNNI YPENPRPASLEADEPFYDLSNVHGRVILGPKHLLLRGVPIVAPAGGAAGAERGGEELI HSLDMRVEQGEHTLITGPNGVGKTSIARIIAQLWPTWSGLMERPIHGEGGMFFLPQRP YLSIGSLRDHPHTYAEMKSRGRTDAELMSILEHVHLAYLPFREGGWETRKEWKDVLSG GEKQRMGMARLFYHRPSYAVLDECTSAVSSDVEGLMYEHAKNLGITLVTISHRPSLLK YHNRHLRLGDPTSHTYPATPSRTPVGSTINLAGLSGLTPQTPSTPLAAHGWQLTTLHS TSAEEKLELDHEIQEIEKQLNEVKGWESRLEVIGRELRGETGVKV
I206_00652	MLDKETTPTANVKPDLAAKYRGACVEDLQLPPAFCLSEDAPISH ALEAAYEREFDQLPILNDRRRPIGYLYVPTLKQKFEGGSIKEDDPVSRCITHFPLSSK SHPYTVIDPLTPLEELEQFFISAGTDFALVTDVERKWVLAVATKDDLETFVKRRG
I206_00653	MSASGSRSTSPTSAQTSSSTGPLINLRPPRSRKDRPCDACRKRK HTCLISVRGQPCNYCKKQNVPCKFDMPPRTKIRNSEQNSRINENGRFSSASVETYRVN HIDTSTINDNGGDPPEPDRSKGRMKERSISENSGSNLSKKRKPRSILAMFNDTPAIDD DLDEIEEWHQSLDLETTDFEESHFLGSSAIQDLTILKDFPTSTNDPNSAFRQVSNDPR KHVFFVKNPAFVYGKGSIASQTIFQSVCRVLGVGWPEILVQKFLTLTLPAFPIINTMR LLAACNSNSGADPLPHALLCGIMGHSIEYEPSVKHLFKEVWKEVVAAEDEEYKQPRLR TLQLAIISLATRPSSAHAVNAMSLARSVSIAHMIGLHLDCSEWRLPRWERSVRKRVWW ALLVLDKWISMIHGRPSLSTKSNRSVTMPTLDDTDWGEFSSSSRPEEDDKIERSMASF IGQCEIALIIEEMLEKFYSQEAQRRSSIIFQDDLKDLSANLDALQARLPDYLTWSENE TVFRPLAPGILCFQMTFLGIRVLIARLYVTSHHDQQYIRSAEMRSVEVGLGICQEFVR FLEQLSPEQDYNTFWLPHCSFLISTCLGLLLRIAVRLHTQKATTSIPSTIKDLSNKFT NKSISLIRDLFINLKIAKDVHQWELAETALIRGNDLLRTTSSVIPELQVLAEPLPEQA SMPNNDLSELRFSP
I206_00654	MPAPTFDSNQFWSDADNHLIRYSGGGDFVRRVIVKAQGSLMIDS EGNEIIDWTSGQMSSMLGHGNQEIVQVVNDSMSTLDHLFSGFISKPVVEASKFLSSLL PSKLTKVQFLNTGAESNECALRMAKLYTGKHEIVSFSSSWHGMTQAAAAATYSAGRKG YGPNSPGQFVLPTPNPHRSRFRNADGSYDWEAELNFGFELIDCQSTGNLAAAIVEPIL SSGGVIELPHGYMQALKAHCEKRGMLLIIDEAQTGVGRTGDMFAFEHEGVVPDILTLS KTLGCGLPVAATITSAEIEQNVFEKGFLFYTTHVSDPLCAAVALKAMEIVTRDQLHLR ARKLGKIVKDGLLELQKKYKCIGEVRGRGLLLGVEIISGPDSTESAQVLGAAISDRCM DLGLSMNIVRLAHMGGVFRIAPPLTISEELVEKALDLMDEAFRTTKGTTW
I206_00655	MRIQSLTLLGLLTVFGTSASPQTPLATPDSSYVPLHPELEAQSR ALLAKYPLMDTHIDLPATMRTINRRPMDAIPKLNFSHPGHFDLPRAKAGGLAGAFFTA NAPCPGAFGRDVGPDFLEPTETVQHVLESIDLVKNTLAYYPAYMKAARTASDVRDAFA EGKLAVLMGLEGTHGLANSLSTLRMYAELGVRYVTLTHVCHSSFASSNGGGAGTSGST IPPAHPGNGLTPWGVELVHELNRLGVMVDLSHTSDNTARDAIKASKAPVVWTHSGARA VNSHPRNVPDDVLNMIGDGPGQNRGIVLSVLFSTFIDPNNATTARVVDHIEHIAKIVG KSHVGLGSDFNGISLAVEGMEDVSKWPNMIQELLFRGWTESEIAGIMGGNLLRVMEEV EIVKNDLAHLPPSPEVYHKRTDLPAHEWGGPNMAYLAPDVQKIVVQQKRLRDEL
I206_00656	MANPVTKMSSHDDKSSLGGVEIVPVTKSQAPGVDMGSIPEIEDA NAFLASHGTATYTDESNRKLLRRIDMYLMPMMCISYCIQYIDKSALAYAAVFGVKADL KLKGQEYSLLTSLFYIGYLVAEYPQAWLSQRERSFIMFVWGGILMCTAATHKFADIAA VRFFQGVFESALTPAYIIVTGMWYTRREQPFRVAIWYSMNGLGNAIGALISYGMGLID GPMDSWRYIFIIEGVMTIVWSVVVYMIFPSSPMRVRWLSDAQKAQAVERIRSNRTGII NREWKWDQVKEALDPRIDPTGHILFWACVLNEVINGGVSTYKGLVIKAIGWTDLQSAL FGIAYGAAVTIEIASCGYCAMRFKNARHWCMIAWLLPSAIGMILQITLPASNKAGKTI GVLLMPSFVGSLALCMGIPGQNTAGFTKRSVIVGMAFLGYCVGNIIGPLAWVSGQTPA YVSGYATCLACMAGQVVLFLLLRVYYAKQNKRRDQMVKDGLAPDPLALNGDEFEDLTD KQDLKFRYTL
I206_00657	MLMRSSLLPIISLLTTEWALAQFTTPAAVPTGVAVQGDYTGPLR PRVHFSPPAGFMNDPNGLFKDNNGTWHLYYQYNPTDIVAGNQHWGHATSPNLYEWTNQ PIALFPPNSTTGVFSGSAVIDANNTSGFFQNTTEGVVAIYTLNTPQKQVQEIAYSYDG GYTFTPYSGNPVLDVGSNQFRDPKVVWYNDHWVMVIAYAAEYVIGIYTSPDLKDWTHA SNVSHIGFLGIQYECPNLVKLPVKNSTSNDDQDGWVLTISINPGAPLGGSITQYYPGQ FNGTHFEPYDRATRLSNFAKDDYAGQFFYDEPVSIGWASNWEYTNIVPTGSEGWRSSM TLPRTNYLVDSGVRGYHLVQEIYDVSPILSKKLSSSDNNLVNTTISNMNFDNGIYFDM NITLPSDVTFSADASINFTISTQTSRECVKGGYILASSTPYTIWLDRGQTDGFDNPFY TDKFSESQLKPAKRIQGMMDRSVFEVYIDNGAVVGTMDVYPTEPFTTLSVGSRSLPKG SEITFSAWELKDVWA
I206_00658	MHDWKGANDLGTSFAFRRPRPFPSFGRGTCSTPDTCNPHFGTID SSGICLDRPCNTVSIDQEAESEIPLDTVHVQRVLDKIPEANAHKLFVQRAVFLPTSTR SSSKQHEDQYGFYFVADEDATDPVYHTDMSGESITKSSGITQSDRFEEIVTLVSESIV SWTEQATKSTCESFYAKDKHLEVMGEWCEDKKRWDVLALDHLRG
I206_00659	MASSGGAAFSIARPGGQWQNNDLSSGGTDEMRNGRASGRRFGSE DTGGETLVADVDTHYAAQLKPSRLSGKSLTYMVTFVAGTGFTLFGYDQGVLSSLLTLP AFEEQFPQTANGFGGSRSATLQSFLVAIYELGCMAGALSNLYVGDRLGRRHTITLGGC IMIVGAILQTAAVDYAMMLVARVVTGVGNGLLTSTVPAYQSECAKPHKRGQLVLIEGS LITLGVMMSYWIDLGFFFTTGSISWRFPIAFQILLAAIMIVSMYAFKLPESPRWLAAK GKYAECLAVLAALEGTSVDDKKVMSTFNGICDAISAEQAGGFGFRELFTNGRGQNFRR MLLGVTAQCFQQICGINLITYYLTSVLTDLGLGAEMSRIISGVNGTCYFLTSIVALFV IERVGRRPLMFWMALLQSFTMAILAGLYNKSKEDNKPAQVISVLCLFLFNTWFSIGWL GITWLYPAEVTPLRIRAPANALSTASNWIFNFMASFSLLDIEHLNFHADLVHLEQVVM ATGPMFANIGWGTYALFAAINGIIICPVVWIFFPETKKYSLEEIDIIFALGHNEKKSP VWYSKRPEDIPEAGSKEAERILGREVPSHPDMSEKKTGHKGMRKVIKDNDGKPVTQHF ENANSKV
I206_00660	MGGGAPAGGDFDALLTQSQNQGWRGLFHNSRALGLAIFASLGGV LYGYNQGVFGQVQVMSNFVDRYTATLTNTDTKGLLTSILELGAMVGSLIAGPLADRYS RKYSISGWCLIFMIGTAVQTGANHSVACIYVGRFIGGLGVGALSMLVPMFNAELAPPG IRGSLVALQQLAITFGILISYWIGYGTNYIGGTGAGQKQAAWRVPLGLQLVPAAVLLV GACFMPFSPRWLMLKGREEECLNNLARLRNAPAESPEVQYEFRALQAERLVEQEAAKE RYGANDVTFRVTLSEYKRLLTTRPLLHRLMLGAGAQALQQWTGINAIIYYAPTIFQSI GLTGNTIGLLATGVVGIVNFVFTVPAVLFVDNFGRKPILAWGSANMAVSHATVAAIFA VYGPNYDNKAAGNAAVFMIYWYIANFAVTWGPLAWVVSAEVFPLDMRAKGMSISSATN WLMNFTVAMVTPHMLETITYKTYIVFMVFCILGFLYAVFILPELKGLSLEEVDRIFND STGAEDRARRERVAKQIGLDKVAQDVKHKEKVGDGANMT
I206_00661	MSQTVPAPIVKEAELETVRRWLNARPDILLAIAKRHTTNPLLSP LPSSSSSSSIAPTLILSVPIDGLSVDKIRIKAATKARNIEVDIPLPDEKIQGLGKGGF GKRIEKLGNESLEYFQVPQHPKIEYYEPPTSVSVLPIYPLLLLLFLVFAPKDHGIANM GRNLVNNYLGKWVIPSATWFAAACHLVIEPLIIIPKLRQHQVPSLQSFLYVATVVCIG YGPIDALNRAVIQERMKLVQAHSSEGRKAQ
I206_00662	MAKLDARVEPYARRFDTFARIAVIYWLLKYVFLDGYRHFRARGI LGTANEVRNTVQGFVVRIMLSLPSSKKQLRLELGKTQAEIREKLVPSRYPDGVKLTAV RKLPEQGRSKDWLESEWDNLKKLERGDVNAGRVSGAVYHGGDELNEIINQAMAKFVVS NPLHPDVFPGVRKMESEVVSIVLNLFNGPNGAGTTTSGGTESILMAVKTYRDWAKATK GINRPEMVIPSTAHAAFWKASQYFKIKLHVIPVDEISRRADVKAMKRAINPNTIMLVG SAPNYPDGAIDPIPALAALARRHDIGLHVDCCLGSFILPFMEKAGFGLDIEPFDFRVK GVTSISCDTHKYAFCPKGSSVIMYHSPELRRYQYYIMTDWEGGVYASPSMAGSRPGSI LAGAWAVLNYIGEDGYTQSCREIITASRTFIQGLKERFSEDLFVLGDPKACVVAFGSK TINVYAVGDGMSKRGWHLSALGGEIGGLHMAFTRLSAQKVDKLLDDLDIVIKGIKASP DAQKGDLVALYGLGQTSVGPHVIGKVAECFLDTLYE
I206_00663	MPELPEVERARKLIHETCRGYKIKKVNSTEDKIVYTGGNDHEAF AKEISGRTITGCERKGKTFWMTLSGKGRLPVMHFGMTGMIQLKGQEPTWYRRRPRENP KTWPPRFYKFVLELEPQSGSVGKEPIELAFLDGRRLGRLRLLPSPVTDHPPVSALGFD PVLSHPTFEEFEGLIAKKKGTVKGMIMDQAFSAGVGNWVADEVLYQARIHPSCPVNHL SSQNIKDLHHQLRAVPLKAVEVNADHRKFPEDWLFRWRWGKGKKQAKGKKKAEDAELQ RSDEEGGEDIKPKGKEFLALPNGKPATIVYVEVSGRTTALVEELQKMPEGVEIKPKIS KGGKGSQSKKRPKGDESDDGSSGLSEEEEVTTPVKATTARQRGSANKKVKQEVKDEVE DPPVKKSRQSTSKDDTLSSTRAKGKTNGKGSTPVKREGGNKLRKSNGRRTQVELEDGV REGSSDLSDLPSD
I206_00664	MADNKTNPNFDRATDTDYQSLQNRVTQNVGGLSEAGATQAGPQP GSEIQPTAAGNTAGSNGSYGQRDVGRGNPASWTQTSSGEGSRNPDDVLLIQFSVHASL S
I206_00665	MPPRKSREVKSAAPSPSPVPSTGRSRATRSKSSKAPSEVSVLES DIPESTEEDDNEASTEIDDNEKVTNNGMDEQEDEDAVESKKSTMEERLAKMKELRMRM NQSTQQNRKDLIADHQKSKVTAKELQRLEKQKKLAQTLRLKAEAEENGEDLERKKNWE YSIEDNERWEKKLEEQKVKQDTDFHNAEDDAHKRYNRNIRSTKVDLASYERQKEIALG LAPGTLVSTGGSSSAIAGSSRSGALTASEDLYRGADTLSYGDHKPSEDALDRVAEKIN KDIGKYGKRKNKKDDEDDEVTYINERNKVFNKKVARYFDKYTKEIRANFERGTAL
I206_00666	MKELKHVNIVRLHDVIHTESKLVLIFEFCEQDLKKYMDTHGDRG ALDLNTVKNFTHQLLNGIAFCHDNRVLHRDLKPQNLLINRRGELKIGDFGLARAFGVP VNTFSNEVVTLWYRAPDVLLGSRTYSTSIDIWSVGCIFAEMITGYPLFRGRDNADQLV QIMKIVGTPSDATIAQIKLNSPEIQIKTPLAKHPKQPFHAIVPRAPRDALNLLEHLLQ FEPSRRYDAHQAMVHPYFTSGPIAPPTLPTTGVQASAASLALPPRVAARASQASAAVQ AQQQAQQAQAQAAQQQNAQMIAQQQQQQQQQQQQQQQNQYNAMLAEQAARQGYYDQAT AAQMQQQQQAQAAHAQQLQMAHAQAQAQAQAQAQAQAQAQAQAQQGRGMDPNGYYMNP DQRYQ
I206_00667	MARPIRPAPAPPTGSSSSTTSTPLTSTLRTSSRPHRPSAAALAS ASTTGITSAPSTPFTPGPATPSDLGSSSKGRPSSIVESKTHGLRSVGGASERTPCPFP TQWGGRDKCGLTEEDEADEVLMGILGSIAYYDNRALSVEEIASTCFQQGWLRPPSAAI EPTTPINNSIRSYIKRCERSRRHCLLSKHQLAGSVVEQVLQPALHPNAFDGAVRPKGT VWFLIGGNGKSKWRNPFDGIEVPKIPPRKPAPKKIQPQKENKVVKVEDKKVSNVQKGK TKIPAPVKIRLVLNGPAMGEEENISEPESSLRSRSVSVDQETNGLGLAQPISLPQMKA GKKPKSRRPRDILDSSSESDTSESEMEFDIPGPSRLIRRTTSLRKVPPPLTLGGSPRV HSSSRLPQHSPFMDIFYPSPIIPTSPFLPHASPFPSHSLDNTTWVARHDQFHSQFETS SSSSDDEMRETTWGMDSEILIKAVDGDDEHIPSWSMDEDETKVKEATDALRVLFPLSS PDEEADLDSKIKLNQLDNRPSLFESPGSTASAAHNQLKEVDAGGISLNAWIANSSPQG SPNLRTYKNLAPPIDVSPTQHLSKLRSSFDPEEMEVDEETPWLDETGELPVKAEDTFS DVDLNSNVGDAPTPEHDRHLHTALWAQEAAAIRIKQEPEDYPSPVATDPDDQSAAGFR GSRASSTPSSGSSELPPFEIETDNGRIGVDEVILGPESITVEELDGWLPAQVKAEKTP HRGRPSKNRNHPLRCSGNWGGIGVCSALANIVKPAARNRSAKSNATARRRRSSPPPQV VDISRLTPDTDMDDEVDDAIGTADLEQARVEADAREEQHRKACKEKAEQQKAMMEAIR QSVESTHQDHHTEQSTTPWSECNTVPWGTASTESFQIVTPSALSPMALFDMSNLSLGS GCMAVDPKALVSPPLQPAFGFPSMPITPQQPPDVGTQMLDSGSSEQAVKPAISAPVST LTAQANPSPPVTPASTHAPSNTSTPSFKSTAKLQPIAPAPARLPTPTPVPVSAPVAAP VNVPVQPTAITVTSASAPNERSTAIVALPITLPAQMTPAPLGSASAPTPVSLPTLAPP LTTIKSTKSPALPTTTPPNEPATPSTKSTPSPSSATSTTGPVTNSMPPPANGNGNGKS GTKVATITKPLCPGVDACVVDNIPVYAHLFEGKAGQGRQVLLRRLDTDFVNANALLAA LGVAESKCSEYFDHPISAVRLAARHVIPPSSPSAEYSPGVSGIWVHLAEARDFARRAK LKEGSLLSSVLREDLFQLFATLAGLKPDHPTSETFGLSFVPRRPAGVATNSGLSSVNS KSTPNLTALSNTSTTTQQPRQALTGTNTPTTACTGKGPLVRSAPPTPPDGCPGPKRRR ATISSPLAKKPGVQPLTTTAPSTPTSAASPHKNQPIAPATGSVPAAGTRLSIAAQKRA TRASISGAVSKPAVR
I206_00668	MIEEPLFSVSGNRQSRTAEEIQSLLRSLSVRSPKNENQIQAPVT PSRGNISASHTSKSENSIDSTAYINTMGSQNHFTRPLIQRNGHQTSPSTSSNRSQGED INKKPLPIGTEKKLGLLDKAPSRSPPQLYDGYPSRYNVQFTMWHESPAPRYTEDHLTS PVDARMTDRARQICQENRLEAQMQQTRDRQVWEHSLEPRQTESEFINHSLRQATQAVA TPRTATFDPFGQEGNTTTAQNASLSSNFEDDQFSEFVNTPGFGGFAITYGTSSYQPNY RSGQPISSPTGIDHVNGIRRHTTQAAEHSKGQALVIFDREPSNLPAATSLRDSEQLRY SDYEFLENQRENRIDHPRVSHSRVVSLEGIHIDENSSQHVASTQSSPNHHYTLAEADR YEISSIPRRAGWSTAQQSHIGLLPANWGPGLEAVPPAAPPFAPGDWYCRQPYCGYHNF SKNIDCRACGHPRPPEPIATSSSVTSPPLGSVGDWRCECGYINWRRRALCKQCHPDHP SNREPERKDSNAGQQRNRHLGSTSVSAVGNTFANTHQGINAAYITTAQSGIAGIIGHG TYRENLGHTAWSPHF
I206_00669	MVANSASANRNLSRSIRVTIVAADSLIKRDILRLPDPFAIVSVD SEQIHTTSVIKRTLNPYWNENFDITVKDSSIVAVQIFDQRKFKRKDQGFLGVINIKVT DVIDLELGGQEMLTKELKKGSDGQAVQGKLIVYLSTQTGGPAPAAAPAASTSNTMLNA PANGSALSLNTAAAVNTAGSRPGSTLRAANATPEPESPSPATAPENPTIAPPSAAVPQ PISANAAAAALSGAPTINPTAQAASTGQTGNNTAPGNEFDSHSDQYGALPAGWERRID HLGRQYYVDHNTRTTTWNRPSDNQLSNNASQATTTGEARARHNQRNLADDMLDVQQSN TGGASTPTNGGTGAAGAVAPNNVTTAGQGPLPAGWEQRFTPEGRPYFVDHNTRTTTWV DPRRQQLLRVLAPGQGSLTVQPQTVSQLGPLPSGWEMRLTSTARVYFVDHNTKTTTWD DPRLPSSLDQNVPQYKRDFRRKLIYFRSQPALRNNTGQCHIKVSRDNIFEGSYTEIMR QTPNDLKKRLMIKFEGEDGLDYGGLSREFFFLLSHEMFNPFYCLFEYSAHDNYTLQIN PNSGVNPEHLNYFKFIGRVVGLGIFHRRFLDAYFIVSFYKMILKKKITLQDLESVDSG LHRGLTWMLENDITDVIEDTFSITEEHFGEVVTVDLKEGGRDIEVTEDNKKDYVDLVT EYRISRRVSEQFEAFMSGFNELIPQELINVFDERELELLIGGMSEIDVDDWMKHTDYR GYNPSDEVVEWFWKIVRGWPAERKSRLLQFTTGTSRIPVNGFKDLQGSDGPRRFTIEK AGEPTQLPKSHTCFNRIDLPAYKTYEALEQKLTIAVEETLGFGQE
I206_00670	MASRTPGRTRRGEEKHVPYNDDPKMVGTRSNAPMPTNVARLHDG FEDPAEFFQSPGTDVGSRTISSVFARTPKTPGARSDYTVQTPMTGDTIGTMRKSKGRR SEFGNASDDEAEEELDGLLGRDDLLDDEDDLNPATPKYHNSSNPPSVTLPSRSRISVS SPGLNRSFDAIPSPRASNSAARSSPRKSALSRIIPSKATRGGRTSDLTDGDIGADDTQ ELDAGFDADLGSSPLKNTTSRLSARLSNSPTNAKKQSPSQKKRTGTTRRETIPDSYPE ESVAGDVSFGDDLQEETSARRKSTKIGTNSKASNLTREDEDDASQQSASDNDGPSYDN DGGMEGFDDTAQDISLGNNYAENEDNGGDVTALVDDMAGDNGLEDVEEEDEEDLDLEQ DAIDTENRSGEETERPKKSTKRPPVAKTKKSAPRQAREDSARSRTIRTREGSVVAKPK RTRISQVGIVDEEDEEEDGYHGTFKTRRSTRTHYAPLDWWRNERVEYARGPGLPVIKA IVTMEKEEPQPLSKVGRARSVAKARQRSVSESKRKRYQDDDDDEEGYQPEPADEDGWD ANTDSTGLVKDYPSGAEATRIIACPKSMLKPKDQKTKDESQTFRYQKIFGEGNFMAAG VVHIGVGKSKAPKPSKDNAYVFYVIQGAVQVQIYRTSFVMAPGGQFLVPRGNDYSIEN ISPDKEAQLFFAQARKIRADEEETEDQHSILINGNRSLSIPASSSKNKSVNQLKKKKK LSTRVRDESGTGSGDGDDY
I206_00671	MTEQQSNQQPLTILLFARGVIALLDLWPALTIAVSEEWGGKDSL AKKTWLASILIDEFESRASIISNDNNNIPIIDPKSINETPLDFDEIFDLLNQIMSDEF DANLEDGSIELISNDLIKLWKDLLNPLYNHLNLIENLEKQVFEIKKKGIKFSSLGQGQ GGSNESQSEDEDEFEDEDDSDIEMNEEEIPQLIDNQQQQQQIKEKQEPIIDDDGFTLV QKKGGKK
I206_00672	MRVTSLLLAPILTLIGLVQARSSTGDRVLVVLESSIKKDDYSKF WKSLQDRGFQLTFKEPKDKDAELVKFGELNYDHLVMFAPGAKSFSPSLSPKSILHAQF AGLNTLYFLSPDLTENNKEFFREYDLEFIDSSNILIDSFNYPINSSPSDVLLSPTSSL LRNGEIISPSTSSGGPIVYPSGTVFTTGENPYLIDILHASKTSYIGQNKLLSSDENEV EKSVSGNSKNAKEPILNGKKASLVSALQTRDNVRVGFIGSGEMIKDIWWNKEIKTLDG KSHKSGNGQFISDFSKWIFQETGVVKIFDSTHHREGESEPRELYTKKDEITYSLTLAK HYTTSNGTSAWGPFEVDDLQLEFTMLDPHVRTSLKQDESASINQGSNYKAKFIAPDRH GVFKFVVEYWRPGWSYIQSSTTASVVPLRHDEYPRFITGAWPYYIGACSTSVTFLIFC ALWVSLAEGDKDRKGKKKAE
I206_00673	MSSSNLSPDKVAGFRNKEGRTPPPAPSAAFARRAREIIEDEAGL PGLRPTAELNTSEDQIAAADNGVTSGYRRARAGTMPSNLQEVAQRYTDSPDEPTPDTA TSAASSSAATYTRTSALSPAYPQTTARPTLRHAASSAANLETSSASNRIRSGSLTLPG AGLGDAFGHGPFSNAWLANPGLTAASTPARSPLGHPEAELSTYTSNTDSASSYPTDDV NSTLDYLGLADGSDTVHLAPASMTELRNQAQRAIHNSGPASRLRASTVSNFARPFRPS VTNGAVFGTSGTNGYDSGRNDDEEALARAIDNLGMYDNHGYSVSSQLANLYSSAGYQG KEPTRPRATTIGSLDHPMRKPLTRGNSYLASIPQSPVHAEHLSTPYGYPNNNRSRDSS RGGGPRLSISSHTSRTGTPDVDKVTSTPQVPTRSLWIGNLDVNATSEALLHVFAPYGA IESVRMLPEKTCAFVNFMDKTDAIRARDDVLNRLGGHVAALSETAPVRIGFGKIDSVP NGSSLNTHAVTPAPPNLVFTTSPPLQSAPTAAAAQIPLNATTPSITVPPPSAPVDVAG SPDNSKDGETSALPTRALWIGSIPGTTSPSTLLQIFSPFGPVESARVLMNKCCGFINF ERLDSAVSARNALNGRDILGSDVGAIKIGFARVPIRSPTLGGRNEDDISSSGKLGDAL DTVQGAASVTTEQQLSAEGGGLENYRSQLVLDLVKQGVHSQVLEKGLDNDGVVSDQQM IMQVFSERSEEDGDVKAAADSRPPVTYYTAIPLVGDRPARRADSTRLKEIRKILDAGQ CSQDEVNLITHELMEDCAELASDYIGNTIIQKLFERSDQTLRLAMLERIAPHLATIGV HKNGTWAVQKIIECAVTTEERNVIIDHLRPFAPPLMCDSLGNYVCAGTLKFGPPWNDY VFDAMIDRLWDIAQNRFGARCMRTCLESNSTTLYQKKRISTGIILNSIPLATNPNGAL LLTWLVDGSNLPGRYGLLANRFVSHIAHLCTHKLASLTVLRVISQNTEPAAANNLIHA IFTSTNDQTLIEILSDANNGSQVIGKILATNTIPQERKSELVEIVRRVLPGIKASNTP PYRLLLEAVGLPVPAGYINNASPFGGRNHNHHNGNNHQNQIPQGWGHAQQSFGMGAQQ AFYGHHPVSYQQPYPVNSMNNLSPLLIPQNMPLGQSMRNGNSPNSTPNANKSPRTPMA RFGMGQGGRMSPGSMMSPGSDPFNPFASPSIDLPGSNAQNASMRLGSALSQPPVTFGS QPDIGGLGIVSQQGQDVNGHYYGHRGLYGQAAGYQ
I206_00674	MGESSRRERDRSRSPSRKHREHKEKHRGKDSGSSSKHHSHKSSK HDDDEDRHRKHRKEKIRDRDETEEERKERKRSKKDKKRSDENGLRVLDDDYDESMWVE KETDGISTSNIPTSDSLALQSNPSNPPPKVPLPHSTASGTQMRERDSWMLEPSDVTTA SIPIPSRDVPRNTGSGGLTEGYGDEVASNRNLSGGMDFFEGLGTVHERKDPKADLPDP SKLVVDKRFELNTQLLEGKHIDDYETKEKKITPGGPGYQWRMMKLKRLYEQAEEQGRD IEDVAMERYGNLDEFNEAVEERRVLDARESRRKSRRGPGGSDGFSTPGGGSSGFRTPD TSGRRFMFTNPAGGEEFGSSRPSSRGGFRRPGEEGDSFVTPSGRVDELRRRESDNSRT PKERTIGFTSHTTGAPKVSTPIPNSTSSRPPLTTEQLNKLQAKVIRAKLMDEPNAVSL EEEYESERIRSEQAAQGGLWEGTTDSNGLQGQFGRTDERGNRVETQVLPTLDGRGRLY DVGLGQADDLESRPGNKRKKVEKFETRDKEGNVLRYNADDDTQSLGELVRQEKFGAGS SDQKNMDAEMAAAIGRDAKFASDVDYMDENVDKLARRKMKSDAMKRAFAINDYARTKK AMDDCPFCYQDERNPLAAIVALGTRTYMCCTQFEELVPGHCLIVPIQHHLSMLELDDE DWDEVRNFMKCLMLMWSKKNYGVIFFETVLTFKFQKHTYIEAIPIPFELFEDLPQFFK ESILNSEGEWTQNKKLIDFSLKKGGFRNLMVSNLPYFMCQFDYKGEKGFGHIIEGLNE SGSGIGKSQGEENQSDLGGVAGKEDEFPRYFAAEIIGNLLDLEPRKWRKPKKIDYNLN KERARKLGEQFQPFNWTIGQGV
I206_00675	MESRNLDHRRNNFKGKASFSAQELRRRREEQQVEIRRQKREESI AKRRNLQPISADDGIESDDDTGVDVSSLADTLPGMLQAVYSEDQEAQLDSTTKFRKLL SKEKNPPIDRVIQCGVVPRFVEFLSNNNTMIQFEAAWALTNIASGTSEHTQVVIQAGA VPYFIRLLSSSVLDVREQAVWALGNIAGDSPKCRDHVLSQGALQPLLMLLNENHKLSM LRNATWTLSNFCRGKNPQPAWELISPALTVLTKLIYSLDDEVLIDACWAISYLSDGSN DKIQAVIESGVCRRLVDLLMHPSTAVQTPALRSVGNIVTGDDLQTQVVISSGALPALL SLLSSPKEGIRKEACWTISNITAGSPTQIQAIIDANLVPPLVNILANADFKTKKEACW AISNATSGGLQEPNQIRYLVTQGCIKPMCDLLTSMDNKIIQVALDGLENILKVGDVDK EAQGAGGVNKYAQYIEEAGGMVNIHNLQHHENLEIYKKCFYIMDKFFPDEDEEEADAA APAVDASGQYAFQSDVAAPQGGFNFGQ
I206_00676	MVGLKRKRDLPGQTEPTAPVIIRPKAGTKAFASGKAIKDALGTA SPQAFVSFRQQIVTPHSALPLAINNPTVVIIQHYLDTSPTCEEIFRAWQIGDQTKTEI QAQAAVELLSEIINILTPIPFFRSSVVGLVNKLVSSTEPYTEYLNRLCQSGRRDDVYH GYLLAAAAIAVDPPTANSIGSSTSGRLGMKVWTVLVEGGSVRGIGKQMGMRRRNKEGM VGYGENDPLDKPDIRHLILRTILPLLSTSSFQAHARSILPALYSGLAADPPITVLRVL TSLWDAISGPSVGLNRRTSLVLFHEKSLEHLWQLLSRQDVDQTTGRTVSELSEAFLEE ITTVPGQGICFADEGWYPRRIEVDSAIRNQDDGVTTFNGGVGHSRNDDWKKGLHNRIL GNVVRKVGNKAVDDGDLVGKWLTKVFEACPELIAGYWAHSALAVEPRLNARWVATMAY IGRIISLPPPGLHTFRQPAPPGSNASITPYRANPPATAVVIESILPSPLTKSHIMKGL QHTDGLVQHVTAITLARGLQKLSLVQDLLLTIEKEVEATPSTSQENPWIRARKDLEME ARKRIPELSVIIAFAQKAATMAPPEPETDAELALASKSAMLTEIALRIFGLCNKTIPS LAAEMKFDVGRLLVSASSASAERRARRDAREGSVISFEALSQLHVLQLLGEVKDWNWM NKAAGSQYTYLYHILLLNLSTRQPVTSAKTVALLKHLLVPTLLFEHDPSELSIWLEAL PKASDRSSGPMLLAQQIHLLSFLDDCFRRAIKLPYRYLEDSFGIVPGYFDYTRSNQMI SPVLMAIIEQLGAKTIGQLISTEAAGVVLVYMRRIILGLIGKSKDFQFLQAVVGKFEE MLEKAKEAGQARSGLMGVVQGMKNDLALVQGIKISKEAQGLNPEDHHLKEEEDWYARS FEQTCLSLATTEREEPQVINDQLRATFICRANDAVIIRRANFLLSAFSPKKGLFASPE IRRCALSLLNEALGRVSDKLNSTQLKSAIFENGSVKKLYLSDNGDDYRQELNGLILHL KETIPGDFSHGETFVLELIDLLKSDKKAKETKRVLSLLGPWSCFIGTGPATKALKALV KRADTISADLNSSSFETISSIVMATQDPSYVVSHLKELIRLKCLSAAVELAKSAAVSR DERHKLASLSVKKGTIRDLIATKEDSAYELITILASASKDIASTVLTVLSKDETIVNQ QRMIPTLDILLDLSSDNKLDVLPLAVSVLTTSSFESEVKSAIRIVTRVSKRDPEQVIQ AIQKITLADYRLSVARLTESLSTLGGEETQAAVKHLLDLGLQYAVRICSKMDGLTIEE LAILSSINNSIDGADTVPTDVQLAEPVITAVIQDRLEVEEAVQFATNLATRVDMKASF IRQQLQAILASSTYNRCIDSSCPASIRLAYVKLLHALFVASTYVSCQPNFIEPLVLLY RGTLAESDRLVLNMFQLFEGYRKLSVSSVLKYWSASGVVSTGNRSFDALSSLDPQRVF ATCQAYPLRRTLKGTGGNDAKPEDGESLYDPVFVMSLLTYTMCEGNITGLDWVEILRS NVLGLTICGLSSRDKDIRSVAAYALAKAYSLILKKPFYERNQLLYTLRLLRHSIETSS SRLPSLTTLFFAHAIRSLANPSHFLYPLTSRFLLQRPVFDPNDTPMLYGMLYASGDGW KRERGWMIRFLKEGIRSEADWRIVRRRKVWSLLATLFSTSLDPAFRRSVLQIMESMIS IPAATRSLVLRDGFIVWLANQWTNITLRQAKSNSKGTKAQTWEKDEKFVILKLIENVC VNMIPVEIERVKEGKEIGNWVGHIEIFFKRVLNFDIDFDRLEILSRILFRISSIPQNK SLSNLLILLIDQIQIVPNPSTKTISYLFNAGLNVRLQDLKIDSKVKIAIEEIGWRAEK GDAGINLSEWVKRERRLIEWDI
I206_00677	MPHTISPDPSSQGLGISFSTLSPPPAQLPPPRFTGILPQPQASP TRLPPAGQVGQPQPSPTRGGQPSWQPAFQLRPTHTRHGSTGGRGYAPFSAIPPHTARP MGPRASTSQSPKIGGPSTRSESGYGEIPNVEIDPNYADEEGDNESEAGTEPENEEETP KQSLRPLPTPSRSASIASHLTTASKAISEDVRRKFSKSSEAETFGAGSGKYFPLSGED DLANEVVFEQENNMDLPEAPSSTYVEASPIDRQIPHPRRLSLGKTDRLPISDPGLASG SMQASPSRMSAQSHQSQSDRSYASFHARQFPYPPISNHAPRSATTYSDPTNSQSSAYQ QYHPGNQEYPLAQNHRMARSRSQPQYLYGVPEIDDQQSRPSQPISRPEPSKRISDIST GIHSKNAVLLSAENGGMMLAFSPTGDGTFRPGELICGSGTGLLPSVQSPPSIENNQDE LKARPWSESSMSHQDDNGLLGRKPTMLARRGRSLSDGAQLLARQGTLLHPQSSKQRSS AELNVLLGGPKSRRLSSNKLLPPPPNEEEQNASTWQKDNKVRLEASKKGKARVEVDVV LERECVVEGGEVRGRMEVRITGGKRGEGLRVGGGKVRVVGFEELSVTTRHIFYHQPHP LPVFDPSIQHNLSSSLFASGPDSDGYRLAAEGTHNIPFRMRLPLGGGAKGTYTAQNGK GPCVRYVVVGSVKIHIPSTGKRSIAHFYRSIVVLPYLNPSVVLAPCAEPVEGYTERGL GWNITGEKGRVELRVSLGRRIWVSGQRLWCEVAIRNDSNRKIKTLNLALLQTIQVFNP QPLLDTADLPSRITRKRKSLSNCTNVEAIPGTPDLDACQTTTQRRKISEEIIEADFIS HGAGRVTGKGWWTGVDSGESGHWDLSLQIPPGMLSIRRTRLIEVQYILRVTVNGSIYV DVPVQLINFLSIDPPPMPRDGPALLNPPVSNKQPIPHGRPKELQVTINDVGGVYHQPN TSPSRPGAIRNDSEFSLDSVVGAARASSTTLHIDALLQAGRVRAEAEAMGNLPSALTG LRNKTGKSTSSRPLSLGSTYSISQRDHNTLSHIRTKSNPMLRPKGARAISYGSATAQT DSQSIFSSNDTEENENTGSSEEDKLKVAARRALGRQRSLALINLELDKEKQKYLNQDE PLSPGREPDTPFQGEFRPCHTPSEEVYEMVRDTPEGGSPAKHEVDLVDELDLESPIEE TQPRTLRVVNTDENVEDDDEEYEPLPREGADEGEQRVINHSPEPQHPENGEHEDLAVN ELTGLELDVGNETILADMVPEDRQELEEVMSQRGYDEDQLVSNSYDYGEDVELEPEYE DEQLEENEPLISPVSPVSPKRVHLSSSKSATRLPFLSTSPIEDREAHISATRTIYGSL AAASAVSGVSEQESEVGQVYEAVKRNVSIKTPSKLLPLNVTEEVNAPGTAKLEEGLAY QAETEMNTSPVVQSTANTPRSSSVSHSLLADARRNSQIEISRIDSNSGLRSAPKGPSI VPSSSNMTLRRESSSMGTIGHQTPSSPLRKETSVSPNPATRIIQKKTSYTIATPGSPL KTKSQSQSSPSSSHTPVKIALSPGLSPKSLSAPKANEMSRAPSLNSRLRNLVVPSDSS GSGSHEEDNNQQSTNSPDLESGDEGGLPGLAPSVASDSASSEGHQLDSPISTSAIPQP IIQIPATSPNLTSSPIKRGLPNLPGAGGAYQPFSMEEYDQKQMMNDKNREMTVNQQVY NFQLQHQSHQINNESNNLLLLPMEETSISGHLSTPSSPTSSCHSILPSVKNKILQMEN REEALRKFSVSGSVAGSGSVPQSRQGSFVDSYPAIKSRQHSLVGNQINRQPSLLIASN GNGSLISRQPSLLGNGNRKPSLSIDQSRQSSISTNPISLNSTSYQKPLIENKNSQANS PTTATKRRSYTTALAPKPKRTTSDDSYYSHSHSQDNNIGMNTPMGYKYDIPKIANTEQ GQIPYMIGQGIGHTTYVNKRLYAYSPKNQNQSRNSSINGEHQQQPQQDDGYQSESINS RISIKSNSPLNNKVIPKLDPFGIGFGSGSIMKRNESVNSNSSNITEFESALINHPNQI YTVETTQEKEGNQIERMIKPKSSGFWDSGEGHGLKAFSNQLNTREARVSLSEGETSES EGLL
I206_00678	MAEFQGKEDDWVSSLPVLEGLFNKETSILLLPFHKFSISSMFRS SARSTNEPPVKLLQPILDQAKIPPTEPLPPKAEIVKALEGLKEFATHFKKFSTNQRYD HDYGKIEYAIERLCRAYLAGAYLISRGLWDNDPFRGQPELERDRRDLQTALEQCIRGF FILHLLDTALSKEELDKHILGSIFPPTETKYHYLSPLLSQTRQLITSLQTQPARSIAP SKLKSSAQFQMVPCLSESVSDEKALYMIRLLNIFSKGLVSARDLAQPSIAKDKNMGEE DARRPRRIKMEVEVMKCDLLLSMTTQRIDEVKRRAFVRLEPEHEDTSLPDSREDSPNR SAVNKEDKEELKPRLSGEGELPLGYASQSSHTTCGNHVDDVNQTRWENLAEESLVECL EYAKKILDQGRCEVLSDHVEAADWLGEIGVCRSNNDRTLCSTSKSPAYDHGSDDLSGT DFEDEDEDPFEDDSESEQDHDRVSHACPLRTIFRLHDRYEEQRLAIWLQLPAAKRGKM GWFIRGEDGRVGLSWDAFGLAAMMAYDSETLIDFGLGPDKLDVWLELASLKNAKKIKK RRKKT
I206_00679	MTISSSTAVDRNISGKSHYQYDKPTTSIKIQAEEFYPTPPPSPI IEDTINLPENNHTSPVIDKPPILPSVSVPIPLFLDTQHHLPHASGLRLATPSSLLATL GGNRVLALDPLSSVWDRMPYSASTAVVTTPNAMEEDSVESIVGGERPQPSMHGLHWAM RKQKSTDYISSIFVSPPTPERITSPTSEQAPTTTLSASVTPFSFPTKPIDSRLSTSPN GSRDASPTASPSVTTKTLPVVTSPIAATIPLQTLPEVRSPPKKTIAMTTDSLQLSAKR GRRPRLFGFTEMADGANASASSSAASSAANSPDRTPPIPSKRFDYHTSNNNPGSTNTS TSSPTTFMPRRHPIRARSEAALMTSVQHIPPRRRENGLKLNFDGIVPLPQPQEIQSAS IPSPYTSRLIRKKSGEILKSALKYGGPLLPNGTPIHDRETLSSPRYESKSCPSTPSCP KYVHFDSQLERVKLFLHDQKPQVVSRDGSPTADTTSEGDEFPFPSSTDEEREVKKVLT VSLPNFPTSHPPDSELYLESLFLEEDRKALKGVVVCANIAFQKWVAIRFTFDWWQTTS EVTATYKESIKGGKCDRFIFSIKLNDILAKIEEKTLFMAIRYNAEGREIWDSNGGQNY QVLFQKVDRQIVGPGIRAARNSISLQPGMGRAVGGRTSQWSVSGASNDDRLADLRAKL DRLTADEPDRIPVSPNSTRHFSFDFGKRGPDKSSPSSSPNRGFVPIDTKTSDLPAAGP ALAARYDFGTSLKTTTRRGSNSPLGRPGELPDVKTGLLNYGSNNNQTKANGHAATEFY SPRFNQATLTTNDYFFSPTSTTASTMPMAAPVPDLKVQGPSPPALEEQDQDSPTPTQS QPKAFTSTYTVPPPKPPSPQRPSHSFKRQSTYTPRFTIGDDSVEVSPNVSPPQLISSS SNESNATDTPSESPRSPPDLSLAKWSPTSRSADSSDDANSLSSYSSFLEQFCWGGNSM TPERRSHSTSSLDNYFSPVETSGNATPRALATTPQGRPIGSGIAQDTPSSTTSSSYYS TYSNTPTTREDLDNVEKALNVHVSPSGRIHVGGHMSPPVMA
I206_00680	MITSRQDSNNNPPSYDQIQKYTTPRDPSPIERRPSDLPSENEIS KQVTQSFYNSEIDSRGLIENERKCINPWGHSPKTVYGTMGIVAGVLFFPWGLFW
I206_00681	MNQILKIPSTKEIFKKLNNTLNDLSISNRIIIENNNDNWWPFIT SIERDVWFNNQDLINLLDTRFKYDGNISEFGDYDQVCAIDFCPSGLYDTWVARDICED TIQVSACWNGITILDARPFLFQQYDDHLSNSNIEDLELGHSNFNMEKRGWRMIDNLTT YPGSVNSPKIELPIKFRTSNIPECDHSECFLISYDLHRYHYNRPVKIYMNPKVKVAYE QNWYKWNNFILRIPIINWWLVNWSRGYPLMMIVHKIRESKRNSRDYCTWSALSWYIPD RCPTLPGPPENIA
I206_00682	MDKRISSPFSQALYAVEVLTDRIKNLGRLDERQNVEERNQEDSY RLLSWRNSIHEPHRDVINNEEVQEREEDDVDGHSELPPPYDTDLSLSPTSPTSPTSLN NPIKHSRRFHLDLLLITIILLGIGIGGVLFIIFPFPTTIPAFLCLLTILVGWVTITWL RTIYYSLVSYQIIREKSDTLHHRHPSTSSCSSKLYFNKFGTTKRTILLSSTFFLLYLA YLGLIVPQEELPRLNTNGNEKYFIAANLHDSQDILPSWSTELVKLIDHLGNDNVFVSI YESNSHDSTKTLLSSLNQTLFDKGVGRRIITAQDDKHWWPYSTSPERIGYLASARNKA LEPIQSTDPSIRLDGYAGFTKVIFLNDVYFTWKSLVRLIATKVEGQDEYDQVCALDFG ASGLYDTWASRDICGTPLRPFWPYIKDPVTIEKLEEEEPFEVSSCWNGAVVFKSGPFL YKPPTEEMIEPENPALEGEYQMIKRGWKMVDNPTYPNSVFSPTLTLPIQFRTSNISAC DHSECFLIGYDLHRLYDSVERPPRIYMNPTVKLAYEKKWFVWHNKVLRVPVIQWWLEN WSRGYPFYFVDWIWEKAGRRRDYCTWSALSPHLPDRCPPLPGAQAKHWDE
I206_00683	MAKVATDIRRTFPKTDEVVVSYIAGLVEDEDEEVDDIVGMTRGM LDNIGEGSKDVKILDDFMNRLIEYLESQSSKRIRKINTATKLDKTIHMRSQAMSATIA MSGKVDLESNTKGQASRVDLNKLAKAEAKLKAKIEKRSKRDLYQGSKLIEQLNKNKQS YEEMYMKVNPLDLSGSAKGKSKDIHLNNIDVSFASNRILAGATLTMAHGRRYGLIGRN GIGKSTLLRHLALREVPIPMHISVLYVEQEISGDSTTALESVLQADVWRHKYITEEKE MNVKMEELDRATAAEGVSEEEKQELDKEKEEVLTRLGEIQKTLVDMEAETGPARAGLL LAGLGFSEEDQKKVTSSFSGGWRMRLALARALFVKPDLLMLDEPSNMLDLNAIAWLEE YLQTWPSTLLVVSHDRAFLDSVATDIIHQHSQRLDYYKGNFSQFYATKIDRAKQQKKE YETQLTYRQHLQAYIDRWRYNANRAAQAQSKIKILEKLPELEPPEQDDSESFKFPDPE KISPPLLQLDEATFGYSPEKIILQNVNIDVQMDSRIAVIGPNGAGKSTMIKLLTGDLQ PIDGRATHNSRCRISYFTQHFVNQLDMTVSPVAFLQSKFPGKVEQEYRSHLGSFGITG LTGLQKIETLSGGQKARVAFAVLSMQKPHILLLDEASFLSFFFFFIESIDALIEAIKV FKGGVISISHDERFITNTSNQLWVCADGKVTKFLGDVESYKKIVTQELQAKLRP
I206_00684	MSWNQYPGGNHQQQGNGGGYGYAPPPPQGYGGYAPPPPPPPPQQ GYGGPPGGWNQAPPPPPMGYNNGPQQGYYNGPPQGGGQGQGGWAPPTGVPPRQNYHQT GAGFMPPSGPPQGGAGYTPPGYGGAAPARPPTNQQHYGPQLHGQNGQNAQPYFQYSQC SGRRKALCIGINYIGSSQALAGCINDARNVQKFLIERYHYKAEDIVMLTDDAQNPRQI PTRANIIQACQWLTQGAQPNDALFFHYSGHGGQTKDLDGDEDDGYDEVIYPLDHQRAG HIIDDDCEHTLLVKPLPAGCRLTAIFDSCHSGSCLDLPYIYSTEGTIKEPNLLAEAGQ GLLGAGMSYLKGDTGGMIKGLMGLGKNLVNQNSDARKRTQETKTSPADVIMWSGCKDS QTSADTQEAGKATGAMSYAFIAALTKYPQQSYVQLLKTIRDELKGKYSQKPQLSASHR MSSSLRL
I206_00685	MSGWDDKPQIIGYKQQRPTVAKGSALNFGAEHISIAVAAQRSGL VVSSESKGAGQTKGPADHQRIAKLDRDDAPKPPEKIGQDVGKAVATARMAIKNAEGKS MTQKELATAVNAQPSAITDLEAGRAVPDQQLLSKLERKLNVKLRGAKNTIGGPLHPPK KK
I206_00686	MLQRNPDSDWWRQAIIYQIYPRSFCDSNGDGIGDLKGITSKVPY LASLGIDAVWLSPFYPSGLKDGGYDVSDYRDVDPRIGTLEDFDEMSKAFEEVNIKVMV DIVPNHSSDEHVWFQEALKAGKGSKERERYIFRDGLGPNKDIPPTDWQCAFGGSSWTT SGCNDGQWYYHMFDSSQPDWNWDNMEIQEDFIKTLRFWANRGVSGFRIDVAHALVKDF NGNLPNWKEMNEIREKKLKNGNKHITHPYMDRDEVQEIYKDWRKVFEEYNPPLFAVAE CWVAPDRKPMYASQEGLGQAFSFDMMLCNFDAKEYRDCIEHSLKDSKQAGSSTTWVLS NHDEIRHVTRYGLPNVPNAGYPEFDDAFNAYKRSKFTDPPVDVATGLRRAKAATLMIL GLPGSTYIYQGEELGLPEVIEIPDDQRQDPHFHRTKGECLGRDGCRVPLPWNSTCKNL GFSNDKPPHLPQPEWMEEYSVNLQDNSSESTLNFYRKALEIRKELQCEEELEWIENDN LEVLHFSRPGKWEIFMNASKKAISIPKGRKVLISSNEIIEGILEGETTAWLLAE
I206_00687	MSGVESFPPISVGLMGTGEYTTGITPTGQSKSDKKIGVVGVTMF DLRRRGKVSDIIMAGTNGGKFPEIKAHFQKNIGDVYKGLDLDFRGFPEGNLRNAEAYK EALRALPKGSAVIIFTPDSTHFPIASEALNLGHHVLVTKPATQKLEDHVKLIELAEEK GLVCFVEHHKRFDPAYNDARARAQKLGDFNFYQSYMSQPKMQLETFKSWAGIDSDISY YLNSHHIDIHCWMVEGRYKPTKVTASATTGIATSMGCDPKTEDTITLLVDWENIETPS QRGTAVYTASWAAPMKAGVHSEQRFHYMAAKGEVRVDQAHRGYSIVEDDTGKADYNPF YVKYSPDENGYFDGQKGYGYVSLEKFIDAAQRVTAGKAKASDFDGKGLPTIKATVLTT AIIHAGRVSLDEKRSVGLTEEGGKWKLV
I206_00688	MSDSEKLILLGTHATSIHSVLFDPVKRTLRRGLSTENLPKQPSW VIKHPLHPELIFSNGWVDNKLFIYRLNSSDGKLERLAEANTGGEGPTHFAILPDGSEV IIAHYRSGSVTVIPLRSDGLFAASSPTPERIYNGNYSPMKHWRQESAHMHQVVIHNNE ILVPDLGSNKVYRYKWDSSKKKLILLAEIDDGFEDGDGPRHLVVHPSGSHFYVLNEVA GALTIHTLPSSGPSKLIKRYSMLPPNDDGRRRETGGAEIILLPPTKPDGRYLLITSNR DSPNEEDTLALFSVSQNDGGDVQRTKEGWIGGIGKHLRAVEQDSTGKYVLVAARDTGR VVILERAGEDGLQLKEVTRLEGIESVVVPLWI
I206_00689	MRTIELEPSPSIPLHSLEALQQDSLLPICKACGTQYSKTFNLTT NCKICEDPRQFIPSTGQEWTNLYDLGQDRKHVLLQDEIDERISFISVEPGFAINQTPI LIETFNGSYIWDCSALISLPLIGFLSKLKRPLKGIAISHPHFFATSLTWARALRVPLY LCEDDKEWYQRLNDIEAQDHIIWWKGEKELDKGVKLIQCGGHFPGSSILYWDRLSEPP PPKDDLPSKPTPVSGIIFTADTIMVQPTQKGFSFIWSVPNLIPLRPNSILAIQDRLKY LSFHEATSSWPNRWIREDAKMALEQSVITYLAAEGWRLDQEKLVKLIT
I206_00690	MSSSNTNAYAAGTEPTVSGTKADGSAGANAAQGLGDKVKGGWNV FHGAGEAIRGNANSFIDNLGEQVAGRDPSQQPQSHSAGGERPAGVAAKGADEIQQGVA GLKK
I206_00691	MASRFALKSLRSAALARPAPRVVAQSVRFMASQPTPDERAEQII NAVPSSSLFTKTGGILLGTGLTAAAVSSELYVANEETVLAVGFLVIFTAIARSIGAPY SGWANNHIERIKGILNSARTEHTKAVTDRIESVSQLKDVVPLTESLYAVAKETNVLEH ENFKLAQENAVKAELKSVLDSWVRYEQQQREAEQAALVKTVQANVESELAKPAFKKQL LEEALSQIEQLSKAKAI
I206_00692	MSDEERADDLFGDSDNEGGSQASRQPSPVASSSKSPVPAAQAQS PVQADDDVGDLFGDDDEEEERAKRGRSSTGTPVSRRSGSRTRSRSGSANPLEYEEEDV VESRGERWATLPLPQWNKMQATDDKVWHMKLPAYVNLDSNPYEPDLYRETANEEPIDS QANPIAAKSKMIGVRNTIRWKWVTGGDGELTRQSNARMLRWSDGSVSLQLGADLFDVA PSHGATLARPQDPKPPLPAGTTKQPEQIPNTVSATTFLSVTAPNETVLVTERAIAGQL SLLPTSMDSKTHLELVKHVGQQHVKHSKMKMLEETAKDEESLQKLLLKGAYNREFINL KSAADKPKRSGGRNSSKGSGLGRTSSGSSFRKSRVGKKSFYSDSDGSDEDEPRQNRER SIGGVGGDYDEDDGFVVADSDEDEYGSRKKKSKNKNKKRKNKSYTDDEDEEEDEEEVD EMEEAERRIEERERERKRAKTSKSGSSSKKKSRDYVDTDEEEDDDAGATTGAGAGEED AEGEEEEMEMDIESEED
I206_00693	MTPIIRNLVALLPFSFTLKFNDGESQAQQQVKVESLAPKANYHL SKHYIGDDFFKGFDWKTIDDPTHGKVNYVSQTEARQKNLSYVSDHHTFVMRTDYHHKV ENGKRGRDSVRIESKDTFENGVYILDLLHMPSGCGTWPAFWTSTIGHWPEGGEIDIME GVDGKGTNQGTLHTTSGCTMPSNVDETGQQLKTDCAVQGANNEGCGVKDKRQDSFGPA FNKDNGGWYAMQRSNSGIKMWFWPRDGHPPADVKTGPANIDPSKWGKPFANFPSTHCD MKQHFGKHRIIINTSLCGDWAGAVYSRQGCPSTCEAHVMNDPAAFREAYWKIRGLRVY TEKARESGYVNDGDYSVDDEVVAFEDY
I206_00694	MLHLISRCSSYLIFALPLLNSVLAATYTLSKTWKGNDFFQGFTW WEWDDPTQGRVNYVNQETAIARNLSYVNGDNFIMRADSTNVVDPSARGRDSVRIHSKE TYTDGILIIDVKHMPVGCGTWPAFWTCTRNGPWPTGGEVDIIEGIYGINQQYSNNLFT LHSDAGCNMPPASGQNRGTGTSLLNDCTGGVGCGVRDTSSKSFGEEFNKGQGGMRVFS VNGPRFVMRRSHTRGFSFWFWPHNSPKAPSDITTGSNVIMESNLNAPIANFPADQCDI NRHFDDHEIIINLTFAGSWAGATPQWSASGCAGSVGWTPDDYVDKNPQAFSEAYWEIR SLKWYTPVCAVKARKRALDSIF
I206_00695	MSSPPMTPTPATKPVNAASPTSSPTAGFAPPSQSSIAITTQAPQ HVDPKVNELHQMFPTVEIGVIELILETSNGSTDRSIEQLLGITDPEFNSDELKGTREN SQVDLDEQFARSLQMAEHENYREEQTQFHSRVNYGNNPPPVSNGLPYQPRIKNNNRPQ QPQNQDAFYQSNDLEGRYGDRNQGDNPPGMLAFEERVEKFAEAGKQTFNSLFSKAKAK YSEFQQQQQQGSNRNYQSGSGSYVPENLSPSGDRYTRPPGIGGNRGGEQSRNTSNGTP FWLNNTCNDSPSLRSESISSQSTFDAPPQPQIQPIRQSSNRWQPSDTYDDPLPPARSI SNNRIEVNPNRGSVGSPEKQVGKIDPAKLGILPKKRVDLLSTSPSNSTLSPSNPLSKK VGSNDDDPNPSLPNAPESLVSKIPQTPPAGDDRYRLEDSDDELEYTK
I206_00696	MENPIQSSIAGNQIATYKPNLAVEHEDVHVDLTIDRERYKVETS RWRRIRQHSLTQMLFISIQAFCGPALSDAISGLGGGGLATPKTSNIRFALDQGVEAIV CLFGAVLVNIIGVKWSLVIGAASFPLLGASYYCNSQFENQWFLILTAPFTGIGFGFWN ISEGAYVMSIAPEAKRGKYLALWIVSRNAGKLTLSEKNHVDDTSLSGQLVGGAINLAK NSTKDAGGGIDPSTYIPFIIIEALALPFAFGICEMKDVVRSDGTKILILPKLKNFEEL KYILKTYLSKIIYCSFLFIIWSYFYNGPWSTYLALYFSVRSRSLSSLISPFFCIIGCF GLGFILDLSNKNYSQRKRAQFGFWLIIFLNLLVYIWSLIIQIDFIRNNPGSIDWNDKK FLKSFLPYFFIQTTGPLAQVYTYWILSSFAESGQDNIRFSAALKAIQCVGNAIAFGVS AADSFPLTGMILNCSLMVACIPGMWYITSKTPDRIPADVIAEEMILERRIKMEDPGQK DALDQVHTREVATLA
I206_00697	MSIKPSFAPRVLRTNRLIRPSSVVSRSITSSVRPTVQTPLVKQY RMASVLQATAKAAHSAVASLASAAPIKVGDAVPDVDIRINDLEDKVNFSKLPGKNILV LVPGAFSPTCSSQVPGYLEQFSTFQSKGVKDIYVVAVNDMFVVNAWKEKLAGDKNTVK FAADDTSALAAKLGLVLDAQAIFGGPRLKRGAVVIEDGKVVHVAVEPSPGEGELSHLR RDELETMS
I206_00698	MTYLTPHLPFPSSSSSLPPSNSHLIISDTVASPSHFALFHLVSS ALGKEKRKVIWVDFRGEGRASWEAILKKVGTPLPPLTSPQFIHITPSSLPSFIGPSSS LKNAPRLFDDNDRPSLKTTYNSILPHISENSLVILDGLTELNWIGFSSLEISKFVRAV FSKVKSIKAILVSTLHADHLPLSSSIIPQTEQGDDEILQRLLRIGQGCWWRVSHLASG RSADVMGEISSHPLSKPIGLDESEKFPHIPRSNPLQYRLEPNTVRVFAKGTGRGFL
I206_00699	MSTESSSPTTPKAEEGSSSWRRLSHPPLPTFRPNIPNLRTALLG YLGEVEVALRSKLGELAPAEEERIRASSSSPSVSGDENGTYSDTLTGEPEEEDDPSAG SFGYASSSRFNQRKDQDGLRNRFTPNTVSSNGIDGQHLKLLNHLSTLREEALNYLPSI SVPSVAVPRPSMPISMPSYPSREWLRSLPSRLSSIDPGLIYGDKKGKTSEGDHLGAIE SARKRVLEVVHALLPSEEWAGWEKLGWEEQEDDLKFHVSTYKRSRSVSLERISTEVDE EEDEEPEYLFPNRTPASAKALAKRRRAVRSKSLGASNFPTVLAQGLLRPSNHLVRSKT VPYRSTLTDDDDEDDPIRRDLDLQQSMEDVNDGDEDTQYILEHPEITDTKLTAMVAED DESSISVLESLERSNDGKRLIRYEDLPATWRNNEHIHTGYRFIPLHLKTGPVPLIRSA FTMHNETVNIHSHFIPTILLSLCVPYIILNPPLPDAHLLDTLILALYLIAAISCLFSS ASWHVLSGCASKRWFEWGACVDYIGISWLIAASFGTVVYNGFYCQPKLVLLYCGTNAC CGALGSYLPFQKWFNERRNKHLRITFFLVLCAAMFTPMLQLFFQHGVLRALTFVAPFG MSVAVYLIGLWFYACHFPEYKWPGKFDRWGMSHQWWHAGIVLAIWLHYRAVFVAHGMK DSFSCAAPGAGKSVAELFDGWVKGN
I206_00700	MFNIRAFTYFGTIANLGLATNGYALGPQQPRPESVTKITFSEGT TFSSNGTTFPSESGSGYIKICSPDVGKPILDGKSNFNFIVLGEGNKNELELQCHASCT KTSDKEAEMNFLKQDPWLEGNNTDVGRVWCDKVLSRDESIWKDVKDIKLPDSDKF
I206_00701	MKVPTTLGGPAATPIEGGGFTAIYAPDGSILAKSKNQYSEEIVT ADVDLDDIHRHKQMADCIGIPPPLSGEDVPSRGS
I206_00702	MSSKIEANRPLGQEGLVSDQIPAGGNIGQEEKATLHHSEVPVID GRGDLNIADILKGTAANPLSTFEKKAALINAELDQFGMGRYQICIWLLCGFGYFLDLA WAQGVGLAASAIYQEMGVPDEKQGIIFSCANAGLAVGALGFGLLVDIIGRKWAFNLTC LICSVFGLLLAAPKYNYPAVCGIYLLASIGLGGNIPIDATIALEFLPQRRRMLVALLS LWQPVGVVFASGIAYATAAKYRCATGLPSCHDVAEGAACCTVSSNMGWRYNSIVLGGV TLVIFFLRFFVFKFHESPKFLLARGREAEAIEVLHKIAKFNKAPPPTLTLAHFAEIEA TSSTYTIDSTAPPEYSTPGVVGHSKHVVKNFFRNFRGLKALFLNKLQLFIFCLLAIAY MGDYWSFNLAGSFLPLILLRNNVSAGQDTVTDTYRQYIYIYLPGILGAVMALFSIQLP LIGRKWSLVFSAVCQGLAMAMYTQVKTTAGYVGLNAFEYIMQTYFNAVLYASAPELFD TAYRGSASGMLSCLGRLAGIVAPFAGQQYLKNQSSGILWLGAGGIWLSALMMVFLPVE MRDRQMF
I206_00703	MRYLLQHINACRPVNPLPTARTVPLRHLHNSLKPLLVLGIESSA DDSSASIVSSNREILSLVTISQHNENSIHGGIHPLVAQSSHNKNVPLAIERCIKQSGV KLDDIDAIAYTRGPGMRGCLMVGEMAAKGLSAGTGKRLIGVHHMQAHALTPLLTESDP PEFPFLILLVSGGHTQLVLAESQDNFKILLDTLDSKIGDVFEKAARLLKLPHSSTISP GAILEKFATMSPLSPYDQTSEKKLPIPLSTGDTKHKEAFSFAGILSSLQRRLPINLTE GDQREFSRIFQQAVTGHLILKLEQTISIITKEGLNKINGLVVSGGVASNAYLRKQLQE MLNRYKNESEEIKLFYPPISLCTDNAAMIAWTAILRLQADPTLNEPYDLPIRPKWSLE ELYNDVE
I206_00704	MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTV MIGDDPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVKEKWFPEVH HHCPGVPCLIVGTQVDLREDQNHLEKLQRQKQRPITTEQGERLARELGAVKYVECSAL TQRGLKNVFDEAIVAALEPPVTKKKSKCVIL
I206_00705	MAETTQPPTSEAGPSIAAEGSKKEPVVVICIGMAGSGKTTFMQR LNSHLHSKNTPPYILNLDPAVSHMPYSANIDIRDTVDYKEVMKQYNLGPNGGILTALN LFTTKFDQVLGYVEKRAEDVDYILVDTPGQIEIFTWSASGAIITDAIASSLPTVVAYV VDTPRTTAPATFMSNMLYACSILYKTRLPFIIVFNKIDVQSHDFALDWMKDFESYQAA LNDSGKNEYGESNYMNSLMSSMCLVLEEFYNNLRAVGVSAMTGEGMKDFFKAVEEARK EYESDYKPELERLASERAAKTEADKKSQLERLMKDMNVNDSTNSTSSESSRRRNGKGT GGKGDNPFGPYPINEREDRYYDEEEAEETDEEEQDAIRRQQEEEEEASHAPELGALDV DEPEIGGMPRSGPNGSRSEAWPKPI
I206_00706	MEDHTFDLEDDHDNTHQHLEDHDDHDDNLHDQVTHDDVAAEAVL ASLRAVINDPDSHNTANNHSDNILDHVNVDVNIEGEEELLHQKNTSTHTSEDLLGNLH EHDQNDETLQEFVHDHDQEANLDQNGHLTEPTTIQHPLIVWFEHGLSSIEKAISDNKL VVDELNSPNAIQNLAQNLRALGEGSKKESEIINDLKERLKVFKQEPGITIGGENILQA LTTNLGAEESPFVLRTEYDLLKADYDTLVNSSTGKKGSKKGGAKKPNQEKGHKNGEDS LTPLREAVNQSILQQDDQMPGVEGRKKRSIKLEHLVHKMANRRLGVEYQVTNFESKGS RQLPDPSSIPPKADEAINGVDEYRPDFQADINSATVKPFIDQVVEDCMDALKDSMSDE PDIDRERIIGGVQIYWARLSLLARRLAAFDSSPLNICRLRALYRTLLTIDFAAPTQDT PDPKREYTEDEWKAYRKLACGRRAAEAHEVIDQFWLSPMARNLLTILDVYWADMNARA RKKGRPKQPNPTFHLPPHLWDRSSLPTIRPKDATGLPIPNAQGIVLFKFHVDEQVQKD NPEWAQGLYDNPPISEENSRLPSLTEVMALSIYIPLKAPLKAAKEKSNPAIIPLDQVE EILSRPDRSPTPPPPASLPISQEGQNPPSVSSVNANPLEIDQSLDSSFIGDVPNTGNS ENEYATLLALNRLEKLSPDVNMEISESSDNTMNGNNNNNNKRKRNTSSLPPGTENLFI IPATSNILGNLTPVNEPVPGPSAGSSWRARKLGKRLASEVPGGAATPVPKRIKTKLNQ SVSLGLDDTALVGIEGEFINHPDDNILVSDGQVMPDDDDEEDERVNEEVLGDAAFLDS L
I206_00707	MSQTPINPEVLNRPLVCCGPSGTGKSTLLNKLFADHPGQFGFSV SHTTRNPRAGEENGREYHFVKREEFMKRVENGEFLEWAEFGGNCYGTTFAALSALYPK RCILDIELQGVLQLRSKASKQSPPLEPVYLFLSPPTISELKKRLSGRGTENDSSIKKR LNAAKKEIEYAQKGKHDIYIVNSDLKIAGEKLEKVAMGFQGWLECGDKLPEFDVNELE 
I206_00709	MSCSQRTIILSKTNYFNSLRLGLKASLIANLLILGHILLTTSAN SQYWKDLELIIEAFSFQLKEEFILLPITSFSGIILLILLNLQIIISICLYTIKNGIKS LNQNFWFGRTGWWVGQIRLERIIENDLENGFIIEKS
I206_00710	MVSPNKPSTPSTSTSKNYHPYLIHSSASSLLTRTNSSPVQPVSP NYHRSSRSMSSLNQILENKDNKTENCTEENRRKSMEIPQERKIGINRRPGVKRSGTLP EFLNNCGKLNTKESKEIDLPLNPKSWTPSELAQYLGYTLRTGGPEGTGHILPAPLVED IKSWVLRQRVSGKDFLKGSSDGWGNTTRPPPFLPLLQTIARRLRRHSLSGRIESVPAN PDDSFGKGSILMEENEDEEEDVGSDELTGVRRMVNAFDAKSSSSVSESSASGDDEEAG KLKPQLTGESVGERWKAWEERLGDTRRRNRRVSDVSSVDSMSDRYIYRNEVKMEDEHE VEEANAGGTIKAPLSVPSLATSGSHDQVTLPQGMTPPPPYTSPFFDSTDRLPIQHLPT LTECLTPERPNDGRYSVTPTPERTSNAGLGISTPLLPTSSPDNTPSKVNTSSYNQSEG CSPHMKNMSNHSVKGSNPYAALRRTSSSGSNVKHPSVKQLNLVNHNCLDEEEEEEGYL SGAQLSPANAQFAGEELEGSRWTTARRVTLKPSKVQNIFEGESISHASSIVDIENDKS IQLKDDLSKQKEMVENQMNILLNRIKELENKLDNVKNVNTNDIDFEDKSKKQNEIKKL DKGIFDILGFSRKNSNENDGLPKTVRELPVYLFLVGFGVGAVFVRVLFGRSR
I206_00711	MSKVQLYVYDLSRGLAKQMSLMLTGKQIDGIWHTSVVAWGREVF YGQGILTSSPGATHHGPPLHIIDVGETHIDEETFNEYLSSLGEMYTPSKYHLIEFNCN HFTADVVGFLTGQEIPKWISGLPAEFLSTPFGQAMRPQIDAMFRQTAPSERPIPGPSP VQPGVSPASTSQPTPPSSGTATPALASALLSSVAARAQAQSQGQGSSNGIPTPRSTPP NPETSPLSLVSSVTHFHSILKDHPAVIVNFTNTPTCPPCRTIKPVYETISSNHASTYG LKGARFVEVELSIGEGRQLAQQYGVHATPTFIFFRNGKKVEEMKGASKKELENRVEAF LEDVFPTHIHKKMFLPTIEKLPTNPITASGIPNYPALLGKLEGFLVGKGKDEDLKFLK ENIVPVLEEKKSVSDQELRALLEHWIKVTHDILESLKADETFPIIDLWRVAILRPKIS SLLALRLRPSSSATNLPDALSTILALASNTLSSNGSNTSKPFLLTVLRLITNLLASNE LDNLILSQSGNIEIQEKSIGILVESLLHPDQSIRSAAAGIAVNVGAWRQRNKKEELDD VDWEVELVSALIESVDREADGDVSHRLLAALAMIIYLSPKYELDTKSLLEVLSAKDKL FTKSKTYKKKEIKKLAEEIAGKLC
I206_00712	MTTQNSGKTVFFTGATGYIGGTVLESILNSPKPPKLITLLIRDE KKSNDFKNLETAKKQNVEIKILISSLDDLEKIEKASSEHDITIHTADADNLKGVKAIL KGQKLRKEKTGHRPILIETSGTGVLIDKAKGEYPNDIIYTDLNPTPKTKDFPALISMT EIPESNPHRNVDLEILEADKAGYIKSYIILPSTIWGKGVGEVYDKGLSNSFSDQIPTL IKAGLDRGRAGMVGEGKNIWPHVKITDLGNLYQLIYLKSIETNSKIGHGLSGYYFGIS GEYTLFGATSRIGQSLVSNNLIEIKETTPTSFNQEELKKYYNGSTYMGTNSRGVADRS KSIGWKPKFTEQEDLYQHISRETIRVEKENGKQFNNKKFTSSY
I206_00713	MVSPALTNNTASPPHSLSSPPQPSPDPPQSSSSSSRDTNLPLPP LADRHAPRSGRTTSHEGRNKLMKSLRKGKEREKSQQSASTGDIEEDWTLEGIPPPSSF HGVQESGTTGRRASSEIGRATINDQAPWNGNGNTSRDDLDKKEKKSKGREIVKKTSRL FARDRDKDRTAEHDSSKVPSSLNPIPTSRQTSYSSAHSSDSQLTTGTGLSTRSQNNGY FPTLNRPASNGSRARSPKEYHSHSRRASQDSTVTTSSWRARSVKTGSSPYEFSDHGVP IPTRQGSNLSASVPGLSRNALPQPSSQSPTMTSRSPDNFPSRMSTWFSHLLPSSSTST IQESSSAPSPPSQSQSTNSPPALPPSPLRKPPSAAASFLNAARQRAVDGVRHLLDSEA QPDKCQDTIWVMGVGHPGWRPTTPSRSPIPFNLPDLQDDGESGNASEPRIGSADSSKT SPPSKHDAAGLRPSAWSRKQKEQTQSQDNSNTPPPKGFSNLFTSSTLSLALPASMTSG SPSKDGNTAVVESPGKAKSRKEKEIIKWPEQFYDNFKSVVWCTYRSQYAPILSISNNL LIPSPEAYYSAFGPPLDATVDPPLSVSELATRSSGTVWSWNRSEGGLTSDAGWGCMLR TGQSMLANALMYLHLGRDWRLPHKPSSSPSSPSELNDSQRYAEYVKILSWFLDDPSPL CPFSVHRMALIGKELGKEVGEWFGPSTAAGALKTLTNSFAPCGLAVTTATDSIIYKSD VYQASNLPRSSNSTGNSGNTWGNKAVLILVGIRLGLDGVNPIYHESIKTLFTFPQSVG IAGGRPSSSYYFVGSQANSLFYLDPHFTRPAVALETPPAPAFISSSNHRGMETDEEPV VVDSSEAVSQEVKYKLDVVDVDDISSDESSDGDVSSSPSTHMRKTERTSKQAKRLSAN GVNPIRSHPTSTQENDFSLPIAGAAPSSTNGKATISKHHPLETIPVDRDTQWWINAYP EQSLRTFHCEKVKKMPLSGLDPSMLLGFLVRNEDDWADFVDRANKLPYKIFTVQDEPP SWDQDSDAGLESVSEPDEPSLDDEEIENVPITTARTTQPESSSSSSPDHSIESNVVEL SRTTVKPVDIVTKTRGLKLDDDEDEEEWTEGGTPSSQKPVLVERPTPTSNNSRQTVWP ISTPTPPSLMPIPTSKRLNKFTEEGIEFPNKLKIDDNEMEQSVIDDEKQSTSTLTLKT KRPLLQEQRLKTESWIDPSPVKGEAPNGDSLI
I206_00714	MTNTDNGQHPKPASKAGISWPIQERSSDPVAKFFQDGSKLSWHW NWSKHWKGPLVPETSSDLVINADFVPMVWSPELVKDGNFLQPGWKLLMGFNEPDHADE AVAKKTSPEDAVPAWIEMSKWLTQPDQKLVSPAVAGSVDWLKEFFTLIPPETKPSYLA VHVYTTTFESFVSIIEKYWNEFKLPIILNEFAMQSFDPNVPGPQSQQQVHDFMGQTTK WLDETPYIYKYSWFGSCRDSYHLHGVHEYNRLMDNNGEITPLGWQYINGGHD
I206_00715	MFKFLTILTWCLALSLTIAQDAPNVPTGDVITLSLSSSEAQATA SATSTNTPIVTATRNGKAGIGWPSQEKDASPVIQFFTPNSTVSWWFDWTKNWNQGVLN SDGVEIDGQFVPMLFGVDYLNNFDTFQDGMTELMGYNEPDLKSNTGVSVYLEPSQAAE KWKTQIIQIRQQYPNIKIHSPVMASNKTWLLEFFKSICPDDSASDGWGNCEYKPDYVS AHLYTTDMNYFKGTLIEFQKDFGIPLVLSEFACHKFGSDPHPSLEEVSTFMEETMTWL DQQEWVVKYAWFGVSRDPQWLFGVYETNRLMATDGSLTSL
I206_00716	MPTYGSTDNAQNPQSPPTNMDVPRVALVSNDGSTLWVNSDSLIK SSTFFADMFNICKLNTSSVESEAQISIISRSNESILIDTNKKVLELFVDFVSVSQPSL SRFNNLEDTVTLYKLCQFYDFNPDLIGMIKTQLKRFSLTQSWKLLEIASKINDEELIE MALKELKWGEFILGIKGDNREFWERLESLSPIWRIHLLKITLGDPKGNMNLPLSLPIT ADFPSQQSKYWQKIMDRRLNFILKEETRSFWKLISLFILAIIIFFCLPFIIFFNWFK
I206_00717	MSNSFPSLAHTPGTFPLPTIAEDTLHYSLHLPPTYEPTSTALLF NQYVETLLIRPWLWNKDSWELKVADSGNKLEGTMRVGDAVDDEWLVVWLLKRISEKWK DIVIGVRDTDGEFLLIEAANDLPAWVSPENAENRLWLQGGYLHLIPLSVRSIASKPRA IPDDEDMEKQYDPEAYISEEDAIQAVQTGKYRADEKVERAVWDRISCYPEGLKTHRHR TKAYLPISIARALKKSPELIQRAVEGFYVRDPSQLRTAARMTHFPPSSSILTPVTLTR AAYAQLQGQVFHPPRIFGPEWHVPENPNNEDEKRWRDLGVKIATGFEIMYKEGGKKSR TGIENETDSIKQNEEYQTFLTNLRKAGWFGDEIEGSEKWQIREKEAIKGYQSIKSADT AAQRPSFAYLVDTAISSSSDDPAEALTVDKAYLEDSESWLEVSPDELDGMMMRASGRA GSSVIPGENEKIEIGEEHGQALQDLAKKVENFVGGQGDMTGARFEDELSDDDMDDSED DSDDERNMRQALEADKESRMKNLVPSLPAEEWGSKAHPQGTSSSTSVVMEHDDMSEKT IPKSMKPNQKKEVKFDHKDINSSSMRPPKFAKQEYDGVVSDSSDEGDESESELPPVGT LGRKIAQMKWSEGDIAEPKIQEINDDEDGRADQLDLDEEMQRRVWGDSADIDDDGKEE EEEDDGMDLVMEEEQEDFLKFAKDALGINDDLWKGILGDRQARGAFVPQNGSSSKFTS IDLNTSAPENEKKVSFAHPPNTSRGILPESQGNPSLTSFESVMKAMDQELSKNKPAPP KPKFNPKVKTKSKTKLKTQNGTTTSDNNSLPPLPTEEDLEAMDEDDLIAMDRELKAAL KNAGISDDESDEEMNEGVKELGEDDKREYEMMKHFLESYRSQSGQSGVVGNLFGRLGQ DQK
I206_00718	MNFFPNQNGSKPKPHVTTVVRTTTVKKPSTPSSSSSTIPRSING SSSKASSSNGHLKVPSSSSSKFNGNSPKKNGNSSPLSRPTLTKELSSKSSNGVSKSSP TRSPIPKRKASSVARVESESESSGSESESSEDALDPKPKLKRQKSSYLGNLTPRSASN GGPEDELARMDELFCLDDVDMRGEFGRGWVGFVGCEEVLRGKVEGWAGGSNWGESKGL EKYQAYFPQPGFENGEKPPSVDLLYPATGCREKFILLTPTAASEFNPLSELRRALRNI LEYYIPPSHTHIFGTLSDSLSDPLETPSVVASRMNSPFAGSLATPPPESMPAETIGDA LRKALAPNRRDGPGFLRAMERFNVAMQDLQKEGSMKAHLKGKRMKSRDWSGLVDFVHE TAYSRVVGPYAHELAHHPKHPTEVAEAITGKEDAYGELRHNFMSRVVEETKLGPESVF VDLGSGVGNCVLQAAVQAGSRSYGFELLPVPAHCARLQLREVQRRWAMWGLKGNLDVQ VFEGDFRIHPMVSKRLREADVVLVNNEVFPSSLNIDLTNMFLDLKDGSKIVSLKPFVP EGFRMNESNCDSFGAIVKSIQNIYHKDWVTWKGDSGKYYIQIIDRTKRAKFEEEMINK RSRR
I206_00719	MSESATTSNPPPATNDDSPVPPPSSAELTPAPAESTTHTPGGGG SAEPVIDPELMDLPPPPHHNANSNGSSKPEEQATSLEYNSMGIRESIASAPNPRPAEF PPPDQLNENLSLDLDAIAQIAADNDDMPGSPTAKMMRAIAPPTAIMTNPEWAPPPPNA SVNLFIGRALLSNGNDNWPLKPNDIVNWIRKHYPSEWDGDEGRCSAHRVRTYLARKGA DMYYEKLNQGCINGWRIRANHLWRFDNGGFQGRGMKQEESIANAEKEREALANAARKE AAAAALAAGHPGVKVSMSNPGVNDGSHPLPPLKKPRISSAATTINSTNNTTNKIPNQR KRSTKKSSLPQQQIDNTYTHNNPFTNHQNYYTQQTNHSDLYGLDNSHHNQAGPSTLNN NEQNNLDPALTNNNNNNNNNINENVNVIHHQIENNNNNGGVQEVDNNNMDINMVQQAM QAAATASQMDDLEMGIQLPIEMQMHSNGHENDDDDGRFGFGVNVNVGVGVVGVNGEND QSYVQDGNYGYTG
I206_00720	MNPRTLAAPLRSIRSLPLRPPMTPAGRIAPLPATRFARQYSDKK EESFHAEEDKRITELENAKKESEKRAKELEEEMKQLKKEIQYARADYQTATRRAEEER KKASEFAITGFARALLGTVDVLQKALKSVPQPIPSDNQALKQLFQGIELTEKALIQTF ERHNMKRLDNLKGEMFDPNLHEAEFMIPQVAAPPREDGKPHEPGQIMEVNSEGWMIGN RVLRPAKVGVVQPE
I206_00721	MRVSLSLLALLPLISAIQLPHIPSPQDALNVADSFIHSQQHPST TLNYASDIKLDSVGEEFTVLTHKKFPDHRVRIKSTSGWCDPDVKSYSGYLDVGYGKEL FFSFFESRSKPKQDPVIMWINGGPGCSSSLGMFMELGPCTIKDQPTSVNDTKINPYSW NENANLFFLDEPIGVGFSHSEHGQKVATTEAAAKDVQAFVSIFFETFKEFEGRAFHMA GESYGGRYLPLFASAVVDGNKKLVKEGKAPINLQSVLIGNGVTDQFSTIESYFPFQCT LNGDLTEPVQNIANCVFMAESLPKCSKLAHKNCIESHDYTNCAIALNYCQEVLETSFW EAGVNPYDVTKACSPKELSDSLCYPITKKIGTYLDLPDVRQLLGVHDHRGNWTSCDNG VNRRFGQSLDITGQTWLYVAGLLERGIRVLNYVGMQDFICNHIANEMWMEKLEWTGKE GYNAAEWTKWNVKNNLAGTYKTSGNLTMLKIRGAGHMVPYDKPVEALTMLNSWLDAGI SGN
I206_00722	MSSTTSATEEIHSLYDTILILDFGSQYSHLITRRCREINVYCEM LPCTQKIADLSWKPAGIILSGSPYSVYASDAPHVDPAVFELGVPILGICYGLQEIART HGANVDAHTHREYGYAKIEVKKTGNKSQDALFEGIEMEEDGGLQVWMSHGDQLTSLPE NFSVVASTPTSPWTAIAHQSKPIYGVQFHPEVSHSPKGKEVIAAFVKNVCGIKGGWSM DSFIPKEIARIRQICGEKGQVIGAVSGGVDSTVAAKLMHEAIGDRFHAIMVDNGVLRK DEGAKVHQMLTVDLGVNLTVVDASELFLSRLKGVEDPEKKRKIIGNTFIEVFEEEAAK IEAAAEKELETKGGEAKGKIEWLLQGTLYPDVIESISFKGPSATIKTHHNVGGLLEDM KLKLIEPLRELFKDEVRALGRLLDIPAHLVGRHPFPGPGLAIRILGEVTRDQIKILQH ADDIYIEEVRAAGLYDQISQAFVALLPVKAVGVAGDARTYDQVVALRAVSTEDFMTAD WFVFPPQVLKKISSRITNEVKGVNRVVYDITSKPPGTVEWL
I206_00723	MSFPQISKILNVAVIGCGEIAQIAHIPNLIVASDMFKITALCDI SIQSLELCSKRFSIPNTFTSVTDLLASPITIDLVFILTADQFHAEHIIQCANAGKHIM IEKPMAQTLKEYDLVEEARLKNNVIIFVGYMRRFSLALNRLKDEIKGKEIKYVKIRDI IGNNKYFTSQSGQYQKYFKDHPNESTKELNKRIKLNLIENLGIEEKVESDNNRNIHSW SLLHSLSSHDLSLMRDILGMPEKCLFSTRSNDFQNKSWWWNVLFDYKSFKCYYEMGID EVAYFDAHIEIFTNDSRLKIQYDTPYVKGLPIKFTIQKQLSNGDFSEQIIRPTYVDPY TIELPLLYDSIVNGKDYETKPLDAKNDTILAKMIMEALVK
I206_00724	MPPKMPVIAPPSPSPPPPTANDAQDILVIQDIMDTLDQIPPELT RVYSDLNELGAVLYSTLLSLEKKLYTLINWIQDPSIKPEQRFELLQEIAEEAARYKLG GDDKIRVAGGACDGIMAHQRHLSNLLAASTLLNPSPPSPYTQTLTLPYHQPVVNSRRL QRAANSPFRNVGKDAGPSNEGRIGDTPTKKKKGRVGQLGNKDKDDDETSSIGGGEKEK KKPAKKRKPANRAQSPTDSIASTSAFTGKPAEPRTARQLAAAASRARKAEQDDGSDDS RDEIIPPSRKGGIGMQVTASSDSLMERKDGGLGLDMNGIGSKEIGKSGIFTPSANNNI GNKGPSKKVSAGIKRSHNDDGEESDYEENPSLAPNIIDPRPPNKQPKKSSSTNNTTQL YDVEVPESDNIAVNEEVDSKVYCTCRQVSYGEMIGCDDDDCEIEWYHLACLNLDKTPE GNWICPRCVERRKKQPKGKKASKAKKSK
I206_00725	MVAMDYEPDAAGQESYILCADCGTVISSANGAGLCVGCLRNTVD ITEGIPKEATLNFCRGCERFLSPPQTWVTAQPESRELLAICLKKIARPLMKVRLIDAS FIWTEPHSRRIKIKVTIQKEVLANTVLQQTFELLLVVHTGQCPQCTRLAAKNTWKASV QVRQKVTHKRTFLWLEQLILKHNAHKDTININEKRDGLDFFYSERNNAIKMVEFLAGV VPVRSKASEQLISSDTHSNTSNYKFTYSVEIVPVCKDDLVCLPRNQARAWGNISPLTI CSRVGNTVHLLDPMTLQQTDVTAPVYWRQPFDSLATVTDLVEFIVLDVEPSGPVRGKY VLADAQVTRSSSSNNNTDDDGMGDDGIFHTRTHLGGILQPGDTVLGYHLTNANFNNDA FDSFDQDRIPDVILVKKTYPNRRKKSKPRNWKLRSIAKEAEDVAEGGNTLGRGALGRK GGVDQRNVERDYELFLRDLEEDKEMRAAVNLYKANQEAKEADDEDDDVGMGETTKKSG SGMKGGKRRTGVNGGTGEMQVDEDMGEGTDADDEEEEDFPEIDINELLEHFEEMDMED ATEEVQ
I206_00726	MSAQHSRQPSFDDVRQHIISSLFARRDEDGTPEETLISYLKVYE EDPGDGQGGQKSRYLMLSVTKLGKVVIHKAKRNNNLSFSKGKTWQLEDVRILEVIGPT DFALTMTIRRYHWTTERPKDQSHFLNSIVKVYKTYTKGDTPELIDFTPGPTAQSDVPA PPPSMDRHGSASSPLGRSMDLAPPNILKQTRSGSSSSMASGGSSHYHQSNGRPSLDDE RRPSFGGNGISRSPLGESSMRRPVIPESNDVRDSSPRNTPNVTPSPGLGIGYPSATGP KKGSEDRMVQSALRNVSAASEYDGMPSSSKISRRPSNEGMRAISEDAQPPSRPKDPTI PPAAAPKSSLRPIQTESAPSQQRQQMLTPTITTTEASPLVPSESTFSGPPASEVPKAV TEKKLARRASFHPPPLDTTFSREVLLKSKTGILPGAIGLSVDDGQETDQAIMNNVEEM LEGFDWTAGLNAPNGVVGERKKGGADAIEGRLLDELAALDSANIHAFLESDDRIAQVL GHIDEALLELDDIDLQITGYKMQLNAVSDDISFIESQNRGLQVQTSNQQALLNELRQL LQIVEVPPEDIRTLTQESPSTPRGVQTLERAAASLYKALQAGMDSANAEVAATIARMQ EYRENSSQFCKRIADYLDVTFKYQSDSTLSDYRKTAKKTMTLSPHRSMGEYLMTYEGL ILYVKEMDEERYQKLCSNYMSTASQLHQSEMKDLLMNFMGTLNSALGDSSNDASFSSA LGTNSNSGKPSALMKSKTIVGLGSSGIHQPKKDKKGNDYSIRASDLYRQALTEVINQI VGEEDFISAFLHLTDTESTFADHMELDSYFRRQAARHASKGMSVGMMQLVRSMMDLVF GFVESELRQWTEAAIEKAPVAIVGIIAVTERLAKEAEEENTSIFFSQLFDKQLARQRQ MLDYFVNDQIRVIEASKQTIKKRKGVAFFVKHFPIFIEKMEAQLDGSDDLLIRQRVND IYEKIINAVFGSLQQLAKMDRADSQAAEDKGQLNYHVIMIENMHQFVEDVERLNSPVL GVFLQRATGLYEENMSIYVKLLLRRSFGRFMDFFDGIDRLLTTTPPSEVSLHSAYNRS SLKKILKDNGSIKDLKKSIETLSKRVDKHFILDDDETSNNTINSTNNNNNNNNNNALV QIVWKEITNGLIKEVQRSQNLIAKSYPDSGLGLEFTINDVENVCKRMK
I206_00727	MYPPPPPSHLGKVGAGSSSSTSGSGSGSTSRLTTNTVLQGRPNS SSSLTTNVISHDHATGKSGTFWASTVSTPSDGIDWVFTPPVLVRHGMFEGRYLRFALA VAQEPVLGRRKTEKDRRPLGPAPIVRFRAVEKKRRKSGGSGTGSEEEIDPSSIEPSHL ICAAELGPPTSDSNHISTSSSKTSRNSQRAEDDTSSKRALSSKQAAENGDVPMIDGDE ESTMEFMQSSSHSVPFGSDHDTSSLRLRDLLSTERESNVRSGRGSGSASASASRSGSH STIGPGFLADTDEKMDLDKDELAEELVDLGPRSTKESIVGRQKDTPVKTKSGKSARDA SKGVRNLYGNLHVAGVRVPAPEGGMGTWFLFTDLSVRQEGTYSLRFRCFDLTAISPDD GIPAPCLVEAQSQPFRVYSPRQVPPLPKPTELAEHFAKQGFKLNTRKNERTASSPPPL SSSSMPPPPATDTTTGEDLEIERPGITPLQSGDGVIGDSSTSASTSSKTTLNEGSASG SGSFGFSGSGSIGTMSAGSFQSFEESVKKK
I206_00728	MAAVANRYEDPSGSSSTSATRQATVSRKRTNGSSSKDDAKMIGQ WRIGRTIGKGSSGRVKIAKHSITGKYAAIKIVPKGLILTSRMSMSEAGARADKVLLGI EREIVIMKLIDHPNVLNLYDVWETSSELYLIMEYVPGGELFDYLVKRGRLPISEALHY FQQIIHAVDYCHRFNICHRDLKPENLLLDKDKNIKVADFGMAAWEAGERMLETSCGSP HYASPEIVAGKAYHGSSSDIWSCGIILFALLTGRLPFDDDNIRSLLQKVKVGVFEMPD EIKEPARGLLSRMLEKDPEKRITMPEILRSSFFTSRAPRPIPGRALVSPPALDEVERP VNSPEEIDSDIMGNLKTLWSGASDDEIITALMSKEKTWEKAIYHLLIKYRNRHLENYN MDDEEDAEARARRQARKVQAGSPAKRKGGPPVAQVSNKPKLAPLGENESIENTPVIRP QAPTPKKALGQAIVVQQDSPANAKINNKLSQPKSPMGPRPPMINRNSSSETGTPSVPT TPTPAIILQGPTPTKDMPPPDFIPSSNRNSDSNSSRPRSEILASPVDAPQLPPINAPV VPNQTLQHFFNEVAAQLNTMNIRSSVASGSSTSSAILGTDYQAYLAYAAGATPHMGVS NTLPLTMEEGAEQFADADDDETEADVASIHSTFTSSVVGHSVNQGQSSPLIGLGLGGP PPPSHGGRPGLYPIVSPTTNPQRWSYASSTGSSHRGTSNGSYPPIESPQIYSPQNVWD TQLPHPHPQGHYHGMVSPQPPSVPVFQAERPAPVPPPRANRPLPVKRSTAPNTETNTT ESLLPRDTSYVIIDDSDMASDPSISSWSTKSSGFRAHRGSDGFGMLKKKVKNVSIDPV PFGGKNDLLGPPSATPSFASTSGGSINGISPKRSWFNNLFSFKAPSFSLLAQDNISST RDRVRRILNSQNVRVALVEIDGIRGLKCRQDEIRDPVNNGQVVSKGIRFKVEFSRANQ SAGQNYNTLVTLTLEKGAQSSFRNIFSILKSQIENTNPPRPQSIMMRSPQQPTSATIP SHPQPLLSAPPVRWTSSAPTTPIVPSSPRFGDQRHNLPNTPGSVQTPSSIRF
I206_00729	MAYEASVPSTLHRQMEQPQRHGSIRKMPSVKEIASTGSFVSPLT RLEAEGRSARSRANSASASNVPPIASTSFPSSNAHSQNQPTKQFVVDPPVPTLEFGNM FRNSRYSVDSLSSVGSRDSFGSFGTISGNEQDIDEGYDSPSTPKASTSKQGQQSYFEA QQRSSTPSPSRRPQIDEGLQMRDLSRSRLPESDSSTTLHGIPNRGVLTPKRSFSVISR KRPPDSLKPLTSNPAPSLPLPQQGLNPDTIPARIQRNRAGSDAHAKEVLGVHQPEETE VTMDWASVHGEQGSDWGDDESQFEWLDTEDAPEAVNGIEGKGSPSKKLSKLKAAVTAV SVGTEGHRRLRKPLVIHRRAPPPPPDTAPAAPRPEPPLSPNKRLTNIPPPMPHPTAMM PTPRSGHTEAPKRAGTLRTASSKSSQTISQRKNQIEEQYSHDQSTDIFHPPQAPFSIS GERSMRPSPLMVPMKLADGPSSPMGAVDSESRHSQMSFLSVAYSFYDLDGNNSPSTTP KPTEPGVDLAFPHGKYVKVSASKLERERQLRERTTSESTVGSTGDAGKTPEEFVHAGI EARGKGDLAKSAWYFMRAAQGGSPTGRMYWGLALRHGWGVARDERKAFIELKQACEET LAEGGLDFHKSPGHVKLTQQQKKAMQKELSLGMFEVGNCFLDGIGVKKSSDIALAYLR FAAGMNDLASQEQLGFLLSKGSNGVKKDMKEAAKWYRMAIANGSSNTFGLAWIWKDKY MT
I206_00730	MFPQLIILIVSFTCLVHAQITLPILSDSSFNLLSGELIVSQQLN SWGIKIGPIKVNLGLDLSVLGLRKKDERRSRALAVSDLGKEHEKRLLGLELGLLNLGL NFGGSGNTPSSLDKSQSKALINLNINTDLSDLINWGDADNIARGERGDTEWFTYISIG TPPQSIPVLPDTGSSDLFVFGPNCMTCNLANHTYYEPTRSNTYKNISDDWKFLYADGS GALGYTSNDIISFGQGRQQLSTSLDFAIATLIGGSDFKLSQRSGVMGLGLDGMSTIKQ GSTLFSKLVKSNALTENLLSIRLQKGQQYQGTVYQEGKGQYTFGGIEESYLIGGRQGL TWVDVQSQNYWGISMDDISVGSDSVLSQDNTTPRRAIIDTGTTLIITSNKAASSIHAQ IKGSWQDPKSSIWYIPCTTSYPATGNIFFTISGNKFGVPIADLAWKTSNQYNGMCVSG VQSGMENFTVLGDMFMKNHYVVLSYGSNANDNQIQVGLGHRVDVGSIL
I206_00731	MKSLRRSLNNNNNNQNNSSSSSSSPAPSPPLPIGNNAQQYPLGR PSQKVAPPQKVIKALQSHRSTNPQELSYTKGDFWYVTGERNEWFEALNPLTGSRGLVP KADFEEFIKGGRHPSGQKSIDQGNSGRPYTPSQGHLSHTSDPRSPPGTNISPPLSAES ARSKPKAPVYAIVQYDFHAERPDELDAKKGEPIVVIAQSNHEWFVAKPIGRLGGPGLI PVTFVEIRDPVTGKPVDMNPNMVPAVEEWKKATADYKAAAIPLGRFDITPEQAVTNSP YAPAQTSSAANSQSSLPRTGSTSSVNQMTNPNGRISQGTRHTSNPASQKPSEPIYKPE QDLMFPPGELTSLGVPSFHNESGNYWFRLHVNFVPDEPTTPAYTLSLYRTYEDFYDFQ ISLLDTFPYEAGRSTRPGEEDQSPPERILPFMPGPVDDEIDDELTEYRREELDAYVRA LIDLRSRQAGYILRHELIRTFFAAKYGDYCEEIPREDVIGELEERLAEVQVSETTAQP SHQRGLSADNNPNNHSRSQSSLSRHSQNQLSISQQNLQQDRYSPQPYLPGHQSANRSI SSRGPSPLPPIDTSSTSRPPSNGGGATSQNWNSGSGPLSANSSSMQPTPNSAGGQPPY IKIKIYDRATDDLIAIRVHPSVSHSELFEKVRARLGPNISLLRYRSSMDGGNGNSGYR ELKDDQELRDWMRAEDQKLVLYAE
I206_00732	MVRIIIKGGVWRNTEDEILKAAISKYGKNQWARISSLLVRKTPK QCKARWYEWLDPSIKKVEWSKTEDEKLLHLAKLMPTQWRTIAPIVGRTATQCLERYQK LLDDAEAKDNEELGLGSGENVEARPAADVRGLKPGEIDTDPETRAARPDPIDMDDDEK EMLSEARARLANTQGKKAKRKARERQLEEARRLAFLQKKRELKAAGINLRPKKKKNGM DYNADIPFEKQPAPGFYDVAEENAKVYAAPVGQSLRALEGKRKQELEELEDKKKRQKG NDGKSNQTAQFVQAREAQIKKLKEQEQIIRRRKLNLPMPQVGEQELEDIVKIGQAGEL ARELVGGEGSGSKATEGLLGEYQTLSQARTARTPRTAPQEDNVLAEARNLRNMINAQT PLLGDENTPFHGADADVGTGFEGATPRRGTAPTPNPLATPARGGVMATPRTVGGVGAT PSRTPRDNLSINDGDSIYSATPRDEKRRLAEARRVLKAGFEALPKPENNFELAETEED EEEEEEAVPLSEEDAAERDRRLKAARELEERLELERRSLVVKKSLPRPINVNTYKILE ELNAVEVDADSVMAAAFRMVNLEVAMLMKHDSIAHPLPGTSTPGGTASDYDMPEDDFV SAAKRAIHDELAGTLGLPGASDEQLKLAIGSSLTDDGEVDFEQIFATSWSSQEFQNEL IYSPTSRKYVEKSTLSPEELKECYIASVATTKEKIINEATKASKAEKKLGKQLGGYQA INIKHKKQIMELMEEIQQSQRDLETFNMLRTLEEASAPARLEKIKEEVEVLERKERDL QARYAELNDERRERISKIEQLEEDKLVFEAQAALVAQGGGEEEIDAGDVQMNGD
I206_00733	MCVDYRNCFPSSSRLTFSSTSTPPTLHYDQFSINDGTISEQETI LESPSDAFPNYNNPHQFVYNQDIFPISAKKLGKRPASPTLVTPALSPSQIPSKKKNKM DMNMAKAGSIAAQSAFQTPLATYTAQMVVWLWFGDFVSTMEQQPPSPLSQTHISNDPF DAHHATSSPISGLMVQPSKEFSQFVSRMLTVTSVSHSVTIVALLYIYRLKMRNGFFST PGSEQRPFIAGLMLGNKYLDDNTYTNATWAELAGMTLPEVNKMESEFLSGLDYQLGVS VEEYTRWKNLLDGFMTTRAPHSGTSRHLRQVSNAKSPLTTTTPITPFSIPQPATNYRA RSASPPRIAPPPIHAMNYGLPAGYDHTRKRSAVDASMHDPTTSAAIYESLRFPTRKAA FNQPIQSAQHLQPNLSAVRARPTPPAQQSFGASTVRSSSLSRQGGRMGQDNQMYGRRG SAGHIFPTPINHVSQHVSPIAVDIPNFTAGPTEWDGGRALLAPYECQPQPHLVPPEHL MFYSLAAGTHTGMDGAPRKAILCYQEPDHFPYAAQTIPYLSNPYPIPSASMTPTHPYE DVNMYDANVSPQTAFPINNVYQYSANTAQGSSIQSQQQQQMPYARGIFDNRYWSPTHV IPEPAQFANAGPPGYSYIPNPIAQESIYKPVPSTVPQAASVGLGLDTNMGMNVDGQMV HTPNGVVYHAPESMSGQWGSRSEWSSPLIPRYN
I206_00734	MRSYSKARTKADIREQSIQRALFDHYNNIQNYAYMMAYQGGGMG GGMAMGGMGMMNPMMGGMGMNPMMGGMGMGMGGMGMDPMMMGGMGGMGGMGGMGGMGG MGGMGMGMGGMGMGGMGGMGMGGMGMGGMGMGGMGMMNPMMGMGGMGMMNPMMMGMGG MGGYLIEVKQGMLGGGLGSGAYGMPRYPPGGLFGDYGMGMGAMPGGMMGGMGTGMGGY GMGTGMPWGY
I206_00735	MDDESITPSTSDQEGATSVIEIKDHPVHNDPKDDIIIISNDCIR FRASRFHLTRTSQFFDGLLGITQQKGQNLNGNDDPIRLDHSGSTISLFLDLASVSEPY VPTTTISEAAALLKLVDFAICDGLIDKARASLMEASKDEPFELLVVASDRNDVEMAKY ALRKIDSTAYRCQFLSSGVTLSDQAHISIKTYLRRLSPSFHLALLEASSVAGEVRSHR YESLRPGRFLSEDWSDSVAKKFNPFEFS
I206_00736	MSDEQSEDDFQEIGVDLDISSHPVYNDPNDKIVIISNDYIKFRA SKFHLMRTSQFFDGLLSTVESEEPTKEEPIHLDFPSSTISLFLDLSTCSNPSIPTINS EEAKTLLDFLEYAICDDLIDIGRSSLRNSLENQPFELLVTASRRNDLEMAKHALRKID NKVYNEYFKSAFTEVEVKQYLRRLTRPYHLALVDAAMTTIEGSFGFQLPHLTFIDDWS TFADSFHPDQFT
I206_00737	MSDDTTLLTSPTKIHWYHRHGDIEIRASGGTILRADSWRLAAAS NVFADMLEVTSPVAEDFAEATHKRKAEDPIAPIDLDHSAAIISHFLDLVNQAHPTVPP SNYDETIALYILCDQFDAVKRIKSQVDLRIQQVGCVRQWNLLVFASTRDDLNLAKKAI SFMDDHTFSVNRHFDEPQGAALCGLKFSERMAILPESWQLELYRKAFGPPPQNRYNSA TYNLEMKTDWAKVALDFNPGQ
I206_00738	MFQGSPVDSHYKWGAILLKAETGLVFRVHRELLAAYSAVFKNIY DHTLFTPPIICKISPKLLRIFLDLVYASNTIEINTTIEETKTLYNFCDNVQCANKIMQ PIATKIYHLVKDEPWEVLIWAGERFDRKLAAEALKCMSPEILLQGRQKNMSHTAFKES LDLLPYSWRGEILYIILEVGDPTLAVVTHVDRREYPISGTSKSIQESVRKTTERVVPF KENWTDVGLKFEEGDPAQQKR
I206_00739	MPRKAMNTPSPSKPYDRPSTPPPIKDEKPDFLTPKKSPTERKQR VDTPGRKWSSDELLDLFNHALKTDEKDWDKAVEGRTAKQCSQTWKKTLLPFIKQNIEN KKS
I206_00740	MPPIRSRSTAIKYHEFYDNSYDTIHLRSNDNIYFKANKGRLIKS SMFFSDLLDEAGPITCPNDEDHPIDLDFASPIISTYLDIINVSTPYLVEIPYHTCKLL YALCDFTMSIQTLDTVRTMLLSSSAPKNTSQMIDLFKFACNVNDVELGKSVFSMFYQD ATSKMNINGGKPKENSDPFLLMAKRLRPSWKLALFYALMQIQREQMTSLGISGKGTSA RWTSKSADPVVNVRKYFNPAEFDQ
I206_00741	MSDTSSKDSTKSKDSSNEIKYHPFYNKPRHKVIIRSKDNIYLRA DRDVLIEGSTFFADLLDTSGPVDQTANDEGHPIELDFTANAIGEFLNFLSTSDVYFVP TNYDRAAEMYRLSTFIMSDDATSRIRQSLKIGAALYNKEFDLLRLSSEFNDIELAKML IQVLIKKLCQKMTGLNESGDAIDGLDQFQVSVKQLRPTFRLAFEDFALERKCVIQNGR TTSALVLKKNWNDLAGHFNPEQYE
I206_00742	MANEDGQPGFHPFHNDPDHHILIRSENGILFRASEYHLCEASEF FRDLLNDDSIKPDTSNSNMIELGYPSEVIAVFLDLVSSSHPALPMDMEWSQITSLHKL VDYTICSSKIQSLMGKAFEEASIRDPLKVLEYASEVNDIDMVKRAVFSIKDSTMLRFR SQRAPENMSSLLTFLNGLRPSFQLAFLKACLWFDRPNQQFSLQWPMNSGRFSPEAYVF S
I206_00743	MPKSSSVPKTSSSSGNHIYKRESPSSDDVKPSVPSSSIKKQSSS PNSNRRPWTNNEFLQLFEHVVKHGASGKKVWETAVEGRTANQSYLAWLHTLSPFLKAA IETRKGR
I206_00744	MAAVKANTNWAEDDIEADELPQPTETTDANGITTIISWKLDEQD RKVKVTRRIRRRVQTQVVSHSVAERKGWAKFGLDSGKPSGPDRKTTIIGENLHFRIAP VSKVSLIAEPEAVDPVAAKPTAGKAVVCRLCQGGHFTAKCPYKEQLAVIDNMNGEGMD DEEEAGGAGGLAPKGAGGAGGKYVPPGQRAGGGAGESMFRSRDDLPTLRITSLSVDAE EDDVRELFSKFGRIARVSVVRDRETRESKGLAFVSFEERKSGEEAIKVMNGRGYDSLI LNVAWSQPRGDRP
I206_00745	MADSKRLADVDCKLQDFLKDGTKSARSIDLLLNMINVSEPAPPE ANLLDLFDLCNLMDPFYLPDHLDLVKSGMIRRAQEQDQQWALLIWTATMDMDSGDWFD FVGTILCSMTTYAFLNPVSLNYKSSKIEPIRFCEAAEKLPKGWRDDIITKAITNDWQK IADEFGEALSC
I206_00746	MPVAPEITSFSLKAGTSNVRKVAKSHPFHRYGDLELRSTDNTIF KVMSQRLVDVSDVFKNMLEIGNSDVTVDNKRKFLDAANVINTGLSTPELEIFLDLISV AKPMMPDVNYATALNLFDFCDKFDVNKRVRNRVGSELFLRAKLEGFQWDLLIWAANHN DLTVAREALERMNNIYFLIPSMLGADGKINKKLFWEAMSMLPAAWQIALLRLSLTSSH NLNPRHMSVCRDWKGLAEKFQPV
I206_00747	MSDAYTLDDPRIKPVPLQQYPWSPLPQAKSKDRIVKVSIIPTAT LSAPVKVFSAFAKGDEKEDSPCWSFLIEKGDDAILWDMGLRQDPQNAPKKIVDGPLKE FVPHTGPGPIARLRKHGYDLGKLGLVVFSHQHFDHLDTLPAPAPSILLGPDSLESMQP GFPEDVNSAWPSDWLKNYHFVELPDENDKGVWTGEIAELSPKDQSDHRRRWEKIGCFD RGVDWFGDGSLWFLDAPGHCPGHIMALCRVSAQPDTYVLLGGDASHHQALYLPVPEDG VDLRSPIPVIDGKPQLAIDPKLATYTIGQMTRMSAEDNVMVILAHEGQVEGIVDLYPG DLSGWMSKGWKEAKERGVEEDATARQNQK
I206_00748	MSSTSIRRGLRRGYHQIRYNRRRQILLTLSILAIFSLSLLSRRR AAAISINEPWDHWNEEQASTRKVQVFLPVDKKKAEKDTAFCRDLWSAVEGGYTVNVYN WELDKPRALETHKPKITSLAKILSTPDLLTQLNVSSNDLIFLVDGIDVILQLPPSTLK DRYDKIAGDVIAAGSFNCWPNAFDSVRSLPAPVKRILPILTSLIQEDCLEVPRSRLPT DLFWDAGLFALFKLSMSRTPDHVNSGLVIGSVKGMATVLEKLVQITKSPTYRWEYDQE PGAFNIALRNGDLQADNDYSLFWCAEHVYDSLAVLPPNHQKLSIDPPHHPDMSHDSFP KRPVVIDQRTGVVPIALHFNGLEPKVGYDRIWEEMYHHPLDISSKQVQWVMSRPVKMI LDNTVEIETVGQICGEQLGLR
I206_00749	MCLSKRVVSDADSKSTIAKTKNKTFQIDADSTPTGYFLAFFGAD IPLLPPTSLVDTVKLVNLCEMFESTAEHNKLLQQRLQEQSKDKVWELLSIASQLDDRA LGRQCLDRMDMNSFVYGREPGDSKGGDFQGKMSRLSYEWQGRLYSLALKQEKMTAQRP QPHYRISRKDNRIFDGYKDEPTEVTAMEFIDDWSMLLSRWKRQYLPQHRKGKQKLKSG PDRRKIGIWLLGIITAYLTWQIGSKYRQQAEKHSRYVETAFTTRRQVQVFMPIDKPRA YKNPDFCKTLWSAVVSGYRVTLYNWDIRTKTTSIEEEFDTHKPKVSGLARILSNNQLL QDLGILPQDFVFLVDAMDVILQLAPSVLISRYSLLPGGLEGKPIIAAVFNCWPNAWNS SACLDIPASPLPSDMFYPTGQKLSPTMAVLPIHANSGLVLGSVSELRGLLQKVDATIA SPEYPWAQFDQGAFNIHLQKRELVVDNTLSMFYCAEHHTNSLSLLPVGGSLKPSDKYQ YPFTISHSDLPDTRPVGKDRRTLNIPVAMHFNGIGAKPGFNQYWDDMFSDVNAADAQT RNWQETKVRIVKKSGREEMSVKEICGTQLGLQ
I206_00750	MSQVVNEKSESCTPLGHPAFNEGDIIFRSQDGILFKLDKEILLR YGDFVSEVITAMLEIPQPKPQVSSTTDAKPEEDKVIDIPHDEISLGYSFTILVAPMPI LPAASMDILFIILELCKQFGCKSEYVDKVRQRIAEAAIYKKCFWIILGQASTIDDRRL GKMLLQGVAYEIFKDGFDSQLQYYCTSRWTDRIRKMCSTRLPLKITESRWFGTVKVED LGWALMGPRLWDTAFNKFDENPCLFDLSTPL
I206_00751	MFKNPKEDVSSSTSLKSSVQRNIRSSLLTQLPFLSQPAYRDPSQ SSAPSATEETASAAAPEAEEETGGGGKKGGKKGGKSKGKSGKGKDKEEKKEEEEQGEG DAASTVLDEIWPKKEALGLTKCHDRISIFTVQTIPLFFQRFDGPFIPTLKLLHKYPNM LPRVQIDRGAIKFLLAGANMMAPGLLSAGGQLPDNLPKDEIVAIHAEGKEHACGIGKL TASSEEIKKAGKGVAVEVICWIGDDLWKVDTIGL
I206_00752	MTIQPIELPEIIIIGVLALQGAFIEHIHYLQKLRPHGHTIKAIP IRTLSELQQCQALVIPGGESTVISSIASHTPGLLEGLIDFARDPAKAVWGTCAGMILM ADIDGVGGGRKKAVKGWQGIGGMKVWRNLFGGQLESFEASLTIPYISNPTKPFNLIFI RAPAVHSLSQNNQVEIIAQLPENLLPPPPPSDSPLGEPNLQDLGKVWLKKGKKMVTSF HPELSGDVRVHEFWVEKCVLGR
I206_00753	MPPRPAKRARPSSPSASPDPLAINEEENEPSASHLVSFLTGYAD HHSSGSSSDEEGDHDGFDLHSEDDDDDDDDIDVDREGDDDINTTPTKRAKTGLIGNST PNTRKTTPRKKKGSQTPGKGTPRKTPNKRKLDPLEILERNILNQDEEGQEGIIRVSKS DRYFAFSARSSRTSGNSYSALAKPLSQIQYDDYIASSSKARAKLYPPERSEERYDQWE LEIQQGFNLLYYGFGSKRTTINRFVEQRLSKRGNVVVINGYFPGLSIRDILNSIEDSL SVPQDIKLSASSSTSTTIERSASRIYSYFLPPEAIPVTRKKDYPVAENDLYLIIHNVD SPSLRKPIALSTLSLLATSPRIHIIATFDHLHTPILFSPSLTNTPSHQYKSEGWDGNI PSSRGFNWIYHNLTTYSPYTTELSYLKLSASSSLSLSSSNNGISEEGALQILKSVPPM AARLLKLLLIKQSQNLPQDPKYHIAYPLNQVSPIFAVDNDILQTLAKEKFIAREEERY DALIGEYRDHGLVVEAAIDSEGRTGRWIWVPLGKAAIERVLATMKEVEV
I206_00754	MPPSTPRKSTKKADSEDYSPKKSPEKKKVVKKEEKPAGKDIRGF FGTGGSQQPTSSSKAVNGTGKKPITIDDSDEEEEEKIEKKPASKGTNSKHFPSASSSK KPITIDSSDSDTPAPPNKNKPPTPKSKSAASTSTSSKRKILIGSEEEEEALEDVKPAK KRQSIGGGLSRPAAKKSAYVDESESESEEEKPKKANNKAKPSAKKEKSVSPMDVDESD ESDEDDFIDDDEDDKPYKGKTKAKPKAPPKKTTPAKKPAPPPKASKKEEAKETEPKVE EKKPAPNNNWRAAAAARASGPKNPGSREIPEGAPDCLAGLTFVFTGEMESLSRDDAIE LVKRYSGKVTTSPSGKTSFVVVGENAGASKLAAIKQKKIPTLTEDEFFKFIQGREGGQ LDEKQLKAKAAEEKKVQQQALEMEKREKEEEKDRKRKEKALEGTGIATKKVAPASSQL WTTRYAPTNLKEICGNKTVVERLGTWLKDWQKNYKSGFKKPGKDGTGIYRAILISGPP GIGKTTSAHLMAKEAGYNPIELNASDARSKKLVENFTNIDNTSLDGFFQGGGIQSTSV ADVQVNSRTCLIMDEVDGMSAGDRGGVGALNGLIKKTRIPMILICNDRSSQKMKPLMS TTFNMTFRRPSPAEIRSRIMSILFKEKLKIPANVVDELVKGANSDIRQVLNMLSTFKL SKDQMDFDEGKELIKINEKNTIMTPFTITDKLTGPYAFSKTSKETLSDRMELYFHDFS FVPLFIQEHYLKTQPALANNLSGNEQNLKHLELASKAADSISDGDLVDRMIHGSEQHW SLLPFHAVQSTVKPASLIYGPGRQSGGGGFGSWGPAFPQWLGQNSKQGKLQRQLTDIQ IRMRLKVSGGRSEIREQYMPLLASKVIMPLVDRGTDAVEETIEYMDEYYLGKDDWDAL VELGVDTMSEGLITKKIPTATKSAFTRQYNKADHPIAFHKGDMFAASKKKIADQGPAP DNEDVFEEDEPVPDEASDKGDNDDEADEINDVSKDKLIKAVKPKGAAAKGKAKGKK
I206_00755	METGTPLSSSSSTASKSSFSSGSISKTTPTSVMKSIIETTASYT QSMTSPIDLKQNSNDNPLDSTSLKSLAKSLPTYLIVGGTIIGILLLITFTNWFIKRRK RRMRFGNDDDNDNDEVEVNNKGWNKISNKRIVNLEKGLNPIKTIDECSSVGISSTEFT PKKEKENIIFQTPIRNQQSSSNLRISTDLNQDTPTTSQTSSPMSSRIGSHENLMKMNE K
I206_00756	MPLQSRRPNLPSLSLPGPKSSTLMVEDVHTPLTPNPFTPLPFEQ GPMVMTPDTPSFDMRPIEIVLGEKKCSQQPASEKESHWTEEEVGLMQSYLAHPPRALR TAYPPGTLPPPNAIDEITTHLINTETRKSPSIDSNGFTFSISALGSPSSSNSDGTPKC IVTTSTGWRHSWDATRQRIFDLARKESMEAIGGHRRKISDSIIPQQEEKCDASMKPQR PRLAVLGGGQKMNRQQHSMDNLYGEEKPQTFQEALRLSSNLQVNAQGDNEVLQSGSGL SSPLTFSFNLPTTIRKPFPYSAPSSFVPRPASLLQRGRSFTSEDFAKEHAKADGDEDI DDFVLDDIDTELRGGSPTQIIRPEILRSVSSPASTLHSSPPSSISSQCEESETTSSLL LDIEMTEDTPITPVNQTFTGLQSGGPLGSQCSTPTVSSTPLNPPPIVQPSSSSVKVAP SITLTINSPERRCTTTSSSMKKDKSTSPSEAFSNLSLGYSHSLPMKLPFTTSPMNINS KSIPLSSGGPSSSSSLGSKRSLRPTPLNRSLSDSGPSRSLPTSSGFGGKLTMTSLSCE RDRENKRQRSVGGMKFGGNGLSVIVPKRNGLSEELKSPFEIKKEL
I206_00757	MTITESEIIEYTYKSTIDLSPPSETLQTPMNEQLMAQAPATAGQ GTIPFLSKHKISRFISSSPTPSLSNKLKRCGEVPSPRKSNTLSSSLGVNQLNGSAPLS STQTSYALSRDSALVDFFRGSAEVTPIPRFEDYTRGYFEGWDDQGGLNDRLQGCISET EAGWSRSGVKACSWAGAWSDLTYGGRISIRRQVSGWLTI
I206_00758	MSFRGSFRGRGKGGNGSNTRFTGKKRSNRGGGVAYGIDRPAPKR EDDGTAIAEKFEEIKVQDEIDEKLGFWRFESSRADGEKKIGWLVNMHQTLVQSSTIAG GLAAVDFYFIQDDGGMFKATIPYEPYFYVTCRAGTETMVEEWLLKRFEGVLVRVEREK KWDLSLPNHLLSAPPIFLKLFFHNTSDLHSIRREILPLAEANSAKFTAVDAYADVVGA ENAMNGHGDDQGEKAWGAEDESRKRRDREPSECIIDIREHDINYYLRVAIDLDVRVGL WYNVISHTGVISLERITSLVKRAEPVVMAYDIETTKQPLKFPDQQTDQIMMISYMIDG QGYLITNREIVSEDIDDFEYTPKEEYPGDFTIFNEPDEPAVIRRWFEHIRDSKPTVMV TYNGDSFDFPFVDVRAKIHGISMYNEIGFKPDIEDEYKCRGIIHMDCFRWVKRDSYLP QGSQGLKAVTKAKLGYNPTELDPELMTPYAIEQPHSLAQYSVSDAVATYYLYMKYVHP FVFSLCNIIPLNPDEVLRKGSGTLCETLLMVEAYQAHIIMPNRHEDPHGMTYEGHLLA SETYVGGHVEALEAGVFRSDIPTHFKMEPSACQQLIDDLDAALKFSLIEEGNIKLEDV DNYDEVKDQIQTALELMRDNPNRMDKPLIYHLDVAAMYPNIMLSNRLQPDSMKDEAAC AVCDYNRPDKTCDRRLEWAWRGEYFPAKRDEVNMVRYALEQEMFPPKHPNGPKRRFID LPQGDQSALIHKRLGDYSRKVYRKTHETKIITKTSIICQRENSFYIDTVRAFRDRRYE YKGLHKTWKKNLDKAIDEGGAVSAVDEAKKMIVLYDSLQLAHKCILNSFYGYVMRKGA RWYSMEMAGITCLTGASIIQMARQLVEQIGRPLELDTDGIWCMLPGVFPEDFKFKLKN GKSFGVSYPCTMLNHLVHAQFTNDQYHELVDKESGKYEVRKENSIFFELDGPYKAMIL PSSKEEDKLLKKRYAVFNPDGSLAELKGFEVKRRGELQLIKIFQSQIFDKFLLGTTTE ECYAAVAEVADQWLDILQSKAASLDDDELVELIAENRSMSKTLAEYGTQKSTSISTAR RLAEFLGEQMVKDKGLSCKFIISAKPNGAPVTERAIPVAIFTAEEPVKRHFLKKWLKD NSLTDFDLRTILDWAYYTERLGSVIQKLITIPAALQKVANPVPRIRHPDWLFKRVAAK EDKFQQHKLTDMFARMRTEIRPTNGNGDIEDMGKNKTGPKMAVVKKKKIVREKTPESV PDPNEDYSSYIRVMKSQWRKQRIERARARKQRPRQDGTVSSMLQTRTINLASRQWDVI QIATTNRPGEFKLWLAIDGTFQSVRLRIPREFYLNFKTLPVEGTFSDRYETTSVARVL PRGQAARHLFRLLVDEALYVEGESHFSSMINNPNVDGAYELQVPLVVRALLHFGTSCT LKTTSLGGLNRGLDKGFDLSELERPGMSVLRHKYLDEGKNIKYHFLYHATFNSRHLIA LFSLGQPVRTYVVDASRAPERLPNPSRWYTERLEKAPKGIFNYEEAIEFVTNYYKTEL SALKQLSRDLQSIRHGLNVITLCSPFEHSYYQVVSPVFSEFPFITFKGLDEKPSLGWL VQTSRRMINQYLKLSSWIKDQIEIAAHYDVPIGNLGQDAPIFLADIEFARRLKQQDMV LWWSASSRPDLGGSEEDANLSEELVTPRMSTKGCYSSVVLEMEIADLAINAVLQSALV NEMEGSGAGSLAFDSASHNLDEYAKGTANTSVMLGDAVLSTQTFGVLKSMVRSWFLEK ARAHVKGIYSTPADLVVDQFWRWISSSSSNMFEPALQRFLHGLMRKTFLQLLAELKRL GTQVVYADFNRIFLLTTKPDAGSAYAFAKYLVTAANSQELFRHLVIDVTQFWNYLAWM DIANFGGVKVSPEIASSRNPPASRFEISMDWNIQSFLPGILQPFFERNVADFIFKLYG AKRSSHDEREPLKVIHNLNIDLPGENTSTINPAKEKEFAMGKKSISHDLTKKLLKDIM SVKKLQIQSHTDEIAAESLLFPSLPGSRFDLYSKTIRLNPVLELTKSILEVFGLDKEH MIEIQILKKNLLDLIGIKEFSSESIFHNPCAASTNDDLKINLIICKKCNSLRDIDLCR DPDRLPSWDNDNKEMLSPPRKNWVCHKCDSEYDKFQIEQPLIENISKMITSYQTQDVI CMKCSSSKSDNLASTCHCGGSFKSSLNKNEMKLRLRMIKSVAIYHELPLVGSYVEEVL SRW
I206_00759	MPRPVTITYTLHPSYTSPSSSNQTNQILNLAIQGIKQHLPLRNL HWKSSTRTSLRTIQEIDIELIELGEISSTTNNNKFNLGNESSILDNPLVNLCLVVCED AEIYKNQTRNFIRDWLSLLAARRTPHAPLIVLVNPPNQSGGSNSGSKNVWGKDKGVLG KIKNDFNVGKRDRCIQLNLPRESSGLNDPAIWPELINKLKESLISAFDSLIIEREEEV KRGESQRLNIGWNFCTWFLLKESLANSFEAVNLPEDSLIIYEELEASFFQVLKEQNLS WFGISKLGAMNFGDDSLPILDVSQKPYRELIRNSQISIFDFRIYLFARQGQLLGKLGR ITEVAKRGQWFVASLTRRLREAENSLAEHFIESWTYTACMDIVSRCDQWSRIDRPNGD YSGLVAYESARSELLDIARIQVERIGVSSGHLPPIYPFNPATSPYPKLSEEEDVLFET TSNGLSDDEELQHTPSNNQGSPTERPELSNEQLIDAQKDQNNCRSLYLGLTQKTIKAY GSCGKVNSVIRLKADLAALALYTENWVEAYDLSRALARDCAELLTWDPISKFALEGAL QAHRELDKEKDEDWENLALAYLRVCALVIDEKATDQQDEDQYQELRKVVDGLKETDNE QEVEGHKAFNVRLISEAVRHEVGGSLTSVQVEVNNILPICVDADGISIDLADSDGEII TFSSDGKTLQPGTNAMKASCSTSTQGLYTLHSATIVLGSISFTYDKFNEGQTLRVKLK LDEDPKVVVEVRSGIVDMKNIKIGLRSLIPEVRYLLQDAEFDERLVSLDESGLILFSD IQSGGEVVISIPYAGVPQGEYAKAQITIQYDTSSSSRDWIDSQVVFMGLPLTVNVQDF FRPDCLLSHFTVASDGREYLRIASVELTPPEGGAYEVQASRKKWDDTITVHPNQPLSC LFKVKQLHKDDQGAVLRLKIRYRSLEEVEVRHAIEYAFRQLSPAARDKIRNITREWMN DKAKWLEPYLLGISLAQTLGEIFESQNIDGLESVLKDENKIWRTLEIPVDVPQHRLLT IIRMNPTTPLNQIYEGRAINMKLSLTTSFSWCGQIIKNENEKKMLVFDIQNNEDWLIV GKKKGYYMANPNEIEEQDIILIPMKSGQLFLPTINIDLLSNIIPNQIQIQNQNNSRSG LISNENEILCETYFENSGESIIVLPCKKEINHFLPILIPNGEAWESERI
I206_00760	MVATNGLAIPSRANGISSSSSHSFSKSPPSYMEYTSSAPFPPAT KHDDNEEIPSHLYEKLPNHILETNSKGQKTPDYLKMILMSKVYSSPLNLKETPLTHAV NLSAKLGNEIWLKREDLQPVFSFKIRGAYNMMASLSEEEKKKGVVTCSAGNHAQGVAL SGHSLGIPAIVVMPVSTPSIKWRNVQRLGAKVVLHGRDFDEAKAECSRLEKSEGLTFI PPFDDPYVVAGQGTIAMEICRQVRDANEISGIFASVGGGGLTAGISAYIKRVAAPSVK VHGVETVDGDAMDRSLKKGSRVTLDEVGPFADGTAVRIVGEEPFRVCKEYLDGVVLVN NDEICAAIKDVFEETRSIPEPSGALALAGLKAHILRNNLVGANKKFVAVISGGNMNFG RLRFVAERAEIGERREVLISIKVPEKPGSFLKFHSLLEGRAVTEFTYRYSSPQTGYII CSFILSCASSSATGPTPEARQKEINELFENFKKEGIEAFDLSEDEFAKSHVRHLVGGR SDVEDERLFRFEFPERPGALGNFLKGMKSDWNISMFHYRNHGSDVGKVLIGIQVPKDS YDAFGDFLSELGYVYVEETQNPAYISLLRTQA
I206_00761	MATSTTAAGSSPKGAHYNLSLTAALLRGAWAESSPGHAPNKTEL SWGELVRKWGKHTGGNTASIHHLRDISLLYLSSTSHTTISSSGYISVPQSSQAGLSNE GPGSSNDSNDSGNTGTGSHSSFVHIPHPHLRHRKKPSLDIPLENASTTTSGSTIRGGG DVSSSSKASYLSSGDLDGDESDGYRSWAEGNAWWNGVSNENIDEVKEGIKSLESLISG GKLSRDELTSAQLTLAYHLHALGSHEDALRIYEGIDWNAENRFGTVQGDAAVLDRIRA RCLQGLSFELAPQPNYDRSIQSYLQTIPLLQSLSSHTLPLPSYLTNQPSPKSPFDPQR EVFRWISTALTRAAVLSSRQPDPASQKIQSTLRVLRTYHAFSASWPATFRPIQRQRML LLYLRALQASYPSSRSPVAEPLLLFSSSSTSPRFSARSMWKKEVLEIIHNGRSLLQST TSFPRAGSINRPVTEFTELAVGLYSKSAELGKEVISTLWWSMNLTFQSQAILRHLSHL LSDVGDSADARRVFELYVQLVLKAKETQQPETSLQLQTKSTNEDAASPSEIRKQQDVE TADESAEGQTAEPDIETDKQFVEALLGGIRLLNRDLGEVEEAWRYSVLAGDVLASSRG VGIKDELKARIEEAKGIVRMGMAVHTADAIDRPTYQAQAINHLVAAIDLYPSASGYYH LAHCQAEARSIDPATQSIRSSLELDPTNVQAWHLLALLLTAKREWEGALKACEAGISV WEADEEVFSSEEDFLDTSEVDVEARDFAAVQPPTLDSTSIDQQTYEQLIQKDGSFPHL EISPPITTPLTKSARLEHVIRLRMTFNTIIEKTQGPELAMLKQQELFAFFSARSGKNR NKLGYSSGFGKNTGMRTSTSSNSLTALASSGATTANAREDLGGSFVSVVNDGGLVSSK LIGLQNTEESWERADPRLFERLVQPPTPMGEKTTNPISLAEPIAQSEKPPINIQSPSP STSNQALQTTIASSGDEEKPRRSLSIRSKNTPNHLHVPSSGGSNTTTRPSSIRRSSSP NTANTNINSTISNKARSISGAQSLAPTAIHSHFRNHQSNKSKLFSHPPAPPPLQPFED HGRTPEESRILSNLWLMSCSTFRRWGKLDQCLVAIEEAEVLDPENSEIWIQLGLYHLE ILKIKRENQNEINENNNNNEDDNELIKLIENSFIKSLLLTKNSNNSKAIIELSKLYFF YFFNNKSKIDLSEILLNSLINDDKNWDLPNSYFYLSKILESQKRFKKSIEYLNFSLNL QITQPIREWNQVSRWL
I206_00762	MSKGPGHISISLAGLLEKMRSTDSDHRVMALIDLNKELSRALNY IPSSSSSTSSSASRRQETTYTDDLTEKALSENVLKLLSDSNAEVKSASVSCIALMVRR PRQNVLSNIVNSLLDGVSSTDEERRDISCLALKSVVSEMPVDGQQAEVNVEGIVTRVF TLFKSDINPQLASELLQTLTDLFNRFSFVLTNSQNLQNTSIVSLTNILSTARPTIRKR AIPTISALVSTNPGLFDSALKAHIVKGLSQDAESIRVWLGVIATLARGGSVHKIGALL AEGQVGDVILAQTQNPEDTETVEAALVALEVLALRCPTEITPYIQNITERALALVKFD PNYVDLDNDDDVEMDDEDEDAEDDDDFEEDAYSDDEDDSWKIRRSAAKLLLALIGTRN ELLADFYNIAAPVLVSRFNEREESVRLEVLAAFEVLLKQTTSARAAEIVSGGRNKRKR SEGMDEDYTPDDSVVSYLRASLPQLTSAILKQISSKSVPTRQQCFVLLREISKALNGG LDDSANSICAAATSAIRSVDSSTSASLAIAALSFLSAFFAYHPAKVYADHLGQLVPAI VRCLKDKLQRISFEAFSAASSLAQAARPKNNASPLPSNFNTPIRQLFDATTEIIADNS VDADVREKALETLGYLFVHEGDTFTASYSTTLPLITSRLANENTATTAVSVIGKIAES PLCKGKDFDSWLLQVLPEVVVALRRSKRSTTRIAEFSCLSSILTRVGDKLPQKTAVDI VGELQPFIESPTALQVVSLILSQQPDCRETVDAQLSPTIMALIKTASSNQHLSEALCS FFGAYVDGDQDCVTRLVPALVENLGKHSALPDATNGGTSTYTITAKLIGTAVAHSQRN AAGVLALFQKVIRSSKATEPDVYLSLLCIGEIGRITDLSTSPDLFDKVLGFFDNDSEE VRSAAAFAAGNLAVGAPKVFLPAIIKHIETVKSEATRLLLLHSLKEVILHSSSAQLEL LADSLWKPLFADDVSTKEGEIGDDGIRNVKAACIGKLTTTAPGKFIPQLQELLRSSPK NRAIVAAAVRYTLIDTSSSYDEIIAPIIVEFLSLMKDSNLIVRRLSLASLNSAIQHKP HLIVDKLNTIQPLLYDETHVKKELQREVVMGPFKVIEDDGLENRKTAYETMYTLLGTC FSRIDLPTFTERVMASLSDVTEVKVLGLMLLLRLGQMSPTSVIPRLDDIVVAMKAMMK DVEVKDDTVKQDLERKEEMQRSTLRTAVPLYKISNPQQAPQFHAFVNGLLASDKWRDF KDYQV
I206_00763	MPVRIRLARYGFKKNPLYHIVAINSKRPREGKPLETLGIYDPIP RLRKGIIIPSEANVFDLKGQEQTKTKELIKKEKEIKWDINRINYWLSVGAQPTRTVVK LLERGGVLKTPHKWQHTWSPEPSTISSSSSSASSSLPTTKQTISQQS
I206_00764	MAPIHPEVTYAERCNATEPEKNIIYFTINTPDIKGEPKLEIKPT EISFAAKAGDASKGVPEKEYAFDLQLYDEIIPEETKKVITSRAVLLILRKKEPRSEYW LRLTKEKPNRNWVKTDFSKWVDEDEQEGAEDPTAGMDMAGMGGMGGGMPGMEGMGGMG GMGGSMPGMGGMGGMDFSKMMEQMGGMGGMGGGMPDFGAGEDDEGDSDEDRVPEEEED IEEISTSGAADKGKAKVSGLDDVE
I206_00765	MGVTETDKGVIINTESGSSAEIYYYGAHVVSWKSGGKERLFMSS KSALDGSKAIRGGIPVVFPIFGPPPTSPPEYASLSQHGFARNQIWKLDKIIMDRPEGI SIRFLAPSPPESFQHKYKLAYVITLSEHQLCTDIHIINEDEKEFKFQTLLHTYLAIPD YKKIKISNINKGTTYKDKILDGKLVQSDGEDIIIDKPIDRVYHKVPSQEIFVDDGAGS GYKVRFRGFEDVTVWNPTEEVGKGIADMEDKGWEKYVCIEPGYVREFKSLAPGEEFLG QQVLTVL
I206_00766	MSRLDSYHSMLDTNVNVDVDAEFDNPTILDHSGNITQNHNDILV HEQIQENQALHDLASILPSQPPSNHEDDDNQNQDQKDLEMGVGIMDDEHDESPFVIME EDQNQNHIENQQSNNNQENVNVNLENNGLIELSNNETRIDPQLESQDVIHNRPPTHED RLQAHRATRGSSGGMSLKGMSAADKKQRQKLQNKQAAERSRNKRKAEQLALEKQVEDM QTENVQLRLRVQTLLSSKSLPSPNEPLTSSDQPGNETIEDSIPSSAVPSDPITIELIG TGIDYNYINKLTNELYNTKKALMERKLNLEKLKNNIPLSPSPPPQIKIKENKDKDNNN IKIQEENLEENINPKIKELKENRNQYLKIFSKLKSTKAEQSSLNTLLNHLKSEVENLR KQAQMVKEKLNEKRNAIQNPPINQNENISIDISQELNTVHSETENIHSETDTDVVMAE QNQDQDQNQGENQDNPTVDDIRGWIDAAVKGWDQSMPLQQSSDQSKDNEAESREDQHS AVLEKIAQINQE
I206_00767	MASEQLADVGLIGLAVMGQNLILNMNDKGFKVVAYNRTTSKVDA FLANEAKGTNIVGAHSIQELCSKLARPRRIILLVKAGQAVDDFIAQLEPHLEKGDIII DGGNSHYPDSIRRTHELEAKGLLFVGSGVSGGEEGARNGPSLMPGGSDAAWPHIKEIF QKTAAQAEGEPCCDWVGETGSGHYVKMVHNGIEYGDMQLIAEAYDILKRGLDLEETEI ADIFTKWNTGVLDSFLIEITRDILKFNDTDGVPMVRKILDKAGQKGTGKWTAIDALDN GMPLTLIGEAVFARCLSAVKDERVRASKVIAAPERQPFQGDKQQFIDDLEQALYASKI ISYAQGFMLMREAAKVNSWHLNNAGIAAMWRGGCIIKSVFLSDITAAYRENPELENLL VSPFFLSALSKAQAGWRRVIAQSTLWGIPIPAFTTALSFFDGYRTETLPANLIQAQRD FFGAHTFRVVPGMGNDHLKEGEDVHVKWTATSGNVSSSTYNA
I206_00768	MRPKIVPFSRLVIPRRPICKRCHSTSSPTPSSSSSSPSIIVPRL RFAPSPTGHLHLGGLRTALFNHILARKWKGKWLLRIEDTDRTRFTEGAVDSLRGALDW AGLNYDEGVGIGGSHGPYTQSERLDIYHHYTKELLSRNEAYECFCSPDELEAIKTSLK AQGQRHSYDGRCRHITDEEKVRKKKAGEKFVVRYKSSNESMDIPPDLIFGNHQPSAPT SGFDDFVLMKSDGWPTYHLASVVDDHQMEISHVLRGEEWLPSIPKHHSLYKAFGWTPP QFAHLPLLCNPDGTKLSKRKGDTFVQHYMKQGYEPSALLNFLALMGWDYHSALSSKTT LDPHIRNDGNSLYELFTLDQLIDLFDIKHIANRKASVNISKLDFLNKMTLRRMSDRLG KDGHMVNLGKVNIITGEGESKERLKLINRFQDRLRKEKALKGCELVENINFVEKVFDA ELPRTILLNEMPMHSIFYFKSPIYECHESQLILKDLNLRIYCQYVTLFADTLQEKSSF KKEELNEDFIWDIIHSLLNQLNLEKKPKLLIPIRHALTERKKGPSIPELVTILGLDET LSRLRKGVEYVKQLDQIKKGQMDN
I206_00769	MTSFYPTENFSSASFQKATLILPAVSLGNVPQLTNDLLIKSLGL KRIGYVGKGDTVVPFSGKGDEGEIVTGGLEVYGNQGSELYVIQQRSPVLKTKKDEHIA LIREFVISNSFGSVVILTSLDAANQDDAQLLTPHQRILPPSSIPHPLIQNLEKSLPPL QLNISDNIQLSNPSSIYPPFLPSAGLTRRLLSALQDTQTPHGAISVWCVEGDNRNDAI SFAQLVLSLLKIDDKVQLTEPDSWKGLFGTTEGWSGGSGQDSELYG
I206_00770	MSQTNAQASSSKHKSKKDKSHKKDKSHKQHKEKSNDHVGKITSS SSSKNDKSPFEHRLSSMRLSIPPKFALNMMEGVREKLDGMIMRYVPQMGGVLLAHWDH CFIDDSSKIINECPSGVIEVEFHSILWAPKIGQKLYGSHSLSSPSHLSLLFSKTFNVS IPLHHIPTDLYEFEHTDEAADSDSEDEEEEGFILGNGVVEDVGRWKEKSSGKSLGEGG KGIKFTVIGMQVTNQMLSLTGSLLSDPWNAPPAPEPTLNLPTRVSPSPSPSPEPTRPV KQPRLANNKNNSRQAAPVYEEEVDTTGWTARQLKEHRKELEKKKREERKARKADKVLE EVQAEAGGDLVGVRGEVEDDEIVGSKRKSGANDVEKRKKKKGEN
I206_00771	MSFIRPIFPYPAKIPSWFAGHMSRSLRELPTLLENIDLVIEARD SRLPLTSINSNFDKLLLSKKSNSNNNKKLKGKEREKLIVYTKRDLGEKIYENPLKKAF KNHLNQNVLFVDTRSDKDVKEVLKYAVRIAKENEEIIPDLRVLVIGMPNVGKSSLLNA LRRVGLKKGKAFRTGAEAGITRKLTGTVKIYESPSVYVYDTPGVMVPYLGKGVEGAEK GLRLALTAGIKESLFEQDAMVDYLLWKMNKRLIDESNLNDNDPNKHQTYLSLLPLPFD FKPTDNLYTLLDVLSNRLGFLKKGGEKDFESTCNWLIKSFREGKLGEFTLDEFPKDQL KQKEPNSLTNYNQDQIISIPQEDENELITNHSISNSNDLDERVSKAVEQYLIQSSTIT NKSDPTNSSISMNQQKKADKRAKLEERDNKLRLKGINVPIRTYGKRGLGKGSKTGEAG KFARSRR
I206_00772	MIIPAVIDSEPEPDIGGFTPPITSKTNNNNSNKSIVNSTRIDNN NNTYTTTASLEEKDNAHDQNALTKGSPPNTNDNVNNNESPSIIVTGDDTYADVTERDD RRNGKGILKNPQSRSSSFARTILKPRSNRGHSRMPSITITGATEKPNNVENASKEGEA DIETDERTDFNPPPRSNSAPNLGGKNRLAPLKNLSVSIPMPPKRGPPEGEDTPIKKTI IEPTWKQSFGNTIKSQPFLVAMPVLLPISWALHFTHQDPVAIFVTSLIAIVPLAGGLG FATEELAHRVGEAWGGLLNASFGNAVELLIAILALVKGDIDIVQASMVGSILSNVLLV LGMSYFAGGLRFHEQLYTLVGAQMHISLLGISLLAIVLPAAYHVAYPNVRGAVSDARA GLQPEGEELANLLKMSRGLSFILLAVYAMFLMFQLYTHAYLFRIPKEKIAHPLPGPAP HHETVFPRPHWVSSIADSSSSSSSSSSGSSIRSDRSGRSPFKRFRRFSVTSKPKKQRD GVEADTEDNTVVGANGSSTYGEKTLSPIVTRQSPTATTVSPAIARSHTDDSSLHPPAT DRDIEAASVTSSERNVIIDDDGTVHVQPKVTFWYALTMLTVVTGLAGFTAECLVDSID GLTETGNVSREFVGLILLPVIGNSVEHITAVTVSVKDKLNLSMSIAVGSSIQVSLCLL PILVLIGWAIGQPMVLFFDHFETITLVVSLLLVNFAISDGRTNYLEGFVMMMAYVSIA LVCW
I206_00773	MTTAGPSIQRHSHSPHPHPIQTYQQQYRHHPYAPPNLKNTSSSS RSSQQRSSPNANGELPPSPPRSRRDASETPSIGLGVAFGGSSGGKWWDEELPPPPASL SSILDSFRKSGEGDRELLLAILGAKKAEEERLSALIQTRLTILQARLSLHSTAASLSS SPSPPVPVLENGTILNSSNVQSNNEIPLLVERTPSLTSSRGEGSSSSGMPSPPLPNQT GYVQPPPSVQINGNGNEKEQSHSIGGRGYWQLPSLQSSIRGPNNIQNRSPNGINERVL PLAPIREVRIEDRRFNVERNNSGSISPKSNESDSKRSSSGLEMLLDAGRVVNESSERD H
I206_00774	MAIASPSASPARASLRRSASQRKIAEVDLTLDSDDDDADSIRPL KTAPANGVKRKRIALSLSPESEPPTSVPASESENEDGDEDDDLGEEEYEVEYIVDSRY IKFRGKKNLEYLIHWTGYSKKDRSWTQASQFENDDPPVLSFYTKNPTKPGAESILGNE ALSKLKNKSSSFNEDASSPAKILTSSKSSDESNLIKSNSLISKMKLDNSINGAKNAKT NAHLNTISPKNNKPNPSLGIKGFFTILDKKSSNKPSTSIPTTIRDKENIIPTPDPSSD IEILNSSNTSTPKRSVPKKSSTVVKKVTKEPPAKRQKLLSPDEDEQQPSSDDDAGSDF VMEDEAEDNKAANDDDGDFKSEAESEVEDDQDEDEPEEEVPKSTARKVGGWTIKVKAP KKAPVNLNGYKTGVKPLGQKLEIRHAIKNMSEDLPPMNDIEIMFDHLVSRMPDIVQLV KRLNGRKLRVATMCSGTESPLLALNMIAKAIKAQHDLTLSFDHVFSCEIEPFKQAYIE RNFSPPILFRDVTELGKRKAHTAYGSLVEVPGNIDILIAGTSCVDYSNLNNVQQDIDA NGESGRTFRGMLQWVKKHQPPVVILENVCNAPWDKVVGYFAQIDYDAQFTRLDTKEFY IPHTRTRVYLFATPCKKNDSSHLADKWATVVKALRRPWSSPFEAFLLHTDDPNIHRAR LDLASAKEQKDGTQRKATDWGRCESRHHRARQDEALGILRPLTSWQEAGVCKGLDWTW NDWLLAQTERVLDLLEISTLRMAKEGTDSGFKACIWNVSQNVDRQAGSSKTALASCLT PNMIPWVTTRGGPVTGREALALQGIPVRELLLTSENEDQLADLAGNAMTTTVVGASML AALKIAVDRISAGQNAIADAAKIEEEAAINDNLVSKRIVGEKNLERHDLDLAKVSKAD LREILDLAERSSKHCQCEGQSGTASPIEECSECKYRACKACGGRPDHYYVPCRNVRVE PTEFEKKFKELLPMRVKIAGVNLSTLSIVREKAKKLGKGTVDERDWKLWSQAVIGGIE DAEFRFRYLKRQYTWTAVYEAPNATLDLFLQGQTPEWRLTIKAPTTENNNSRLRALLL HPVARLRFDINGQDVLCGPWELCIPSRQSFQVDVNGKGELVPTWQAALGLQAGLETTQ RWSQVEFVLPEEAEVALDRKLSGIYTLLPRCGQAMLSLHKKDSDSADKGLAQMYFFLD PTRCGESEDDSYVFSTSIERLDFGTERAVIAVLEPKWRENSKEHQKVRLDIRGGWVVC DQAHLTAVGGNTIAVVPQGQLSQDVKRDSATFAIPSSANSVSVNLSNEGCTHASALLS CRVPLDPAHSESMWRPNAWGEIDLLHQGNATFSNLAWITERLPPLDGLRKWTALADVD VSGTACERCAPRPPKLHWIKKAGKLNKNGGKTKATIIAFEDKLEAGRYEHALKNRPPP FVVQLRLDNDVGSFRIGLNIVSLAHRALSRLPANSNKGQIKLSWRLTPDQVADVPEPP RVFILPSNKRDPEHAQPKGFKLPLRVEQLRSLWWMKEQEAATGKTHTFVEEEISEAAL PSLGWRAEGKAERPVMTRGGVIADQVGYGKTIISLALIAESKNLPAPEPAPSGLIDLK ATLIVVPGHLSKQWPSEITRFTGKLFNIVVIQNIRDLQLKSISDLAKADIIVMASEIF EAEGYWERFEYLSSQPQEWLNDKQGGRFFSDRLETAYSTLRDQVKILAEEGSETLQEH MKEYQNKMKEESEKKKEAHKTSEFGKRMKGKAYRDKYDDVGASKKKGNNKTSVEDIEK WEASEGEEEEDEDELAAVPIPTFRKAKGTESFSSTSVHENYQRLSCPVMHMFRFRRVI ADEFTYLDKKSLAALLRLSSCYKWVLSGTPPVSDFPAIRSIAAFMGIHLGTPDDAEGN AQAQKARAKEQTQAEKFHAFREIHSRAWHKRRDDLAQEFLNGFVRQNIAEIDEIPTVE HIHDIKLPASEGAVYLELEHHLQALEMQARRETKFKNVTQGDRNARLEEALVDSKTAE EALLKRCCHFTLDLTDKKGEAKSAQEACEHITGTRSKQLIGCEEDLYRSINEAVALHC WIKKKGGFGEEGKQFFQEWVNDSSDPAKHQGDSEAAERLLKVMAKCGIVNGVVPKEPV NGKSAKVEKGGKVDDVKWQLREQTHVLRRLSKELVARVRSLRFFEVVRKLQRNGSEAK AVLEASECGHKPSTDPTKEMSVLSCCGHVSCLECMTRSANQQRCVKGSECHSAVRHTN IVKVKSLGIEGELNSGRFGAKLQKLVDLIHSIPTNQRILVFLQWEDLSSKVSEALNSG KISHVTLSGSVKTRANTLDKFQQSNSEDLKSARVLLLKVNDASAAGSNLTIANHVIFL GPLFTNSLLNYRAIETQAIGRIRRYGQNKKVHIHRLLALDTIDKIIFNNRKLELLNKP DYEIVPIEEYKGKKIIPKNKDKNKNDLEVEIQISPKKARIMKDLNLNSSQDEPIEIS
I206_00775	MSTSSLLHTALQNLHVSQQIHQQPHTPGSNYNELDSSRGGSPIP FSDGELTTTDDEGDELVQVGKGTRPSTPTTTTSSRGLQLGQRLPRSLGGKNTKDPLRT LPTHISVRIFIQLDVRALARCNRVCKRWHKSSTLNYVWFLHNRALVLPKLLLPDSPGG KTRKVNNEIEFFDPYDKKPRLSSLPQPNLPNSSQPVWTKIESKKNWRNQFKITFKRTD PTNETEIDSRRVDISSLQTSGYNTPNNGHGYKGLGSGNASKWIQDDSDGGGGLSSSEK KQQAREGYKALGGRKSKSKRKMGGELGGKDKGGANDNGRFEAPW
I206_00776	MASRFGQPSYPSSPTTASSVGYTQYGQPSRQPSTSSVGFAQQAG VVNGSASRSMNGGSNGGLRPPGGGGGSSDKKAESREIARVHWRALKEFLASWIEKESP TSRASAREKLTRLTKLQFQELSTDVYDELMRRIAVDNGEPDGNLPFLPVREDFHPKRN QARQKLATLPKNRFKDLASDVFYELKRRYPEFEDQVSLSSSFDRQYDEPPPAPGPTRP PYSQTSSSQSLRDRTNPTPPPGALNARLVSSSSQHQRQGSRGMSQSSHRSRPSRDQDR EVLDEGTSRGPQANPMGTTSDVVVPNKSRLREEEIEVPYARDSQMMDQHQSRSSSRPA SRSSAGRDSRASYNDNNRDNERERSKTPQPTNELMSPASADDRVYYDRMSFSSNVTNK SKLLAAQTGWDEEREQKLRAEYEFRIAGLDRKVVSAERERDEARRAEASEKERRMEWE EEVRGLKERAATHASALRSIQHELDLAKDAVEASKQRAEQTSRTAEEEIAQWRDRYES LEDEYRRLEDEKANMEGNSSGPESRQAVSEMKMELHTLVDELNALSMRNDELMQEREQ DAMGMNEMEARVEEYKRKFDAVRIELRNLKATSTMFVSKPLTDDHLPASADGNIADTS VSAFQNAIDGLLQAARSSQPSGVLPAMKAIVEAITDIGEDVKAFEAQPNLDVDVSRLE SLKYESTNRLNSLMQAARNHAMASGLSPVSLLDAAAGHLSTNVVEIIKLLKIRRSNQN RDMTRRRSSMSIKDMVNRDAKTANGNWDREYGSSDRLNEQARSSPVPAMVAQNPPVLV RQPSNDTPSSRGTPTGNRLNGSGMTPSTAPSSSLGRPAPAALRINSFQSTSSMGRSDS FDLERKSSMMSDGNGNQSSGPLVEPRSGSFQPTSANGRESLNSNQTGSSGAGGFNGEP EQHVDEFNEDQFDDQQGDDIDDAREWEDLKPYLNAQSSALVNSIQNLLAAIRTNSSPS ALNEHLSEVIAIASSIVAVSSNALPNSLRGEGDGLLKDLISNTNKLSEAQENASQQGS QGGFEKTVRQQIASASFGVAKSLKALMKLGGAE
I206_00777	MKSFTLSFLTLLMLLSEATSARPGIHRYDSPALSVRELPKTPSS IAFHSKEGTDPVLIKGRQNGGGRAGGFRGGGRAGNKGGAGFGGAGAGVGAGEGVATAT ATTSAAAATSSTAADINAGNDVAAGDINDTNGANSNNTGVNNKNNGGDNSGAVQNGGN NNNAGNGAPGPAVPILQFNGGTQLNPAVIQNTEANPNATANQAASAVSNDNFIDFCVG QTITNGLQNKAGSCNPVPIGQIPSTQNMVSTMFVSPKNMETIKAGQTFTIGLQTVGMT MGSFVNAQKNYYAAPQQIDSSGQIVGHAHVTLQPMKAIDDTSVLDPNTFTFFKGMDLS DVNGLSTIDVQGGVPAGAYRLCTIMSASNHQSVILPVAQRGAENTCSYFTAA
I206_00778	MPPSSIAESPGATNAPYAPHDSVIDNSTACGNDISFEDNCVIVD SETETEQAIYDRILYQAVSHRRRDKSITVKDESGTQKSGSDPISGTDRIVTAVEKPQL RRRLSLSSLVNINLPSSPIKLSAFTRRLPNSSPSPRNCKPNKSKSSPASPILTSPVNP STPNTSKDSSNPTQSFTMPAVPPMRISTIPSPPTCADRYPAIKHFWTDNLPSPDEEEE SFNVDIKETLNSSNTPLGSSVSWSIPTTLDEDAVSSPPAYMKNQLSAPIAPILNHRRT PLISRNSSFADDLTSLIEVDESHHYDYTPPSPSSKSCSGIWLCSPPAVSKPDKSLTTP LFTSRPINKTKNRPVVIRSLSTGKLASEFDEDGRVVCLSGHSDRILKTSVSPPRSYGL TPKPNSLASQSTPHLGRSKSYQVTRSKPIESPSLTTLPSLPAKYATQEETSRERLIEN PTLSRALSMGSWRKKAWSVGRSVRV
I206_00781	MASPILEVEDLTVLRDNDSPLFSNLSLKLSQGEVLVLKGVSGSG KSTLLKCIAELNLYEKGGNIKLYGKSSKEWDIPNWRIKIAYVPQRPSLLPGTPLDFLT TIQNLNARQKISKDSKEDVKRIDALDLAQEWGIEKTLWRRDWGTLSGGESQRIALSIA ASIGGADILLLDEPTSALDPESSKKVEESLLSMLPPVQGQTTLHNGIQRKGTGPKALI WITHSAEQAERVGTRTLDLSRH
I206_00782	MPTTCSPPLTPEPTLRFQDVYRIVRETFDEVWGAYYEHKPPSTL AIINGELERGAKAVDAELVSRHQALGEELRRLVEEEAAAKDKRAGGTPIHSPSIDATV SDTVVQNIAMIKQKKVRFLGYQKSKLNETEMITSIIYTKPPGNKTAGSSKNQSKAKQF PSIATSKKLNLIQTHSPYTTRLQEGKYTLGPTQDVNTIPPYCFCLYGDSSIYKEDERF MPFIPIFENPDGFDLEEYSDSFDTVVTWYDPDIDIILVETLSRIRERYNNRITSEQID RTRILPLVVARIENIDLSRDLPAFPPRPIDNNPNTDHLIRPGKRKWNQPIAISQDNVD EPLSIDDYGDLFCARQGCTMYGCPRHSGLEDNHVSLHSGSARQKPIHQSYIPRKRAKL PSAPIPCSNTCYSLTITSKLLTEASLCEIWPEEDNQFLIEIMGSEYTITSEDLCMLSL RTNGRTCRETVIQILALTLKDLTIPVPADDPKLLTTTLTNLKMKLNTSARIRRSSSSG FPVSRSAPIFTECNHTGSCIAANCWCFKNNWMCGRNCACTSDCLRRFKGCRCSKISLA MGTGSKTVKAICALDKCPCAKMFRECDPELCGSCGAEDELHLAREHKNGISINAPITS CGHVDLQKAIWPNVIVGISPVSGYGLFAQENIAKGRNIGEYVGEVISTAEGARRDQIN TQIGRQYIFKLGVDSEIDAGNYGNLTRYFNSAKGNAINIEARTVVVNNELRIIFRATR SIKVGEELRFDYGQDFDENAVDKAYKAREKSTSSR
I206_00783	MSSHSSHSSSHSSLLQMNRPDSLLPQLPTSNEVAQQQAQAQWLE QHEHTQQQLCRSKGSASDLCLQASQQDAMTHLSTSSHSRASSSPTQMHKIQPVASSSS GLNYLNVEPVTSPSVDFAVADVASPLSDSSDNRQMCAEILATAQTGVIGEVIDRSKWQ PDADSALCTYPLCTANFAQPTYFFLGPRRHHCRMCGQLFCSSHSSQRGSLVTTDVGGK RRVVKERVCDLCCSRRNPEDIELPKSAQQSRRNSSCTESASDDHNSELVTPYDEEGNV LLSGSVLLRAQSRITLITSNLDQQAELAPIEDWMDRSGVLSLYPLAVNPSHSKSSGSR NRNRSTSPAVPSAGPLFSPSISMRRQEKEKQLERLTLRQRRMGSNNTQNTTMDEFWLP GKWGYKREDFDPTFLSSGEVVDEDEEIEKYVGGIVEDGPIRFRTGVKRVITPLTTPNG GPNSSRY
I206_00784	MQSSDPGHLFQTTEQLKQSSKREKKLKSSEKIGEPINISSKVLD LIIKDQIGWIGESGFQARKLDLRTGKTLKLYKGHDGPVTSIALHEIHNSNGTKWLALF TGSWDKTIKIWNSDSGELLHTLEGHVDFLKSLLVLPLSKLILLSTSSDRTIKLWDLSS ISPSISNTTSKPKCIQNVKEHTRPVECSIYKLELDLNGKPTGGIDLYTGDSLGLIKRW NVQDGKLVFKEDLIGHDTSVNQLSFTEEGLWSVSSDKTAIFHPFTSSSSSSKPRIVHP SYIRSILPIPEEFPLSQSLLLTGSEDEDIRIYDIDSLAEVGGNPKLKGIIQGHCGDVS VIRAWYRNEDEKKGWYIVSGGLDCTLRRWSIQDLLNPPVLDFEPEEEKEEIGLTEEEE RELAELMSDEN
I206_00785	MIAPPTSQSELHLLCVSSVVRDLIATYNDASTSSKPIQQPNLTA LRQKYAGKYGLRAVPRLTDVLAAVPEEWKDRLRGWLKAKPVRTASGVAVVAVMCKPHR CPHVAMTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDPYEQARGRVNQLK DLGHSVDKVEIIIMGGTFMSMPEDYRHKFAAGLHNALSGHVTDDIDEAVKFSEQSKIK CVGITIETRPDYCLKPHLSQMLRYGCTRLEIGVQSVYEDVARDTNRGHTVRAVSESFH MSKDAGYKIVAHMMPDLPNCGTERDIWQFQEFFENPAFRSDGLKLYPTLVIRGTGLYE LWRTGKYKNYPPNALVDIVARIMALVPPWTRVYRVQRDIPMPLVSSGVENGNLRELAL ARMKDFGAECRDVRYREVGLHEIHNRIRPQDLELLRRDYAANGGWETFLSYEDPAQDI LIGLLRLRKCSEDGTFRKELVGMDGGCSLVRELHVYGTAAPVHSRDPKKFQHQGIGTL LMEEAERIAREEHGSGRIAVISGVGTRDYYRRLGYFLDGPYMVKDLLY
I206_00786	MSELLPEDTWVNNFLPTPPRPLLSALQRRLHTSNTHVNALAELY KQRAQIEATYAESLSKLAKTAEQGGLSGKTGNEWEKASGEGRLWDSVISELSETSASH STLSAMLRTDFEQPLRDLPSKVIAWRRIAEQDSSLDRTLKDYEKVSGKLEKAQQKSKS SKADQLHSELNQLTSQLSSLSPMVYTTYQRLDEERLRTLKEVIVRWGTVKGDMATRDG QRAEGIIAKLLSWETSEEVLGVGRKLGNIGGNGNVNGNAPRLSVPDSANSTPNRRMST VTASSPAHDFSPRPAPRQNSSSASFSRDQGSGGLTGGLKSMLGRKKTLAGVGRTRSGS NATSTRSGRDETPRDGGFDLMSDDVPRIQGSTSSAPPVDDEGFSVAPADRHRSLWDEP NDIVPAASAPAVPQAQSQFGTTFTSSPSASQENISSSASSQHAPPKLNLSLAPAPIQE SEEERQAALQKVQRTLQMPPSQPSRRSTIARGRRDVRNTMFGGGFTEDQSLGLGGLSL GRVAEPEERLADSPVSATSPTSFTNGNVKGPGQVARQVSMSSVSSNNPFDSPGLGGPT LIQPTLPTTSNEAGLRANLNETINVIIKNKEVTKIQITGEIHLSLRPTYAQPTTGPIH IRLSSFEKLDKIAPNPAYLAQVPDRPGEYFLNSEVLASATSKSTTSDKGALLFKYVVH IQPGKESTNTPLILDPAFLCKEGETRMILNYALKETPLEGLSNVTFVAIFGPGPSVNN VQAKPAGGVWSPSQRRMTWKLDTVPAGPGKIIAKFVTDPSGGEVLSPQNVLVTFNSEG VLASGLGIEIVDGDLEGHSWRFEEIKKGVISGKYSAEAHVNQS
I206_00787	MKVYSLSLLSVTPTTPAQATLLGTSQDLSSFSFYQRSSVGEFMT FFTKTVAERTPANQPSSVEENNYKAHVFRTSGRQAGTVMITDLEYPYRPAFSLLTKLL DEHTTLLNNLPSASAAPSFGTLTGYLSKYQDPKQADTIMKVQKELDETKIVLHKTIES VLERGEKLDNLVERSNALSAQSKMFYKTAKKQNSCCVVM
I206_00788	MISKQVETPYTDSETDIDGNTDQRRSSIDKDNLNYKPFTKRSAP NIILFKFQEILTFPSQLFFALTGLMGIANYVRQIVQIVPTRPATLSIRRNGTNLVEEI KVDKWIKDNVKSIQGVFKPAWWVPNGHLQTFYTVLGDFTKVDKVHYIRTYLRLPDGGT IGIDVTPEDHDNFDPKTPTVVVCHGLTGGSHESYVRNILSWVIRPKAEGGMGARAAVI NFRGCAGVPVTSPQMYSAGTTMDLASAVHFLRHRYPSSPLHGIGFSLGASVLSRYLGE VGESSLLSSGIILGCPWDLSLMSHKLDHDWFTSRVYSSALGQNVLKLFFKAYDANPPM FNNDKSPIKEFMDDLKIQRKTMGSNTRLRKVDDLMVCKIGGPRNIGAWPFENAEAYYR WASPKRMINGVKVPLLAINAFDDPVVDCTALPLEELKSSSHVVTAITGSGGHLGWFDG PFPLWSSMKSKSRWVLKPVSEFFIAAARDLDVAGGNLQIQEEEGWDWVVEGGHDIPGL ARRGWKVLKEGEMVKGEGDEGENGVLQGL
I206_00789	MSVNDPKVDNEKNAFGAEVNVVPQNLDEHAHHVHLQDVDEAAAF VAGFNGVITKEMSDRVRRKCDWHLLPLMMVLYFVQFTDKTTLGSSAILGIKKDNHLTQ AQYNWLGTIFYLAYLVFEWPQSVALQKFPPGKWMACNILVWAVVLCCHAACSSFAGLF VCRMFLGICEGSITAGFLVLTSMFYTHSEGTQRVGYWFLMNGTAQIFNGFVSFGVYHI NPEIIHPWKIYMIITGGITLIVGINFWFFIPDNPMKAKFLTHEEKIIAIERLKGESTG VENKIWKRDQFIEALTDWKSWAFALFAASNNVANSLTNMTQLIINSFGFTVGQTTLLG CVSGVVEILTIYSSVLVIKKFPNSRGYVGASYCLPNIISACLLIALPFTVKGGLLFAI YLGGVGTPGFVLSLSWCASSTSGHTKKTTTNAMLLIGYCLGNLLSPQMWQAKYAPRYY LPWGIILGTYVINPCILLGIRYFLNKENKRRDKLFATGEAEIEKFVDEEGREIDSTFL DITDVSGNLINC
I206_00790	MWSSSPQASSSRTRLKDSSSSSTTLRSETSPLLDNSTIRKGKFN MVSMMLGFKPKNTPINNDEAAAVIDPTAHVDLHTGAVVEKKSKRERLFIARLDSILLV YLMISQIIKYLDQQNISAAYVSGMKEDGSMLGKLCLITAYSDLANLDFRRYAIFLIPS QIIITRVRPSLWLPCLETCWGILTISMYKVTSAKQVYILRAFIGCFEATCYPGAIMLL MSWYTPTELAFRIGFYHSCQTVGNMLAGALQAAIYKNLNGRHGISGWRWMMIIDGILT LFVACAGFVLIPDFPTKPNPLSFWLRPKHVAVAVERTKKFKRADNKKFTLSSVKKAIT GPLFYCFVILYVASVLAQASYQYFNLYLKSLKNADGSATWSVAQINAIPIGGGAITIV TIWTVGYLSDRFQNRWIPVVVQACIGIIPGIIMSIWNVSSGISRAGVYFSYFVCFLTL ATAPCIWAWLSDLNPFDAEQRAFTLGFAIAFYYACGAWSSPLIWPAKTAPVYKYGWPV QIALWCLVIFMVFLLRFVEIKHIRPKNRRILEEKEEAAQVAHREAELQAADDIDKKDT QVHTITPVRSGESV
I206_00791	MPIAINNTPPAHPHLPIPLGGLSVWQHSTRDHPLLNAGRDDPLP SEADVVIIGSGLCGAVTAHSLLNDPSRPGSVVVLEAREICSGASGRNAGHCRPDESRG FPAFSALHGAEEAKRILKSERVTFEKVNEFIEKTKIDCEWTPRDTYDVSLSEDFKQYA SNALKDLLEAGGQPEVEELSKDQAIKETRIGIAHGAVKWWAATVNPAKLTLGIHQLNQ SLGGYSLFAYAPAHAVTQAEDGESWVVQTPRGKVKAKKVVYATNAYSDALLEELDGLI VPTRAQAIKLSPAPAGPEQFPRIEGSYSLRFLPQHFYSVCSRPDSSITLGTSRKWHGM SPATAASIQNTVDDSSYSTEVTDNAVDQFSKLFPEGGWSADGIQEGKAKGYEYSWTGI IGMTPDSVPFVGSVPGKPGQYIGAGYNGHGMARIFICAPALAKHILTGEWDDVMPSSF RITEERLNKLRKGLDKGKLSHNGGLPSKLAV
I206_00792	MTNIPFNDARWTTLNKATYEPKISKDGQEISFPTEKETDWWRTP TGIDSASGLVYGFEYKFDPKEGVEISVDVDVQARIQCSISDQAALFLRVDSKTWIKAG LEFDHDQLWAGAVVTSPYSDWNIQPHSPSISRFTITLQDQKLKVYFNDNPMREVNAFG DGKSNSAFIGVMGCSPKSEGAIVTFNNFTVKKGVRD
I206_00793	MLTMTMTASSIPGGLASPSGSSNSHASKRLTNRMTNRYSVNAMY SLAAEQDVDLEDELARAQKRLRDLKSRISSQSKKNFVLERDVRYLDSRIALLIQNRMA ADEKREVAETLEDVDEESGLWPDERKMNQYANLFFLLQSEPRHVASLCRLVSLAEIDT LLQTVMFTLYGNQYEQREEHLLLTMFQSVLSAQFETTTEFGSLLRANTPVSRMMTTYT RRGPGQSYLKSVLADRINSLIEHKDLNLEINPLKVYEQMIQQIEEDTGTLPPSLPRGV PPEVAAANADVQAIIVPRLTMLMEIANTFLATIIESMDTVPYGIRWICKQIRSLTRRK YPDASDASICSLIGGFFFLRFINPAIVTPQAYMLVDGVPAKHPRRTLTLIAKMLQNLA NKPSYAKEQYMMSLNPFVENNKVRMNQFLNALCEVGDFYESLELDQYMALSKKDLQIN ITLNELYNTHSLLMQHMETLSPNEKHHLRILLDDLGAAPGQVPRKENRSIELPLYSRW ETPIQDLSTALMSDSVTQNDINYMEAKSIFVQLLRSMPHLADKRPIDLPSLAERAATS KDPVLVRRGIKVQALLSELESAGVVDASDHFKIIQDEVAAEMVHLGNAKEKVVLETRS LEAVYKTICDHNNYLRSQLEQYKAYLQNVRLTSSKEKGSAGGVGVVTVNGKEKKQVKN QVLGPYRFTHSQFEKEGIIMESNVPENRRVNIYFNITSPTPGTFIIALHFKGREKPIL EMDLKIDDLLEKQKDQQAMLDLEYVQLNVPKVLALFNKVSIHWLAALR
I206_00794	MAGRQGGKAKPLKAPKKVSKELDEDDIAFKEKQKREAAELKALA AKAGGKGPMGGAGIKK
I206_00795	MKATPLTIVIKLGTSSIVSPEYPFLPHLELLSSIVETVVHLRSL GHRVVLCSSGAIGVGLRRMNLRGRGKGLSQKQALAAIGQGRLIALWDNLFSQLDQPIA QILLTRMDISDRTRYLNAQNTFSELLQMGVVPIVNENDTVSVTEIKFGDNDTLSAISS AIVHADYLFLLTDVECLYTDNPRNNPDAKPVRVVRDIEKVKQEVSTATLGTSLGTGGM STKLIAAELATAAGTTTVIMHSANVKDIFSVIEKGAGPSRDISETPQLEEGPLCTRFL RRETALKDRKWWIAHGLHSAGTIVIDEGAYRAIQRKESGGRLLPAGVVRVEGPFASHQ AVRLIVKRKKRDKDVISRSHNQQDNENTGGDISRNSIDESIPSSPNRGSRLSIDDTIN KPSSLISPAIKHLIAGEPSTPQIQPILSLSSSIASLDPLSKSIPSSPSPATFDSSINE RLDKISISKEEEKEEEKDEEGWEEIEVGKGLAQYNSVEIDRIKGMKSAYIENILGYSE SEHVVDSITFL
I206_00796	MTQEIAHEELRNKYNKLDLQFKELKYRYDKSIAAHEDIVTKSEK IIGSAKCPHSEEKDIKPDISSDMAVDEPDSQVEGISISLYGSDETEDGAEEDVQTITQ PLGHLRNDMTEAQIKEMVYWWTPNLSEEGTIKKIQISKLSYIHILGFVKTIAWERIQK DMINSMDAQGTYRAHLALEELRHSYMHKIELELRSRQRTEMEEEKARGIKAASD
I206_00797	MPRKQTTRSSLSSTAASTSIERSTSLSKRITRSVTHQSNPEPSP GRSSKSSTSSTASGSTPPSDLEEGEMLHRENNTLRGRNIEDLNELEEKGLLMKRELSS SSIEESNNNLNSPRRRKSGKARETSFEKLNLVDSTEEYDGGGLSSPRDKKAFALLVLL SDISCYAFSDLLQGIPLGLTFGTLPFLLKSHLSYSQLAVFALSTWPYSLKLLWSPIVD AWFVRSWGRRKSWIVPVQGIVGLGMWWIGGRVEGWLNAEIIDIRFITGVFGSLILAAA TQDIAVDGWALTLLSQPNLSYASTAQTIGIGIGNALSFTVFLAFNSIDFSNKYFRSNP LDYPLVSLGGYLRFWAVVFVLVTVLLAVYKTEDPPAEDDPDMDVKKVYKVMWSIVKLK NIQSFLLVHLVCKIGFMVNDSVTGLKLLEKGLSKEDLAIAVLVDFPAQMAVGWLAAKW SQGSNVLKPWLYAFWARLAMAAIATVVVKGFPKGPIGNGYFGLVIITTLLSSLTSTVQ FVGICAFHTQIADPLIGGTYMTLLNTVSNLGGTWPKPLILRSVDVLTIASCSVPTSIF EKGITSTNECVSEAGKHACSAAGGECAVLRDGYYIMSMVCVTLGAMILIGFVLPTVKR LSALPMSAWRVRIPN
I206_00798	MSVAVPTPVTATYPTGIKPISERKFPKTICMFDVDGTLSLARQE AKPEMFATLAKLRENTAVAFVGGSDLSKILEQVRSLDLFDFGFAENGLVAYKLGQPLA AASFIKHVGEEEYKKMVNWILRYLSEVDVPVKRGTFIEFRNGMINVSPIGRNASIQER IEFEKFDKEHGIRADMVTKLEQEFVHLGLTFAIGGQISFDVFPEGWDKTYSLRHIEPE GFDTIHFFGDKTFKGGNDYEIFSDPKVTGHTVTSPEDTMRQLDELFLTAA
I206_00799	MSSPIAPPSFGEIFENSQGTPQILSSPVQSAFPHFDPTSPFTSI RKTPNDRGLRRMRTEGFLISPMTSIRAQASIHSLHSGNPFHNTNGTRTPTLGFKPVSV APTPVRIANSNSVPNWDGMDFAGLNADGIDMSAFDGIPFGSPSKVPQLQDAATPILVA MPDTTPVHSPAAPRSAKKTRTLSGLGTPSGAQRPTKRIRQATYDANSMPLSPSASRRR TAEPPTSLTTSIPLSRAVSSSSIYLGPTQPYQQDRNISASSAMTASTSYEIMSPNLQA YQDFMPPVPASASLPELMPSRSQMPSPNLPGGGSPICTPQQPAGLFYTSPEHDQGAFG PNEVQGDMTLMSQVAHVMPPSSSMMSVASFPSNQGYRQNTLTTIVETPMLAQEGMTFS APSSSQLPSQPILPPQPHFQHMDYPPVPGMSLNFQPGLPTSTQPMDIQAWSAQTYSSQ PQIFNFQAGPPPAQGAGMPHPPQRHASASFLNYQMRSHEIPVFPSAPRSVSAPYIHTM SSAPLPPPIPMTHSLSQGGLFGPLATAGPSEPMSDPQQGWTRSNPVSPETPRRRQQYP PIGKRLKPGPKPKPKTPKKGKGSSSSPDEGITQPLFAGPLDTKPKIEGSIITREPSPK PALVFGPDLSSVPLIQRTLSGTELGVKPTSQQQLPGQPQLVIQPPRTSFSGGSGSGPN GDGTNGGPAGLPRAFLEKLYTTFLTLDGSMTGQPVKRFKCLIEGCERHFPRKSAIHSH IQTHLEDKPYVCNTDDCHAAFVRQHDLRRHMRIHSGTKPFPCPCGKGFARGDALMRHR QRGICSGSLVPRRDDL
I206_00800	MSTSTDIPIDPSLREISIAEPPKSAGTKRKARGSNNNAAASPST SSGRATRRSAVNNINAENAYVGEPTRGEEENLNGYWAPTNTKRARRSSPTKRSRPSSS STKFQASPNKGDGSLSGNAGPSGTSYDSGLSLAGIEDLAAAAIAANGKGPGLLSYIPM YPIGLTPFRYPCLKPIIPNPPPGDPNDPNFKPFNPHATPVESTSSSDYTNLQNQESNL NPNIDPQLNDLANLSRSDQQQSLQNLPSSFDEDQQQRNPIIDQDTAASADAAFESISA LLSASQGVYPTLDSIDYGQNQ
I206_00801	MKRKFKSPSSKPISSNVKPRARLAANELKWKTVNTPSFSGIDGG GGMMMLEELDDVDIEWEEGEGGRKVARFVAKEGKKIKGKGKAKEVSPDLSAVEEAGDK LNDNLNDRENGHDEAEEDDIADEVVEEDAFPDFAALEKEDIDEEDEEIHERGEVEAEP PFDDALLPEWKDIKLHTSLKKAFLAAGYVKPTDIQKRAIPSGLDSRDVIGVAETGSGK TLAYSLPILSHLLRSPLPTNSKKRHLSGLVLCPTRELALQVVDHLNILLKHALTASED DGEASEKPKTAGPPRISLGSVVGGLSAHKQKRILDRGCDILVATPGRLWDLIKADDEL ASSIRTLRFLVIDEADRMIENGHFAELENIVKLTQRSGAASHGPDDADPVFAQLSTLL EDSEARDDMQTFVFSATLSKDLQQNLKRKQYNRGKGKGKRSSTLEDLVDKLDFRDPKP EVIDLSPEGGVVATLRESMVECIIADKDLYLYYFLLRYPGRSIVFVGSVDGIRRLIPL FTLLQLPIFPLHSQLQQKQRLKNLDRFKSTKNAILIATDVAARGLDIPQVDHVIHFNL PRTADAYIHRSGRTARAKNEGFALQICCPEEKNIQKSLMKNLGRIHEIPELSIETDFL PKLKERIKLAKEIELAEHSKSKSLHDKNWLIETAELMDIDIDPDMFSDKEEEDKDLPF SRKIKQKKGMKKSIDLKGLRNKLKELLNEKLIARGISAKYPTSGSKVIIDDLLRQTGH ATLLGAGTKKAYDEVQGAKRKLGQKRSGGIVNKRKKVSN
I206_00802	MLDFKRFNQQYKGVRSNLTGRLERQDDTYRVERDISIDSISSLH SSYYSSASSREVSPIDSERDERNNQAGWEKIRPLAPQKSVKLIQGMHLQHIRIHS
I206_00803	MLYIQVGIVILAVGSIIPIVHYAFLTEPFWRRVYTGGILTIGMI TALRYRRKIILRTLTFLILGGSAIIPILHVILQTGFKNACEELAIQWTIIAGVLYILG TLIYASRYPERMYPGKFDIYLSSHQIFHTLVVFGIICQYIALEKTISYNNEALS
I206_00804	MTRGDQRERDRAKKLKEEAAKGKKLAGSPQARREADAKAVAEKQ AAKAKARAEVEAKGEVAAFDKKIVQRQANAQQKK
I206_00805	MSAAQYAFRAQKTAGIAVGPSWEVSDSIPSDTAATRCYAHSSDG EWFAYAEGSSVHVLPSSSSSSSSPVVISQPNVLALNFSPLSTNLFTFERPVKQDNGDM HKNVKAWDVKTGEEVGGWYSKSMDGWEPIITSTESHLIRSGASDIAVFSPPLASRPIS RVRVEGVKGIFLSAPTTLSAGVTSSKPVHPHQEPAVAIWIGEKKGAPASVSLYSLSSL LPKSPTSNGTVNGDEIKTETKDLPNTVARKAFYKADKLNVKWNNAGTQALFMAQSDVD VTGKSYYGETNLYLVSLDGSFDGLVDLDKEGPIYDFTWSPNSREFVVCYGYMPARVQL FDSKAKPVFSFGSQHRNFLLYQPQGRLLLSAGFGNLAGGVDIWDVSTRNKVAEFKASN SSHCEFSPCGQYILTATLSPRLRVDNGVKIWWCGGQLLHIQPVDELYQTSFAPRLLKD IGPFPPVIPKAPEPNESVAKYGKKGDTANGGDSKPAGAYRPPGARGTLASDVYSRRDD DKSSPNGSGASTPTPMFRGGKPAGRYIPGSAPPGSAPKEQANNDDKKKRTRKRGKAEN DEKPVEAVTEEVAKVDIKDEGDDSTAKKIRNLTKKLKAIEDLKTRLAGGEVLERTQVK KIESEQQVRDEIASLGGSA
I206_00806	MHQTGNSIKLLTGMWRLLADGWGSRADVPSLIIGNSHPRLAEAV AARLGIPLTPCHVSKFLSLETSVQIHSSVRDEDVFILQSPSPPDINDHLMELLIMISA CKTASSKRITAVIPCYPYARQDKKDKSRAPITAKLVANLLAVAGADHVITMDLHASQI QGFFDIPVDNLFSEPSMVQYIKQEVDGWRNAIIVSPDAGGAKRATALADNLNLDFALI NRKRKRDLTASITLPTVPPTPNGSDSGSTAGDHDHEHSDDENHFYVEKMELLVGDVKG KIAILIDDMIDTGHTVRLAAQVLHENGAKEVYALISHGFLSDTTMENLRDLPVKKLIV TNSIDQTDRINATNHKIDIIDIAPVIAESIRRTHNG
I206_00807	MSKRGLSMEEKKMKMLEIFHETAEFYSLKELEKIAPKTKGIVVQ SVKEVLDDIISDGFVQMDKIGTGNYFWSLPSAAGATKQALLAKNKKELEAINEKIKEV QADIEDAEKGREDTPDRRNLIAQLNGLNETSSSLKKELSAFGAADPIKYEKKSKAIQV CKNSAVQWTGKSASRTKSHFVVGYWKLINIA
I206_00808	MVDATITKRLHVGGLTPAITTQHIKERFSSFGTVSHVEELKPDA LGQPRPFTFLTLQTTSAQLKKCLNILSGSFWRGTQLRIAEAKPNFTTKYNIPEISIQE KKKQLDKKRKRIESKCGDEIGKFSQDQRIVNKEIAIKKTFWIINENDNRIIRPLSIKP SHPLPKVSKVVVSKDKKVEKKRINGPPKILRKRVINPIVYGSVYITADQLNDVTPNDQ SLESENGNWEYEEIEDENQSGSDENGQIPIGIWRKINSSGQVLEESIVKGKRRRIAID EEQEFEPNLEKYDIPNQGESSSPLFGNRELPIEREGSPLFPSRQSETASFTSIQEVEP ENDGGSSPLFPTRNISDRDERSPSPLFPTRAVPAQDTPTSPLFPSRDDVSAQRRSSSP LFPSRLDEIEDDRDIVASDEEDALSTPSTSQFKSSLSPTRQIKSPSPVPQQILKAQKA QKAPIKPKSTIPDQILQSARNERSSALGVLGSLIGGFSPRTKKEKVVWEVYPESDDEE EVEIARGRSKSATVEVLSVVKVNQEDKVAKDEEPNLEIPVDQEPVDASSGSSSGSGSS SGSSGSSDGKDEQMDVDQSNDKGEESNSGEGSSSSSGSGSSGSEDDEDDDSSESSSDS SDDSDEESDSDEEENEEIDEKIQPKSTGLKEMFAPQTSSLNFASSSTGGGGFSLMANI GEDIELDEDFDIPLPIIQNESIQNQGKKEEEEEELKPLTLISGGRSKVKFDINSSSDS PLFFTIPIDQSNSITTNKKGESRNLYNELYNGVPIIKEDENGEEIQDFINLPEFNRQI GENDEMMKNKWENERLELTQGWKKKHREAKKSKRRRGGEDIE
I206_00809	MTQPRHANSTNLHRLFIQSMLSRRALKEDIALELYKRAVGACQA YDDTFRPLHETTLRGFRTFLSDVSDIMHDLGMEVITGQEQTGKGKSWVILRNIDPSEV ALQATDYTPLEIDYCRRVVKEIIESYPANSVSHGQALTIISELDGQMTKHAGEALLNS FCSRGWLSKSKRGRYTLGVRAAVELEPYLKQQFEDYIQNCKQCKRLMLDGVCCSTDGC ESHFHSYCYNSIQKLPRPTCPECKNRFSEKEPTPIGEKSVSKADDDFINLKKRKRPST KGKSRSQTLRNADETDEEDEDELEDEEGVEGSGFIEKAGPSGWKVDSTSRRRSVVPET QFDEDEEQEEEDDAPVNRRKSGRR
I206_00810	MDEDLQLNRGMFACFPCVILWRWAQKKLTKYDPEREPLFPSPQT ILTPPTKKHRPNLPNLSSSGSVPLWSSAPTSPTKSGMGYFSDGKRNGSTSRLSQEGRE RLGSISREYGGRMQSLYPSTSTPSTPSFPSTPHTIGRSSIRPLSSINPIVATEPTSPN RPSAPNLGRSASEPRNLSDLGFAPQQIPAFGSISVGRGGRTRSFTLSDRSPLSLGEGD EKNVRRGRSPGPAVFSRLNDIQNQLNDPIEENNSKEASRIINSSIERCSSHPQLTTAI SVSGHTDDNIITPPEEDVVRKELGLRGLNSVRGKRGEGKGGKRVKTD
I206_00811	MLQRTQGSSTDTQSSSNGTLDQVLGSTHSKSTHEDIDGWFESQE MTLTATIPDISTLNQTELTELGSSVGTIEKLQKKMAAFPKDIRDGEEIILIDGHREAI FHSETDEECNMTIWKGVISYRSTDDEQGQSDS
I206_00812	MVFANLNGLYCARKKLPKSLKDSSISSGYGEYKGNFNLRKDNLT KSTNSFKGNIIKRNDFLNKNKENTSNDQCYNNRSRYKEITQKVINGEFNWNNELKNSY CMTLNLKQQSSPSRKLNEKEEGRVMPLESEIFGSVNPTKNNFINISSVIDSENTTPQK PETNNSNLKKINESAKDLIKDKEFKELLCTTSEICS
I206_00813	MSARSPPSTDSPSRSLSLSLSAPTSIPSSRTNPISLRIYKTIGT SFDDSSSKEALELVSSFYSSSSSSSSTNKGKGKAELQDGPTSESEPEGLPRRRTLKGQ SAATARKWLKKDVESTLATGSQNFLKAFEEVDQKLQVLREHMREMQVRCDQVQSELDQ ANSGTKYLLERADGLRSQRASAQLRQSLITLFLSKFTLSEAELAALGSREVAVGQALF DALDRTEKIRKDCEVLLGGEEGKMQAGLDIMRATSDQMESGYKKIHRWCQFEFRQFTR ETQLEVSPVMREGIRRLRERPPLLKDALQGLTSTRSASILQAFLDALTRGGPNGLPRP IELHAHDPTRYVGDMLAWVHQATASEHEFLDGLFGVKDKQRMVGAEREWIYPEGGQEE LMVAEAMDKHLEGLGRPLKLRIQQTIKSQEGIIMSYKIANLLQFYLITMKKTIGEEAL LCKTLQEIHDQAYIAFFENLDAQGRSLLRFLHPPDATLSPPLALRDFCLILRELLSVY STSLVDPSEREADSDLAKLLDKSVDPCIEMCERMAEMRRSSVGGEWEKDLFMVNCLGY LQHTLEIYDFTSRHVVMLEGKVRDHVESMTYEHHGKLLEQCGLSPIMRTIRTRPADTP LSRLPTTNPKSLTAALATFSNWLITIDPSNSPRLALLSSARLADIIHRKALKKIYEAY GEVCERVLDPKEGYEFGETMLRRGREEVGVALGVGEMDDDWDEEDTEKFSDLVEQPVD NDVRFNEGEENRQILRRDSGVGLGLNLGEGEGNAGQVETDNQV
I206_00814	MAPTTRSKQSTPAPENPSESTSTALSPGLGGDIKQRPREGSRSR TREEVWDPKYAVDLATTTIDENVFLFIPNLIGYVRVLTAAASLVFMPYHPKLCTALYF ISCMLDVFDGMAARALGQTSKFGAVLDMVTDRCATACLLTFLTSVYPDWTLLFMFLIT LDFSSHYIHMYSSLVTGSSSHKTVTSDVSRILWYYYNDSRTLFFFCFANEVFFVCLYL NAFWVSPITSSLPIPNILLSSEFALKQHPVLFGNIVYYLTNITWPQVVALLTFPICFG KQVINVVQFWKASKILVGVDLAERQAARTQAALKSRAQ
I206_00815	MFNSPSTRATPRKANSRASVARSRHQTPSIFAESASLSQAPTPS IRSSRLAVVRRGASPTSSAGDTVRTTRVEEGYEKDRVFWSKDERHLVSSLGPLPKEVA SLVKNSDFVAHPIAGHVDPKSGFAMVASPSICIAWNYTKRTHSAPTTYTFPAPPVSYS TTPTQPPSLAALYTGSASSEPGIILVSTSGEIRFWESMSVALANVDRFQEIYVEVGED DSVEKLWKTDGHNFLLTTSTSIAFRLTISSSGGRLVPTLTQLTRPGGMFGRASPQLFN AREDRGGVSSVTGAGGDLYMMARRMLQKWAFGADGHKYIQEYDLHEAIGSWCFDSWSS GNVSLDLNDLVAFGQDELAVLISYVEQQSGTSEYPRIHNSHAIVLFSIHPRSQSLVIS RVVDTSYLAHSDPRMLDVPRLLVPPGSSMAFIRFAEVVLMVSLDEGSPYEEAITLKDS GRNAFIGAGSIASTSSKKGHGLPSIVAIPALGGLMSIEALETSGSSDPLTQITSATAR LKSKMEQAVFFGERSDNPLSFDLPAGFQGDLAEAAEAVSAEIVSSNSSYMPGLFELRA HLSDRLLRLKELMNFIRHNGLLTILPQSTRRRLSRDAEKIKGAIDLWDYQNRQMDQIH TRSPQSLLSDSIHTYMHQADIVEEEDFVRLFFRSQVQNLDKLLEIVFTTARSALDSAN RSELSSWATEANRIFIIVERAAAQYREEELYTYEIDREKPAIEIWTAQDSLLEALDFL YTTTEQLIKERSRDLGSVIDEPPSDTAEPGLKREQQLQSRLKGQMAILAAALCTNMED KCRATSRREIDEGADPQEGVQLREKWAEMKPRVIRPLVAIDRLSEAYELAENHTDYLT LVILCHNQAKSDTARLQRYIERFGEEFAFVLYHWYIDQGQAYELLNQDEVYGVLVTRF FAEHQCPELAWMHHLAFRRYDGAAIALKDAMADENTTKLEQQQLIGSIAKLAAIADVR SNGVSESRKRLLIELDDELDLVHIQTTLIDYLSPPQRRHAIKLDEQITLLADRPAFKR LFINLAEQLIRGLALDLEGLIDVLTLKENFERTGDAALALERLVGDTALPEGRKQVAL LSIWRRVYIRDDWAAISNTTGRSEQAQRSKVRGTLAYQTIKLVNDIKDFPRNFILSPF TSSQPPLPTELTARFPTFSAEDISSLMNDHEKEIEILNRYLSENALEERIREVAELVK TDIEDEKREEGDVDIVM
I206_00816	MSDKPQSSSVSVLLTFALARQVLMVIVQAAARPSWNKDEWEAKA KAKDEENAEFAKSAEAAMLSGKAPPRRRLGEDMPAPTKALEARKEELDLNKNLNKTML VQTSTTGKGPKGAGFYCEMCNRTFKDSLSYLDHINGRGHLRMLGQTTQVERSTLTQVR AKIAALREATKTAVTAKNFDFQSRLKAVREAELVEKEKRREERKRKRERKREEEELQK MGIFRNNRPEDNQQEAANNKEAAKKRRKMENRGDREVEEAIKEREDMANMMGFGGFGG TKKR
I206_00817	MCQPGKTDLSLPKTYDSSSIQSTKSSLSRNHITEYIQGQNSGKP RKTRSRRGFPCSTCRSRKKKCDRDPTVATSNLECIKQSSTERNTVPSDYVELVEQEGY HNHRFSRKEGDIDSGPDLHMNRSDGSFGSTFGEESPAFSPGSFDSFPAAQPGWYKYST GDTVNGYDGSMGYHHSPSGSSGKASDLRQNAHYEKGVDMVRGISLEDVLGQIGSPDVN LSPISDFARGIGEGHEASERRNTAPGDQIRSPDGDA
I206_00818	MTRTIKLSDGKVIPAIGWGNGTGGLFGNHDPAVENGIAALKAGI YHIDTAECYKTEEATAEAIKQAGVKREDIWVTTKTPFAGLSGIDEIRSNVNQRIKLLG FKPDLLLLHFPTVPKEGTTSEFWTQFEDLVYDGTLEGVSLGVSNFRPQDLEAVLKVAR IKPVVNQLEYHPYVLEHIQPVLDISEKNNIVIESFGLLTPILRHPTGGPLKAVLEKIA QRLSKDTGKDIDIATVLTLWTIQKGVVAVTSSRNPDNLKKFKDIDELPDLKPEEVKEI EQVGRKIHFRHYKGHMSKDFPNPDLPEDI
I206_00819	MSDQTSAQVPYTSDTLSRSIRFSKGKPCTEITIKWKPVSWTIAY YGKTESKDELVIQASESDLDHTDIPHFEGTSSVGKEEVEEKNKDIKTVTLHWESRTQS EFIDRTLSWKPPSPGEGLEPKVVRGHV
I206_00820	MFGLKAWPTPIVKPLWPFMVASVVTVAGVWSLQDLAVSSPEALK DPKNPFAASKAKAAAH
I206_00821	MAASGTKRPYTEDPAGRHDRSREHHRDRKHDDDRRSKPKDWRDA FLDEDEPRRRRSRSRERERDYHRRDENRTRERRYSRDYDDRDRDRRRSSGFDNRERDH REREPEKGDGYHKRHSDRHDYSSRNNDKPKMSRNDDREEGELEASPPKPPVPLPVSKA LSASPSRSSVTTSPSRSISRPPPVGPKASFQSLSIPSQPRASTSARPPPPSNRFFESE SSAQVAKAEEAKPDEEEEPISVLEEEVDTEKILAERRRKREEIMAKFRANGGKPINAS TPQTVQGELPGTGTGAESVTSAGTRTGYQTGLSVTGATPLFKQLGTHSVTGTSAPTPI ANSPALASTPLGKDFDLTKQADSAGESELPASTRAEGVGADMMISAADYDPTQDMMAD QEKRRKDMEAIQVKPSDVASGVGEPIVVEDQTAKPVEEEWEEVEIEEEDEDDDEFDMF ANFGDEPKEKKKRKVTIRRLKNANGNAANGGQEPQIVKKPASTIAAEVVDNVDDTEGY YKITPGEILDDGRYQVTITLGKGMFSAVVKAKILKAVNQQRRQDVVGKEVAIKVIRSQ ESMYVAGRKEAQIVKKLNDADPEDKKHIVRMERTFEHKGHLCIVTESMSMNLRDVIKR FGKDVGLNMRAVRAYAHQLFLALSLMRKCGIVHADIKPDNVLVSENKATLKVCDLGSA AEISEGEITPYLVSRFYRAPEIILGLSYDTAIDMWSIGCTLYELYTGKILFPGRSNNH MLLLQMELKGKINHRMIKKANFGNLHFDDSLNFVSIEKEKITGQDVAKTLVISKATKD LRARLLPPSSVQLKMKDEELKQLTNFIDLLEKCLQLDPSRRITPRDALIHPFLTSV
I206_00822	MPSPTMSPDAKIQMAHDLRRSIRELRDRGLMVSAKWSSELLAAL PKEYRQAPHLPFSPPPQPHNLPSTSPPIHPRPSIGDFLPSPGPGSFNAEAGPSRGRTM HGIEIEEEDDILEEDEFQLARGYFDLKEYDRVVWLLKDSRGSRSKFLKYYSMYLSADR KAQESLPHFLDTKEERLALYPALSPLLTELNDEKDPYLLYLRGLCYMRLDKRPPALKC LADSVRMKPYNWSAWSQMAQLVYSADMFISLKEELPSSTMLTFFAISCMLDLHTATEL VMSMIKELLEIFPDSVHLKAQRAMVYYHMRDFETAEKEFDAVQAADPFRMEEVDIYSN MLYVMNKQAKLGKLAHEYAEIDRNRAEVCCLIGNYYSSRADHTKAITYFKRSLMLNRE YLPAWTLMGHEFVELKNSHAAIEAYRKAIGKYVNAKDYRAWYGLGQAYELLDMPMYAI EYYNQATSLRPYDCRMWTALATVYENLKRLPDAILAHTRALLGADRIQTPTILLKLAS LHFTLGQIEESIGFHRKLIALNEKFNGNGIGIGINELSSSYLSIAEFEMRDLLLLSEK QEKKQEDLNGKPLGDLILASQYLEKISISNSPQRDRAEELLRILRIKEAKMAVDL
I206_00823	MQQTIPSTTPIGSPHFARNDPWAHALICCDHDHDASSSKHNAFN QVAFHQQLQSNLSTGSTPIQQEVYGQIPPNTYPSAQSIDQPQTGRCAELRADCALEAY CCGGDYCCDEAHGCDKSNNTDYCANPACEETMKEPCHEEHPFHPQGLCGDVDYSHDQD MKSLEAWADTKEGCHAIQQLLECCNQPDCDIPVCPTENESIHPPAPDPLAAIFGSMVA QPIEPSLTAGGLSSDVEAMSHTCHWGNCHLVFKSMPDLLAHVAADHLSAWSSGSGPKS TDAAQNQQSTLSVPPPQQMPNAMAPANFASTPPLQMTNNVTSGITINSTFPTPQESET DRLLSCLWDDCFPMTDMPGSIGDTFSQHNPSQSLTQQIPHMHSHTHNQPHDHTNASGE PFSPGTMLRHVLEEHLGVPGSIIGWPTEPQQGQQVDKNHHHHHVDPHHLAHHHDHSHA HPYSHHHHGHSHLHSTNLDHPFPTPPSTVETRLSTSPTPNFGSSHDLSGNISKASKTN AIKANGNGLICLWPGCPIDHVFQDSASLMDHLSEVHIPKGKDSYTCHWDNCGEGQGRT FKSRQKVLRHLQSHTGHKPFVCGVCDQAFSEAAPLSAHMRRHAQQKPFKCEYPGCGKT FAVSSSLTIHMRTHNGEKPYICPHCDRGFVEASNLTKHVSYTGERPFSCSHPGCGKKF SRPDQLKRHMLVHNKPQGQHARRRNSVQTAKSEQKAAPSSVNVGHNQNTIQATA
I206_00824	MRFSISTIILSLALMVSSSTALEPGEVPINVAVRSVNGRIEHFY ERDAVEYYKKRAELDERELAKRQDVESDLYPVSNDGSGVKAFSGAGSQ
I206_00825	MSEQERWAMVDASEFDNRLRESVTNDWPTFARPFFPEHAVSTKT PVASTTNLLSDTLGGATSGSGQELDFFPAFEGAERPTNKSVPDITMPTEGRWRDFDAH VRSYRYFTDSDSVPLSCILVEHGAPVIREFQASCGRSDCEWFKIWEDYWHGESPEQQD AEEKYNQLMRDQAISLVSRCASLNVDRSSDPATRVPLNIAWGGFLGHEHPAESTKSSG VDTPK
I206_00826	MSTQNTSENENASTDGAHSPSQNTSANEVRSNMTTVYIKPELRQ WETPRGDLSFNIYHDSKYDSGNAQREVLDHSTDRICEAYNRFMTQAPRVQKQQDYLDY SWKWKNDEEKALSGAKYITSIISRLVNKNSESSINLVQIDSINPKGYSNKYFTARGVE YSQKPKYNYSQRSQPSA
I206_00827	MFRPRPAQQTAVSQNSEAGPSINVITSPDIGQGNDVSTTSIPGP SAEDQSFVSRIMSDNPYFSAGAGLMGIGVVLTVLRRSVTLGTTFAQRRMLVTLEIPSK DRSYPWFLEWMAAQSASQVGKGKKPMGFRSHELAVETSYKQHENGSSEAVFNLVPGPG THYFKYQGTWFQVKRERDAKLMDLHSGSPWETLTLTTLSAYRHLFSSLLTEARALAEA STEGKTVVYTAWGVEWRPFGKPRGRREMGSVVLAEGVSEKIETDLRSFLGRGKWYAER GIPYRRGYLLHGPPGSGKTSFIQALAGSLHYNICLLNLAERGLTDDKLNHLLGLVPER SFILLEDVDSAFSKRVQTSEDGYKSSVTFSGLLNALDGVASSEERIIFMTTNHYERLD PALIRPGRVDLHELLDDAKGEQAKRLFIKFYGNSTTTIENGQEVEKGRILREGEIPLT DQEVEDLGNQVQKIIEDEYSRGRTISMASLQGLFIRTGAQECLEGIRELCRPSE
I206_00828	MPWFGSSPSSSSTSTTSVQSNEASSSRPVNAPLNIPAGNSPITP DAEPIKADENLTGDASEDLKKALSRIRPTEDLQNIGKIPCARNSLLYGIAGGTGLGAV RFLGSRKPWSAANWAVGSFILISGFQWEMCNRARRKELAQMRAITERYPHRHISKLKQ QGQDWVPPPSSSEGNPA
I206_00829	MHRDNPYLIKKPDFARLASRYPDFAQYVTISEEGYASIDFQDAP ALRSLTSCLLKEDWNLDVELTEDRLCPAVSYSGLDYLFHVLDLEPYFASSSTNQPLRV LDIGTGASAIYPILLHRLRPKAKITATEIDEISYKYSLTVLSKNSIPSTSIEILRASS KQPILFPILDGNEEWNLTICNPPFFGSEEEMKEGQNGKERGAHAASTAANNELITPGG EVAFVGQMIEECLKSGERCCWYTSLIGKFSSLSPLVELLRKNKVDNYLLKNIKQSKTV RWILGWSFSSIRIPDTIARPEDVVPNTSFTRLLPPPNTFSHRPEPGIPIDELQKRVIE VLKSISLDPSNTSLDPAEYKVDDSETSTIILNPLSNSWSRSARRAQARLPSVPANDPE IEAEVQNEEKNAEAIFKAEMKFIPPLTSNDFSSISLEWIEGRDRTLVEGLWKFLLNKA ELIGKKEERDAGYGARLGGGQWQRGKGRERERGRRNGGSKGGSDWPNKNERKEGEEDG MNENRRYGQRRRLV
I206_00830	MSIPVQSSNKVSFTDFIVKKCKEQPFVPIGCLATVGALLGATYH LRKGNRNKFNQFLRLRVYAQGATVVALMIYGGVAFTNDQQQANYIRNRIALGEIPADT YYPGRRDVPRPPKESTIPFEFPTDPSPAPPKVQTDIPTSLPESLSTAVDAPPSLSKDN STGTGGGYPLRKEERMKVSDFAKRLREAEQLHKEEEAVKSARK
I206_00831	MSDLAKVNSLISWLREKGGHFHESAEIKIDSETGVSPFSTASIG PDEGLVSCPFELAITADLASQAICEVKGIKSEDLVWPVGTSKEGEIWNERMRISAYLG LHWIYKEKDEQLPSALAHSPYIEALPSTSNLTTPLYFSSAELDLLKGSTMYQAVNARK QEWKAESDAIRSVLKEDGLTWDRYLASATYLSSRSFPSKLLRRPEEGSVTHEAKKDEE SQPVLLPGLDLFNHSRGQPILWLSSNKTHRTGKDTSSISLVSTEITEKGVQLYNNYGP KSNEELLLGYGFVIPNNPDDVVMLKLGTSGLPLPIIEKLKNKKFDANKLFELRRNGEI DKSLSEIMRIMLNEHDCNDHDEIDEDDEHALHQHEEDELQLEMDVLGMLGGMLDDKLE KLQTTHKVEEKEGEVRSEIRKMCDIYKQGQIDILNAAMDKISERIERIEGLLDEGMGG CPCGC
I206_00832	MANSTDKIPTIDDLIKLDQDDPLNWTRDEFEIPNIKACGGEGDG GAIYFCGNSLGLLSKKARKHMIEELDVWSTSSVTGHFSHPHKRPWKHVDQPLTPHLAK LVGAKESEVAHSSTLTSNMHNLFTSFYRPTIKRWKIVIEKGSFPSDWYAVHSHPKLHE AVLSPQQIDEAIIGLEPREGEDTLRTEDILKVIEENQDEIAIVWLPLVQYYTGQLFDI ATISPKVHSIGALLGLDMAHGIGNVECKLNEWDVDFAVWCTYKYLNSGPAGIGGFYVK DGLDNGGRRLAGWWGNDSATRFQMLPEFNPTKGAKGYQHSCTPVFSSIPLLSTLELIN KIGFNKMLKKQKDLTGLLEKLLKSSKYYKPFLNTKNQNELGFKILTPEFPFRGTQLSI SILPENNKEIMPKIFSRLIKNGLIGDERYPNVIRLSPVVLYNKFEEIGKAFKILEDAF KAEEDGKEFENEIDLDMISKD
I206_00833	MSEESDLLPGQNLAHLLPPSWKTEVSRWYAEDTPSFDWAGFVVG EEVQEAILWGKSGGVLAGVPFFDEIFKQAECEVEWLLPEGSVIPPNSKTKVAIVRGKA RHLLLAERVGLNTLARCSGIASVSRRFRDLARSEGWKGVVAGTRKTTPGFRLVEKYGM MVGGVDPHRHDLSSMVMLKDNHIWATGSITSAVKSVKRVAGFSLLVNVECQNYEEANE AIKAGANIVMLDNLIGEELHGAAKKLKNEWKGKREFLIETSGGIVEGGLKARLGPDID ILSTSAVHQSCPHVDFSLKIQPRSKV
I206_00834	MSRLDSLTPSRSRSRASPSPSPAPSSPLRGSNETTHHRMLKLVL GEVRKIIRTWDEIIIIDGFKAAKGFIDEGTEMDNILAIDEKPERPEISNHLNTLFNHR QTLESTLKKLDNNLYKLSALAEQAEKIFFGAYSREGTEFVFVEPLWSTWTMERFVNSL SPLISFHTAHLASLTTLSKIILDTTTTFDDAKVALESWRDIATGGERWEGVREWEELV ELELQSELDDEEDDLPIKTKKKNKK
I206_00835	MTPRSFLPQIVGLFYAHFDPTLGPVVQHQVPENLITSRAIIESD TRSRSRSKSRSRSRARSRAPASASALTNSSTNAPARGRQLSPPIQSFSANSRSSSTSS TGKSGLALLNFGLISEYVIPKKSLHGRLVTLLTTGLDEDERKEYRVMGFPNVMTGEGG RYKRNEYMWNLCFVFHASSSLEAFEPVVRKCARILRSAERDSSYLSVSKPEHTPLTAV LEQLFEDLNSYSETSIPLDGFNSLELKLFPFYPNPPDCEDWHVPIALVDLNALKDDNW DITAARVSQHINGIHHVKKIAELADADEALTRETLKHMLYYQVVMMIDIFQYSNMYTL KPAISRLASDETIIEECPPYVTKPGFPHPEWPVFLRLYSRLTPGITIHDWIEANEVLS LGIDPRRFVSFGIIKGFLRRVHRWPKLIERTSSPLIPLPVDTRRRVGFDESTRIGSTT TLNTRDNRSHGGDSGLSRPGESTFTLRSVGSNASLGVSPTSRHMNTPPSALDKSPRRR NLPISLSQSHERPSSSSTATTPGQFARSQASLTESHPSLSSRRAVGATSISNYSGTGT SGHYERPDRGAGGGNSGIRFGLNARQREEAAALRKAEEMEEELIGYLDGTHHSDEIQV RFGMSWSKLQGILGLDEMKEGMGKKGISLVYR
I206_00836	MAIEVNSKVSSGGSPGGRKAWVTLITNPQYIAGVLTLHRTLISV SSYPLVVMTTPSLSLESRKIIEYSGIEIIQVPHLSPSSSQHTGFDPSFSRFNDAWSKI GVFNLNQFERIILIDSDMIFLRNMDELFELELPGEDWIGASPACICNPFKLSHYPDDW IPENCQLSKQNSYTKLSEPTIPKIDSPRTSHLLNSGLVIFKPSKNLYEKLIYHLNNSP TIANSKFADQDVITEVFKGKWKVLPWWCNALKTQRSVHKNMWKDQEVRLIHYILDKPW DHRPVNLPPYKSKRMELPPTPTTPSNYSNHTNEKRNLPESLINAIKNTPKQESITNYD AVHSWWWLVYEELLDEWKAENRLGWREIDQYVKR
I206_00837	MINSKNLSRAREMMVFQDILLQTSLPTSMGMSRLESHTNLVIVA AARSEVATESVKTQSGLVSPTVEAESNLPGEDNKSERNRIEGYDSTVTGTIQGVPDDF KFIPSAALGGSKRQTGFSHSAMRGSDAGHPSALSSSPTGTRRSPPRAARPGGSVFDNE RYQGYERGG
I206_00838	MSEYKNIVIVGASSAGQLLANYLSPNLPTSHRIVLIDALDYAFW PIAALRAAVVPAGWEKKVTAPLTQDTVFPAGSIHRLIAPNKVVELKENSVVLEKPFEG SNEVPFFKCILATGAQQPSPMRPAADSTKQGWEDALVKTQNEIKQANKIVVVGGGAVG LEIAGEIRAAHPDKTITVVHSSEHVLNPTADAPDPKGKTHSYSSPPTLPKLSITLEKV LKEMKIDVITSDKVIIPASQSTPEEWSGAFGLQDGLKTVKLQSGKTLEADYVFVSIGN KPNVSLVEKADKGAVISGLVDVDDYLRVISDTPNSPLSKNYYAIGDCSSTPGWKTCMG ADYDAKGCAINIANEAKGKAPKKYVRPTLAAMMIPLGPEKGAGTLTFPVVGTWQVPGA MVKAAKGGKLLVEDLFYGRFKGSKKVAADV
I206_00839	MSSVLPFYLVNAFVVNSNPHSGNQAAVVIFPDKSDERANNDKWL TAVAKDFNFSETAYLVPISSSQDGEEGEWNLRWFTPEVEVTLCGHATLASSRILFSLN PNLQIIKFKTRFSGQLLAKRIEDKVQITLPTLDSKTLSQENVIKTDHQDEIEKLENAF NLKNKEDKILGSFELEFSGHRSLIIQLNENVDIATIKVDKNALVKVTGCAVVTRVDKA QSIKTKQLHVHSRVFGPALGIDEDPVTGSSHAHLTGYYLLSKGAKYIPEDLLEQVKSQ TELTLIGHQRSSRGGELICKLDENDDKYVNLIGKSHMFGKGTLYA
I206_00840	MSVTSPISASPGLSLLEWDEAAVQSYLSDLGLNKYEDVIYEHGI TGDVLAVMDHGALQDLGMTSLGHRLNLLRAIWELKKEQGIEFGEDDWKPQDAEENERV TQSNVDRLIDLIMEQHERLEHLEREQVRMLSAFQEHHIQLPHSAEGEIRGIPGMEGKS SVSRSGSLRWKDFKERGNEGEDGEAGPSRNNRRASTIFPSSLASSTNSTAIPHTQVIP QTSNTPTFQDSFTPTTVTSSYPFESPLAQAKNDGDKRPNPIQPPPLSRMLSSGPVSSS STNTVTSPSTSQQQQQQNTLSAPKNSSTTSGSSPINANAPSERAKSQANAEARSAAKS FRVTLEDPCWKVLPAALKKYKINDDWKLYALFICFDNTGELICRCLSYDEKPLLLFQK LKESGHRPVFMLRHIKDIRSPIAVAQQKQAQKLGLPPNTSVNVLPKIKPSSDTSVSPT KATSLQPGGRDENGNTPNGGNFPELPSPGLKDPTNDTGSSSRHPSANAQMNLQPGQSA GTMVDKDGNIVNVTYAVAIYPYIADRQDEFNVAVGSTFTILSKAKGWYIVQKDPDGFG KSTDPTQGWVPAGCLLELSQPISLASPTSSGEIATYPGLSPLPPHTIISSSYAGNVLM DYEAKGDAELSLKEGDMVRVYKKYCHWSYTINSETGERGWVPAWFVGKATNESNTTST NGGTPLSASTPTFNTLAHPVNSSNSADAKSHNGEAEERLRDDNTTPIPTPGQGGQGGQ VAHQAQHSGEGNKI
I206_00841	MRALPAPWLSSHSPDLASQTDSSAHDAGTKTLEPISEEVLLRPK HMSESFTSFDLPLASDAKLYERYVNTSGGFRMGKLLEHLDSLAGAVAYRHCLPTPRAS YQTDSSIAFHEMSSRAGLYLATASADRLDMFGRLNRENVRDLKFSGFVTWTGRSSLEV VVKMEGRRLEEAPGQYKTLMLGRFAMVCRDSKTHKARQVPKLLVESEEEKVLWAIGEE HQKRRKTSAMNALDKVPPSSEEAEELHKLMLQVSTKEKVDGEEVVHMQDTEIQTVQLM FPQDRNLHGKVFGGILMRLVLITPSELCFTNAALFAQAPMRFLSLDQITFRLPVPIGA VLRLSSKVVRTTHPHEGPDGEAKAHIVVRAEVEEVDTGVRRETNTFFFTMAKENSEPI GRTIVPSTYAEAMHYLEGKRRLEMGEEMRRLYKVGKS
I206_00842	MEIYAPGIVLISASTGSSQSKGNAWESSRLHRKGKGRYLFQTAP TETKESINLHFHSRYNEKQSYRILLPVLASRSSRQRGWKQLHIEGSGGYSAYRLYTTQ SDGSNRDSIIILERPDTANFLSRIPDVTPLGEITLPGTHESCAMYGYPISQCQQPATP IEQQLLDGIRFLDVRLRVVGEELLMYHGPRPQRSTLSILLQVLHNFLETHPTETLILS IKQETPPWHPDFSTILYKAFQPFLDKWFLEERIPTLGEVRGKGLLLTRFDKDKDGDES WQKGMGIHPYTWPDSRKEGFEWNCEGTAFRIQDWYRVHTFLEIPEKFDAITTHLIPTL EILPRQRTFTLSYLSASYFPLSLPTIIAKGFGWPSWGLGVEGINSRMFGWLLERLLKG EKVRACFAIDFYGQCAGNAGLAELLVQMNFNEEGS
I206_00843	MPLASNETETIFPSWQFDHWRAVDDRVRGGSSISHLDSIKLDQE YKVENQLYDDAEKGGKAAARFWGNLDIDTLGGAGFASQAYRYGPSPLKLPQLSYNGIA IHYQPDPKTKYTSSTPTNFTFVLKTTPTSNIPKHPKTPGPPREAQLTYEVSFPLFPNL NESNPGRKHVAIFEWKEFKATYRGKNVPEGDEKWVPLDPGLIYELSIMCRSDFGKQHG DFGVVINSIEAVRKAKRVGMWIRLCDFWKGVTTWVSGLFSSSENNIRLDDEDEKQRLI V
I206_00844	MLELYLTPKQGNVGGRYFPHTGYLGVTPVVVAGRVATRLPEVCE PLGVKSITLGIRCTETAGNGLSQVLWEKKKVLIEAPDDEDYLEMGDWDSMFKTTIPVD AIDQARSTMTLPEYKVVWRMEIVIEHRPIPYVGTSIAKAFALNLHSHRAPSVRPISPP SPYTLGSENFASNIAVSAQPGAFGPGDSFPVYVQVKPLEHTTTVKKASVLLERHLEIH DRRSTSPPTQHRLSTIFRLSNNPHQRIPESQEASLSRKDKIVEVSGSNIIIDKSGTSW CQMELPLPQRHGKWDLGETHSTKLVSISYQLKATVHLKSEKSRSSRSFSCSPIPLVIA AASTEDRAGAMKSIDSHQKKRHRSSRRGLYMHEGNIDVSDPYLGTEVPLYTSIKGIAT DVKPILLPSNYPAQSQNIQFVFPTLPPHESRPMAVASLLNPATTSSDSRPSTSTSPLL TPPPTRRGLEDGSSDLIRAFQSSGRRISHTTSEEDEVQPSRTKQKLRMDSEGDNRRAE FAALPSLDALSSGLPYVPEDDRPRSRPRTAPIHSTFSMIPPPLSGRLSVPTVNAFGAS TPIGFGQRPMTSMGRIGGTMPPPSSESTSENDNFAFVFNGTSPSKK
I206_00845	MFGRDSPARPSIREQIAAKRAEYANSPAARRVATSSSANSSSSP IPKKTFNKQEEEEDQLADKTVNGEIKKAIRSGKLDLCSLSLSEIPSEVYTTLLGIPEE ELSNPPPKKILSSDLPQGSKLSVDSTPPKGLSKDDERSLVFGSKVAKKNEWIEPEELT SFRIAENKLNKIEREIGMFGALERLDLSRNQLKDLPNGIADLLRLTSLDLSFNSFTSL PPAVLVLPALQVLDISHNAIQDLSFANPIGPSEDGLAYGAGFFTTSFERQAQLKMKRP IFPVLRSFNLGFNKLKIPGLKELGKTNLTAMRVLNLESNLLQGVLDFEEYGMDSNSMP ILVSLILCRNTNLRGTNGKVWEDAKVDLSGCNLLESNPQHSSSPSPNKSRKLTHEQPE QEKSETETEQNIPNPDLTLVYRTLPAETFDSEPLSVDFDIYLPPKEKFNKNGKGHALV IWFHGGGLLQGNKENLPPHFRRLPSINLTSTKGEEENIIVISPNYRLSPQTPILGILD DVNELLTYIRTKLNDRLKVELKIQENLMDLTRICLSGGSAGGYLALIAGLSVPKQLSD EEVGGYRGLIDKSGIKCLAPFYPITDLTDEFWATETDPVPWKGVSVPHADATPHLNPK SPSICTAISGGPRSILYPYMLQHGLFPSLLFRNQKSIGYGLDSFRPSPLSLSIPYRLN SSKTNGLIEHLPTYFVYGTIDDKVQPMNKTLNAFEALKGNFKIEKIENGDHAYDEDPQ VECEEFREWLVKTLL
I206_00846	MKRLTSDSSSNKSPNDTEISSCYSPSPESEETKPKIPSTPKKRG INDKDKNIKKELTPSSKKVKTEKLNDNNGIWDGEKRSLFIDEIISIGYKNANLIEIAQ KLGMNKRQLIDQLVPNKSNLRKKVIMAAKTM
I206_00847	MPRHPIAFSLPSPAPSLPTTPRPDPSPLQQAISNTLSSTDYDLV SLPLTNSLWQDRWERLCLRPVEDEDGLTPEQLEAKDKEREKVDLEADIWRRDGGLKRD EVNVSRLEESQAVITTAAEWLELDSPDEGIRFDSEIALRSEMAQALYLSLPVLVIPAP SLINRAYLPSYARAISNLLQMGGSSAFTQISIRIPISDPVELITQGPAPSQSQSQILL QQQQSQQGNSAVGNKHKRMSSLSTRPTSMHQTQLQQMINQQPSNSHNLRIASGASSTI STKSTAAITGDPSSTWEMWDCIRTLCNYHPRLSVTLDLTNPLPPSVGALARWTAEPVK YIWVPAGSFIPNAKGYPVLSKACQAFLRGMGKQNPTYVLHGTTTQKHTAGGPNAYLQY VRHITSTPNPANGLPPSADEFTSGYADYLQAPLQPLMDDLGSATYDIFERDPVKYRQY EEAITLALSDLPADQTHVVTVVGAGRGPLVACTLRAMTRANRKANVYAVEKNPNAFIT LQERKAIEWGDQVEIFFGDMRTVDVPEKCDIMVSELLGSFGDNELSPECLDGAMRVLK PTGISIPTSYTAHVAPISSSKLFYDVHQPSRPAGATETPYVVMMSQVNLISGDGGGVS GRCGEKVQQCWQFEHPRRDLILDASGAPLTNTHNTRSSRHVFHIPHAATLHGLAGYFE AHLYSNVGLSIHPDNAHRVSPDMFSWFPMYFPLKEALYLPSGSELEVNLWRLCDSRGR KIWYEWSVESYLSVSQNVPSSAGTLTPNGSRHVSTSGNGLGGQPSPLMDAPFSPGFSP NVSNQNGGVIGELNRIKIGQTSLHNPAGAHSWVGL
I206_00848	MLHETYPWSMYRGLWSKLPPAPKGDYLKVKIVVITGANSGVGLE ATKQLANASPEQLILAIRKIEQGEKFLKDLQKIYPNLKGKVISLDLSDIENIKKFVLN IKKESNRIDLLINNAGINPNFEDGPYITTKDGYERTFQVNVLSPFLTTILLLPLLKNS NDPKVLYSGSDTHNIAPSNRIQNAIENDKSIIKSYNDEKTYYNPTRY
I206_00849	MLTRTLIKTIPEITTINVNPGLSATNLGREFKFNISFKTIYLIS WFILNARNPKNAARNLTSAAAYKGGSQDYWTECVPFPSENIYLYSGKGFKAIEIFNKE MIEEVEKISPGSTAELI
I206_00850	MSLLRDTHPHQHHSILHSPSLASTSSSSTPITPLDSCKPRSSSS RNDLFTASWQPELPPPKPLAGSSSTVTGSSSSHKRPSTSGSLNSIFDLAGNDYLTGIH ANTLPSSPIRKRGELGLKMDLEGIDNNKFSSRRGSEIDEFGLTLNSKTSFPILNKNDI SPSPRTSSFFDTPSEIITPPPAPRLINSINAQTSGISSFAPSPLNLSRSSSINVRKST NQIPPPLPLQQQTEISPISATSSISVESSSRKRSTDSYADPLSPLHQSPQLPPSGSAG LEGWKPPLPPSSDDIELRLIPNSTYLLGEGRYARAYLASYKRKRRIGHGRYGNDLLSR SGSIRKQSQQQEMEMEVNEDGDGLIGGSWKLCAAKRLAPDRESQTMGLREAFFLHRLA KNSSKKPSPSTSTERYDNAKSRIRLRQRAVSPLRGNHETTLISGTSPDAQEEARSEKR RRPCGSVYVIKLIAVKEDIEGFPSLGNSNQAQTHARSSSDVLTGTESKSSTSMKVPGD LRRQRSSTIINAHATLPQSGDGGTLPSYPSLPSLAQSARHEQSQPSLSRLVLLLEHAP LGTLDRMLRTSPQLVGRGLWERWAREGAEALEWVHGKGVVHADVKPGNLLLTADLHIR LSDFGSSLLIHPDHPPTDGLGLGTLPFSPPELVDPNQSFSFPVDIFALGATLYQCLTG REPFRGIRTVEMMHHVRKGGLWAYEERERFQRVGNEDGVSTAGSPYPSAWRGYSSTSN NGAGYPSGSVGGNNGVKRTGSLRVPPSYSREHLVAVVDPSFPHGGHGHGVKPKLKRMT SAESIRASDEVSSSESPSGVKLYANWVKSGPIVTTPSGSSTTTNEGMNYDAITKLLSD EDDFGSDLISPTYGISRNNSLRKQQQQNQSNKTSPSPTTMSENSLNSGKSIPKAMIQL PTPTSPSSIILPENQNNDNIYYSNNKVLKESYNDGSPSMLFLDGAERVPEEIRNVIRK MLSPIAQDRLTAEQVRLIWDELDVGLNEDDEDNSV
I206_00851	MDRLPPSSPPPLPPHTDEDRCKLLGTTGLIVQAIMGVLVILSLV VKRQLEKRKRSWRIWVYDVGKQLAGQAVVHVLNVLISDVVAKVAHNNPCSLYFLNVLI DTTLGVGIIYFSLKSYTWYFSTYLAYDGFISGQYGHPPNPIFWCKQLAPYLMSIITMK ILVLLPLTLPGISRALITWSHNMLDFLGPRGQVIFVMAIFPLVMNVVQFCLVDQVIKA GKDEDEDGDHDGSGGGGDYRRVREFDDHDQDGQDLESGNRPISREIGRNILPPSSARK ESSASVVIPSSPLLTSNQRDYGSATPSPNGSPIKSKSHINEFGENAANKDNLWSKLIN KVSDISTSTRSSSTVFFDAQTEIESNASMSVDNRDRLYVRDNRRGNRSSAPSPETLPS DASPSTTISTSPFTELGNHDQGVMEGEISPTVNLDLEGRKRYIPDELEREARLTLSPP ASPTVDNLRNSTDSVGLKEVRGQINKDNRSE
I206_00852	MTSLRPIIRCSRLATSAEVSRQGVFSLSRGFATSLRLSEELQKT PLYDFHVQHQAKMVPFAGWSMPLSYGDIGQITAHKHVRASAGLFDVSHMLQHTFTGST AQEFLLSLCPSSLSTLKPFTSTLSVLLNEEGGIIDDTIITKHSNESFYVVTNAGRSKE DKEHILKKLDEWNKSNIGKEVKWETLDGFGLIALQGPKSSNVLQDLILDGTDLNNIKF GQSAFIELKDGDGKVKCHVARGGYTGEDGFEISIPPSNAVSITTSITNHPDVQLIGLG ARDSLRLEAGMCLYGHDLDESVSPVEAGLSWVIGKDRRIEGSEPTFPGKSRILSELSS GPSRRRVGFEIIGSPAREGCKIFDSTGSSQIGVITSGIPSPSTGKNIAMGYISNGYHK KNTSVLIEVRKKMREAFVASMPFVPSKYFK
I206_00853	MIVIHHPFIRSTRVSYFALASFLSITLLRSFGSTLFIPFVPDQA SQSLSETRMPMSIQVPRPSSFWSNLPFAYHASTSSASLVSTPLTTAVQPDPRLIQQAL ATLSALVLPESPTVVTATTNTAPSAGDTTTDPGHTKPCCALSIRNKHVALSVPVSHQE SHPSIARKLRHKLLRINKTDNTELKTITASVADPAHNCTCSLSTIAKSQALELIGKTF APLAIYSSHIASFFSPVLARLESELGAIHQNVVRTIHTSVNMTRTSITTAARGAQIVK SSFSHFFSSHTPSASEEIARASAMFDTLSEYVEGHLEALEEQAEAMQEKSMESIYQAK RGLNRLMRDLKTLRGIDDKKISTDVEKDGPLPFSHMQEKSSSKRYVQRLKGRIGSDGK GDTKRRRRDHSSRERRKERKLERGLKKDGEVLHPIPNMEKPSRGKRILDQIHHCLHSE KGAMALVL
I206_00854	MTQVKPKSSSQTPSSLSMQQSTSTMTASPPLSPTSSLPPASDDD LPSDLSDSWLEIEERSSVGPSVLGDIVFSDTSSDSHGAEHEIRSQWSASSDDGRDADI EEGGAIVLEPQHDHSDNSSSFLNDYTDAEASTHKLGSSVDTLHTSSDPIGLIFPAGES FTTSSSGTLSGRFTPSASFSALKPMTQPDQPSRSRAGTTAEPALSSITALGRMSPRRS SSPVRRGVDDSWLKSSRLWTLPREEKSGNRGQDGGQYQLLPSDDDIRDPKEQPEIEDA AERLQMPNMDVGRQIQENEDEVQDRVQTFLGDVTVQDEKLEVKGGVLQEMEDQQMLAS DEMEGLRTFAKKW
I206_00855	MLLKRLSHALPTELASLIPELEASGIKTTESLIFTQPSIILNNV PILSIAQLDYFISECLQLTTQPSISGDDVQDDQEVWAGFGVRSLDDLFEGWNGFGVVE IAGPRKVGKSLLAMHAALNLLRLDEEAICTWIDTEASFSPERAKQILESMGVDEPNQV LKRLMIITSFKVEDTFEAISQLKADRLSANSAQGHADMVNLMDEIAEITLAHRMLTLI INATVSCEPTNLQSSFNKMEIKPALGPAFTFTTDITLLMQETGVIFGLLDHQEKNRKF GGPGLRALVEVIRSKITPTGSWAVYETDGIKLYDVLPPHQVDERSTRISAGLPTGPYR PIIGSLAQTLIP
I206_00856	MSRKAYNDTYDNQGMEDIELGDSTSKKRETDSNSPDRSTDYFNY HPPFLLVISCFIIWIFLLLVCFTQPNNGFTAIFQDGEDYVGVLRKCSQTTCDAWISNS SSKSSSTSSSSESSNSNIKRAVTTSDLSNFYLTTGLACLASFWLITYILIFIIIRYLS SNLPIIKEKNQNKKNELSKFKKIWKSFKNPIKRISFKLSRIFLFFLSWLLLGISFDVT IKVFNVTGINGIRIGVFVLHICWILLFFIFFIEISRGSLRRKLDMTFWGLQFRFKHRN RALNKWKDYDTARKSRSNSSIGEKNQAKKQKRIERREEE
I206_00857	MSSTQPFKTDSILPTSLPSATLGKKKNFRSNNIIQEELKNDNLE TEELINKILEIEHEKWNEKIDKEIKSIIEGLNDLIELSNIGTSPSSLISSTLPIHLPL KTSSLINSTQNLRDLSHELKLLLLLGDEEELIKNRDLEINLIRKDILIKRKELSNEFE GLLTSNNIIQTDNSKENSKDNIQNNELINDQNSNVIPNVSDQDDNTKTKTNDSLLQDN TTPVSVDNITDPAQLPKGENEVNLNPIPSSEITTNQSQLEQSSESMEIDENEKVDQEE EDEDLFEEVS
I206_00858	MSQITSAIPSTSSSSSRHHQIMSTATTVPLKVPAPISNNSNPNA NAYASGSISRKVGGKELRSSRRRGGSTGPPVILGGGVGAIGKAAELGVQNLDPYSTLY NKPAVAQKSRSTSPAPNIPLQQPTVAYPSPPKSPIFASPTSTLQSGSATSEKSGSGSG SASRHSNSSRSRQSRSPGPNPSVPSASASTSTNSLSTTASTSSRTLKTPHSTKTEHNP IIASASGSTLDHTSSPSVPLAVQRAKSIQQQNHSPTPVRTQVPPMAQGPLPPTPLIDE NKYRGSTEPLNIPPNRGRTPPPLEVKENRLSQNWIHIETPDRDKDGSPTEPVIPARNN ARLPVAKMIPVKKLSSDLAPTDRPLLERNQSAPPLSPTPQPQKGARKSLDQLRTTSPS PASRSRQASLSTPPTSILDAAIPNSSHSLWRNGSPKSEKPPMFTRRSEDLLRTTNETA PTKKEIRAMQQRTLGVASTAGLAPPADLEKKAAGLSLKKSSGALKALFQRGASGKGKE KEKSEITSVTRRRPSGDELRRPSRDESRRKSGDELRRPSGEQRGRPSLGFRPSLSPVQ NLSGEASKTQGRASFSADRTMYPTPPPIIRAASTDASPLLNVAGPSKPHTVSQDTVQP KTATQFAQLRTVSPASAPSEQSPETPSSDIIPSSSLPYLSAMANRVSLAPPAVIAPAP KMEEISSVGKLPESSGSTPRASDATPTEISPIKYSKSLHLLSLPDLDLDFDLGFDKFN ARAFHIGESPSTPRRSPRSPRSPYRRAKASSRESPARSYSTRSPRATPIPPTLQRTAS ERRRSQSFDGPTDSIPMLDDFWKSPSDMGTSVNSTATTGYISPSVAKFFAAASSSSVP MLSKPQVSPKKLVSLAPEFDEVRSRSRSRSNSSRISSSDHIRTPSNASSTNETPSPSP PQTPPERHLEGLGFGDISPEGTVIAETPSPEPAQIKEETVIIKKPAELSIAPNIPLPA TPVALASPALIESPKAVEHEGTVVEEKQELPKPRKRDMSLMSRSLIVNPDPSLTIRAL GKEVERLLYAFRYPSQGVTSADRATMLRNDLLNVMLEVDRRAYDSAEEPAYIMLRAAC FEWCDALLFELRVEQPANERGACLEGLAAVIESACLSEQALKQSPAHQAKFTQMMIKC MTFVMSKLGAKGVFHNTLLFSGRFLAFAFFRIPHVGEQLVTVLQPPKGALMRFTKSIM MGVPQCPVKPEYPRHLLPLCFDNSRAYTARLASLSAEFPTEEERDAFLFQPGNWLRRW QSDDSELFPAFYRAYHRQLAIYLGPVVGYYESLNRPLPASELMRAPGYAHLATIFAKK CHSYILGSVNAVTTSSSSTNFEATETAGFRGSQKPPVLETANRRLVETISTFANLKVM IPDYQKRRMIECDGTQLWTDMIDIWTKNLISKTSLYAPKGVFSLFDLLDGIVDPPYET TSFSISELGHAQQLESQPIHSLLDIPHLIYVVRLILTQGEHALTLVKAIAFVFTHWEV LTARSEDRRELCLGLLLQKDLFERLLLFWSQSVRSYVLRLVVFRLGHIHTKKEDGSAH LVEIESVKLLQTRLNRIKRRHDELEPKSYGLEDDNTKEEPIPLTPVSENGFGSIGMPR SKSTITMVADSPKYAPPVNKAEKLLGLGLGIENQDRKSSETDELPGSAGKIGKATNWF KKSFGNKKKRKDNSSNSPSPSNTPVLGEDSSSTPEAFGGSPNSNKASPKMMIPEIHTE DASPNLKTIDCPTESTGNERKGKPATIITNSPYGKSQTSLNSPKNGNFSFEFELPTTS PRSDTFDPTPQLPSSPSKPLTGDRRLSQGSQIQTPVSPNKREPPSPHMSKSFSKRSSL LPPKTASALEGLIGEEDKEKLRSIESHSSYSIKQEEDKGYDKKLHAYAIRMLAELEDA QKEYDEWWSEGGVGKVDGAPPRLTVAWPFHEGEE
I206_00859	MASEDEALGSVASFGLSSVLAGAGIDLSSLGSFLGEGGGSSSKQ IAEIEAEDNDEDKYMSDPFSDGDVEENAEDRKREEEARKREEERWIRKGMELANNLSI GDKGKSKMVDNVKSKKEKELDQVKKIWPGFEPGKRLRMSEVFYETPQDRRTLAIQKRK KRKLQNRKEMSFTIDPQTISNLPASFLLPSLPHLNHTSLGEPNYKAPIGSFFDKAWIK EARAKRRKEMMKPPAGVTTDNREDGIDHFVEWQDDLSGHALELEEWEKRIVMCSIDQP ATKSFEPLAPRNGILDSGDWLNDVIWDARRLSPDLIDSEEEDEPLEDKAKKAVTQGGA TQPAIAKLDPFNLSNDHLYEHSREARYRIRQTFGAIEVFHSHPARQLQLPFYKTTFTK SEARSWHRPALQFPIGVTFSFSRLKSNPSAAVSSKKKQIVADPSERFKTTKDLTLAEK GPYVLLEFSEEYPPIMSNYGMGTTIVNYYRKKDEKDENVPKLDFGQPSILNPGDAEPF MLGYVDRGHVTQVIHNNLIRAPIFRHTPETTDFLVIRQTINGHSTFHLRAISNLFTVG QTVPNESEVHAPHARKNTNTSKMRLMIIAWLLIKKSKQKRFKMAKLLKYFPDQTELQM RQRLKEFLTFARGPGPNQGYWMLNPEYSFPSERKDVLELCSPEAAALFEAMQVGARHL HDSGYHKTAEGGQEDEDDDTGLDIEQQLAVWSTTHNYKLAEAQKAWLIVHGEGDPTGR GEGFSFLRTNMKNYFLRKGETEQGRRLEAEAKAGGAVVKISNAEQNRIYEEEKRKVWD LQWNSLSNPTPPEIEDEDGDQFGRLNVSTPAGLGPRFNRADSRRAFSRGNSMAHTPMY ADSPREMSPAMSLDGESAYTGANPTAGKVLRIKRKIKGKEQVEIVRDPAVIASYLRRL EDKKLEYYMDNYDKLGPTGNTEDDEIRKAALRKKIEQYKLNQQRRMMRRKYQSKTLDT EIDGIDMEGGKRKCGACGQIGHTKANKNCPMFNPSSIAPSPSMSTGGTPSAFGPYTPV DTIDSVPTPSTSFKIKLGLGQR
I206_00860	MRGQTNMVLDDVRAISQPLALPNGVVVRNRLVKAAMAEGIGLGG GTPRKGHSNLYNEWAKGGWGIIISGNVQIDSRHLASPHDLTLPSHSSTLKAYTKLAST VHETATSPLLVMQISHPGLQSSSTINLSRWPWEAAIAPCRDRPTVSDGPIGWIWSRAV WPVKSRQIVKSDEWLEIVKKFIDGAVLAEKAGWDGVQVHSAHGYLLAEYLSPLTNPRP KPLPNVPDYIPIRLHLLYLILKGIQDNTSKSFIKAVKINCSDFVQGGIDEKQATQIVK TLISWSILDIIEISGGTYSSPAFASPESIKSPSSTSSRSTRQSLFAHFTSTLLPFIPA SPNGPAILLTGGLHDRNLIVSSLKNKACDLVGIGRPACLYPHLPSKIILNENISDEQA RFGGYNIPGSKLMKFILGGSDTKNSIKLVGAGISTMWHEWQLCRIGRGVEPDLNMHWL KGLIVEELWYEVILGLPVKLWRSWT
I206_00861	MKRSPSTSPESETIIITKKNTMESYQFVPYELPHKQNNIKDFAV KFETNKTPPKKRVKNKESSPISPTNGEWTADKRKQFMDEIIALGYKAANLDQIAQRLD LNKRQLINQLTTIKGNFRSKAVAAVKKG
I206_00862	MKRSYTSSPESSSPNTSSTNDKPTQKELNEIKPKLPSTPIKNKK IKQSNSISTPKKNNNQGIITPNSSPWTPDKKEKFLEKIFTLGLKACNNDEICQEFDLT KVQFNNATQAGKKGNLRDKACKGIRGD
I206_00863	MPSTDTTGTSSALSRAISPFNPGRSSGESTTTTSTAGGTIVPSS REIGAQRLLRDIDDAAWTAGVGEVAPSHRYIFEGE
I206_00864	MYRARSFMPGRRALVLSTATVAIGGAYLLSRPAYADSQPIPPYK KRPGPLWSPPSRAQMLEHLRTSGIYVHRTAEGGPEPGAVLKKDEAESEGDDVFDLLIV GGGATGAGTALDAASRGLKVACVERDDFASGTSSKSTKLVHGGVRYLQKAIFELDYEQ WKLVKEALKERRVFLETAPHLSSMLPILLPIYTWWQLPYYYAGCKLYDILAGKENMES AYWMGKGKAVEAFPMIKSEGLVGGVVYYDGQHNDSRMNISLVMTAVQHGAVMANHVEV TALHKRPDPSRGGEERIYGATLKDRMTGEEWKVRCRGVINATGPFSDGVRKLDEPTVK EIVAPSAGVHITLPNYYGPKTMGLLDPATSDGRVIFFLPWQGNVIAGTTDSPTTVSQN PIPAEKEIQWILDEVRNYLSPDVKVRRGDVLSAWSGIRPLVMDPAAKNTQSLVRNHMI NISKGGLLTIAGGKWTTYRAMAEETVDAAIKEYGLRPNGPSTTEHIKLVGGHAWTKTM YIKLIQQFGLETEVAKHLSESYGDRAWTVASMAATTGETWPLHGVRFSPLYPYIEAEA RYAVRSEYALTAVDFIARRTRLSFLNVQVTLESLPRVIDIMGEELGWDRAKKESEFDN AVEFLKSMGLPEQATKLKLADVAKNHGNIGTLGLANSEDAKLYARAQFTPDEVSNLKN QFELFDFDHDQHITKDDLIHAMTNMGYDASTELADSILREVDFGRKGFLNFQDYLDIA AGLKELQLESAFTHLAQLDTSRKIGETGRIGSHADDDSSQRESRRKIPVERSGGGT
I206_00865	MNDSTLKSSQYGGLGGSTSSRPPANTTLSSLLAQANSLNEVEYD SELPQIRFGIDDIERMSETVAGRGKKGKNERGEGFGLLSNLGVNTSQLTHNISQLPNA AETSTRPRRRRQQPQHRIEPLGDIGPSYGIGDGDIGAWGRNWHEMVILGGIEVQRQRT IKSFQKQFQQRIIQNWEIEKARLLQDELGVTDDEIAGLVGSSDGGLAASALGKSALGA STRRFPMAQSQLGKSTSESREGGLVMHTKMVRYERVIGDLNQRRLRKEPFELCQALEE TVKGDSRHPLLPASYHILAYLTYEPSLRESADYASTSTETFAPGEPVQERQYASAYLG DQKSNYATLLRGRLVMGGKRFLERDFERHVEEVIAKNPKEAALGGVPGIRNKIRAFVD VTLRSKESRDAYRAESVNGSLLWAQTYYLVRCGYTDEALNLIAENQQHISRDDWSFPG AFKSALSSTERRLSKTQRDQLYNDFNSHIRNNPNVDQFKYALYKLIGRFELNKKSVKV ASTTEDWMWLQLSLVRENFNKDIDLPQDQYDLVDLGKLLLKFGNDKFDSNGTKPLSWF NLLLFTAQFEKAIAYLYSKPQLKTDAVHFAVALSYYGLLRVPSKGEEADLLITDDADV SYLNFARLIKQYISPFFRLEPQTALQYAYLVSLASDSPNPAIGQKQKQLALELVRDIV LSSKSWSKLLGSVRADGTKETGLIEKDLKLLKLNDEADYLKNVVLSAAEQSLLDSSLI DSIELYHLAGNYNKVIESVNKTLGQSLSSTSRNDGGNHSNSLEFSQDNLQSVGLSGAF GGTNDIYQLSQKVYNVYEKDFTKRTKVSKINWETLEILLKLKLGLKQFNEENRPDLAL ETFKSTKLLPLLDNDNNDLNSSNSILKYSENFKFFLDSNSSNNNKLNLNLDEIILTTM KCLNLLSKNLKNSQYGDHSRIIQLNLLKFQSQCLIQFSSLLRLRLGSDVYRQLSSMSK FFYFFQ
I206_00866	MERESNYRLSLPPNSSHALPSSITPYLNPELSRFPHPLRSKRET ANCTALIQGQERFRTITTAYYRGAMGILLVYDVTDEKSFNNIRTWHANIEQHASPGVN KILIGNKCDWDEKRVVTIEQGRALADEFGLRFLETSAKANEGVEEAFFTLARDIKTRL IDSQPQEAAPVSLGADRRGVDVNKQSNTSSGGCCS
I206_00867	MSESNQNQNQASTSTSSAPPSTSSNAQNDTSTDGGNTPKDRHEP RGRKPNDKLPPSRAREVQRAFRLRRAEHLATLEERILHLEQENGSLRALLNLPIADKG KIGSGPTGRGKSLKEGGVPMSERVRARKEARARERIALGLPMVESSENETDDAMNSSR DFRDSETLSPRASLPPPPPIIPLTSNNNSSTQLHQQNQNQNHHIQQPLFGNQQNNNQN NNNNNNNNSGNGGNLSPQPFNYQLPMPFNLPVSPDPQFPDFANSLNTSDLYKSSGSGS TPNFGGMFSMFDTPNDQNENINNNTNSSSTNKNSNLSPISPPQINQNHQHQHQHQHHQ QQQQQQQHQPNNQLDLLTRLKSCCHVSDSHVVNDPGLLVFATRLCQQFGCSFNGTHSD PNPRSDNENLTLEDSWRSLKLTLDPGGDADGENRINTGKMAAELVIRAANSRSGGNNQ NNSSWIMCRFREGLSIKKSMIQALVTGLGGVLD
I206_00868	MLIVGLTGGIASGKSTVSKIFNEKYNIPIIDADLISRQVIEPDT KGYKLILKHFGKFKILNNDSKKTINRIKLGEIIFNNNEKRNLLNSLLHPLIKKEIFKK VLFYWLIKGEWCIILDIPLLIESGIWKFVGEIIIVYVNEKLQLSRLLSRNSLTLSEEQ AKSRINSQMSLKLKLNYSTFIIDNSGSINDLNLQIEKFILRLKKSQGFGFKNSFINGW WYKLCWILPPIGLISGLLVLFKRWLKYSSFFSNKSKKQRRRGRGEIDNSYNQENIELR EINQNSNRRRTESSISGNSILD
I206_00869	MSSRDSVNLESLSIHLLNGLGPSAFNLNPPPSCPIILDISIGLI ENSIKLTSKEDSMNGLGVNYSLISKEIYKLISSPLKKFKEPFELIKIISKIILNLNLN DLNKIEIKLKLPKALLHCDLIIYQSIFLKQKQENENENIDEQKERKCEINNLKTECII GLHPHERLEKQRIELDIKIIKINWNEWNHKDFADEVYNFVNQSSYGTIESLIHDLGSH LFKLPILKENNDSEISITIRKPSAIPFAVPSITIQRSKADYPSSSASGSRNIKGKKQV FVAVGSNIGDRVGNINRAIKQLEANGCQLGQTSRLYESEPMYVEDQDRFINGVIELYT TLQPLELLRLLKRTEKSVGRTKTFTNGPRVIDLDLIFYGEEQVMIGERGDEPDEDGVG WLECPHRSLGEREFVLRPLADIAPDLIHPSTRQTINQLLSRLPKTTPPPLQPIIPFSG SSRPLRLPKPAIPYVMAIFNATPDSFSDGDPARTDVDYAIKAVEKLFEGDDEDNLPDI LDIGGMSTRPNSEPCSEEEEIKRVIPLIQAIRKSSNGKLKAIPISIDTYRPNVAKLAV EAGASCVNDVKGGSEPGMMEIMAKLNVPVILMHSRGDSKTMNSNELTDYSKYGGVIEG VKKELENIIEIALFKKGLKKWNIILDPGLGFSKKQNDNLKLIKNLSKLINNNSNLNDY PWLIGASRKGFIGKIINQNIALNRSFGDSALNSFAVNTGLVNILRVHQIRETKDTIKM SVAIRDA
I206_00870	MAPQHKHLLNNPATLVVDSLKGLVNNNPNVKFDEAQRVIYTPSN GSRVSLLSGGGSGHEPAHAGFVGKGLLDAAICGNIFASPNVAQVKKGLDLITNEKGSL IIVMNYTGDALHFGLAAEQYKASGKPGDVRVLLVQDDVAVSREQGTIVGRRGLAGTIL VYKIASALSDSGADLDSVENVAKYVTSRLGTIGVGLDHCHVPGTQPGDAHLKESQVEL GMGIHNENGTHKLELPTISELVDTMLFKIINTNDPERSYVPFKNDGSDEVVLLVNNLG AISELEIGGITGEAIKWLQKKNIKVKRVLAGTYMTSLNMPGFSLTLLLLPSKSESNSP YSSEQILKYLDAPASAPGWAWTSGKEPGIIGEKVDEVITEKKAKEVDLAPTDKKEFIL AIQRACKALIAAEPELTEQDQIAGDGDAGLTLESGANAILKQISNNKLKGENIIEDIG IIAETVEEDMGGTSGALYSIFFAGLQKALRDSAIQGNKQTTSEVWSIAAESALNTLYK YTRARPPSRTLVDPLEAFITSLPKKGLNGAAEDAKQAAEKTKELVAKAGRGAYVNQED LKNREVPDPGAWGIWRIVDGLRGFEA
I206_00871	MSRPLFTSFRRPLVSTSRSSVHFRPVPSIRHASSTPSAPRRFIS STLFIATGFFLAEYYYDSRSLLHEHVVMPLVRLGLDPEQGHKLAIRLLSMDKWARPRD KGVDDASLQTELFGQRISNPIGIAAGFDKDAVAIDGLFDLGFGYVEVGSVTPEPQPGN PKPRFFRLEEDSAAINRYGFNSLGHGHTLAQLRSRLVSFAKSHPSLFPSPLPLNPLPP ADIPRSLRPGQLLAVNLGKNKTSPADSNEDYIKGVKLLGPYADVIVINVSSPNTPGLR ALQGREILKGLLSDVVKTRNDLKNQNGLPKIAVKVASDLSEDELADVAYAVRSSGVEG VIVSNTTIRRKELGLTSSYQDEVGGLSGKPLFPYALESVKTLRPLLPPSIPLIGCGGV STGEDALEMARAGASLVQVYTSFGYRGVGTARLIKDEITSSLSQSQSKWTGEIGKSWG NSNMGWDENRLKNDAEKLKNEAKNLGDLLNKINEKEDLQSLIAQAELAIGKPKDASSA KTTSESEKDGARDNLAGSTSTPQSTVKGDDVASGLLESGIANTEQIRNTQDRAIENTS TPSSPTAQEDVGASSIQEALLTTPIPIDLTPQIVVDQPVVTEVTVDERENQWTQSVRS GQRRLV
I206_00872	MTTLQETIIPTKRVRRITVLISGSGSNLQALLDSTSTSRLPNCQ ITYVISSRSNAYGLIRAKNNKPFSIPTKICSLKTFQNKNKNSTREDYDCEISRLILNS KPDLVVLAGWMHILSDKFLQILNGQIKPPLSPTLPPPPSSSTTSTEKEIQLPDLNDLK ISDNDKIEKTSSSSSFKGEQVKITSNEEKEESNFPEPPLHQSFPIPIINLHPALPGQF DGANAIGRAFEAFQKGEIKNTGVMVHRVVAEVDRGEPLVVREIEIKKEDKLEDLEARI HEVEHQIIVEGAKLVLEELDKEGRP
I206_00873	MSTKDWSPSSWREKPIAQDVIYQDKAHLEKVLTKLRRLPPLVSP VEIDRLRSQLADVATGKAFLLQGGDCAELFDDCSSDPIEHKLSLILLMSLIILHGSRL PVVRIARIAGQYAKPRSKPTEMVKVEKKEILSFRGDNVNGYDKADRNPDPERLLGAYF HSTATLNYIRTLLLSGFANLHKPLDWSFSHVRSPEIHKAFSAVIESLQDSLDFMKVAT GAVGGGERGGMETVDLFTSHEALLLEYEEALTRSDQGSTARSFSPPSSKTSTPAVSRS VSQIRVGTTSETYPHSPVRPPKSPKLTESIQNSFSDLRLENHAKKAEKWYNTSAHFIW IGDRTRQLDGAHVEYFRGIANPIGIKTGPSMKPEEIVRILNIVNPDRIPGKVTLIGRY GADKVDQFLPAHIDAVKATDHVVVWQCDAMHGNTKSSTTDPSLKTRHFVDVITEITKS MAIHREKGTILGGVHLELTGEVNEEGYSVTECIGGSMELEDKDLSFNYRTHCDPRLNF EQGLDVAFLLADHLRSKRKGEEPKDILLSSLRGRTGQPHK
I206_00874	MIRLPSSIQRLIILMVLAAPLICSIVEAAAADKTTDKLQARRPQ PSRRMGAQKRNKKVEPLKKKDYSSFLCPGGSIACPISEEVTSESINKLENSLNSLADW FKVGFECVELDTELNSCGGCLALGSGQDCSLISNARATGCESGTCQVYSCFDGYVVSP DRQTCVKKGTTTPATPITAIGLEDDDQVVLQ
I206_00875	MTWEIKAGVMGKTSRMAAEYILSHFPKVKEDMTVDEFLKEGDDK REELFRKVQPMRGAAALVKGLYDAGIPIALATGSTYENFQYKTTHLPHIFSLFPSNCI ITGDSPQVKPGRGKPKPDVFLAAANSLGRDVGTADECTENQKIERTKGVVFEDARPGV IAGVEAGMNVIWVPDSELLALDPNSNFGAKQVLAHLEEWNPQEWGLPPLQGFNHYPPG SEQ
I206_00876	MVLERSRKALIIGAGPVGALTALSLHRRGWEVELWESRDDPRGK DTAPSNLRSINLAISARGLEALRSVDPSLAEQFQNEAIPMKGRMIHHVNGKQESQIYD PINGQCINSIGRPLLNQRLVESLPDAIKIRFQTKLSRVDLNNKIAFAQNAKGEDKEDE SGTPFDLIIGCDGSWSKVRTSIMRMERMDFSQSFIPHAYIELHMPADPSKPGGYAIDK NHLHIWPRHSFMLIGLPNKDGSFTLTLFIPFSSLSTLTTREAAGRFFVEHFPSAVQII GEKRLLDDFENNPRGNLVTINCTPSAWSSHALLLGDASHSMVPFYGQGLNCGLEDVRV LSSILEKHKIKSTTSLRMGETDKDLELALKAYSVERQEDLKAICELALQNYTEMRSHV LSPLHHIRRFIDSALTQLIPSRPSSLHLSLTDAFPTKRVKGWTSLYEMVTFRPDVPYS EALRKEKAQKEIMAWTGYISGIVGIGAIGFAGLRLTRKWLERH
I206_00877	MSGQSSLSYPEMNCSKGTIDGLQKFVNGIQDSSQNTILVKGQKY SGSAVCSKSYSDMYIFAYSTFLTGSDARVPETTIMGCQPGDIFVHRNIANLYSPQDDS LNAVMMIALQNFKVKHIVVTGHTNCVGCQTALNVSLLPTTKAITPLQKYVEPLATLSK TLFIDNRPPSLDLLVEENVLQQVKNLSECDLVQNDWKRRGTYGVRVHGWVYHLEDGTI RDLNCAIGPPGHVPGKRLVDTYF
I206_00878	MNHPLPARPSFVPSSSSSLPIAGPSKVTTLNLSITPKSPVNNTK CTICSIPPKYTCPRCSKRTCSLECSKKHKIKDECNGIRDPTAFVPLNQYSQGAWSDDY KWLEEGRRKVTNWGEDVKIDEIQKSKSNPNLNNQKLIKNKIRPAIKKAWKLKLELNKL GCDVDFLPSGMEKKKLNQSNWNAKSHQVYITLHINIPSALLEKKPASCNVKTIVHPRV LFSTSDTAKSNPSPTLLSLTNIPLTSTKVVHVLPFYSTPTRPAPEHTQGQKLFYPPLD SSKPLPEVLKGTSWVEFPVIEVLDRIEWDEGLKNGVIVIVPLSEPVIAGRNVARTRDN GWGKRKVDSIDNGQNDDTSTKVKEVDTKKPKVNIEGLMALGDYDSESEDEAGEIGESG EEIEDQVPLGEDVDAMGQAEEDNEIGDEPSVEVLQAVGMALAADLGEA
I206_00879	MTTPIRQVLRPVLPPHISVSSTPVHRASPAPPRGIPFFRDPAHT IPTKWNLYRPLLRILSSSSTFSSIHREIKIRWRETKGLTSVPRVKLFLNDYYELLEYL KSDKPKHKEEINKLEIRLKEKHSKIDLKEIEKMKIIRNVKEEKPKMTGSYHRPTLFNI PLPRFKPQPISIGSTIHNRLRSREKRMLKRKEYKSLLIDMKLEIGFWKSLQTTSTSSN EISNSIDLDWIKSKDPRFPGGWDGLIKEEIKIMDQRFIKENLRSEMKFDDALLDRIQR AKEKKTKWWKGIKESKKDDQSVSIAG
I206_00880	MRSVALLSLFLGVGTATASPLKRWVYSKYFDLQGHRGGRGEAIE NTLPAFAWGLIDGVTSLEMDLGLTKDGHLIVWHDESIDPTKCIDTKAVIENDPMFPYV GKYVANLTLAQVKTLDCGSLRLDGFPLQEVYAGTKVSTLSEMFDFVSCATDEEVLFNI ETKIDGDQRNLTRSVEDFVKAIGDIYSQYNIWDRVTHQSFEWQAIKLSKELYPQLRTS ALCDDSTSMSSFTGPSNWLAGIDIDTFPGNTVGERVARAAASIKADFLSPVYASKVGA DDPNEKGWIAFTNQTMVDTAHSLGLQVKPWTANRKSIHEYLLSIGVDGIITDYPHELR RLLEHKGTYPLAPKGDVDRIMGCLAKHNQYTNKKGDGKGY
I206_00881	MPSPPTSRVSNSDSIFDEEEGMGLKLARLNEEMMIIQDEDGARM SISRNDYEKYYNTINQIDESQHMMKYNKSVSSLSTLHTLTNSFSNIGREVDENETDGD TSSVPDMMSDDEDFISITTDSKSTTPAQELGNDPMEYRIQDLDNFAVPKTTTEDEIQH ESERGDLQEAIEEMNACLGPLSHQDIRFTPLDIESIDSLEHPRGTPSWYESASNLDTG GGHGMLVSADETKVVKETHQEEKIFYMRNECNDSVFEGLTAHIPAYYGSFNKFLPIES NSNPCSTSQNSQFPEAAMSKKIFARPGLKRFNTADTHNDSIILENLKYGYKPKTISEF DLKLGRDMIDPYAPDSTPYKIDRMNQQVQSSTSSSHALRLVWANTAIKNLETGEYTQI KTDKTYGKTLNRGLDDNERMNHDDLDDAFIRLFPSPRDTIVPRGKASRFDTSSMPSEY DIPNNAVERYYQKIKDTIATQTNERNITNLLTPRTLTEELTRNEKIGKTQAESRLG
I206_00882	MRDLSRLNKQVDQIGDTIRTIKEIEFSLNNMRSALSRIIWKFTG SSVYVTHGELEIQPDDCGFNQDQFDKYRKSFKNGSTNTYYRQYIDRKIDDTISSLPNF TYFSPFYYGSHRSKVKLIDFARSYRPQEEIGPDTGFLEGMDNTMELLTRRMRNLNKER SSIQSEISEMSKAIMARSQFANPFTKAFKLSILSVE
I206_00883	MFRTRILNQISNIASSSSSSLPSSSSVPTHHLITLIRSPIGLPK SSRKTLEALGLHRLRERALHPYGETTAGRILRVKELVHVSNVTEEEGRILVKRRRSEG SGLEPSGRVYGGGKGLISQI
I206_00884	MSSLARPAAGTARNALKRSGQRYPDNVKIRQSSPPHLLTLADLS PAQINTLIQNALTFKYVFKNLSPRALIPSLDNRTIALLFSKRSTRTRVASETSAQALG GHPMFLGRDDIQLGVNETLEDTARVVGSMVDGIMARVGGHHEVETLAKYSPVPIINAL SDLYHPTQILADIMALHEHYAPTPTPPPPAEGEGSHTSVLKYFQSTVDPIKTLKGKKV AWVGDSNNISNELLVTLPRLGMEFSLAAPKGYDKVDERVWNKVTEAGTESLVTLTNSP SEALANADVVVTDTWISMGQEQEKADRIKAFEGYQITNKMVTDAGAKEDWRFLHCLPR KQEEVDDEVFYGPRSLVFPEAENRKWTIMACFE
I206_00885	MVKARKSSQCNISGQPGPSSTGSRGSSSATTYTTIDIEENEPHN QTKVSTLYQFLTYIFVANMVNEQLTSDEKKALSVLHQLCAFEQIFMEFFSKLDGLDIV PVRGSLVLSYDRSELFINPENGRAARRTDDATALERIIKDITQSQLDAKDNVVPRSYL LRIQDNFLAALRNPNLRLGESDIMKGQIGLFVKPKENLSRIEVLQKRNRPLNLEGIRF QLFSFPEKVTRPQDHGFLDDLSFDYKHRRVGEYNSKNYVLIGLGVARVINHHCTRSNV EWPFAPNALKFKDGHKEIGVMTSGLLLKERRSLEAGSEILAYYGDEFARLDCICDSPA YHGSHNHRKFATFAKPTPPPIYNRQDPYANLDSASRADSIDLDGIQMEGPSSTNRKPI DALQEFFLDLENDEKDNTPKRSKRKKRKSRDNKSNYIVQDRDDDNEVEYLETRPLTET RRASPKRKRRVKSDDIVEDTEEEEIQDVISLNGSTRANPTQDEDILIPDSSIPVEQSR HRNKNAQIIDRVNELDEEIEKQLDALRDREKQFDKLIEHLIDLKEGVKRDGTVLRELR QKNRKLVNEIRRDEKGKARESVAAIQGDLKGIQTSSSAQAMMKGSNSTCSSPAMRSTY VEKIDNHIVEQVKHEIGSLSGTEKAKDLNLLNGLLERVTDAQRSDPENVIPEQLLRTI ETHFKHAALNPDIELRACPRLNGQVGLFRKNASKSPPRMIPNPNLGKNKKDKRETIMD TAIDLSGLGFIIVLLPTKIESIDDADEYGFNTSLAFERAENGRTWIMMGLGFGRSVNH ACRANIDWEIDDESVRLLIEEANGGVATHQYEFMQKSGIKPGEEVLAYYSDYFAKHFC LCPWGSEHDQTSGSSEHDISPDYVPSGKKKKKKYSKKTVKRVDTSGSISADEEKEEYT IETRQSLREKAKRPAIKSIHDMRKEKKRRRLFEEIASMGESDHYDATLSWLQNTSNSL LETPSPTQPSSPFGASTQRLVASYGDNGASDSTLTNSLAATNAIETLPWGFTDADPDD SMLNAAYPSLCPENPQLALSLNPSQNRSVKECSQSTEQFFTNPKAIKNTRDGRERYKR KVKALNEDKDQSSQDSGYRCLPISRAIPYDVAFQCEGSKNIASKRPWKTDHMQSIGKE TVSINVL
I206_00886	MAAPTNTVTIPPKQQVPSSSPYERFIPVGPPRTTISNPPATAEG QPTVTAWKAPSCNDPIKVLHQLCAFEQIFHDLISIRDKVYRSPIRATLKYQLDHQKIV VQPNGGFCPSLIDTPAKLANYFRIITDSQAKRHDNIFPVEWLKLIQYSFLSFISCTSI RLGESKEFDTAQIGLFRSESGRSAEVVTKQEIKRIKFVAFALPDEIFDIREAGFQEDL TFEHTFNGKELTLIGLGPARAINPMYIGTFMTKTLNTSNQGLYRGIAYTTFSMHHVAG ATIAPGQELTAYYSEFFAENMCECRLHQRRSAHQIDSEDEYTPKTKRRKPEPKSSPSA ERISKSGRPKPQFAYKGLAVDQEVQKPSTEITRVVNKPGNVNTKTSHSAGERISRRRT YFRRRTYFARRTYTKVAPRDEAPHSRIDSAHTTRVVADSRTAKVATPKAICPAPSLET LPQGAQDLCSIRSDNHPQKSKTITSRSQEPIDLTGDSPENASLSTVVSMARPASNNLP EQPLPGSSKVYKDHQLREAVLNSQKILMKQQDLIIAQITSSKVTEEDLKESQKALKRY QENQDRLAQVLHHLCAFEQVFHEIIAIRDGISRAPIRGSLKASIDHKWVLTGYLGGIE KSNLDKLKSPIILIRCFRAFTEQQAAREGNIVRIEWLELIQAIFVEFAMAPVHLGEDT GFDKAQIGLFRNATAGPEPDQDDVEEAGFEDRLTFEHRVQGKALTLIGLGPARTINHS CRPNVQWDYEGESLEYLQDHSVLQIGFMVFSMCHEPAVTIMPNQQLTTFYSDQFAEQV CDCPYGLYHKKGGRIRAHSEDNYDPRSSATVVASKCMNSSKKDKSRVKSKKGKKKAST NKAVKALRSHTASTTATSSTTNGQILSESETIASEDSTSIRSTISPSHFVTLAADTAE HIVPKQEDNEVSDFWILEDLDSSTSPASGSPTIVAESSCATTSTRGESAIIPSISSAP HDHLGMPGTTDRIDPQHGLMQAFLNSQQIVINQQALINTLLTSKRINKKHLQESQETL KKYQSNQDKLSEKIWGS
I206_00887	MKSKTSIRKKSVTIPNQIKSDKQKPTRSPNLKVERIHPRTIKSS IKATRPEPLLLKTPLIKQYVKTRAELPIGLPRSKLVETSCLPDESLKRARFSPKSISN NVSSKYHVSGILNFSNTGAKSEESSQHPQTMVSGDKPKLEEPLGEIESVIPLCAEQST NPNKQIKLDQNRFLEDRTKSSESSFKSNTISPIKREKIDSQMIEASLNSQKMITNQQE MIKVQFSNSNTTLKYLKESQEILKKHQENHDWLKKMIWG
I206_00888	MTTETSISLSPTVPTTRLNVNPAATQSDPEVNKLDEVNGKLANG HDALDLADAAQHAERLEAKRIEHERQRHAQRARFEQQMQELEASQLAEERQLLNSNTP PGEAASAPTTPPGRLPHGTTASVPESKEAPAPIGPPGREGLNGAKSMPGSRRTSTYGG TFGMEKLSLSVMADAGRRDWTEDDDVDAEGAQSSVKYLQMGDDDPFPGIPKSDKRLSA SSAALDLAPLSQTPPRAFGVRPFETSLKTSEWPQFSAVPATANNGARGITSPLPGQGL MSDDGRDLLGSRKTSPTGMADSIASLPAMPSKSVPATPFGFNSMSGVKRAPGPQETAG GEGLSQAQRGFSNPDLARAFGKVGGGFSMNEAGRPYDAYNGFPPNSNVGAGFNPQAPY DPYGFDDDGYGSGSLYPGGSIGLKNKRADQDREFNRFTGVRIEDLAGELLPLCKDQHG CRYLQKKLEDGEPKHRDMIFNETYGHFPELMTDPFGNYLCQKLLEYSTEEQRSAIIDS VANDLVGISLNMHGTRAVQKMVDFLAQPRQAKQIRTLIMALSLNVVALIKDLNGNHVI QKCLNKLIPEDNQFIYNAIAANLIEVATHRHGCCVLQRSIDHASPAQRMQLVTEIIFN SLYLVQDPFGNYVIQYILDLNDARFSEPLIRTFIGNVCSLSVQKFSSNVVEKCVRVAD PEVRKGLVGECLNRSRLEKLLRDSYGNYVIQTVLDYCDIGQRMLLVELIRPILPSIRN TPYGKRIQSKLAREDASFGHYGGGQGNGYGGGGGGGGGGGRGYGRGGYHGSNRGHIGR PQLQHVNALTDIYGGGQPFMQYGGGPIHPGQPHFHGGERGPGGPPPPQMGPTHTGMSY HAPGPDGQPWLHLRGPSGGPAPNWHLQEQAGPGGHPHGPQPGMPQQPGSDVNGEVILP EEGIVGPGQVPNGNWQDPSGQQFVPYHNGPSHIPPMM
I206_00889	MFPQRYQATKTIPDASSSSSSKKRLSSFSSSSTLIDSKPNPHLK FEDIHKIEILHQICAFEHIFQEFFAILDELIKPAIRATFKIHYNRQQLFINPFTKTIA KRIDNETELKYMTRRITDSQRQFKGNLVPEYWLKKIEKNFLSALSNTQIHLRSILEFD NQVGLFTRPMLKKSEISLKGIEFVLFSFPDGVDDPKELGFNDALTFTYEQFDHKDVLA LGLGMARAINHRCNANIYWKFPTQLTEIKNTSIKGILCSIGKLRILDNHLQPDQQLFA FYSEKFGMLMEISTINENQRWHLHSRESSPSPRLPSLLPEAGLELHSSSDSTEIRTPP HIPDSKAYHCDVYTRKSSRKVASISDYKQQSFNLPREVIHRKSIAQRIYLDPDTDEDP EESSTLSVSYPIPLSNLPISKKRSRVISSPESDLAEIRDVQFRSTTQDQSNAAVIDTR KGYSFDEPITIDIDYIEYEEDITNIQSSSNSLLAAVVDEVIDQSSKHISNEVRYTSCS YTKSNSPGFLVDETAITTLQVSERDVKICKRYMPDLKNRLKRFERAVEGFKRDKKRYQ EWDDRWKRAHSNVWFSRPRNYGKGSRQCRVCAHQAGLIRKWGLDMCRQCFREKSKQIG FYKVS
I206_00890	MSNSSSPVLAGPSTPLNRLQLHSSIPSHLSTELSFLQTLFLRAR DQHRTQLFLRRMHEVLIVGKLLLRYVKESQNDATIWEKRKIQGKQLVTRMIKALFTSQ RFTAQILDLHHFVPLQTSVLAIYSRLFAITMNIASGLDMELENVFMNGGQRKAKRKLD KTRIGKATIQAAPVPMEGVMDTGKLYPVESMNVDGTELGEKIQRSSITTVSTSNDTTP KASRYPSLSHDNLNSQPQSTTPSSSDVHALALDLPTKAISRPPSPPSERDEDLYPPEL DTYDAEIKKRKKKKKLLDIDAIFDSKARKEKISEVQQNFKENSEEALIPKKKKNKSRD QDGDVTIKNKKMKKKKDAMDDIFGF
I206_00891	MAVTMDGPSTNLRKRKSNGNLNSDNIMNGKSTALLKINAMPTEH GQEMDKKLDEHQEYEFGGPVGVVAMMVGFPLLMYYLWICLWFYQGKFIYPTSINDIRP FFNKMGQHIYEGAYPTKFAWGTYCGLTAIQLIFAQVLPGLTQNGLPVPSLGYKALPYH CNALYSWYATLALSYVFHKTGIYRLPWLIENYGQIMTVALIVSFSVSILIDVCGRLFH YGGKPMRLSGNIIYDHFMGITLNPRLGRIDLKMFAEVRVPWVLLFLISLSGVVKQYEE LGRVSYNMWHMLLATGLYMNACAKAEHMIPQTWDMFHEKFGWMLIFWNMAGVPFTYCY PTIYMARASPSTYEFPLWGNIAMFVTLVTFYYIFDCAMAQKSNFKMHQQGEHNPRKAF PVLYGSDLKNPSYIQTKHGNKLLTGGFWRYARKINYTADWIQACTWGLTAGLNTPITM FYPIFFLAVLTHRCGRDFAKCSVKYGEDWDEYCKVVRWKFIPYIY
I206_00892	MVKAIESKSEFDSLIAGSEPVVVDYWATWCGPCKMISPHFAKLE AEYPGVKFVKVDVEEQEEIALFAGIKAMPTFIAYKDGQPIETVTGAVPAKLNALLEKI SA
I206_00893	MSSEADKTCNSAPQVWSKNSLICGKCHHNAMDTDHMCSHQLDEG FSDGAGPLLGRIFQTALAKFENAESNGEWRELSTESRNGDTSRDDTAK
I206_00894	MQSTVESETPYSISLTANPVEEGNDTSYPIKADLEIRRHTNAAT SSSDCEMDFERIAKCLKQSVQLVLAIHEKGEDKDWQVNRTDESKTYDDMEQVRDHCNC ILSRFCSHSGDSEKPGSAFTIYQKGSHENSSPDVIYHRSWVWQPEEITKYDFTKLEPP LDMSSQDSSPSYLNNCISYHSENVRRHFLELQVEGKVSKRSTDTADFISKNFVVKHPV YSTGIISLLTEDSVKDLLHVPRR
I206_00895	MPPPPTTDPKINTLLSTIQSEKRNLEGAKAVMRAVEASSKNEAV IQQAQNEVRSAQASIKFLEDELAKLQMSSSGSGSGSPMRPGESSRNQMTPSKSGPSSL GYGGNGGPPVSPSPSQKGYNVDRDRPLPPPPPGSDQPEPKQKNYTQLDLQRYDAPLTG AKITRMLNQLQFKLQVEEQYKLGIEKMAQAYRIEGDKRLRGETDAKRVESDGKIQLLR KAKRRYETLAKFGGAVEDDEDLLPDGMKRKDALRKPISGKLVVSLRSARDLNHRPLPR KSSKIFNETTVVIKIEGNERAVSHPSRVDKWHEDFEIDVDKANEVEVTIYDSVAPGDS APIGMMWFTVSDLLEALRRQKVGIETQGAGWVTADAAATLGPRSGAAPDSVTLHSAGT IRGRPPGGDGKGPEGIEGWWSVEPAGAILLRLDFVKDNVAGQRRPYEALGRAGAVRKR KGDVYEMNGHKFVQRQFYQPIMCALCQEFLLTGEGYQCEDCRYACHKKCYPKVVTKCI SKTHADAEGDEEKINHRIPHRFTPYTNITANWCCHCGYMLPFGRKNSVKCSECSLTCH QTCSHLVPDFCGMTMEMANILLKNLRDIKTTQVHKKPPPSASSSVSTLPAHPSQEKIS APPQLPPIVTQPPVQAPSPARPPPGAYDPRYAPPLSQQPQPPQQPPAQQQPYPPPAGY DNLRPTGGRPMPQPPAQPQQRLSYNDQRPQDGYQQMPPVQPPTKLQPTQPEPPRAFAP SPAPSQASAHSQPQTVIASRPSQHSLSSRAIKKIGLDDFNFLAVLGKGNFGKVMLAEE KASSNLYAIKVLKKEFIIENDEVESTQSEKRVFLAAAQERHPFLLGLHSCFQTETRVY FVMEYVSGGDLMLHIQKKQFTLRQAKFYACEVLLALQYFHSKGIIYRDLKLDNILLTL DGHVKVADYGLCKEDMWYGKTTSTFCGTPEFMAPEILLEQRYGRAVDWWAFGVLTYEM LLGQSPFRGDDEDEIFDAILEDEPLYPITMPRDAVSLLQRLLTRDPTRRLGAGEADAE DIKRHLFFKDVNFDDVYNKRIPPPYFPTIGNATDTSNFDQEFTREQPTLTPVHTQLST QDQQEFAGFSWIAPWAAAQA
I206_00896	MTVPSTAQVHNLKGRPHTLIREASFYQEAYLASSSYIRAIGNQL KKDWGFRQIEKSDVSKYQPFLSNNEDDLLLDLICTTAPQHIIQLPINLINLKITPKCQ MDSLALSSFSTILTSCYVKITDETIIVNPLKDLISFLQCLLPSSNALSLSPPTKTIST FGRCLGTSEKYSKTRLELEQEEEEKIRNNSIDSWRFEISPSENENEIENKNINTPCTS WLNKNEEMLRDTVGCGYYRRLREVAEDQKNTQVEVDIVEIGEVATG
I206_00897	MISHKSKASSSSYHSISSEAGPSTHYVRSPPTPISPHHAPRLPT HVQAIHDFDPSLLASTSASNSNMYLNFKSGEIIRVHVRDATGWWDGEISGTARLVHET EEHTVKGVRRGWFPSNYVREMGWDGSFHRRDESSSTNQTSTTSPTTQRSETYHTRHAS AASHQSRASTSTTSQHRTSPLDQQDPKNLDPVFQTLMHPIVQSLSLLESAIHSNRKPH IQPSTACVISSIRAALMQTDCLSKESTTLITWPVLARERKIVLVELSKLVGCAKTAGS VEEVEDVDDTKELEALARAARGVFASVKRFLHLADECGVTVTLMDAAQEEANGISINA DKAAGRPASTSSDGADQSTIGRSRVNTTSSSNPRLQETFRLRTASINDLRAARRRAAS PPPPLPTASVVSSASSSRNGRERSPSIMHTPMSAKFPQGTSVASPISTKSVHERRLPG SVDSAFSQVSVASSEDAHMPWGDTTPVPTPQATETNRQLGSVADVYEAIGHAEDALLS LIAAFIGHIHSHSINSHPSSHAHLIEMTRETIDSVRELLTIVEAVGRSAGVRHKRPRE IDTLRIAKDQLYDVASKLVESAEIVANAPFSDSGEDRYDTEKGRLLQAATSTLRAGTE CVRLVKMCLPEDNAVHLHATPRQADANSRQSTPRPGHTHEAPLIMREKPVGARGVHTL SGLHRKATSLSTLQKRYQQDGAMVQAPLEEDENEETEEEEDQEVVQDLNKDEDMTMRP MMQSILGTPVRFPVSHNHTSPMPLSSANRPSEELLKALDDSNTTGTRNRSSSLTSPAP PRMKHRSPSRSADLDKFTADYDIRLEPPKRASRGTISASSRLSTYTSASSQISGTSTA PTSIRSSNVSEFNNLLPQTPPVHDIPAFCDLKVDIPSATPDLSQSATYLSLNDQPPPK PLALLRPAAPVRSITAPAPSANSDVRFWVVAHDYDPREIAFNSEGAIIGASLAVLVEK MTPHDGPVDPTFNATFFYTFRLFTTPTQLLEAVMTRYDLQPPAAMAFGEKERALWIER KVVPVRLRIYNFLKSWLDQHWREETDDVVLDTLHDFARDVVSVTLPAMGPRLADAVRR RLNGPMSAVSDRSSIKSINRPVSMDRIRSASQSGFLHPPTISGGLPPTPVISKNLHSV LHKAASSGNNVNITEFDTLELARQFTIMESKMFCSVIPEDLLQTGKKTIPELKALSTL SNQITGWVADSILNETDAKKRASLVKFFIKLADKCLIMYNFSTMFAVLAGLNSSTIMR LKKTWDALPTKYKVTIERLRGVIEHTKNHAAYRAKLREAPTPCLPFLGLILTDITFTS DGNPSTRPSVLEPEIVLINQDKYNKLGRIAMDFKRYQEPFNFHELEAVQTFLKRVLTE RGSGSVDALYRKSLMLEPRQGAEKFSANVERPNWLIGKI
I206_00898	MSQSSASSDPAQHNHKSPNNMTAASTTDADGESSSRVDKLARAL HVPESFIPSLTDRRQWKNFIRCMLVTFGTMVVMLAQTSLNKIGQAAFFGAIMSQMLPP SMALSIYIFALLTLLIGLCFGWAWGVAAMAAALRARDQVLLQQEVSREQSAYDTTANI EAQYQASIFRGAFLDPRASVVYGVFLFVGTYFLGFLRATKPRLTLGCIFGTIIMDLMC SYGALFPIPVYTLAKTLLIPAGVFIAIALASIFLIFPQTLNHIMLDSIATKVLGPIIQ LLKLQDQVVTTSPKDFDKWHDLATQSYALRAGHIAGTTALEGQLGLLQLEITRGQIGP GDLQKLFGKVKNLGMRAYGLASFGMVVDEQNRSFKATMEDTNSHSIVRVKAHVDKMEQ HTEEIHSLTGLLPILAASTADLRRNSAKALDDISEWLLLVNHTRWKKKPANAPTIAQR EENLNAVKESLKQFRESRHFDMLEPYKDSFDPTTGKLKEHLVEKYRYSSRDLFRCFVF TSTLIAFTIDLVELLELLLQIEKDNPKSKIQLPNAFTKNVVKSANEKGGGNPLDMGTD DHSSLDINDRIEEDDHEDQRSETSTVVEKKQKPKKEKKLRSHKKDPDAEDPRNAFQKF GRTLYHTWQGLTGPSGLFALKYALVSIALWVPAVCPSSAYFTYTNRGLWALIMAQTGL GIFTGEQILQFALRMGGTVFGLILGMLAWYIGSGRGTGNPYGVAAATMVLIAPCLFIR LAVPIDKAAFFLMTNVTLMFVVGYSWVDEHTYQTANQGSGASLAGRRALLVIIGFTAA FIIMLFPRPVSARALFRHRLAKNMADIGELYGKVVTGIEGELDHDDSEIDHEDKGKIA EVRRERYKGGFMKVLGRIMGMQPQLVYASIEPGLRGPWPKQKYEALFKTQSQVLSTLA LLSSAYSRMDVKWCKRLASRSELMHPSFIADCLSTFSILQQSLRTGEPLPPMIPVFER LAIHRSAMSGQSRRNLNPKSVEKQEGSMQSDQSPIDTGMEMATRDAQNVLEGVITWDT IHNEQIALFATANIALVHIAVGLNEMFRLVKGLVGEKELQGLDRASERWARGELGLDN RV
I206_00899	MMYTPTPATRRPRPPNSLARSRQRQPLISSNSEPIFGLNETRSI PTPSTVVDKLQGDDSNTSVSAYRKQRQDDARNNLARISASPADVSFALDIDASTPESY ITAIANGGIADESNQSGSVGLGVSSSSLVPTLSSHSEPSPPPPYGPTLTNTSDSLTSI LTRPPTPPQQDSGRSSRRVSSSPSQVSKICDSSAEGLVDDSPEHNGGQDTMGGEESQR EDSEDGLSEREVRYQLKEVRSLLLQREEELLIAARVAEQALGSHEKIMSVLPDRLKFH LPDLQEGCMQSDDSVSTSLPMDRPQIYRPTGTSITGSQSFGYLPSVAQLRSRPSSAYH PVEVVDTSPERPEDQFYWDPRAQSDITSLHLPPHYHKPPISIFGAQPTTTAQPPTPRY DRLAALQTEAEDRIIDLEQALSEARAGEEAQRRTAARWRKEANKMQRELAKADEQRVQ SEQDALRESVVGQAGSRNRVDQSRVKIKAGVFEHGIAMSPKQHGLGWDYTSFPDFLTA GPSGIRLPHSSRRGKAFDSSILEDGINQNSTQMGGRTVPADDLDSLSVTPSEVQKETA SIGRKAAAAVRSSFQHLSPNNEVKVLKRMTSRFTSPSPRKIRFRSPSEADSVKKLSKV LTPRVTIESSLVSPSLRTRSRRTSADGSQKSNTSIRRSPYPLPRPSNRDDSPEARRTI DFLHMQAMSREGSMSPSISPAFASLSSKMASVRAQINRSLSLDPSLGPGMGRTLGSEL GSEYGDEWDRGIRSLENIQWERNQPHSVKSPSPSPSPPSKRSTSLLVFSNDDSESEND LDHSPDEDTSVTYLSPVYQPAYPLPAGVSAALSSLATALKPTSIFPTSPSPSLKVPAP NLVHRQWYRRSDNDVSKDIDQDVTVNVDAGVEVSSINWASQGQDRQLPPKISSSLPRT DDVLNNSMRPLKMKASPAQQRTNHPLLSQTASGRKIHAKRATTFGVGHRESPRKYALA HRRINLSFRQSPRNMADGKSLIDNKCNSKYGMDSLLPMLPDQTLTKRGIRYIRNSTEV NMDEISKTSSREIQTVQEDQNARRQNEPSTIPAKIVHDMFCLISIWLEYIEWFIILGI RFYMDIRDGPRGPSGVRHGDRPKRYHI
I206_00900	MVRATSILRNAANAGKPKPMSADHTLYHAMPTGFWKKFRDAVVI NPEISSGLPIPTENRYPQPGSRTERYATPSTAASDVAFNPYYKRDVRRAYPQTSFITQ TELTSLLLASPTLESLPSPETATEVRGDSSAISPSSDSADQTKSIVTSSVPSLSSVLE KLPQGKAFTGSGIKTASGTGNGLPPSPPGAKWKPQRGDEIPHGEYAYFPMIGYK
I206_00901	MTTMVNIRRDVEDKFYRYKMPLLQIKVEGRGNGIKTVIPNMEDI ARALNRPPTYSTKYFGCELGAQTSMANDRYLVNGAHTADRLRELLDSFIEQFVLCPSC KNPETELVITGKSGHEDMHRDCKACGRQNGVNMRHKLVAFILKNPPKKKEKGKKGKKG GMTAEANIGGPMVFEKGAEDGSGEDEDASPSGTPGAGDNGVPTRGTDIDDVLGRSDPV LGNPDEAEIISKRLAKADLNGDDDDEEDADSPYAILGGWLEDNKDASDADVINKIKEH GIAGKHKVLVEIGHHLFSDEVAKEITKRIPLLQALVTSEKHQKSLLGGLERLLALSPN SDDLIAAGTTSKVLMALYQDDILDEELVKQWGTHVSKKYVDKEKSKKVRKSADAFLKW LDEADDESEDE
I206_00902	MPLEVTPPPFAASQRLPYTPQFARPEVAGHGSAASSIGPAGGPA SAAAAAIIPPAPGGIADPHRAIPALAEAGYTAPNLRPAPISVDPRMGGGASIYGGGSM YGGGARGPPSNSYSYDPPTSAIPHHEHHHHHIQSPISVVHSDGWQANSLPAPRRGPPL IQPESTIAPFSRQQHHQHQHQHHHHMHEDFDDGKSDTSYYGLPKNEKPRSRSGSVSSL SDSTTPRELAANGTHRARSISNHQRSPSMPAVAHLHSPISPSPLAGTPGQTPHASGIG RSPLSPVEVEIIRRHRERASPGPVEEERRPRIHSFVPPEEDEHVRDQARDRRNSALIH ETEKAQLKQQTSAHGHGHGHGHGHHHHGHHRHRSGSHLPVPPPSDYSYSSSSYRERVP SPPPNGLGLHLHPDAVSNSGRRRAMSMQTIDRERPYQFQGYQTPSIAGGGAATVYDDG ASVISDSRMTFMDGSVAGKTSQYGLPKYPHQPKMDYRR
I206_00903	MVPGHLHIRLMHNENQYILSRSVPVHIDLLVSQRFKPNSECSLV RSLDFINNRQGKFPYWYSTKRRTWLTIPLPLLVPFKLLTVLYHELGHAVIGMLTIWYK ELRYGVPKGGERGRIEFIMIDRYEGGLTKFGGDTEPIYSLTLPAGYVGSCLIGCWFLL TGFDAKWSKFGAITLFLLTSFAIFVCFFVKAKSGIINNWHYMLSYIYRWTMCNSEKAD RSMRRHQYRKDRRNEKARYKHNNEDGPTEIDLHASQDLIIGCSLLVGTLLCLAWVWDD SMWLRFIMLFMGLLSALYAVWDIVLDGIKYAKVARSDVTYMAEEHNRRVKNHNKLNPE RRQKRQRSIRFYAFIWLLTKTDMIILVFVLAYFVFTKNKVEQAVESREFLPAKLHYGP SDLEYDAKYAAGRFQDGMSKLVDTDAS
I206_00904	MRFLITNKTTICTSMLILGISLLFPQLNVLKTLLLIPIYISSAI CFLFLYVLYLGIQSDRYTSSKANQPAARTRYALRQLRFTTPAAWSAVLTRQTWEEKPP SFLPIRKNASHSFNSRVDSFLRLINLHFILPWYGRISPSPAFPHAVETLIRHFMTDVT RRAENVDWPDRMVMKVVPIISDHFQHYRSIEHLASTSSVPTPNPALPLPLPHNAHPAL SSHLHTTSGIPSSIESHLRHRLARILEYALPEAAQSEVVLTIVREIVLGAVLLPIFDI LCEPDFWNRQIDEKGGRYLHEQKQVDKFLSALSALPASSASATNTPLPSSKSWKSQNI HLSPSSTSVSSNSSSKQFDNFLKSIGKLKTLGEARRLRADVERELRNAKLALADEVRL GESDKDGDKRLRKAQKYVQRLDRAKVDIDLRVATLSGQPNKASDYPSMILEATSDDSV NLYSLLSDPSSLAYWLEYMDRRGRSRLVQYWLTVEGFKDPLEAAGLDSALDSASQAIH KSTLPSTDRNTIAEDVAFLYEMYFAVGQSEVKIGAKHRQVIEVTAHSNFTGLSVDDVR KVKHAVFASQREIYEQMVEDDWPAFKKTELYVKAVADVKRDKISSPISPGTSQLPHLL SPSLPSVPLPRTLAIRPPSTPIPAVKSRSLFDILSPASRIRQNDNRSSFLPANVSSPP ATASISPPILGHTPTNGSQSSLLQTPRQVSPGKEESHTTSGDSSGLMTPPANARRSSN LDFLIAGGEDREDMKDRGKLFGDEEEYDNQADLAEAQRIEAIQAALNEIIASDEISSS RVIERDQAGDSYTELKSPSASLVLPQRSPKLEQKGFRLTSKSAEDLKVGRVVKATSSA PPSRLPSVAVPDIKILPKRRSIPNLSLSPQHSSKHLFDDDLNVEDHASLDEEDVNDAN ELIQLAAPGDLQLLVEISRLQGKITELVQQDHLLDTLIRQAELTGNQTELRILRRSQS SVRREQRSAIFQKAQFEQQEEENRLHPSRTHVAIPSSVVTTEDGDAGKQVVRYTIEIS QIDEEGKTVLAWTVARRYNEFYELDKALKELAVETGGDAGLLEDLRTKVGEIPSKRLV PNTSASFVESRRAGLERYLQSLISSAAICDNHLLRSFLSRSHMPLQAGSSDAIASSTA SFTSLAPHNIVKSLYKTMATSLDDALLGPSMLDMMYTTLSRQLNDFGGLVGLGGEELN DPIASTATPKEGRIGPMGGESGLTSFTSPICDLFIEVFDLKENNWLRRQAIVVILQQF LGSTIERKVRDSFRSATSSDSFEKIILNLEETLFPDGQRRPPSVLRTDQEKLETRIRA SRKLGLLIPDIAANMIGRSNARRAARRVFGALQDTRLNQHLILSIMDEILDAMFPPRQ 
I206_00905	MSSRALHRSNADFTPIELPSYPRPQQVNADGYEIDYDLLQPNGQ KIIAIEQDLIRDELEGGEEAEEVDQDIGGEEDIDMNGIEADDEVENLDDSEKIDKEPL LSLADSLVLPYSLTQTRYHHLTSLLPHIFSHPAIPSHSKPRARPPPKGTFLFPTPPAP IQPLPNPEYHGPSLSAEAPKQGDSSKSAGTTEVPLTGTPPPTGKPSRPSRPKKPINEE WPAHEMECISRCTLSVGPISFPGTEIWVGRFVEPKVTLPKPQRAKPGERKEKRKSLAS SQDSIKRSRARQTGVERSIGTPNRLHSRPPSSSSSHNPTPLRPNTPTAYAPRPPIYRP SPGPAFPVRPIPQPNRPTTASPHLIQLVNQAATRHAWLSALIYKAAGSTANQVELERL GKAVARLSRGEPIEDLAPPETLSATPGSGPSAASAAQAPGLAHPPAQPTGPNSTDTVL APRQASDGSSINPTVSPMKTSTSGLNSHPTTSSVSTQGSKDTTATELPVVEANSNQAP ATTADDSDSDSDVDMSGRPQVGGGSLPLHSDPAEQSDVQAHEYTSSVPNPAASASTTA GTTTAPSHPSIDPAQPDDSVSSAASPQSQVPPVSASTRTTQNPLISQVRDTPLSTAAY AMNPASHMGMSPGISPASNNAVISPLNPVTNSLASRPQTVAPLIPPLAASVPPLHPTN PPVPPFAQPPPPPQIATNTPPPPKPTYPLPPPFLLIAFKEQPTEKYLLPLGIRSFISR VGGEYVTGPRPPEPDSLSIPAPASIAVNREISFIPTQAELGSPTVPTASSEPTTESIA GTAPFPPIDPTVIIPVPTKPLRNRTRQSLGRHAKELPDPATDSLPSEPIPLSDTEVKA EPEIIVRSRPQSGLTPLPGQKPEAGTILISTILPSGLARWEKIEWDDIKKGLPFDNPE FWDTSDKPLTEVKEEPQEPSSPVVPQQPSRALRHARSTSDMAEKPQKPKNLKPEILNI ASKDFVPAEGDVQPVTIRLAGIDDNVWKKMKNIAEITEKHDIERMFEHEPVLLDGIEV YPYRKVEKPSTEIVPLVPENQDPVTPGAPKIQNITRGLTSKAIELLRPTYLARKAAGF TELLKRVPTRSFLQTRVAEPIQEIIDATADKWAPRPYPISTKPLYQADENDNDEEEMI RAPEIEFSPPPNKKSKKGEESAVTFEVPVSYDRLDEKVAEGVKNSVLNARGGRSGRKY TKRASTGGDGGDKPKRQGNKGKRGVQNGICEGCGMENIKVWRRGPTGRSTLCSPCGDL FVAKKLPPLKRPGAMKAFLSANGGGVDGEDEGGDADEKNESEGEKGAKEGGEAENSVR DTTGLHEKDNAGETREVGPAINSRVDGGQQDPSKLQDDQFVPKTTSSAPEESASVPEE GPSVPIGVQDVENSRSELDDSRIDETETADPALTEHSIPDPAGTSVSIGFKEPGGLSH GEESGISSHPEGPSKEVETVGVTNPKAPPEEDKNMDVDP
I206_00906	MSEDDRVKKTDFMGYTHNGPNGRQQPSQAYHPETQSMSRNYLGP DLGSSHLRDQSIDISDNDAYGMENTSMIRDTSRSPLHTPQGDNGDGYPLPAGASQPYV APPQPAATNAAPSGRVHYPPSPYMARGDAYTTIPLQDRDNIKSGAGTPSPKQSGTNTP VGSGNKKKHWSFLPGSSTTSLETGVMHEKTGSGGKRPKTPRGASWDLLGDRAEWEEFN PKNASVENLKFAEGDVGTNKLSRFYYWALNRGIVVRWAMYIIPIMALLWLPGILGVTA ESDATVWEVKLIWWSIWLTILWGGWWASTAVFMMFPTVFRNTVGAIIPSARRYTDVIA ALGKYAKLIFWILAVWISFTPLVINHYIGDQSANSRSNLTTMVNILFGLFLCSLVLGA EKLIVQLIAFQFHQDSYEDRLKEQKFNLRALTVLYTNSHDIPGRSDTLTDNASTKTKG SQIPKIAIRKALKGIKEVATTTTTALGNVASEMAGQSVLQTNSPANKVTAALNSANKS KALARRLYYSFRAPGIDHLDISDIARFFPDLETAETAFAIFDKDGNGDATRDELEAAI LGMHRERLSLEASMRDLDGAVRRLDDIFMVIVLAIVILILAAMVTTKLTTLVTSAGTF ILGLSWLIGATMQEILAACIFLFVKHPYDVGDRIDVDGNSYTVAKMQLMSSSFKRTDG KYVWIGHNVLSTKVIENIRRSGPTSETFTFEVDFDTTFEALQALRARMLKFCKDNSRD FLPIFDVIVDDIPAQGKMVLKADIKHKSNWQQGALKIQRRNKWVCALKMTLADLKVWG PAGAGNPVPDAAEPTQYTLVPWEEVKGAAAKPDSPPPTFATATAVPNLMDQRGVVNDA AADVFDEQDELDGSQSMAPSRVGTPGPSHGHMGTGMRSRHGQERDNIEMTSAPVSRRI 
I206_00907	MQANQGTLSALSAYLSSTVSPDAQARRSAEESLRQAESQQGFLL LVLELVKSDSVDMVIRQSGGVYFKNAVKRLWAGEEETQVSTGDKEAIKKQLVPVMIAL GTPQTARLQSQIGEGLSHIASLDFPQEWEALCDELINSLTPDNFVINNGVLATAHSIF KRWRSQFRTNELYTEINFVLSRFCQPYYQLFQHVDSLIQQPNPSSLPANSSLQLLSQT LILLVQLFHDLSSQDLPPFFEDHLTEFMTWLRKYLDWENEQLKGDEDDEAPGPLQKIR ASICEIAELYAQKYSDVFSQLGTFVDGVWNMLTRIGAGTRDDVLVSRALRFLSVVVKM GNHRAMFQAPETLSAFCEKIILPNMAIRQHEEEMFEDDPMEYIRRDLEPSTESDTRRQ AATEFTRALMELFEREVTDIIKGYITTLLQQYAQDPTNNWKSKDTAIYLLTSIASRGS TQQLGVTSTNVLVDVVEFFGQNVFSDLQAAPGSVHPILTVDAIKFLHTFRNQLTKDQL VSVLPLLVQHLNSDNYVISSYAAITIERILFIKVDRQALFTQADVRPFAESILMALFA NIEKGGTPEKIAENDYLMKCAMRVIITAKQSLVPSYEAILNRLVNIIGEISKNPSNPK FNQYCFEAVSALIRFVCEGQPAALATFENALFGPAQHILTNDVAEFIPYIFQILAQLL DLHPTTSLPESYQALTGPLLTAQLWEQRGNIPALVRIWKACLQRGPSIIVAAGQVQGL LGIFQRLVNSKVNDVYAFELLQALYEFVPIDVMRPFSNTVFVLLLTRLQQKPSTQFNQ SFVYFFAFIANIDSVGPDFLITVLDGVQPGLFGNLLTGVILSNTQKVNIRNRKLVEVG LTKMLSRSDKLLDEPNKQHWVSIFIALLDLFTLPQDLTHAQTATGDLTELDPEEAGFQ SSFSRLGASESVTRDLTPNVGDTKEYAVKELGKRSTEKPGILPGLIGQASTIEKDVVN GFVAYAQQNG
I206_00908	MRLPLSSQLNRIRRPSASPTLSGVHHPTGSPPPSILIGRPGSDT TRPISPSIEDAAHSHNRVSSEDTSNQSVLQLFKQDYVSVRIYMKEMDYRQTMRKALRR HMYKWYAILVIAIVLTALITSKHETIVEFCAPVTRTIREWPGGWLIPLAILIVVSFPP LVGHEIIGILCGLVYGLWIGFAILAAGTFLGEIATWIAFKWCCQGRAAKFEKKNKLYA SLTQLIREKSFMFTLVLRFSAVPGHIVTAVSASAGANFWMYLAAAFLTLPKQFTIVYL GKAFGTQSRKNTIISIITTVLTLIGTVVAAVYVYYQMRIVMRRNAAMTLPTIVENLDS SMTMSEFPDEKHGATGVDLSHNLQARRPWLFTNNSTYSSNDSTSGFRTPTRSWSMPGH MNEEELREWVKEMEHEQSASSKLNKHLSNPAIKIDHDNGESSCSIFDNLPAVFTPGLI EPEGSQYQLGFSGTSISPSGTSTPAYMEGDGLISTEDLTSNNTYPPTPPKRGDSSTPA PKSSHDTARVKGGREIADEADIYAISKGARRPEYGRMRGDSRAALLGRQPVDDTALEM GGVDPKGFYSSSPSWKRDYTRSRGDSGVSSMGRPTSEDLGDALVSWKRDYGRSRGESG AALLGRPTSTELGLNRNSIIDENDADGQTDNKGKGVEVLRD
I206_00909	MLRISSITRIAAVLALFSVVYAKSVDLDCPADPYADPQTDTCNP LRYIPNQPINIVAAVLYFVVAAILTFHSFRQKANYFMCLVIGAWFEGLGLVLRVAFRK NPHSTGLYIVCYLFVVLSPCAFLAGDYILLGRLVSFLGKNEHLRPLKANWVSWTFIIS DICTFLIQAAGGGLSISKNVSTAEAGGRIFLAGIAAQLASFVLFSGMWILFGVRAYKD DKELWNRPGWKSLYWAMGFTCICFIIRSIFRTVELSQGYIGYLATHERYYLGLDTLPL LLGIATYCYFWPGKYLRFAPKGQIEHAENGIDMTQAAQPHNGGLTGEEPLISSENNTW TGDANVNEKRTL
I206_00911	MSSPNLPSPTSLPLASASGKPLSRDAYPARPASIPLPPRKNTLG LPPTYLHHLRQLLRQWLDQQTREEEQVGLRRKRKNDPTSCSRLWSEPTVDSLEKAIWE GIVEPSQEEAGRKSQAILKLDWSTWIEGSAERRAVWRQSQQAEITQLALQEAEKVNGI TMDTLRGRPSSSKNSLHEIESSGQENSDAFKTFQSLSRKPDTSSSGSSRSPSVASSCR TSAPPPKPLTRHETQRTEASIDVDDDDVQRWCQAISRMDGYRPLSLPKNDEWEVVEDE CPSFASIQREVPGAFPQTSSSDYGFSAATGSHLSASSSRARFSNLGLPTPVYLPDHPD IAAVLIKPVICLHFLPLDSPLQRSSSTLNLKREDSLGRNSVSSIGSGGRHKKWWSGSG GRCSEITIGLNTNSTPESAPETEFISGQYALPTDSGRSKPWTQNLQTRPEKKRRSVLT SFATSLESSTSLRQQRSIMPDSNGEQSVKDESNDETGTQKGSPVVIRAGLSFVVAGSD LDIPLPRDGETTKLVGGTIVMRGTRDEEEKRALHRILQYFVYTIQSMFRELQLLDSFR IPREPDILAIPDKSSPSTDSAVRPLWEHRESLEWPRSDASKQKGKHVHRGFFHRLGKD TRHVWEGLLGRRKPSGSHEAPFLPSMPNVPTKDLPVTSPTASFPNLGNASTPTSLVAS AAAGFSRNTTTPPPIEKYLTILLSLERQLPSSTPGLTLPMPPLLVRLRREDEVRRAKA RQEAEEAKTGRAHVNGLPLANPKPYAPSDLLSVDPLQGRALNYRLGGDVRAGLSALSD DLATFEGWIRLQKLDVLYSVGTDTAADNGEREIHICQPPKPQTYVFWDDGTDRTVQQV INDLQDELAEENMVCPRPGCTATTANHVRWWLHSGKKVVLKVESVDKHDMPDHTLDVW PRCNECRTVGDARELSKGAGNFSWGKLLELLIFTDKLQLPILCSHTEHPSLFIRAPSA VISLHTESISILDTRLPKLQVGPNVNKRKGGKESVEAVMRSLVRKEVEQERIDTLRRE IKEAFGALQQRAELLVKSLNDPNLSERYALLSNFIDSSDDYKLSLFGELEESPSSLIN DIRTTFAQQIRSHAIDLDECKKDIGLEYVDFTDIPLRLPDYAEGETAFALPSSHVLVK LKEPSSIIAYTFYFNELTNTAENNETASNDAGLSRSSTAPLNPKDEKVVWSTEVKRRD TPRDLLSLRTVAKKKSEIQLSRAHKPPLGLSIASNAPPSLELTLEQVEGRSQSFNRLG DLVKTIGKATAQDPNIQTESLNAPVSIAQAPAESDNDAMLTVRASPRNMRRLNSDENT VRAAPPSAFRPSTPRTISDSFITPLTPTSPRNATGTITSKESWGSVTSTFSNSFNQLL KIGTDVGGSISQMRIKGTDRSLSSLIGPLGMMANADNSLSSMDDRPHLQFTYTFGDHL KLGCTVYYAAAFDSLRRRCAIDRSLIQSLRKTDAWDAQGGKSKAAFFMTHDKRYIVKE LVSKWNVSDTHALLDIAPAYFEHLAGTHNKATALAKIVGFYTVRINDMKAGTKKHLDL LVMENLFYKQTISRTYDLKGIEGRRVNKAKVEGELKSEPKLDGTSLDGEWLEGLMKGL VLLQPHAKRILQDAISLDTKFLSSQSVMDYSLLVGVDEGKHELVVGLVDAVGNYNLFK TIESRGKLALNRGGDVTIIPPDKYRERFEHALKHYFIACPDKWSKNSRRGGEKTSIAL PSVL
I206_00912	MSYIYPPNPSSHQQAFPPSPSQYSQQHSPQVSYPSGPSNFQNFS RQQDTHHVYQPLGPHLIPPSLPSTSQSPGRRRIGYDYLASLSTSVSNLSVEGAPTSYQ TDTSTHNPIPSSSYYPSEPECRLQSDSVSPNYNKRLPALPPPPKPSIANYQYRAQASK YRDELRKRDPTPPPLPPRPRSLPLPDVNYDASVSVLVPPVESSFSEGSQRPASGTAGK ATRTPPRTFKPSIHPHSKPRTSDENRLLPPSPRTPPRPYSDPSVPMSSKSKSKAKTRA SLNRLKDSSRPNLDPDYDPIIDLTLSSSEEEDDSAPQDDKITPRSPARRRRAASERTP VTSSHSTPSRSLGNVKGLFAVQCSGFTRTGQPCKRLVKTAAPYLSDSNTHIDEGDERS DKVMGRYCKDHAGLICQAHGFYWRGDARRPAVWIDFKEFIPSDLGQQTQTLLRMTMES KLTPKELPGYLYAYELRDLETPTVVYFKIGRTDNVPRRIGEWTNQCQSKTPTLRDIFP LPSSRPGSRATAAASGLHRSGTLTTSYLPGATTHLNAPSKAMKRWERLVHLELADRSA SMIESGKAFEEVREKCKDCGLSHREIFPLYKNGKGAQIYETIVVEAIKRWNRFIERIT DEAV
I206_00913	MPHSAASNSDSRRASAISFEADDAPKVLARNSACHQCRKRKLKC DAIKPVCANCQKPRQRGSGKGESPAELESCTWDEPKEPSARTRRRRESAKRQALSSAQ DRQLEDEQVTAKKAKLNELEGRIGESNTPNGLADVPSAACHSVLEGQKQELSHQSIYD PLLTDPSFTNHLEQPQISVPPLNSWSNIPPLYNGSSELSSPSKPVFGAEFKVEQPSYS DLTSDPLAGLFDLIWPDWPKDLPKYEVVEQMIRVFFEKVPTLPKMLSKNQLLQNLMLP PSNRNFPVRPLLHAILAIASNYISETTLATKAYFPTGASSTDYIHPTADFDNSSSTVH FNFTSQSSSQRSESATALSLFQMWHRRKAFETFARCIDKGDQLLRCLQAYIIVTTLDQ YNAWWTDLWMETGACLRMATPMRINESPNVPESSLRRGTHSLLGPPASDMEQAERDRT WWMAYLLERSATSATTWAPAMSEDEITVELPVLQSTYDSGIGQLQGVQTLASPDLYTS HPPCHRDSLCLYIKSLKLYTEVGSFFRRYSQGSHSIAAYLSHPTFRLLLSQINSFRMS FPPEFRRPTHFKVGQGVEALDRDLIQALWILHAASIALGEPLITKDTWTHDGARMTLA AIRAALSLLYDITATSYDLTLFSPHCSFCWCMASRGLIHFVDAATRSGDLVSAAVFRS EVEVFRLALQRYGERFPVPVKHLKMVDDLLAQMEDPSSSTKSMSILYDCTVDDIIASN RAVGAVISTLSTPSSQAMFTPSGSSGYTPDEPQNLFHSTLPSKSAENLVQMPLYSNTN TNNVNALGGSDSAPPKMMDVNWQDSWDINSFSFDLDAVVSVFESNGAVFDGSQFNLPS MH
I206_00914	MLIFQDVITDDELVSDAFDVKEINDIAYEVDCSMIIVKEGDVDI GANPSAEEAEEALEAGASQVNNVVHSFRLQSTAFDKKSYLTYLKGYMKAVKAKLQETN PERVPVFEKGAQELAKKIVGNFKDYEFYVGESMNPDGMVALLNYREDGTTPYFTFWKD GLRAVKI
I206_00915	MSSPDAGASTHHTAFRLAEKHFKNRAVKDKYPSLRKYGDSLVDL SRPNKQEDDELWKAGWWSPANDYEGSTSNWKAWVFASYKGKERDLGERPHLTMSGLKE VKLGDGRVGWIVAPGCILIPNLLSINDQLDLLHSSLAEYTRPPNPLSLSTHYDLPPNL FELYATQPDHIVQPKHMTTSLSPAKEAPKARTTIETEPASVIGYEEIIARNKTWTGDT PSAKLKERTAAQLMAEMRWANLGWVYQWSTKSYELSSDQPIPFPPGLADICKRVVDSV PWDQVFEQDSESRSCGWESWPEDYAPDTGIVNFYQTKDTLMGHVDRSELDPARPLVSL SLGHSAILLLGSSSRHDPPRPVILRSGDCLIMSGTGRQAYHGVPRILEGSLPAYFTPS DKDTSTMKAAKRFISSARVNINARQVFPPGFKRPSKDATLA
I206_00916	MFEFLITLFLAAAIMISLMAVGVAITMPFHGALVRLRANYNPHA VGLDAQTRVGPTLTTLIGTLKRTKQLEGWWGLWKGTYPTLAYTTLVSIASIIFVGGSS TRGPKNTYSVPEAGGVRMGLFTIVLTLIALPMTVIINRSIITPYKLPNNPATSLRVLL SPHELAKPYMLYLTPGLLATTFIHSLCVTLVARTMRVFFLGASAPEDISDSISPWRWA VFIIWQALATAWLTPLEVVSTRLSVQPNTPGAIATDEEESGPPEGVSFCGTDEDVIGL RPTTDPYLGLLDCGRKVIEEEGWQSLYRGFWWTMLSNVFGAFA
I206_00917	MAHPLTQQPPSSLPFSFAARPSPLSFGFGLPTSPSGFSSPVRTP GAVTWSSPSQSPTRAPLSRFRSDTTSSLKRTRRSRSPSSSPPLTPSSPASSSSHARNA VYKVDLSSLALQDGPARSVKKTRLEIGDDRQVGPSADEVDVGILLATLPPSAYLPILL QLLQTHPSLSDTVLTQIPQPDVKNCIKEIQSGYEAVQRAAGGSFGIRGGSRLSEARRW DRVRSDVELFCRTASTYIRYFTSNNKSPIATEAIFTFLQPLTVSLSMLLQLVPANAEA RNPVLELAKLVLSAWTMWLDSLSNEVNQRGGMYPHSIVANWADTLDRMTRRIEEPTSQ AAHWSMPSQQMQTAEQPHSFEDSFRKALLPIKARFLAEMGWMIGRNY
I206_00918	MSKTQRNKNTEYHLGQLKAKLAKLRRELITPSGGGGGGPGIGFD VARTGIASVGFVGFPSVGKSTLMSKLTGTHSEAASYEFTTLTTVPGTLTHNGARIQVL DLPGIIEGAKDGKGRGRQVIAVARTCNLIFIVLDVLKPLKDLAILTNELEGFGIRLNK KPPAITVRKKESGGIAITNTVPLTKIDAQEIKAVLSEYKMSNAAVSIHQPDATIEDFI DVVEGNRVYVPAIFVLNKIDAISIEEIDLLYKIPDSVPISSQLWLNIDELLEVMWEKL NLVRVYTKPRGQQPDYSSPVVLRRGRCTVEDFCNAIHKEIVKQFRTAMVWGTSAKHSR GQKVGLEHVLEDEE
I206_00919	MWTLPASGGENPTKRFAQFRSAHAQINSGGESLSDRMLAYAMTL ALPDSCSTLKPTLWLREPLTSASVQAE
I206_00920	MSAGAPPHDLAVQLDVQLLSERGTMPTLGSDFAAGMDLYSAEDK VVPARGKALIDLQISIAVPNGHYGRIAPRSGLASKHSIQTGAGVIDADYRGPVMVLLF NHSDVDFQVHSNDRIAQLILERISIPRLNQVESLDATVRGAGGFGSTGGFGNGAKKHK LDEESAETQQTSTK
I206_00921	MSGLETAHRKELADHFHQDVLDHPDVMAECLSVLRLYNLTPADL FFKYEAFLMSRPSGLRAKLSHLSLSTLRELRTEIQREQQAKAVAGMASTSNSFAAEQS NRSAVGVRKAKNNINDIGGFLEGLSTPARPARSRAPGIHSTNGALNGSPTGSSFSPSS TSTPQRTTPAAPPVSSYRPGPSKLSTASLLETPIGKGANTLSVPSSPISPAGSPTSLT PQLSQPFELRPQPLSLLETLNPHLPATTSGLPPDSKQRIMLSSTADPKNWNYRYMFEK ISQRSEALDDAIDDYAENIKEAYGISELGDPHFVSEESIYTVGRILSPPTDTSKATAS SLFLESSRLLGAGKRIALRFAPAGSLKVRGGPPGVKGFGLFPGCLACVKGRNGGGGVF VVDEILLPAPSALAQSPSSELLDFQHGDKLKGQPVSMITAAGPYTLEDDLTFAPLDAL LEVAVRERPDVLLLLGPFVDSQHPSIISGAVTQTPVEIFRHHVSRRLQTVLDASPGTV IILVPSVRDIVSSHMAFPQSMLDKESLALPKRVKVLPNPCTFSINEVIIALSSVDVLF HLRREEMYQRAEEAEPEVASEGVETKDAMAGLIRHVLGQRSFYPIFPPPEGSVAEVNL DVTHYPLLRMDGPAPDILILPSKLKHFSKIVDSTLVINPAHLARAHTAGSFAKVYLHS TPRSDLEHTADDLEDFREHQVWDRARSEIWRI
I206_00922	MSKILLTRYVGDHAMNILKSSGYELIVNPEDAAPKREWVLKHLA DPEVGAACIMHSQPSDKVDAEFINTCNDNLKCVSTFSVGYDHIDVKAAHARGIKIGHT PGVLNDAVADITVMLVLMTLRKVGYGIDLIKRGDWPSLPWAPFVLCGHSISHPGLTIG FLGFGRISQAAVERLIAFTNKTEPANIIYTSSRRRENQDEIDTDFSKRYGVQVKRVEK DELATNADILIVLCDLNPSTKDLVNKDFLAKMKPSSILVNVARGPVVNSEDLHDALKA GKIFGAGLDVITGEPNIKPDHPLLHLDNCIVIPHLGSGDFDTRNKMAELCVRNAIQAM KGGPLLAEVKA
I206_00923	MVSAPSSSATTSPPAQLAPRSITHPDQIAAQLALLTKREAELSL SLNALVADRAQIDDALFRLKGLGAEVGSLASDVDGRRGGHPNSRGLGLQSNGLDMFDG PEDGLVNRVTKVWETSERVGGKVRRLDEEVGRVREATDIVTEVLELKNALYTLSAAIV KQDWESASRACRRAMSVRKQVIEGGFAGGVVPTPQYPLPPSQTLDELRDVLLHTFRTE FDAAADRKDEQNVSRFFRLWPGIGAEDAGLEAYGDFVVGLVKNRSSTAGKTSSPLYYL TSLTNLLEAIAHIIDQHQPVVDKYYGKGRMSTVVGRLVGESDRVVRGIVEGWEEERRV GRLISDTKQSRFPLLHNPSLLPPLFLSLANPGAQQLSLASLANTTTAALPNLSSASTL LQSYAQGGKKGVSQAASPVTFEEEETGPDPRDVDKVLGELVALGGRWALFRRFVWSRV IEEDGNVGQENDSTNTEQVHAQMDVIEQSGSQRAIENLLKVYYEPLEVWFLRMSIEKA HRLDSPDISSRPHLSSILDDTFYLLKLVIGRLLSCGSIATVKSMRMRLAEVIERDYTG VIRKKMDAVYAGTGASSQDRGAEKERREKDQRSAFIVHLNDLDVSADYMDRLLDEILS RLPQVFLKTEIYTVNEEIEHLRDISNRFRTTCKAGLEQLFNQLTKPKLRGLLDDCYKD VSYLLDEDTFAEADELDLVRKRFIRAWDGLVEGYKNAFTDHNYQTFFSLTVEVLVRPW EKMIQGMRFTELGAIRFERDVRAIANYLSAQTSFGGARNQFTRLQQIATVLNLDADED PEEFWSNSGIPWRLSKTEFNSIIEQRQ
I206_00924	MGHESRSLLSISNRISRSVAAHHGFINSIASSSSSTIDPSASRH VRYTSTSSSRSQIHSQNISSKPRQRFRKVQRQTVLKSLGSQADDDLVLDSAMARSLEI YRQRHRSGAPRLSIPLSTKNDLPQSKSLPHPKRRIAMMSTESRKPFSAQERSFPAASP GGDEPTGQVEPSLNDQEIEEILGDRPSTESASAETLSERQETGVISAKDVKLQEIKPA REMKIARLRRALGRVLFSPGVVPLRDTRTGVWNFDPTLHTIPQPDKFAFHRCPPYITP SQDEELVDLAQRHKCGFVGSTSTLTKALSQIYFAISGGKGIDLSTLSQDFSSERTTFT PGAELPASIIIDKLPQGIYSVDSDKRWDVENVISDFGRILEKMLTCENADFKRFLTSS PESAVPEEERSAKEAYRYQRVGSLLMRSQLDCYDPRLPGNGVFDIKTRACLPIRHDRA NYVANAAYDIWKNRGISQSYEREYYDLLRAGMLKFSLQVRIGGMDGIFLAYHNTSRLF GFQYISLSEIDERIFGSTEMADQAFKLSVSILEQLLHHCVELFPDQAINVILKHSPTV HSHSVTAYVEPKEWDASKGERPVRAVTFTMENTLDDEATQGQVTFSVDQETRQKQNWS IKYNVSYDAKDEEGQKKSRAGLNRLQQNLLAMNSLTVPAGQTVKSMTQRDRIAQRKAA TTQRDEQGSATTHPEELISNSARLNASPRIKWREAGPRQIQLREEAIESGRAYEQRKK MWKKNKFAWTV
I206_00925	MADLSQHTNYTAAYSGLLDNFYLTVAIAGACLVGYEIEVHIPRR RGKDGRFQRIPVRLYHAAQRTWNGLRGRNAKHRNRQDGGPSDQVRSNDTAEDRARSTL GDRESWEFGYIFQPKAWAVNPSPPVPRWPLMWIVSSLSVRERDMPEKCGLDLTLHARF LRGAFFYTLLQTIVILPILMPLHIIYSPSDIARTSMLRASVSSLVKSSGSRWLWVHAL LIWWITITWTATVLWITWGALAYRRRQIKALAAKVSAERQAKRDMTRGEEGEDTASTM NEPKGIKRYRTLMVTNIPPDMRDEHILRDYFDYYLQRHHSRKRSPDQPRPQLNKPRLS LNGVELHRHEWTTEGSEIDEVILVRKLNTIASLRDRRQDVLRKLEIAHVNLAKRVLKA AASHKKTMKGAQVISEKAVDAPVRSGATKAERLSRLVQALEPFLSDSESATEPGTTVW DALHSIPRELIDPYQSLTHLTSLFRDQNAPLIDYLTTKLSYLTMLLDESRSRPLSDFS PSSAAFITFKDARTARLAAKILDSHPKRSLACHAVAAPDWTDILWHRLGKTTYRSEFV RGWVVYLGVWAFTLAWIFPVSLLCALASLTNIAGFIKPLQAFLNAHPKAASAITSLAP VILVALLTIAICPILLVIANKAETIVTRLGIHNSVLERFWKFLMVNGVVFFAIGQSAI EAYLTAFQNKNFDPLPIIASAFPTAAPYFASYILLQTAIQPFFEIFRFGLPTIVYVFG TRVSVIPRQRSSRTEHPTFSHFSQVPQQLLGGAIMHLFMLLNPLVIPFTLVYYGACYV VWKRQFTYVYGRLYETNGKRTSIRVLRYSLDALALGQFVLFAFFILNKAKGHAIATGI LFALTLIAKLIITRALKSRFKSLDIQEADILCPPVVVSTDVGEIVEEEEDDDSASADW DNNDTGNVFENNNKSSRFHTLRRSVGRWAGSWKKASSSHKPIPFDHVLFSTLDSKISF DPPGSQDVRADSAEGIQGPDQVAEAQQIVMPHHGYQAWEDIPPYRRSRGYDDQPTYTD DYDDFLWLPRDPLSTLDLDDTVEMRVTLTTTAGGSGQINENPSTTGCSEMTARKSEQD TWQDVMADREEERATSPGADSETRLMSTPSRIGSEINDTFSGSHLFRRHTHKAATAWS EVFRRPRASSANSDPAISLEPLNATCGQSSSIPLNLGGETESPTMARVSTPSALSVRQ DSEPNAEETLSPVETPSGTHISFAATLGRSPSGRRPTRLPSHSSNRSDDPINSPTKMR NRSLGHLSPQSNRTASAMSAQQQLLWEEVMREENLARNEAIKEERAEMEKEKEEVIKE KEKDKSRKASVSGSLDGGIRRRLTRGNSEGSRPRLERTGGSEQSRTGSVALVDTPLSV EHT
I206_00926	MALRDANCYTLTLTPTKNNPSVVKLIESYGPGTAKSESRYARVK IKRDDETYSSEIYDVLTGAHLASAGYEMEKAKKRRLQLHGPDEDVPFEYTGRINFEWT FEFEGNKYRWTREVYGKDYICSLDRKPDPRVEICLARDSSSKGPARLQILHYNIERFP TEIKDQRGLETLLVASLLCLLDAASDRNAPSRSASSSSKLVKDGKAPLLNEIDVPPVP TRPVRVISEDDFEPDPHVLFIVIRTRTAEAVQRALEVSLGVTRFRHREGMSEMKQYVI EEEADKPVSTSSSSAKSGPKVIKLDDEVPKKLTPSNSMSSKQQTWTPPPNIAIYLSSI ELPDLKPGRREHLKYVASPSSSPIPNSTPPFPAAAPPVLPPKEVATLQPPNQSKRTSS FSRLFRNNS
I206_00927	MSGQAETFGFQAEISQLLDLIINTFYSNKEIFLRELISNCSDAL DKIRYAALTDPSQLDTEKDLYIRIIPNKEEGTLTIRDTGIGMTKADLVNNLGTIAKSG TKAFMEALSSGADISMIGQFGVGFYSSYLVAEKVQVTTKHNDDEQYIWESAAGGTFTI TEDVDGPRLGRGTSMKLFIKEDLKEYLEEKRIREIVKKHSEFISYPIQLVVTKETEKE VEEDEEVKEGENKIEEVEDEDSAKKTKKTKKVKETTTENEELNKQKPIWTRNPSEVTQ EEYASFYKSISNDWEDHLAVKHFSVEGQLEFKAMLFIPKRAPFDLFETKKKRHNIKLY VRRVFISEDNEDLIPEYLNFMVGLVDSEDLPLNISRETLQQNKILKVIKKNLVKKALD LISEVAEDKENFDKFYTAFSKNLKLGIHEDATNRSKIAEFLRFHSTKSVDEQTSFKDY ITRMPEVQKSIYYLTGESLEAVKDSPFLEVLKKKGFEVLLLVDPIDEYAVTQLKEFDG KKLVCVSKEGLELEETPEEKESREKEAKDFEGLCSAIKENLGDKVEKVIVSNRITDSP CVLVTGQFGWSSNMERIMKAQALRDSSMSSYMASKKTMELNPTHPIIKELKTRVAEDK SDKTVRDLTYLLFETALLTSGFTLTAPQDFASRINRMIALGLSIDADAEPTPAASADA DVPALEEAGGSMEEVD
I206_00928	MASTLSFSDLFTPPADVKPIIKPELSADQASKIQSLIDHFGAQD FTLPIREHAEERVPLSNREMMFLSRETLVRFLVGTKDDLPATITRLENCLVWRRTEDV ENVERMAAACAPESKTGKNIALGFSTKGQPILYFFPNRSYLPYDNQEVLDGFSGRSHP LVYMLERAKDLMGAGVTNTFVVFNWHGKKQGPATPLSVMKATNHILSNFYPETLGLSS FQDIPWVFKTLINLVWPFVDPATKKKVKFGSGKGQEIVKDGDVDASQLLQEAGGDLDI PYDHDAYWPALLEVCLRLRREEEARWLAIGDRQVGREEKAFKRAISSST
I206_00929	MSDTKDQKVTTPTKKVEEKPEASSSSPKPAEIVEKKDEVKEDNE EEEPELDEDVIDMETFQQIMDMDEEDEGDDEDGEKHSFSKGIVWGYFEQAEATFKDME AAIVDEDLTKLSSLGHFLKGSSAALGIIRVQASCEKMQHYGHKRDEEAGVSLSADEAL ERIKKLLTDCKKDYGVAKKWMENLYEEDK
I206_00930	MHFSTRNAFYLRASNYRVIPLFLYLDERHVDWMSERVLQLVIGA LQPKISDILFTSRGTKKHKVHVERGEGYQYCYFLRTTTRTEVVLLKDKSYSLRPPTPP PEPIQVPSPTKRKAPSSSSRVFRTPRRARTRSRSGTTQMEDVDASITPPLQTMDNVDQ DTIDEDGVRVKAEPVDYDADRTESMEETNIKDWKPDVDVTYKGFGTSSVQLVLIIEPY PPLAPSQYAPPSSRLSSRSASIASARSRSRSKQGTGAIRYSSTSLSVEPGAQARSQTA HAPNMRNASRSVSAVPNSRRGGASSSVTPFGREESSTPGPSASAGRRRMSQTPLFMPR DTPFDDDEEDEEAHEAYEEALREGRMRLPSVNRPAGDESGLRRMREDDDDDLDDIPES IMDIGERLVRNSQIEEGVIRVQGGWEERAEGEESAVMGKEEPGD
I206_00931	MSEAASDPPPPPQNSGNGENKPEEVAVSQSNGENQQNTDPTNPP AEDVAMEEEKTQEDDLEDIPEGVKTGETADIKMQTRMIDNEIKMMRQESLRLAHEREQ MTDKIGDNMTKIKQNKVLPYLVSKVVEILDVDAEEQEGAAHNEQNAKKSKCAVIKTST RQTVFLPIIGLVPHEELRPSDLIGVNKDSYLILDKLPAEYDARVKAMEVDERPTETYT DIGGLDKQVEELIEAIVLPMQQADKFKTLGITPPKGCLMYGPPGTGKTLLARACAAQT NACYLKLAGPALVQMYIGDGAKLVRDAFELAKEKAPAIIFIDELDAIGTKRFDSDKSG DREVQRTMLELLNQLDGFSSDSRIKVIAATNRIDILDPALLRSGRLDRKIEFPLPNES ARERILQIHSRKLNHHGVNFEELARSTEDMNGAQLKAVCVEAGMLALRQNATQLSHEH FHGGILEVQARKAKEHHYFA
I206_00932	MVNLPPLAAIFLTHFDDIKGQSVIFYASLPNLPAETIEHTTLPS GLHALDSDLVLFTHHELPGVGVFRSRLNDESARGRRMGTLGVVLANPSVPSDLFVLHD PLSDLFDKLEDLESSPYAPSTSTSPSSAVTILAKVWHDNRADSHPGCPSSNLIKTTGK EAVGGIRRLIDGRADLPPVHPIAYMPSLLGILGPSIVPVYKAVLAGQRIILYSTPPLL PLAAFAWCIWSMSLSPDSTPDSETSKWIGNVGLMDLTDLKARTGGWVATTSDAIFKSH HGVYDMFIDLSSVPLTIPSSIENAPTTPTPLIHSTYHQPKNTSIPITYAFADLPLYKS LLLLTSSPPTVHAGVSKTGGWWLLAFEVMERAWKLCVGVCGFAVGRGIVGEEGHLRLD EGEEDAHLLGSEDDVITAPDGEEDGIDNESEDEAIRRGRLILRQLHHNTFHLHSRLEG IINSRPRGEYSRTAPLTQVEIRQLVGTRWPLTGTGSEERFWIDIARVWGLTVDDEDDF 
I206_00933	MANTAVDVNPPSGSVQHITSHGSDWLWAVFAIMALTDIIVFFWQ FTLPRGQRVFHQLCMFILTTAAIAYFAMASDLGYASIATEFGHMGYAADTTRQIWYVR YIDWVITTPSLLLTLVLASGLPLSDIIALCYFDLVMIVTGLVGGLVVSTYKWGFFAFG CAALFYIWWVLAGPARASAGALGADYKSAFTSSAAILSFLWLLYPIAWGLADGGNVIS PDSEMIFYGILDVLAKPVFTFYHLYRLSKVDLSLLQLSSGKFSTSGGVFDQEKHAAAG APATVGVPAAAGSDAKKGGFFSKRGQRDAVATGAAHDNVHPGRLSEATAVNA
I206_00934	MTSPAHNGAASTSTDRESNGDTPARPAIASTGIGRITPRSACEP CRTRKIKCTGERPTCARCQKQGKECIYANATTSWSYIAALEERIRGLEGERAQWIGQQ DPEHSNKRQRLDGSTPPELPPSPSLQRRFSSGGGSGTVHPPERQAMINELPSVHPVLI PFDRSALPPPQHIHELLSHFFLYTNAAFPLFHIPTLQRQVDVVCFSDDLVNRSDLAAV LFALAIGAASLFRTSPLHSLLSPRAESFFHMAMRFTMHGVGFNSLARLQIQVAHLIFV LYNPNAGNAWDLAGAAARHALGMGLHHEWDDQVKTPLEREMGRRLFWITYSLDVMMCV VVARPPVIPDQWINAELPSIYDDSLITDTTIHAGDLSPLKAASLQVYRLRRIQCEILT RLHASKSPPATSWFETMTERLDQWLVICPEGAGYANSDWQTLHYHASISMLHRPSRAN PTPDREAVTKALISSREVLRRSKDMYRMGRVNFNWLTMHNLFIQGVTYLNCLWQSRQN GWNIVPSSVDAFLDVHVCSSLMEGVAAITPGTSGIRNAFEAVSEKVIRQVMSSNERQT SPIHNNPLEVFLVHPLGDMNGDEWDRTVAVVLQRLP
I206_00935	MPSSTKPIVVALNPLHPQALALASEHFDLILPDSDRFEAWREQA QGMLTISAKVTREDVEESTQYGQLKYVAKQGTGVDMLDLAALREAGIVVMNTPGINAQ AVAELALGLIIDAARKISSTHARFYAGESISKADGWEGQTLFGKTLGLIGGGDTGFAL ARMFQSAFHAHIILYDPFLSSADLQKWGRGIPTTDFTHTSSFEKLLKESDIVSLHCPL TKDTKDMISAEQFDIMKRSSILVNVARGGIVNETDLEVALREGKISGAGVDVTVVEPP TTEKYGSLCRAGCIITPHYGAAPAEVQEATCLAMVQHMIDALEEGNIRNRVV
I206_00936	MTDNESANSVQGGTQRRDSLTVNPTSWNNSTGSGPYGGTEHRRN AEDFRRQSLVDTWEDASNTDVGKPYEEIPVTVDESVEPQTTS
I206_00937	MGISRSARILTLLVIDTVFFFIELISGYAVGSLALVADSFHMLN DVLSLVVALYTIKLATSPSSSANSYGWQRAEILGALINGVFLIALCVSIFLEAVGRIV TPPEISNPKLIVIVGSLGLLSNIVGLFLFHEHGHSHGHSHGAIALPDDDDDNDEEVRE DSVSELFQHPAGTRAQIIETAQEFGYGQNQASRSLDNGIPKIMSPPSHHHRALSNGRR SHRNSSISRSSESRKGSASKVPPVAGQNDTLPPFGGLNDGNSSTASSATIVTQSAPAA PAKSNLSHSNSHDHDHEHDHNDGNGNGKKLATNASDAENGHADEHDHGHGGHGHSHGS MNMQGVFLHVLGDALGNVGVIAAGLVIWFFEGRWTLYFDPAISLLITCIIFSSALPLC KSASYILLQGVPSHVSLDAVRKSIVNVDGVDSVHELHIWQLSESTVVASVHVMIVPGQ DYMDVANRIRQRMHGHGIHSVTIQPEFLTDEEALSTNGEACLIRCPPDQCQGDTCCPP INAKSGDEEEHDHDHDHDHDHSH
I206_00938	MHAGGGVPSMAYYSYSNQNSPVIETANNDNPFDLANMTIPPRLK SIGRKISQMSNDFMPPDIEDPNTRSKPASPNLEKKQPLGAEVPGMGDEPIMCPFCNKP LPPSLVASQMTSGKHDHTSAPQRPNNLKRASSMRVTSTPSPKLIPSAPLSRVTSANTP PQTQKPTPLLDPLPVQTPGSTKPVEPEPPIPSATSESFAATVKEGDVANHDAAEKMIT EEDIKRWSSLSGITLPSTSAAHTASATQSVPQEQKAFPLLPPPPPAASKLTKTPPTER THSRSSSAKFGFFVGSKKGGEEDEDSEDDDGMATGYTKLTGPASDSEDEEPSQKGGVK LNISKEEIQQQEKKAEEEVEKADVQSAQPEQVEPPRQSVITASAPSAGDGEIRKVLQE VLERVNDLSKTQTALLASHSTLLTSLKIARSNLAMAEANSEMLEEQLKRASTSSNASR TGNSRNVSGPATTPGTPLPVAPQPARASADHVRATTTANATATPAAVTGRAPARASME DRPRPTSLYVTANDLANAGPGGLSAPSPSSSNNSWGFWNGGKKKVTGALSHVHVPSAS SMMDAIGNPSRPGTPNPDGSAPRKSTDSISSWIPSSPAAPYSVPISGNAPSRPPANRT VTHHANLSKSFNDQPSLSRSMSVMNVPAQRSASGSAAAVSVSNAELSKLRQAYSAAVA KMDGMSKELAELKTGKVEMEAELENLSQALFEEANKMVADERRKRAELEEFFKEVKEE REALRETIKVLGGKVEEPSNPPPEGSTEDSKEEEEEDELADDFVPRDLDKHYAALRKS IHHVAARADSSEDEDEDEERRASVPLVSEPGSMTPIATTGGFAIPTSRTSTMTSDEGE DNSSHMLSMSMPSLPAAAESNPWATPAMTKMTPFETPAPRISVKAATPSPHAPPVGLP ELPDDSAGEGEAISGLGLDIDKGVVAGEAEAKKEEPLEPLQGK
I206_00939	MENHQNDLSDFSQVGTSKLSLGSQLNDQIYHTQAVEGIKVSNEN TAQNNKASKTNNKNNHNDESSNSLPHPINPLKLHLVSHPLRGRGVFTPFTIPAGTIIE ESPVLVISQKEWEEGNMNESILGSYGFCWKDGGMGIGLGLASLFNHSASPNVNFIRSY STSTIKFITSKRIEKDEELCICYSADESKLWFVKSDSDKQEGIDMGSSSDEEDGASLS KDINGKVKKHGKSLTSDNVQIQSPQPIKFNAAAGPSSITLSADSSRTSTPSSSSTSLY PEASSGFITSLPPPLHSGRDRSKRSTEAIELTQELDWDEEFWTGQNPSGTEREDEVAE YIREKGPAEKEAEEGADETMDIWALEFTDPRLTRNALDFSKDLTASDERLRHLKRVCR RKENNQEICRVVLAMVAEYDSSALQSIMTSYSSTLSHLIPVIHRVPSTIATTQEQLKI KSHLWPVSFSPAPIIPNDSSDWPIGRKAWVTSGIKRVIQIALEAKSKGELPIGTYCAA LPKTFWPKKEEGFIQPTEELRASSYDTRQSENHPLRHSALNCIKSIANLRTVEPFISI TPERNGSDYLLTSLTLFITHEPCVMCCMALLHSRVREVFYIFPRKKGGGFNGSELGIH ARKDLNHRFEAWRYDGIIEDDVRQQLEVEEEIQI
I206_00940	MSQQIPRASSSHNAQLPVVTGGRGIKGFFSRTKTMSPEPAALPT SRSRIRSFMNDTAKVGTSSRPTPGDLRTGQRTLSSEVTIDRQRLENGAGREAPARPTT PTERTRRQIRFNPSALPAMQKGNDGRWQPPSLSRGSMSTSALATLAQDDDGIRTARPS EEEKFVRGHKHTLSDLFQSTARHKPPPIITPARKQLTENDKTIKPTSRTDNTQFPVNP SGTAIRSSGKTTTIKASPLRIAVEPKRPTHMIPLSPKPVSHPPLQQSRSDPVRPATNQ PVNPPPLPRSDSSDAMPPPPIPSHARQDSSSPSTLSREHYLLRLSTSFIVKMLTPVIK GASFVQSDKNVEMRRIVDERLTALARMEKAWGSDWAKAGNALTSTSESKDPASGWSEG EIRLVHVGERAKERERKGWIEALKDGILLCFLLNHLFPSQPSHIVRLNVTEDGILRST NLTRFITACQTIGVLNSDLFSLTDLQEGTEVSIGRVAQTIVALARLAGGTNSGSHQAK LPNSSRPGSRNTSRPPSRNTTAVGTPARSPPVSPRRTSVDLSPSKRTFPDKSLSSPNG SPIALKMDSHGSNDRQNDLHSKLLIVTGTDSAATIIDPAYKTPTTSTFASPPASNPPI KRTPLPRASTQPNISPIRAKSPSSPPSSRSITSVENTGNLQIRPSLRPRNTTEPRTAV SFANKASTSPRVDDLSNSPGRPASHSHSRERTPSLISAGSRVTSSAYSRSSAAYSVAT ILGGDHGNAIDLTEDAEDGLHRLQNRERRASEQKLQEARQRIIGTLLSSDDLPDDLKR AVRDSGLCGDEARNSALSDSLATLEGNKADPQPRRLDSSPGQRPLARRGMSIEIGRPD ANKVPEEDEASSNGTSVGAFIGGSRPTALQRLSSNGKIYVPKRSSSPASNLASPTGVT FPTSTSSYMIRTTSLQTYQPHTSTDDSRMDSKAERRQSDGYPRPKAHGREISESTENS SRPLQIRINSMVNLPVSGMERSPSLYRENSFAGGIRPTQSLQVLEFREAGCPDVKYQL GNCIGRGQFGSVYRSLNLSTGQMVAIKRIRLHGMREDEVTDVMKEVDLLKRLSHPSIV KYEGMSRDEEFLNIVLEFVENGSLGQTLKSFGNFNERLVSSYVAKILEGLDYLHSQGV VHCDLKAANILSTKNGNVKLSDFGVSLNMKAVENIKQDAKTAGREGGKKRVSEVAGTP NWMAPEVISLAGASFASDIWSLGCTIIELLTGKPPYSDITNSMTVLFRIVEDEMPPIP DGISDALIDFLKLCFIKDPSARPPAMMLFEHPWVKGLHPILALRPQDSVPFLRRVSMD LHRVDSQRIFEQGNLSPKPDNAIDGRKHRHSMASSHGREGSGSGKNHVLVKTSFGKAI PCRVCMVDVKKQGVLCQDCGLIAHTSCASKASPRCDIHEQLALFAKQQEISHSLSPPR VASPQPSFEDRDGSPFTALPGKILNGIIRSKSRGGLVNSSNLSHIDLSSSETNKKGIY GHGYNNQSRPSLDQQQPSSRSTSFNLQNRSSLYSNMTEYDNDQNEAKRRSGVHFELGD QRPPPQPPAMRYSLENDGNQSIPFELTAENLASVGNTNRKGYTKNRESKSDCCIQ
I206_00941	MPYQPNHIFKGVVTKVGAMRRTATVTVERVFEHPKILKEIKRHK KYLVHDENEVARLDDKVTIIHGLRTSKTKSFRLHSIQSRDSRKYPNDPIPQIIAEPSV KPSKKIGVLDILKEQSSVVQ
I206_00942	MTQVPLGAQLSPVDLPPPPPSSDPREPSPTLAPRQRGGSRTPHR PHSPLASRDVSPVRPIGQVRSHSSELGTSPPSANLPPLQHPIPKSSGTPSSSIPIHHA PHLSSRPSSPSSIHSSGSAIFERDIEFPPVASLSTPNQPHTLNHKASRLSHLSHGSTL DHTVPAVLDDAVEALTASTDGLSRGFEGLEIEAPAIASNGIGMARQSSSSLPRKISSS GPHPLIHSRSTSPVSVNSSIASPATSPPILGQLHTQQVTSGLEANNQLSPTSGTRDSG LPGTVPRPAMPQRMSTGPQVPGGWMSTFTGGTAQQQASAASIQPETIDNTNLTSKDSL DAGASSPNSDGSNSNLNTLTPSSIPSHISPSKNRHRISYLSYNDLLSAVPTKVTSLED ITSGQLSPDHLPGTVSPSMSTRSPTISPHNPLSPGLVGEQSPAVGATPPPKTLQPKSS FDAHRSGSLGGLGLGEGEWGREGLGKGLEQRLEEVAQGQGQGQGQGQ
I206_00943	MVRFKNRYLLVEFLIPSSFSSNIQNNHQNEEEFMNLEEEENNIL NDEDDGEDEEDEEDLDYTLKLIPKIPFLLPKYQTLLEIGDENISQQIIYKSIKGIIQD IFGDEGWSRVSSSFRVIYHSTLTTLTFIKIARPYYRLIWSSLTFLTTLSSGNNNNSKN LKIIPRVIGISGTIKKMQNLGITYHRLIIAQLINHSSSIEQNQENTIMRLSGVGNDKV KLQKESDREREEIGRLDEV
I206_00944	MSSTLPELSHQSRFDEDRTDLRTSNEESKKTILDPSQPPQNFYG TDANPDYYNDAESQEHMLAGVAKVEALQAVWGPTSKWFLFGGIALSSYIYSLDGVTTW QYLSYATSSVLEHSVSGTISTANAIIIAVGKPLMAKLADVVGRAETFVIVTILYVIGY IVIATANDVNQIAGGQVLYSFGYTGLQMLQQIVIADMTNLRWRGLVTGLVSAPFIINN FVSAEIAQGILPNWRWGYAMFAILVPVALAPIIVTLFWAQWKAKKVIPAKASPNARKP WSVVIRDAALEMDLAGLILIAASLALILLPLGLAPAAKGQWKNASMIAMVTIGAVLFP LMLIYEWKVPKRPVVPMRWLKRGPILGACLIGFTDFVSFYLQYTYLYSYVYVTQTWSY RDLTYFSATQSLALTIFGICGGIIMYFTRRFKWMLFAGLCVRLIGCGLMLKARSATGN TAELVMCQILQGLGGGFAAIAIQVSAQASVAHYDVATVTAMVLLITEIGNSAGSAAAA EIWSSHMPAALAQHVPTTNATLLADLYGSITQIATYPANDPIRLGAIAAYQSVMFKLV LGATIVAIFPPIFCIFFTKDIKLTRSQNAVDGKDLAGNRTGEETAFSDDTTGGVEASR RV
I206_00945	MTSSTTASQSELEAARVPLGWRDQCSALLIPLNVCRHKTLYMPW KCEDERHGYEKCQYDDYMRRMKQLTRQKKAAAEAAAEEE
I206_00946	MPVLPVSNGTSFVKRFREKVRMGASALVSALNALPWDDGESSSD EDEDDDSYPPSGSRKQSASVLEEKSKRPHFNRLGSSLHTIRPMIQPHADEHSNTPQRS QTAQAAQSTNSLRFPFRDNTIARRARRPNETELSYQYAEDQARRLSIQDPSNDVSSGD SSPQIDESRRLSDPASETSSIGAPAREMLNEQISHEEAIGEAEERDEIVRAAESSPAT SSAPLDLDLAPVVGEQEQQDMNADAALRQPESLQEEAVEHDIKPNENEKRRLRKEKLA ERLMEVFGLDEKEEVLEEMRCWLLRSVMLKGYMYLTKRHICFFANMPDKDNLIVKTGP LSKKNSRTKLNTKFWVILKNDVLSWYESTADPYFPKGNISLQYCTSCDATDELKFKVR TAEKNYTFTTDTEASRDEWIKAIQKVMFKLQHEGESVKLIIPLEAVMEAERSPTLEFA ETIEIKCVDVDDNMSVESYFFASFQNNDRAYSRIQQVLDDRPSWELPRISSAVTIQQP AEALEDSVNTIRRPVQPSESTNESGFGIKRIGSVLKPLLSRSSDQVHETDSHKSGLTI PFLSNKNHKTSQDSLETVRHEPILEDEVESPDEAYDDGYPPRQSGQPPAGMKHDDSKN WGPSWIRKPASKILGTSPGSTSTLGKSPESTYGQGISRTSTNTTRTGGHKKHSVTEIV EPALPKEHDSSDDESSQRPGAFSGGRAQRPSFASDASGSSQMGHSRSDFSMMEASETG QREDDETASKFRDVFALSEKEELIDHFPGYLFRVLPVSGRFFISTNYFCFRSSQLLYK TKMIIPIKDLYGLKAQKAFRFGHSGLIVVIKGHEELFLEFSSSKRRKACVRLLEERME AVRVSGEQGEPDQADIETRIMEDLDESQPLESRLSNNNVPPVSPSPLFGSTTSTFLEF KPEPMRVTCLTIGSRGDVQPYIALCKGLQAEGHTTRIATHGEYKDWVEGHGIEFASVG GDPAELMQMCVDNGMFTVSFLKEGLQKFRGWLDDLLTSSWEACQGSDLLIESPSAMGG VHIAEALRIPYYRAFTMPWTRTRAYPHAFAVPEHKRGGSYNYMTYTMFDQVFWRAISG QVNRWRRHVLDIESTTFEKLEQHKIPFLYNFSPSIVPPPLDWTEWIHVTGYWFLENAD ESQSNEKKWTPPEGLVDFIEGAHKDGKKVVYIGFGSIVVSDPEEMTRCVVDAVVESGV CAILSKGWSDRGSKSKGDDTGDSKGADGVKYPPEIFSIDSIDHSWLFPRIDAACHHGG AGTSGASFRAGIPTIIKPFFGDQAFWAERAESLNVGSAIRKLTSEALAEALIKATTDA KQIAKAKIVGEMIRKENGVSKAIEAIYRDLEYAKSIIKPLPEAEDKPLDKVTSLLHTD SIVPFRSRSRTKSSPSKSDLEPDTQSSSDDVERDRDVHASDDGWSVVSGNDNNGRSRN SSVTQVAESKPSGVGVIGSIVKGNELISGLGKALPISNPFSLKKKKDKKVHEEDDDED EPALDSAFVEGTAGAKDSDISTR
I206_00947	MTSPSPPPDRQTNNANLSAPPFPESAVSPDQRDPAPKHPNAEHL INTAAKLVFPSQPRPGEDKDGKDDKIPDTSKGLQEGARTKEEKEEKAIIGGTPLDELP YTPAFDIPSFTSRKSEIKQVDLDVEPITEEHRLEEEERQSGDTLNPIPSAQSKGDATS LSNSTTKLPNDRSRDIGKMSQSDTRPGFGAGKDIGSIVTLGYLAIRHVHSFVVTWDVP KWPPLATS
I206_00948	MHRYKRQLPPSEKAFQEIKLERRKFIESNLKLDKRLYIPDVLPP DLPRDEDKRAYWRSNHTITSPSTYRGAKPNLALEGHITIEGVAAAETTVRASGSNDNG FSEIAIQALQEGTLTNSDSDLIQGGLDYIIEANDIGYLCEIQIGTPAQTFLMLMDTGS ADTWVPSTTCGLQACGDHTALGADNSQTFQASEQQFQVTYGSGAVAGVLATDTITIAG MTLENHALGVALQESIQFSGNDVPFDGLVGLALGKLSNQGVSTPIESLASTGLIKSPI LGIALGRFTDGENNGELVFGQADTSKFDASTTQSLRVTSDDGFWQIDMAAVNVDGVEV VQGRQAILDTGTSLIIAPPADAAAFHAQIDGAIDVGGGMFSIPCTINQEITMTFGKIA FQIDVRDLLFQPLSNDLKGNCLSSISSGLIKDDLTWLLGDSFLKNVYMITNSNDLTIQ LSSKTDIKGIPPPPTSLTTTVQMGLSTNTSNDNSTDSTINTTANQSNFSSSTVNRTTY LNSATFSIISALAALLAGEKYF
I206_00949	MDTCALNMIPQAGNSSTSIVLGPPITPTTKMSAIPLEDNDFGLD DIRQFTPKPLNRSLPSAPPESSRTVRRSLDSSYSCHSTFSSHTNSYSNRTPPSHQRSA SLSRESSYTSCNPNTEDDNRCRVSFDATPVQPSVAAFPSNYRQNSHSRRMSGGSFGQV QDENQERNDQEEADKQINFKKARFLEAPLISRSNLSASSDIRRSYMSAFNGEEEPELY AEEFRIPVDENIRSIPGVVGLGEGWAGGPQSKPKKKWYSRRTTVEEDPLSLWNEDKVI SPNTSPLKGLWSKSKRNLFGQSSPALLDSTQGSEPPRSLSRIGRLFSMSRPNLTTTDQ SPSGPRASSKPMKDMSKRSSLGIFSSSEITLHPNIPSTVIPSSPSMPILALAEPTTPI PRRHRQYSRSTLARSEGDSNSITDAIPIEASSPKRNSLRPPWRPSSMVITNRHSALVA ADEGMGTSGSSTSIETPKSRESSCSPSSRGLALTRTATFGLNDIAAEQRIDEENASIE QELDDEWVQVRKGSSSSGLDSDKNKPLPRVPSPYRRPTTKQRKDSWLKRVKSALTAST SSSELSTLSETVDRLLPRRKSAKARKGSSEDYVADPIWCEPRMEPLKTSPIVPQRKSS RRSRRSSWMLRSSSSLSKRLSRLTEHEEGDDDRDLDIEEVDHANRLTASMDCRNLQEN IERLDRQTPKRGKSFLGRRRSSNFSLLGIKSRRSSSVHPLDNVDGLPASVSMPTLSKL TAIDLNLHVDITGEGLGLEDILDEERRGSIVHSLGCMTPNLNNTREEVIQSTFDTLSA KSPDIKPKNHVNQGSPTTSSYRSKMKMRHNSLPLSTTTENHTRHRRTTTVSTINSSPS PSLITPRHPAELATFLNALTFMDADNGNEQERLENEIDLPTKANTKVGSAFDHNVVND NLLHLPKSRKFSASTHRLSAESYLTQTSLYDTEEVVHGQAIRVESIGHFHKQASVISL EELGKNWSGGLQMTRS
I206_00950	MSTPRNNLHEIMARKQGGKTSPSSLNAIASSSKGDGAIRTPSIF KLAKPLISTNDHLSNMPGVKQSQIIPLSGPKSTLTPSSASNLELDISKRPVKTGNQLM TPSSTVGSPFFTDGKGKGKEMPSVRSSGDAKASKFASWTAEKLSAEYMRLMEERDELK DRKLAILSGEADDDGHEFIDDDIELLTRKINALKDERDVRRRNPQHSTFQPFHPLPPQ SSGSTAVSDPQTKYPTPETNLSNRSRSTVEEWQGQRPKGLSSDDLEYPPLVHDRPGNF LSRSEQPQAGPSRIRRNPSAPRPPSHDDYDMAMAEAGFEEDAYPDPGEMLIPPSSPPP RAVTPPRSKRNSQSQPRPLSSKAKAKAMAEIEDLAIEEIFSSPIQSTSNLPPPRVEAS QARAVFGGPGPSSSQAKSRAVQVPVQRSVQVEKTYAWTKEVETKLRHVFKLPKFRKHQ KEAINETMAGKDVFVLMPTGGGKSLTYQLPAICSQGKTRGVTFVVSPLISLINDQSRH LCNLNIPAIAYTGDMTQKDKNLAHEELSRPEPYTRVVYVTPEMLTMGGHIKSILRNLL QKKRLARFVIDEAHCVSQWGHDFRSDYLKLGNLRTDYPGVPIMALTATAQNKVEEDII RSLGIQGCSILRQSFNRPNLHYEVRPKTKKIISEIVGFVRTQGEKASGIIYCNSRDGC ENLAKEMRDKHNLEAHHYHAGMSKGDRRKIQEGWQEHHFEIIVATVAFGMGIDKPDVR YVIHHSLPRSLEGYYQETGRAGRDGNPSTCILYYTFGDGKKVLNQIDQDQNLTRDQKE RQKASMNEVLRYCANKADCRRAQVLSFFNETFDPQECNHGCDVCLGRDVNVFTSEDVT ADAVNVIKMLQHFRHEDRITIVNAAECFKGVRGSSGKGLDANPLYAIGKDWSRAEAER LIQTLVIEGALEEFCVASAAGWTNAYLTLGKQAGKYLNGSKTLMMDFRQASPRKQAPK KVARKTGSKTQLGIDNYAQKVPNPISRKRSHQQILAEEAEFDNSPWGDTDEDEHYDPD DPIEIDDDDDGGHTEREDDVLPLEVKKRKTTARKEIGNSIVPKGATSISTVSSASRVK GVIEVDRSSSGSPVEVCLQALEKMRASVLSKNKNAPKLDDEMLQYIAATMPANEAALK DCEGMTSAHMKTWSTKIMGICVKHRPAYHAFEPGVAPLATVSARSASSESIPSKRSNA ITQIQKYAFDPKSSTSKSTSAARTLTPMKSAVTLASSLTNNGKALNGQLPLHSGKAST NGIRPVLVPQKTNARKDKF
I206_00951	MSVASSSSRLVRSNIVRSVRKINVKPSSLDIPSFLLPSLSIRHQ SSSSDAPKRRDKERYNYNTSLSFSESPDPDHVHWRRVTAAELTSRKEPPTRVKMLVRD FIDDSLYNPNYGYFSRNVTIFTPPKEGFDFGSFADTAAFQEAVAERYEKEYGLELTNG QTGGLGRQVWHTPTELFKPYYAQTILSSILQTYKLNHFPHEPLILYEVGAGNGSFMID SLNFLKKNHPEIFKKVEYRIIEISTSLAKGQKSRAEQEGFENKVTVINSDFFKWDGMI PGKEKKNEEACFVIALEVFDNFAHDMIRYDIETLTPFQALVTIDNSGDFSLIYEPIKD NLIRRVLAYKKLLPENSISKLENNFIKPPINNSFLLNSNFLRFLYSNLPFSPNLTKPD FLPTKSILFLENLRKLLPNHRLLISDFDFLPDSVKGRNGPVVQTRYGDSMIPCETFLV KQGYFDIFFPTNFELLRDIYSIIMNSPSINQNENENSNLIKNQNNSKNDDAKQLILKK DFFSSLGVKGFKRRKINIYKHNEFIDKFAGNNNNNNNKNEILKKTNLKNGENVMKQLY NNVKVMF
I206_00952	MFEEEEDIPRLPTPPSPLPQPIRSQSESFRPARPRDIKNVRSLS HSSRIDLSDWEIEELEELHKAGGRSRKREKPTSPALVVFYVVCLYMIVQIFSRVDDLE DLLPNSSTFRQSHSPHTSMEVPNYPHMPYGFPHVPNPLPSATPLTSGTSWWRVILGVL FYPIYLLMTILITPLPLLLNLLYLLAGGVAILLYPIIGFSKILYRAFILGPVSIIGGI LEAFYPLWVLVGAVIFFGCLMGLSTGWIGKLVLNTILGWKERREIKAKKLRDKAKKEK EKKKLDLLFEQYEEIERFRQAEIERHAKRNRENILKNSTKKAPILNIGMSNLRGTKKD EKTEKDLVNKEKKEKDFQKLLLKKNENRDIFKSTGRENLQFKEDLNKRFNLNSSSSST TTTNSNSNSNSSENFSNSNGILSTTTRKFQGKSKYIDNFEKERFDNIGLKKNKRKLTS EENDKLVRGENVPVLIGMRRRGIKETYVI
I206_00953	MHEFNQSLKYDKRMYAADVKGSIAFSKALLKAGILVENEQKEIE RGLKIVESEWAENKFVIQPDDEDIHTANERRLSEIIGKEIGGKLHTGRSRNDQVATDM RIWLMEESNQVEAYLKDLLNVMVSRAEKEVDAIMPGYTHLQRAQPVRWSHLLLSHSQS FLGDLDRLRQLQPRISVLPLGSAALAGNPYSLDRELLRKELGFKSIGENSMHAVADRD FIVEWLQWASLLQIHMSRMAEDLIIYSSAEFGFVQLSDAYSTGSSIMPQKKNPDSLEL LRGKAGRTFGQMAGFMMSLKGVPSTYNKDLQEDKEPLFDAVDTVSAALRIAEGVIATL SINTEKMFAACTMDMLATDIADYLVRKGVPFRETHHISGQSVALAEKNKIQISDLTLN QWKELSSHFDEDVKDVFNFENSVEKRNAIGGPAREMIKRQVNIARQRIGQ
I206_00954	MKIRIQGLLSPVKSSSLRRNGRVSANCIQFRYRHDSQLLRDIAS EDNDFILSILQASPSVRDSRSYLSSFAPPPQTPIIPSTTSDITTTSTSTSNKTESQAE NPLVNSLLNPIIRRPALVKIQGPFTDAQLDSICKGMAHLQKLGLVSVIVVDRDDLPTI ESKDRFEAQRQRSIVRKEVERVVHFLSRHRSIARPIFSTVARINENDKDKNKNKNVIE SEEEENEVFIEEEGLDHVRRAVMEGEIPVLLPVALDEGCRSRRISSNKVLLALAKSMS LSNSSNSSNTSNSNSNSNSKYDLTPLRLLVINREGGIPSYARQGLPHLSINLSSEFEY INKTFQNSWKDTHPTSLFNLKLSKECLEYMPPESSALIVSHRSSSSMIANLITNKPAH SASLPHSLINNSEGRITRDTPTIIRKGLQVRVLRSFKEINLKKLKDLLEFSFKKNLDE ENFYKRLKNDLDFVIIVGDYSGAAICTLEGKSKFNEKEKTKKSICYLDKFAVNPKNQG DGTVDFLWVALRDETYGLGLLDASNPSIGSLKGVGIGRDLVWRSKSNNPINKWYFERS NGFKLTNDKKWKLFWCDAEQRLNSIWKEREFGGGRLIKVIENEEKNRLIWWENEISKI KSAWKE
I206_00955	MIVKVKTLTGKEVDIDVQPDMTINKVKERVEEKAGIPPVQQRLI FGGKAMADDKAIQDYKINAGAVIHLVLALRGGR
I206_00956	MPTWKTWPDTQAEERQEGTKQVVKGKGKGKGRTSKVNSTSSRKS KVSSTGKSSTSRQLEDDKTKQSIPKSNAEEENDAESESREGPRKGVFGMLDAILPAPK TSTARVAEGTLSFSKKPTPARKSRSCKKPKGKGKEKEKEPEVDDGAYNDIGIWIPNPL PSEELDNTAEIDELEEELQASLGALSTPKNKSKGKSSHNMLNSLSSPPPTKLITPALG REVMNPRVLDDTPLPFHPHQSYTPPKYINHLPILFHRTPKAKGLAHQDKQRTNAPRTI DEALPRPISLSISPETDDQGTRVSAQSPITRSKTRRSIQREEREEVARLSTTDEDDDE SKIPPPSFLSRRYEAETAVREHLVLTREQA
I206_00957	MNSRAHSSAPAGYSRDKSKSTFRKTRRYGKGRSHAFQHGLPLPL VATSQSGALTPPPAPPVRSSYRGAKRKGSTSFWRDPSCSPDAVDAFDQLNDRHQEDFP DHIPIRKKRKTYARNGPAPNERLLGLFGEDENEPPRYRHKRGKTPRLRLTKTFRLPES ENEDLDSDTQAMNRDERRRKRRKKAINKRHNIIAEEGPQLHLTQDRLCQDRVKQYVQK VAQTLPTPKRPLRDIKAVRQRSYKKMLGEPRHASKPIDTISWTDYKARYRPPLQPLNE STSSNSRPSHSDRLGERVSELIAAGRKARLEVSIRKQRPVTRDFTPKLPLAALNPSQE DPQNDDAIRVEPNLPSRKRGSSLGLPVLPQNQYKLNFTNKDKAYIPKSTNTDTSRSEN PSHSIRLGDFDVLGHVMYKPPSSLKKKKPTPLAFRRAVPPGDKLPDQSSAIAPVYDHS RHMQQDRDDPIVPFSQPVDHPDELQARPIDYRELQLAERLLTDQVARPESLPSAQTAL GYHQFVNHLYEGNTREHETRPQVIVQRQEHTVDTDPQVPQITHAPTLGSGGPYGLPRA DQDPLIPSPSGYFTNEPMTDLDALAQLFPTSISQIDWQAIQKEFEPSQMVTVDDFLPE QGPERQKEGGDIGQSKPFIPTIPNFTRSPSEDIAEPPVKDTRTLLQAIPQVQLPGIAP APQRSTSKRMSGMDMTKHLLDRPIDPSIVQHINKTFTEYKGKGKSSSVPSRRSRKEER AKRVQEKHSRQKRQQQQMPITDFTRQQEPIPPVVQEVEGTDELWETPQVHQQVSPSLT EAYRDIHPPRLEHAEKRSQTSAPARFSLSNQPVIRNYKEAKQPSIKALEERNYRSSRE AVEQIFRPPIGRIETGPPGEIGDAVYEDTEVDPSTQLPLSSHVSENRKLSKTRTNSFA NRFRDAEQSGPYGNAWRTEVKSGPISHSKQNEDFISQSFENSLNWLGSKQQGGNPFEE DLRVFGGNSSFPIESNLEVGPSIYWDNKVPQSAENRSLNREQNNNNRKNGKRFLSFGN WDDSLVS
I206_00958	MLRPTSIIQSRASTIGWIGLGAMGHPMALNLFTKTYISRQSDNA ESSPKFTICEQDESRVSAFLSELREKGGIELVNQVERVENGREMAKTASRILTMLPST PQVESVYLDSKDGIISGLLSLSENSQPLASLSMKSQSKENADIENLSSSTTISSGIPP NTESSNVSSSTISQDYSTASLNSPSLPNEAHTLLIDCTTLSPTSATSIAQRVHQETSG KVYMLDAPVSGGTIAAKKGELTIMFGSPCSSATDLAIPLLKTMAKDNRVVYCGGNGKG VGVKVCNNLILSINQIALAEGLSLGRSLGIDPILLNDVINTSSGQSWSSKLNSPLKEI ENSPGSRNYQGGFQSRLMLKDAGLALEAAAILDLPIPMTWASKSIYEAVCNENKGEWA NKDFSIVYEWIKKKQLEGVERGWKADPTET
I206_00959	MPRSPSPPPRGYRPSYRESSPPWSSREREDYRYRGESSRYREYD RRDNRDYRDVDRDRGPTGYYASGRDEYRDREYDRRRVTSPPTRSYSISSDYSPSKIPR HPNRSRSKSRSTSISRSRSRTGTPEEGQITSTSTTTDTNQKTSINPAGLPPRPRSPLP PTSRRRSRSPPPYRGYPRERERDWRDRERGYYDRRSRSPYSPPTSSYRRRRSPSIISS ISTRSPSSRPSPSKRRYPSRSRSPPPPQKKIVSRIPSPVPTPAPPAITTQTPAPAPAS TPNPTRPIPVAVPLGGTKHLNRMPPSAPRSERIPLGIPPTGPRALAHLNTPIARPLGL NRAGLAVTPSNPVVREEIKSVPLPSTPSDPAKLPIGPTSTPRLSWSERKTNVISPSSS TNINTPEPNKASASPTPTTPITAPPKNISSIPTTGVVINPYTGKPFGQARAQASISTV TSNTSQGNSSPIAGSTPNKTEDIKLNVINDEIRPPTPPVSTQIDNKPLIVETKSPLNL IPMINEEELVEQKRKEEEDKILAELPNLKVSYGGLSWETELDNHNYHLINLSNNTLRL QSASRHAAMILADAEAERIAAGERRKICENQLLSFSIGMGVGIISGV
I206_00960	MAQPLSSNEINKLCANLVYQVAYAFYDTPYIIILKMMVHDNVTT EMELANKIGLTSPEVRKYMGTLHMHRLVRRHVNKEKVPIPEWRLKQLAAQPEKLNQPI KPGQPKPGAIDKDGKPLIIERERTKDVHYWYLDYREFANVVKYRLAIMRKGIDDKIKQ EVGHRGYICPLDGKTYDPLDLSNIFDPFTNTFKCEDCQTELIEHDPSTALDGKTTTSQ DAMQRFNIATSPIREALKLVEAQTVPSLNIIVWISQNIKTTVKGNGELDEQNNEKEKK FEVVIGKDENEEKEKERLAQQQREQNALPHWYTHSTVTGQATTLGIADQKRKQILAER NKFNENEKEFQDESLKAHYEILDENEQGEDEFEETPTPTIEKEIQIPSIKIENAIDML EDDEDDEEGMEDISSIPNGINKEDERGRREGNGQMVNVAGIPKNIEDVTDDDYELMST EEYEAYAQAMYG
I206_00961	MSDNDVSNTVRPPTKRIRSDHDHSKASTKASEASRDSESKEEDK NEDNHEDEEWLKQPPFSVGADKDGWETKWRESCWCGKVAFVYNEDPSNSKICHCEDCQ RLHGAPYQHSVIFHKKNVRLDSDADPKWIGFLSAHGQVHPLSSTPTPLPRKVSCRACG SPLMDEGRNMIMAFPPSFEWTRTHKEVKQAKEDDKNKDNDDNNNEKKGSHGSEGDSGK GARKQQGFPSELRAQCHIFYERRCVDVKDGLPKWRGHKEQSELMGEDEK
I206_00962	MNCLAGPSRSSVFRHNPIGPQIQIKAPAISIRNFSSPSSTRIRH GSTNHPFNNREIARQSLKSQHYRTIGIRSFSILPASLNPELPQVTEEILNNVSTTDEQ LTSVSTFFDFIVHPLSDQLISIPHPFGYGTSIIILTLIIRSIFTLPISLWQRKRSLRF KNFVQPELKIINEKLAKSIMIESKKRNLGYEEYTLELRRQVEKAQKNLNKKYKTNPII TTYSPLFVHIPIFVTLSLTIRRTLEISNSPFFNENFLWLEKLGEIDPYCILPLIGSCL AFGNAELIGRKPKSIKQINEVSIENDLNSPQSQIQSRSSTPPPPRTTEEIPKPSPLFS NKPNSSLSVKSNNSRRKLNTSSIKFGVLQQIQREKRKDTDPLVEVKKNPNQRWSPARQ QEIRRGFMASVLRFSAVGFGLIASQMPAGVTLYWVTSIGFSFVQNLILSWYPQVKAER ESAKKLAALDTKSTI
I206_00963	MSHLALPSSARASPAPSTTSSPNKKIGKKRRLDRVLLDEEDDVD ENDLIAMPRAKRRLNEDYDEEEDEEDHDEHQENDQDQENEQDQAVVGTGRNNNNNNNR KSEKDLSENARLKLEREKERKRGQEIDLKGKKRRAETFLLRDQLDNEQAKRFDTFSTV ALNKNAIKRLNRELYDQHASPQISMVVAGMAKIFVADVIELAKDLQSHSAHPDGPLQP YHLKLARMHLEESGLLADRKQAKKALFRKR
I206_00964	MSSKPSPSTILLTPPDSSPGSPSKTQSSPQYFPPTPSDSESGGG ESRRISPVNLPTKTNTYTESLLSLTDNVTSTITSSIYSLGGGPLISIAKLAILSMMNS QINYGQLTIITPQGESHTFPQNSGRGEEEEEEEESVTIRVLKDSFWLRLVAQGDLGFA EAYMMGECEVDDLVRVFKIFIKSSSQSTHISGVRTIPSRIFSLITSLTNSRFANTITN SINNISAHYDLSNGMFSSFLSKDMTYSCAIYPELDKDLYDGKENQRKQGKIIDIYERD GKDELEEAQLAKLRHIIKKANIKPGHRVLEIGSGWGSMAIEAVKMTNCTVDTITLSAQ QKALVEERIKAAGLAGRIRVWLMDYRKLPESWKGTFDRVVSIEMLEAVGKEFIPGYFN VLNEMLNDEGVACIQVITIPESRFEKYQQEVDFIRKWIFPGGFLPTVTYVIESATKGS KNKLVLDSISNIGPHYARTLREWRYRFISNFENQIVTSLLNEHPEMNQEDIQVFKNKW IYYFAYCEIGFSERVLGDHIFTFVREGYSGYGCSSFE
I206_00965	MTYSPSAGPSKKRGLAAIIDSVPTQADHIPDSCSEGSNSDLEDN DDDDVDMSVLPTPRPIPLTPHSVSSRPSSKGKERAIDAPRVETTVGMSGRNVYHVVKP GQYAQYFAVQCHLVIQHKTWDGDAFIKLEGQKMTMIDEEGACKGTTGTGGRELGEDQE WKIGGFDVLIEREVDIQEFKAGTGILNKPKATGKLGPTAYRPATFAKPFKAPTQTHAP KPVAGYIPGRTSSEGLTSAPEGVPLSYKPDPVTPLSKPIPAKSFYAPSATKSSAKGSK IVLGEKSSKERLQWGGALHNPHAEGAIVMPRPPEHLMKIELDVVDVVVDPILGSLLRE HQKEGVKFLYRCVLGYTAAEAEGCILADDMGLGKTLQTITLIHTLLFQSPFYNKSSTI QKVLIVCPVTLVENWRKEFRKWTSNKTDKRINVLVADGTDWRVSNFVNNKSQQVLIIG YERLTLECSNSKDLVSCIPPIGLVVCDEGQRLKTKDNKTTQALNLLKTERRIILSGTP IQNDLAEYWAMVDFTCPGLLGQYRAFNKQYERPIVAGRAVGASAKVVEAGEEKAAELN KLSREFVLRRTADVMENFLPPKHEYVLFVAPSILQLSVFAKILNPSITSGFLGGRGQQ SLGLIDMLRKISNSPMLLRKRDEDNSSLNELGSAYSEAKSAIPLDTNVNDVTTSGKML LLDKMLHSIYEETREKVVVVSNWTSTLNLIQDMLKIRKYPFLRLDGSTPQKQRQDLVD TFNRDVRREESFVFLLSSKAGGVGLNLIGGSRLFLFDSDWNPSTDLQAMARIHRDGQK KPVYIYRLLTTNAIDEKVYQRQITKMGLSDQMMEQGETSKESKDSFSHAELRDIFTLN LKTDGCQTHDLLGCDCNESHKLADIGSEENSTVLGDEGDDDDEERRKGFVNAAQYDPK PTAKMIQKAAAEQQQKLKALKKWSHFDSYDHYSFRNVGDTLLYNMLYSAWDSDEDAKG AAVAQTDEVVHGKSASKTGKDRGDDVVRKKRKVIQETEIENRDPVDKVLDSADEEDDE DKGDVDKARSDSDDSTVEIPSKRKSVEKAKSRSGSKRNSSEEYEDCLGMSRKKKHDLK RIADSGGAGRVMYVFEKISKAKLG
I206_00966	MVKYTYTHLDEFFVLSQWIEDVKGPFGLRPPPGDWASFKARIQA LNDACPPGESVKVIYAARHGQAEHNALADKYGEGAQIKYPTLDPDLTTLGRSQAFETR LALQREIKRGMPVPSKWFVSPFKRAGETCGIEWGFMFSDEYEIDGGNYNGGKGHGVNA TVIENIREHLHVHVCDKRCSISQLKSQFTSFNYSKDMIDEDLIWQPIEIRDRETEEEL VARRGEGIREILEKSQGDTYISITAHSGTLRGIYKSLNVIPRKLVVGEMNILVIRVKE LQES
I206_00967	MPSNDSHQHTGLNSADESVSSSIAKLSLTEHRDDFSKFEDVVNN AKKHLDGKLAHVSIVLPGPKERKVDETSYHNAMSGEVLKRWEGLQKSEQSRNPSIPAR LSTSPTRDSQGRTMLKGEMKRDISVMLDSTQKEPTLIFRLRVIIDNEMQIAVSSDVST AVDLSATDTPYYGQVRHLAICSEVLLQDASDV
I206_00968	MSHQFDVEKSRADAVVSAGERRRAALAEVDEAKFSWFHAKACIV AGVGFFTDAYDIFSISIAATMIGYVYHAGGANTANQDLGIKVAHSIGTFFGQLLFGFL ADHVGRKRMYGIELMIIIVGTLGQAVAGHAAGVSIYGVLIMWRFIMGMGIGGDYPLSA VITSEFAARRIRGRMMTAVFASQGWGNFASAIVSIVCITAFKHQIQHQPIANLKAVDQ VWRLIIGIGCVPAVVALYFRLTIPETPRYTMDVERNIKQASQDVDTYLTSGTYVNDPI NNNERAELPKASWADFGRHFGQWKNGKVLLGTAWSWFALDIAFYGLGLNSSTILTTIG FGSATNLATKQLNIYQTLHNVAVGNIILAVGGLIPGYYFSFFLIDSWGRKPIQLMGFS VLTVLFVIMGFGYDKILSTGSGKKAFVFLYCMANFFQNFGPNTTTFVIPGEAFPTRYR STAHGISAASGKLGAIVAQVGFSRMINIGGKNKFLKHILEIFAFFMLTGIFSTLLLPE TKGRTLEDLSQESQDHFVKDSDLNNNGINKTSGNGSTASEEDTRAHEI
I206_00969	MLTLKSILAFSFVTSSALAHFTLDYPTSRGFDDDNEPQYCGGFP NVASTRQPFPLGQGPIHIDSHHNLATVVAFISTSSNPTSFDDFNTTSNGTSIPLASSI FQVKEGDSCFNVNLGNLGVGLINGSQVTLQVQYDGGDGNLYQCTDLILIEGYSVPSNE TCTNDASLSSTTSSSISGASSTTASSTSTSSPSASASSSSGSTSGSMARREVVGMGLI GVLSGITGMVLL
I206_00970	MSAPQESVGNQGVPAPSTSSTIGGPATIVPGYTIHVNGLATETT EDKLHDFFSFCGKLVSVKKIGNEADITFEKLSAMRTSLMLNGGTLDGAHLEVTSASDA EPKTASVLPTGASGSTAIGATEGGISQEDKPRAAIVAEYLAHGYALGDNIVQKAIDID NKQGISARFLSFFNSLDSTVGNKVVGENKTVTGKINETAAAGVAKAREVDQHRGFSAK FHDYYSKVFGTPLGQKVVQFYTSTQKQVLDVHEEAKRIADEKKANAHPIPPVASVADE PGSAVGNTTTQGGALPTAPGAVALGP
I206_00971	MSRSIIRDAFRVTPNALAGPSRVSSISRYIPITFQDPSKHYRRY ATAPIVGGSVEPIRRSHPHQHPAFESLSTELSSSQPCFGARGDEIELLTSPQMFYGRL LEMIKRARRRILISSLYIGTEESELVEAIRQAINSNPQLRVTFILDYHRSTRLSRSKN ISDLSPSTAHMLLPLVEAFPDRCEVWLYRSPNLRGLMEKIVPERYDEGWGTWHGKWYG VDDEVLISGANLASSYFTNRQDRYIHFKSNPSLLSYLTSLTRLFTQYSYLLHHSPPAH ISPTHTVPLPNLSPSPESESKSIPVNISRASLIWPSASIHPRRFSTHALATLTAFQNS WKASNSNRSRRVDIDTWFWPVIQSGVLGIKEEEKTLMKVWNAKMHVRPQDGQVKIDLT SGYFGLYKEYKKQVLDSPANVDVIAASPKANGFYGSKGFSRLIPEGYTLLESRFYKDA VRRGRDWTEEKAAGIRLKEWEKQGWTYHSKGIWISPSNSTIPGNTNLNPSINTNPFVT FIGSSNLSTRSLNLDTELSLVLITSSPTLRKSLAQEVKTINKDSKDVNDITFKAEDRK VSLLARILVALGVEGML
I206_00972	MYAASLYGLKRAVIILPVAWTGAWLGYYAYTDTLRRSHIRDRNR ELSNTLMGLPGDGPDFSKPAEEIEREALKKRYSSLNFAGRYWNPYVEWREQGAWEWAL WKIIISTITLKLFYNGGVPPERPIPDLPLERPDFSLLFPSTSIKTPSTSPSPTTTREP GSGGSDVPVTDKLTLTWLGQSTSYVTLDNLTILTDPALSDRTLPSRIAPQRLRPSPCE LSELKRVDVVLVSHNHFDHLDPEAIIELGDSCEWVVPKGVGPFIRKFGVTRVTELDWW EEKNHTLSRPGQKDKVYTITAVPNMHWSARSPLDTNATLWAAFHVKSHTEKPKSFIHL GDTGYSPTLYHAVGRVLGPIDLAAIPIGSYEPKWHMHLQHTDPEGAVRMALQMKVGKS VGVHWGTWLMSDEAYNKPPLDLEIARQKLDVTEDQFCVLPAGKTIVIE
I206_00973	MLSILIFSIFLISSINAINLPRQIEKIGSPVYIACVSDSKISSL IQNLPINATTKEECSSKCSLSFENDLSFFKQNTNECYCTFLNDSPTSDEIVYSIDEKG NCRLNDDTSVEYLKSLYKISTCILPPISISSSNFTSNNPVECLINCPNDTTQSIAIRP EYNDDSDKFQYECSCFDNNQITGGQQLDCGFGIETIYVRA
I206_00974	MAPLLLSHFKPRQRSILSTLFTATFLGAFIVVAFPCPVKSHGEA KLDSHHSFLNQNQNQIENQNQQKIKNSEVVILMNQRGKRRFLEER
I206_00975	MSSLLRSRLISSKNILRNINYTRQFSSTSSNMVKVLADLKLFLK VLAVLYKGGQAAQEEPRLLGTIENSLGISDWLKKEGHEFIVTDDKEGPNSEFQKHIAD AEILVTTPFHPGYLTADLIDKAKNLKLCVTAGVGSDHIDLNAANKKNITVAEVSGSNV VSVAEHVVMSILLLVRNFVPAHEQIVSDDWNVAEIARNAFDLEGKTIGTVGCGRIGYR VLERLQGFNCKELLWFDYTDLPEDKKKEEFKRVEKLEDMLAKCDVVTINCPLHEKTKG LFNDEMISKMKKGAWLVNTARGAICDRDAVKKALESGHLNGYAGDVWDVQPSPKDHPW RHAFNTLGGGNGMVPHYSGTTLDAQARYANGAKDIIQRYFAGKEQEPANLIVVNGDYA SKAYGQRDNKNKATGQKPAGP
I206_00976	MGNCFAPISSNSSSTETSPRDTAPNPSTTQIGEPNEEQRLQPTS IGSNREISSSGPSRRQSTTLGRLNKHKRTSSLFSMVGPSLDALRNYATLPNPQNSLSA STLLLSSKTCLAVFDAYPKAKYHFLVLPRYPFPPQSDPESKESITSLNSLNDLKSILL KTTPSAREEILQNMNNMANEVEEMIRDEMLKSEGFEWKVDIGFHAIPSMKRVNNHDRI SPSLKTKKHHNSFRPDLGFFIPIMEVQRWIEDDSNMQERVDALSGAEQLLNTPLTCHK CDEFISNIPKLKTHLEKHFQDERSSALKHIARHGRQRSSDEEIF
I206_00977	MLRKLPLSSRPYILNQIRPRPNLLPRTPIITTTRNVHVRAISFS SIPRAMARAFRIPAYGAAIGAGGLGYANYKLEGVRNATSEILSNVSDKFSSAYNSAAD GFNTAADLGASLGSQLQGKLSDTSHGVQDTAEAFKNGTKEWWDAFSAQFAKSPQSENT SSSNSSSKGREERWDPGEGPGEPKSPNNGEEALLGLIGAAAVSSQKEEKVSDPFSSGG GDDHHLLQLTRKLIEIRSVLLSVDQSEALQLPSIVVIGSQSSGKSSVLEAIVGHEFLP KGDNMVTRRPIELTLINTPPNAASSSSTPAEYGVFPNMPGMGKITSFATIQKTLTDLN LSVPPELAVSDDPIHLQIHSPHVPDLTLIDLPGYIQISSMDQPEELKDKISGLCDKYI REPNIILAVCAADVDLANSPALRASRRVDPLGTRTIGVVTKMDLVKPEHGATIVRGER YPLHLGYVGVVCKAPPTTGVFRSIRGDKETPNVTGAVLRREEEFFGGENAKYFGRDKK AMVGTDTLRRRLMDVLETSMSSSLHGITNAVQLELEEASYQFKVQYNDRRITSESYMA ETIDALKARFKEYTAQFTKPAVRSKLKDMLDEKVMNIMERLYWDDPRTLELAKLAEDK KLTSEELDTYWKYKLETASSLLTKSGVGRDSTGLVAEGLRQLIDSIATGEPFTFHPSV SERITEFSHAILRERMGLTADQVENCIKPYKYEVEVDDREWNIGRERSELKFTQEIKR CDDKLNDIKNKLGGSRKLNSLIRHVGELEKWEDDRKKRRFSAGAVGGTEVEDGVLEEG APVLDAYKYSPAQIIDGRHALLLSNRLNLLKIRQQALKSRRCKLGPDQSAFCPEAFLA VVADKLAYTSTMFINIELLEQFFYQLPREIDSRILYDLDRDEIAKFARENPKIKQHLD LQERKDKLESVMRSLQSLVNLQKDAKGTSTTSRSQGLFTKFF
I206_00978	MKRSNSTSSNSSNVSTSKTKPIKKIKKEKEEYNPNNSKLPSFDN IKVNSNWTNEKKLKCLEIIWDIGYKNMNWDLLCKETNLTEKQLKNQLSNGRVNLRKTV LEMFK
I206_00979	MNQYPYPPPSSSQSGEYQQQLLGYQENASTSTSNSNSNSNRLYL NQNNEMQRIYSEGYDSSDFENSNMSSNLNIPNAESSGSSGKRKKKEKSGIVDERMKKT RQSQSCDACRARKVKCDRPPPGTSTPSAPHRDICSHCEHLGLTCTFDYKPKKRGPPNM YMRKVQGESGDSPPPGDRTPERPTAPTLSTLTPGMPDLAYPALAKPEPSRERSEVKEW VPTLSNAQAPIAPSHYPAPHLLPPHPHPHGHHLLYPSYADISRSATSSPEPHLHTRQY PQPLHALPPAPNQSYNNPNPNPAGVNYNTPPQNSASSYPTPLTNSPGYLPTNQSKPLY MYVEHPYNPNNPLEQVLPRNLLYEIIDLYFDYIYCLIPCLHRPSFTHDLNIKREERAN EEEWTMMVLAIVASTLVQLPRSFVNMTRKQVKELILRCNDRLMNYMISDFENITVNRS EHYFSLSFIVRMIGGISRSKGIFGATHAYLLAQKAHEEKTYSLLNPMDRILLRRTFWL LYGADVSLASIEACPVFFHEDDCADVASPEEIDDEYISEQGYLPQPDGYTPILSGFNY ISRLHRITGQVLDKHRRDKRHPPSGLMLQMRLNEVNELYEKTMTLMDNCPKSLRLEYR TGTKSVQSLSPGWNTKAKNDIMAIFTDPTCDTEILKNHFLVQQANIYVTQQQVRFMIL QYRDELHELQVDQEKNNQTSNFNKSDNVQQNRQTTADNPSVNIEPRIKTNSVETNEQG LQKTEEVLVTSSAEKDEVICDLLAILQKIPLKVLAINSLPIVMKVQFVASTLLDAIDT SGPNGQPQFGISMIPTVMETRAQKAQRNLWQFLNILSEIEALYSLEDD
I206_00980	MSNKTSTSISLTSKDAPNPIDNFAEYLPHLTSALDNLTNHASKL PSKSDLSFHRTLDRKFANDLDSASERILKLTERLLKLVDQSQIQKSNKSNKSGTGKSR NGLSSRRKLEDEDDVLDGYKQGVVGVVDGLLEDADTCLDDLSGQKKKAAIAVKPHLAA KAGEKLPGPFSKQASRLPQNILHAADIPKPQLLFDDVPDNIPTTSPWKPAMTVKAHSM VPLNFVPELDYQLSREEELDPSKEYWRREREIRLRQHPYYYETKHLPYPTSLFINTPP VQPKSFQDTPFTFVDTPEQLNELTEHLKSVKEIAVDLEHHNMRSYFGFTCLIQITTRA GDWVIDSLKLRKELREDKLGNVFTDPSIIKVFHGSDSDIVWLQHDFEIFVVNLFDTYH ATVVLGHQKRSLAGLLQTYCNFEADKRYQMADWRIRPLPEGMLHYARSDTHYLLYIYD NLRNALLEQSSRPPTPDPNGNEIIVTTRRNPQQAMRDVLGRSADTALRLFEKDEYNEE TGKGSGGWMSQARKWLSKDMLEKEPGWQWRKIHAWRDKLARELDESPIFIMPQDVLKT LALLKGTAPILVKQAVNPARAPLAAKRIDEIAEVIKAAKIEWAATQTEFNRKNEELAE AAKAKKLGIIQQSQKRAEENLIPVPDVWDAITVLSTSQTAPSTSKSASTSKGQPKSTK KSGLFGSTITSNTKSPSSPPSTNVAKSNKLTSSLFGKTLSNSSPADKGRKPRNKRQKE LSPGFENVQNSIHGELAPKKIDMVDVGSPVMVKPEQVPYVPPTERITGQTQVQGFSSS SKAPQIEGADQSTSNTAVVDTLPKKMKEEEGIVQVKKARKPKRERNGSSSIPSTASEG KKVKLDTPPIEDVLEKKEEIKVKKVKKPKVKAQDIPEFDYSSVPNLLDQPHSVIDGGK DKKKKKDKKQKDKKGGSINAIEAPTFGARAARDMSGPKGGNKSGTFTG
I206_00981	MSFSPKSLIRPTSSLRRSALQRFSSSSTSSSSSTSSSSSTSQPP LIASLILSRNPLLTKTPNELESTYYSYSRSIKHSLSTPLPLDFYFKSGSLPLRRFLKK EKEFEKEIYNERLISNTNNNEGLDDIPPETEYEILERDHFLKQDKEKNGKIENSLERF PEQEIFCLIQDKKNKKWNFPNTKVEKLESLDEAINSKIIGVDGQLDGKGLDSWLVTKK PIGVYKDGEQRTFFLRGHILSGQPTLSPSSDYSSHAWLNVEEIEERLRKQGDEKLWEG IKGMFGIGEEVEEV
I206_00982	MKGSKFLFPALLKTLIIPPVIFALLLRLLSSKIELNVLFKIVGY ISSFTVVYILRSYISVYLSTRRAKSLAAKDIPRVKGRWPLNLDILVDWAKSGSEEEVG RMMVLLSRKYGKTYNTRVLGEDQIISSNPAILKHVLLDDFDNFVKGEKFKDRAIAFLG DGIFNSDGERWKFHRTLMRPFFHPTHISPSLFIPHIQRFLLSLPIDESTFDIQYELGQ LALEMAILWMTGEDLSKSSSNSEINAEWEESKNDLGPAMTEAQKIVGRRVKIGTVWPL FELTHDPLLKPMKTIRTFFMPIIDRAILRKANGDQKQEYSKNDVHLIDRLVHATEDRK LIEDQLINTLLASRDTLASCLTFCVYVLCLHLDRADKLREEIRTISPDGQVTKDVIRE LRYCRAFINEVLRLYPPVPLNIRRTLRPSLLPCSSGGRPLYMPANTSIILSFILMQRD SEVWGEDVDEFKPERWMGEGLDKKEREGFMSWNFGPRMCLGQPFALTVIHTFLVLFSR HLDKLADQKKNLEFAFDAQPEGTAMPSCWKSIEGGDGRARQGIDRMWVVADVVLAIKG GLWLRFTDNGKKQ
I206_00983	MKFAAAFAILGALASYAAPAKRAITDTDILQYALTLEHLENAFY HQYLGQFDQAAFKKAGYPDWVRNRIENIAEHEAQHVALLSGALGSAATQPCTYSFGVT DPTSFIATATLIENVGVSAYLGAASSIMEKAYVTVAGSILTTESRHQAWLYSSVDKGP AWSGPEDTPLDFDEVYSIASAFITSCPSTNPTLPVKAFPTLAIAQDGTITTSASTDGA YVQVIAGLNTNTFPVVDGKVQGLPTPQGISYAVLTSQGNTTLVGDDNILAGPVIFNNP FNSKTSNPAPAF
I206_00984	MSRIISTSIRALPSRLIQSRKPILSSTVASKAFISSTTTSSAST AFAQQPQQPTSDEMPIAVKTAAQWAEFGRDHVCHGLGRLRDHVIVKGDGLDLYTADGK KLLDFTAGIGVTNLGHCHPHVSRAAAEQVNSLVHLQCSIAFHAPYLQLIDRLLPAMPH ASLDQFFFWNSGSEAIEAAIKLARQATGRQNMIVFSGAYHGRTMGSGALTRSKPIYTQ GTGPLMPGVFSSAFPYWHQLGVAPSTSEEELVRLAEHQLDLILRQQVNPKDVAAIFIE PVQGEGGYVPAPPAFLRHLRDVCDKHGILLVIDEVQSGFFRTGSYFAIEQLVPELRPD VLVFAKGVANGFPISGIASNKELMGKLDVGSMGGTYSGNAVACAAGIAAQEVYQTGEI GENVQIRSKQLYNVLNGLAQGEKTKHLIAQVRGMGLMASIEFRNTADPLTLEGVPSGT AIPKNIGKRVQEYCINHDLLVLTTSCFDTIRFIPALTVNEEEMDRAMKIFTEAVENVA REG
I206_00985	MSLVTYVDLLVIGGGPAGLSSATTFSRLKRTCLLYDSELYRNQG VKYAHLISGFEHSNPYEFKLKMKEDLLKFYNDTFEFRSGKIINLRKLDFNKGFEALDD QNRLINAKKVILATGLKDKLPNIQGVKEQWGKKLIHCIFCHGTETANAPFAFLLTREN ASMNPKLVESMLKLWRSLNHTEKYILTHGMDVETEQGRKDAGLEDRYDLLKRLGYKII STPIMSITETSDGKLMDIKFEDNKHLEVPAMLLFPEGFSANEHATPLLTEDFLEDKLA MFGTIAPPSKEMSITGLPPRMGDDPRTNVKGLFWAGNSGSAFANITISLAQGQNAAVM AGDQIGEEEMNVL
I206_00986	MSVTPRKTHSQGPVEMTEPTESRKQGKNHFDVEEELAFYSSYHA NKINQAIHFVCIPQILWSWLLIAAHLPIPGTSPTILGNGLALQPSLALGWIIAYLGYY VALEPVGGLTYLPVGILMYLTSTYLAVSPPTWLPFTDRLNPSAQPFAWAVFAFAWIAQ FIGHGVFERRAPALFDNLVQALVLAPFFVHLEALFAVFDYKPELHKKIKNKSGIRIRD MNRAAKLK
I206_00987	MSDPSSSRRGAFIVFEGLDRCGKSTQVARLVERLERKGDRARLQ KFPDRTTAIGKMIDAYLQSKAEMDDHAIHLLFSANRWECANAIRRDLENGITVIADRY AFSGIAFSAAKGLPFDFCLNPDKSLPLPDLTLYMTLSQEEASSRSQFGEERYETVSMQ SATRQQFTLVAQEVKNRHGKERWVEVDARGTIEEVETRIEGIIANLTSQGVQGEIGKL WI
I206_00988	MSEKHIKPFLPSRPSGPVFIGLNVLRVLSIISLLLVMSANIVTM ANDIKAIKASGIPTTESEEDCDYYEYSSVPDQTGGPFWSILNRIFITFECILLTMSEI GVPRRLFEEWIPILGPAHGLGGLGVFQALIGAQVLSHYCELFPQVSSWLLFIIGCFNI LAGIFLRAGAKKKRLIFSWENVSSLTPQTRMAATAWDMITDKKPISDAGQTAQAPPLT RSTTSSSDTPLLPETKNKTIPGAKFGGFGFGRQGERQAAERGWKISKPSEVLPRYAI
I206_00989	MSDNEVTIFIPSFPPSPPTSPTRTMSTSPEWFTTPVKAPYRPSP LSSTIPLTALEQIDPFSNHQVDDSSDSLSDDPETPPLSPTRSLSSVSMDRSTTSSSSS GSDEEILTPPAVNRRPSLQHHMGMPPSYFNLENVTRDQRKSRKNKYRARFPSAPVSGS ITPMWKIEEDSKSAGLTSLLEPFEGILPQSRRDSEPNYILSEDSFVLTHVPTKSVSLS DFKIRMIRVPRWQRPIVIAVMSLLLFGSLCMVSWFQQSIMSAQHAKVIRQGEWMLKHA AIARSESDSYVDTEPGYRYEAPKHHSLKVQEKIAKRAEVGHTAQAAMRAASTGPVDFT MNKDEELAALMSFIVGTAANTLPEIDTTDPHSLEGFLPFDPRSPHAKKEIEELSKVHW EDHPVMILGNMRDPKMREVRTLLKKYVVKPEPFYVDIDQRRDSAYLAPTLERLLGKQD SPYVLLKGKNIGDSSKLIEMEKKETLVENVAASGASIAKRLKRNKHQKEEERRENERV LGPRPIFEESD
I206_00990	MTAYLNTYSPKKVDVPRDIHLHTPPEEYDYNFCFEVKELQSDRV QLRPFVPSLHAQLFIDGLQKCGPDFTAWLIDWHSLEDVLVWQETVIRSQPSAMTYAIY TAPPASDEIVDPKNYEFAGMISMINSDVANMSSEPGWVCVLEKFQRTHVLTHAAGLII HRILDMPSEGGLGLRRCQWFTTTLNEKSKAAALRLGFKLDGILRTHRVLAKGKKGVRP GRKGDYQEDQMTRDSWLASIGWDQWEEGIREHVDKLMARRK
I206_00991	MFRKAKTASIPLESDPSIRRSYLSPNELVTRPAGDEVTVVGDFF AYCARKYGDQIACYYRDIVDVVEETKVVPKSDGTGDEKKIWKYFTLSTPISVTYNQLN ASVAALSSGLINIGFTDPSTPIASRPRVSIYADTSLNWQLMSQTFARLGHVLTTAYTT LGEEGLLTSLVEPEVELCYCGEAQLELIAKVVERAEKLRYVVYDGDENRLSQIGKVLN GRGGRTLPLQEVKQSGIDSPVKDFGFKPTENDLFCIMYTSGSTGKPKGVLLSHKNIVS SIAGATLLWGKNFNRSDLLLAYLPLTHILEQFLEFEFYFIGVPIAYGTVKTLLNDNVR NCDGDFVAYKPTLLPGVPAIYEMIRKGMVKKIHDAGSLVGTIFNIAVLGKQSLPWPFS SAIDKLLFAKVKAATGGRLRLAISGGGPLSADTQRFLSTVLVELIQGYGLTETCGMAT ICGPNFSPIGSVGVIGPSCEIKLQDYPDAGYLSSNKPPQGEILLRGPNVFQGYFKQEE LTKESFTSDGWYKSGDIVEHRRNSQSSRQGEKPGETSEWGVSGVGKGRIFAPPNADRP IALVYPHEGNLRNRLKANGIVDDGGPKQWASNLTIVSYLIGELRDEAKKGGLRGAELI GDVILTEEEW
I206_00992	MAPVVASAVALLAIAGAVDAKPLLKPGRHANPHVASILSSSKRG LHNLMARYYGSKHGLSKPAPLPEKRDTALPSGWSYFGCVGESWDERLLQGFAFSSSAL SPLLCVTQCTKLGYTFAATEYGDECYCGDKFVGSGGGRAEDSTCNMPCEGDSSESCGS AWYLSLYQYNSSELASCSSTVPTATTSTALPVATNGTTTTVVENGTTSIGVVGIATTG SVPATTANATTAASTATASTTPSATTSAPYPVYTDATDASEWYSLGCAADSSDRLLTG SSKTAFTGMTVDLCLSYCEDAGFKYAGAEYGDECYCSNTLPTTVEYLTNGECNVVCDG KDDEACGGGYALELFELVSNAGNDTDCTSTSAMSSNATATATKTGATSIITNATTAAI TAATTAVTTEASTTLASGTTQTSASTVPATTQTAPASSESHYVWAHHMVGNTYPYTQS NWASDIAAAQAAGIDGFALNMGSDSWQVDRISDAYSAAASSGFKLFLSLDMTVLACSS SSDASNLVNLVKNFAGQSAQATHEGKVLVSTFAGSDCAISWKNDFVTALSNAGVSIFF VPSIFSNPSTFSSNDWMDGELNWNSGWPMGSADIDTSSDTQYISALGDKEYWAAVSPF FFTHFSPATWNKNWLYRSDDWLYATRWEDLIAMRDQVKSVEILTWNDYGESSYIGPVE GALPAGSDVWTNGFEHTALNSLTSYYATAFKTGSFPSITQDEIIMWARPHPHDATASN DSTGRPTGWNYTEDYLWAIVLATADSTVTLTSGSNTQTFSVSKGLNKLKLSMSAGPIS GSISRSGSTVASYNSGSAFQYTTSPVTYNYNYFVGSSSS
I206_00993	MPSRWHPAGFASSSTPGSANSSRPSSRASSPTRNGSIARPSHIT LPGRRRDGTLDSNDTPSDFASGSFGSHTGWDTPNSAAGTPWGGLMTPSSSSGLITPGG TSHAPHIEIILDSEHLVMRGAGGDMNPAYLSGRVELDLPTSINLKELTMHMTGKAKVQ FSDGSGTTSKNHHFTHVITTHDWSFLQGGKGHAHTLKAGHHTFPFSFMLNGNLPSSLR TYSGDAMIVYKLRATGVRTGFASNISTQKEFTLGRMYTSDALEFTQTLEIENTWPGKV MYSLTLPYKAYAAGDDIPVNVKFMPLAKGTRVTQVVSVLKEYTLVHTRQSSRPETRVI SCIKHEMKNGRAIEIAREPVRPPLHWNEPHSANRSATTSRHSSPAQTPVVGGRARLAP TWGERPEDSYFPAPSSAGAGSAPGSASTTPAVDGENAQAGPSNASVHSETSTWETDIE VGDDEINTHFTIPIPRWVTPSHAIHPVFVTHKIKWSCSISNPDGHVSELRCALPILIL DHSLLEEARSAGASTRGLLFGQTTEEPQIDLPSYSNHVYDRIAIADSGTTASGFMPRS LAATPLASPHDDTPPRSRPPSRPASPTRYQSYGGQSSTSTRSVGEPTPATDVPPRRQL SQWADSELLMSLGALRTHSNNTSPGDTPPDSRTPSRPLSRRNSFTRSGRSSRTGSRAN SRASSPERSVQSSSYNSDSHLEENGNSRPGQERRHTGGLHSLFHLPKPMRPLSHLTPG HGHGSKPILRNTNSLSSSNLAPPQSNDTLPRNASFSGGISNGNSNAQVPAQSHGQAQN GTGERSHVSFAPHANVVRNGPRFSIGGGNDEQDDENGEGEDEEEEEEDDPLSRVPSYA IASRGFLGGGVVPLDVALPTYDASEDMQRTRSGTDLSGNNNGLIRPRSDTALMRLGAQ AAADAEERAQE
I206_00994	MPSLPNLPSHLALPSTAQKWKAVFLSSLNFYRIHLMAFTIIPLI TSGIMYAANTEHHIDYVDCLFCCMSAMTVTGLATINLSTLSVFQQVLLLIQMIIGSLS FVSILMIIVRQYFFRQTFKHVLQQRQARSSGFNFSKTFSRVGTFGPQLGAIRRKFSGL SGKDKKEKMGNGAGSEEARTSTPSLEMKPVPPSSPKGSQKTPKKHDEHKPKKKGHRKI NPDMIKRVSGGGVGLVNPMGWYDGERAEIKTPAPTPEREDDLPLPSENGGTLGLTVDD GREVGSGQELGDALEKAVFDGKATRRDSIVDRPIQVTESPGQVTPEDALPPSPRRKTP YAGLQLTDDAFPRSKTIAFDDEQADYQDPRGITTGREGGAGFPRSATFRSAAGDNRLP REPTMQSGNFPRTYTLRPTTSRKPDPRLTGFGGFPTPFEIGKKLVKKAFPEATNNFTK SLTMSKTMTVPRTNTVAGRGSIAASGNEKEVPYISFAATVGRNSMFHSLTAEQMDELG GVEYRALRVLLYIVIGYFVFFQLAAFVIIAPYISAGGRYQSVFDNQSRLVKIPWFALF QSISAFSNTGMSLCDTSLVPFQKAYLLVVVTIILIFAGNTAFVSHSTLPHGFTVIYKL VPRTSRTSESLKFLLDHPRRCFVYLFPSTQTWVLLFVLSVLTSIDWVSFLVLDIGTPA IEAIPVGTRIVAGLLQSAAVRAAGFGIVPLAAMAPAVKVLYVIMMYISVYPIALSVRA TNVYEEKSLGLFGEEEENDEYDNEGEGAQAVAKYIGWHARRQLAFDIWWLAFALWLIC IIERRHLNNNDESFNIFNVIFELVSAYGTVGLSLGVPYDNFSFSGGFRRLSKLILVVV MLRGRHRGLPVAIDRAVMLPKDFTAAEETAFEEERSRRASRRGSMFEGDLFSTRRASF NTLSGMAGRDLSPTHGPAMALPGQQHNHIPGQPATHQIQHQGNPVSNQANDRRSSSFG GSVPIPNSPSSTDRGSLQFSLPRTESLERPSNPRFRNTGSGGAGGSLTPVKESTMSRN PTIAQEEDRLDPLDGSAIV
I206_00995	MLPSIRRLALLGLVAASSVVAKGAIGIKQAKVAVTSPNGLSDAT YNLKEPTPLPTPIALSEGSTFKLSFSVIDTVTGEGIYPQQAHLLFEDPKGDDVTLPVT VKSNGKAQITINTAKPHPALLQSHGSFHLTLLLSSLDSLDPLSYPLGELSLPPSTLKP LPRKRHDLPPKQGDPAFQAQQEIFHTFKEDPKTVGWTLSIIGTVITLAPWTILLGLLG KLTPSLNFQTPPTSSYVFLLVLGALEALIFVYWLRLKLYHLLPAFLGLSVIATWTGII ALRELRARRLKAGGAP
I206_00996	MGHYNHEGPHTPYLSIQTNTSFTSVISNTTSIKRVPEAWYEGKY YHDCFLVKRVATGGFCEPNDCLRGGGNDRGECQPGPGDRCLGIRLGPTAPRVYCRNCK CVGPGTKKVKINNKSKLKKTTKRAASHISRIGLRQSDAYFKQFDQWHDASHIAAPMAD CTIISSGNTRVTLLRPIILPSSDVKRNVNRELVINNAIREVDEFGSVATASIEMLSRD INKRADWSSINFQYWSAYGARGLDADREEIVDIVLDLIRNGEEGMSGLCAAILDDGNA WATVKIWTGVDGNGLANCECSSVDAPELEQEVCGYANLSEHEGGWPDYVW
I206_00997	MPIYLMNISQDTDLVQVEVTYQAHVSTTDALARILTVFADHDRH PISIAATDREAIITFHWDGVEWDQLFHQAVDNDITYIRSQQRKLAMAMNASPGNIQY
I206_00998	MTIPSTSIPYNPLTEVTADVGGKENVESLQRIARDFRSDTLTVP TDAQLLHSLKASRGDDVYGEDPSTAALERRVAKLTGKEAAMFAVSGTMTNQLAIRTHM KQPPHSIILDYRAHVQKMEAGGIAMFSQATTHQLFPSNGLYLTAEDIEPQLQLGNNIH IAPTKLICLENTLSGIIFPQDEIVKIGKLAKKHDIRLHLDGARIWNVAADEIEKRADL YFSLTDLLAPFDSASLCLSKGLGAPIGSIIIGTKEFIERAKWFRKAFGGGIRQCGGLA ASADYALTNHFPRLAYTHQLAKRLESGLKELGCDIAAPVDTNMVFFQPQKIGLSLDAV TARLAALPNPIIVGRERCVVHHQITPQAIEDFINCINEMKNEKEINGEIVNGKEEIGE KEKEKLDNYNQPKGKTTDAVLRKQAALGYQN
I206_00999	MYAVTSLVSPLVRASIRGIKRKGPLYRSIHQLKHLPQPTQKEII NKPRLQPCNWPKAESSAMGAARFSPVHELSEWTSSPTTLLLVQKKNDARTTKAMGDIL SYLHSTYPHLKLIVEPHTAADHPDFGDLIVTSGDEDLLPLHTNLVLTIGGDGTILHVS NLFSQGECPPVLSFSMGSLGFLLPFHIDSMKSTIRNTLNGPVSVLNRMRLACKPLSVK GEPLDRSDTHVHSVGDAGWQVMNEVTLHRGRHAHLTVVDAYFDGQHLTEAVADGLLLS TPTGSTAYSLSAGGPISHPETDTFLLTPIAPRSLSFRTVILPGRGSVQLEISPLARSS AELSIDGKEVCLLNPKESVHITKSPYPIPCIERVGGESGWVRDINSLLQFNTGFRNKS LLGHGPV
I206_01000	MAPAPEGVSVVLGAQWGDEGKGKLVDILAAEADICARCAGGNNA GHTIVVRNAKGEKTSYAFNLLPSGLINPTCTAFIGSGVVVHLPSLFNELDTIERKGLK VADRLKISDRAHLVMGFHQIVDGLKEIELGGSSIGTTKRGIGPAYSSKASRSGLRVHH LYDPSFAAKFRKLVEGRFKRYGHFEFDTEGEIEMYLAFAERLRPFIVDGPLFMHNALQ SGKRILVEGANALMLDLDYGTYPYVTSSSTSIGGVVTGLGIPPMAIKKVVGVIKAYTT RVGGGPFPSEQFGTIGETLQEVGAEWGTNTGRRRRCGWLDLVVMKYSTMINGYTSLNL TKLDVLDGFEEIKVATGYKIDGEQIDGFPADLDRLAKVEVEYTTFPGWKTDISNCKTY EELPENAKKYIKFIDEYLGVKTQYVGVGPGRDQNLIIF
I206_01001	MHISNLLLPLLTLSISTPAVSAVHINPHHNKKSLSTSAQKQIKR EGVLASAQKNKVKRLVKKKKRATCQVKFNATSIVTSSSTEATSSSTSIVNDDTSAIPT LTNANEWANATGTATSTSSWETESASSSSSSVQPSSTTSASSSESTSSSGWFKVEEWS GNSFFDNVNFWEWSDPTHGTVDYLNSGDAWNSGLISINSNNRAVMAVDTTQVVSDARK SIRIHGNKIFTGGLVLMDAYHMPTGCGTWPAWWSNGPNWPEGGEIDILEGINDFSQNQ VSLHTGNGCTMPNTMNNNQVGQLTTGSFDSYNCASYATSNQGCGVRDSTDSNAYGAPF NAIGGGVYAMRWSKAGITVWFFPRTNIPSDITADAPNPSSWGTPMANFPSDDCSPYQF FYDHFNIFDTTLCGDWAGADGVWNYAGYAGQDQSCAASTGYSTCSDYVLNNGAAFADA YWEVSYVKYFNSTSEV
I206_01002	MPHSHHSHSGQFCRHAKDNLEEVVQEAIRQGFQVYGLSEHAPRY RVEDLFPEEADLQPSDLLKIYLEFLSTAKSLKLKYASKIKLLISIETDYITEIDFIKT SKLLKNEIQNIDYIVGSVHHVNGISIDFNKLTFLKSIKYCKKNEQEDLKEEEIIIFLN NYFDQQFNLIYKFKPEIIGHFDLCLLWIPNFNFKKNKLIWEKIKRNLKKVIEYGGLFE ANSASLRKGWNTSYPSKDILNLIVSLGGKICLSDDSHGISYVGLNYKKMRDYLVDQGV TEIWYLVSSVEDMQEGDEEIKNDRGKVFARKMVGWDKHPFWNDK
I206_01003	MIPWLLKPHWLVLRCAAEGLSLLQHRGQDAAGIVTCGSGGRFYQ VKANGMVRDVFDAPAVAGLKGWMGVGHVRYPTAGSSAHAEAQPFYVNSPYGIVFAHNG NIVNTPSLRQYLDVDAHRHINTDSDSELLLNILANNLQKTGKFRINEEDIFTAVGDLT RTCIGAYACVAMIAGFGLIVFRDPNGIRPAGIATRKGARGGLDYLVASESVVAQGLGF TEWEDVKAGEAIIITRNNISRRQVAQPQAFAPDIFEHVYFARPDSTIDGISVYRSRMK MGDLLAETVKKELVKANIEIDVVIPVPDTSRTAALNCAQALNIPYREGFVKNRYVGRT FIMPGQTQRRKNVRRKLNAMPEEFAGKTVMLVDDSIVRGTTSKEIVQMAKDVGAKRVI FASCAPPIRYSNVYGIDMPSPHELIAHGRTTEEIAEHIGADLVIYQTLEDLVESCRQF NPVIKQFDCSVFTGEYVTGGVDERYLEHIQRLRNDNAKAKKKQQTFEAVEATEGGCSG PMNGADSLISMHRSDSLMGLANHSPKLGATGMPSPNDTIGLHNSWYGQ
I206_01004	MAPKSSTSAAPPSSRRSARIADQPKSSSGSAPANGDGKVTSKKE TVSKKEPNSKKRTAEETNGATETKKTKAEEPKSKSTKTASKKEDSKAEAEKKEEAPAS AKADTGSKGALKVGDKLPKITLKDNEGTDIDVSSLAGDKGVVIFLYPKADTPGCTTQA CGYRDHLKEIQDHGYDIYGLSKDKSEAQQKWINKKNLTYKLLCDPESKLIKRLGAFVQ PNNTKRSHFIFEKGTGKLVDIALGVKPADDPTNVLKFLDKHHK
I206_01005	MYASLTKTLLPLSLLSSTLAGVIRIRGDDEDNSSSDPKKHHNDN NKDHNDNDNNSNPVSPEFIGCVSRTFFNLVSSDDNFDGDFSEQPDLQTCISYCVDDKF RYTYWDANKKQCYCSPAQRPDAAQIRDNDVKTGRCKNRDAIVFLNNATFKFGGCFDPP SGNVSSYGVTPVARFSTTSVRDCFVHCDEPCRNQFIDVVGITPRFDPALYSFAYDCEC FDIDLSKTYPLINRTCAIDSVFGYARGEPNDDDHHKKEAKRGEYDDEDWYGKKYHHEQ GADHDHKDNDDDSEDYDEEYNDKDHHEHELIKGGDLTHEYPPQEEESKKHHGGKYEAN DDNDYDHGKDDEGDDGALLEDAEYDGKHHDDDNGKDHENDGKDHEGDGKNHENDGKNH EDDSNEDKQDYGKWHDHDNDNNDDNDKCEDHNKNEVNDGEDDYDDLEMHGNKKHHEGK SGGNKKAHDYQGDEYESDYGYYRRGR
I206_01006	MIPKISPSPGPSTTHSLPPNHFLSLQPSFAQPTENFPSGLPSTF SHESNGQAPNTASLASADFEVDVRTGFLPGSSNIQRLTGKYEIWEEALDAARGSTPGE GLIIGGQRERERLWRDGIDMMSIVDTTELLVSLPHLRRAHVVLTFLAHFYVHTTPAPS VTVAKEPIPIPACISVPLLTVSPLLGLPPVLTYADTVLYNFLPLNPAIAPSNKSNPPS EIITTFTGTRSEEQFFLISALCEIAGAEALRLMRQSLDELFLADEKAIRRLTVYLKKL AHQIDKISEVTMTLMKEVDPEEFYHLIRPWFRGGDSDGPASLGWNYLGLNEDVENLTS IAESSSTVGRKGKLFSGPSAGQSSLIHAIDIFLTVDHSPTAEEREEAMNAIEHPDHSK IIVDSTPINTETPPAPNNGHGHGSAPKGEATFVQRMLQYMPLPHRSFILHLSTHPNPL RPLVVHYAHSHPALATAYDGALEALRRFREKHMRVVSLFIIQQARRQPSERVRTIMGL EPLSEEEMAQQKEIDINMIRGTGGSALFTFLKRCRDNTTKAMVRPNAAGYELQ
I206_01007	MSNSTSLWIPDSSPLFFYSPANSYFSNLNLNSWIGKSTNSPQVS EFNVTFPDDNNNYHSSFGNVAVILPSIYATAFTPIFKASEKYNTTFELASYGKIPWAS GRSWKTSNDDFNLQTFTLWFDCLDVENQCNKDEIDFLGAWINTKFVPDNTEIDIVEID DSSSIIKYEGFSPINQNNKIVEIDSKIDFEKTLSMTSKEGSKATISFNGASIEIYGVT CSKCGEYNIKLNEQISTFSSFNNLTIHNSLLFFNTNLDTNNLNTIEIESKNGGLILDK IQIKGPKGAVNFIGNQNDTTTTFLPNSNPTSTSTSNSTSISGNSNNINGNNISGTSNN TPNAGVIVGAILGSISGIAFLYYLCRKIAPSIKKKSNKKLNSWDEANLLQNMKNEQVH VTTAANQRYVYPGLIAHSNLKK
I206_01008	MSNLDLTREWLGNVTRPYQAKERVINETMKILSEKRTLSVKTDA FTFDSGQTALLLLLHGTLPISYRGSTYHIPINVWIPHDYPKSPPILFVVPTKEMAIRK SKEVDPSGRVNENAVEYWWRSWPTQDLETILKHLTSVFSTVPPVYARPSESSSSSAQS SRSPISSPVQPRIQSQAIGQAPQPPPPPARPGYVPAKQTQESLPQYRPAPTPPPHTFT QQGSHSRQSSATYPGTPPQHPASPQLTDRPDRIPQSQPQSPISPSGIPRIPQRPFIPQ TQTQPQVSFQPPRPDPISHQLGYPPHMNGQSPVHQQQLPQQYQQQQVPQQYPPQPIIP PHPSSQLYPPQQIISQSSHTMAAPRQPIPDLLGSPESSSLSLSSETAPPIPPSKPPPP SLQHLHSILLPHLQASLPPLIHHLQSTRIHLLERKEDLESGEPAIKDEMSRLEAVKKV CDSTGKKLGDVVKSGEERINELEGKGEISVDELVCGISIVHNQLIDLVAEDNAIEDTI YHMTRALDAERVDLDRYLKSIRSLAREQYMKRALIERILHGMGQTQRW
I206_01009	MAHFLAPSPLETTPSSSPSSSKSKLFPNILEDEPERYDESLFIG SNRRLNQRRKKKLNGNIGGELVESMKRGFTVRESNKKESRLKVLVSIAGILFLILSTI WILRLKKRIKSKEGGYEILWNLLFHSKPSSSHVTEL
I206_01010	MLAARRASHLLRSCKSQGLHGFVPRLREYHGDPGPSTQKSLRSA LTSLPGKGRHLPKKLVNADKELLNVLMTNGPVDTPNRPRPSRQVSLPLRLPTSVPDRR GRENGGISRYESRIRDKDIRDNPYTTSTKLKRFIEKHTKNKSMTENQIEEAVSIVMNA PKDLVNAPVWNILLGFMGKQRKLNKMWALYNDMKKRGIKPTTRTYSTLLNAYSRMSHA DDTAFNSDIIPVKNLTHSRVTILHDQAQQHVKRCMTASSLLNEDLGISTSEGEILTNR NESFNEFEEEINISPTNAYLKYLGRHGLWEEMYTTFISMPQQGQLSPDKVTYTTLFSS LHNIHLARWRSRKSGSTDSEDLKLIDIGSISRGIWDQCSRQFYKSSNINSKDQDRRID NELFNHALRCLIKGRPEDLRLAIKLVNEIWSLPPPSGQSYINESVSDLPKLQPDVQSA TSLIQGLLYTKQSVPAAHYTTLFLSDSRIEQEADIHFLKIAISAFSETGDIGGITNIL DSYQPPSTGIGGWHLNTWKDALQGARWSSDFNTALRLFKRATQISDKIENNSPMVTNR DIGEYEWTTPNGQSKDIRGVNWIKPRAISPDIGMLAILLKSAISTNNEAIKKVLNIIT YFGGERLFVVDSKETHRSDKEKITLIDHTPQTINLNYESPKYLGSIIDFAKSIISSIE RLNPTNKFEYENLRKNIQNILDVWSKEFGKFRKGHGLLKEVSDTKQIEQEDVIAYQGQ SPRRKVAEEEIWSDEDDSTPSRSFRRNDRSSKYNLERNSFDRQSTRRNEDDWRDRDRS GRKDEKIFLRGRNRHFDDGLNDRSNGIRTSVGDQQSGGRERLRRGKDFGEDLRSKKTS FDTSDKFIKKQSSIRKTGFGLKRSDN
I206_01011	MISPRSLSNLLIPKSDDLILIFWRIVINIFFREIRPRGAFNIPK DGPVLFICGPHANQFLDPLLLFSETRKEAGRRVSVLTAAKSMQRKFIGSVARVMDSIP VARAADYAKAGKGRIVLSESDPLLVTGLNTAFTSQVKPKSQLVLPKSAAYASATIEEV ISDTELRLKGEFVIPSKDGSANVKASTRVRSEGESKDGLEYKVLPHVEQDQTYGACFT RLKEGGCIGVFPEGGSHDRTDFLPLKAGFSIMALGAMAQYPGLDVKLVPVGLSYFHPH KFRSRAVIEFGAPQSVDRELVDLYKQGGAKKREACGKLLEQVHDGLRAVTLRAPDWET MQVIQAARRLYRIPGQHLTLGQVVELSKRFMEGYLAYKDEPKIIELRQKVLAYNRLLR DMGLADHQVERASSTSLKSALLLVYRTGLLLWWSMLALPGFLLHAPVFILAKSISIMK AKEALAASTVKIQGRDVLATWKILVSLAVTPMLYVFYCILATFLAYKYDLAPAWRHWT PVAIFFLLPGWAIASLKFGEAGADVFKSLRPLFLSLWPGSLREVDKLRQMRESLANEI SEVIDEFGPKMYENFQSARILPSATVPQTGRNPGMFVRKAQAPDSSVLSHPMLWLDER IFGWNRSASVGQSVWNTTKLDKNRSEPSTAPTSPAESDNEEEADVDYDDVLAIIDTRR AGPGSPRGRRRGRSYHDLTQLKSEANSTATSPNMDNSVPLPAPDDEGTNRLHKRIGAG YKKVNEDANQESVGLGLSGAKTNGDQKTR
I206_01012	MVNESQQTDQGPSRPKAEYDPITLSLFSNRFMCIAEAMGRSLKQ TSISTNIKERLDFSCAVFSPSGDLVANAPFVPVHLGSMSWSVKYQLKLHGKGLKDGDV ILTNSPMAGGSHLPDLTVITPCFDDEDPTKIIFFTASRGHHSDIGGILPGSMPPTSTQ LYEEGANIHSMKIVSNGYYDHEALHKAMVEDPAQYPGCSGSRSFRDVESDVKAQIAAN NKGTNLLKALVKEYDLKTVHSYMDHIKNNAEQSVRNMLRKAAKKAGTNVLHAVDYLDD GSPISLKITIDTQSGSAIFDFEGTGPELRGNLNAPICVVHAAVIYCMRSMIGEDIPLN AGCLVPIEILIPEGCLLSPSPESAVCGGNVMTSQRITDTVLLAFKACAASQGCCNNLS FGAGGKNQETGEVVEGWGYYETIAGGSGAGDGWHGTSGVHCHMTNTRITDPEILERRY PVILHEFGFRTLSGGSGKYKGGEGCIRSIQFLQPLSVSILSERRSRSPYGLEGGKSGS KGLNLWIKQPKEKNGKIRTINVGGKGTMQFGTGDKLILHTPGGGGWGIPENLNENEEE EKLLNQAREWEPRGTWTDKAQPDF
I206_01013	MSQVQDHSIRISIDRGGTFTDVHVSTLSKVGSGSIYQDESNREE FILKLLSQDPGNYKDAPTEGVRRALERVTGEKYQRGKPLPVNKLEYVRLSTTVATNAL LERKGQKHALIITKGFKDLLEIGNQARPRIFDLNIKRAKPLYTKAVEVDERVTLVGYS SDPQAEKNALIFNEDGTIKKAYSGLGSEEQENLIPGKIVKGLSGEAIKVIREPDLEIV KKDLQSLYDEGYRSIAVCLAHSYTFPDHELAIGKIAEQVGFPHISLSFQLLPMIKMTN RGQSTTCDAYLTPVLQDYLKGFYAGFEGGEQGDLHVEFMGSDGGLVDLNNFSGLKSIL SGPAGGVVGCALTSWDEEERAPVIGLDVGGTSTDVSRYAGRYESVWETTTAGISMFTP QLDINTVAAGGGSCLTYKNGLLRAGPESAGAHPGPACYRKGGPLALTDGNLFLGRLVP KYFPKCFGPNEDQDLDPEASKTKFEELAEAIRAETGTDKTIDEIVYGFVKVANETMAR PIRTLTEARGFKTTDHILASFGGAGGQHACEIAELLGIHRVLIHKYSSILSAYGLALA DRVYEQQEPASDTFNSSTQSSLFSRLDNLSQKVKKVLKESGFDDNKIQVERLLNMRFD GSDTALMVLETANGKGDFEDEFKRAYKEEFGFLLNKNIVVDDIKVRGIGKTFDSLGPS AFAELKTLKLSTVDSRRADFHQEAYVWYGKDGKRQNVPVYELESLQRGDQVDGPALVI DETQTIFVNVHWSAIATSNHLLIQRKVHE
I206_01014	MVCSAACMTSQEECVWTADHDGRRPAARGYVAALTARIKLLEKM LEEHGVSAGEVEILSGADDEHSESDAEEDVERWGSGTDRLRLDDTTGQLTEYGPTSVF KHLPSPSASGPLPQHSPTTDMNPNRNLFGNDAPFNSLGQTMRQNDFPEMELTYQIHEK ALTAFFTYFNSWCYFVQEREFRRDMTKKEWPQSFIRHDTRTAYYSPLLHYSILSLGIT LLPNNILPDRRELADRMTNRAKSLMEIEVQQPMLSTVTGLMMLGSCHSCSGRHTMGYI YAGIALRLVQALGLGINCSRWVENGSIEPATRKARDHVFYISYIQDKLWSFYVGRGVS LSMLSNETPLPNIEDEEDDLPWMAANSAEPTKSWISTTFVFTCKLFQLAEKVLNTVYS LRLNTSSDKVQNSASELNVQLEDWYGSLPPPLRITAASSRSTQSKILPGHIILLHGMY HFIVILLHRPWYIRPGQPAESREGKMSGSVKRCDQSANRILQIVSLYDKFVGLRHGPI SMTQMVFTAGTIHLLGVTSNLRFREKESKKLNSSLNSVKFCIEVLEKMGDGFICSKHS AIILQKLMEEWSLLPDDTNTLDQENISTVTPSISNSNDPIKEILKDPRMIEELRKLGW APPPDDQLQETNTSPIKSPDPFEVEKSSKELDALLRSMTNGVSSSTSHQFLDPAQNPS WTGMGMEPVLEQENESGFMNLFRTTNQESSLSNLELGLRMGVGMDWEQDMFSNLSSSH NFNPQSMTGSPFNFDNLNTSER
I206_01015	MSTFQSFSQQGSGFPPPEPSGQTPISDDDVDEDRDVPNTKANGA APSNRACEKRIHHVIGAGKVNIHVHSMDRENPNHPKLKMEAQIGSMQGNLQELLQLQR GAAANAESQRNMSPFESMYQGSRSSNIPSDPSQHSNLHHPSAPPMPRSLGPPPWGVQP HSRNVASPGPSDEDEAIDPLKSAYAAAPWANMLHLAEAARLKADHHIGGDDDQTFRPK VVSPRYDSSEETQKGSRKRQKTNNAKSDDRAAIHISLNERGHNGSPDPVDLGWCTLER GKQLFDIFFERCGCYMPCFDPIHDTWDSLRRRSPFAITTIISVAAKCEDGAGPPSDLQ LRSREHAEKMAMNSLFTPVSRIEIVQGLVLLASWGETFWRPGGHAIRMAMDMALHKSL AYLVEAGMGSGKNAEQIEADRPIVAGARVWLTLYKMEYEMAFAYGRPGLFCSETTIKD ARDFLRHPLALPTDARLVSSCESLMMRLPLLQPLAMAPSNAAQPFPNMDGKLRAANRA ITEWYNYWDTYYARNGVPKDHFLRETLITGGAGAFLTTNSYVLHEIRSRRDVAFLSDE RRQWLQEAGRKAQQLVDISLRGQQYPKSIQYANLLTHYNIVYAARFLIRMATLVPESC NLRQIGKDVEQIAIMLSNVPGFMFAHMLRGVVKKARNDQVLPPVSRAPSRLPSPAPRN QMTLTSIPEQNSWSSGLTPNHSFVPTISGAPDLSINLDSTNNDQGLSSHMDFLYAEQL FAHATEPNQPLTIDTQPATADGRMDQVFSIDTWFPFPPLDNDLSPLLVTGGDNLTSQQ GPTNASEGRQSWW
I206_01016	MSTTHEGVNHLNVPENHPTIMESVEKGLASEAVEYGQSPMLSRT ASQELTLNDTQLSNDKLEKSDPEKGEMSRDDSGLLSGARLYLVFLSLMLCVFMFALDQ SIVSTAIPQIVSEFQAFDQVSWIITGYFLTQCGLILLVGQLLTVIKAKWMLLGAVFFF ELGSLICAVAKDMTTLIGGRAVQGIGASGMFVSILAVIAVVTRVEQRAAFMASFGIVF VISSVVGPLLGGVFTERVSWRWCFWINLPFGGVAAAAVVFLLPARDPAVSENSPHDRT MLGKIRRMDWVGTALIFLTITCLLLALAWGGNQYAWGSWRIILLFILGGLLIIAFGSW QVYYDKHALIPLTLLKNRSVVACAGAMFFFMLAMLGGTYQLPLFYQAGRDHSPEKSGI DIIAFMLSICIAIFISGGITTKFGRYYPFFLIGPPISAIGFGLLYTIDAEMANARILG YQILAGFGIGLSFQNVLIAVQAEYHDRPALLPQATSVVSFFQLTGAAIGIGIINTVQS VFLNTEIRKLAPNVDFETVRQSVSAIYQLPVADQPPVIEAYIISITKSFIPIIAACVL ALIFGAFIRNHNMLTRGGAGGAHAM
I206_01017	MPFTPVQTLLGGLLLHLSTSSLLEDTGRVFGISGILDGAVFGNH EKWQWGIIIGLLAGPTLSYVTGFQAYYPGNALGSIAQIGLGRLGLAGALVGFGSRLGS GCTSGHMLCGVSRLSPRSLVATTTFFITAVLSAKFFPTNLSDPVIPAHTLEIPPLSTI ALFISLIAGLRFTYTALRRYLLTNSASTSFRSTPYFITGLIFSIGLSMSGMSDPVKVL GFLRLFDLNQFDPSLALIMLSGVLFNGIHYAKIKQIGKARFPWEKWQVPTRKDINFKL VFGSVTFGLGWGLLGICPGPALVAFGDALTRLFTDDSSLRDTALLDIITFLGAMLGGM GFSGMF
I206_01018	MSDKLSLDSTVKFHTGREMPRLGFGSGGLKGDVGVEAVSHAMKT GYLMVDTAQMYGNEDEVGQGIAQSGINRNHLFICTKWQPPVEGSTDRPTPEQVRDEAK KSLPLLDKSNSGKQYLDLMIIHHPRPDPEGRMNHWNGLALAQKEGWIKDIGVSNFNIK HLEALPSPVPAVNQIELHPWCQQREVVDYCKSKGIILQAFCPLVRIKKDKFEDPVVVK IAKKHNKGVAHVFVPIPKASSPARIDANKDLYDFELDSQDMKELDGLDQGAAGHVSGI DPAHLPD
I206_01019	MSMRTALTAIWAALHTTQYGFAITSLNGVQGPVTCGNAGGGISP HSLASSSLKDCIEMSPAQFGLVTSIFTLGGLLGSLAANSITHRVGRIGTLRLSALCVL IGSMIMGLANSMSTMVIARILIGLGCGLSTVTVPLVLSEIAPPSIKKALGIMNQIFIV IGMLIAQSLSFPFAKPYTWRYVFTVSIGLAIIQLLGSLFILNPEKEEIVIGDEESNEE ASLLPGESQKSLSIKELLFSKDPLVTRGREFPFLSYSPSHLKCSFDPIVLVVLVTQLS QQFCGVSPVMYFSTRILTPVFKSNSRLIALFVIIIKLPITCVPAFLIERVGSRRLLLY PTVFMSIAAVLLAFGINYDAQALSVIGVFSFVIAFSIGLGPITWVILPEVMPKHAVTA AGSLGLAVNWTLNFCMGAIFLPLQKWLSGGKDEKEGNIFFVIAITCLSVVLAMSMAFK AKERVTI
I206_01020	MWSKALVIGSLLSSAFAGVLDFTVPSAGELISDLDAVLHPQDIF IDYQENYLDPDTQRKSKLCTLRAKGNEKDDSDNFVAAVEKCGKGGIIKLPDSNYTIGK PLDIYLENSIIDLQGYLSFTTDIEYWIKNRIYFPFQNQSLAFVIRGSNYIINGNSKGG INGNGQIWYDYSKDFGNKFGRPMSLTIKESKNVIIKNFNIIQPQFWASLIWKSENILF KNFYVNATSFNSESSKDEKNWLQNTDGTDIYQSYNVTFENMLYQGGDDCIALKPNSTL ITIKNVTCIGGTGIAFGSIGQYEGVKDIIEDVYMENINLYPSNQCPGYQGVYFKSWIG VSVGHEPNGGGGGYGYARNITVKDVYMEDINHPIVVQTDLTYLDIDRSKYLDTSLFEW SNIHLRNFTGNSLANRIAWISCSKATPCFDFTFQDINIKPGRTDHPEIAYTCNNMVLG GNDGLNQCHPSNSTLEIDAGGTL
I206_01021	MSDSQGTISSLSSTIKSTAGSLASTVKPAENELARWRRTFDRFA KEEVEGKKYLNPTQFIDAIAPTDEGFSKIKREQYTNLFKVADGTGRGLVSWEDFVVFE TLLKRPDADYQLAFLVFDTDASGTIEFDEFKAVLSANTAASGIPFNFDCDWMKLYVGQ RGGRHVLGYHEFTQLIKGYQGERLRQSFHHFDSDNDGYIGPEEFQKIIVEIAGHKLSD SVLQRLPTLCTMNPGRKISYSEVIAFHNIDFAEMDAVERIIEHAVRKSKDGRIDVSDF LDEAASSMRYGMFTPMEAQIIWHFATRGSASTSARLGLADFQALLDAKWQPPEVAAQP EVTATRSVLSQLGQSAYGFFLGGIAGGIGAYVVYPIDLVKTRLQNQRSTVVGEVLYRN AADCVRKVYANEGGVRAFYRGVMPQLVGVAPEKAIKLTVNDFVRKKATDPETGRIPLY MELFAGGMAGGCQVAVTNPLEITKIRLQMAGEISRAEGGAAPRGALHIIKQLGLVGLY KGAVACFWRDIPFSMIYFTAYAHLKKDVFHEGRRGKVLSFGELLTAAGIAGMPAAYLT TPADVVKTRLQTQARAGQTVYKGPVDGFRKILQEEGVKALYKGGLARVIRSSPQFAVT LAMYEVLSKNFPYPLAPAAPIAPTTARPSLSSSQDISRIRARNALRILLDCSSRFGMV DSASASKGVTSLPKVFRS
I206_01022	MDLSESGLPTSFGKQSAPLPTKPPSLHTQSHRGTRGGSRGRGRG GDSSANGRGRGGGRGGSVPGKSDSGYGQRIGGQTDIDGLAGGIKRPHPPSPNDPSSSS CYNSGFRQNQQYSDRGGRGGRGRGGGLRSQQGNGHAVGDKGFWKDSFLEDPWKDLEAQ RARQSGTV
I206_01023	MNRTALFTALLPNLLHLHPDLLPSFLNAGNASLRQCAIQSVFAV VDVELPLELDSSPLTVRPKVNALLSLQQLGQSTRIVRFFHPKSFDDLHRVSTQYTPDI ENEPRSSVWDLAAILIRSCPNIEELEWNTSFGIGGPFWEAIASLNHLTRLHIDHPPLH PAHDIATLPSVLFPRITPRLQLLRPLLPSAESAQDTDKIDLSLLGSVIGNGGWGLGIG WENLKSLTLGPLSETGAKTFANHLTLLSMRPCVLSAVTIETQFLDILLCTSISKVGSL GSLTYVELSSTGTRLTAECLDIIISGCTMLEGLKLNVVDGHLSKETWSTINDWPQSFQ SLDIVIAESTKRFSWVLDHLESLHQVPLAQLRRFAVRRLVHPINLVPFLPANTTSLPP VRSDMSLQPIPEGLLKAIIDNGHQLRNLCLDWWEMSQGNLMAILKSCHELRTLEVALC APVASALNVPNSLNQSPLERMIITSDPARFPTTLNAKAKIDYVFIPDHLPQPLKDKVT ELDHHLLDPKDLKKLARRLPNLRFLNWTGKGGKGLWEFRRNTNSSLVRIDFAHAAIST LETWKQCQSSAPTIYGEIVETSQVSAPLEIPHTPSRAPSVPVSPSSRLVRTASVKTDE SLRTPRTTSSKLTIDDEDAIYFDTSSRQSLSPTAPSWSSPNAVSPTRSPNVKLPDNTF SLSMRGRATSSPRRVISTRSSTPYSIPSDASRGNEPFPLPDAIPSSPSLLQASPKTIP GSGGRTSLPVEKMEVSRKDKEALESKGIRFIEAEQMNNDEIQNPSESSCSVVSTSGGN SAKFRKTKTKVRGHISI
I206_01024	MQEQDQRSTNIGSYDGGFERATQIKFTPERIAQHRQLDDDFERA GPSKHWSLNNFDIGRPLGKGHFGKVYLARVKSKTDPFILVLKCLTKDEVITKQVQMQV RREIEVMQSLRHPNIIRLFGWFHDSTRIFLMLELAGKGELFKQLAKKGKFSERRSSQY ISQVASGLNYLHSKNIIHRDIKPENLLLGMNGEIKIGDFGWSVYSPEENSQRTLAGTL SYVSPEMILGQSYGKAIDIWALGVLTFELTCGEEPFGADTTGGPRLVHQRICRCDIRF PPYLSLEAKDFIQSLLRFRPEERLPLDQVDQQPWIASHR
I206_01025	MGRTSRASSDETLIIRNERNQGANYGATNTPGQDVEGGRLPKNN EPNPLDMGQILLLSMARISEPLTFGILFPFVQQMIVETGEVEVEKVGYSVGFIEVAFS ITQCLSLLYWAKAADKFGRKPVLIASLFGALASTALFGFCKHVWQMYACRFLAGVFGG NAMVIRTLFTENCDSTNQAKAFAYFAFAASMGAVLGPTLGGYFAEPAKQWPRIFGHLG LFVKFPYALPCLVCAVYILVSMILCFMYLKETRPDECDNPPPMKEVLTRKTIGMFGIF GWGVTVVFCVAALFPLYLFTPVAIGGQSMSPPQIASLGAVNGLCQGLWLLFALPRLDR WLGTKKTFILVSSSFPIWLLLPAAANAFARADHWVLSRLSLAVFVTLGSSGHMTFTSV QLLLNSCAPPGAIASVNGIAVAICGIVKSFFPAVINSIFAVSVSKQILGGYLSWVVLS VMAVGTVVCAYYAPEVNDDSKDLNKNKRRVLEAEGEEVLESGRF
I206_01026	MLLGTNDFLNNPYLNREDVLKGCIALLDPLASHTSRGGALLDIG STATHYDVKAVALEAFARPLWGLASLLAGGDKYEGAERWVRGFDSGTNPKGDEYWGES MDKDQRMVEMSPLSFAVAMAPEVFYNNQSPEAKKNICAFLQSCIGKKMPDTNWLWFRV FANLALRAVGSDFHNPDQMEKDLLRLEEFQLPPHDTEGDDAASAGWSRDGPEDVRQLD YYSSSFAIQPAQMIYAKLAAKTDPERAEKYRQRARDFLADFVYYFSDEGAAIPFGRSM VYRFAVIATASTMALADIEPAAPLTWGHVKGLVLRHLRYWNDAKDIFRSDSTLNIGYR FDNMNMTENYNAPGKLCSPYWCMKAFICLAAPASHPFWTSEELPWPKDILPLTKSLPD PSHIMCRQADHTFFLSSGQVPHYAMRHGPAKYCKFSYSSAFGFCCPTGDMDLGQLAPD SMLALKDASDGVDSSDGETWRVRRNPIDAKIIGRGTDKVHLRSKWRPWKDVEVETWLL PPPNAQSSYYLRIHKISSDRRLESSEAGWTNYGQGEDGRALIQSFSGLMSKGGDQEIG WSRAVTAGGAVGVVDVSYKGSSGKRQGQLVQIDPNANVIFSRGILPSLKGIIEPGESW LVTAVFGKPSKEGKTQGWEECWKQVPQVPSWIS
I206_01027	MADIDNEQVNDIEIGIVGSGSMGGGMTLLFAEHGSRIGCYDYEE KAVQKLMDTAKEDEKVDDKYVHGFTSLEKLVNAFPKHGSKDKQPRILVLSMPHGKPVD GVAEKLFPLLDKGDIIIDGGNEWWEETERRQAAAKEKGIEWVGMGVSGGYQAARHGPS CSPGGTEEAWKVIKPYLETWAAKTPEGEPCVLHMGPGGAGHYVKMIHNGIEHAHLSIL CEVRGLLHDQLNLSNDEISDLFESWWKDGPLRGNFLIGIGFKGLRFKEGGGIKDAKDG IVEKIEDKVTQDVDLSEGTGTWSTKEIGERHVAAPAIAAAHQLRIISSDKFERSKVAD NLALPQPSKAAEANLDKGEKDKLMKTIHTAVYGAILGAFVQGLDIITKASQDQKWNIS LADCIKIWRQGCIIQSDAIAEFFLPLFEKFPRSEPLNLLKSIPEIAKELAKTYDAQKK LYSIAIETDAVAPALGASLEYIKAVNCRDLDTNFMELELDYFGHHNYDIKGETEKGHE KGKHHTEFSKTPGV
I206_01028	MSYDNAGLRRRSPNPNSPLPHLDVDIPYSPALLSPYTQLPGFLN NARNLGLGYNDVLERYSRPSPLMETREHPNPTIRLIPSNRSDNYSPIQATRHGPIASR SRSNDEITSNSADSAESLAALKRHRTDPKSYSNGERASRSEYFESTLFPRIDSKDRPV PPLPLDIRKTKGGPPSIISSRTSSSGRGTGESTIGTSSPRTPRDSDYRPNSVLSSLKS LRNPFSRRTPSSLAPTSPALSARSLTSLATSRSTTSSTSTLAVPFYPSAFYKDEGKFD NLASKDISEKLTNKFPAPRRVKEAEKGRRERWTGYKWILLLSVMTVFAYGVAGLTWAL LILGRVNPHSDVTMVSDPDIVIFLTLASLLCIFTGFIGFTGVLLNSRPILAFYNLLLW PIVLSMCLVGYTSYKRGALQLERKLNQSWSQFLDDQERLTIQNSLRCCGYYNPLHDAT YSKRCYPRTTLPGCKSKWIHFERENLHNFATASFSVLGFHFLNIVIALLSSNHVNNTF GKGLTPPAYRLRMSDVRANAVSVLSTLPKLKVRKSSLIRQPAISHKSRPESLYSYSHS KASSRTSTTSTGTPDTVWNAAMHEAGPRGRALREARLRGIL
I206_01029	MSSTNQPNLNLKLLLIGNSSVGKSSLLLRFTDNEFLTDEETAAT IGVDFKVKSIELDGKRYKLSVWDTAGQERFRTLTSSYYRGAQGVVLVYDVSSRPTFDE LLKWFKEIDTYCGEGVVKMMVGNKVDKEFSRQVTTDEGKAFAQRMGALFIECSAKTRL GVPEAFEELVRRILANPILYSKSSATAARKAEPIRLEEETWTGGGCSC
I206_01030	MAKAARAPGSESQTSRPKFSFKNVFSTGRQTFWAVFFILWFCID VAELGLVSQQLHKYGNQTISYPTGEYYHALGLLLFSTIIGLLFCLFHWAVGLTLYLPI FLAFGVWWGTGAGILYGTPFGHGLQCKHTSDPSRFPANWVPFLGECSRVTAIEGLAWA LFALSVIGLFYTFLDKFSFHSKRSSVYDKAEEGHAQIPEKH
I206_01031	MGLLPLPPSPPLSNTPDPGSEIIIQSQAGPSNSQQQPFQLNSSQ DTVVTPPTSPLPLPLLGNRKRKRQRGSKFEDEESVYSITTSDGEFLPSPAASASTTTS SGTRILRGGGKRQQSTKSSKQGLPNSQHSSYSATTNTGRSKKNNPGGERGSGGSRRTT RSKAKRAFSPSDLITTSLEEIAIEEAAVKAEINRLGLMLRDVKGDGNCLFRVLSDQGF GGEKKHNEIRRLVCDYLETHKDVMEGFVVPFMKDGEGYEGYVTRMRQLKQFGSHIEIQ AAARVFKRNIRVVMSTTSFTIPWQTEATTCREPPETPSPADVGPVPTTPSHRTRSKTI HTPHAPSILLPESLPSVQEDRSMLWLALFSQAEHFQSIRRMGDREHGPAEIEDRLSIP HARDVSEAARRERGELIDEIENKTPVPLSSKVSQVLSSLPPGHGVSSEQVEGILGRAH GDIGEAVEILLEHINQEKEGISDRSDSGSSDHVEQMLLSPNSIIAPTDPLSGRDAATP QDSGPTECVTQHRSDTSHSPSHTATTSTESRSHSRSRSNSDTSLQSASTSQTEAFDDS GNTTNLSMGIKDMKVDTQLPRPVDQAVISDDQKRGARSGVRGERRRSARLGTVGA
I206_01032	MYTRASGGIGSTSMGLVVRRSPQGLKSQHGIGSIPIRQHQIYAN ALSNTLPNRAFSSSIPIPLRTLTLSQQTRLYPSFSRSVSTQPHSSNSSPTSPPPFPIA SNPQSPQNIHDPPLGTPPPLPPTTLSALPPKATDLAKAEIEKEVAKKEEKKKPTGPLP ARIWAVIKKEAIHYWSGTKLLGQEIRISSKLQWKVLNGGTLTRRERRQLRRTTTDLLR LIPFLPFVIVPFMELLLPVALKLFPNMLPSTFEGEFAASEKQRKLLKVRIEMAKFLQE TVRESGLKADSVVKSEEFKEFFRKVRSTGETPSQTDVVRVAKLFHDDITLDNLSRPQL VSMCRYMNINAFGTDNFLKHQIRSKLEKVRVDDMMIHAEGVDSLSTKELQSACQSRGI RFQGVSPARLREELEQWIELHYTNGISGVLLVLSRAFNFEQKGENVMESLVTTLSSLP ENLLNEAELNVSDEASYKQKLEVLQQQQELIEDEADQEKEEQEARKEDKERKEAEEAA KREEEEAARQAAAEASGATEEPTIAAAEEAKEQPKPEDDARMTKEQLGELAEALSILT AKSSIVKERDELKSLLEDNLLSEAESKERQEENSPSVAVSKRVRKMIQTIDSQLEKYD EKVGSSLNVIETSPQGQIALADLKKALGVIKHKPSDEIIEGLGKKLDVDQDGFVELDH VIELTQDHGLGILLEDEEARNILDKGSDIRHSKDVKELKPKREDILSE
I206_01033	MSFANPLNVLLIPPIIYLVFRLIVPHPLKQTPAASTYSEEVYNW IPSKHPDIICQKKYTAKELLEYDGTGKKKGGQRILLAILRVGLDGKWGERTVFDVTAG KTFYGPDGVYGNFAGRDASRGMAKQSFELEMLTPIDDPLDTLSDLTRAEIDNMRGWHE HFERKYIVCGELVEDGEA
I206_01035	MAGGAVVSGGSGGNPLRQGFIRREYLWAFILVTSLFFLWGFAYG LLDVLNKHFQNVLGITKLQSTGLQVAYFGIGYFAFSPVAGEVLKRRGYKTTIIMGLCL YSLGAILFWPVAKFSVNTKNPHAIFGGFVICTAVIACGLATLEVSANSYVSVMPPHNV ANFRLQFSQSFNGVASFTGPLIASKYFFSEANKNNLSNVQWVYLAVSGMGVMVALAFL FTKLPEVSEEALQAEAEALAEVHGADTQADKPFYKQYRAITGFVAQFLYVGAQVTIGS FFLNYTYENAKIADSRGSQLLSYGLIIFTVGRFVGTALLSVIAAPVLLGVYAIACALL SILIGSLHGMSGVVCLMLVMFFESIMYPCIFVLGTSGLGRHTRRAAALLVMGVSGGAV FPPIQGAIADKFSTRTSYYLVVPCFVYIALWAAYVWNKDGRRFGVPATSDVEREVEAA AGGAVPPAAVGALGYTPKVEEERYESKDVIENIEKV
I206_01036	MKTSLTLVAVLAGIVSANPIVRSSKYKRAGLQGLDFEIINLARN LESLELNYWNQGLKNFTDDDFNQAGYQGFRHYLELFRDQEIAHFTVLNEAAGMGFENC TYTFPVTTPYDLLAVGQVITTVGEGAFIGGIANLTDSPARQTGGTIIGNEARQNSLLR QYLGLDYFNAVNFDTPLTASQAYSLAAPFLNCPSSNPPINFHKIPPLMANFTSGSTPH SPGDEITVMWDSSSVYLGGDVHITFLGDVYSIAQPLTQSGDGMGTTTLPKNINGTSIL VATNFEGGGPIPDKQNFAIGYVVVA
I206_01037	MSAAAANPKPATELKKPLPLPFAYTFASGAIAGCTELLLLYPLD VVKTRQQLDTAKTSTGMIQTFKNIVAQEGPGRLYRGILPPLFMEAPKRAVKSSWGAFF TNNGQRKNTQTLAIVTGCFAGATESFVVTPFELVKIRLQDKNSSFKGPLDVVKHSFKT SGPLGLYQGMEATFWRHWWWNGGYFGAIYGVKGQLPKATDKKGELLNNLIAGTVGGFV GTALNTPFDVVKSRIQLHGTGEWTYPALIQVARTEGLGALYKGFAPKVLRLAPGGGVL LLVVEALSTVFRGYLGPPYI
I206_01038	MIFTKVAILSLLSLATFVLSLPTPTLNSQEGLAKRWHEDHKRHH PSVGENARPSPSVSGSAQRKAKKPIPSSKSIDSGQPQLGSAEDLTRCPAQKMACPISP MTDVELAKSNADTPYECILPQEDLYSCGGCTTLGTGLDCTAIPGVLSVSCAIGTCNVY SCKSGFTVTSDGQGCVEVTVPASFNATLISTNQTFITNTTYTVTSPVATDAPASSSVE TAALPSPTV
I206_01039	MGLFGSSDPTVKAEKAIAKEAKVEDKQLRQAEKDLAHAEKSETK AAKAETKAHSAQEKAAKVEQKAAAALNKANHKHDDTVNHANAAVNDANRTTSEHQRLA QEVAQKKAALENLSQQHHHHEQTRDSKLKELHAAPAATAANVTNDLRTGEHVTPQGGV GEHGTTGAFDQTQANAAGVHAGTGATGGAGFGASGVHGTGATGTGYGGEQAGLTEGQR TANAVKHL
I206_01040	MTSNDITSTLGSFELVDEAISKESDKSAYNWQPTHWLKFPVPEN YDLSTPPWIRPEQVPLSFVGINVVDVENSKILPSMTVKLKNGKIESISKSVASHMQEK GWESINGQGLFLSPGLIDVHTHFTASPGQPNGQLVPSSETQTALAATHVLKGMLWRGF TTVRDVGGANRHYKNATEQWLIPGPRIFQGGPVMSQTGGHGDDGADSDKPITGCCSSN GTGRDSVVVDGVEECTRAARKMMRAGADHIKICSSGGVASPTDKLDSEQFTVAEIKAI CDVVRMMVSQGIFKPAHCFTSPAARNAIEGGIGGIEHGHLLDEDTLKLMAKKNIHLTP TLIVQELLSKPPYESMIPIQSREKLKLVINGGYKVIKKAHELGVNIGFGTDCFNSMQN VQLSEFDLRKKVLNDDVILKQATINGAKILGMQGKIGIIQEGAFADLLLLSANPLEDV SIFNEPHKFVKGIVKDGRVVRSELRDLRVEVPLV
I206_01041	MASLEKVSSNRVSTGYLTKYKFPSSSLGGLSTQFNVFIPSSASE NSTVPVLFYLAGLTCNEDTGAQKGGFLNTAGEEGIAIVFPDTSPRGAGVEGEDDDWQL GTGAGFYLNATAEKWKKHYNMYDLVVKELPEVLKGANLGLDFSRWSITGHSMGGHGAL SIYLKNPGLFKSVSAFAPACNPSKTPWGINAFTNYLDYKTRSSPPSEWLPHDTSHLLQ NYKGDVNILIDVGTEDDFLKKGQLEPESISSQNKKGVEVRLQDGFDHSYYFISTFAPE HVAFHAKFLKA
I206_01042	MSSKSTDLQQSHTAALVPSSSSQIKRLLNIDNPNSSNPSKVSAP INNGTIKDLVSPGELCGFVDNLLTQLETRFDEMSEQVLSRMNEMTQRIDNLENAIENL MQDDLDERLISPQK
I206_01043	MSSLARPAFPPSLARRIAKRRPIPSKSIHTTSPILSIAPRPEPT ALPPSTAISALISRLSLPSNDTSLHSKIVECLTHPSYHAAKNQLTEAEELEIVQDPSS SSSSSSSSSSINLETKGDNELLSNLGNSLLGLYASEYITNLYPYLPTQAIKNAITAYV GPSSCLSVGRELGISVQGGGNNGSLPGLGKGSNSSGLPIRWSKTFINSKNYKENFKGE NIPSKGPEIVPVARRFKNFTEKKEEEGELNELENIGYKKGKRENFEDVVASTVRSFVG LIYQEQGIHSAREFVHGYFLSRSIDLTELFNFKNPFHMLSSVISSHLSSSGVPISKNQ GIIEKRLLASTGLNSQSPLFLIGLFLPSGIKLSEGYGSSKSMAEYRAAKNALLSLYLI RSDSAEFSNNKIQNLPSTLYSSTSTNQHNFLFNGKILETSDELLEKEFKGSNWGGKEV IAESRDLKRTL
I206_01044	MSFIRASSNTFARTSLNLRATVRPIFLNSPRPFSSTSINQMVVS PFLYEARKTTSRNAWAKEPIVKYEELKPITQQPTDEILIVDVREPDEVALGSIPSSVN LPLSRLKEALDKGFNPGDFQKEFAFSKPTYDQNIIFFCRSGKRSANAAELANEKGYSN VRNYVGSWLDWSKREGEDKDD
I206_01045	MASHTTTTTTTRSRRGGKSPLLLGFAAFLVLIATLFVWLSAFSV PYIRSIHYIHTRENDVKFGNSGWCAGAPLNGLLTGIKCHRHVGYNFRPWIPGGKSATG ALILVALTAGFGSLAFFSLLHSATNIRSGAASFGLTLFTTLLATISFFLVVIVYGTAH RRFNHDNLDAHYGAAFVLVILGWLIYLLTIPLIFLGWFKNRRQHTTNATIRGDSHQTT NVSIRA
I206_01046	MPAVDPHYLWAFGHGVMLLSASYVLLQTVLFRPEPTKSYKLAFT GALLSYSIVVYKSLGKPQLNQAWLRRALVDENVQYAILALYWWIAKPVNISILPFATF SLFHCLTFLRTNIIPKFVPPPPTGAQAQRPPVLLENISKKIQLWVKGNYDVAMRFVAY CELLICVRLILGVLTFRQSFIAPLFMVHFVRLRYHASPFTKSAISNVNNYINNFVSNK PPVIQNAWSTIKRLISTWGGAPLISSQGQTQQTAGPGAQGAQAAGTATGAGRR
I206_01047	MMLKQDFKSATTGVAAKTMRNELNRMVSGETDPAKKKIFEAEMQ SFFLLFNRFLTERAKGEKLDWEKINSPKPEQVRPYAVLDNVDPSILNKLAVLKLNGGL GTTMGCVGPKSIIEVREGMTFLDLSVRQIEHLNEKYNVNVPFILMNSFNTDEDTARII QKYQNHNINILTFNQSRYPRVDKESLLPCPKETTSDKANWYPPGHGDIFDALTNSGLL DKLIAAGKEYIFISNVDNLGAVVDLNIMQTMIDAQAEYVMEVTDKTKADVKGGTIIDY DGKARLLEVAQVPKDHLDEFCSTRKFKIFNTNNIWCNLKAIKRVMDEDALNLEIIVNN KVTDAGQAVIQLETAIGAAIKHFDSAIGINVPRSRFLPVKSCSDLLLIKSKLYNLEHG VLTMDKSREFGGTPVVKLGDQFKKVANFEKRFKSIPNITELDHLTVAGDVSFGKGVRL AGTCIIVANEGNKIMIPDGTNLENKLITGNLSIIDH
I206_01048	MSTPPSIDPMNSTTIETSSCIAPMTTNGDNLSVVSLPGPSISTI PSTSTSTPSISLIIKEKEKVVIDKDLLPHPAEDLSADDDLLSWILVDQLGCMPNTKLG VHPQQVKFVGPSFKTDDVLNIIKETITKGNIQGAMQRLQEFHLIKSHMDGKMTDYQRE RFVAHLRRYLLPLAPNSRLEIHLTSRYSFVTGHTELAIFATKPLVPGLVMQELQGSVV PLPDQWREEMEIGEDFTVAVEAAGEETDSENDDTDQEEDDNDTMSLHSSATNTRREKG KSKEIENNLRKGQRRSDRTKRRDFSIVWSGLKRCYQLFLGPARFLNHDCNPNVELLRQ GKYVTFRVSKPIRVGDELTTFYGENYFGRNNIECLCLTCEQKGVGGFTPVSTVPSNRG SRSGSRDSSAGPSRRSTSVDMRESVARDVKSVKPSALRNVLNSNIDKEDMSDDERSTT TVEPSHLNGDGSSESRCDPRDDSVNATPTKKKSISMKITLPPSPTSESMASDPESPMD PPPRRERIIRKVVQNIKPWSFLQRPKKIRKEELSEADEDSTEVTDDLPSDFPRCATCA KPLNEQIWFNGRYFEHCARCIRHAFIFELPWPAHNPKDVREYPPSHLVPPGYIPRRIS TIPLPTLSKQPKYVKPIEVPVSPTTETKAMARARRLRDQIAAEEFFIESLREAAWSAQ EAHEAAIEAKEAAIIAAKEAKEQQRLARIEEKKKRDAMNIKGTGVWSRYAYVTEDEIK RKEAERNQVLSGTRRGGSFRARKEEEEMKKMAEERLKQEERERLAAMGINPSSSPTSA RPPVQQKHEAKHQSEEEDESNVSIDSSSASSTVLVVDPKALRRMYLPNANRDDDDEME VAQAPELSSVSRGHHYLQSKATLPPPKTKSIVKPIKGTTSISTIQLKHVSKSTVVSSP FRPIAPKRPVDTTPSTSSSVRREASSGSMNKSPIIDLTADSDDDGVSILPSRSLPSRY SQSSTSKQINKSSTTTRHSDSSSIHTSTDKNKFAQNSHTASSMSVKRSSYPDFGGNVS GRKSDGSGSSSVDVTENALDLLESAHTDRSESIKGDTSTNYNSKGRAPPRLILNVTPP KDKYRDMVCDINGKGKDKEIASAGPLRNGLSMNAIIKKFAKPFPHSSANGTMIDSGII KDREKPNSNSSSKILSDGHVKKRKRISDTSLTSSSVSPNSHPEKKKRTFFPSSINVTI APLGPDLPKPKHTIHET
I206_01049	MRLSQANKILLGSCLVVVPLSFYGGLAIKEHYLSNELPEVANAT TVRSAPHERAMRARIVQLEQERKELLKEGEDLDAKLLTMKKRMAKA
I206_01050	MSSPPPNNDQSSDNPISNPSNGQSESTLEGSGPSNTNRPASPAR QFSPLPSISFSIAIPRPSTETSSPSPRPSTIPASDPTITAGVTPRSNDTQEHPNQAGS FSSFWTFTIRPDDQESPATTNPSTVQDQPRPEGTNLISGDNTNNTNTQSQRTNVQEGT PQVPGPAAPPWIFPPFFNFFLPIRSEPQPNPEKATELLRSLPTVGRRLLMRVDRIVAA QENDRDTLPEERGWKCGICLEGLDIQAEHEKSKEIKGKEKEQEEVTTDILMEEKEKEE TEVRKKDSKNTGVKALPCNHLFHEECLHPWFTTKHTCPSCRLDLDPLQTLNSPSTTDT TLRPGQTGSGRRLPHPYARDRPANDDSARSDSTATETTNVNREPPAGLEHLGRLLNGI HGPGLIPSVGISGNGLPREDDRPTITFIFNGSPPEGFNLPGLTPGVFGPPGLSARTVQ SGIAPSSVPASNPTAPASDTSLASAPTDPNAQSHTREGDLSQNPEPAPSTPGSVFDVP TFFSSPLPITNRVDSSPASDQQMGSETGQLANTAPNMALNSESHTAATATLAPSASDS DRAPPANPEERPRPERRPHITIIRTSSPTPRPPAGPGHNSGVGLPTSGPPGGLGLGNL PLPPFLFPNLPLPPQLLNAPAAPTGPPSPGQANQARSPSPAPFVPQSLESWTQEKEKS LGWRCDASNCHYAPSVNEEDDDVEMSEICDDNDQGNKEMLTVYLEDLYKDNQITTGDQ DNHVVLTKGSASCGHKLHRKCLELSENLSGRITKQDDEEGKVWVKCEKCKKDGWVKSR LITTTSINHFEAKERNLNDEINDDEEEYAPSEKEVENLINL
I206_01051	MKAPKRTPWASRSELEELYEMLFAPNADDTSRRRGLARMSIYIS SPSCPTFIHLLHSLISVELLPYPPKSIEENQRSRMMIGMAIVRFINGLVDPLQNGPYA RPISHLAATLSIPPSLISLRHRATHEDLPPLPLLHQSLLSCISYLHYYSFLPLISSSS SSNNIPSGIAEKLESDKRKIEGLTKKWKKITKSRLREKEVREEDQSAIELRKIKKLLQ GFESGLIVDVLLSKGGIVPLAIEKRANFTSISPTIPSLKIWLPLLEHLQESSHPDFIN QITSKILDILLNPESHVTDLNADAHDNEKVKEDIRTFRWELAVWLLHFWNTENNSTMT LQNDQKVDFIKRILRALLELHDDIVVRQLFKALQTFESSLKELGNLLPDINNQKEIEE VGLKGLEVDMEENLIDLRKMEVRLKQFDQILASRNSKIKTNQDNDRILHNTSSVHQLP QSDDNSPPGWRKLSDDIWKPCYIGCNGIIS
I206_01052	MASSFSRFQHVFSRYRPPPLLPTTIRTIQILATLHLISTTLVEL RICTGFSMLPTLSQHGDCVVVSPLPYWSPFTEKHKDKRPKRGDVVVATSPMDSRQTVC KRVLGIEGDMIEIEPRRGGQRKWIDNSGVGFMVDIPQDVELEHEENKEKHITYKDLSI KPKRNGENQWIKIPKGHVWLVGDNLSNSTDSRKYGPVPVAMVKGKVLARVSVNYVNLW LRHGIIVDFVDISKPDLDREQPQRDRSTDIQSSDVVIGTSRYRRTLLVRRTKMSTQ
I206_01053	MSSGMYETTVGSLSHRKLDYPFNNSTSSPFTDTLIFKPTSTTNR SYSPRQSIDNEMAGRKSNERRSPVMIDSASAKRRGRKEELESEDESSSIDEEMDELSD SRSSHTSSRPMTPSQPQQQPIAQIPHSRSQPHTRPIQPPDSDSKSPEGIFTLAHKAER ILGLIPGTIGYAKACLENAKDEIKRLSESNLNENYTTYSDIEGKGGEEKRKIQNRARK AISFTGFPNYHQNQNNSLINGNGKVPNVVIGLGNNKEEEEKREKRRKAADGIVYWQRE IQRLEREERERGTRN
I206_01054	MRFPGWVEEASRLHPTLSIGLTASIIRLVHITFLYLLSWLIPPF DNSHNLVSSTSTNTPGLRWDAIHFISIAKYGYIYEQHLAFQPLFMGLLRLSGECLAWL KGNEFNENDLIWGGMTISSTSWIGSCMILHKMTTLLFNSSRFALLTTILYMIPPTPIP SLPYTEPLYSLIVLSGIYLLVVKKQYILTGILFAFSTATRATGIFNVFILAGVIILGD LPIHRIGVKLLIERLMTRSWKAIIPCLITMLPFLLSQWYAYQSFCDDQGITSNEMRRP WCDTKLPFVYHFVQKEYWNIGFLNYWTIANIPNILLPLPIIITSFLGIINHFKSLKNH LSNQTSILTILYLHHVLILFLVLFNSHIQILLRTCITDPVIWWNVTSISINWGKDKSV EKEKLTSKKRIQLTKIGKIWISWCLIWGTLSTILWIGHYPPA
I206_01055	MAATTVKGYMTPTEVAISQEQTTWAEQHDIQTRSSVNDLVKVLD PSAKLPYLASKTLPTPPRTIPQSVCSYDEEHPALSAQVHEKSVHALIQEIITQKAEAQ SDETEDESAFFACDLSAIYQSYLEWKASPIGNRVEIFYATKCNPSPQVLHLLSLLGTS FDCASMSEINAVLSLPSAPSPDRIIFANPCKPASFIKNAAQKGLKMMTFDNADELYKI KRIYPTAKLVLRILTDDSKSLCRLGLKFGAPLSTCPGLLSLAKQLGLDVIGVSFHVGS GCKDPMQFADAVWRARKAFDMGKSAGYDFNLLDIGGGFERETFQEMTQVLSDSFDLYF PEDSGVRIIAEPGRFMVSSAFTLATSIIASRRAQQPGEEALAEPVEEEEKTEGAADVM YYINEGVYGSFNCIMFDHQIVHPHPLTIKGELMKTEPPFPPLPNVAIEVDLPIQMGYN NTESASVWGPTCDSIDCVRQLVDLPKGMDVGDWVGWTEMGAYTLCAASTFNGFDKSPV HWTTGNQTKPESKFVKRLLEEFNSTSLR
I206_01056	MAVYPHSKSCYCAPKEEHPLIEWVAKGLDAEGNCGGLDDVSVDY LNIPWIFHGCYTSLWSAPYHSEIVYDPVYCVESCQSSDGSLAMLPKLEEHGWLCACFR EPTEGVLGRQCGPGVWQGYHREWINVDGSSEYTFYIS
I206_01057	MSARELHSTKDDEKVIDQIDIKDVEYDDQDPTHLALEETEIDQA YLNASKSTRFYRGTLFQMFMFGALSFVGPAMSDAITNLGGGGLSTPYLANLATSLQYA MSCLMTLFGGPLINKFGIKWSCMIAAVTFPLSGSGYYVSAKHKVDWYLLFSRILGGFT SGFLYVGETTAMLSYPHLHERGKYLGIWSAMRNSGSVVGGAINFSNNSKASSSGGIAW STYLIFIGFECTGVIWAFLLSATKKVRRSDGSKVPYSRDISWKAEFVALWKHAQNKRT WLVFMPAFYSFFYGGVYGTYLSLHFSVRARALSSLIIPTAVIPMVMAYGSMLDNKKWS QKKKGWVAFGMWAIPQAACLIWTGIEYSKFAKEGKIAYDYSIHGKRWAEAYLPYFIIF TTGYWTQLSIYWILGTFSSDVKSSSRTGGLFRAFETAGQAVSYGINANTGDKKIPLYV LCVVFALTVPCMTALIRLIPERPAEHDDIADMDKAIIAREQMEQATS
I206_01058	MPHTGSCLCGSVQIKIDSTEEKQIACHCTDCQHTSGSAHSTNIL PKISQVHFTGDVKEYNSKASSGNTVTRVFCGTCGSALAHESKAFGDSMAVQTGNLPDF RSIPFAAELFVKDRWTGIPQIPESDQAQTMPDA
I206_01059	MAFTTDLPPLTLLLQEIQTVTSAMRRNQRWASTSSSSYASSSAP SLRSHRTSNQGLARSASRRSGRGSVGGADEGDLMDGFIELRRTLSGVKDITSLSPIDI CAPFLALIRSPLTSGPITSLSLSSLNSIILAILPSYLTAPTLEVTTLTPLQSSLIHVT SALSQCRFPSSSPQQDELVLLRLLRVIDSLVSPISMPVTPDNSAYGIGNMLDHMGDES VCELLEVGLGMLARARLGEGVRNSAQTTVQGITRACFVRLKSLRPEDVERLLGTNETQ PKTTIKEEVKQEIVEDKPSETSGAKEDTEKGPENETESNKAEITEQIEEREEPAGVAG ENMQESDTAPSTFTPYGLPTLLELLRVLIALLNPSDQAHTDSMRLSALAILNTALEVG GSSLGNWPELREGVRDEGCRYLFQLTRADSPSLLAQSLRTTSTLFSTLLPQLKLQLEL FLSYLIDRLTPPNPSPIPTHLLGRSDPTSRPGTPSLGGPSDGRATPNGEKAHPDTPTA GTPRPLSLLPPVPNETKELMLDTLTQIALRPSFMVDCWVNFDCSTESEDIFERLITFL TRGVYPTGPPKADGSSHLFDGLDNAQLLSLEILLSFVSSMAERLESAEEPWNPSYTGY EILTEQKSQKAVLLTGATLFNQKPKTGLAFLEKEGMIVRDPAGRGSDEEEKYLAIAKF LRNSSRLDKKLVGEYISRPDQLGLLKAFIGLFDFKGKSIADAMRELLETFRLPGEAQP IARITETFAEHFFSFRPAEIVDQDAVYVLAYSVIMLNTDLHNPQNRKRMSIDDYRKNL RGVNGGKDFDPEYLAAIHESIKKREIILPEEHAGQHGFEYAWKSLMQRSRTAGPMMIC NTSVFDEAMFKLSWQQLIASFAYAFTTSAQDEHVIQKAITGFRQCASLAGHFKLLEVF DTIVQSLSSATGLLDETQDGYQMSNYPSVEKEGQTLTVSPLSIRFGQSYRSQLATVVL FTIANGNGNAIREGWLSIFEMFQTLFLHQLLPTPMLQMEDFLAGTTTIPMKASAPAPQ PERRPEGGLLSTLSSYLLSPYGQSSEVLVMETTEEDVENTLVAVDCLSSCKLEELYAE VLNLEVEALIPALKAIRSLAESRTTAKLQARSEVRGETGSPVLVRFEGQLPYDPACVF HLEMMVSLASRSKSNIAETWPIIFEYISTLLSSAQSYSVLLIERAVVGLLRLCQIVTE TPELRDQLYIALDVLRSLPSTVLNSVSEQLMAGVAKILEKDENVVSSQTEWNLVIALF RATVAHPEASKVTLRIVQKMASPSSTGPGLTNDNFSGTVALLDEFATAAGAAAANRSQ QSRRSSLNANQNASLGPTVERGLTALDSLYELRNVIPGLMTSSGLNESDAFNAFWLPP LLVIGKQCINGCQPIRQRAISYLQRLLLSPQLLSISENEKILPVIFDKILFPVLEELL KSSQQSQTYAPYADQRLKAATLLCKIFLQYVVRLIERPNSKSTVEALFLGVLDKLERF MRGERDLLNEASESLKNVVLVMHSSKLLVPPSPNDTEDKRTPDQIELWNKSVERIERV LPGFLDEAIPPPPAPLHNQPQVQVQNGQ
I206_01060	MECSFGLTGKDYVLLCSDMSAGRSIIRMKSDENKIKTLGPHLAM AYGGEPGDTNNFAEYVERNMRLYHIRNHYPLLPEPASAWIRRTLAESIRSRHPYSVNL LLAGYDTTNSKPHLYWIDYLGTKAIVPYAAHGMGVYVSLSTMDKWWFNDMNKREGIEV LKKCVDEVKKRITINFNFNCILIDKDGIHQINIELDDPISEFESSNNKIIETPNPPLD VGVTA
I206_01061	MLREVKVGLDDSKGRPGTLYGLSNRIWLLLVGVIGLFTFSRFLF PTPDVVSSPYSSSGGLLTPRDYLNASAADPAPFDFCPVFGPGDHIAEKRGQWGLLKSR LHMGSGARIQRVVQKAMAGLPVSISVLGGSVSACHGAGDDPVSPRCYPAKFFDWWNSV FPHPASELTNGAARRTDSAYFAYCSMHHLPDQTDLVILEFDATDPNDAEWLTHFELLV RSILTRPDQPAVIILGHFSPQIQAQNGFAGPELLHTVVAQFYDVPHISAKGLLYQDYL SDPEQARSAYFTDPVLANPAGHELIADLIISYMQSQICAGWAATMGHAFDVPYMGAPD QSVLGLEGSSNIDGGSKEEIEAEGGGLAAKLRAIRVPQAMLSDRPSDILKFREVNPFC VSANDLINPLPPSHFFGSGWFAYHPTKGAAQEEKHYWYAELAGSKFRVPITLSAGEVA IYYLQNPEDRPLGRAACWVDDNYANAVELSGIADVHDTTTTLTIIDSNVAAGNHYVEC NLLGTEGQKTAPFKMLGM
I206_01062	MALPKHLQNGLTPDELTFLAEEETIDIVPLFSMTRVRLLSGIYG PFQPPSAAKVPLWLALSLKRKRKCRIVPPDWLGIDRLQNLLKEERENAEAFCSLPRRF IEISKVLLDVAPDDLIQPSLLRSLLKDLREVRQAKIRIGLQSEGVMRGSYLQVTNLTP LELTELKPFLVKAMSIMQSLEPRRDEDEEEDVV
I206_01063	MTPIQLPNILIYLTGIIRPKLLRPNLKVTSIAQINFKSLKNQGY NAIVIDKDNCLTLPNKDEIYPPYQIAWNNLLNTFNPERVLIVSNSAGTKKDPGGIAAE SVSLSLKAPILIHGQNKPGCSKDIISYFKGNLGKPITIRKKLIKENEKVIKEEKEDEE MLRLRWEDEIYKKPLLGYNHPSDSKLNERKGNIVTIEKQLKNSTISNKSNEIENNNNN NNNKEEEEELKLIVIGDRLFTDILLSHRLSLYLNKSKNIKTKNSLPSVLSIYTTSLPK YNDVRLLRWIEEKLSKSKIKGNFNFNQFKLKDKNEESINLNFIENKKQNKFIEFFKWF KISKWKEFYNSLPPLNLKNPKSWKPLPILFGLIKNLNYLISLIFKFIIVKSLNFGYNK FQFFFNKKFQNKSKIKFQE
I206_01064	MGGDHKCPLCSATFTRPQHVGRHLRAHTGDRPYECKQCPLRFAR SDLLSRHVNKAHKPPDENAPQKEKVTKKGRRKSLPASAMSKPTGIANSNNSASGSHEN KPNGPVNVGQGREKPRASSFNQQPQLQAQRMYPHHPLLTDPNISNPSQSQMQTWSNNP SQAFATTSAMTAGLSSSPYGQTLVNPVTATGNPNAILGNPTHVGGNSMPVFDPPFTAA PMKITGSEQGYSSIGQVPITNANGIMYEWGFKKRACDQCNHSKVRCDFADPCLRCTHR NIKCSYNKPQRSRTIAYPLVPSQYAPTQSPQVQIHHVPDPTMTASPQSQFSSPYSNSS PVATTATPAPHQRHNSVSSLPPAPAATTALPARHWGSLSSMNHSANMENWQIMQGDVA ISPYANMPLGTAPGNITGQVLQSPASYHPALQSTASYQAPISSQAGGPQIRDTSPPQA LAATPSLTNNTTSPPDLDEPLERRTSYTGLTGDFLPGSDWQEQSKQFKVPPPPLETHN SDPTYIVPFNSSPIQQSPTQVNPAAANVHSAGNLNYQWPPQMYQSVTPNATWQPQQSG ISDDANGSAFSASTNSTFLDLNDAQYDPGQSQGHHRRRSSAGQWTNALAQMTLHDSLS SSESSFIPLPEPYSGTSTVGLSIGMTSNQERMLVGADTAARRPTFPTLTGVNEESEQT ESQPMPSLSDVKDLWRLFMAEPMTGLTPMGEKQKTDFDFNASGPIMTPRPGMGKRTLS KSNSMPDLQSPILNGPQFFNNFLNGLTPKPTDNQNQTSYIPSQHPAPGENNTPNNGNA DVHKWSKEIQQRQSSFSLSGQPNTKLGKTQSQMPFTDSINSSHRASRPLPSVVQRSSA LEQTLAPERVPSFGIPQSILGQDKNANNNNNNQYAPPAQKSTKFPSGVPPHMARPGNK RLASQTLLPEDTGKKASFSLYDGGNEFDSSVQQEQHFHQVFPSQNHLSINQTQANSMF YPNWSLNPRAATGNDR
I206_01065	MPTSSPMPDISIPQARRIVVDTTGAQIVQEESPATRDSRGIYLP HYIEPVSHIAIDIGGSLAKVVYFTRSNLPISTTPPQSGHSSPFLPPSESVFIPPLASN GASASSSRHNASPSLNPHSHAPFDHSPPQRHPTLNGALTPGVLSEDYRNQASSSTATI RSYASTLSNNRGSFSTSHPGKYKRSDSLPPPLPGGLLNFARFETDNINDLIKFLQDLI NRSAAVNRVSLEKMQKNVKVMATGGGAHMYYDLLRKELKVEVKREEEMECLILGLGFI TRVPEEVFWFSEELVYKVSHTNSASTERETPPAEKLTIPPSELPRPSPTPPAYQVTFA DANSTDSVPNFPCLIVNIGSGVSIVKVDEDGSFERVSGTSLGGGTLWGLLSLLTDAEN FDEMLLLSEQGDNSAVDMLVGDIYGSDYSKIGLKSSTIASSFGKVFRKGKAKKSFKQE DIARSLLYAISNNIGHVAYMNAAKYGLDKVFFGGCFIRGHAATISTLSYAIRFWSKGT MRACFLRHEGFL
I206_01066	MSPPESPKINYFSMLRLTQNNNLGLKSKANSIHSAPVSPTGEET DSYFPSVPGPTPARTRPKRRFTLPTRGTSLPPTFEMDSTAVDGIVPLALVQGVPMLKI SSRKMKQVIMRIRNDGISWSSKKDNTVSINEVRDLRLGQPPSDTYNSSRWITIVYVRG SQWKVLHMVALTDEIYDLWVQTLKSLVSVTTDKHVSDVTPADPDLIWIRQLWPIGSKV IDREKAEALCTQIGLQIPTQVAKAYHGSLDISTFHQLIKDCQTRPEIDKIYAELTKDG PLDPTLVNQFLTDTQKLADTKGIFEKYLSGSDRVWSLSSLINFLCSADNSSNMPQDMG QPIQHYFISSSHNTYLVGEQWRGESTVEGYIRVLLAGCRCVEMDVQSGDNEPVVYHRK TLTSSVSVRDICRAINQYAFVSSPYPVIISAEIHCSYEQQNRLASILKDVFGERLVTA PLAGPCTDLPSPEQLMHRILFKAKPPKAEPKSPKLSPIFPAPDSATSSTESDSGFARL TRRLSIQGKTEKPDLFSKDLADLLVYTTGVKYKGFSKLNEYATKEQFSVSERTASKIV RENKQDWIKHNFNHISRVYPRGTRLTSSNYDPTIAWSAGCQLVALNWQTLDEGFLLNH AMFHGSTGYILKPLALRSKITETLKRYRIKVDIISGQRMPLSPDLYVEITLKPSSTYS SWSSASSSSSSSSMSPGFIPGSLNGEQNISLPPSPMSPTKFRRTPTSQGITLNPHWRM NDNIVFDLNITPAELSLNFLHLEVKNRQSGLIAQHIRPLNLVPKGYHHLPLYDGMMSR FVFATLFTKIEIDQLEHNIHSPTFK
I206_01067	MLSPIDVPPNSISVEEHSQITSSTPNSFIDIPPILRWLDEVEIE LSPLNNGWEKWQNLGKIKGNLWVNEISVAFIPLNSNEKPGFNLPFPSLTLHALTPSSN NIPSHLYCQVDESDAPSGSGSSTINNNVEDIDEDEDEEIYTGEEEEFTPMREIRIFLN ESKLESLFSALSFCSALHDSILPNGQTSSFFGFNQDQDEDDDDNDVEEGQWEDANEEQ QGQGRVRSNFHSGGGPQSRFRPY
I206_01068	MSHFRRANYGRFTSASANEHYQRQLVAPVNKWKKQWVSPSGLAP ESSYKICKWVKQKEKAKLAGAIEVDDNTPAIDEDENENEEGEGEDQDMDEDEQDQGED EEGDGEIDVEAEAEEDAKPSTTNVSIPIVESTSVPSTEGASISASQVVPSVANPAAVE SVPNQSEATGEGLADSITAAEPLNSDLPQPVPPQEDQAEEVKEDHEASIPKHATIPSN AIEISNVAPSSTEVGLGTIATSEPTFEIESRAAEDVSEEKMEIEKPTPKEVEDDDKGL IHGEMDAPVQALEVEGGDVPKEVEKE
I206_01069	MDTPPSSLSRLPRPTLVRSSPSSSSNTKYVSPSSSSTTRTSRSR SKSHLSQQPKILPFPELSLRAQEAQRTSREGSRATSPMSTPPIDRLEVTFDQLVSMSR GGSSEGNNHSRSSGNSLSVTTVPSSSGIVGSTSPPVPSPLAISAARLSRARASTAPSR PRTPGVHSTIRNDESTADSPTPMGRPIHPSSSGLSTISHRRTEGFRPSSTGIARVTTN NHPSSIGEGSSRPTTVMPSNTPTPLPISNAQSRSAPTSSLLSPSVPHTPAKIHSSSHP THMGHLSQTSRQSYSSASTTAVTPTPSNAHIKDHLYQSFLKGICADVRLIIRKWGVCY HVHRMILAQMNFFHTLFLGGFSEAHISSVRTSGKGKERAGISRIVTEEEWNGEDVELT FDDPNITRAAFEICLSRLYSPYPHLQFPTELLPTSTHPLTPPFPNITSSPNYETMRSD LPPRTQLATPRLLLSLLATTIYLGHTVIMREVLSIILRTVGPLTITRYLSFALGDGIG EEEYSGQTEEGVNSLLGVSKDILDVDGGSRRTSDEDLVEDALHSINHTPQSSSDSGKI GNSSQESLRHGGIPIPAIQIPSRSNSIRSNHRISDDPFSFNSSMESDTSVLPLTHYYG VVGNKIGEACCCWLARWGIDLLNAELKHPTSIYKVWSHGGLPANLVRAVLSSDYFYVP NEMERYRFARKVLDLRRAGWDEETQDQGDISLATGSGLGLGMEGTHTEDDQWEEWEEE ENELLRTFAEGIYYCHMTFDDLSTIASDIDPSTHLPYAPLSILQAAHWTAADLRSRVT AHEKAGTTTAADEENELGLTQTTSSICTTSRRRRPATRSRVPSPAIMSASPWAPSSPS LTLDSLPSLNAAQQTVWHPVPTDETLKIGASGMSLLTTSTQTQNTALGDMPDFGPDPF DDLNHRSTDLPKETSRKVPHGEKTAFGLISAKATGKEIEDKWINEGGINSIQGLGLND SSTPRSDMVMNEERWTKIQPYRFSVEFFDIDKLTEKERFYSNTHFYAGSYFNCYVQMI KRKEKGLQLGIYLHRQSPNEPFPIPSKPRTSITQKQASLDNNNNDNETIEYQNRNLST SPLMVNNSPTTPNPMTTTTTNLPFITETKSQIENNKSLYLDNRLTTKAYFSISCSSSL GTSLIKFSSGPDSFALSQSWGWKSSALKSEEYLSIPISNSNSISINNKEQISNNNDEQ EDVLGWIGDLPSSSSSTTTTTTKTNSNLSKFDQCSLRATVIIGVV
I206_01070	MAATRNIKCVVVGDGAVGKTCLLISYTTNAFPGEYVPTVFDNYS SQVIVDGMTVSLGLWDTAGQEDYDRLRPLSYPQTDVFLLCFSVVSPASFENVRTKWYP EIQHHSPGTPIILVGTKLDLRDDPLQLEKLRDRRQAPIQYSQGSAMANDIKAARYLEC SALTQKNLKGVFDEAIRTVLNPNRRAGKAKKSSGCILM
I206_01071	MYIANHHPYQNQHFNFNPSQESLGNSLFSEEAQQLPSLQVTHPT PTKAMKFRRKSTDALSVSTVSSLDPSPIGQMQLLPSPGSGTLDVMLEAISVPGMPRSR PRSPEIDLDDDDSEAERVRMEERQARIREKGRERQRRKRERDKKAKEAKSSAQTSEHL PVPSSSQSKNIAQSLSISVPSSYVVGPPSSTSVASSLPQSASYFPTSSSNFVFGFPTG STSVSGSSTPMTLFSPSASTPGLGYSPDTSMSASLFSLGLEGTMPSATLELPADRAGK KNNRSKCRAGSSSGTSRKVSPTPLLTDLPQPPRETQHPVTKSAKRRKSAPQTDALISM SALSTTDGHVGFQESAPQSAPPQQAKPIEGSRPQPRRTASDGIVMRSSWDKERDWGAR SPTPPPVPTLPTEFRQVQRPQTSLSVDAATDATLASSAQAEVFASRLVHLLNKDDAES GWLSNQIGLDGKDLDSLESALRLTYEKWILEKGMKEMSIDPSDRFFNSRSSSDSIASS TTCMTRTTVPNSPVINTSTPMSPAGFFTPLPSRTSSRRGEKRSTVVSPIPESPSASES VTHTRQRSLSSASMKARGLHITSVMPSQQWSHPTTPNLSQEAITHLPTDHQKSDDTAS PVNSSLQTPSTGQGSFPVSAQMTNYHGHWRSATEPTGQRIYTTFQQPPETPVRPTTGE TSSMWQVPNTCPPQHVHHVLESPLSMTVNKITGQMEMPPPPLSSHTANGMTSIGIFEN QNVTSSLGASEDQRHFSTPISVRSQLQSGRNTTAVPFTPDTPVPVRGQNHSTFENGLI NSMPMWYNSSFMAQHPNGGHQHVQVLQSPIAERGHRAAHHEAMIHNADLGYNGSGQPG FGAHQQD
I206_01072	MSKEAYPLGATALDELIRASLKYKDRAYAPYSKFRVGAALLSAD GQIFGGCNVENASYGAGICAERTAIVKAISEGQNEYLAVVVSSDVPAPTTSPCGICRQ FLREFLSPNIPIYFVSSEYPLNSGIPNWLNNIQSQEASKFIKRMTMEELLPNSFGPDN LGISGPK
I206_01073	MTSPISILRVTRSLTIPKRVTSPSIFPFVVPKNSGKVHVSNSRL IVASFSSSPYLFAKPKKMPPKGKKVEETKRVILGRPSNNLQIGIVGVPNVGKSSFFNT LSQTDLGKAANFPYATIDPEEARIPVPDERFDWLCSLYKPKSEVPAFLTCVDIAGLTA GASTGAGLGNAFLSHVRSVDGIFQVVRAFDDAEVIHVEGDVDPCRDMQIISTELRLKD IEWVEKGLEMAKKNARSAGGVSLADKAKKEEVAIVEKILKHLTEDNKDVRKGNWSNKE VDVINGLNLLTAKPITYLVNLSERDFVRKKNKWLPKIKAWIDENNPGDNLIPFSVALE ERLVRMSDEEKVAEAETLGLGKNASALGKITTSGYTSLELIRYFTCGPDEVRAWTVRK GIKAPQAAGVIHSDFENKFICGEIMSYADLKEYGSEAAVKAAGKLRQQGKPYEIVDGD ICYWKAGQ
I206_01074	MRISIITAILISAYSTTTTFASPLLKTDSIDKNVKSVETPKNFH QNCDNHNVQCKATNEAVYGSVNHDEGSIGKQIGNISNTGSQITSKEGEKDLSKTFSNN GKTLQNDLQGRKVNNNNDNNNKNNHGHSELNENLKSIGDNIYPQELLSESGKFIQTQG ESAQLGGNGINTMGKQANKDSQYLSKGQKSNGGKIVNSVMG
I206_01075	MSDSSHSLNNSVVGSDALTISNLFNIKGWVAIVTGGGTGLGLCT ASALAANGAKVYITGRRLEPLEKAAREASPKEGGGQLIPIQADASTKEGIQKMREVIE SKEKWVNVLINNHGVSLGHADPNAVEQTPEALSKEMFENESFESWLNAYQINTASYYF TSFAFLPLLSAAKTVGGYPEPGNILNLGSVSGITKTSQKGQFSYNANKAATISLSHQL ATEFARRNLNIRVNVICPGYYPSGMNTMQGQYAGGSDESLEFARKKWGIPFGRAGNAV DYAQAIFSLIANQYVTGAELVIDGGWLLVQGE
I206_01076	MARSKTYSEAISLLNTCQSNAATIEAIRKSGGRLNDHAVAEMHD YLRKIGYKPDDLNQLNVVHITGTKGKGSTSAFTERILRTHIPNGKIGLYTSPHLCAVR ERIRINGEPISEESFAKYFFEVWEKLENDPKALTPQTPQFPIYFRLLTLLAFHVFLSE RITATVLEVGIGGLYDSTNIVPKPIVTGITSLGLDHTAVLGNTIEEIARNKAGIYKKG VPALSVVQDQGGEVLNEVAEKNGAPFEVIQTIPSTPLGLPGAHQLINASLAVALSSKF LSIQKYTFNSPTDSRIIPESFIKPLAETRWPGRCQQVKQGEITWLLDGAHTIESLRSC AEWAFDGEKKPNVLIFNCSGGRAGESLLNELLETGSKKKGISKEELGKQFDTIIFCTN VTYTDGHFKSDLDAKAIDPNDLSHLITQNALRDSWIKLNPSFNPEDVHAVASIQHAIK IVRDLGQKQVLVAGSLHLVGGVMEVAGLQDALSMV
I206_01077	MSSHNFSIPPSREISQTSTAKTSTTDLLSNTCNSMKRPSDSICS QTTNHESASQSQTTEDQAGECARDSGTYWEGKRQIREAQDNDGKLCCDNTETVVDGGK GQVFQEKSDDNDVSLDTKKVIFPPWKRQDKWSSWMSDTVTAKSLVFCLIMQAFATGIL DATTYLDFQTFASNQTGNTILLTVAIVKSSGHLLLLTGVSFASFLGAALVFGHIGNFI GVRRRIWLLMNVTAQIIFLILSTIFLSSIGPIQTRLGEKHEWVIISLFATMSGAQVVS ARQASIQEIPTAPMTSSYVDLVSDKYLFSGFKNKNSKSRNRRLSYILSMIIGSFIGGI MHKYAGSWIVVLVAIGFKLIVLALMCIAPSEILEKKDKGKISVC
I206_01078	MTSAAKPLSLSSRSSSQLSLDSHLSSPRKRYDNTPSRPSLHKNG TKPPSAIYLGEASSAITTDNRNSGEVHHHQGEDPPSPHLRMSSGFASVNVGIGSPKLD FGRRDWPGNSYRRKEGSSTENSPEVVQDGQVQQQPSYGLVDKVSGLPSPPGTESDIAA PMNGKLSTTVPQRRSSPVKASLSTSKSPPKSFRRTSSTGSAQVQTHRSTSHSDSSTHV PDSPRFRTSALRPKHSPSLSMSRSGSSSSSLITSPSMVADKSINGSSSIRRGSAGMSR TSSHSSNLSMSGKSNLSLSPRKPSPLDVKRANSPEERISSPERTAKRLPPVSAGIENL NGKRHSRRASRDLREEVLEEEPNIGSSNMSRSKSQGSELDDKIREAEERIAQAALERK RRSVDVDKSSTPSRHPIRRHDSYTRITGSPLAASTSSGLRRSTPLSSVQAEVPSEISS RVDEPFDTPDKGDKDRERSGGSSSSRKRKGLPAEFRSSSSLFTPSPQKPKSSVPDDQP GSSRSARLRQFIDSPSDYQSASPIPSRFSSNSRRSGEFEIIPSPIRALRHIEGLERSS SLSVAREVISGYSRPNWSQSISGLPRADEETDYRGLPRDRYRSETVLGGSHDRSYQSR IGEGRRGNSTLVSERDLMAASRSRSGALGPGDSVSAVGGRSERAEAKDPLEMIRRLEE QRAESKRRWDHMPRPATSMSSMRDAYNNPPNSAPLDGLRHRRSLDQNSPLSPSFARGG ASRLSLRATGGPSTEPRTMRSRTSLGGRSSTIFELANASTEHGRLLFEAFRVLESKLG QEISACDPDLLRTFHSATRTSENINKTLRHALQLASQIAIDAELEDPIRVKEKYSDLA SLLKEAGKASEQNVRDMTRIMLDLPKLIRTQDSSVKDGRNGMTSSTSAELSTSRLRRS ESAMLKYDPVKPTGVMSQDRPARRWQPASPVNHIDKSPLQSRWSTDTPRRSHDILRSS TSIGDNYSPLSRYSSLRNSQRADGDGRGESSSGINENGGTRANSTVSSLMSKVRSMTP RKSLATPSKLDLSTIEQSPPAPRYSPTKSSSPEREKPQRNLLKKKVSSLSTNTVKGIS SNFLPTTAGVKPTTAISQITAGDSSPTKDSIQNPKGILDGNEPNSPMSRFSFNSQKQR KLSISRQPQSKSHSRNGSGESGDNFTIGSYTTSESGEYRQSQHQQNDAGQIEDEEDGE DAVTHLAQNLAQVAKIRDDRGFGGALKDEREREDPKRPSLSDRFRASLRKSSRVE
I206_01079	MESRTYLKNDLQAQKKGLGFWCTLPGACTVSTVLATGGFNWVLI DAEHGAITDKDYFDLCLAITHGGASPIIRVPWNEEWMIKRALDSGAQGVMTPMCHNAE DAKKIVSFSKYPPIGSRGYGPMFCPPVFGCKGSEYDSSADEKLLVIVQIESRQGIENV EEIAKVPGLDVLFIGPFDLSKQLNVKFGGDEHEAAIAKTLKAAHAAGKTAAIFCPNGQ VAKQRLDQGFDMVSIAVDNACLAAEMERQMGFATGTTKSGDRSYS
I206_01080	MATAERRPLLQSQNSSNYASTPKVDLPVDSDKLSYNKVGLSVNR FWLLCASMWVCGFLNAFDGTVVATLLGPISSSFKATNMASWLGTSYMLSVCCLTPIYG RLCNIVGRQASILLALAIFTTGNLLCAVAPTMEFLIAARALAGMGGGGLSTVSSTIMS DIVPITHRGIYQGFGNLAFGAGMGLGAPIGGIINDYLHWRWAFGIQIPILLLGCILVY SNVRYTIPSDPSSGAATPVIKRQTPMQLFRRIDFLGCFLLAGWVGAALIAVSLKTNST ASDGYDWSDPIIIALFATSGVLLVVFLLVELKWAAEPVMPFELLNRRTAVSVAINNFS ISMAQFALIYTIPLFFTVVRQMSSSQAGAHLIPNSIAGMVGSLGCGFVVRHTKKYYWL NFWSGFFGVVGAILIATWNRNSSEWMLWTNLSFTSFAMGSVTTLTIVALIADVGHEHV AIATSLSYVFRTIGQVLGVALSGALAQAILQKELSARISGPDADETIAAIRESSASIR YLPEPLKSIAIASYQKALHAVFLCAVILSVVYLLAGLGIREIDMHKAMIKPTNGEQEE EEDIVGEVSETP
I206_01081	MGTGIVSILLYNFPYPAKWLKNLGIAIFVFNIALFVALLIGNVF RYIRWKGLFKATIVNPSASMSWGAFPMALATIINMISLACVKQWGIHWARLAIGLWWI DIIISVIINIGMLFIMITRQTHTIETMSSTLLLPIVTTVVAAANGGIVAEAISPTMPD TARSIIITSYIIWGTGVPLAFFIITIFLHRIIIHGIPNSEALPSLFLPLGPCGQGSFG IIILGKMIKTLAFENNLGININNQDKLIISNSIYAGGLITGLILWGLAFCFYILATII ILDYIWFKDQNFLNHQSFNISFTSFTFPIGVWATASHSLADELDSTFFRIIATILSVQ VIFQWIYVMILTIYKLINGTIFDAKELKEFQDGIPPRRWSGYTKKQSLKP
I206_01082	MEQSHKVHHKPSAGTKHAKKDAANGVDRSGGKGFNPKAFTNTSY RAADRAARRTAEKDQKRLHVPLVNRNPEERKVTAVKGQGMDEGKLPPPPIIVGLVGPP GVGKSTLLRSLVRRYTKHNLNSPQGPITVVSGKTRRITFIECGNDLNSMIDLGKVVDL ETFEFLNILQSHGFPKVIGLLTHLDLIKKASTLKDTKKRLKHRFWTEIYQGAKLFSLS GVMNGRYPDAEINLLSRFISVMKFRPLVFRNQHPYLLADRIQDMTSRERIRENPKIDR TITLYGYLRGPNLPPRNAKIHIPGAGDLEVSNVERLSDPCPLPTLESERRRKMGEKAK LIHAPMSDVGGVMYDKDAVYINVPGSFSKGGDAPQGEGEKMVMDLQDTNRTFADNIQN SEIRLFGHSSAPLEVSQKRTRRAAVPRSGGPMLGSADDNEFDEEDGSDEEGDLYDDDT EGQVDGLNEESDEEGDVRYADSDSENDDLELATGFQQDGKIVNIDDDEDFDDDEIDDI AESLNEEVAEDEDVPQWKKNLSDRAATSFAERMGRRRDLMTLVYGSELSPEEIAAGKT RPSSADAESSRMAQDDLFHVSREENRGDEGDQVKEIVNSEALKAKWDDEDMLDSIRDM FISGPIGEVDAEGNPYEEEGEGFEDIEDGSADGEQGDDGDGVPYVGVKPSAGDHESAR AAAAAKKQAALKAKFDETYDDEDEDGEKMDFYDQQKSEMAKQRQLNEDEFAGIDIDAR AQIEGYRSGMYVRLEISEVPYELIEHFNPAYPIIVGGLLAAEERFGYLTVRIKRHRWF TRTLKTNNPIIFSLGWRRFQSLPIYHLDDHSIRNRLLKYTPEHMHCYATFYGPVSTPN TGFCAFNSLGEESNGFRISATGVVLDIDRSTKIVKKLKLTGVPYKIFKNTAFIKDMFN SQLEVAKFEGANIKTVSGIRGQVKKAQNKPEGAFRATFEDKILMRDIVFLRAWYSIEP KKLYNPVCSLLLADKGDWKGMRLTGQIRRDEGIKTPLDINSAYKPIERTTRRFNTLKV PRKLEASLPYASKTKILKPQTKQTYLQSRAVVMTDEEKKAITLLQQIQTLKKDKVARR KDKQEERRKEHRKKVGVIDEKKNEKLKEEKRERFKKEGLKRKRDEASAEGKYGKKRRG KE
I206_01083	MTSGQGSKVPPIKLKLSLGSKINSNTPHTPTPGPSTPTPIIKLV NRKGKGTTPQDDDQPATPSNKATEGIQAKKRGKSKDSNIDDHSTSVLISEASGNGILS LNEENHAGPSRIPLPEIPLAAQLPVMEQKKLGESSSQVAPMTPTSSFKPKVARGSLTK KSTPRTNTKLASGSARRSTKSTAKVKPTAIPSRLFSESIISTPLKTSSVPPFDQSNFE TPTANDSLNNSEATSPDPLSGPPSPSAFNDSPSVGTPHGELGYDDTPQTRGHRTGGRW MRIKRPLKELANRILVEMRRKDDYALFEEPVDLEAFPDYLAVIGGEEKMMDMGTMQKK IDNGEYNSIDQIESDLHLLVNAAQKFNPPGTIPYNAAGRVLTIGLKHIERAKPLVLTP SPSPSRDSATPFGRRGYSVFSGREGTAVAEGSRRIEDLPPTSYIPEQMLNFPPNSLQA LAIGWNLNGGKRVHAKRIVRSREKFGGKWRNWECDGTRDLAEMDDVASLLDNWRIPDN EKVIDWKGLRRLKNDQGWWYESDTTLSGPTTVPGQPSIPFAPYAPRRDKIVEKERNVS DYGIYPDIDAEVKYIRKRTGLFAENEDEILSEHLRPLSSRVKQKDATMPQAQLVNIYE NPYPLGRDSNDWIREMINGGDVKGEAYLNSIQRFVRGAMEGVNNSSSNETTTDGPHVK VEDQTPVSDERSGSEAYPLDQYVLEKYHDGLLYSRSAPRKVVLDILQELSKPLEDRPE YLKTLLNNSYAKIALDKLTLSSNPMDIKPLLRLENDFLHQGIGSGVGKNGIKEGLEWA GKEIERLNNDLKIKLEQQVALKDEKDSLKRKRDEGVSMNLDNIMESKKIKLDLAEPIS NASSPLSAAPDSPKLSSAITVPKANDQNVQSSITPALETKPVEDELRSLRLELVALSK FYPLPALKKMRAHEAAKLLPANVRGLMTVPEDLERLKRDAERMRGIQTTPIGIGKGKI GK
I206_01084	MTRSPRNKRRTKTKGSKRIPESSPHSCSAISNRSDLDQIVRGDN DIIDLTETDTEELPTIERKENFPARCTRSKSKFVNASSQTSVEIMDQNISRSSTSTIS PKQKKKKRKIPVILIPTSLPTSSPSSRLIDSSAINSLTRTTTTDSEKPSLERNRPIIS ETNKNKLQTIKQNSRSYASLVISGSATSPGRLATQLDSPNIITHNLASTHIDIANNNE QSIKTTIEMEAECVICSEELSVILSKAEDLGKGGLGGGLSLWSCELVGCGALYCIECV MTYPEQAQSKRKSKSHTGNPACPACTREWDRKGIQDQARAYDPIKYGHTSSLFTTPST SGISDQPRRIEGFNRTTEIVNPPVITIASPSTVDRIPLYIAPYQGSPRQIEGINRTTE MVNHSVITISRPFTSDNIPLYIPPYRGSPSMNMREGIQPTFVPQTPLTPRPTANHTNI HRRDIHDAEFVRVHRR
I206_01085	MSKGQYETRPEGDGPWIPLGGGTEKGYNNGKEATATCFCGEIQL AFPIDKESIKGTFICHCTDCRKVSSSMYATNFIIETKDLKYNFGKEKIKIFKQSKTIL EKNSMSNFFCSNCGTLLNRISSGTPNLNYIRVGSVDDLNLHDNLLKPQVEQFIDSKVK WLEPIEGVEQAHGMEYWK
I206_01086	MSESENLPNPLSSPIRPIRQAKRKAIMKIDKAVPKEKEYKRKRE KEKRELQESNKRKKKSIKNQALAINQLPSLDINQNSSSSIDNGLKIDLIRETFIPLEC VICTEDIIELLDKADKEGKGGIGGGLVLWTCEQKDCGALFCITCVINYVTFPGRQQGG GLRRRENLKCPTCTRIWDLEAIKDQVRAYDANRLDELGI
I206_01087	MSSMPPRPKPWETQTNAQAGPSSKPAVTSSSAFDNALQASGSSS SAAPQIPDRPSDLGGSNANDCLMGDGGLTTQPFQSRYTNSYGMSPYGGGMGGIGGVGG YGGGYGGYSGYGGYGGVSRFGGGYGMGGYGMGGYGVGGMGGPGEGYPTLTSSLQASTA PAFAVLESLVTAFTSLAQLIESTYMATHSSFFAMVGVADQLGSLKTYLGQVLGVFSIL RLGKKIIAWLKGKKSKSSKAALGISGWANEWSNGSIIPNDQSGIPGGGRPSIKPLILF LFSAVGLPYLMSRLVKLLIASQQQQQQQLSQQNSAILDSNGNIDPTKLEFARAKWEFK GQEEWELTLNRDEIVAIIEKRPSNNTQNGDVGWWRGRTRDGRIGWFPGNYVEVIKRRE ANNNPPKSTD
I206_01088	MSQPLATSSHITIPTPKLNVIRNPSVRVRQAVRDNNVSLLSRLQ HKTDLRNTDKNRLTSLSWAAIEGSLEVFEWLLLDYGHDDQELSRDGDNNTILHLLASI PSSTISPFDHLLNQSSSSSSSSTSYSTRTINKTYEEKSFISLRMTEIYFTLFPFLIDW SNSGGKTSLHIASQCGNFNFINLLFELGKADLNLIDLQGNTPLHYASAFGNLKTFKIL LEKGCNYTIRNFEGFNSLDFSYNSLILDEIQFFIKELYLEREKEKQKEKEKQKKQNLI KNQDYNNKRNRSLSLSSSLNENDEIYFNNKNNNNNQSEFYINQNQLNQYSNSNSNSST KQILSGNELGERTSSLPMSRLDTSNSQQQQQQQQVNFNQNQILKSPKFEESLNNPQTV LNRPTPSPRLKSQNRSPSLPQGESSLYKSQGNENVLPLPLPKEGVMMGSVMRRANSSQ TGSSYLDFNKD
I206_01089	MVSLDVTETSKSLAVAGGYVSIFGIISYFVKEKMFMSEALIAML VGIITGPIALKWFDPNQWSEDQYYLTHQITRVVIAIQVLFTGIALPAKYLKKEWLSLL TLLGPIMTTAWFISSLLIWGLIPGLTFLESLVVGACVTPTDPVLSNAICKGRFAEKHV PLHVRNIIVAEAGANDGLGFPFLFIGLYLILIHEPTHPVHNIGGAIGEWFYNIVFYQV VLACLIGTGVGYIAKTVLKFAKTRQLIDHESFLSFGVALTLFTLGWVGIIGSDDILCC FVVGNSFTWDDWFRLETEDHGFQDVIDQLLNSAIFLYVGAILPWADFGRFGITPWRLV VLGICIMLLRRLPAVYLLSRWIPALRTTRESLFAGLFGPIGIGAIFYVQVALEVIPED GTRQHLREVILPVVYFLVLTSVLVHGVTIPIGKGFQRARTMTISRSSTGINSQNNLVS RLPPPVSLPSTPAQSMTTGVTLNQNGQVGNNNDKPFYNSQEVFDENNNNNDRIRFMED PIQRQFTPIDQNKNTEDNSKISGILSYPPSRRNSTSRDRSDLSRIQTQNQEIGYNNGD SELPARNLDFSGNNSRIWVEGNDTVEESRDGEQVKVIHHHPKRS
I206_01090	MATAAEEAKLLTDVLGVVKVQSVQLKRCLEQDQIMEALKAASSM LAELRTSSLSPKQYYELYMSVFDSLRYLSNYLYEAHQEGKHHLADLYELVQYAGNIVP RLYLMITVGSVYMSVPDAPVKEIMKDMLEMSRGVQHPTRGLFLRHYLSGQTRDFLPVG TSEGPSGNLQDSIGFVLTNFIEMNKLWVRLQHQGHSREREKREIERRDLRILVGTNLV RLSQLEGVDLDMYKNIILPSVLEQVVNCRDVIAQEYLMEVVIQVFTDDFHLHTLTPFL GACAQLHPRVNIKSIVIALIDRLAAYAAREAENEDPEEKKKGEEEAAKRLAEKIKDSR GKGKKVDSGEKNSQSPPTPKPAEADEWANSTTSPKPTDENDASTSSQVTSPTKENGNG EASTSSLKKDVEEKKNDAADEKPKEEGVKKFRGIPEDVKLFEVFWQQVVELIKARPDL PIMDVTALCVSLINLSLSCYPDRLEYVDQILSFAHGKVVEYAQNPDLHSPPTTANLLA LLLAPITSYLSILTLLAIPSYIPLLSVQPYSTRLSIGQAVVSSVLKNNTLIDTSEDVS GVLGLCAVLVKDQKDSTIGGGAPNRRGQQVDLRELAEEQGWVARMVHLFKSDDLATQF KLLQTARRHFAEGGERIRFTFPPLIASGIQLARRFKQREHVEDDWETRLSSLFKFTHQ LISILYHKVEAPETCLRLFLLAAQVSDDCKLEELTYEFFVQAFVIYEESISESRAQLQ AITGIISALQTTRSFGTDNYDTLITKAALHGSKLLKKSHQATAVLYASHMWWQSDVPG REKDEKVSTTPFRDGKRVLECLQKSLRIASSCIDEITSVQLYVDALDRYIYYFEQGVE AVTPKYVNSLVELITSNIDSVTNGSVDVHPSSVGAGGLVEGLGEGEMIIKHFRNTLTY IQGRQRQAAESDTSDESTESVEGKKKVDWESVDVIGGSLKMGISR
I206_01091	MNQGEISCQTQAHPLKIKSIPNQPTSFNTDQSDSTILISPNSPP LVEISPSETNFPPPSLPPPPCLQIPKNQNNEKKQYKNKNEISNSNSKVKLKSGNGKMK KKIINEGNKFPLYNLKGEKQCTNCSEIDTPQWRGSLCNACALWKRSRGTDRPLPLTFP CKRKSLSPSLSSLLSEEQDKDEIEDEDEINLKKKNRFIPDLNEIRRRSIKIDEREISP ISRNETSRRFWVDRAVSEIPTNGLINNSIRNMSNIKGTLQVGSDLNMHGHARTMSLQH VNRQHHRISSSLSQSNSIIQNHAQNKQQPYPQLSIVPPSSNSNESTQIGRLNGIHSTP VSPNYQNQSSHNSKISKNKLLYDEGIKSRIANIMSSIHNSENIEQQSQQQQQEKQERR NSFSGNERINLKRSLSTPNEMRTKELEEEQEETGRIKSPYLPYSLDKRGKKSRFNNFY SKEYDENSINLKGFEFNQISRQQQTKIDHLKDIENNEDGGEETIIGISKNEFMKKSEW LFNILNSTSKLLNQVQIQDEKNQDFDSNVFSNQNFSKSYRDNQMELNQNEIDQSGLDI LSQVAEDQSSKAIY
I206_01092	MTRSVREIVHLQTGQCGNQIGAKFWEVVSEEHGIQADGSYKGTT DIQLERINVYYNEAAAGKYVPRAVLIDLEPGTMDSIRGGPLGSLFRPDNFVFGQSGAG NNWAKGHYTEGAELIDSVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIR EEFPDRMMCTFSVVPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICMRT LKLNTPTYGDLNHLVSVVMSGVTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAP LTARGSASYRAVTVPELTQQMFDAKNMMAASDPRHGRYLTVACYYRGKVSMKEIEDQI QLVQTKNSAYFVEWIPGNISAAQCDIPPRNLKMSSTFICNSTSIQSLFKRIGEQFSAM YRRKAYVHWYTGEGMDELEFSEAESNLQDLVSEYLQYQEAGTDDEIYGDEEIPVGEEE EI
I206_01093	MSILPEQTTSTIPPYSGYKCPSLPVFRCNRYQPYPPSSSSSANI LGPVNLLATMQRPLTNGSVQSYIDPKPDNHLSKVNEKGKPKPDQSRNPSSGRYQPSKG ARRDAKYSKKVSAKAKAQAASMPTSGLSGTNWVIASGTLPNGTMNREVGFLPLINRTI IPQSDDQSEQFKREQVRAIEIARRDHMKKLKAEELRAEEEKKTSSIRTQVHVHARQTP CAWNGCEAVLHSQAILEKHIHHCHLHPLHTPAGAVKCDWMKCEEIFTDSEECEKHVLN EHLTRLEARCPFNCPFEGDSFPSLMAHIGRRHPKATPEDFMPGLILHRPQPQHLPPLP PLPSLSGPENHYPTDPIRPFNGIIGKRVQRKVLRDCFAGKNPSVDCGKPKKGARATVC KRGKAIPGKIELDVENEKAELDEPQSVELVKIPNSAIQEDLKDSGNVGCRRPSTASED ILLSPTEMRGKSSPCTRSHSRSLSGSSVEKSNAPLEGKPNVVRKKRKRS
I206_01094	MKERFMQVHTLEEAEFNRVFAGRNWNHGQSIELVLRGPSGRFLP MQYVISVMCHEASFESQMNHGPKFQKLMAEIKADVSRLQARGYYGDGFWSDGKRLRDS VRIGGEGYRASDFPEYVCGVSASDARKAKRPARGPGASGAPGLVKGEASHRSGRQTEY RRKAGRKNNADMGEGGSRLDGSRQITKDDRETRKSFIDDMTKILISSGMAITKAKKRS GEMWEEDNPWWKGDTRGKVAKSKTAAEMRAAAAEARLRTLAGPSTDMKPFSTVSDEEE QTSEEEDVKPIFDEEDEVEDPHISTEERRREMEDELDDEEREGLRGGWEEYIRPYNQA GPSKDEAIDNVSRGVKREPSPSTISPTVTKLAKSSGTTDFEADRIRQERLKALGPKPS ASASSKGRPISTVFTTQSKLSFRSNRVDEVDEKPMTINASSYRQDDRTSKWACKLCTF INPADQGLCGEAIQLPH
I206_01095	MPNVLITGTNRGIGLGLAKAYAKQPNTNVILGLRDPNSGSMPEI ETAQGSKAIKVKIDSGDIPSAKKAMEDLKTQGIDKIDIVIANAAIGDCFGGLHEVDLN SFEEHWRINVLAPLALFQACVPLMPEGSKFIWMSSGASIIDRIPDKLDAGYGITKSSM NYLARYAHFEQPNIITFSLSPGWVQTEMGDRGAKWAGMEKAPLTVEESVSGIIKVINE ATKDKYSGLHIRYDGSQSKW
I206_01096	MAKKTIATEGGTSVSSPYPAGPSTVKSPASSSRSAGPLICFETA GGMKLCKKRIKPNQPFESVLNAAIEKIDPRSKPEHFRISHVRAEGREVDLIDEYDFES FQRRALDKPSATYTVKIYLPTSGPASSISEIPAHHDQYPQTPASGGSSESTGNVFETP APKPKNDKKGKRKAADQTAIGTDATGTPTEIHGIDAQNPSSTLEPPFTPALPSASSLP DPIPPTNAKSSKKKRKQKQSEELSGNFQTTASSSSFDAPSSSESIQPLTTPSKKSKRK RKRDSEASEPPQADSAITVDTTSIPQRTAKSTSRERASKSPEKKRRKKTNSPQQPTRS SPALQINLSSTSSSVPSPYAHLNKYRPVTPSPLGRLPTPSTPSVDGEAEEELPETPTS SVKEKTESIEDAQEQPKTETGNRTDGLEFEGSPIADEEPGSIEQEQEQVAEIMPRKKS RVSKKDLEATEIVLHTPPSSEESVQVDLAASELDSQIDKPDNDKNMKTPKSRSKKKEQ VSLEAPLADNEELVTGAKDTEQDCLSTVEQSDAQTSPIFTPDADHQPSNEPGIPQSVK KVERQRKKKVSVVPDVQGTRLEDEVAEDLEKNETPAGTSKRAAARKIIPSVEIPTSLE AEEENLHAEIALVDDDAMEVDHSNQITPASVPEASSELVKEPLGKDRDKIVVARYIPL SYPFVFHVRPNEPIPFITSRYNSSSLENRSAQKVYGQSRSIISVKDDSDDVVDDEAIS LEEEEVAASPVKRSANARTSSKPTSIRSDLATMDTSNMPQHLTPEYQKVLQKRGQCTI CNGPNHLGKDCPEVIRGPERLRELLAEKKVQKKSTLRNSSIEKIEFWIGHLEDIAKKV KGYKSKITPQKQVAAELLNEPVLPSDLASSKTQVAAPIVEPATKVADAVPAHADEPFE HTSSQTSETEHAVIEDASADDALLLSDRLPEEALSPVGGSEKRPLPRDQSTPPDSAEP SVVSQSLTSEPSVSPEPLPKLSQQSRLDQSPTREHSAPPIYLKALATKAGSVSGLSVS DAVIETGSSASESDDEEEDDTGSESEGDSDDGTTSTRSGSGSADSISRSPSPFGQKGA SREPPSLDDFMSMPLSQTLKRRARQSAAGMKDVEIEEEIEEESDIESTPERQLPIASF AAKGRAGSESSVGEYADEKSDEEANDLEEDDVIPFTQPPDIGQAGSQPDLEQDQDKPS GSSQNNVLHKASSIRQSRSPSQPATESSSKRSFAELADVTSPSPIVADFPGSIALQEA IDEDDAIERISDVDLPARGDEGKTGHVISQGLMSPPSSSGKGSQQDAQEPIPATQLVN GSSQSEENTPRAAIGRLTRGMARQSNLAPPIDLQTSLSQPAHTSPPRRRHTRSMSREP TLEPPSPRVTTRRISSSQPNPAARFRSKSPSSNVPVRRSARGNTPSSQLDELASSPLP QPRRSSRRGTTPVHSSQVDQLQSSPSHVIYTPAPIPEEEESEADLEEAEEAHESTQQT PVGSKIPLVPATQDSEPRRVKLRSSTSNLFMSPGSQLPQTQAYNIYPNLPSSESGASI DETPKAAIRVNRVAESPLSAKKGNSMSRKSSLRLTSPIQEEEEERTDQVEDSQPHEES SDSEPANGQEEKSDSSLHAAVRDGMTDSEPESSSDESDNSILPAATKAKPTLRSSQST TSLYPSLPLPKFPSSQPATGSNGNHHVHIHTIPTLSSLSKDALRNRSSFGFPSSASQP NFSTRSSNGRMSLPAQSSTKSNGLGRTRSNFGVSHSQPIPAVQSDSDSGSGSDSDSSE EEKTPVNMKNRISRGVVTKPKVKRRASQGDVLGTGW
I206_01097	MSNLLIPRSSSSLRSSFIPTFRSFSSTSFNSNEQKIKVPINLIA SLRKEFPVPLSQAREALEKSNLDLKKALNYLHSSNSLNAEKKAAKVSGRITNEGIISI SLLSNKRVGMIHLGCETDFVAKNQIFLNTAKNISETTAFLDVPNIEEFDHPSQQKQRN QNNEIGLGKDPILKFPVESLLSAPLISLSNENGEINQSSVSSNDLQTIKQTLLSSLSQ TGENLKLIRATSFASPFPSKPEIRYIPSGYAHGGPNDKQGKVGGIIVLSVESQDNEKP ITTLIHGPNGDKLENDLNEFARTISRQVVGFPTKVIEKLDRPFENDEILYEQPFMMFK GDSRPVKEVLAEWGKEKGVIVKVVGMRRWAVGDEVEDPLLEKSGDNTA
I206_01098	MSEKDPNNALPQFQKPIPTIPAPMTARKFPRRSSMLTSPQIDNN VNSLSRVPTSSSIHTRNSLRRMATHETGGFDPEDHENINGEDDGLHTHDEIEHEHDDG TPTQSRRNSNQPVPTEEQEEDEEKKIQGKKSRFGKKKQVELQDQTNLLPVKQVIAVFV GLTCALFCSLLDQTIVTTALPTLGKVFNRADISSWVGTAYLLTSTTAQPIYGRVSDIF GRKFTLLACLFIFLMGSLACALAQSMIQLIIFRAIAGIGGGGILTLSMIIISDVVSIK DRGKYQGITGVVVATANSCGPIVGGVFTEKVTWRWCFYINLPLTSLAMIIIVFLLPLR RVRGSMWGKLKKLDFYGSILTLGWASLILIALSWGGSQYSWSSAAVIAPLIIGLFLLG VFLFVEWKVVSLPLVPMHIFKDTSVAACYVTTLFSGMAFYAALYYLPQYFQVVREVSA IRSGVLTLPLMLVQTCVSFTSGIIQSKTGDYWYNIVIGFGIWTIGLGLLTSIQPNTSE AKLIVYQIITGVGAGQTFQTSLVAIQSGVSRKDMATATGIRNFTRMLGGTIALAVGSA IVNNRVKSNLKGILSDDVFNIILSDPTQVKSLGLTDEQANSVIQAYSKGINGVFYFTT PVIGLSCLITLFLVKNVKLKRGEDEAAKKAEAKAWVESKKAKKSAKKGESHQDHDTSS QHEHAEGQSDRKSSDSQHADDNSEGKPRDRTDSEDTVKEDPSTIERVKEGLEDAGKKE AELLAGPPDKP
I206_01099	MSSGGRARGRGRGLVPPRAIEDRDVLGYANKKVANLGPPSIQTF SKPLNRGRGGPSSRLRGSRGGPSIPRGSSRGRGLPRSLRGGNATRNGLPSRPEINNYR PRYDSPPRYPRERDEGYRDRSRVEVRDESSQRPPHRTFSSSSSPPPSAQFARNYSRRY SPSQPVKQDRWSPSSRYRRTPPRIDRQDDFDDILNNQAGPSRPTSYNSQRIYDPDNDN RDKALSHLSRNPNPNGISAQLPTSSKPISDPAFRRPPPSGPSNSRPPSPAEVNGNPNT HTQTLDTGRGRPAVPISVIPEPLAEIKKMSFKPNNPNNPKPKGKEKQKAEVSLKSEPE IECDPEPDGQHQMSDSNKEEILGEQVDVKPSVKDLENEVITDEGVRTSGTIAFTKQEL PECWAKDPHARSHARMTFRKSQRAEMTDQGKKIGGTHWRDDGVAFDWTLPDPTPVHKV LHPTTRAVSPPDPIIVDSPTKPLATPIDASSYPSSAVGSSAADPPSNKITHDETPVSV AVTDVQQEEGHGGPGNQYLYITYPPEYDTLAKRKQSVEAFQSWKNDIKRSYSEPDAKG LPTKTPVLQTWEDTPTMQVRIFPIERKNEVELPTWKNKSSQYYEFFEYPKDILKHNEK NKTLGEGLIEEWISDIRDIVSKPDEHGRPTRWTKTCIHEKEDGTKILAILSKDKTSEE IENHVATPIAINEELASRTIRNEQLNVNASNPLKLLKKPNDQSICTPNYKDKSTTPAI AAAQPPDPSTLTKNQRRKLYKRAREEEMELNDSFKSVAPSEDQHSSGNLAVSERSKKV KKTHHDEKTHSFETAASNRSPAKKLANLQKNSHSPTKASSSALPLVNETSTSILTSIA KPNNASAGINATPTPTNSSNLDPGSTVQDIKSDSTASISPVLENLNKSLRQKVSEIEK WTKLSVEFPDLKIALTEQISKTREDIFQLYDDIASEKGRLGL
I206_01100	MSSETYLDHKPFLSDSFDVHTYANAVLQGKVYRPDDKVEEGSKG NKEKGDGDVGAELARLNYGIEDVTRQLRQEITSSYPLLLSHLTTSLSLSSHLSPIRSS LSSLSTSINRLHTKIHTPYTNLSTLVSRQQILGLITDLTRRASRFVLLARRLEGQLAK MEGSEKGKVEGERERELAKAALSVAELDALLNPPRNEEEDEQIDSQIPLQELEFVQSY IPVVDKARDTIIQEMESMVVSGLADLNQSLLSSSLQTAHNLRLLPDLVSNLIADLNDA VSLRVTKAFDSTAIGKEVAAKEGANSHSAIKFSRGRPATEPSSSNTQIWVNTLWSRLE KVIEDVANCCVKVYTLEKVLKMKKDPVSGVEFLDEVMKTLDEKPSFTFWTTLAKAFEG QSKDAIRSSPWLQQALSTGYPRLLRLFHDFFGKIAVHTDTVYTKEYQSTEAVLVLRSV SVFETLYLSRSTTRMNDSISSALSSYLSARGNPPGPGDGVSIARTITNELDSARFDPL LVRTVARNAGKVLDGFVKRVDAMLVKDFTATSLIGPNATPAQIVNSQLVGCLYHCWLN VQYVQQDFVGKVWETLSPAVISLEVTYRKITELLDTALQKEFLSILSRIHKVDFSKPM DPMTMGSGGGSPYMQDLVDKLSFVRSEILGRMSLGDFMKDWVVQLSKYIIRTFLLHAS IARPLGESGKLKLTGDMTELEMGIASLLNTGRVQGSRGGMRVEKIGEEYLALRSFRTL LFADDSTLSNPVETVHLPTLVILHHIIVLSSLKLPHELHEWTENEYILWIFKHDNQEE QLSLFEKTLNDQLALKMINEDDEKGDGMYIKLAREVLEHARSEGETPGV
I206_01101	MPKEQVRKRGRRVRKGDVQVEDEDIQVLPTTEINEPIPSASTST GIHPARAAFLAGRPAPPSQTQTQSQDTEGIQRAEDEGPAEWNRGPRIDSEFPFGVLDP DVKAYFRNVEEQIKDWEGVSSEGEEREDRQLFLTSVLSELRSHELPTSTDPETSIVLE RLLPSLNDWGRRVIGDSFGDKWEELIRHRFGSHVVQTWLTLAAGTLDREAREIWPPQQ SKQTDSNEGQLPKMTELLINIINALSSSFAELSSSPHASPPLRLLLLILTPNRSLPAL ESNQGDKNGLIRSKRSNKFRKNQDVKGKSILGDDAESSQHKKRKVPSDLILKRKEITD SLKARLGEAEWKAMGVDTVGSATVQLLLEFEVEDGEAEKEGSLFDILTEGLVTQLSKD PKAIPEPQPYLSTVLQTQTGTRLFETLLSLAPERVFKALWRTYFVGKLGKLAGHPYAN FVSAKGVSRLDKKGIESLISEVKGNSGGRGLIKAARTSVIQALVERAATLGECQQDVL KLLYSCLELPEEKKSEFVPCLMALKTYPVSLTWENRRTAKPKAGEGEVLPNMQGCLIL QGMMSMGDVNGVVIDSLTAQPVDTLLTYAKSPISSHLLDKVFNDPAVQPKYRRKVMMI LMNDWKEIIEDRIGSRVMDVVWDKADGYMKEKIARTLIPHIIVLGQSQYAKYFLKKAE LSLLQRRPDEWREKIVGLKHHFAHQKKISQQSPKFNENGIENENIKKRKNENKDEIDI LFENVDKQKSKKRKD
I206_01102	MALPSPNPMPLLCPVYLPGQEPVPPGTSDWERQEMQTALRYQKY IGMAMESCPLKVTLASGAGFAMGGFFSLMSATFAYEDPLSRASSQLSTRAQTMFVFKE MGRNMWSSGKGFAKVGAIYSGVECCIEGYRAKNDITNAVSAGFLSGAILARNSGIKAA LGGGVAFAVFSGAIDWYLRKEPAE
I206_01103	MLEEMSNSPSGSRRRPKPTQENWDDDFEFSLPKKAGSSSNSKSR VKDENVPLSTTRNESPTEDWDENWDESPPRPLPPPIMTTQHQRKKTSIPPPISIPSSS SSRGPPRLSPSQTSSLSISPLPTAHSSPQHPLLPSRSHSSAGLSLEQQPRLRSGSTTA NGTIARNKLIKRHPSTSFVPILSHSSSHVDLSALSEASLRSSNVANRSSPNLPPGSPY LPRSTSGEQMPPPPLPAGGILSRARSRSRSKVRPDSKNGVRVSSIPFSPSKEDMTDTE KEKRPGFWKRLSGAPVTASKQNDGTTQHRRRRSSSVGSKQVISGSPRPPVPPLPTNLR SPSGASSTSTSSAKSGPTSAFSALLRRSSSSLSRRTDKSKETPPPSSYPYFTRHGAST SSINSVVQQGVPIPSRRGNITPDLPSSASFTGGFHLPSPSPRSPYHAPQFRMPSSPIG QSEIPPLPHSTSFPGPIGRQQEGSSSDTETEGESKTPKKRKKIRPVSALPASTSEIAG TQIQNPIPGLPISRQHSCEASIGLGRSSDSPSGFASTTTSTLKRLSSLSKKHGRRLSG GWKFGTASSTDSSKSTMTPLEPVVGSPSKPHNRDEGQPVSPASPTPDLNPQSEEELRK AIRAGSVSAPTSLFMSPPSSLDSQTSTAAEITKFTPSEALAKEKEKKDKHRRRQSWND FVIPREVMMKQKGLKEGIGAVKMFAGGVASLKTLLNTHADLRDRILASGTPSDAAYFA TLDAEFEQWLEMAVVLIEVGSTGTDPANQPSFSSPPRSRRVTLASDESKAASATMSKA ISAPNPPVQLSAWRKASLPDPEEHSSGNGTYGPPNPDPDHWRASTGRQDLSKRQLEVL RTMLRTPVANTPDRPVLGLRTSSTLSASTNSSYLNTKIGEDQGSPSPQRLKSTRSTRG VTPESDISFPSPGDSAHIQPSASFPSPLSGRIQHHPPSQAQKSLKDRRASKAGLAGLK EFLRSLKKDRGTQRLPSGDAGDSSPLRIKSRMFGIKASTSPPASPTSPLSPAFTKNPN DVFYPTQRSTFSALGSAASPGIPETPQTAPMLQQRFSARNGSRSRADTDSSPKLGPEQ KRPSIRNIFRTTSGNWSELVNSSNNIGPLSPGLSKKSSIQKLGFSKSNSAQILSKISV SDPIPSKIPLSASSRTLYANDLNPYFGATGEDQSEMTLRAGTAKKRTSGLGLGLGWPE SQSQSNTSSIEPSSGVAIGEMGTVFGSPSKMDYTFKASINMPKSPSKIRLPSNRSSNS ISTLNSTTSIRIPSNENQIKSLKYVEYESNDSQFPQNVEVQDLTIALTPENLPTLLEY LKQCERMLGYWRERVEEIMGVGANLAGNRTE
I206_01104	MSQPLDQSSTDSHICQRCHQPLLLDPSIQNISSSQLNLITSSLP DNSASSKLSSSSKLSSLPPNSQQSGKVWAEANSISSNSNSKGVGESFILLSESSLLPT PSERIKSTQNNNFDLSLDGKLPKSNSTNLINQLNQIISSNTSISHPLCTECTSILTIE FQKIAEELNKERDAYINFENQIQRNREKQNQKNKNQKSRSENEGLGEYDIEGNDEEWQ ELIEKKKELEEEELNLRNILEEREKELEKVQKEEERVKKEEEEVDKEETEYLLQHSAL STNLSHLKSTLSTAQTHLLLSKSLLSHLESTNVYNVAFQIGHVPLDPSSSGGNGITVG TINGLRLGGRPIVEWEEINAAWGLTALCLDRIADKVGYVFTSYKIVPLGSYSRIEELQ PSKNIYELYASSEISPARLLQNRRFNYSMIAFLNCLKQLIEFGKKNGKGWANNNIEIY KDKISNHSIKLPGISSMPLTLPSMSIMGLGSTSSSSNNNNNNNNSNQNSNNNNNNSQD KDNSAEENWTKACRAILIVLKRILMVESQMDRGNSNFNV
I206_01105	MPRRPPSPPSFAPPLPLNQYLVNLQSPQGSNNWLCKDTEGNERL VEASSKLRRIKSLIIMRGDFAIVNLFPIDPEDKGGRLVGEIVHIMDKSDIKEWKKAGA WPEGFGEQPAAPTQHQSDDDDEENSDGYEEHEGEDEQ
I206_01106	MSDITIDDVAVECSSMQEDEITVLESIYPSLITVHENPDDKPGR LLSLTIPIAFATPHEIHLDTISGPSASLQLSHLPPLVLRVLLPSVYPLEEAPRPIHLR APIPGGEKAGNWLPKLKLRQIQNKLVEMWDEEKQLNDEGMGIIWRWWEWVGTGDFLTD LEMYNGSSLELSISPLLSPSTFHTMLKTYNASQIHSDFRQTAFSCSICLENRKGKSCV QMPGCGCVFCTPCLSSCWSLAITEGSLENVSCPSVSCTKQRALRERGAAMDNDVTADL VESVVGSSLKDRWEDVKEKRKAEIDPSYTICPRPSCQAAVPAPDVPVSITFDSRFTSK IIRLSEISSTATESTLSSEANTKTDSTIPTEDRWARHRSCPKCSYSFCLYCNATWHGP HTPCAFPQTSLIVSEYLGYPEGSEGRSRMEMKRGKANLERMVAKYFEDEANKSWLESK TRPCSGCGVRVEKSHGCNHMTCGRCNSHFCYRCGDPIKPTDPYKHFNTPGKSCYQKLF DQEEIDRFQREAAGGEVVGGEGGADEDWREFRGIWEW
I206_01107	MGGSIKAIDTESVHRIHSGQVILDLQGAIKELVENSLDAGATSI DVRIKDNGLDSIEIADNGSGIAESDWEYIALKHHTSKLPSLSDLYKVTTFGFRGEALS ALCALCENVTVVTSTKESAPMGAIIKLGRDGRVTDSSGRIARPRGTTITLTGLFVPLP VRRKEFERTVKRELTKALTLLTAYALVPASVSLSDGRNGVRLKVESIAAGKTGKRNVQ LSTDGRGSLRASVSAVWGHKALEGVQDIDLELEVDIDRVMARREGISETTQIIKVVGL ISSAQWGQGRSSADRQFYFTNGRPCNLTSVARAINEVYKTFNTHQVPMAILDFQIPPQ SLDINVSPDKRTIFVHSEDKLIETLKIALDEYFQPSRSTFAVGGATQTVKTIRQTQSQ LLQYGRMSVDTTEPDVHNDSNNPEAEVEKAGPNQNEEYPQSMIGEDKETELDQEAADE IFVAKASNRSRSSPVIQIETESEGEYELSQPSSTGNSRPSRPSRPSSRNDVSKVQAPP LSVSRRVQQTLNTTKASWSPDKKSSSARAGPGPSTREARLDLRERLKGYASQNAVARD SDSEEESDDDAASGLAVDVDTNDDMEVDELESVASEPKEKESHHLDQSQCMEQDGEEE VIVMKSGRPPEEPLFEDDRSNSSTDTEVITARENVEAKVANEREEYTVEEDERPKTRR KSSSYRDEITTTTTQGEIKLSFNLPRLQLRFKSKGKNNLRQNIKLKNALNKVKEGALT DAAGLSNKNMQLAEEALNRVISKEDFNKMEVLGQFNKGFIIARLVHSAKINQKSEEIQ ETDDLFIIDQHASDEKYNFETLQRTTIIKGQALIKPRPLQLTASDEIIAIENLDILSK NGFDVKINEDALPGKGERINLISMPISKETIFDFKDLEQLLHLLSDNSITQGQMVRCS KARSMFAMRACRKSIMIGKSLTKLQMINLLKNMGTIDQPWNCPHGRPTMRHLIKIDKP TKSRSGGDRIDWKKWKRQQEI
I206_01108	MSNPPFTSSSQSSTSSSKPIPSLSSPVASSSNSVSSLSTFGTPT SRISKKKPIGLDISKSILKPTRAAPGLPDSNGNSNENGFSLKDERSEADRLREEIANL QLSSSSSNSSKSFSNNNNNNNNNNLSLPDSPINSNSQHSDSSTTNSISINKKKINKKT SSKHKDKDGEDLVKDEDLEILDELGAGNGGTVTKVWNKKRNCVMARKLILVDAKPSVR KQILRELQIMNDCNSPYIVGYYGCFPVDVHVGIVIELMDAGSLNDIYRQNGPIPIEVV GKVAEAVLHGLMYLYDVHRIIHRDIKPSNILANTNGEIKICDFGVSGELINSIANTFV GTSTYMSPERIQGAPYTIKSDVWSLGISLIELALGRFPFTDSQDQEDQDQDSETEDEF DEDATLPLNTQRPKISINNNKEKRKSKGVSLAGGGHTMSILDLLQHIVNEPAPKLFSR KKQFPNEAVIFVENCLFKDPGQRKSPQDLLASDWISKSTITQEDLKVWAQSTISKD
I206_01109	MQAPSGGGYGGGDGITGPLNPFVVTPPPPDAKEDEIPGYVKRFG AYHTLTEGVFLPAGTLLPKGASFAMQSTIDFGLHFPKGTKVPGGFLLPVSVVKKNDKE KLPPPEENPFCIVQ
I206_01110	MTSNTSKIGKAKIESAVQFSTKVEEYVRHGKTALRERDWAEAMI QFNKAITYHGKRNLTLWDWRVRAMIEMPEWHSTAYQVTQMMVQQSPDDYRGYYRQAKV LLKMNAIDAALAAAIKAVKVGPTKSQDETLNRALQRFKADLIISQHEHHQKRAAYSAE EKQKVEAAKMAAKKSKMNFIHLLSPDIIINIAEIGSVDNYGFTHKMAGVCRNWRNILL NTGTLWNTLVLGKKRVMDRVKCCVERTKGNIKEIIVKSEFEIFRLTDIDQILKPYLKN TRRLTIDGDATKFSRHWQGEFHHLNYLKIKSTSYEASDLVYRLLAFDADGLEELDLEG GRYEHIFNSEFDRNLQDPDHRVISPGDPPFWTEHASKHLSSVHTFRIKNCLVAAAYPD HSELICHFPKLETFEMVNVHWESNSALIDTSSKAYIWSQKRRPLEIDLGYLRSYNVSG QIRNLGLGEIHAPNLHHLDLWSAHPLGSPLIAPHLSTPGLADALSKLLSLDVGKCTID IGDLRDVLITLPSLKFLNVSYCSLDNSFLELLERKGSDKDLLPNLTALSIAGNSEIRS GAIRRFVLSRTPNGLKPTNRPTEPVKGGPFRPSVPVKSAFGPSKPKSQPATSLNQALT AQNLTTSKPVSTVEAKKILPSIQWLCLDNCDSIEPEIVDYLRRKVRFISNLYSTAIVE ARVRGKGRYSWMMEFDIGCGSGEKGCQVRRLPGSKDGYYIHHTCQQAGPNDTQVPGWT QLSQSQSQSQGLHGGFLGSMISSGSLKGF
I206_01111	MLLRIRSPAGTARINVEPTTPGEDFAQLMLNGIPKSDEQPDPST LKLSNQPGSSGESVPFSALVGRTVGDMGFSHGDLLFLSYKPISADPQSHPTTQATTSH PHPSQPDPSHPHTHTDPPLPNTIPLKDLSHVQEPDIDLYWYSKNGKIKRKRDPDFCRH GEKGMCDYCMPLEPYDAKYQASNQIKHLSYHAYLRKLLSSRPASQTAATDLPPLDPLS LSVMDPCPSGSHPPFPAGICSTCQPSAVTLQSQTYRMVDHIEFASPAIIDGILSAWRR TGTQRLAFLIGRHDKYDKVPMGVKTVVEAVWEPKQEGELDGLTVETPWNDEERVSEIA SWCDKGLSVVGMIYTDLTPQPDDITKTLYKRHAQSYTASSLEMLLSAAYQISHPLPSK MSPTGHFSSRFVTCCLTGDEDGGIGVLAWQASENAEAMVKAGIVEASVDPGIVRVRKP GEGEYIPEVFYSYKNEYGLQVKQPAKPTFPVEYLFVNLTHGFPLDPSPLFLSNSFPTE NRPGLHDQSLEMVISQLSGILRGSDSDISDTGTWPQRIKDEVKKWLSDWHLVAFLCMQ GLFSLAEQKVLCRAATMHAHPSDKNALEELFASGGWSTLLTIVESSSSTSAPVQSNPP LRAFGEMGIDSPHTVGSSSSLSGMGIVDPPAGGETRGGGGGGTEGGSASASGERVCPH CTFVNEAGRSDCDICGLPLDG
I206_01112	MPSSYRYQPIPPSPFSPSLQSSTPRSARSRNLAFTCSRFRPKFL IMLGASTILLLLGLGVPYTIGHFAERQDLSNSTNLISLASASTSNDHANIPSTTTHSD SAITSSIALNTSTFSGANSTSTSVAPIASSTSSAPSKGPSGNATTYYPPLNLTYISNP VSAPINRSYYNPSSKWNEAHKKASRYLSNWTIEEKVLLTTGMGWEQGRCLGNIAPIPS RNFSGLCLMDSPLGIRLTDYNSAFPAGVNVASTFDKDLMYARGFAMGQEFKGKGAHIA LGPMTNMYRVPAGGRNWEGFGGDPYLSGWATQMTVWGIQDAGVQATVKHYIGNEQERN RTTSDSQFTDRTMREIYSHPFLKAVQADVASVMCSYNLVNGSWACQNSEMLNGILKED FGFRGYVMSDWNAQHSGVLSANSGLDMTMPGDIEQKSLTSYWGLNLTESINNGSVPID RLDDMAQRIMAAYFLLGQDQGYSEVNFDSARPLAEANNSHVDVRDEHWKVIQHIGAAS TVLLKNINNTLPLKSPRKMTLIGSDLGPSYKGPNGYSDRGGDEGTLAMGWGSGTCNFP YLVDPLQAIAHQARKDGTTLDWWFNDFDVDSAKYWATGYDVALVGINSDSGEGYITVD GNEGDRNNISAWHNGSELVQAVASVNNNTVVIVHSVGPIDMEDWIDHPNVTAVLWAGL PGQESGNSLVDVLYGWYNPSGRLPYTIAKKLEDYPAELVYVDTNIPEHPVVEYKEELN IDYRHFLSKNVTPRYEFGYGLSYTTFEYNGLDMWDNEAWNERDLQGEDDDILEEKLHK TRWTISVDVTNTGDVFGCDIPQLYLAYPPEAGEPPKVLRDFNRVQLAPAETKNVQFEL SKYDISIWDVVKQKWVIPNGNFGIEVGRSSMDQKSVMLDWCFEGCN
I206_01113	MPDINSLSDSTNTTDTTNTNSSSSQTPGRDVNNQNRAVSDSDYM RPSRPAPPPPSQSDRSSSNGTAQTNGANSNTGSSRR
I206_01114	MSDGIGIANLPNQRHKITSQHGAHFTIMVVGESGLGKTTLINTL FATEICAPRNYRQRFAKQLDKTTEIEILKADLEERGFNIKLTVVDTPGFGDYVNNRDS WTPIIDFIDDQHESYMRQEQQPHRKEKQDLRIHACLYFVKPTGTTLKPLDVEIMKRLG TRVNLIPVIAKADTMVPEDLLNFKTIIREVVAAQGIKVYAPPIDPEDETVSEHARIMQ SVMPFSIIGSTQDVTTPDGRVVKGREYLWGVAEVENEDHCDFKKLRSLLIRSYMLDLI TSTEENHYEAYRLAQMETRKFGEPKVRKLDNPKYREEEEMLRKRFTEQVKLEESRFRQ WEQHLIAERDRLNKDLEQAHTAIKALEAELDQVAAYHRQGGTVGRR
I206_01115	MVKAVGIDLGTTYSCVAVWQNDRVEIIANDQGNRTTPSYVAFND SERLIGDAAKNQVAMNPYNTVFDAKRLIGRKFADAEVQADMKHWPFKVIDRAGKPIVQ VEYRGEEKTFSPEEISSMILIKMKETAEAYLGGTVSKAVVTVPAYFNDSQRQATKDAG TIAGLDVLRIINEPTAAAIAYGLDKKSEGEKNVLIFDLGGGTFDVSLLTIEEGIFEVK ATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDLSSNARALRRLRTACERAKRTLSSAA QTSIEIDSLFDGIDFYTSITRARFEELCQDLFRSTMDPVEKVLRDSKIDKSSVHEIVL VGGSTRIPKIQKLVSDMFSGREPNRSINPDEAVAYGAAVQAAILTGDTSEATQDLLLL DVAPLSMGIETAGGIMTPLIKRNTTVPTKKSEVFSTYSDNQPGVLIQVYEGERAKTKD CNLLGKFELAGIPPAPRGVPQIEVSFDVDANGILNVNAADKTTGKSSKITITNDKGRL SKEEIERMLAEAEKYANEDAEVAAKVTAKNGLESYAFSLKQTLTENGDKFEAADKESL TAKVDEIIAALDTMESASKDEIEQHQKDLEAVANPIMQRFYGSQGGAPGGAPGAAPGG FPGAGGAGAAHEDGPSVEEVD
I206_01116	MENPHEAEQAVLLERIIKNVDKCNEAIMEMNHCIKEFLDSSANV HVAAQLFANYSRNVSYNLEAVKKMPKPV
I206_01117	MSAVAMDFLQKYAPVVADQFVTMNSTQTQNALYGDVNLESLNWL ERNWASYYIWIGNPVLATGLMSFLLHEIVYFGRAIPWLIIDAIPYFNQWKLQPDKHIT KEQIWKCTKVVLLTHFTCELPLIYAFHPICCYFGMATYEVPFSSIGLMAAQIAFFFVF EDMFHYWAHRGLHYGPLYKNIHKLHHEFSAPIGLAAEYAHPLEVLILAQGTISGPFLY CLFRKDLHILTVYIWITLRLWQAIDAHSGYDFPWSLRHIIPFWAGADHHDYHHMAFTN CFSTSFRWWDFTLGTDSKYHAYKQRLANAKASQRAKIEAEENERATQEGLEAERLVLM GGPNKIEQIKIGTGKKQ
I206_01118	MTSSCPWHTNSTAINRRPIPDRDLLLAMKASANSFVLGTRKSNL ALIQTGHVADDLRLLHNGPSSSSSSSNNTLEEEEEEEDSRMKFKFTIESMTTVGDRNQ TTPLHLLSPYSSSQPAKSLWTDELEFKLINGHFDMLIHSLKDVPTVLKDGCEIGCMVK RHDPRDALVIKQGLPYKSLEELPDGSVVGTGSVRRVAQLKRAFPNLIFEDMRGNLNTR FSKLDNPSSPFSALILAMSGLSRVGMSHRVVSALSSPTLMHAVGQGALAVEIRIEDNR TRNCLLGLGHWPTEWTCGAERGCLRVLEGGCSVPVGVESEIIELQESDLENLNWEEIE NPFKDELEEPLKKDSPMLHFSGIIDIPEKTTSLSTKTIFSKHSLPPLRKRFAKLSLHA CVTSTDGTKHVLFEPKPVLVKSYRQAEKFGEECARKLRLMGASEILDEINLIRKAKEQ EDLQRAIERSKLALAKEQEKNQSEETQVVI
I206_01119	MSNNQDKPVPSAESYTGHGGRGDYHGFPGGSEGSSVRTDTTSSI ATTSSRAPSSATPGPVGGGGDRAPMNAGGSSVASSATPGPAVFGAGDTARLRPGSAVS SASSETPAPKTGHEGDTSRFSKQSTGSSDPSK
I206_01120	MSETTAPPPTAGASTAGSTLMNPAATQATGQAGTTGQQDALDKG VDYILGKAGHKQNASTTEKISDGIRSGFKKLTGKDIPIKDKQ
I206_01121	MDLKTFISDNVVRIMGSSDNATVDYVHSLAMSSKTPGDLYHSLL ATGLSDSSDTQSFATQVHSLVPRKTKSKVPKADKSAPSQRFALLMDEDEGASSSSSSS KKEKKSKKGKEKERDSGAVKLGRSARKRDTEGNWESDEEEKEKEAKRLRLGSPSRNSE NGDAIDDGPKETEEERLERERLEDLAERDAFAERMKEKDKDRTKKLVTDRTGGGVEAD RRAALAEESFESRQAAIEDLRLHSRQEYLTKREQQRLDLLKMEIEDEKILFRNQKMSK REEQEYERKKELIKIMEARQRIDDGTNGYMMPDDYITEQGRIDQKKKKNALYQRYDES KPVEGQFVTDVDQWEYSQTDKSSLKTGAQDKEVLVDEYDYVFDESVGIDFLQEGQMAG ENILSQDAQNLLAQVDQLEKKAQSIQETRASLPIYEYREDLLEAIAEHQVLIVVAETG SGKTTQLPQYLYEAGYCKNGMKVGCTQPRRVAAMSVAARVAEEMGVRLGQEVGYSIRF EDMTSDKTALKYMTDGMLLREFLTDPELSGYSALVIDEAHERTLSTDILFGLIKDIAR FRPELRLLISSATMNAQKFANFFDQAPIFDVPGRRYPVDMFYTKQPEANYMHAAVTTI LQIHTTQPKGDILLFLTGQDEIEAAEENLKETMYALGDKVPELIIAPIYANLPSEMQS KIFEPTPEKARKVVLATNIAETSITIDGVVYVIDPGFVKQNNYNPKTGMSSLVVEPIS RASAQQRAGRAGRVGAGKAFRLYTKWAFKNELLEDTIPEIQRTNLGTVVLMLKSLGIN DVLNFDFLDKPPAETIIRSFELLYALGALNHKGELTRLGRRMAEFPVDPMLSKAIINS ENYKCTHEVLTIISMLQESGSLLYRPKDKRVHADKAQKNFIKPGGDHFTLLNIFEQWA ETNYSQQWCYENFMQFKSLSRVRDIRDQLAQLCDRVEVSIESTPNEIVPVQKALTAGY FYNTARIDKGGGYRTTKNNHSVYVHPSSCLIGMQPPPRFILYYELVLTSKEYMRQCMP IEGSWLAELAPHYFNKSEIEQMLGSASKVKMPKGIEQPKIGPVNP
I206_01122	MFFCAISGSPPTAPVVSKTSGTVYEKALIERYIEENGTDPISGE PLTKEDLVDVKAKPSTLPPRPANQTSIPALLTALQSEYDAIMLESLEIKKAFQSSRQE LANALYREDAATRVIARLMKERDEARQALSSIQSTIGFQATAPEAAAEDVEMAPEANE GALPAEVEAKVMETNQALSSGRKKRKPAPGYSKAEQVKAFTQISHVPSLHATKPAGIT ALDVAKDGNTVVTGGADKAVQIFDLEASKVLGTLKGHTKAVTHVAFREKEGENKLAIS ASADKTVKIWGEENGKWSNKANLTGHKGEINGLAIHPSGSYVAAGSSDSTWSLYDIEQ ATEIVKYSALPGVEGSFAYTSFSVHPDGILHGGGTKDGSVRVWDIRQSSSLAATLDSH QSPLTTLSFSENGYYLATAADASQTVNVFDLRKLDIVSSWTLPSENTISEVRFDPSAQ FLSVGGTDLRIYANKTWEELLKFDDNAGVLTGARFVKNGSQVVLSGLDRTLRVLGVQA 
I206_01123	MVFANLTYEDEYFESRPQYAQGQSNGPSLSTLTKLIPPSRNSNP PTPSSPSRSPITTTTTTSSTNYGGGGGGGGEQQDMAQKFISSSIKLGTKGTKYGIGAI SKNKDAMDLLGKAGAAGMVRGADKRLNSPQPVDGGATIEEITVVEEKRKPGPPPKKSG VSGLVSGRSFGHVDTSSKMSAFTSMWKDPQKVKEPVVEHHMAPALSTQRASAPPPVRR GGSGSSARSPTEEAIAQAQVLYDYAGSDTTDLGVQANQTVNVIEKTSADWWTCEDGNG TRGLVPSTYLKEL
I206_01124	MKDELTFPNSTFTKTSAGGDSTLATGTPTYDPYAPSNRGEPGLA VPASAHLTEPSDQQKPSSFGASLPPRHHHHHHPHNKPYRPYHSDGQSTSQGDDTNIQY AAWNNNSNTSNPQSQSGVYPRLDPRTCNSAPQAFTSNDIKMSAFSSDRSRRRARTGVL GGVAALGAYLGLSGCLEDPGCCCEESLKESGKCLVNNGESICYALGDCCVKTGDILVD SNCGFCCCDRDGDGGCDGGCDGGCDGGCDGGCDGGCDGGGNCC
I206_01125	MVTTLPNELTNKNISLPLDVLSNIASLIHPPFQALPPPEDPILT PQIDVIHNIDPQPAPQQSHLQLLSLLTRSSSHALEAARPWLWEDVDVLHGRGWLAIVN ALTEEIVEIEEVIPEAGPSTISTSTISEPIPISGPEAHKAPATITNNFQSYIAIPPQT IYPDPYGSMSSSPPLGYSPPQPSHIRDLLTPPGSRGTSPHPGPSSYFDPRASTPPPLS RGISHPAPAAIKTKSTLRGRSRSPRRSVNFDTESISSVLTRSRSSSGNIPGGSGFLRR QTSLSKAHHYDDIDDFEEDDKDEAKDYITPLRGMAEMKSPFITARGSSEQKENVNPDL LPPPGPYIRHLSFINFRTIGSRRSQEEAVRGRFVTAGRLEGVIKNAPNLVSLGMTEYV DSALSYPVIEELFFRGYRKPRHHSPSKSLTRVRSLSVGPSAFSSQADFDQLDPPRPTY APYEDETEDEKWKRRTVFTPLEALDLTGCVSNNYTEAMNRFYATWLMPDDDSGDDERG RERSRAVYRGRSGRYDGHNGGYTTAEATEDESDAQGNRPKRKIPKFRALKRLSLRACT RLEPTVLTAIIFAFPNLTHLDLSGTRIPSELLAYLTEKCPRSLRLTSLSLARCPRLDP QVVVDFIVRCPAAQDLVDLNLFVNPTQGNVIEQNDLMRLITEAPCIKSGRLRYLDLSS ARFTPAHLTKAVFPPQPSLISLGLSHIPTLSLTPIADFLLEVAPNVEVLTLTGTATTT NLVPTLSPLQLTLELHARLINPLTTVPFSLSALNISGANQGINLNPGPTRLRVLELSS NIRRSITPAGEWQIVKSKGGRGWYVDLSCGWKRLDGDIEGKEGWEFVRHLPRSDPRRR WLNHLSESNGKVGSNVGWHSRKMEIVKGMGMLGREEGLAGVGAFAFEE
I206_01126	MSAQSSAPTTPDVPAKDFSDLEISSTVTTPAATRPSSPVPAALP PSPLPSGKHKICVIGSGSWGSALAKIAAENAWKRNDEFHSEVRMWVREKIVNGKPLTH VINRTHLNSRYLPDIKLPKNLVATPHLKDVVKDATLIVFVVPHQFLHTVLGELSKPGV LHPQARAISAIKGVEVNGTDIETFASLIEGRVGTPCSALSGANIALEVAMGQFCETTI GCPSHEDSLLWKAVFHAPTFRVNAVEDVNGVSLGGALKNIVALAAGFVDGLGLGGNTK AAILRIGLSEMTEFCLEFFEGSQRETFSNESAGIADLITTCYGGRNRKCAEEFAKTGQ SFDVIEKKLLNGQKLQGTATAEEVNNFLRARKRVHAYPLFEKVYLIAFQGLPPKALVQ GL
I206_01127	MSVFTKSAFTMSTMPSPANSAPVSAAPSRRGSFAGLASGQVTPV AEPHIVSINVESVLFDMDGTLIRSSEAVVKAWELFAETYPLDLEDILRSAHGMRTVDV LKKWCKIEDPELLNTEVVRFETAILNAAEELAKTNGGGGIEVLPGVAKLLADLGSEAD QRNGEEKWAICTSSTYFYAGKAIPIAKLPVPKVFVTADSVTRGKPFPDPYLLGASGCN ASPFESLVVEDAPTGIRSGKASGAMVLATCTSHHREELEKERPDFLVEDLSHVTAQWD PNTNTFNLIIQQPVDRMSTRPTPDVTPVITPAGSRQNSFSGVGKSGYFGQQASSSNWK ASDELTGNDSVVGSPEASRPGSPDTEEKRAEMDLHRRASQSGNTLSLDAFKRALAGNA AKRRANSRGELDQDE
I206_01128	MAENVANPSNPAPTTDASGQATGQQDYLDKGVDYGLKKTGHGQN ASTTEKISDGVRTGFKKLTGKDVPIQDKQ
I206_01129	MSSPRNMEDLSASDPARKEQLRRRNPITWHLKTPTQGPATLAIK NHFIAMIGEYVGTVLFMIFALGGTNVANIPTTSVTGTTTAGQDGSTASTANTSNLLYI ALSFGFSLAVNAWIFFRVSGGLFNPAVSLGMVLVGALTPLRGILLTFSQILGGITGAA IIQAITPGTLNVRTALGGGTSIVQGLFIEMFLTSLLMLAILLLAAEKHKATFIAPIGI GLALFVAELLGVYYTGGSLNPARSFGPAVVLKTFSGYHWIYWLGPVLGATIAAGFYKM LKWLQYETVLGPETESDETSPKSGTSAPQGTNTSGVRDEEKELESGGGRTLAVTGPGL GDLLTAGPSEAVFDLERHPGPLEARLERIEAILTQLAEARPRRSQSTYYDEPNSTVIA NTVAGNGGHKQSIDESGLGRGNVNVIGNNTIPAHQNQTLATGMNQSQEYYNTNQEGFG HDQHNHHTPFVQGTAGAGARNHVDLAPRH
I206_01130	MPRLQILHHKSYHPYLEKNKQRVREDEARARAEALAKEQLQVDE EAANRLDILRRRAGSPSLGDDLPSTSASRDSGKSLLERHREKKAKEEKRERKKRDRLD FDFPSETARRNKGKEKEQVIDEEEKSMGKWETGGHLNLFADLERDPKFNKPAPSLEEI AKAKKDKESDPFTLYLGRPDKETKPWYADKDLKRVEDREIGEEAEERRERDRRKDARS KNRNDPLTHISTLLSTSQPKSRSSNNNSHYHSSISHKAPNAIEARQARETSERERALA LIAKSKAPPRGPRTWDDTPSSAGGGRSWAEEWEREQAKAGRRFFERPPNGARSWEV
I206_01131	MVQRQPFGDFLNSGSPSTPRGRGRGGFRGGGGRGGTPQSGKKSF NADYSNMGFDYDKINSQKYTKMEGFNVQPFGPTTSTSVPSTPHARGNQTPRGRGRGLY QSNRGSNRNPDTPSGAATPVHGLGFHDEKPDPRGKGNHRGLGSGKSTVGQGLGTDTVT WGGRGKAPLFVKAGELFKEGEADVITMDEDNKLHVEAYPMSNPSAPQMTNLQDETEID IVDQPSPLTVSSASPSASEQELIITAAGGDVLLDEETYLDDTLEELLGTGRSIAGVDE LPDQGSMFQADSIAIVETAEAAEIFEESTHGDVNIQEQVFQTAETAAPEAQDVTEMIQ LDEIGHTSDGCEVPLFFVDTDPDTNGFSSAPTYQTVGSLPLGQQTPIVSDSNEEQILF KPKTYKKPEPIFVNIGSSSPPKKKTSAPDPPPTRGFVNPRALSRSEKKAAKREKRRGR GKKSHMKKQAKAPREDSDIEWGSDGPPVNILDVEGGESVLELDEDEDIKLLRDYMQGT MLNAKTEQAEREAELGQATMVEDRSDDEDEDAEEVDIEAMKRFGQGIKGLTEGGQEIV EDDEDEWASSDELPDEMNGQTEDDEETSDGSSVLGEIDIEGMMVDSDDEDDEDIDALF AGKANQWHNDQDWFINAMEDALDGSNVNMRDRKSRNALFKSIENGDFGDSWGLTPAPS KKAQKKNKNKFVPEELHAQWEKDRLVKAEKKQQRELERLIAEIEPTLAGYSRKGNAKA KGKGKAHQAAVAHLIPASASQVADLFDVSSDEDELPLPLFRKGGRVPSSMTLEMIDER IQIFLEERSKTTLSLPPMGKDDRKKVHMLADCYSLGSKSRGSGKTRFTILTKNKRSGT LIDEIKIERLLSASQKVGGSFYKALHTRGSSGRTAKPKGGGDRGTSVRHKEGDMVGHG ADKIGQENVGHRLLSMMGWSEGDRIGRGAGLEAPIVAIVKNTKTGLGA
I206_01132	MSYSQPQPPLSQQGSSYIPSHPPTPNRLQINIPPVPIPSGPPSS SRLPDGDNEQEGYPSDVDVDGELDVEQDQNRSSEGFAYPPMPTRRETFGSLSGSTDSM VDGSGDAGEPKEQSNKRKPRITLPRGRACVACRKCTGDVPCRTCQKAGLECRYEELPR KKPRAVILEERVAELEACLSLRPNDPVPPRPQSSNLSSGTFDSMPQSSTSSSSGPPNL SLISHIDVSGPMASLNPPRADIMPNSELELVLIQFILPLTPFIGIPLHPARFLALLSL PPNDIRRPHPALLYILFSQAVRTLELDRPPPQMPPRPQGVFPQTSTQTIPKPSMDRTT ILSQVGGTSLMLCERARAELDRGIRTVDRPFDLTRAAIGIAWMLYSMGHFIEGWNIPV SRLLISCGLHRITGTYIPPDGGSGINPDLIPKPYAASHQYAHSHSQNRPSNPFPNNGQ SQVEFPIVRMRPIIIPPARDEIELAERVATFWAAKMQDWEASIGWGWSVAMSDELCTT EWGWGWGTVQPKHSANLHDRHGIRDLYDPASTMHLATAPETTYVLAIKSVALLHRASA LYDLPESSYPITLPDGQVGTSYSPPFSVIQSVQMAIQRFRQRIPRIFLDFTQNVTPPS GVLYDGLSDPWWIMVHSNLYTAEMMCWRELANYQANVYENAVRSARAHVSLVRRIPDH LWANMDLVVALNLSLTSRFLFKESTRLLGISQPNAETAQMAAVASNEAEILRQALSGP FNRYHPIAGMHSLIVQRVREGWPEKEGEYERI
I206_01133	MLRIPNSSYIMYHPFLSQPFLSLGLVTLSLLLLILILLSVPGPI KGLYWFSIKTEDGQNLNAGVMGWCMSDTSNCTYAPLSDNTYLSTLIDTGEALLVKTIL PLTCYWMILTFFLWITLTALLFSFGYKIKNLDSIKQHLRFAIIESFIICFSIFGNVLS WLSLGFMQSAFKSIKNSGGKPKSGNVMTTTAITSFISLLSLIFAIWGLHLRLRSAQLQ WKEQAIMVRRRSMALTAAGLVNPDQADLMGVKEESKLEKRLSVTSDSSSDYPKRDSTF KLVQAGINPGFNGQGDNRRSSSVNSMYKASYQPHARSNSGHSEEMVEEEHEELDNIDR DNQEMMRRVVSQDSPYTAARGFAN
I206_01134	MNEGHRHLSEGSNVMGGDPSLGSSSNDNSRFDSTKYDDASGVPK PSPTHQPGYPSCRNDTLSNPPTSRLAEVTAINENAIRNASSSQGATELTDMSNQSDNR TEQINSTGMSHYKMGEPSRIDNDLERSRGIIKHSTDNPGVQRSESNVDDQTRQNDSRG GHDCECHEWVST
I206_01135	MYYATAGQQATQPPPSSRGAMSGYPRAGPPGFAARSADPNDFPA LGSHAQLNSTYASQAQPSAASSNQIQQQQQQLYLQQQQQMGGPPPPPPGISGPNPSTT NGPSDEFPALSTGGEGKDARLANYIRSQNPQSQGQGPSSPVSTTQQAPLPNGLNPLTH QIPQGSSTASASASQSTNATPSTNPPQILGQNNGNATVIGGENNSTWQHNEPLTRPIQ QILSSPVDKWGLKALLYEIQVHMNKTDRGVLVFGEELEDLGMDISGEEALYPTFVTPW ADSNSLTQPPRIEESYHIPQCYNVHAPPVSTKLPNFTEDTLFLAFYMSPGDVLQLEVA EELYTRGWRYHTDLQTWLTSPTLPNIDLVSLTANPSLHEGGQWIRGPFMYLDTRTWTK QRTNEDFTIDANLLEVTRPASIIINDESKSSSISGTSPSSISGNLNSGQSQPTSQNQG QAQR
I206_01136	MTDLGLIRVLMYDLSVSELRYTANTQLEQLHSRYTGTGHADTTK YEWLTHQHRDTLASIIGHPPLLGYVSIADGECQARERFELIEKLLEREQNCVL
I206_01137	MSTPEIDIITKEVLPESELKDGQMKTVEFGEGKVLLSKIKGKIY ATSAFCTHYGAPLEKGVISHDGRVVCPWHGACFNVCTGDIEDAPGLDSLWKFSAAVNN GQIVVSANQQEVKSKVGRIVPKARTKGTGKKENETVVIVGGGSGGIHTVESLRMNDYQ GDIVMISEENYAPIDRTKLSKGLLDNAEKAQWRKPEELKSDFGVDFYPGTSVNKVDLE ASSVTTSSGKTHKYDHLVLAPGAKPKKIPIDGVDLEGVVTLRFIEDTKKITSSITKDT EVVLIGTSFISMEAASAILKKEPKSVTLVGMDETPFEAILGKEIGNAIMENMKKQGIK FHMKAKIEKLSPSESNPSHVGAIHVEGKDPIPANLVVMGTGVAPATSFLEGAVQLEKD GGVKVDEYLRIQGIKQKNVYAIGDIAHYIQYPDKFPRRVEHWNVAGNHGREVAHNITH PDDQVAYTKVPIFWSSIGKGLRYLGTGAGFDDSWTDGSIDELKFATYQAKNGKVTAVA TMQRDPIVAKASELMRLDIMPSLDELRNGKNLLEIELLDKGENKI
I206_01138	MPIAVANNQDSRGRPFHLAKSTKERLENAGLDLSNGYPYFPDKP KDLDAALRKQEWTFKEPGLRADKEKKALLSAAKEVIHLSPHLGTEIVGLQLSELTDQQ KDELGLLIAERTVVFFRDQTLTPQDQLELGKWYGVPEVHPTAARVHKDLPGVTIITDE IAKTNGLDPDYKSPYGTQKWHTDLTHEPQPPGVTHLHLDHIPGVGGDTLWASGYAAYD KLSPAFQKLIEPLEGLYRSAHSYTDPVTGKQAPIINAHPLVRVNPATGWKALFVNSRF TVGIKGFEYSEAQAILNKLFQVYEQNTDIQIRFKWTSRTSALWDNRISIHSAVYDYLD EDTNEPRHGTRVSSLAEKPISVASAPNATSRREALGLNTGKVYELQRDEHY
I206_01139	MAGPPVRERYNAKARGSVAGGSSHKKRKRIKRDENGDPIFDEVD QSDERVADDPTAGMSSKKKKRFESFLAKKLKTEQRLETLKLLQSLQPTTSTSASLLSS STLGQNPLNPTSAQERFDKKEDKLVRQGISKLARKYGDQNSGESSASESESDQRSRSK GKGKEKERLVEVVKHGVEEDDDQVKSTIIPSKSDIKATSKAQAKGQGKGQMPKKANWN PNLLPTQPASSSSSDFDSSDSANDTSEDDADQPEAGPSTPRPRQPSEQPNSKQAPNQI PLPPAPKTFGGALKKATDGVTVQPRLEIRKKKPIIDYRFSRGLRDGEKLESEEEEDSE DGEDDVEEEEDEHGEEGQSESQEDETEAEESDQDGTESETNGEEEKLEEDIKPPPKKR ALGFKDWALKQMGQSTPTTNPNLLETENKASSTSTGTSKAQHNPRAAELVGPLGEKLV IPTTSLLDQSKDSETSAARPFIKRRKSVSEARMNLPILAEEQSIIESILMNPVVIICG ETGSGKTTQVPQMLYEAGFGFKGSDNPGMIAVTQPRRVAAVSLAERVRSELNLPVKSS VVAHQIRYSSTTSSSTSIKFMTDGVLLRELSTDFLLSRYSVVVVDEAHERGVNTDVLV GVLSRVAKLREKLWREGKQDIKPLRIVIMSATLRVSDFAENTNLFNNPPPILHITARQ HPVTLHFSRRTVSDYVMEAYKKVCKIHNRLPPGGILVFMTGQGEIQGLCRKLTKKYGN LKVQQASTKDYKPEVSLPIQEQEPEDVELGGDNDLAADVDDGHAESDPEGLDTEDEDI EGIEGLDIDEATDTSMHVLPLYSLLSNEQQMQVFKPPPEGHRLVIISTNVAETSLTIP GIRYVVDSGRAKERQYDSSTGVQSFQVSWISKASSQQRAGRAGRTGPGHCYRLYSSAL YEDHFEQFSQPEILRMPIESIVLQMKSMNIDQIINFPFPTPPSQIALRKAEVLLTNLG ALESGTKSQMSNGILKYGVDGGKITDLGIKMSTYPVTPRFSKMLILSQDQQNCLPYII AIVAGLSVGDPFIHENTLDLNDDDENDEEDGQRKLELNHIKKQEIRVKEEKKEIRKTY FKSQAQFNALGGGTSDLFKLLSAIGAYEYDSSPQFCSKNFLRLKAMKEIQQLRTQISK ISKISLDRLKPPNEIQLKIIKQIITSGFIDQIAIREDLITKKGGNGFTYESSRGVKYR INNLSSFSNKGIGAEGIFIHPSSILFHKSPPEFLVYSEIIKSQQTGKIWLKNLTKINS NWLSILGKNQCTFSKPIESSSSFSKSGRILKNKDGIEERDVFVTPHFGELSVELPVIK MKQRKEGTRWILVE
I206_01140	MPIDLTDFSHVLTASPSLDPDSYRYQLGTREEDPSDFSSPLHDY SPTITTHTSSSPVIPSQFLQPIPQVSGHKRPYDEMSRANTHSPILATEGSTAFQAHTL ALLSLISQHPSPAFPFPTNGQDPAGHLTLSTFPGKKTPAEEAIERAIIALGERVWATE RSQGVVHQPTKLPPGIHQASELLTPDWTPPITNPLHVTAGPTPVCPTCSRPVTDTLPS AFYTQNASSSIYASHPLSVSLSTPDAQMRQLPSGNSHGYNPHSILTTPSGQSVLTGGP GSASWSVGDSGMSAEKELELLKAQVQDIARVCKAVATGDLTQKIIVPVEGQAMTELKN IINAMVDRLQTFAVEVERVSLEVGTQGKLGGQAVVEGVEGTWRELTAVVNKLAANLTN QVRSIAKVTKAVAKGDLSETIDVEASGEIAELKTTVNGMVMSLRTLADEVSRVSLEVG SQGKLGGQANVPDVEGVWKDLTVNVNRMCESLTTQVRSIGSVTTAVARGDLSKMIEIE AEGEMAVLKNTVNSMVMQLTIFANEVTRVALEVGTHGTLGGQAVVPGVEGVWDDLTTN VNKMARNLTDQVREIAEVTKSVARGDLTKTVNADVQGEILELKITVNDMVAQLTVFAA EVTRVSLEVGTEGKLGGQADVPNVEGTWKVLTDNVNLMALNLTTQVRSVAEVTTAVAA GDLSKLIAVEAFGEIASLKNTVNNMVESLRSFSSEVTRVAREVGTDGRLGGQARVPQV AGTWKDLTDCVNIMAANLTEQVRTIAHATTAVARGDLTQKVVGVKVSGEILDLVNTIN NMIDQLAIFAAEVTRVAREVGTEGKLGVQAEVENIEGTWQEITSNVNTMASNLTSQVR AFAQISAAATDGDFTRFITVEASGEMDSLKTKINQMVYNLRESIEKNTKARQEAEMAN RSKSEFLANMSHEIRTPMNGIIGMTVLTLESELTRQQRENLMIVSSLAGSLLTIIDDI LDISKIEAGRMTMEEIPFSLRLAVFSVLKTLCVKASQNKLDLIFDIDPTIPDQLIGDP LRLRQVITNLIGNAVKFTTKGQVALSCRVKGYVNNAVGLEFCVADTGIGIKQDKLDVI FDTFAQADGSTTRKYGGTGLGLTISKRLVNLMNGDLWVESEYGAGSRFYFTTVAEMTS TPREEIMTRVAPWQGRNILFIDTLGDETGITALLAELGLKPIVIHAVSEVYNLPQQGL TMFDTMIVDSLKAAGELRGIEYLRYIPIVLLAPVSEQLLSPIPVKDCLEMGINTYYTT PMQLAELSNAIVPALESHQMQPGDSVKDTVLSILLAEDNLVNQKLAVKLLEVAGHKIE VADNGEIAIEKYKRRQLARTPFDVILMDVSMPVMGGMEATGLIREFEANEGVPRTPII ALTAHAMIGDKERCLEAGMDHYVPKPLRRGDLIAAIARVLTTNPAPMSTQGGVPLQES NQTFDVGLSTGPSGIYK
I206_01141	MSSEIQSNITVVKGSSLVTSGGQTEGMERRNALIDLSKQLNGSL MIAKPHTSSGIHHHSNQDTIIYSVKGKGAIISNGGKLKRELNPGDWCLVPAGEEHQEA NIGEEEVIWVIVRGNGGEPQVVNVDDWSENRS
I206_01142	MASFHPTRREIILLLFLTTLMCFIIQFDLSLKFTESKGSDSLLG FKVGFGNRYESEYDNRRIPSSVGNIDDPDLGAQTAKIAGMSEAKVKWDDEGGSTMTSV LGHAPGWTIFDQIYLFNGTWYIVTDSPSSVPLLRLMVSTGNEIWNDEESIKGREPTEK DMRIIFPSEAKRLWGNSASLVSGTTFLVNDPPQFLDHYYHFAAELLVGLWRTYSSLDP TINAQGVTHLPSPSRMMMPHTPAGKWNDYAKMNSFLSRAIFPSMSYEYQNDFLDRADT ARAFMLERVVFADRAAAFRGPEFGKTWRTASEAVTLAASKYWWSPIRKNLIEFVGGQG NGELDLAGDVGLGIGSDSELDVDLIALEEEEGALEEEKEEMLEKMREEKQAKRGKPVI TYVSRQEWGRRMLLKEGHDSLVKELKELEKKYNWEINIVSMDKLSRDEQIRLSARTTV MMGVHGNGLTHLLWMNNQNPRSTVIEFFYPGGFAEDYEFTSRALGIRHYGIWDDQAFT APDTPQVAYPEGFQGNEIPLNGKVVADLIVQRLLVEKPEKNQRETTTELEYAE
I206_01143	MQALKNVAIRLLNIYVGPYVENLDTNALNGSLWSGQVNLKNLHL KKSLLERFGLPVEIVAGDIGSLSLSIPWNALKSQPVKVVIDDVYILARARPQGKVDPE EDERVEQATKQDKLKSAEAVDNAASQVGHQEGNDEEKQTYVGAIVSKIVDNVQIQIKG IHIRYEDGSSTPEHPFAAGITLNEFKAISTDENWVESFIQNSLNGVHKLVKLGALAVY FDTDTGSLDKGADDRQGTIDALKAMLDGSPNHQYILRPVTGEARAIINKTMSSDMPKV DAQVMFDEIGVVVDRDQYRDALSVVDVFHFYRRTHQYHKFRPPEDEFKENPAKARLKF ALDSIRSEVHEKNKRWSWDYLRKRRDTRKKYVDLYVQKLALTEGKQLPVEDGAALAEI ETQSSYEDIRFFRSVARAQAKKDAATRRKLEAERIKNQPHRATWSEWVWGSSAKTDQG DSGMSEEEKKEIDDIIDYDANAASENVGTTPRDFMRARLSAKLNKGSFSLRTDPHGKN SDIIALVFDSFSADAVQLTDSMKGKMALGGFRVYDGTTPDSLYPQIVRVKDIEESSKG KTRQESLDVEGGVEGAVANIDAGLPDDADPFFVMEVEQNPLDGRADNAVTIKMRHLEI IYHKGYVEAVVQFFKPPESQLESIGALLDAAGQTLDGIRKETRAGLEYALEQHKTVDI QLDMNAPIIIIPMDVKVKQCQTLVLDAGHISIGSKLADKEKLADVQSKRGRQYSDDDY KKLEDLMYDRFDLNLQSAQLLMGGNVDACMSALDDQSSGSDELHVLERINMSFSVQNA IANAPNLTKFKVAGHLPELHVNFSDRKYKTLMKFIDVAIPKFGEDAAPQEPAPALTHN QSRAVFRSAQIEEYNFDDTRSIVSHHTATDKDDSSSLGKGEQFYEARDDQTEKSAMRQ ISFEFSFSVGRLQASLYKSTSPTSEKAFADAVLEDFGLTFALRKYDMSVDLYLKSVAL AMIEGGSARKPILSSANNGETSPTDLKLLKVRYLKVQKESPEFMTRHEGVDTSIDVEL STFDITLAPEPILSLYDFIMTTFVPNDEQPQVVTTGEDSGQLVETPEQPESTDKLRIR VKLTSAQVSLENNGNKFALLGLPSADVALLLRAGTLRIGARLGDITLEDLSDSSVAEP SFKKLLSIEGEELADFSYETFDPNDKETFPGYNSSVHLRTGSLKFTFMEGPVRDLYSW ALKFARMKAIYDAAQQAAVQRASEVTRMHFDVVIKTPIIVLPRDGLTSTDILILRLGE VVAKNEYLNDPDDTSTIDATLRGINVASEITVDGKKGQVQMVNDVAITAAIKQAGGSS HRSDPHHADMEITTEMSDVKLALTQRQYVLLLSVLEALPRALNDLGDADVSPESIPAT PASISRVDTPNNESPSEEEESRVNLEPELAVAKLKDRDSVQLWTALDFVFSVGTIALE VYTNDAIAPEDLKNTSIARFALVKTHLGFKKLSDGAMEAEFSLKTLSFSSTRSGNSVF RDIIPPAPHDGNQIMFQYTMAGGSDQSSLAIVTIDSPRFILAVDPLAALLEFAVAPFK KSADAEAQHVEQEQLQDIEEAPKQNGGLAFRVEVIDATVIVIADDTNPKTQAIQLSIK EVLLSQQSILALKVERIGMSFGRMDRPNDRVKFLDDLNVALSLDTRRKGAQQMTSFEV EIPDPIIFRASYTDMMLITDIVNKATAVATKALAPESDQTQDSPQIRRDSLTNDGVTE STSMAVVSNKHSRRTSVGRKSSISKRRSSVDKAHVLISKEQLKARINGFQLVLVGDLQ EMPMVHLSTSEFQVLVNDWSGDMKMATSITTSIRYFNLTNSYFEPLMDPWKFDLRVNR LAAGPGHNPLNVRLTASERLELNLSSAFIELAITSMTVWNREKDRAKESRGTDAPFRI RNRTGLTILLWPEQADLSKQVTGVKRLDEGADVPWRFEDRKHTRDNVSAVRHNALGIQ LQDTPWEALRGISVDREGDHILTLRPRLDKVSHQITCEIKLENNIKVITFRSTLNVEN QTSLPIEMIVVDSHGKASSGAMKIGPGESCPLPLEAAFEKRFRLRPLRGFGFDYSWSM PLHWRQLVSKPIRPISCKHQTPKEPAFYFQAQANFDAKDPAAKIYPRMSLTLRAPVEL ENLLPYDLKFRIHDKNTGLSSSNFLVKGGSSPIHTVELGHLLLLSVAPEDTNLKQSDY AIINTDDVELPIEDHFQLADEQGLKLMLKLHYYTYPNSGGAFKVQVYSPFIFMNKTGL PFDLAAKTWTGGQRPIAGKDLFANDYHRETPTPFMFGFPNEDRRNRLFLRVDDSKWSQ PISFEPVSADMQIIMTSASGESDNYVGLSYTEGIGKYKLTKVITIAPRFLLKNTFAYP LKIRQHSTQNVVDVAPGQRVALHELQHQAPSQLSMAFDEPNLKWSAPFNMSDIGRTHI TLQRQTARGQKTYLLRVDTHLEGSSVFIYVSRDTDQWPLRLRNDTGISLKFQQVDEDG TRDKRPLRFLPAKSEQDYAWDWPTATNKRIRLLVENGPDSDPIPLANTIDMMAIGIQP PMKVPRTANSQRSAALSIDVQADGGSQLLTLSLYNEETSVYKPTKRGAGGIRRTDSSD TLSSAAGAYETVSVNDKPSLNISVEFEGIGISVITKRPDELLYVSVRGLKLGYSDYPQ YYDAFVDCKWIQIDNQLFGGLFPIILYPTVVPKDGKELESHPTLQASVAVLKDQSHGV VFVKYATILLQSMTVELDEDFMFALLDFVKFKDAAWKEETHDILIEHPKDIPEPDALS TQADVFFEALQLQPVSLELSFMRTDRVNVDEKVSTRNPFYYAINALTMALGNVNAAPL TFRALFLENVRLSVPSLQERVQLHYQEQFMSQIYRVLGSADFLGNPVGLFNNISSGFS DIFYEPYQGIVMHGNKDIGLGIARGATSFAKKTVFGISDSMTKFTGSIGKGLSAATLD TEYQTKRRMTRRRNKPKHALYGVAAGASAFADSVTSAFEGVASKPIEGAEKEGAIGFT KGIGKGFVGLFTKPVVGVMDFVSSSTEGIRNTTTVFDQTDLDRVRLPRFISADGVLRP FSDREALGQSWLKDLDAGAFFHDSYVAHIDLPGDDAVSILSNNRIVQVQLRRLKVIWQ VPFEELASLSLEANGINLLNRDGRGGPFLPISEQTAREWYFKQIGRVVVAYNKAHSQR DD
I206_01144	MYDDEGLGMTETLGIDFMTIVFTAIALGLQLVGSPGFSGEWHYW ELRCVRLAGVLTVALYLFELIFRFNMRLPLVAHHILTIFAISLGVTTMEYTQNPGFML SGIIWLFQATTEQPTFVGLMGYRLKWKKENVALILKISAIQTFIFKAASAVALLVYWA LHQRFHYNSIDYVWSVFVWVIAIGLFLTQIWGSYVTYIIGVAVLKKKRPGPPIRLESD SSNTSSTDIEKGRDSDLDLRSGTITPAETDVLGYDGKRVKAESYSMSQYNSSSGLIAT GP
I206_01145	MVQTSTANLACLIASMFLGSVSALSSFEYMQVTKNFADAFMPST SSDLVANLNTKKALFAEDVQGTVDIAGNIDGRDYSTDFLFGLFLGAAQNSNVPSPIGI PQSYNVTSAVVEHNTVSAGIKFELEYAILNTTYPMELEAFLTINDKKQITQYDLIFRR WAWATDTIIPQLTPYMAQLLGMSNDNSTLTLHQFLAYSSCTQTMGYCIGTNSQYDSLM ECVANTTSLPTGQFYRVGENNLACRAVHASMLQLNPKTYCPAMSVSGGDICVDRNYAE TVRGDHFSQGFLAPKYITQENKKIVQNVWASSDDKELSPLLEISMSTQDMHSWDATFY ATMTFG
I206_01146	MSDVHLDSALFFKRAERIYQAWESPSGDTAELEGVTALQVVLGE PNDDTPAYNKTMSIQLYLLGFEFPSTLMLFTKSPRKITFVCSSSKAKLIRQLQSDKGI EIDTQVRPKDEAAAKQVVKDLVLSLGDGKIGSLPKDKPVGKLVDDWNSAVSSSKAGLE IIDISATISAILNEKDGEELKNLITGSKMTATAMQHYFKSKMEAIIDRGTVVPHEVFA GLVEEKIGNDEKAVDMKLWNKNPSLGDVDFASTEWVYTPIIQSGGKYDLKVTAQSDSS PLKPGVILASLGIRYKSYCTSMSRTFFISPNKKQESYYSALLEARQEALKKLKAGAVV SDVYNEVHQYVESKSGTLGQNFLRSIGFATGIEYRDSAFVLGPKNNRTLKENMVLVVT LGVQELPDPKKQGKTYALLLSDTVKVGQSGAVVLTEGVTKLGDVVMELEDDEPEPEPE VRAKPKKANGDAKAKSPVKARTGAGTNGRVLATKTRGGKRENVEQTTSEKIKANQARL HAQRNADGVKKWEKGGKGKDGEGDKVVKRYESYRREEQLPRAVEDRRVYVDEQRQSVV LPINGFAVPFHISTIKNVTKTEESDHIVLRINFQSPGQIAGKKEDMPFEDPDANFIRS ASFRSQDQRHMLKVFDSITALKKAATKREAEKKELADVIEQEKLVEVKGRHPYVLKNV FPRPAPEGKKTDGNVEIHQNGIRFRPDGPASKIDLLFSNIKHLFFQPSEKELIVIIHV HLKAPIMLGKKKTYDVQFYREVTDMSFDETGGKKRRARYGDEDEIEQEQEDRKRRAEL DKQFHDFARRIESAAQAQQYELEVDVPFRELGFSGVPYRSNVLLLPTTNCLIHISEFP FTVITLSDVEIVHLERVQFGLKNFDMVFVLNDFKKTPIHINSIPVVHLDNVKEWLDSC DVPISEGPVNLSWPAIMKTLNDDPLTFYADGGWEFLTGGGSDAESSESEEGSVFEEDS DVFDDESSSDDDESGSAFGDDSDDSGSDDFDDEDEGEDWDELERKAERADNKHRQTGG DESDDDRGKKKKGGRR
I206_01147	MKVSPGVARRKLINALPNVLPFIVTLSHVLLSPYTKVEESFTLH AVHDVLTYGLDISKLPHWDHITFPGAVPRSFLPPIILGLLSYPASTLGIITGLIRTKV DVQIAIRLVLASIFSHTFNHLAKTLRGRYGPSIRIWFTILSLTSFHIPYYAGRTLPNF MALPGVLLSISLILRSGSKSAPSNVTTKRQRTAIILLTALSTVVRLELALLLIPTALS LVILRRASLAQVVGWGMLGGFGSLAISSPMDYILWRPTIPHPSLPTFTSTWQILWPEV SAFHYNLVQGQSSNWGIMSWHYYFSNSLPKILLASFPLAALAGIVWFIRLLGIKVGGK EGGKLNEGVGEIVKVFGAGIVALAGSMSFVGHKEWRFIIYAVPIIQIIASFAAAALWN FPYTRPKFLVRLGLVGLISINIGATVILTFVSMNNYPGGEVWRNLEKLPKGQNETITI HFPSYPLQTGSTLFTFIHENIPFASYQGPYGLFPKQKRPIWIYSKSEDEEFSTSDGLW NNDIDYVVTGDWNNFLDSEGEAKKWEIVSEINGLEGVSRGGKYGVNVRWGRKLVILGR KEL
I206_01148	MSNIAGYMSIATWIVVYSPQIWENYQLQSGEGLSVPFIVLWLLG DITNLFGGVLANVLPTMIILAVYYTICDLILLFQVYYYRRRPSTAARTHINPEDESTP LLPEPKQPKPLLPPALEYPVMLGFVLLAGVGAWYITDTDEVSIPEKPIVELEWKSQVL GWMSAVLYLGSRVPQIVHNYKTRCAGLSLAMFFFAISGNITYVLSITLTSMNPRYILA NLPWLAGSGLTVFLDLFVLAQFAVFNWQDKQKGKILASNENEEEEP
I206_01149	MSAFSRFLYLISSIYFLPLILGSAASQYHLDVNKASSSCFVECH SRLEYTIEIPGTGSNGFTWITKNCQQEQWINLMSTCLPTVCSSAPDVAYAIEYGENFC RRAGVEVKIPLPENYANSANGSYFNSAEYLASSSSPTLAVQRGLLYCGLISLMGSISL LL
I206_01150	MSIKSIVIRIIITVLIILPIEIKAITEYQVLETVKQFADGFISP KNIEIAKSINSKLFSENVIGTADLSTNFDGRELSTEYLFGLFVNTAEDLTDPSPFGSP ISYNVTSLLIQNSYISTSIKFLFSYPSLNQNFPIQIDAFLKINENKQIEQYDISFRRW AWATDVIIPKLIPLMAIRPNITASSNDTNGVLRQYMSNKICKTALDHCSGPNEQYKDW NECMDFLNGREIGQWYRMGEDNLVCRHLHVPMLPLRPEIHCPHIGPTGGDMCISRDYD QVVLDSHFPQGWLAPAYVTPENQDEIAGIQATSTEDLNPLIEIALSSSDSHSWDPTLY ATALLGKVRSNPPL
I206_01151	MNIIFTTISLALELVASPAFAGRFHLWEVQCLRTAGVLTSALYI FELIYRLKMRMPMIAHHFLTIIAISFTVTVFEYTQSMSYLCSAIIWLFQATTEQPTFI GLLGYRLDWEPRTVSRILKIASLQTFIFKSASAIALIAYWGVHQNYSYNSVDRAWTAM VFIIAIGLLLTQIWGSWVTFQIARRIERQPPTLSKANPPLNNSDLAIYQTIRSNLKEK DPHHPHNEVHAHIRTDSMISSSSTIDQSDEQRYRDEQLAPSQRQEGNRSKKRLTILPS FSFLHDDNGQRQISLLTESDYNKVDERIKQGSSISSLSPLLNGHNGKTGNNQIQNSSS MHPSTNESTHSGPDEGGLRLDPTLIPLPKSPSISPISTTMISRNNNDRETGSSPSPNI PLNFNFVCASQERSPREDGNEI
I206_01152	MSRMTPGWDDQIVPTLKKRLESESQYLTKRLSAASFDENTLEPT TFSSKLNAFPISPTFGVFQTNPTAGPSSIPTTTRNRIRSELYSNETRKTYNVDRGRQV EKEDSKPRPRINTSVINSTNSSTLVYTTSIPSRIPTRPRSKSQLSSIAPSLIHTHSNP PLPSSGTGIPVPKIRSRSPTKKTYSANKMSVAVIEPRRDSLDLAGSRIQEGFIKNELP PFKMNPNEALRIAEKGHEINGSWDSSPTKISSDASNGLDARKRSISMKPNNPNLHAKR NNAIEMDRSGSSGSDGSSRRNNRPSTSSSAILRSNSNSRGYGISTSHTGLGLGMGQPS TSRLSSSRNGQRSGSSRSPVNGSPASFNGPRSASLNLLSGSPSLSANGGTPNSRLGVA AHFIPPESTYTPPKGTDWDDVVLPTVAKKLGINENDKKESDLSAGEEDLAVEWDRDGT PIRWVKRKNIGKPGIGLGDSTSTQNFPHSSDITPTRTHAFSPTFDPSPDNPLQPRNRP SSSSLRNKSSSDGVEMSSIRTTNGQPASLNHHDSIVNNAYYPGGDPLPRSSSNNCVQQ KTSSQNIVSPSSSNGGVLSRKPSTLRKQGPTPSVSRQTSQMSIRNRNISNGGNRNNFG PPAGGSGHMSDTPGTTHRITDAIYENQVARREGRAKGEGHKDEEGSHGKGCGCLIM
I206_01153	MSSNSTFVEEGDQNLPNSFVSIQVIRNNERKPYLTCDSWYSKES TRFTPTTQNESNEVFIKTFQSNMLRASIAQQIFNTTQAVFDLDEHKGWLKTTIKEVAE QVVENSQFDRPHIRILTSDQYDRLRSGNSGYTLWYETKSETDCHEPEVLKAASALMSM RGTLQDV
I206_01154	MINTLIPCSFLLLLSPYWITSIQGQYDASSSSYQVQTPPLKTNW TDKVGTNPWVEYPRPQQIRNDWQSLNGIWRYQKANNASDISNLPTNVDEKWGKAVMIP SCIESGLSGLKVDIKDNEFSWFQTNFNVPSNWSDKSLLINFGAVDYEATVFVNGKNAT THKGGYARFDAEISSLVKYGQDNELVVFVHDPSDDKDYIIPVGKQTKDPSHIFYTPCS GIWQSVFIEPVPKTYIRRIDLSGDMHGVGTINVHTSDSSSQDVKIAISDSQGTAYEAN GQTESSFNFTLPNVKLWSPDSPTLYNVSVTMNDDVVTTYTGFRSLGKGDVDGVIRPLL NGDFIFTFGTLDQGYWPDGIYTPPSYEAMIFDLKFMKDMGFNMVRKHVKVETDLFYRA CDEMGLLVIQDMPSTTARKEYIPNAAQQAQWAEELKELVNLHKSFPSIYTWVIFNEGW GQPDDGPETEHAPMVRNLDPTRLIDATSGWHDHGAGDYSDNHHYPDPQCGMPNAENPS GPYDPARIGFQGEFGGLGYNVSIDHLWNVPKAIKAINETYEIDETLEKWNSRSRDLLV MLQSQISNHACSGAVWTQTVDVEGEINGLMTYDRNLERVDREIWKEAITNLFKAAKEK GAGSNLNTNSNSINSDTSKDQSAAVATAGISINDTRSSGAVTSLNKLSTSFTHIISLI GIMSWILVNI
I206_01155	MSFSSPSLPPISTLSAALENGSNSPMIIAPELMGEEGEPPTINR RSAEAGLDGTVVTNNQDHGINSNGYQSSSARDEPSGYGSGVGNYSHNGGRHHHPWEEG YRDQHEPLSSDYPQAPVDNADMADLGQGDLKVENGNDSDKPKPRKRARVSKPRQSKDG RNGGLKDDGIPEEGVLDYADPSGDFKLGPVFVHPPKGAAQACVRCHKIKRKCDNARPR CAGCSKADVACVFELSPATASYVTSLKSDNIALTSQIATAAERIQHLETAISNMERGL PPPPERDLYEDHNDQFLPSSLSTQADFAAMSKTILSVRSNELSSPVFGGPVSVSNPSA RSATATRAPFGNTLPTGQEQLPPYDEALQAVETFFVMNALSYPFLDRDEFLRDMDELY RQDQSGIGRRGSIFSSSAQQTNEDVETWAGKEFIFFMVIAIGTTNRERLGEVDKGSSR VYKERAFSSLQAAVGKEDLLCVQSLILLGIYAMFDPSGISLWHVVGFAARVAIALNLH RRVDDSTLPANAVEQRKRVFYSLFNLDRLVAVTLSKPLAIADDDIDVGLPSPLPNDIP FQGRPRIEFTQHIIKLRRLGGDILTTVYSVSGEQNTRPEDERAGLINRLHQRFDDWLA NCPASPPNSEEEKRGMIHTSHSWFLLNYHQGICLLYRPSPLYPNMTQSRLSSLHEASV RCVDLYIDLWQERKVSYNLINVSMQFLACISLLYCLCEFDNRDQSLVDNAEWRAEVEK RLSQCHQLLEAFSNALPETAKYREIFTKLSGLLLARYGPLQPQSTADLSFSSTTTNNP EKIVVPPIPTPATISTAIANTLNDSTTGLNTTETAMSNDNEIAWNAMTQLWHNSGDFV FDERALSTALNSYVNQNHNQQQPQNQADLLGGIDDDGKPRDLGSARGLWHQLG
I206_01156	MTNLPTGNESSSEPVDILLIGLGSIGSVYAYLLERSGKARVTAV ARSNYDLYTTTGVTLHTDRFGIIENWKPYQVFKSQAEALKNGKQYLICIVCTKCLPDV LPNSELLKDTIASGQIGSWNLIQNGLGIEEDLYQAVKHLGTPVMSSPAWIGVVTEGSL VRWRGNDTLVTGLYPPLPPKGSEESQRIFSQRDSDALNLWVDLLKAGEAFVYSTDRID SIRYLKNVWNAAWAVVTGLIRHTALQFSYLEPTEQGYIKDYFKEIVDIGFQSGLLYEG MIQYPAGDIMGDAQSVVDRAWTVLTSTARERGVGHKYSLLIDVEQNRPFEVEVIVGSV LKIAKMNSIITPRLEFAYAMLKALQLEIIRDNERKKVKKVDEIAE
I206_01157	MSDPREKTKFPLPPGVGSGKPDHPFAVTVEDPIPNAIVPDGYGI KYHAYHTITEGVFLPAGTSLPGGAVLPMSSVADFPMVLPMGTKLPGGVMVPIVMQKVE KGGTAMSDPPGPICTIQ
I206_01158	MSLKVPKAGGPDLFKAGYKHMSGLEEAVLRNIAAVGELSEIVRT SFGPNGRNKLIINHLGRLFVTSDAATIIREIEVAHPAAKLLVMASTAQEAEMGDATNL VLIFAGELLKRSEHLLTMGLHPSDVILGYEMALAKGREELETLISSTIPSSPLPTAET LSKAVATSLASKQPGCEDFLSDLVAEASLAVMPKNPKDFNVDSVRVVKVLGGGLEASR VVRGMVFGREPEGIVKNATKAKVAVYTCGLDISQTETKGTVLLKKADDLLNFSRGEEK QLEGYFKEIADSGVKLIIAGSGIGDLALHYLNRLNIAVIKVLSKFDLRRLCRVVGATP LARLGAPTPEEAGMVDVFETVEIGGDRVTVLRQEEGEKTRTATIVLRGATANYLDDLE RSLDDGINTVRILLRDGRQVPGAGSSEIEVARRVAEYGGKTSGLAQHSIKRWAESLEV VPRTLAENAGLNAEDVVSSLYKSHTEGQSDAGVDIESETDGVVESTKAKGILDPFAAK DWAIKLATDAAISVLRVDSIIVAKQAGLAPPKQQGHWDDD
I206_01159	MLFLVSILPLLVTSVTARSISSERIPRSTATSSSNSEIDSRGLL DGLVGGLGQTVGDLGGSLGGLLGGVGNTVGGSLGGTVSGLGGVVGGTVTTLGGTVVEV SKLNLEVLVNTCVRIGTSIHVNEAANIGGGLIDQNTGVDLDAGACICVDATSSVGLAG VDANVGVYASGGLIFNGTAAADIASSTQPGLLGLQAGVYNFNVVETCLAASISLTNSH HDLQPVGGSCCARACNEGYVLTGGTTCCLPNSTLDSNGQCKLIPNCSSTEILCNNQCY SKSTYTCPSGLPIQLNSKRSENCPIGLSKCSIGSSGSGLWECIDTQNDIESCGGCMYP EPTYNNPLAVSNGIDCTSLKGTNGISCNFGKCKIQNCLKGFKLNNNGTICEEQEQHFK YKSVTKQILNKRSFSSNRIRNSKIRQYNKY
I206_01160	MILNDPELFLSCLRSPYTKPSSPDILTSALHDGVSDNVGQEQLL MQIQILAFAEQGIGSELEQLYASFARCLDLRDKYLELSNQRLGDNPKDHDGNFHGFTP RSSGDVMGLKAEVRQDTCEGPSAAENETLPTWNLYPPPPPPHWHWKPSQGSVLPEPTS SDGATTSKDKASTSESGIQQFKFEDCLIHEEDKEHEFHLNDEGVFSVYPADTPQPNVP ATGAGNTEKVEFDIKGKTPLSRIPSLKEYFTDLDYLLGVCSDGPAKSFAFRRLKYLGS KWSLYCLLNEYQELADMKAVPHRDFYNVRKVDTHIHHSASMNQKHLLRFIKSKLKRNP NEIVIHRDGKDLTLKEVFESLNLTAYDLSIDTLDMHAHQEFHRFDRFNDRYNPTGSSR LREIFLKTDNLLKGKYLAELTQELIADLEQSKYQVSEWRLSIYGRNLQEWDKLAKWVI NNKLVSHNVRWLIQVPRLYEVYKGSGLVNNFEDVVKNVFQPLFEVTKDPSSHPELHIF LQRVVGFDSVDDESKPERRLYRKFPTARMWDTNQSPPYSYWIYYMYANMASLNSWRRS RGFNTFVLRPHCGEAGDPDHLSSAFLTAHSISHGILLRKVPALQYLFYLKQIGLAMSP LSNNALFLTYERNPFKDYFKIGLNVSLSTDDPLQFHFTASHLLEEYSCAAQIYKLTPA DMCELARNSVLQSGWEMQVKKHWIGQKWYMPGAAGNDIHKTNVPTIRLAYRHSTLLEE LALIRHGQHSPSATPTHLKQASSGQLPVVPQPHSPKDSKRPGLTTHPSDVAAAAMSMT NSAVYGQGETHTIAPHLVGGVGALDERSKRRSMSQIKPGVGNGSVTPLSNDQVHQARR 
I206_01161	MTDSPDQFASASTDSPSAPSPLPIPSRYPHGDIDEDAPIHASPA FDYHQERRLLQQDEIWLSHHLPRLPPSTVSEAGSSTSKTTGIPEMSTSYRQGISPNQL TSDIRSTSPLNRTGRLATTMGTSPGEVDLGNRGGNLEKGGQGMSIALSSDQQEEEEKE EFSSRFQRQAQIDPHERQSSMLSPSGPEYGDLDPAPSIIHRQQRDKQEELDLEEESRE RELDSALLGALNAGAKGDGIEARAARLAGVPPQEQPGKLTFD
I206_01162	MSSGNAAYSSDGPVGGYQQPKKKRNKWLWIGLPILLIVIILAAV LGGVLGSRASNKNNSSSSSANDASSGTNANTGVPSGVSGVNTAGATSTGADGQRYLAI ATDTYMLPVYATGTATAGYTAPTVGSSNSWPTDPSPPSNSSIRPNPRLAPAYKWEALT GGLIQNNLYFKQWNATIVQNASDTLGLDPTPYTEDGGLSGSGVLDVAREIKLRVKNWA YAYKVTNETKYADRVWLELQTAAGNNSAVSFGADDGTRWNPAHFLDVGEFCAAFAIGY DWLYDFWTDEQRDQLMWSILNLGLQLGYNALSGDSSASAYNWWAGSATNNPEVNGNWN CVINGGLTQAAIAIMDRDPSGLAAKVLELTVPDAYNNCFQGPDAAGTWAETANYWYFG TTGAAEMVNALITAYGDDRGLAESNPGFNLTSIYHIYVQGMTSLFNYGDHGPNKYSTT ANSLLLWANVFDTPTYALYQRDHYDASEPWSMFWYNPATTGTWWDGLPLDKHFDVQED QWATARSTWSDNSGTYWAMRAAKLTGHQTHGDLDVGDFVLDAMGQRWAGELGSGQYLS DGYFSSEAQDSERWLYYRKRTEGQNTLLINNLNQNVDAAPTGNWGSSGTAQGPAPSLN ISTDDTAYFWTDMTSAYNGTQGVKRGIRFLNGRKQILLQDDVTDSPSLQWRMHTNATI ETNQATATLKLGGETLVASIVQGPSGATFSTALPTRSSSDPALPTGTEDADQPNPGVT VLTVDVTDGGSFSLQVLFTPQWGNGFTAVDAPANVALDSWSLTSHN
I206_01163	MPRISYEQHLIEKAINRENERRRGSIIESETDAESLFSSTTFQS HSSSSHYINSRISSSSYYTSSSLNNNYLYPNSYKPIRSFSSTSYESNPSTFSTKQKDR SRSISPSNRIQNSIPIEYSPLVLIEAETKNDNENENENNKKSLFGEMKKEIKEKTTFL NVPSSSSSYNKKEEKKEKKKQKNLKLEKSTLFSKRFNSPLRILIRWMNHNGFYNYTII SSLIFIFIIKLNLILIFQNSFNLNLSIEWNWLLPFKLFTYGLIKRRLIEEMMWIMVIY FWTKEECKKTGRSKRSQVIAIVTILLSPISLISDRTPLPMSMIIYPLILLSARPSMDV YSAGMIAVSLTVVHFHSVWATGIAFYLLGKGIWIGGLEGARFLFLNVVISAVIMSTRP ILCESELLGNLLSKLRISKLPFSKLPIPTTVINLLQEGSRILEGSHGNYCQATKPFPA LSTIAERLLNHKIKFLITILSIIPPLTILLYSNISLRPGNVKKASSTITLLPYFLFLI SIPVYLFSNDKDGQNLQVILPLLPITLLMSLRGSAAKGSAQGGSQIEDEVWKVGVVLN VVGVINLIPIQASIAKRIIFSLVAIMWITLIGASPWLAGLIVARQISIVLIPSTIFLA YVQPVESVVMKGIFALAWFWGMKKLIQNAWAMGGLSGNGRKRVERRKGQSKEKAI
I206_01164	MDKLIDDKRDLPPHINLNQLTPNSIKKLKEKSIISKSPKKRKVQ NLENDITSTHNTELNIPTRKKRKNDQNQDQLIEKISTNQPSFMLSSPINLNTFDAEEI YTTRNGTILSFSNAGNFLTHWYQAIGQQNLNYEERNVLPNQLELNKDTSNTSKRNRTK ESESNSNNPQNTLRECIITLPNIGQVHSAKLPEGGWSNKKLAKKSASFESVKLLYQLG RLDDNLKYIPKSSATTKNPATLSGINLRETEEPDEEKIEWEKQIRLSSKSKVMLQYEE QKKAIKSKLPSSPSLSLETITGEYGKGNYSTYILPPFWSRCSPLQSNNLYAITMDLSV NSPYQEEHDIGNSCRKLCLITSQPLEIFDENGRIEMNLTIGDQQLDIGTKMKLVDCGK METWEEGNLETAMKFTERLLRTQLHTPFKGNLKEVKWLLVPLKQEFQHQTENDKGEKK GKNKRKLQRRDISWDEIEDISEGPLWKSPNMENKEALRKQCKDAMITVTSAEFSKRKY INSIRTDLTLSSPHPKISGKTIQEVLSINVRPFDFFDQPILELEDVITSDHGGIITSI IHPSKAEITYSLAGMVDIHCLPSSIFKTSSILPYFFHELDTLLVASELNTAEFQDSID LKLLGQALTPPNVDSNLSKNYERLEFMGDTILKFVTTINAYIQIQNIIAKGNDNDKVQ KEKIDNILMDRQIVLTNRSLQTYSIKSKLNLYMRTKRLKSKDWLPLDWDINWNDPNLK GNVSDTKVIADVLEAIIAASYLTSRDLDQVILVMQTLGIPLKNLTKWEDLKFFIPPCK SIIKDDNRIQVEEKYMRFFKLKQNAVLGYEFNDKEIMNTVLSLGTDQERKSAYERYKF LGNALLDFLVVEYLYDRFPDEGPAALHHLKIARCSEATRFALCAEYGLLDLITVTNDS TKLQITKIRKTLKSAKANADQLRNNISSGKVGTKNEDSGMEWWDDVTIPHSSISDPIE ALFGGILYDSSFSFKPILKILKEKFIPFWEKYCKISSFSSSNFNKINKINKNSKQELL EWLQFKGCKKCLIEIKKSTNIHLNNNNNNNDKEKKLNNKLNDEQQEEKEKEKEKEEII IYYHDKIIISKFINSNDESSKKVIKDLCKFTLNFLKDKNGFENLCDCQSRK
I206_01165	MSSLLTPLLWSFLPAQITHQILPYLSSFLPNVFPTSPRGSPTYL RNYRIAFTGVICTYLAYTLYKGENSQSSTEDYYALLGVSKQVDEDGLKRAYRNLSRLY HPDRAGSGDDSIFILIRRAYETLNDPIKRYAYDRFGPQIIDWKAASIREYIITGLNHS VGFYIISGAIMLALSLLGKAREGSYWRHTLFCLLLLSELHLILSSTSSLPLFSSIPKV IDKIFPILSAPQFIQIAFLHRIFTTISIAINQLTNVWYPSPTKTTDEDWIKVVSMLRQ LEMESITSFQSEILPLLSSGNPKNVEILIKNNMEDILVERSISSHPQIRQVYQKAILK PIPRILRTQRSTKIDLDNFEIAKNIPLPLSPPSSPTLSPIRVI
I206_01166	MSTDLKQLEQLLAGKAYVDGFQPTSADVEVFKGLGSAPEATFPH TLRWYNHISSYSSEFDSLPKGTNPLSASSSSAPAAEEEDDDEDVDLFGSDDEEDDAEA ERIKAERIAKYNEAKAAKTAEKLAAGKTLEVAKSVVTLQVKPWDDETDMAALEQEVRA IEKDGLVWGASKLVPVGYGIRMLQITLVIEDAKISLDELQEEIAEIEDHVQSSDVAAM QKL
I206_01167	MLTFQHGNSSLKRSMRPDTVIGIERNFDSTDEPTNATFSISGWT ERYCNRMSLFKPNKQIKDDLEKFSVEWKKDIATWSDSEAIKNDIASARSQNRLPEWVD SRLISVASLARCMSKFVVYDKSEMKDLVEEDWQEFSRDPSFVDLTVQFDSQP
I206_01168	MSSTQNRVYINGKLYPETFVGIERNFGSDQTTVRISGWTREHPI DTPKHSSGSTPYTQISDQRTMSGLLETQDLWDEGGPRWYESPLGRRRIYTTRSDDGPE KPLPDSIITVGDYVNSSLSQVEPPSVPLVWYKQSDIQTFVDAGFREFGRKEYVVRIPQ 
I206_01169	MAFRIPTPRRGIRSILVLLILILTPYLILKHLSIIPPYSSYSSN NLPKTNSDLSKNRLAGKKDELVIKRENEIEDNDQSFFKLGSFLNDDDNELEEKQKLIR NRKKLSKNKGKIQFHNINDEEKELNKENKINLKKSNHKFLNNGLLLINFHSKHPIFEL IKKSKENWNKKLNKQSKNLSESVDEYRRRYSRNPPKGFERWWNYAEKNNVQLKDEYDQ IYHDLEPFHALPPSKLQSLLKESSEMSGMYTIECPGVTQLKSPHNDESEEKRVAKERA IQQLGLLEDVEDLLEQVKVVMYSHDVPWQFVGHEYVSQIDLNVVHSLSIIIDLDYPYQ IDTAHLGWASACAPHKPLRHDYDPAVLPDLEGLWNGAKSFVWDHKASMDPCIHPTLTH LVGFLSGHGKGPGPSKELYPVLAMCKTTLHADVLGVSMEAWTEDVGDDPIWEEKKDDR MLWRGKTTGIYFKDEVPWNISQRINLVSKTAEPLGHIPVLDVPSQHNPNKPVGAPRNT PLSQLNAELMDVAFVDQAIQCDQDVCYQIQEGYKFAGRKTWSQGNQYKYLLDIDGNGW SARFKRLMTSNSVVLKSTIFPEWYTDRIQPWVHYIPIKADLTDLYDVLSFFRNGHDDL AKEIAVEGTKWSKSFWRKEDMIAYQFRLFLEYARLLADDREESSFTLKGEDLRKRDDQ FISDEEASVVIPKEYNHNDTG
I206_01170	MDHSTSSPPNPFSSSPSSSPIPSPKPPMTGSNEENSSPTSPPPI LSPSLINSPSPSYSSSSVNLGLNSRKTSSMISAASGSPPPTHRASFPDPSKKPKNGSG LIISSSNEPKIKTDYCCSRDKEINLGEQVHIIDAFKTTEGGKASYITYVIQLGTHTTR RRYSAFLSLHQALTGLYPVLIIPPIPSKQSLTDYAVKGQTKAKEDATIIARRKRLLED FLRRVLRHPILGGEHVVHRFLEDGVSWTEVLHSPPISLLPKNPLHAPSHNPTFQPSSP TLEHTPASASTPAPSTSYIAHHLLPTPSTSHPLKQPDQRFVDSEIFTEKFQNHFTGTM EKVNRRVTKRWTERAGDMSELGAVWNGFSLVEQGKLGEAIEKVGQAVDQEYLATAALL QAWEKSTTEPLHIYSQFAALIRQRLSFRHQKHVQYELVQEALGTQKDKLELLENAEKE ARRLEEALERGGRGLSSSTSDHSQLQAQQQEQEERERERLERSRVRSSAGAAQGFGLL SAVKHSLSGMMDVDPEATRRANIGKTRDNISQLEDSLHASAQDLKYASTTLQADLDRF QRQKVADLRNLAIQLSKVHREWCKQNLEAWQAAQAAIREVDSHPNQPPISAQPAQQDT LGGTSSTSKQPESLSSNNPMRMGNMRGEIDRIEAANKPLPLPERDEDTPFGDDNDDDE ENDTPTKGKGKQVEVNTNTNSSGSGSGPLGPL
I206_01171	MDPLPILPSSSDLERRRLESTIDNDLGSLSLSSLPTSTTSHSNS FLSSNQSTMSMEYPRAETMSISSQPYHQFNGPSETPRATRIPSTVGRMSSFGAGQGEQ SMFVGASPVSTAGHHASAVTLGAGVFGKDDYFDTGSEFDPERSLGRLVGELGRVMGSD KLPSRPSSPFSPPRSPSPLTSTNQPGNLSFTLNRNDPLLSPPSSGGEQTITQKDYQQR TNGNTNSRFSSLARELGEDLRKARQVVPPTTRRALSDSTTHNIIKVLAPTRKEKATRL QNLQDENRRSASAPIRSTKDNSMDITGYTNLMATPAKGNEYGTLSKNGEVGGDSASVN IASTLATLNARLRALETENTVSRRRVRELEDEVEKARAEVELAKQDGGKRLRDVIGEK SALEDLVKSLRSHLARLTVEVESNKALISELRSASSPPRRNTTTLGPASSSVSNELVA LRREIERLSAEVSRLGGIVEEGLETRKKARGERTMRMEREEMERLVRQVIEEENEDEH RVQQDMEKRKSRHEQNDTNPVEPSKLRQGLHAAASSSPTLAPPTVQPPTRIAESQQPQ VEDEVDSPTPISKSISQQGSLIRGDFDPKQKKSKSARRANDITNNGGPSSPFPSIVGD DLEAEFFSPSASRKSKSKPTSIPAASAWDKEFTETQAEVRNQVASGNSQRPTSARTGL DGQELPPQTVLARVLRELEDDFAHYKMIYSELADQYKLLDPASMSSKRHVLAEHLKEV IDVLEQKADQIGDLYDLLEFSDKPLSSSQGDRITANKKQSVNDVLRMVKNSLGQDVFN RLEDDLKFNKGRRRKSGGIEI
I206_01172	MASKVVEAPNVGFDFSNYARNQFFGERLQGIPKATSTGTTIVGV IFGGGDSGEEPGVCLGADTRATGGPIVADKNCEKIHYLTPQIRCCGAGTAADTEFVTN LISSNLELHALSQGRPARVVTAMTMLKQYLFRYQGHVGAHLVLGGVDVTGPQLFTVHA HGSTDKLPYVTMGSGSLAAMAVFESSFKENMKREEAIALVARAIRSGIFNDLGSGSNV DVSVITKNGTDTLRNYETPNERGTKSRNYKFRRGTTAWTKESIRSLIVSEEIKSTSGA GKDQGGVEVVAVPTGAGAGGEEGMEIDS
I206_01173	MQAFKNFQASMPALPAVDVSGFNKSLRNTVQATRERIGQVGPDG ITELPAEYKALESRVDALKDVHQKLLRITKVHERESYDYPSDIAESLNEVGHQAASAW SVFANKNLKNTNLPIPIPSPTAQTPHQPKTLPHAISRAAKAGATELGSEDRLSIALGV YGAAMEKVGDARLSQDNLIADRFVTPWQATLSTSIGLAVKARNNVKTARLELDSARAA LKSAAPAKQEQARLHVEEAEDKLVQATETAIGLMKAVLENPEPIQNLSNLVKAQLIYH STAAETLSGIQSEIEDAATAAEGEYRTSRGA
I206_01174	MDYYGRPVNDRPLPLPTAPAPSRFGERVDRLFYDAAAKGHLSGA YDAPPHQYSSTQRRDAGRGPPSIAAVSERRAPTVTGASSRGGITEISESLEKLPLRSY LVRPGFGEHGRPLSVKSNFFQVRSMNNARAKVIYHYDVDIEPVKTRGENAKKPKGLLR AVWEQLCLDHRGIFADGLANSAYDGRRNAFTPKKLPIPDGGTQTFKVALAPDGVVQRS GKGSSSDEENRQWTLILKLVAEIDLEIVMEFCRADQKAPANEEKCLTGLMATNVLMRD FPSRTYAQVGATGNKFFSIAGAVAIPQGAIVCKGFMQSFRYSGSGLPLLNLDIGFSAF LADGPALEVIAKILDKTGSKGRGAQREPRGPTSQNRDLNALTEMETALVKKVIRGTKF TVTHRKSERLHTVLSLTLQPAELITFDIQGKDGGENRKISIIEYYRQYHQVQVTKPRL PCIQYGKKAFIPLEFVKFAQWNSLPPTKLNADQTAEMIKISAIKPKERAMQVDSWRSE LAHEKQAKIAAWGLQVNQRMIQLPARILPAPTVAYGNGGVVPRDGSWNLRGKRASFFR NGKKPLVAWAVVSFDRYTEQDEMHRYITFMVESLTAHGVLVHTKHPECIGPIDPRGAR AIPNALQQAARAAYMVGKCAPQLICCILPGRDAWLYELIKKSSFTDLKGPVPTQCMQA SKIRNPRGIDAYTGNLVMKIQNKLGGLPHQVPVSEMPGMIKGKTMLLGGDLGLPPIKA GNELSPTVACTIATYNADCDTYSAQIRLQEGRAEIITDLSSMIEEHLKIFHKHNGQYP ERILIFRDGISEGQYAAVLSYEHVAILKACKRLQPNYRPLLLICICAKRHTTRFFGRD ADVDKFGNLPSGLVVDRSVTHPYAFDFFLQAHAGRVGTARPTHYICLLDELAMTPDQL QQLVHALCHSFTRCTTSVSLVPVCYIADLVCQKARIIVHDSEASQAPSVSSAGRSNPR GSRMTGLSIDIMQVQKLLKRNDELAEVAWWM
I206_01175	MYNARKRPTANKPFNPAHTHPASISSLPSIQTRQHISMASSSPS KDKPAVPAEGIQSGGGGGGGAGAGSEEGIEGLGIEKNDEDKEKKGNDKKWSDPKVVHP ASDVWGKGPSKEDEKRRGSKAKL
I206_01176	MASSGWEVRFSNSRQIPYFYHAEKGLSVWEPPSEIPQEQVHQLP GASKFLKGSAAPSSGGGGGGKDGQVRASHILAKHSGSRRPSSWRKDNITISREEAQRI IEKHINTLKSLPPSDVPAEFAKIASTESDCSSAKKGGDLGWFGRNQMQKPFEDATFGL EIGQLSDIVQTDSGVHVILRTG
I206_01177	MNTNSHTERYTQLINLYLILPSGELSSLTSIYIDSSTGIICNPP SISSSNKIKDIKIIDLNGKMISPGLIDIQINGAFGVDLSEFENTQKYIEGLKKMSKRL CEFGITSFLPTIISQKNQKYQSILPILKQVTNLLFDKSDEMARSLGWHLEGPFINPCK SGCHPTINFVNASNGIKSLKEMYGDDNLKKNEKMIKMITLAPEIKGILDVMPFLVRMN GKLAWVIRDNATTQLAFEGISKGATLITHLFNAMPYMHHLEPGIIGLLGLPDHPSQVE RPYFSIIADGIHVHPQIVSMAYNAHPEGCILISDAMHMLDPSLPDSTYPWRDGSIEKR DGGITLAGTNTLAGSILPLCDAITNLSKFADIPLSKAIVCATYTPAKALGGEIERKVG LSIGCWADLCIWDEKGLKGVWKGGKEVWHVA
I206_01178	MNDLSSFPLLKRIIGYAKEFPNSKAIIDSPKLKTITYFELLSDI SKLSKIISSILKNMKKENENEEEEEEIRIIILCEKGYLVPLSMLSIWINNGISIPILP SLPLPEQSYMTINSETSLIICDSKNKLRAEELIKQVEKEGKKCEIKQIDLENVRNFKD DIIDLEGLKDLDGDKRAMMLFTSGTTGRPKGVVTRHSALAAQVSAVAEAWKWSQSDHL LHVLPLNHLHGIVVALLPTLWSGATVELWEKFDGPAIWKRWIPEDNQDPITMFFGVPT VYSRLIAAHSGLSPELQKTASKASSNLRLQVSGSAPLPESVKKTWEQPGGVGGGQILL ERYGMTETGIIAGTGWEVEKRIKGHVGFPLKGVEIRLWDEESGKVITDREISGEVQVR GPPITKEYWRLPEVTEKEFQDGWFKTGDVGIYSSEFGAEGQLRILGRNSTDIIKSGGE KISAIEIERAILELEGMKDCAVVGVDDEEWGQVVSVCLVTSRSSVTVTDLRSELRNII APYKLPKKLKIYDGEIPRNNMGKVNKKKLVLEAFPPA
I206_01179	MTDLNPPSSSSSFDSSIKRQLSSLSEDETPNKRVYAGSAMTDIR PANHLTPSRGQTDGEESEEEMVVVRKDKGKGKMKMVYLPELPEEVWTRIFEIYYEDDT SQWHSTGVLKSGLTPVLLSRDHARIALPVLYRHPYLGYKAIDPFLVAIITPSRYTELP LGQYIKHLTIRASPMIPSSTPIVSLSTESVRHTVHPSFRILLRALPELTSFTLKDTLL LHAEDVSLLFAGLESIKPIKARLEFRLWNLYDSPYGQDIISATHGGAYASSGYGKRSI IANPVTPAENTHPDVEFSVQKAWRDAMYQREEFDVPSGWTNTSMPTRFNVPTPTPLGP GHFFNPALIPPIPPMSFNSGQPTSQGDATVNLFPLSTIAADPSNASLGDGWNPAAQFR RPRLDGWEDFGWGQDHFGNMRRRADRSHAGVANLLRTPNDVTVALTSSSGANSTSLSE HLDGDEDYEETYRLFRQGRARGYSTESSDRGSGSGSSVDAVAGTDRTDQTITMTAQTP STSESENHWDTSVRNHRDDAFHRNGSVFLAPTSSGLTPLSVPQPQSSCVRTYPRNAIH TAVSTMSLNEVNSVRPLLRRLVVDCWTPRLQALSIVALDPLASLLVRSPTLDFWPQIP IPHTRVHLPMSMDPLVIFKDTKQVARDEIRRRAGQGRLGNNNGNGTDPNPMPLGNIGS ILSATTPNGTSIADIAHQLTQLAHAENEGHKIVGGDGMGNRLIHEKVKLFEIEINSIE EMQNEVWIRHGDQLPSQLCRILAGQNDWREVNSGDFNDTYSPPYSEFTPPDSPATSDY DSPAFSFVSSDEDHDSNAGDESEGATGNITYDKGEAEEQALRIKAREARRSE
I206_01180	MPPRIPTRAFSTLPFSQQAVASSSTLPPPPLTAPKSHRRAASPR LSAQCYSTIRGRSPHVAEGKKSFHSTPVHRASAKNPYDVLGVKKDASASDIKKSYYQL AKKWHPDSSKEEGAKEKFHEIQSAYDILSDDSKRQAYDRYGSASTQEGFDPNGFASGA GGFGGFQGFGGGFGGPGGNADLFEQLFGSAFGAGARQGGSPFGGGGGGGARSRPVRGD DLEASISLNFLEACTGSAKKITITPVVDCKPCSGSGLKAGEKKKQCSTCRGTGQQTFQ VQGMYMASTCQACGGAGETIPRGSRCGECDGVGKIKEKKSIDVEIPAGVEDGMLIRIP NQGDMPLSSSGPPGDLLVRVSVKPSNVFRRQGTNIYHDAKVPLHVALLGGIIRIPTLE GEVDVKVKGGTQNGEEAVLKGRGVKSVYSTRGRNERGDLIVGWKIQIPRSLSPNQKKI LQAYADDVEGRPTNITFTSPSSSEYKSNHNFEKPYTPKAKSTFTSYTEPNSTKRETID PYEKEDGSAGVGGKVASAVGGAIGWLEKLLGRRCVSFPLGSEVEVENKLNPTKQDQQA IPKVENQVQDQVENEPEEEKKSATG
I206_01181	MSSLVSTFITHISQCFVTASAENLLTSIPLTAEHPFFGPLRQAL STVSESSISSSSVTQQLGFIGNDIKDNLATFISAILKNVQGIPSSTSVDEAYSSFSSL SSVYNEANKLYAMTNNDGQQIHAFMNPLMVILAKSLVKVSNHAALLSTLPLRHPKSSR SIRDSTRQVIERSLQISNTTTSESDWNANSNQQYLVGDIIWDLSIILFRIYAERKLHS QSAELSRTLESLSPHENKRLTARGQNITSTTICQSYYWRGRIRLVLLDFRQAKYWLDK AWGMVPKDQQGWKQRRSIIIRLIAVKILLGRIPNENTLSEYNLPEFLPLIHAYKTGNI PLWRKTLDNNRDWFRKRSIWLILYERGEILLWRNLFRQTLKFYHQFDSSLPKNKCPTW IFISAVHKTFLNTGEIEDGSIELEDIICIISSLIDHGLIRGFLSYSHRQLIMKPTQNG LGCFPKISDVEPRKIQLAGQT
I206_01182	MVLSGAVTSEDTRASEIGTQIISAGGNAVDAVIATIIAINTLCP YHSDLGGGGFAILRTIQGEYKSLNFRHTAPGKADSEFYKDPNISTSIGGSSVAVPGEI KGLEELHKNYGKLPWENLFKPSIELAENGFEVKQDLHNFITAACNPPGSTNLKGSWME NDPSFSSLFIDNQAIPLGYLWRRPEYAKTLKKLAKEGSEVFYNGEIAQAIVKIVNEKG GLMTLDDLKNYTVEWNEPISIDLEDYTIWSVPAPASGAIFLSALGMLDQFEHKGEGSI EDLHILTEALRLAYGQRTLLGDPNFVPGLKEIQLSWLQPESIKERSKLITDQTHPPDY YKPPKVEIVNDNGTSNITVADSNGMVISITTTVGLGWGSRIMVPNFGFILNDSMDDFS IKGRPNGTGYEPQIANYVIGGKRPLSSSCPYIINYKKNKKPFASGGSAGSSTIISTNI QIVRNLLKYKLNNFNAIDYLKLNRLHNQILPNYTILEKSSIHQNILIKGFIKEQEIEL KKKGHNIKWIEKNQSLPVLIKFDYDSNSNSNSNSKEEQVKWQVAAEPRRNDTGGSIFI APKED
I206_01183	MSTSTNEFHVIMVGAGFAMFGTPEGPWNIAKRAEAKLGERLKVD AVIEVDPKRAEAALKIKSEGPHKSSYENTVILPSVAEFKKLVDQGKAPEPRAIFVATP PTVRGSTLPGKDLEIQLNRAFPNVAIFFEKPVATGKPWEESVGEALKVSEYLKANHKA PISIGYVLRYLKIVQQVKKIIEENNLTVMATNARFVVAYELAVKTDWWNKDIQLGPVI EQATHICDLVRFLGGEIDFNTISAHALEAHEKPGQLSKKNFDESVIPDHLRIPRATSA SWKYESGAVGIFLHSTALHGTDYEVQLEVYADGVSDPCAPELLVRRPGSDEFEKTVTP GDDAYQTEMNHFIDAIEGGAEPEIFSSYEDAAKTYEMTWVIRNSAENANSKIKEQKGG KSYKLW
I206_01184	MPRIRKQTTNRQTTRDRAKIHKKSVDNKRKTKKAAKKDQTWKSK KKQDPGIPNSFPFKDQILAELAEERRKAEEEKFARREAAKAAKSAPPQEEEEGDTPGI ISLAGSVLSRTAPLSGIAEPSTSSASVAVDVPDLIDTALATLQDVIDRADVVCQVVDA RDILGGRSGHVEGLIKEAEGRVVLLVNKIDLVPREALQSWLSQLDIPTFLFKSSLPAQ PAASSSKIPAASSNLKEVWGKDELYSAIKTWSAEKQAKFQPKSKSRSKAVEIPNEPLV LAFMGLPSVGKTSILNSLLPPSQPKHAVAPYIPTATSAKIPEPKTKAPVEIEIDVEGE KIRIIDTPGWEYAEEDDSEEDDEDDEEIEPEKWDALESKLAGDLLRRNLGRVDKVKDA LPLVNYIVKRSNHQDLMLAYNVPYFEAGDLDAFLTALARAQGRIKKHGTPDLEAAARV LLRDWAYNTFPYYTAAPKSTSMDIESTEKYDMSRVLEKCKAKKELKKENGKGLIRFKG CQEVDKRDIILDDDYTAMAGPSDEEDEEEEDEDEDELDDEDDNEDDEDEDDEGLLIAS DEGEELDLEDGPEPSSGSDVEEEDEEESEPEPEPIKKRKRASLPAPSKKAKRVSFAKD EKPLKGILKRKRN
I206_01185	MSLPTPGWHTDELAEEWPDSSPSPPVLPVELPPIPHATINMDSI RAKRGSLRMLGQASARPLPPSRSTSTNSQPGRIVSGYVEDGKGHLPSRSTSNTSNGLL SPPSSRSSSGADQEAVGGTCVVKEGVEDNRGQHLARNPMGPKGGKDIFGALPLERMFD PPSPPTASQPLEPESSVISTPTPETQQRRLSHAYAPANPSRLSKSVTPSSNDSFTTHS SGADSTNRSHVIDQEVVEEADSLIRDDTLLRDEDDSAMPGDFYTAPQGQDGTTKTRLG ELSLRSGEVETDLSPFNRQVSADYPFTFNAPRRPSENASSEKDHSERSIFDPESHANG EGPSHSTLNMKTSSNRPALEQVQTAPRGVSNQSSTNGALRLFRSTYDTYTREHLSALV DSIAIEPSPSPPSQPSARGLREWSPAESASPSASGSTPSSMSSDGRSSKRLRLSPASP PKRTSGLRDWGAQGRAMMERIRGRDTADETTTSASRSATTASVPGEPGLYEIGRLELT WEIEDGPTVDYANLPPTPPLDNAPRHDKPTHRSNPSTTSSGYLRAAEDIMARIKSRKV SESASGVENSPVAIGGRRVLSESDENRMWEAGAEDYAKSRNRSKPSPRRMLRRLSASD EIQRALHEDSGDEQPQRPRLEERRPTIASNDQHEAPVQSAGAPFDADDLNRYMSSSTH ASTAISTSFVKHRGPKGKATSAPGMRMIRPDDIQGVVPDRIGKMRFDRAGMRWVREEL APLDEAGESKLGGSEESVDVFAGMESLPEERNGSLHQAEISVFSISSASTTTSESGII HEAEQTRIIDDGFSDTGSELEEPTEMPEIRPTAPSPKSLEVSPHRPMIHHATSAPAVM TPTPSAYAPKPIRSALRNAATPAGVFKKRTGWSDELTPAGSRGVTPGSSGKRSVSFSD GKRNGKIIGLEVEIKATTTKWTSTTEEVDLFNEDSTSHNGVVGESSKSFLPSARTKRI QGLLENMEEMSLEDETPSKPSRGVVDRPASRASSVHSSDSESTVPIQSFRGRSFRGRT PRNPGDATFLTECSFGVAHDRLVELITDVHPFEAHWENLKSVNLKGKGADSVARLKEF LPALDEANLDDNAISYLSGIPSTIRNLHVAGNKITSLTSVNHLRNLQYLDISRNQLDS VSQLECLRHLRELKVDNNAITDLSGIMQMDCLIKLSCANNRIESLDLSNAKWSKMENL NLSQNAIKAIRDLHRLSSIATLNLDGNQLEHLAPSKGMSSIRVLRFSDNNIDHFDISF FPKIRTLYADGNKLTHLSRSNSNNTGRLENLSLRNQRISNDLQLKLTFRDLENVKRLY LSGNSFERKDLFENLEELNPLYSLIYLEIAACKLNQWPKQFNKLLPNLKVINLNYNHL KNLDGLKELNGLRKLTIVGNRLGNDYDDKNVLKGLKGLNNLEEIDFRMNPSTLSFYFP LLLSTNSSTTTTTTITNNQSILDPSLTTLTIKLKMINWQSFDNKFRKNLTDEWYSKRL VYRGLIMLNCLKLKKLDGILIEENERKKATQLIKAALK
I206_01186	MTRTFKLSDGKAIPALQWGNGTGGLNGKHDPALEYGITALKTGV KAIDTAELYKTEIATGEALKQVGAKKEDIWVTTKGELTNIPTTPENLKANVQERLSNL TFKPDLLLVHNPFVPEEGKIAQFWTILEDLVLDGTLEGVSLGVSNYRPQDLKAVLDIA RIKPVVNQLEYHPYVLSHLQPVLDIQAKHGIITEAYGPLTPLLRHPTGGPIKPILERI ANKLTKETGKQIDSASVLLLWTINKGVVAVTTSTKPKNLEKIVSIEDLPDLSKEEIDE IEQAGRKVYFRHYDEHMTEDFPEPDLPSDL
I206_01187	MPRVASVSPTVARRPSLSANGAPQHVFVASKHIAGSSHGQGSEA QASVESVVEALNKRQPPSCDACRTRKLKCAGRPTVIELGLEATAVVPCEHCREWSLDC SYLYQRKRRGRKNRVVERLAEEQRARRQSQGGEALTSQPRPGTGGASSDSEDDSSGRR DSFNEGPGPRRDSFNDRRSSFVANDRRDSYVHEEVFHSNNGYLPNGNQPQVTSHTLPY SEPSIPSATIPPHQIHPNYGPGPTSLPSVTSQDFITSVPGVSALNSTSPNNEVSPSVA LSEASIPPSTSIESVLPREMAMNTIRLWFDHVHCIIPVIHRPSFMLDLTAREEEKRPM FFALIMGMIATTLIHVPKSYFGMSAENVRKLSDKCLKACYAVIRREMDNPILDLICIK YMIFIIHNKHGNVGLEAAAFGEAQYLAISLGLHREDTYYGLDPIEAERRRRAWFLIYN ADKFEAVARAKPVLLRPDEFLGPEATNFPTELDDKSITKQGYLPNSIPVPLICGFNIL TRIVTVLGDILVHERDIRRRPPKDPEDLLSALRQVRQLQHRVKVIADKLPRPFQLEVG SGDIIPAPGWEEAIRDELDLFFSDPMSSETAKDGYLVLKANIHVTLAMTRLRLILHRE DLLNRSGQIGTPSRNAAELVAADLGENVDWRHSVYQDLFKAVHGIPIQALAANGPSLV TKIRVVAVTLLDAMPSQEQADPNVQGIAAYLLDFLNIMSSIESQFAD
I206_01188	MTSKFDYTYVPMIDSNGESVKATASKAKREDEKDLREEIKLKEA TSLYSTESKTEERVEESSDDETENEKLVNYLLKSDSDETDEDDIEPIDIFILEKISNS SKQEILNYLINKQIELYKLKKIIKKNEKDDNLLIEIKKELFEYKEKLKSTRRHNKKIK NDLKNFEKEYDFLKSKYQLKLNKFGINSNLIKLLAFKLIEFNSSPININEIKEISAAL LDEVDRVNDFQNDEQIIFNENVNLDKMKFQWGKDREKRLFNLEGVIEVLRNRVDELER RILTKREDANAMEGFEYSHNSEGSDNSSQDDGEYGQGCESCNIESGKSLKDRLDDVEQ QFDGIANRVDQVEKLGEK
I206_01189	MFPTTIALRQTSSALRQPVARAAFRPQGSITSTGLGIAGRRWNT QEQRGGKSKLIFRFGLRDIPVELYPMAFVVAAACIGAGFAVGRHFYLDGLRVAPTGKK DQ
I206_01190	MSVQAQFDKAVAIVQGLPKDGPVQPTQEDKLAFYGAFKQANEGD VSGPAPGMFDFVGKAKYKAWKELEGTSKDDAKKRYVDLLKAVLQKQDDESSKKYLAEI EAAA
I206_01191	MPVTIPENMIYLNPKDFTIRVASAAQAKKHIDASWEAGWALGMN REQLDRFYAKEAQSEWYKNYMSLKWVLVRKDDFDGEPLSFVETHRHQALLKPKSTVSS AKGVFYNITAVVTSVAHRKKGYATYLMKLLHYILLNPTSPGEPPSHIPAFPSEWGSPP PPVPDHLIQHIPRPIASILWADIPISFYEKCTIGNKLGTGYNYFKSSNQACTFDLLHT EHQKTNTEWDIVYPKDIEEITRLLLDSNKKMMNDSDDSAKGSFTQDPSTPGALTYIAT RASFVETRAEWAKEIPPDKYPLGVRSKNVEGENTIVLFALESFDLGRKFLITEINNLR SEQVGSLLMKLDEINSDITGGRYSKAEFWGIDNDSIEWFDKFREECKGSGRNLQTSIR SGVGHHVLGVCNYTNPGEDALKMVDNQMWNWC
I206_01192	MSVLRSVRILPRNVSAIRTYASIPQPSDPVPSKQPSSSSPPTLQ QSPNIPTTWSTGQNPKPHAYDNQRFEQTALQFQPNPQSAMGMVNKDPVRLVNGRRAVC DGGE
I206_01193	MSNNPGRRVRRWSKVPVFDKCDVGKTTSEKCWLRPFDSFEDYSQ GSKPSNLSITINTTGESGATRNVTTCVHHFKKVRGMPQAIKNSLLSKSAVGDTIALDK HLSRAEGLTLPTTFGGNNSLSLDVKQDLSDAKNLLGAFDRTIEASIVALAQSSLERSI NFQEEDAQKLAGAIRRWAYDRTLGTLGRSQVVSFQRPSILIPESYIRCGHWEEGHGWK SNTSEDDTKVYTTEQKRSIQDQGQMRNYGYRIILD
I206_01194	MPDQDISSNPMDIDRSILEETLMGQMPEHTANPAVFQQQRQQEQ RQDNDHTAPLLALDLVPAPAYALPPSTSVGDNSNKDVSGSLASCLPQLSSSHLVSANQ PTIPPLIPQASLPTLMPHAIHPATTLPTRRRDCGPASDHRTFYPLCNDCVSIPIAELP AYGFELSLPTEQTKEPYWCTRPDHMFNKVPHVIKSAAYRCLETGLCFTKQKCNGHKGK HTCKPYHQGYPIPAQVYKKMIMDLRAEVDQLNGR
I206_01195	MNPTPPYDDILEDETLAPLKRNHACLQCKKRKVKCDATKPTCAP CLRSHAHAVRSAHRNGTAQPVLTCTYAEGDSPEPEAGDESKARKKGLSANAGVKRQHV GQGKRDSPDEEKEILKARIAELEARLAMLTPPSSHSGIEPSLPLSSDAYDINGNMPFS QTFTTSTTGPAHVKPILEEIGNSNYTLPDVATIDSIPLPESLGDFSGLDDFFLVPKDW PKGLPLPFLLEHLVETFFNYVPQTSRMLHRSTLLARIKLPPTSPDFPFPGLLHAICAS ASGYTAWVNNLAPHLLEEAVQRHLALGLDLTTIDDFGLAQAHLAAKAVELTASICIMG SGPLIFQLVQSCILLSDAFFTKGFPMKGWIMGGHPARLLSVLELCNRQPRTIQHKQPF LKAPETDMEREERLITTWMAFVADAGYTLNSNWAPSMMISEVRCNLPTSYEDWCKIEG MKKNPQNAGSHDLYYSHPIEDSFIFVIKGCILMANVAQWLRNWQQREKEPDDELAGCK TEEFRTLNHRIETFSTSLPAALKNIFRYLDSKSMGFDANLLSIHLIPNIATCLLHEPF MQWQPYDPSTITVQRAYDNIMAVLHLIPSNLDITLILTPALAMSLCTIGHFISDFIKH ADALKQTQTAMKYRADMRVLINLLDRYGQRHPLGNAFVHFLEQYTRSAEDIVPTDSSE MCKFNVKRVEYVSSAEESILENIEASYINKINNHAETSAQYGKSMINGNISAGPSRSG SISLSSSGISPNTMLSNLSNQPTPESITSTCYSSVGAEGCILDFDSTSSINLVNNNNN GNLSHNQNIQIQQDQINLPISQWDVQSTNKSVADRPAFDNWDKSFHTGFHIGRQPGEQ LPMMTFLGNLGTEDSVQPVPIPVPVQNVSTEFENPQWKHNPFNIPGTNVHQ
I206_01196	MSITPIKSQSASTNGSIDIPRNSLFTHSPSMNTLHSSPVTYAIL PNPAHHTPHQSHLALPQQPVPARSDSFPQWHANPPPRYHYKQTSDQRPMTRATNTLPD SAYAYTKGSTDIRHDMITSERQTHQPSGTSGSQTSQKKRPREISTHHILPVDHDARDN FWDHKPLSPDQTGSFDHRTHSNSHSHSPKPTTITISVPAVLTRDKKQKACANCRKAKL KCILEHGSTECIRCKSRKEKCTFFPRSHDEEVQQRLIKDMYEATTHLAQLSKAVQHIL QHLTQKNLIPPFVSDDHPDGLDTYIPPNQHMVSKDDNEHSGKLYNEADKADNKPDRKP KARKIDTSDNDEKDEFKEHRLLMNNGSPGEISRESRSFDSQSSYQPRPSLDDPVQTYS DVMIRPPPTTIYSSPLPFAAMLPSPHPQPTSIPPNRPSRSPPPLLASPDKQVHPSIGQ VLNSANIGWNIGRPPIAEVSSRPLSRSRMSSPPMMSSTPDIIHQGQHRFNQTVDSTKH YMSHESTQTLPDEREQETIGSQDPRKDIVKKGLVSPQDAMTLVNYFHESLSPLMYGYT LSFHQFPYISGPAYISPLLLSVLCLISSERMSSEFENRYHSILAQEVTNLLQTSPAES WQRFEGIYTPDFGDPDGDDPLDAEFGLGPEEIVASCILATYMVQREQASVIARSAFRW ARGWIRLLQSSSVPRFTIAESVGLVPPERKATNADMARIWLLCYIVDSTERLQLLLDA PPARDAISWCSVLIPPTNMDQQLPYSKDIPYHKPDILLTFHARLITILNDWRTQFKVL ISASQPSDQLVEEIKDLSSNINERLGWWKSEFENLIYSPLIDHTAAISIYGPSSIIGY GQSNQHIMITFHFIRSSVNATLTKYLPLNRTQNGYRPESNSPFIEKQQADYRLRIESK KSIIESSIEFFKICHSWFSTPNMSPTYLYFVTFMGSEMVDAIEEVRKNGKLSETVMIH TIIPLLHSVGETLLRGDLNENHVSKITGKALFSYCEKLQKLR
I206_01197	MTTRLPTPPPSPNMPQLVLCADGGGSKVCVIIRSSDGIEVRGIA GPCNIQSVGFQAASQSLLLATYRALSQLPNLYIPLENNGESSKIPTKLPDLNVPVFQY AWLGLAGIQNDSDANAFAGYAINALALNPDRIKLSNDVNLLAAPAISLQGIEHVVAVV AGTGTIGRTIRVSPKISENADRRKGKSPSLPLEDVGIARGWGYLLCDEGSAFWIGRLA IRSVLAFADRQYSSSLYSSPNTGLSLFHQDILRYFGTSNPSELINLASICDDSFKGLN VGEATAKRNALIAGSARVVLKWAFPEDQPINPTSGLPTPPGSTDGDVDVDSELNNDLD IEMESELGASAPLEEIEKAHNEAKKLIRKAIEPLVELTLECLGDLTVVKMERTALVLG GGLMMSPGYRNLLLSRLAKLAVEFGKVILVEDAAKEGAEALSNFVFG
I206_01198	MSSLKFSEVIFCLEKTDPCHPPAFYNALEVVKRYSRAKIAKGSG RQLVCKNGRLETLENKFAKLGFQDIFYLTRLQLKLPPSSLRLPPPSCFVGQTLLKAGY PLPFDTCQNECASSSKRLSTSPRAVNNSSKPYNQGRRGSKSLNNSPILGESIHAIRRT SSSIPDLPALATSMAKSKSTGNSSNRKSSSQWTPSGLGLRPIPQDEFKYNDNHIDTLT LSPETAKEIAFNLNPFGAPLLDIVTLKTSDSKQNMGNEEDEELVPIGLILNQSRNSEE IRRLSTTQIGCVKSYRNPTLDEIELIEGYSYFGI
I206_01199	MSTAFVPVALAPSNHYNHDYNKQQTYHPSPVREGQDIYNSPPPS VYLHTPDTAQSVHQAMYNQQYNPQPDWHSQVAGPSTRRRQSPSFTPVPVNEKPQADRV ESDDGRIGTEDPRGERTYTELKCLGDGSFGTVWLCDWHSPVKSDIILSAMQCGAGARP EWAGKRLVALKRMKRVWEGGWKQARTLGELVSLSKIPPHPAIIPLYDAFISPKSRELY FVFECMEGNLYQLTKSRRGRPLAAGLIASCFHQISAGLHHIHQFGYFHRDMKPENLLV TTTGLTDYLRVSPPTADQVKYEKDVRVIVKLADFGLARATDSKPPYTEYVSTRWYRAP EVLLRSTEYGAPVDMWALGTILAEMVNLKPLFPGVSEIDQVYRITETMGEPSGEYGVD ERGQIIGGGPWNSGIKLAKNVGFSFPKRQPVRFRTLFGETVPQSLVDCIHDLLRYNPK YRLTSAQCIDHAYFHETLPHLQQTPPLPRIPFSQGQPAPGAVQSPPVEIAQAAPRQVP PSHSHTPHEARPAFANGDMRTLPPPLGTPDSAARFFPPSHRQEGQNYSSASALVHQLR ELDLPTDDLASYGNRPEPSPQVIDPRARSQQWASDVAHASRSNPSFEAFPPSTRPYET VPPSTRPYVKPHVAAYVQQQQHNAMASASQISIAPPIEPTIGAARVDKLAGKKKKWGL SSVFGGAADKSSTIDLTPVPEAGYSGSSLKRTQSGNRPQDRIPPTPTDPKKAKKEAEK AAKEAEKAKREAAAALQKERARAVMQKRNQMIAQGQNKNEIEFASSNINDIGFTSPSL LSLNNHPSVNNLRTYPSAQASASKTSVRSHESARSHHSALSHSALQAYNDVQGENRHK ARRRDDDDDHSMSSFGQYSRSVLTVGTIGTIDSDPGPRRTRDREHWADPLRQSKRAPS ISSIKHQQHGATARSTASLESQLAHDFKVRANVGSAAPSSASLGRLGGRSSLSLHGQM ESLGNPLLSAPSAGNGSVPTSPYGHPKGAAVSNGIGMGRQAMHSGTMLPSISSWEGVG DMAQGQINPMFRVPPAGSTQLPPFSAIASVADREAKDHA
I206_01200	MSNHSLPSKPTIFSLPSKPIASRPLIPVKSSFALPAKPVAALDT LPPRRRPSPSPDRSPTRVDTYRPPPSPGSRRRSNSRERGRRYRSPVRDTYIPHRSPSL SPLPNYKYRSPAKRRYTPSPRRRERSYSHSPQRRTPDRPIKRDRSIGDTRESHELDLR PFTSSQSSQFIPAKRPLSPSVSSEAPTKAIPTGPRGSSIPTGPKSVRPNQPSPIHDTS TSEDMPGPSRYRGNASRRGGTPNTTKSTKELPSPLHDHAQITHQYGKSVQLKAQWLDN PKAPLANHLGNGHGGADLGSEYRVEEGMIGNKKIFRVTVTGDKRTGITGVGDHTNRKE AEKLAALSAVLQLTSAGILDQGKTGGPSASNGVTPAAATSNHVPPDTAKLSDGSQISY ERARQFMEYYCQRYKFGKPDIEFSQTSQTTHGKSRKGKTSATMTWDAVITVGNRRIGM GQASSKKGAQVKSYLDVTQYLESCDPGLWKDFSEFSKKDKSANLGMAPHLVFQMSDRL NEDIQGLCGDIRNSRLWEHAPPSSSATAEHHPIASWGSRGSRPLSEKELQNKSLELQD RLARYQSDPKLDAMRNQRQALPVTSRATEILTQIEVNDVTIVMAATGSGKTTQVPQLL FDDYINRGQGAKCNIVCTQPRRLAAMSVAERIADERGQPLGKEVGYQVRFDVKLPQPN GSITFCTTGIFLKRMQSALGATADPSAVARMDEVTHIVVDEVHERDIDTDLLLVVLKR LLTDRKARNKPIKIILMSATIDPTLFKTYFADVRGRPAPVAEIPGRTFPVERLYLDEI VPQLQGLPHNKGGWVFNEKNVSEYLNSELSRDPANFGPGSGMALEIPYPLVALTISDV MKRSDDGHVLVFLPGWDEIKKVADILMDTRGKPLMGTNFNDSSKFSIHYLHSTIPAAE QKEVFRPPPKGVRRIILATNIAETSITIPDVVYVIDTARVKEKRYDPERHMSSLVSAW VGSSNLSQRAGRAGRHREGEYYGLLSKKRFEALDPHQLVEMKRSDLSNVVMHVKALNL GEVEEVLAATIEPPEPSRIVAAMEVLRMLGALDANLNLTALGRVLLQLPVEAAIGKLC LYGSFFRCLDSALTLAAVLTNRDPFLAPIALKAEADRIKDSWCPPAFRSDPLAIVSAY NQWSAMDDRGDYRTANQFCSDNFLSKPTMLQIKQVKGSLLQSLDQAGVIAVSAGGAIN KIGRRLTVPKELDEHGNSLPLLAALIAMASAPNFALRTSDKTCRTSQDKTVFIHASSV NSRRREVGGPEQASESFNPAEKRLYAFGEKSRNVPLGGKDTGGITQLRSVTRLDPMTY MLFGAYELVVTQRGLECDRWLPVIGNLHALDDVQRLKVLLDGCMLRVFEGVGRSLTEG RDQRWRQSRNSIQKGSSRITSTEEEIYENESDDEDDTAEKDKKRIIKPLSVDEIKELE LLTTDVVRVLDAYSAEREGGSTAPTRPVTPMPSVSNVRGGYAKNGHNGARG
I206_01201	MSVSRTTNRRTPSSPYRQPSGSSLSSARPPGSSGSSSKPPGTGS SVSSQEELGLSAWLACSADQEARIGYVSESMQEILGYTPNDLIGKSTYLIFHPDEIPM LREIHYQALTDEKTACVAYFRALHKDGYYVECCCSYYTVYNMSLALYTRAVDGQRTLQ QALTAREVIEVSPSSQGKFAIKRWPSSSKAPLQSSPHSTSISLSPPPMDYPWPAPHKP SSRTFFILDRFTDTSRVMYVSNDVIINGSRLRNQSFYSAIRPSDRSHVRKYIESAKQS SPIMFNEKRSGGHGYTTFHVLKISDLPPRGETWPQGTDESEKSMPGQEFILVEGIFTA SSDGLACVISRVVPDKRRE
I206_01202	MSEEPKKKRRQNVACSCCKLRRIKCDLQEILTALPSSSSSSPPP LNVLVEQNPDIECSNCIKKGLKCDTQGIREPTKPNKGGKRIDEARKVYGGQDPRGSSY RVDDNPLELFSNIPSCPPESLPLTSSHAFPDATAPLFDASGLLNLPAPPEDMVNNDPP TSNVPALTSGETLGTFQEAASIWRQFADHRQEAINHVQTTGQTPRAHLAQLPESDHIW DQNLQNRVSSELAQMQRLAEASDKGFSSASQSTIDLPDPFNARSNLPILQSSIPSPIP SPYSFDHISNNQLTPLSGYNDYITNGYSRKRSRSPYEEEQFDSHKLLAQNPWRLWSEN QNHREMVNWGRREAVSEQLADRALGMALSNHLVKTFFQAVHLSFPAISPEAFYLEWAK AGQRSDRMTPAQEALCAVIEAWGARYSDSPVVRLPRIGGSADPAIKVIKPDGTFAPGT RARAHWGRARITACKGLMKRAKRLIDENGLFTNPSITGVQALTLYNQAMHMTDQQVIE KDHWLQSRMIHSIITEQMHLLGLIFSQLQMKQRRLFWTHMVSDAFFAASIGQLPKIPQ EDVDSAGEWIETVQERLPNSSFKLLAFFLQMNHRLGLAGREVATRIAYPSRRKGAADV EKLCSASRKIWRDLKSISKDLNTKVTLYLESCRKDDLLGFSPLNFHANLRLCCPFLLL VMHQLIRDLLDFWKTMPVATASIATPSDNTSYSSPSSASSGQQRPFVPGMRNIELLER LSRESIDGLLANCRSQIGMLQSILPTGVIQSGSILLRVLMSMAQLLSEVPTNEQGYPS NTPGGYGWTWETKQQSVNICLEALHQIVSISWADVGPICDSVGLTMERMTPSPDELLA WQTNNLPTPPSADIIRAKEEEQKASEEAVKSVLVFWPTASVPQLIENAMQKDPKAILH GLMDMGRQTPPNSQYQSSQSFKPPATSLSEHDPLRPIPTQVHNDPTRSIIGNLVGAVG DKIMGDHGQSIIDSSYQLPENQRPTVSDPFEMSGFASTTQTQNIPEPQYDDSTNLANL QTFLNQKSDRFMEFYQFPANDPHVSLEMDSNALPVAPEQTFDQLDVEAFLNELGLPQE PIVPVDPPGERQ
I206_01203	MLFTEFIFAALSLTPAVMSAPHLSQGRQSSPDSIQVAPGSAESS IQKRSVTTATDIPKASLSAQPTPNEHSSMTQMTADGKPSNRPSVSTSPTNSSSNGDTV KSKWDGGEGPYLVYTILQYVGLTTPQPNVLEKSLDQASYEVNVNVDASHDTSSVVQ
I206_01204	MTRLAGIISLIGFAMSLNGLIGAAPTDKLGMALPDQDVSSTYQA GMDSTTKSNPDRPEIPLKWDEADEGGVEDEYMILCGDAGDIELHEAGVAAEQNIERHC RRASAGGGGRGGGGSSSGGKSSSSSSSSSKSGSSGAGTAAGAGAGAGAAGTVGRNRNN GNKSSDALPSIPSVIDVVGMKGPMLLASILCLGSMISLVC
I206_01205	MSNYRSLLIALWVFAAIAIQVVSAIPADSSQDDHEIPTGSDVFN GLEQFEASKEYKAELPEYGVYDLCGKLRNLKQLLEADSGDASEYINVQAEVDENEQGD TYDIKSASEHTSPVGTLIPSEEFRTQQICKRIAGSSSSSSSSSSSGGSRGSTGSSGST GSSGSGGSRGGTSGSSGTSGSSGSSGSNRGSSGTGSSGSIGNSGSNAGNIQPNANTVK TTTGQTTAATQASVTSAAITHTTTANSSPYPNTVTKNRTTTTTSTINGTPTVIIKTSD EFFRIFPQYTKREKIG
I206_01206	MGLYSFSSRKIVTRLKQSEHQNKTAEMRNSIILITLILSLSSVF GIRPLPYRRHHVKSLNSLKHRAPVPTITAPSLGPKDDLPPWRQDKRTIATAVARA
I206_01207	MATSSKSAQPADALLEQELSFSEPPFSTQLDPRILAALADQKFA HPTLVQAKAIPLLLEGKDVLARARTGSGKTAAYVVPAIQRILEAKANTSPASPEYQTT RVVILVPTKELALQVNSFVKGATKYCEGIIGCVNVASGGSSVQRVLLNDNPDIIISTP TKLLSLLQSKSISLTQLSFLAIDEADLLLSYGFKDDLTRIMDPTSGWIPKLGVQGCLM SATLSEDIEGVKGLVLRNPAILTLSEPATSSSLLTQHYTMTSERDKFLLIYVLLKLKL IRGKSIIFVNDVERGYRVKLFLEQFGVKCCVVNSELPLASRYHVVEEFNRGVYDVVVA TDEGAGADAQDPVEAEGEEEEAEEEQVEAEKEEGQNAEAGPSKRSREASPTRNQGKSN KRRRGDPTSSLARGIDFTAASSVINFDLPQTTTSYMHRVGRTARAGHSGLSLSFVVPK AKWGKDRNVSIKSAQNDEVIWEKIEKRVKKESGSDIKEWDWGNRKSEIEGFRYRMDDA LKAVTGKRVQEARREEVRRELLNSEKLKAHFAANPLDLSYLRHDAPLHPARQQTHLKH VPNYLMPKIAALPTGGGDVADGGHVSYSKRGRGGGGFRGRGGGRGGKSARGKKVDPLK FK
I206_01208	MKFLSLVSILPLLATATANTPSDSKVLSSPRGNVSPSTNNLHGG LEARHSQPKWFMNKLKHFNRSTDQLATQVNLQEKEEADGKEFKKEKRNVIRKIVKRKK RAVATTCGVKTVTVTVTTSIVSATSPSNSTSATSSVNRSSSSSASVSKTSSVPSASVS KTSSGISSTSKASSTSGSISKSSSTSASASNASSTSGSASKSSSTSVSKTSSSSISVS TSKSSSASVSKSASAASSTGSKSSAISSSSSKATSAFASATVSKTSSTTSGSAKATLN RSSTSNSSAPSPTHSSVSSSIPKAATSTSNSKTASSSTSKAVSSSNTSSTKLATSTIS KSSTSITASVSKANSSNASLSKTSSGIVTSSRSGTSSTSKAASSISKVASSTSKAASS TSKAASSTSKAASSTSSKAASSTSKAASSTSKAASSASKAASSTSKAASSTSKAVSSA SKAASSISKVASSTSKSASATSKAASSTSKAASSTSKAASSTSKVASSTSKAASSSSN VASSTISLTPSTTSSSVAASPSTTSKAVSVDPDGDGPFYGWGTYFDVGLGACGWYNQP SDYIVAASAELFDNWPGYNGLNPNDNPICGHHVKLSWGGNSITAQIADRCPGCSKRSL DLSKGAYGALTNDNWDMGVMASWINGAAYNSDLEWEWLD
I206_01209	MSDSPRRPSPLPSSSDLPPPDYAPSQPQILITPLPDSASFFWGK TVQGEIYVKGLGEGRGNRVDIVKSLSVQLTLTNHLPQHPIIPLHTFQLQTLYPPASTS NSSSSTRSDIVVPFSTCHRFSISLPASLPNQTEHPLPGTLNLTSYEKGEIRYALEVKL ILPNDQVVVEDMKIEGTPQQIYQTLSQESGSPRQTDSEVEERLEKNGVLARLLMDKDR PRLGELLRLGLEIRPVERKKGTAVFDLAKQSDPTETLRPLRRVRVELFRKVTIHPFSS GNASSSSSSTAISSTEHLTLLHATGKSLRYPGTGKKYPPLRVLFTIPTAQLGVVAEQT WGEISCTTPYHTISFFIKVTIGFGDISASRDWTLSREITIKPKKWKEPREVVIERGLQ PDLGSPTSLPLEPDAGRSGSSEDLELPRNDRQDSWSEDDYRKEAYRQKGEDVVGNTGT YRLADNDSPPPFLETEGQAGPSRSGGSGGQQSELPSFLESEEQARTGEIPTLKETVRT ERLVPVNFNVDQVLIPSDNDTLGETREAEEAEDRSNWVGRRGSLGGELGTWVEYDGYE TFSVAPPSMAASYGANGPMDPPQEGDENNANLVGGIVARLGLDGDGVDGVRMQGLELM EHLGLGEGTRVVDLQDDLPPGIDEPSLPALPDFQSHHHQHVQDELIPSSPPPPAHAPY ISPSNHSHNLPPVHDPPSFDASQAANAVGGVATSHIRSLNSTNVNSISMGSVRRPSRN VIDDEVVEQVVTSQGDAPPGYERAGEQGLPPSYS
I206_01210	MSFFRRKKDEPLIPPVAPPSAANPPKADPYAQAPSSNNYGGAGG GDPYARSKPSNSGGGGDPYAGGNPYAKANDSNDAARNELFSGFTAPEKPKPEREYGYE GREMEEDFDEDEEVEGIKQEMRGIKQESLASTRNALRLAREAEENARGTVAKLADQSE RIANSERYLDMAKANNQRAEDKAEELKKLNRSIFRPAVTWNKDAKRQAEEDKINERHA MERHDRAKALNDVTDTRRRLGNAANPSPYGNSDRMPLPGEAKAKKEARSRYQFDATAS DDELEDELDDNLNETYEVTKRLKNLATAMGDEVGGQNQRLTRVTDKTENLEFAVMKNT ERLKRIR
I206_01211	MALPYDGTPPPSPPREEENPALDRALDDYTPALPDHVQSLMGRM SRGKVYLMEESPAILHLDGEDKLRRDPRIAALAQRLDKQDPTAWLEAISSSTPSPIKP NALYVRSDLIQHLSTSKIFSWTSGLGAGVMGIEWLNDTTLHLIFPTAAAALLGLTVLS KAGFDPAEGDDPLLERAAHSIPFSLLPLAEPEPVPSLEGQELLGESSTPKENEGIKRK GRGTFGSKSGIFDLEPLVSDRNDNLSEIKLLEGVNPHSRIAIRYGIELDQDLRKQAKQ SEWYKRHGKHAGKETSSRIRNFNNNNNHEDENGFSFSGRNGGEGRDFAKRLGRGGGRR DQQPYGERPNKGRGRRTEEDLDKDLENFAKRRAAGEDGVIDEDIEMDRDNLSNDRRRN NGNRRGGGGGRRGGAGNKEDLDRGEYDLFLRFSCSKSAQLDLPTLASD
I206_01212	MPYNGPINNPPAIGEAPFLEYGYVPSLAMGIIGCMTFLLVCGLQ LWWFVKKRGTRSVHGLLFFACIIEALGYGARLYTHEHQFSGMSFLLGIFLIQIATILI SASIYKSIQRGLKYMPNGDKLSPMRVRSMLTVFIILDVVWVLMQIAGQYFWASAQAAE IVNATPMFAIGTSTVIFLSGNVLQAITIIIITVFCYVILKRSTKVLAMTSPETIVPHI KPLMAQIMISLGLFFIRLLIRIAEGAQGNFHKYSQIQLVNLLIFNKTGAYENAATHEI YFGIFEYLPIFAIVLLWAIRPLHKFIFPLGHPKYHTQETAQDATTSSVSGSGLNAVEH GAKA
I206_01213	MSRHVSLPVEEKPYEQHVDHFQNDQELSAIGTRTTDNEKGSTRY ASSEIGSTFHQENKKAERKLLLKLDVAILPFAVLLYLSAYLDRGNLANARLQGLQKEV LDNEDKNYSIALSMFFVTYIVFSVPGTLLARQFLPSRSIACGALIWSIAATCQAATFN KAGLFVCRLFVGVGEAMFGQAMALHLSFWYTKSDLAKRVGLFISAGALAGAFGGLISY GVTSIKHSAIPQWRILFLIEGCPSVILAICTFLFMPSRPETSKYLNEQERTLCLTRLN AESNVESSTGIDWKGVKRCFTDWKTYVISLAYSCMNLGLGSVGGFLPTIIKGFGYSNA KAQLFTVPPYAVALVFMLLLTSFSDHKQSRGIPVAVVFILGLVGWAVLLAVPPTHITH AQFSARYFACILVVTAGYTNIPLIISWQAANTGNQSQRAASLGMLNSAGQCLSLLAAF LFPSKEGPQYKKGATVNIAFQALGLVIVLCMTAWYRYENKRRDRIEGGRPPKGSHINL AEEYDLAPGKLSLFLEL
I206_01214	MPDSTSTSRPAVSERTGSPAPSSSCVDLPELDFDINAFLQSCAE FMSQPLTPSPIFDVPPTLPDPAVTTPPYTSDEGSVSGDITSQPKSKSRPAVNTLNMGI DQAFKSYQSPDPSNPSSSSTSMRLTNSGSMSQSPPLTYSYSNPNIFATYPQSFPTSSY FPHQQSPIPPPMALAASPPWQTTDTEANTSGLDIDLDVDLEGITDEGTAKSSYLTASA MRSKSSSKDSIPRPPNAWILYRSDMLKDLASGNDIPGLDAVLNKMGYGPTTSTSSDES TNDASMNAESGKAKGKKKEMIDSEMMPPPSTKSSKKSKKGKKEPTEQFLSLGSGKTGK GLPQAHISKLISTLWKNETEERKAFYERAADLRKIEHQRRYPDYKFQPMRKADKIRQR ENREREKEEFKRQKEAEKQAGKAKRHQKRRNRLSPASPYTMMNTAKRPDVGSLARSLS YSGESLQPTSQWWTTGSGGRSTCRSEPLGMYPFPFPTGALPALAPEEAAHQQQAQHGT PGAMIAQDYQSWQQSQARPQYPTAPTPHVYVEPAPEAPPMSQQSSASTTAEAYSTRSE PSMSQPQPTIPIQQLLEGLGIYPTMHSAVPFIADPLPMDMQGRPMPILGFDDIQPLPE DDNGDPAALAEMWWNLQEEDVRDDMENSTIPSGLIAEESIYQTYETDANRRGTVVSAG PSSGTNTGAPPTPSTTLFSQQPFTFNMAAQQPYPYPQGYVPMYVSVIPEDGNIDPSIP FFTPAYDANLPYLPMEAGIDPALYGTAFEEQGPFLSTQQPMSPTESWSAGPTPREATF LRAAQAQNEQNRIASNSSDGTVRTVSSNQPIPRYVSASAYPLTPLSQDPSSLPGTGGI VDRNTSYSALAAVMSGQSMTMGMGDDDILGWDDNDVIERDEEGEILQQPRKMSQAYLL PTLNTPVTVTSPTTRSPVHAQSIQAVPQAPVQQKGNIIEAPVPRKATTRSRAVAAKFA GAGPGAE
I206_01215	MAASDSDSSDLRKKRRLRHGKDRKRRHRQSSRPESESDSKQGSP RRGSGRSSEEAHKRKRESRRRNDYSSSVKEFKTGRGEEIAKKCSHFRYFMLYTSGGWL GDLLITLTDLTGIWEFTFLIILFIISWIILRISQIEITLILGHIFDLIIPLQHQIDSN KQLAHLNHLEQYWPIFLIGLIIEFSSFILVHPDLFTLIACVETLILTTLWLGRDKNGK FLTEKFGGKKVKSSGNGNGDLLDRPRSNRDNDGRSNEPDNLKRKKNRHNDDTGNRSNN PNQERDTDRNDLSNNNKNDDGDSESKKDGTGLNKPAETKSDDDTTAGVKGKHPYDSRG FDGNLMPIRKKLPNGPEKRRKSRRG
I206_01216	MPSELLHLPPLYTLVGLYRLVTDPFIRQPVLDKIKHASVRGLVV GGVYAAATWKVMDWFVEHFLVGEGWNVFKSHKALEKSSSGVVNVGIGRLSVPIDIVLY THLFILLPQLSSILRYFIYKNLKIARSRAFSLTVSSRGKPHEFWSQGYIEEWAEPPKP ASNGQVDQNGRRVRSDTQWIEWLLWWPTQLVLRKYLFLPLSPSLPLLAPLVRSFLRSI TTGEYLHQPYFDTKGMSNDEIWRWVEERRWAYRAFGFAASLVESIPIVGLFFSISNRI GAAMWAFDLEKRQHLFSAGVIKPLPPTQVGFYGMGKIDDLGVDIQKAEEDIDRKFSKK SHEKVDTSEGGIFELKGEGLGSAEGGKEKIL
I206_01217	MSSPPSSSSLYPIHLLMDELKSEDVVLRLSSIRRLSTIALALGP QRTREELIVFLQDQLDDEDEVLLVLAEELGNFAEYVGGNQYAWTVLGPLENLATVEET LVRDKAAESISKLSVLLSPAQIEEHLLPLIKHLAQGDWFTSRTSACALFPAPYPLASV SVQEELRKLFAVLCGDETPMVRRAAAKALGPFAKSVAEVPDQHDILLSDVIPLYRKLA TDDQDSVRLLTIPDLIAIAAALNPEEVKEHILEPLRSSVADKSWRVRYMVANEFVGLS ESVGETVIREELVNAFVGLLKDNEAEVRTAAAGQIPGFAKLVDKEVILAKILPCVRDL STDSSQHVRASLAMQISGLAPLLGTDSTVENLLPLFLQLLKDDFSDVRLNLIGKLDMV NDVIGIERLSQALLPAIMELAEDKQWRVRQAIIEYIPLLAQQLGVQFFDDKLGQLCMS WLGDTVFSIREAATINLKKLTDVFGVEWAQSTIIPKVLEMGDHQNYLYRMTTIFAITT MAPSLNVQIIRDTVLQSALNLASDPIPNIRFNVAKCLETLAAVLATSPEGQDIISRKV VPALKKLQEDSDADVRFFATKAFDRTTGDGGEPMGE
I206_01218	MFARSALRTTVQASKSMVARQQIAKRSYTIPTAGEQLSAFFKAR PVPVDVYPILTFTAMMCSYCAYMGTKHIREDSDHVRWGPGVGGVKFELPGSQ
I206_01219	MDSILTKGCYLVDVVTDDDDDDEIEELPNPSNVADNQSPTFDSL DLIELYEKREEKANDVFVHWLKRFEPDLRDEGWDCSDQSTFNVL
I206_01220	MFCDLPVILAVFSVVVRHVRGDDRTDTQRIGTEYTSMPLYRSGA GTNVMSANVGNPPTELKLTCSTNVDFFVVAATGCEECVENANLLDVSRSQSISVTNDD LAYVFSYPSGSSSTLSIAGRFANDIILDERGDEATPRPIVLAAAVQSNDPRANLDGVD AKLSDGSAGFWGMGVYQDRKANSMIPSMIIADNDGSPGQLTSYTVGFQINNFSTNTDD LAGTIHWGAVPSDAYQGNFNWLDVDLTMGGSWAFGVDRLRIEGEIIGLDNHFGTIDPG FDSIYLPTAIAERIFAKVTGAERDLVDTTRWNLPCDASIDLKIIISGTQYAIDPTALV RNRDAAGRTCWSSIVAWQNGSVPETNGEVRLGTPFMSGVYAALYYSDAAQYVGLAGKP NSVNAANLYSRDEGHANKKLAGILIGTLLGVLIFGFILCYARNRSSFQSIWYRALRRQ QRAQMNAVVRGATMPPPMMPIVPIPMGGPMIPRGPPPMMAQMGMLPPQSMMGMGRMMP PPPPYQPPMAPQQYQQQQQQPLLGNQAHTSPQMTMPMTVQPDQTGFYSPRLQHTSPPK SNYLPLPWKGSKGRGSGKYAEPLSGGSRVHFGPSSARHTRSISGSGASVNEFGSYGRG PEGRHDRQNGFIREYQNLPPSHVHTPQYDHHQSQHHGGYQNEESLPPLAEVPEQEEYI PYPHQQQIQHQNEKKKYFSWKSNASSDGGGKGIYAPVGVNTSIQTGTNPNIDEQARRS WWNRDKNGGWKERESIGTPKIKRGLGWS
I206_01221	MIVPLMSFDIKAGVVGGNSRTWFEFFWVPLIPFKKSRIWICTIC REHPSAAYQLGSILMMNTEWEMKQGDGPDPQPPQQGFGRPPPPQQPHQPGYGQQHV
I206_01222	MASVVGIDLGNLSSKIGVARHRGIDIIVNEVSNRATPSLVSFTA RQRHIGEPAKTAETSNFKNTIGSLKRLIGRSLSDPEIEEYEKKYINAQLVDVNGSAGV KVNYLGEQSEFSYTQLVGAYLGKLRDIAANELKQAVSDVVIAVPGWYTDVQRRAMLDA ANIAGLNSLRLINDTTAVALGYGITKADLPESADAPRHVVFVDVGHSNYSVAVVAFSK GQLTVKSTAYDRHFGGRDFDYALVQHFAKEFDAKYKIDVLSSPKAVFRLSTGCERLKK VLSANAEAPINVESLMVDIDASSSLKREQFEELTEHLLKRVSNPLAEALEKAGLTIDQ IDAVELVGGSTRIPAIKERIQAFFNGKVLSTTLNQDEAIARGATFACASLSPVFRVRE FAVHDIASYPIKVQWEKEAGNPDEDTELTVFPQNNPIPSTKILTFYRQGPFELEAQYA EPSTLPKGTNPWLGKFTVKNVEKPASGDLACVKVKARLNLHGIVNFEGAYIVEEVEKE EIVTTGEGEDKKEEKKLVKKIQRKGDCAVVGQYSGLAKSAVDGLTEKEGQMHADDKLV METEDRKNALEEYVYEMRGKLDDRYAAYVQSSEKSDLLTGLQEAEDWLYTEEGEDATK SAYVQRLDALKTKGDPIVLRWKESEERPKAAASCRETLNTYLNAAQSGDEKYSHISQE DLQKVIDASANTLSWLENQLVRQSEKPKNVNPIVLSSEITKRKEEVTFTCAAILNKPK PRAKVEVPPTNTPGTETPKKEEEAEKMDVEGEGEGPKIEEMDVD
I206_01223	MVENPIRSGPSVIRAPRSRLDRPCDLCRRLKQLCSIEVRGEPCM ACRVRSKPCTFDMPPTIRKRRKTDTSSEVGREQMGDTISASHQQVSQSTNILDIHERD PTLSIELSSMRDDGDSRQIPWSSSSVLHHDLPPSSLDMEESHNEESHFIGADAFSTLV VRATDPSTTSQSPGGLSFRQVSSDMRFPVYFVKTPALLYGRTPHNGKAAFEENRKLGE ERCNNCLERAITSVKQYTLKAIPVISTNRLDNAYSEISSTASTTPHALLAGIIAHSTH YLPELRSIHKELWSNALLALDDEFRQPRLSTLQLALLQMYGRPVEIAENAGQLTIASG RAIGAAFLLGLHIDPTNWSLPLWERKLRKRIWWALVIQDKWRALLYGRPSFLHKGSYN VPLLSVEDGDFGEDATTSDKVSMQTFIAISRLTLLIDDIIDNFQLSPDIQIGQPDTPI SRIAQLEIAIEKVQEINQAYAPFFETSKDSRGQYETSPTGVQSFKLSSLGLIILIRRL LLRNIPDTSNTKVQSLTAMMDALGTCREMAFFVESLTPQDEQQYWTHCSFHHIFNNVS LLLYIVTRARSISTQITNDATELTITFISNLIAKYRRSNWDVISAALRRAAVLLMCIK QDLPEFSQSYFDVATALNLPTFVTDLSVDDFLTSLGIDVPAAWSQMVDDQSWLSSVGF NNESGM
I206_01224	MEKGVKDQIEQFNWQREMTDQPMPSIINPYKSEVKNFCEKVHKD VLYKVYQLFALALELPINTFVNFHKYEEKDDSWFRYMAYYDEFSPEDEEKVGGVWLKG HQDHGAITMVFSQPMASLQVRDDNGEWKYTKHTPGGIIINCGIMMEWWTGGLFKAANH RVFAPPRDQRNHIRCGVFYFSIPNNQVRPDLLIDSPIIKKLGVKSIFKDGKNIDALTF SRARVSKVGKSEMYKQPWGAGERLVEVIAGVEVPHFG
I206_01225	MSTATTSSNGNGSVKTNDELLHFTPVEPSKMNIPYADLMNIDIS TFDNGEDTRQKLANQVYEAMTTKGFFVLTGFGISEAEISKQVDIGYTVLEKTPLEEKK KLDGHMDKTGLYRGFKLRNYYE
I206_01226	MSLISAIEERRSYYVINNQCDITQDQVADLTKNVLKHVPSMFNM QSTRIIVLFGDHHRKLWDLVIASLKLADTEMQLFSKVIDKLRSLQNGFGTLLFFEDSQ SIEDTIKKYPAYEDKFDTWAVQSSAIAQYAIWLAFTNLSPRIGMTLQHYYPDKEEMNR IWNIPEGWKMTGQMPFGSIIEQPKEKTFKSPEDLMKVFV
I206_01227	MTVTESYFQGAIDLILGGDLPGFLEQYVEDNVEWMVINAEVKSS PFSGLYHSKQEYFATAAPLFGAFNGPTKFELERSTLAGNLAFLELRGTAVGKKDGKTM VGYWVWILEFDDGEEKPKIKKIKEYFDSALSKEFIENNQ
I206_01228	MDDSLDITSATTGTTEIPSYRPDAKTRRYDRQLRLWASAGQRSL ESARVLLVGCDATGCQALKNLVLPGISHFTILSPNLTTSQDVATNFFLHPDSVGLPIA EQSVKYLKELNPAVEGFAKTEDPSSLLVTDRDFFTSFTLIIASNIQPSLELQLADLLW QSGPDIPLIAIRNSGFVGRVEIQLREHSVVDTHPDTTHTLRLDAPFAALEQHARSLDL ATMDSMEHSHIPWVVLLVRAASIWRESHNGRLPESSEEKAEFKETLKKDKVKGDEENY EEALAQAYRVWGKSELPWEIQQLLNDDSVKNISANSKNLHILLHTLTQYVSAAPHLPP TSPSLPDMHSSTTSYVHLQNLYKNEYQSDLKRFKVLLSETLQKVGLEEGTVPDEEIEG FVKNVGGVGIIKGTPLIDSKMGQGLIVEAITNYQYDEYDGSSTCFAMHLAFLAAERFY ASHKRWPGAKAMVNVAEDTSEVEEILKGVFGGKEVEDIFIECVAEVTRGGFGTLPTTA AFLGGVVAQEAIKLVTNQYSPLDNTVIVDLIKSQSAKFKF
I206_01229	MSDPFDEDDGFFDNPETLGLLDAVEEKAIQASQQAPPKNRFTQP IRHVLAKPRNSPTSSIASSSTNKLKKEPRPVNTEPGIRGTGFGWEIGGKRSFDVERHI DNAKQREAYWNAGRDEEDESPPVDVVMDGSGRYELSTQVRNNNNNAVNGRMESKNGVN TEEAVITDNRRAQPSILGESLGLAGPGPTGSQNQRMIRQQSQDAIAARRRAMQAAAST VGNEAQSFRRPLLSRSNSSSSTGSSSLPHHDINRQSNAPAQNRFANQVPPKESKFHNN RSLSRSVSAGAQIFNRNAHGNAIAGPSRLPTIPSESQSHTGSNEANGSISEGRDTSAP PLSQGSAARAAAIELEIERRKRQELEAELAALRSQAQVESSKSGRINIDANEGDEDYR KKVKELQSQVWAAKGEAEIVRRAQREEHQRHLAELERLKLTIENKEQQLKDRGEQAKR QVENIKHQAVFSNHAAHNSASKVRQQSQRFPPSQSQYRGFPTPVKNGSPSRRRGVADE MFTPLIQSAKGKGKAPISGPNFGGFNNAFAASPSVGPRTKRQKTADKSSPPASPNRAV SPSPFNNSLEPARSQTTPGSIGGQDEDIDWGDHILPLNQDAIPDQNDQVMDEVKDHRA ELLYHLFHHVTLSIFQYDLGSSTEPTLYRLMNYRPSITSEGHEIYTSRCSEILKACGD TELTFDELEAIIVDSLCDMMDYIVKIVLNIERVSLDEVSFGLHCRLIAVYCNIICLLS SSLLLFPSLLRVLVNNNTTENLRSTIHILYADSPRIAAFKDRMRVKQAVDEHCDREET TNEKSKKEPRETDTWYIELADRIAELAGIICHVSETSSWKADELVDIILGLTSIHQEP FVVQRGIELFYVASQQACNFRSLVMSSDKYKLPSSPNESPVVERLSRYLINPLATASE AEALHMSNLALTGLCMLSISHSDAVIIMAAKSILVPALIIVVQRESTKLYGIYGVLQD YKAALSLLIPALSLLHQLVFPAPISIPNQSQSQQQHGEIIESIPEDELPAGIDLPERV NHAASTREFNGLQHLFVSAFGVMAYSQIDEEIVEEVEQRSIQYLSGDLLENVVEGPEG DAIYELYVPLEEEDEESVLQQNGKDDLEENEEIGRMEIDHQNNEQVDMEVYKEMGRDF SEIIEIDDDDDDDDGD
I206_01230	MNPKISTNFKKKIIRMKINQTILRSVKDLHQVPLLLVQVQVLHQ VEEEEVVQVQQEVEVEVEVEVEVEVEVEVGSGSGSGSGSGSGSGSGSESSSNRGGASS NTSGNRNSGGDSSGSESSDNGFDDEDSGSNGNSNSNNGNGSGNRVSTQSGNSIPSSVR TGTGTGTGTTLGRSSSTSIAIGNPISSSRISSESVFFLFL
I206_01231	MVSNVTISIAKRKQDERERLIKQMEDELGLASEHPEDQVYVTTC LEQIVKNLKEKRNGWSAERVMIAFIRSACAAHRKTNCLTEILFEDALKRAKELDKQYA TTGMAEGDFWGLPSSFKDTYNILGVDSSLGCSPHCFNPTTAAEEEATMVKLYRRAGGI PFCKTNVPQTLLAFECRNPVFLTTTNPHSSERTCGGSSGGEAALIALNGSPFGWGSDI GGSLRIPAHYSGICGLKPVRGRWPVSGQRNSVQGFDGIKGMVGPMGRTVDDLIFASRG ILNLAHTSAEIGFRGEMIVPLPWKETEIPSKLRTSPACVRAVEESVQKLRDAGHEVVE FHPPDISEALKIFVGLTSAEGYKSLLGNIGPDPMESSMRLVTLGSKLPAWLHSLLGWV VDNLLKDHLFASIFATSRPKSVEEFWQFTARRDIYANAFRKLVWEDLKFDMLLCPVQA VPALKHGTTKLLSPVSVSTILFNVVDSTVGVIPVTRVNQALDTHPADYLNDSEGSWLL EKRVYDKDGAYDAEKMHGLPVGVQIVGREWQEEKVLGMMKILEGLGGYQA
I206_01232	MSRCPDARICENVFESVIQKEGILDKIDLSIGYIGTPNKTEPLG VTCKHGQIECIGNSHQLCLYKHLPIDKAYAIIQCQNYPSSFPKEIGTIESIKKCVNTV GIDWIKSGIGKCIQIKKLGKEAKILLKENVQKVYEKGIKTSCTIDIDSTIEKYGKRRC IVDGGVWKGCDDGHTPQDFIRVIEEEYKNLQGKKFD
I206_01233	MLGKLSSFLSSNEPLPSSHTAPRRADTYVNLSKELEQAGVPTSE TDCASCSNPCLEPSNGSGSINEIIYNGKSYEEYVLEKYGDLGELPKGFDTDWESDLQG SSKGGTGRVIVISTGKSDWERDHVDEKDSLAHHLNKVISSAPIPANSTETKSKSTFPF ISPSQFPSPSEPLSKPIPTLPSLYSSSLISQSDDPSDQSVLVFPDWKVVHEIDNSPDG AKSLFDNQLSSELGRSGKPFEVEDEFSRRRSWVLPYRAVILLCSHKKRDKRCHIAAPL LRSALHTVLQKHGVEIDEDGSSLSHLDGPPLEEMHGSDTERESEVGKRIGEIESVQGG EGGQVGIFNINHLGGHRYAGVMLILFPSGAYISYGRVTPAEIPRVVEDTILQGKIVPG LLRNAVGVERPGVKTGKGFLSW
I206_01234	MFAPPGVDSSSSGEDHTPPPSPEAQRIRPKFSFKSLFSPNTEQT IRRSRTPSKHFRRVKPSNEEVKHSTPIEAAEADNFLPTEPISPKKRVRIFAGELEKGQ KAKGLTNNLDENVRDSLILCQTQGSSKETQGGEEENMANNNGISEEVLIMLRKLMAEQ KEAEQAENDLKDTEKARDDREKLEREEMNRKEFEQAREADEYEAHRRRIEQRELEEHL NSLSDTSPIAISQPAQHISKGLTQSNNDTERSTDIIEKIRSGASLTKKKSLKSLKSLR IGGRGKKNDPPPVPPIPQDTSFDDGCGKIYKTPIADKFAKPLPELFERSGPYEIRTAP PGITNFDPHFYSPEKGQGYYLQHPVSTLNGVYHTNNHQTITSPPQTAPPIPAYHCVSP ALPTSMMQKDQWCQPPGLPIHAYHPVSPTPIQSPPVSTPLPYIYASDRSPTSTLDRTR RKPYETSTCPQVSGAPLQSPHLKDGPGKQVKRQTAQTFDSHHGEIEQMLKKDKQRMIE TDVFDPNADSQSTKYEGVDTTKTSDSKAESAEDNYHSSDNVQKTTEKVQEDSAIPSAG TSMDPLFSREHLEKSVNQYKAKYAEAMKVYKSFNSDESSKAKERLRAFEHKLKQSIRL LEEYPDGESQTKLSGVNGTQHPESVNRKVESGLPEEIKSPSSLKLMDQKASYEYDIKR YQARLAQLKKAYSKKGLKGEEKQDIKLRLRSDEMKLKEVIKALKKLELQDNGEALEVT AENENGNQLQERERSVVTQGKGSKKEDQHVAKPKESHTDEMKNDNFAGNRNQVVELKQ IVERYQQRYKQAIRVCKTEGLSEENKKEAKQEVKTSEAKLRQAMKALEEANESQDVAA IAERADEKQTGKRQEEANDKGLTGKQQELTHSKESIEKIPALNDIAQAKRGLEKEAYS EDSGEHGSLESAAEVGSEENNGTNFTEINRKEQAQKATETSAVDNQSYDGHAQNKQEA QVGPASEKDKPSTKLFELEKAIKHYQIRHKKASEAYNLGNISDEKKTELKEEIKKIED RLRSSRRALHKIKEVEEPPARDMNKGDEVKADVLDTEMKPPIHGKNQSTAETQDELKK KQPDLRDKSSEQKLKEAEEAVKVYKRSYEEAVSRLQAEDLSREQVKELSEMAKQAESR LQEAKRILEDMKRQDDKVDQKKIVIENEAKGPAKASSNDDTNTSAKARPKQEELEAQL QKYIAQHTQAIGMCKQPNLSEAERKKAKEKVILSEMKIRAVKEKLQSLQHEDGDLESK VQKSQELSNLDQSPEVDEKINIPSLQADNRTAGHEGSHRDIEEKLIRLKAQLSENTRI YKSGKLSEDDKKTIKQKILSLQIQIENTTKQLKRLKSSDSHIDSEQKPTTDKAGETDI STKSTIANDTKKIEERIKKYKEAYISTAKVLETNELSDEDTKKANEKLKMIEYSLNEA QEELEVSRGENHNGIGNSTTPKSTSNNEAVILEELKSEYSRLKSQLVSPDTPHADKEK IQKEVDSLKVRIKEFSASGQSGQGKPDAKENGRKLVSRKPLSRETEEGVKTSGKREAD AIQGGEVGEQSGLSEERWIQNETRLNVESGHGKVQPQDGTRKEYEEDMSLNGTGNISP WAPLRISQKSLPVQLAPSKSPFRSANMNQLQDIDDGTNHTTWSEILVSLTICIDLGNK ILRSIQASENPNPLIKIIQSVITGMILQKDLLASLKEVIEEVNHSALDEINHHIAIIR QYVEKILQMEDDKKIDEKISKEVERSIEKGSHLLQNATVKAIIAYFDEFTEYLTPGMI NILLDMAEIWKEEGLGVKMMVDTILATRKEVSNIQNEKVQSKDPKEKRESEIMIGILD KILSLLSIDLLDASRDNTGFGKDGKHKSLKPQLTTMSGSVRKERKDIHDKGESSDCRY PKKHREKPNPLKLYNGLYHTPPSYDHSLSPPDFRSQACFTPRHHFEQSNHKDKPEDPI SSLKLYPIAATPSPMARSHTPNEEPPKSLSYLVNKISNKFHRHSHDEKSSKHRKIRKE DTNDSEKIDLSGLKLPKTTENFLKEPYLGKDYRPIMRRGSTSSSFSATIPCDIPSNLP NSVGYIASEESSPSKYSQTTSTTRSDNVADDLLAHADSGPQGVRHDWRNDFDTSTPEK INEIDDNEIERAKDVLYNI
I206_01235	MPPKSIAQPQPTKQTPIQHASIQQQKANSAGISEFELPKSNLTK LAKGSVPDNVKMAQDVTLALLRGSTLFINYLTSAAHDQAHARSGKTITASDVIKAITE LDFGPSDALVPLLEQELQAYRHQIQAAKLAKKSAASANKSKTGPRKSTGTVNDGDIEM GDDDEAEDVEDDEEGGDITQNGLGDESEIVEGQEEVEEDS
I206_01236	MIFGYFGNLLYIGLLLTNAIAILNEERFLARIGWSSSTASSSNA GFGQSPNPHMYNDAFGQNVNEGPGVKAKLINLISATRTLMRKGKLHVDFVSIFGSTVN CGKRCHYNIRDRASVDVLHAF
I206_01237	MPAPTQQPQGTSSQGYSSDATAAQAAQAAEMSVILCTAGYDHSI RFWEAWSGICYRQITLQPQWKQVNRLAISPDKAYLAAAGNAAVRIWDISSLSNTPIAT LEGHTGNVVALAYSALGKWIVTGSEDGSVKVWDTRTAQIQRNYLHDCPVNDVIIHPNQ GELISCDQSGSVKIWDLADNTCTHELVPDEDVPIRSISIASDGSTLVAGNNNGMIYVW RIVPVQDGNANLLPVTSFRAHSKYITRVILSPDTKYLATCSADTTVKIWSTEGLDYAL EKTLQGHQRWVWDAAFSADSAYLVTASSDHAARLWDLGSGETVRQYDGHHR
I206_01238	MVNNTEYYKILGVGKDASEAEIKKAYRKQSLLNHPDKNPGDKRA AAEEKFKKLGEAYEVLSDPNKKEVYDQLGEEGLKGGAGPGGPGGGGGFGGFPGGGGGG GFSFHAQDPNDIFNAFFSSSGGGGGGMEDIFGGMGGGRGGPRMRSSRMGGGMPGGFGG GMGGMGGGDPHGQSSTKPPPPGEIIKPLALTLEELYKGGTKRLKITRHLQNGSQEEKI LEVAYKAGWKKGTKIKFAGAGNEDEFGQSQTVTFVVEEKSHNRFERIDDDLIIKLNIT LSQALLGPEGGGAITKEVEQLDGRRISVSTTEGQIVQPGQETRIQGEGMPVSKAGSAK KKGDLIIRWNVVFPTRITPEQKKELRKVLN
I206_01239	MDLGRPIGETAPTRGFDQGEHGAGTGLSGGYGEANASNTQAGKL AGDGFNAGLGGNYASGGIPSGTPIADQFSSQSQGTGKLDNSLDQYSGSGSTGYQGTSG GEAVSGAYEGSGTRDGLTGQSGAHTTGAGVGIGGENLTGSHGHHHGHHGHHEHGARDS ALAGTAVGAGALAGSEAHSHHHSTAPLERGSGLAGQSGGLQPGIGGETGSGVGPSGGS GGHYTTGDSTNNSSGLGSGAGLAGAGAAGYGASQSGSSGNNTTSTGGPESSSTRAGTT SNPTSGSGGAGKYGRAEAHEGQGKPVGNPKDLDTGNSHSLVYDKATGEYTHRRDL
I206_01240	MPSLFSKFRHRKSASQSSNTSDTSQPASPSRARKSVEVDQSRSP ITPSRQNVQQFASPSAKQPDTQSPIPHIPTTRTEEDVVVIDKYSSSAGNTDKTGHSKP FVDENDRPDLPTTTSNVNNPIPVPRNGGSSDSTAYLPKDVVKKPLPSIPQGHQGSQNE TNVLNSFPTPPAQEPFVPTKSPERQSFSHHSDPAHTRSPPLPHVDSVNRDAILRSEEE RPGIVGSTSRPIREGEGIEGNYPHVSKLPHSHKEENLDELANIPSEKVDIPSRGSSLY HQPGTNSNSGIEAQPRVQLQKQSQLRNEDQHDEDRPPQLPELQFEQQQHRRQGDWTKP SSAIDPISSHRDGVLNERFNDLSLKDGKRLGLEEQRDLMINKLTQDAEARAEKRKDLS ADGVETFKKAGMEKLLDKESSIDIRTKELEPVVKETIIPLEHTEYTTIITRDIHKTHY IPIIQPIHDPDPIILATRHRIFNPTTGKWHEVIGDAAARAILGEEAFRNGPRETRELR KPAMEGLEPMDEDAIRMAQEAGLLSGDGLTSLNGIRDYEYNTNAQTKIPLKGEYEDVT LDGIGSGEGKGRVLEREYPLGAAEDEEKGEWKEIASYVGIGKGKGKSLLKGGNNDDQV GVAM
I206_01241	MSSGITQGVKDFLKGSHKADSTEVCSEVAPEVVKENVRPTELTE TAEAIDRERHVHHHQHRVQPIEHKQTLETQHVNTTGPEIVREHKEEMLPEHQAKLHEQ RTIHQNETRTSETARTSAHVGQHVNEHEHHHIHETVQPVIQRETVQPTVVHHTAGIHE KIHDAPIVHEATTLPTISHSDFLKQKADAGAASHADSGHQHQFYEGAPRVGGHKDQIA 
I206_01242	MTSFLSGLYDFFLDGDETLNNLPAILDHQAAEQSLGGVRFSAEW LQQKSNIDQLLSDEIDTDESLSKALKIFAKVIDTLDLYAPALKGLTDVHAYTCETLES IIFDQPVADRRGQAAAFLYGQAMAMSTLTSEAVSLAIENLLLRGDQTASNLALCIILG MERSSSILFESHLQILLRQVQMAAFGGTDKSVSQEALIRALKIYSPTEKASSCQIILQ TTHSLLAASLRLCEPGIKSGKEEEGVSWCCFIIQHTPMSLRNDLRVRYPTTCSSKSIY KSLLPLVSRVSSSAQIALCAALPILATWDVMTFGESYLMSDTINFMLGLTDSPEQGLR VASLQGLGQVASVVLHRFEPFLQEVGKTAIRVLKDQKTPKDQKAAQKLLVRLLASMGP ITLDVMIEYLHHPHHVLLADVQLLEMIATLLPNLAKRIIQTLTDIIDDILSNKEVRCK YLAKLIACSRLISLHIDPTEAVLEALNVFPLNDRDLAIRAQKLVLWSLDEDKGKNVVI AALQLSTNPIIATASIMRNLTFKAAHLLLADVHVALSVLANPALASMLDERSCSMILL ALHQSLEPSIQIVAAKLLTHLTTAHRAIVQPLAWSHIRQSLSLMDFLHSVDPNRAVVE ACIISNLLPALPSSLHNKNVGPVKELILKGLRKPSEANTLSLIFQGLSNLSKFEGFNF EQQEKLIFNLLEMLNEIPKECKYANLNAISNLLYLPKDGLDVFEILLKVHNIFENKNL ISTTNYNNTNNNGNNNNSNGINLDSDLKALVSSIYGHLGTVERNPVISRDSKAAINRE ISSYPLKIKHLSRISEIKDRYGPLSNTHLNHLLIENLLSELNLLNKSNDDRNLILETL TCLSILQPSKDFNNNHHHQGISGGSGVGEISQLIPDLPLKIIKELKISLNLRDSIKDI NSTSISSNLLFLSCVLHNDLKIWLNGYWNQTTEILSECINSGEILPTLRGLDVILDCI SD
I206_01243	MSNSSNSGSWYETLTSTPILIATAVGVSFAAIFAVSSSNKTSEQ IKTQVKEVTNVASSNTDARGKAAQAGASIMSAPSTDLAPPKDDPISASSLSQYDGSDP SKPIYVAIKGRVFDVTPKAEMYGKGKGYNIFAGRDASKGLGMSSLNLEDAISDYSSLN ETQMNTLNQWESFFEKVNLVARHTVI
I206_01244	MATNDYYATQASQIANPNYGGTPSQGYNGYNPNVNGGYDPNQQI PPPIHTQYAPQPQLQMGFNSNQPSNEQTDKGVGSNAATCCGLGACCLCCLECCAIDAC CDAVF
I206_01245	MSQLPPTPPSYTSANPPGSPTTPNRRHGFDNPPRSYNHPTESSY ADRSPINGNYETSAPGLPRLPSGGLNEFGYTDQFRPTTPSGSVGRRPLPSQPPIHHPA LPPNPYPTHRSASGSGSGATGSLGRRALPTPPSQQGASASPTPPLRPGGGRALPSVPG ASRSRTVSGPTPSFPAGDPPPPPPRPSQPYASSSYISAPLPPPPRQLTQSRPPIPHSP INRLNHTPDGDRISSMTPSSSSSSNSYPSPSPSRVPSQSYSTGHSSTTFSSSSSSVTR NSSQSTHTSSFDSRPTSMSSDHDSWLPILERFGDLGTVDEQSGGRESLDELAPPSISN EALSGRGTPTQKDWFSGPPSLPYLEEGRANRNRAASTATIGPNGEAFPTTPKGDDQRF ERQGGILGAPFQSDPPTPSRSSSNRNVSFPVPQIQSAVPADEEGTWSSNETVPAPIRR EGSTRSDGTNHSMTADDSDTQSVSALNPSSSSTTNLLQQISNSNNSTGDTPQRQSSIS SSLHPASISSRRTGRSHTSELSPSWAAQTQQPSNWVNRKLQIHQSHRNPFDDDESGEP HLYDDDNWDEEEEEEEEELDVNEIRFFQPAFISEMALQLRDKVERGRHIKAGIAWVGS FTGKDIVTTIQNLLPPHTRASGNDRRFAHATALSLQNQLWFVEVDWDIKPLRDSSDDV FRFMGEMEGMGSGGDGLTTELPKGLMSMATRCYSPSCMGDKGCYAPRCPFKTNPNSFL EKIDNTITASVSTPASIRHGDWKDDVDPLLLRDLSPQQMARQAVIHQALQSEEAYLAD LQAMETLFIEGIRDSNPPIIRDEYRREAFIHEVFNNALELKEACKRLIEEFHIRMREQ PIIFFVGDLFLQAATEFRNIYPEYTGKLPQAELALSREMEDNLEFRLFIERVIRENDR RRDVKYLITRPSTQLQRYPAVLEGILNATKQDDPDYDFLRQALVSIQSLSSLSQLKLF HASKGRGPAGKLQWYDLVSQEDRDKILKKEQKRQMQIWELIQGEMEYVADLESIETLF VNGLRLADPAVIDRNRLDVFLDEAFHNYRSLLEVHSRLLENLQFRQLEQHPHFGMISD LIFDAALNWQEAYMEYVTHYPIAKAKVQEEESRNPKFAAFLQTCLKDPSANRQDIYHF INRPIPRLLRYNLLLADILKSLKEVGPPDHPDIEQIPGVMEVITDLGKATQKGVAVNE SKVELWGFQHTLDGSRFWPRMVKDLDLANPMRELIHKGKVYRQPEGSIGGSWTELVVL LFDHYQRMSRSRSKDHHRQIKYTINRRPIPLELLSLGNFSDLPRQRNTGRLFGVGGSN TDASIEDMTNNSNDKDNRTVYPFSISFIGQGQLGGAYTLWADSSSARDEWKKQLLHAK VLRLEVNDAGKVFEMIPLSENTFYMAPNYAVPKDKEDQFTGRVTCSCPFTTSDRRNLV AVGCQDGVWIGVRGEASSLRKVLHVKKVTNIAVLEEFGMFLVLSDNNLFAYHLEALVP SGNSRNQWKTAPERVTMPREEISYFTVGRLDGRTLVVTMKKDTTTSTFKILEPVLNRS AEDPRQRRPFGFLGKGSEWFRPYKMFYLPAEVYGLHFLKHKMAIVCSKGFEIMDLADL KGGSIPSFEAAKLRENPRLLEIQKKCETAKPLGMFRSTETEFLLCYDTFGVYVDRHGE PNRDQRAIEWEGKPDSVAFHPPYLLLISAPFIEIRHIDTAKLLQIYTGSDLRLTWDGS GGHRHQPIDNPGKNGYGEETKIQEPQIHICQRAPDKRQLGRGLQGVGQHVFELSPTLL LNNPLMNPFNTHDSNYLPPPPLTTHATPQIRQARPPSIMTTSSAEYAQAPVLGQYGSY RDSGYNINNGFRDSGYNGYSSSQPQIGYGNNQFNQQQSGYNNTNNSPAYYNSQNNSSN NQGGFVRRESSNSNTTSGYSTNSHWSSGLHGNQTPNNNGDH
I206_01246	MLRTIPLCRPSLASRSIRTFPSLAARRTVPTQTRSYAVAAPAVG SYGQVDGEPTLNSPSELARRISAKVLPKLEKPDVKKVLVVGSGGLSIGQAGEFDYSGS QAIKALRESNISTILINPNIATIQTSHHLANEIYFLPVTADYVAYVLEKERPDGILLT FGGQSALNVGIQLDKMGVLERLGVKVLGTPIRTLEVSEDRDLFVQALNEIDIPAAQST AVSTIQDALDAAKEIGYPIILRSAFSLGGLGSGFAHDEEELRNLAAKSLSLSPQVLIE KSLKGWKELEYEVVRDAADNTIICCNMENFDPLGTHTGDSIVVAPSQTLSDDEYHMLR TAAIKIVRHVGVVQYALDPHSRDYRVIEMNARLSRSSALASKATGYPLAYTAAKIALG HTLPELPNAVTKSTTACFEPSLDYIVTKMPKWDLAKFQHVERNVGSAMKSVGEVMAIG RTFEESLQKAIRQVDPNFCGFDAYWKPEDMETALANNNDRRLFAIAHAMLNLNYTIDQ LHDITKIDKWFLYKLENIVTVYKQLQNTPFETVDKELITTAKKTGFSDLHISQLAGVK EAEVRAKRKSFGVTPFVKRIDTLAAEFPAYTNYLYTTYNASTHDVDFSENGTMVLGSG VYRIGSSVEFDWCAVTCSRAIRDLGKKTIMINYNPETVSTDFDEADRLYFEELGFERV MDIYELEGAEGVVVSVGGQLPQNIALRLKNSGVNVLGTDPEQIDNAEDRHKFSSILDS IGVDQPAWTEATSLESAKAFADKVGYPVLIRPSYVLSGAAMNTVWDEASLEKNLTLAT DVSPLHPVVISQFIDNAQEIDVDAVAYKGELLVHAVSEHVENAGVHSGDATLVLPPFS LPPTELDSYKEIAKKVAKAFEISGPFNMQIIRKPPTGNEVPELKVIECNLRASRSFPF VSKVLGKNFIDVAAAAIMGENVPAPIDLMKEQRDYVAIKVPQFSWTRLPGADPFLGVE MASTGEVASFGKDIHEAYWSAILSVNGFKLPKKNSGILIGGDISRKEMPIIASNLLSL GFKLFTYDQKVESFLNEQPNLNIKKIYIPVKDKRKLREVLEENEISTVIDLSRSRAIS INEAEYAARRASVDFGIPLINNAKLAVLLTETLIKKFNQSPLPYVEGTNPPEVKSWRE FVGEERAY
I206_01247	MSVVSKNIFDLLGDSTSSPAPAKSAAPAKKESTPAPQRSVPGSQ PRSSGQGARGRGSYPTRGGPRATYTSSEPRNSGPVSSEGNVEGSENAGGFDGERLPPS RKGNQGTVRDAHTKGPRGNRPARGGASSRNVTSGGHSSYGSGHYKGGKVPAQAGERRQ FERRNQNGGTDSQKKVEHGWGANTGEAELKDEVEGEKDAQTEEAQPETPAPEAAEEVA TPAEPEEPEEVTKSYDDFLAERAQQALSIPGLEKKEARTVSGDLEGTAFVRSGVEEFF SGKVG
I206_01248	MLFLLGLLSLVTGVAQAQTQAQIQACANSLALTSYEANPTWSTQ DSTHYFNATYSYNPALCTSPRQVPVTRIYLADLSGPTYNCPKPPFDSSGVLYTQCTQT LGSIAQAAKDGDPD
I206_01249	MVRETARLGLSERWSLSRKNVNSPITVIYHASIPADCVAKEDLV EAARKLSEIYPVLSCGVDGASSRTPEYVYRKDLSSADVVKIVNLDKEDSLEQLVNRAV IQGKSYHVDSGALWEIQLHRVSSSTPPSPRDRLVLLIDHILCDGLGARNLFADLLGLL SGIPIPDQPDGLPARMDDTVNLKPALESTEPSIWSYIQPYVGSVARRLSSFLTSPPLY APFPPRQQPGYNSVTAPQQYSHFDLPSSDLSALLSEGKKHAIPTIHPVLHIAAVIALY RATKSTTGLSFYSSIPISERNPSLGHPRSTGNYVTFHFSTDRINRSTIIWEHARGFSS TLRRPETKLVARQTLGKLDAIDGDHKEDDGPSGWEEHLKKVMSDSLGPHKLSLAVSNV GLMELPSTGKLAGEIQDVYFTQSASAMGGCAVLSILSTRGGSMTVSVSSKIGSLPAGV FEAFAEQLKPIVYAIARGDVGENTQAGDSIAGVPDI
I206_01250	MVESKSNIEKYLEAKRANIRRLTPEKAYRAITEQAGTILVDTRP STYRNSEGIVPGAIVVERNVLEWRLDPTSPDCIEEAKAHGFKPIIMCNEGYASSLAAQ VLVDLGVETATDLEGGYRAWKAKGLPIEKAEKGHS
I206_01251	MATTAHTQTEAHSSLLIQTIKLKGAPEDESTGVARNTLPGPMKY NGTLEGYTHFEVTPSIGREFGSDLQLSELLKAPNSDELIRDLAVLISRRGVCFFRAQD LSQDEMMQLQKKISTLAGQPQQSNMCIHPVSENVGEMGAKTQLISAEMQRKGGGIMRL HDDVSRWATRAYHSDVSFEKVPSDYSMLKINVLPPTGGDTQWVNCYDILDKLSPSMLE YFKGLKAEHNANFFHQEAANHGRTISKTMVRGNPLNVGDDLSARHPLIRTNPVTGWNA LYYSYGFGGRIQGVTYDEHVMLREYLTQLCMNNFDCSVRFRWEAGSVAIWDNRSTLHS ATFDYAEERRGDRASSIGELPYLSSSGISKSEGLQAEGVKW
I206_01252	MSEKVDLQVDLEQDESTTWNQVRVEADREEDWQHNLTIWQALKI YKTAVFWSVVASFCIVQEAYDTLLLGSLFALPAFKQHFGYNAGGKAGYQISAAWQAGL GQGANIGSLMGVFLGAFLVDRFGYKYSILGNLIVLAPIIALVTFAPNLGALLAGEILS GIPWGVFSTLAEAYASEICPQSLRGYLTIFVNLCWVLGHFIGAGVLRAANDITGKWSY RMPFAVQWVWIPLLVPLMAFAPESPYWFVRKGKLSKAERTVRRIAPAADQDRVHEIVS SMVRTNQFEKDVTAGTTFADCFRGVNRRRTEISCVVWTTQILCGLQFANYSTYFFQQA GLPTVYSFDMTIGLYAAAFVGTCLSWVLLNYFGRRKIWLSGLSCLVVGQILIGVLSVV ADKGHTGARWAQAGLMIGWLFTYDMTVGPLAYAIVGETSSTRLRNKTVGLARASYNVF SICFGVLMPYALNPTKWNWAGKTGFFWAGIGSLCLVWAYFRLPELKDRSFLEADIMFT RGVPARKFRTYVIEANADKHVREVLDK
I206_01253	MVECFDSAPTFDTSLATAEPPSRRSPASDAGPSNHNNAASPFST RTLRACSSCSQQKLKCSGGKPCIRCVDLGFPDRCVYLPSLRGRMRGKRKPPESSETSE GISEAKKHGMLSSGDSFGMLPPERPTLEYIRWKKNSTIGETAPGNSLIWNRSPITDLE LQHSINGKRRRTRAIDGDSHREGPLDKVKQGAPLETSQEQLPDDVADRLTSLPLPGDR NPLAVLAEASASVSTHNKIPAPSANNQDAIPGAGTKQSGEGYYTPLHRTLKDEAPHIM TFISVSEADKLFDLYFTYLHPHLPLLDPSHSSPSAVARRNNFLFNAICCSSAKARDPV LWSRLADFAQFEMERLPKEKNIDVVQGHLIYITWNLHRPKHFELDMTWLRVGLAVRTA IDINLHRVAVSSQAREGLPGWVRRAIARTWLGVYIADRTLSAQLGKPPAIQEDLSLSS YVTLLRQPFNHDTQHRPALDCHPSSSDDLWIAALTEWTQILGESVNTFRHSGTDAGTA AQPLEPVASAAQSNIERSSLEAFRLWRQATENSIRACYQSNSKYPNGRRTAALALPFT MANIRLYQQYAELVVHSFALERVLGSARGDLPVTVIELQSSASQLIQTYHSSFDGLSH TRLGCPDMLHTFVTYAAVSLLRIVQPQFNHFGLGWEQILAGVELAAEMLGRAVTHSDG SENDHATLLLRLLEAKRTEQAQPAEKEDERSEANVQRSVPYSSPDQQNLHEFRPMSRS IDLETFGEMLDQDLGRSIWPPMLEESRNPFDAFLDTFLTSFGMDIGSTEQQDLRFLAI QTGSNTEEN
I206_01254	MTVSGTSSRSVKLASGDEYPLTGLGLWKVPQAECADLVYASLKL GYRLLDGAADYDNEIQAGEGLNRAIQEGLIKREDVTIVSKLWNTFHAAEHVEAACRRS LKDWGVEYFDVYYVHMPISLKYVDPKVRYPPGWSYDGTPTGNLVLEDSPFHKTWEAME KLVDLGLVKNIAISNMAGAMIADLMRYARIKPAVLQVELHPYLAQPNLVRLARAFNIH VTAYSSFGPVSWVGISDSVVAKCESLFTHSVIANIARSHQATAAQVVLRWASQKGITV IPKSSSEGRLKENLQNESLDLSEHDMDAIDALDIGLRFADPAGIDPRLAMYA
I206_01255	MHCLKRSNTCRDPEALVVEDEKNQINVAVIVDAHTEDYQDYLTL REDFSSEKEQRLNRKIDWHILPPLMLIYMLTYVDRSNVGNAKLFGAQKDLGMKGTDWN IGLSLLFITFAIGAPFSAALGKKYTTRFKLPLLLAACGATILAAGCSNNKAAWYAFRL ILGLFESGVPSISAYILSTWYSSDIFTSRYSWFYFGATISGAVSGLLAYGIAQLDYHW GYKGWRWIYVLEGFASIIIAILLCFVIPGTTERTHKWITSEEQRFILLRGRYTYGTDK SGNSSEFKLKEYLSAWTSPHLLIPGFSFFSFPVGIFAFSFTLPTIIASMGFTAAAEQG LSAPPYVAATFAVGFTGWASDRYKTRILTVVGPAFVAVVGLAMMWASVGKKNLVGVCY TGCMLAAMGFYPLSPTHTSILALNNAGQSKRSAALGGLLVFTQVGGVLGSNIYLSSQA PYYPVGFGVSAGLVIFGNLIVMTGYWFYVGAVNKKRAAMSEEEIRAEYTSDELEEMGD KAPLYIYSR
I206_01256	MTDATSALSTLSVPRLFSLAGQTVIVVGGGRGIGASVVLGCLEG GANIFVLDLLPEPDKNDWPPAKATAEKNGLKLNYGVADVTNEESLKMGFQTIFDSIDE SSAPLRGLVVTAGVPIPISGLELEYDQWKRGIDVNLNGSFLSCQIFAKEWINRNPKVN SSDPDSPLASIVLTSSIHGQGFNRQVPGPSYGSAKAAIKHLASILGAEWGARAIRVNS ISPGLIVTSTMRQNYIPTHPEYVDNMTKFTAIKRVADASELKGA
I206_01257	MNSSSSKSSSSKANRRYPDLHINLSSPSTSYSSNQGGSKHNLEV ISPTNDFRSRSRSPSRSTGVNLDIDIPPVPDSSALPSPSNPFQYEFSPSPESFLSPSS RFIDPARYELKRWNRIIMKRNCQPTPLGYALLSLTILSVLYLLSGSNNDTHLLPSSWS STPSTNELPYDDLEHPEDDVGTIEQPDLPSLPSIYSSHFPSLSLPLSFDVPKYYHLST KLTQFLYRPVQAHDEAKESNYEGCPRELSDKLVNPDQYNGDAQFWIEDVKEEEIAKRR YDVVRWLEEAIERGEEVIGRKDGSTGKGRGIVLTGGNQDTTLRTITAIKHLRRLNVDL PIEVFHYSDELTDGNQRGEIENLGATLREAKGLSKVEGVWKNWQIKGLALVQSSFREI LYLDSDNVPLRSPIHLFDSPTYQNNGRAVFWPDLSKDHPDNAIWRIVGDTCSLDKWTF ESGQIIIDKAGNDGLNLAALIIASGMMNERDFWFRMCGGDKDTFRWAFRILDLDFGVS PRWMSALGFRNQFEGNRFCGHSVLQYDLDTPEGFSRPPPLFVHSNLLKHLGAGGLGKG SLFTDIRRMSDDYSSSPSLNYAHSWVYMGQARGMCLDLDWHDTVPEELRERERPETIP VSEEEGHVFEGFEDSWFDEGGRIGGW
I206_01258	MTTNLYAVAGPSKPSSVVSVSTASPKSEPPSPLKSINPLPSSSS TVSPHGNTAGVSKSGMSLDENGEVLKVPAFLNKLYTMVSDESVNELIYWGENGDSFFV PNAEQFGRELLPRWFKHSNFSSFVRQLNMYGFHKVPHLQSGALKNETPVELWEFANPF FKKGHPDLLVKVTRKNNRPGNQPTAPLTATGSLNTRSASQSASTSSAPPGKYLITDGT VEGEANQLVGPAGQALDLNAIHSGIAAIRQTQATIGADLRKLQASNEALWRQAYETQE KQRKHGETIDLIVSFLEQLFGTQGEGLKGLKEAMLRGGLGRPREDSNSEETVSGSAKK RRRMGLDRMIQNAPAVEDEDDDDRLVEIGSTYSMPPFKRAATTPDSWGSSAQRFTTIP TEDESTPTGSTRATPKGITPGAEQTLGANHLSPLSDSDHLLPSDSNALARYSAPNNPH QQQLNLNPQSSAPLLSPTSAEAAASAYNLDPSLLQTTIGSLIQSPAAAQMFLNSLNNS VQGQALQTPTKTGQHLQQQQQQQQQQAPQNYQTSAIPQINSDASLDPTLALFSPLPNQ DSLVQNSNDLMKSYQDAVGMNGNVDQLQESIDSLVRSMGLDLPTNTTGDLSSGNTNAS GNMNGFNASGMGGEGEQLLDPDFNVDEFLEHLAKSGEEDGNSAINNGLNGSM
I206_01259	MSATAPGESENLVMRECALQADELSQAEASNTKSTSAEQSNNEL PTTSIISAAPENRSDIVPSQPLQKSTTTSMNSEEQVTSHASSSTLVPPSNNDSTISVS PSPAKRRPKPPTKGILKPPPPPAKPTLGNRLRDMVGGAVNTAVGTTSRLFDANEDSIA GPSSSSSSTIDPTAKGPSSMTPATAGAALASISGRLAGLGLSRFVPSPNNTASMSATG SPAASPLPSRSISLPEAGSPMSNNGSNGVVSEKMKQKQPLKRATFVLPSLSITYPISS HGEPWSAKVVEDRQRIELNHKTLLSSNCGPKYWHSRRLVLLYETACRSREERPRVGIT RALEMIPPPPKPRHIHLTLRLIDHTTIGVTAPPGSPNTLDVPFTRYSAESFADVLSAE WGLSELKLENGVIETEESLKPILHALLISGTLPNLSLKGNKKIKPGGWRLLAVFLKRA RSLRYIDLSETTWDKKSIEYLVQALTSTQIKATESTPNTGVSSDASAQLDVDHDAKVI AHHMANSIDAADAKRTSKDAYGSFIPPAPLLKEHEESSTPAAVQTLRLDGCALRANVL ETLAQGVRSSDLKNISLRRNRIGPLGAVALALMIRDYPDSTLVMSSLSPGLSPNPSTQ SPLLVPSDAASSPNPTNLPPTVPYAARTRKPHPPLLDERDLPPIPLVISSPTGGVTSR TVPDGYKPPPPPKHPLVMPGGGNSAMQDAGNFPITAEGKMSNPELGGASMALQRSVRA LDGVERIGRLLTLDLKSNEIKNGVTYIAQVLKRNRTLKVLNLSDNKIESSGLASLAEA LKYNSTLETLDLSNNPCCGPSNEGISSLRTSFTVNTSLKRLFLSDTGLTTEGAISLAE FLPESKSLLHLDLTNNDQVETAGILALSVGLKSNNLIRCLDISIQPNSISQSEISQSI LQSCIRNTELAVEKASSQQEAIVWGPIKKSNLVKQIKEIDQLKKDQERFELTFTPSGQ AREYVYTLKPERVLIISEETLKYLQKWFEAGQIYNNLRNNKDKNISNQWESNQLPIEE FETLYERSKVLKERIIEQIQDSNLPSESLERLLWLNDQFTNMIELGSNFIPPPRLLLP SQIVPTNSPNNQMNGNGGNDNNIKYNGGRARSGSGNGGRLYPQRRHMRISSTEISSPN FSIGDSDNDSDPEEIDETSFTQSTPTKTPILRRLNTPTKSNSGLGLGSINTSNPVENE PSVLTAHTNENSLEDQNKLQGKEGEFVENDFLTDLTSPTEKASRKWVEEEGEVFRKGT RLGVVDEKDDTLGEEKDKISGEELRKEILETPVARSPTRRVIPLEGERVEGEEDDQEK SENAS
I206_01260	MSGDEYEEYYQDAASDHSSSDHERYVEAEESRDDDSDHSHHSRK SSHSSKSHHSDEDQNDNQYRGERQHHEEYRRQDEYGDYGRGPQPVEEPFYSGNEYNES RHSDGSGGIPAGVMSAFGAMGLGGQNKHQDQSNQRYVAYANDNQSYAGGDGDQYNNIL PPGPPPEHYYPTGAGYVPQQQYQAPQPPYGQQYRGDDDGRPRQQHYGPTFTDPQTGEM AQAYFEYSKCNGTRKALLIGINYFGTTGELAGCINDVHNVQKFICERFGYRPADIVML TDDVNDPRTLPTRDNIIRGMQWLVEGAQKDDALFFHYSGHGTQTEDLNGDEGDDDDEA ICPLDYGTAGLIVDDDTIFDSCHSGTVMDLPYVYSTEGKIKEPNLPDEAKEGLLGAGM DILRGNAGGIMSNLFGAAKNVFDAKKADEKTRKTKTSPADIIQWAGCKDNQTSADSQE EGKATGAMSYAFIAALTKYPKQSYQQLLVSVREEMRGKYTQKPQLSACHPIDTELEFV A
I206_01261	MSSYDATPDEVITPTQFRDSMRLVRLQIAIPISVLVAMGANLVC ALAIKPGLSGINTLFPTLLSPNATFVGIYWAILYILQVGFCLVLLLVRKDVTKETLIY GVGLRFAISNWLQAAWAVFFTLQFFWGAEVVLILNVILLLSIHITLLYYPVTLKRPID ALFIHAPMTMFLAILFQLDWMHNGFVALGWFIKEETAREKYTWQAVGAVAAVNFISAI WAVLSISSLLTTASVYLLFTLLFASPRSNPTLPTTALPKPTPLLVTIIICLVLHPIAL IAGVAWQRTREKEGRIRLEEEVERAEEDERDAEREARAEARARRA
I206_01262	MAQEGSFTQFNDVEKKGAYTGAGVEAGHSVSVDPAQDVYYDPSK ESLMTRLGLNLESFKRAPGTTRGLVAHGDIPPEYLQHDNPLLQQKMKPRHLQMIAVGG SIGTGLFVGSGSALGNGGPAGILLAWIIMGVMLINVTQALGEMAILYPVSGGFYTLAS RMIDPSFAFAMGWNYVLQWAVVLPLEITVAGSTVQYWTDAVPLAAWITIFFVVIIIAS ALGTLGYAEEEFWSSCLKLLVVVMYIIIGIVCICGGGPAGGDFDTYQGARLWHEAPGA FPNGFKGVCAVFVTAAFSFAGTELVGLAATETPNPRKTMPSAVKNTFWRITLIYVTSL TIVGLTIKSDDPDLYNGSGSDISPFVILMDRARIKGLNHLINLTICISVLSIGLSCVY AGSRTLTALAETGYAPKFFTYIDKSGRPLYSVLALLVLGPLAYINCADVGGEVFNWLV ALSGLSTLITWLSICITHIRFRKAWKAQGHSVEELPFKALGGEYGSWLGTILIIIILI AQFYIGLFPIGGVESAGERAESFFLSYLAAPIMILFYVVGYAWKRGTPRKASEIDLDT GRKSWLTVEEMRIYRAERAAAPFYVKVYRMLFSN
I206_01263	MVSAKSIVTAAKDADLVRDFTLTGADANHILSEEPFINNTENNS SKLDAEDVIVESAEVEDDPTVPTEEEKKTLRKVPGKVNRAGYALCFVEAANYASYYGV TGVFQNFIQRPLPEGGNGAGAPPSGTQRSAGALGMGLQTATALTVLFQFMVFCTPLLG GYLADVKLGRYKGLWVGIIIGFSSHMMLVIASIPSVIAGGHAIVPFIIGMICLSFGSG FIKPSVAPLIADQSTVKRQTIKVLASGERVIEDPGVTVERMLLLYYWAGNVGAFFSIA TSYCEKRIGFWLAFMLPGICFLLQPLSLLWVRSRITHFPPSGSSVGDAFKVIGLIIKR SKQSKSSEDKWESIKPTNLRASGEYDQIASQHKAGWISFDDQFVDEVKATLKALRVFV FLPAWYLADGGTDSILTNLAGSMTTNGLPNDVLNNFNPIATVCAIPVYNYLLYPTLRK MGINFGYIRRIAVGFFIGAILNAIAAVLQWRVYETSPCGYAATECDVGTGVSGLSAWL VAIPFILQPLGGIFISVSCYEMAYTMTPPRMKGTIIACVFFTSAISRAILLGCTPAFK DPNITWVFVGIGCANLLASASIYFFFRNLDVDKKETNLQRMDLTTEEIERTKGKV
I206_01264	MSHLTSHHTLPPFPEGEKTAPLVSVSFSKLEINDEEASAALFQA CKQLGFFYLDFLGSSLGEIIVSEAEELNQVQKEFFALPNEVKDIYGRPHLHPFYAYRY TETEGKDENGSPLRNANYNLRKDDILGQCERLACHPLILQHQNLFEKYVRHCREAVNV LLDHLNSHLQLPPGTLANLHRINERSGDHVRFTQNQPHEFNEERARRAEHTDFGSLTV LFNWLGGLQIRTPDTNEWVYVKPIPGSCIVNLGDAMVKFTAGILRSNIHRVVPAPSTQ AHLTRNSLVFFTRPEDAVILKRLKGGIIDAQPESDEPEQEITSHEWIMKRGTGQMPGI FTSKGFEGRVYDAPSHVTPSVGIPA
I206_01265	MSILITLLLLGLTNIVSAFLNVTETNGTLSLQNDRVLFIMNKTT SYITTVIFDGVNLLGTPVDATSAIGPYADAILTPKQDNYVPGATADYSIVQGVDSSGI AYGGMIMSQNQPEGIVGEVFQQYWFLRETETSLHAFSRIAYHNSSVPFLADLQDIRTL FRPHGYLFTHLSTNKDFYVPQPRPNPAIDASKDLGIATLVQDTTWYIGNRTDDPFVEN VADYFTKYTMSDTYRHHTVHGLFADGTNTPDNSTIGAWTIYNTKDTFFGGPTYSDLVV DGLLYNYIQSNHHGDQTPNITDGFDRTWGPAVFHLNHGAIGGSLQALQEEAESFANNT YAHQFYDDIAQHVPGYVTTAQRGSWKAQIQLPEGANNTIAILSAPGYDYQDNVFNTSA YQYWCEVGSDGSVYIDRIKAGEYRLTVYADNIFGDFIQEGIVISASQETDSGQITWNA ESAGTELWRLGVPDKSAGEYKHGNHPDPNHPLHPPEYRIYWGAYDYVNDFPNGVNFKI GESNEADDFNYIQWSVFGGSLTRPEVVANPTINNWTISFDLQEQDLTNVSNATLTIQM AGVSTAAGNTDVLSNKTKYSNLPFVVAINSNELEPWVIPYYRSSSCAIRSAVTCYQNA HKFTFPTSYLQANATNEMVLSLPYNASGISVMYDAIRLEVQ
I206_01266	MVSISSLTSIVAALAFIGSASAVPVTAVVSSSSSAAASSSVASS SASSAAPSSAAASSSAPVPSSSAASSSAAASSVSSAKASSTSSAAAVQSSAAWTIPTG WAVAPSACIAEGTSGRALSWVTTSSSSMTVEKCLAYCDNYNMPLAGLEFSGECYCGNV LGNGASLNAKSDQCIMNCNGDSGRKCGGPAAVSLYVSTKDNAATLSSDYTSTTITLPS GWSSTGCYKEGKTGRALDGWSYSGVINTATCINYCKTKGYSMAGLEYGGECYCDNAFR NGAGDTATTCNMPCSGAPGENCGGSGVLQVYTNPSLAPSATTVNGYSKQGCLVEVAGR ALTGASFTSDSMTVDTCTTYCKSSGFSNAAVEYGKECYCGNSLANGASLSNYSTQCTM TCAGNKKQNCGGPNALVLYSM
I206_01267	MSLDLRRAVYMPCGLAFSGMAVAEIIPNSRQRLALVGYLDPRVT SSIHTASSPNTLCSK
I206_01268	MIEDADDLKKPIEVVESEVVEVTEEDNRRLRRMIDKRVLPILVW CYLLQILDKFVFGYGNVYGMSTSLGLHGTDYSLASTMNNIAQAAWMPFSTYLIVKVPA KILMPALIVGWGTAQACMAASHNLAGLSAARFFLGLFEAGCVPMFSVITAHWYRRSEQ PIRVAAWYSTVGVGQIIAAVIAFGFGKIHLDKLESWRLIFIVVGAITILSAPFVWYFL EADIQQARFLNEREKAMAIERVRANQTGTGTREFKWAHIREVLYDPKTYLFGGLSLCV NFGATVATAFGPTLLEEMGFTKETASLLNAPFGALQFLTIWLGSFLTQRFKQKGLILA AMMTPVLLGVALMYVANKSEQRQLHLALGAYYCLSFIWSGNPIIVSWMVANTAGQTKK SAILSVFNGFNAIGSIAGPLMYNSRDSPRYIPGLRATLGVFSAMMGCNALCFVCLYLL NKQRERQRVAAGKPAVIRDTSMLNKYETYAQNDGLGENALKDLTDFKNNEFTYVY
I206_01269	MSPLPVVDDLLDTGSEASIRLQEWTSFLQSYASGAWQSGSTPDM PQYVPEPESVTVDGKHCDVEKSSKSLALRSNVYSSPVINKSLAKRIRLYLSEHDYLPP PRSPQESLRDKIIQEYDLTGPVSAGNIQSAVEVIAAFFPGTIVTFSLYSSDMQNSFGE AGPQDLLESFGLKTGNWVEPESSMCGHSILLDGQILHVPSLEDDWRFRSNPYGQAGMK SYIGSPVSLEVDPLNSTPSTVGTPERVGIGVLNILFMYKPLKEMTAQHLMVVKNITKM LETQLRATWEGHTRTREAKVRRALTDLIDEAFVGDQSTLSDSFAEANQVSKGAVLTGR SVSALNDLAQSALDRLITLAPEIEAVVMMDIRGIGFKAAEKSSDARYFVDPTSLYPIS SSAFASQHGISWNDLPGPSDLTSFLNQSQFGFTFSRSSPSALQPILPPQTQSHLVIPF FVLDQPSFIIIAISQASKIPSSTINITRSMGSILLAKAMQSRVMEADTAKTAFLSSIS HELRTPMHSILSGLTLARSAIEDKEWENLNSLLGIVENSGHALNRILDDVLDFDPGFN KRTSVLRRIKVDLLKLSQKAIQMCLTKSDDLETGSTVHLEHEGRDWTAYIDEARFHRI LINGITNAMKFCKKGSITISLTTANDSTSLIARVTDTGMGIDEKVLPRLLEPFTKQDL HSPGAGLGLYITKNLVNSMGGTFALRSSIGTGTVFEATLPIEFEFVNDNSNAGQHSVQ HNKINEGAIAEKLPFTAVKLGSAPTVNGLTTVEEIDRSLKTTKKKKVHLDDLKVMVVD DNHICRMLLTRSIKKGATSVQCVQAADGAEAVKVFTTFRPDLVITDVSMPVMDGITAA QQMRSISEEMQFPSCKIYALTGLGSSDPRLQSIGMAGSAALDGWLVKGQDDLKAIQSI IAEVYKDVYTRRIGDLQTKVEEIYIDNGIPPNSLLMG
I206_01270	MEAYFQYKSFTPSKEPTHEPIHENDLERPKHINIYLDFDENDKR NPKNWSVWYKTFVIGQLSFLTLSLTFASSVSSAAEDGMMKEFGCGTIAATASTGLFLV GMGLGAMPMAPLSELYGRLPIYLTTIILATLFEVASALAPNVPGLLILRLIAGFWSSA PLSNSGGTLNDIGDPVLRTIALPLFTTAGFAGPTLGPIIGGFVAQAEGYGWRWCYWIC AIWNGLAFLMCFFFMPETLAPALIKYKAVQYRITTGENVWRAPIEDQDIHKLTVKYMQ RPFVLISKEPIIQLFVVYLAVVYIVLYGFFTAYPIIFLKHGFTSRNVGLAFLPVMVGF FILMMINVFHYIRYKKLALDAKAGITRRGIHEGKVEPEERLVPLMACAALFPAGMFWL AWTSAPHFNVWIPLVSGVIFGIGLLAIFQGSTQYLIDAYGPYAASALAGSTLIRYSVA GLVALAFPKAYETLGDQWATSLFAFMGLALTPVPFVFYVIGRRVRAQCTYTIRD
I206_01271	MPPGHASKTIFVANIPYHPKGPMTIWMRSRLMKVSALSDVSEEQ LANTFSEAGPVNNVEIKFDPQTGRSKGYAFVQFYDEATALSAVRNLQDAPVNGRNLRV ELSTDEPGPRRGGRGSRGGIPGQPGYPAPGGPVPPPQVNRVDLSALPEGSPVPLGSNP TDSISKTLAAVTPGQMQDVMASMKSLISTNPEQARQLLTSKPQLAYALFQAMLLMNIV DPSVLQRIQPLPTTAPPVPIPTINHAPTPPPNSYSSYPPNNGPPTNYPPYPPSNAPPP AVGGYSRPPPPPTSGGYNPPTQSGYGAPPPQAGYGAPPPASAPPPPVASGMASLPPSA QQALATLPEDQQQMLLQVLQLTPDQINALDATQKASIMQLVCETLTLSPYELEKY
I206_01272	MAGPSDATPVVEAQKRADPELKNVVEFLRGKHGPKVRRGILNGK RVDYFKGKTAIRTLLSPQYQKLKKVPKLETEEEAKALMVKLLPHAFFLKTDRPVPAVP PPTGTPKTLQLAPQQAFDEPSYYTWFYDGSPLYTILGGAAMVVIMLAGVMFPLWPIKL RIGVWYLSIGVLILVGLFIVLAIVRLIFWCITVLSMKRAIWIYPNLFEDVGFFDSFRP GWAYDEPKKKLKKKTPGTKLVKKSKTSPPTTSAGDPMEALDQAAGTSDSPAESAGNPT EASATGAEVNPNPTSAEGLRNRQAASIEEIDDDES
I206_01273	MSRSSFLAPLRRALPQSSQTSHRIPIRFNTTSTSSSSATTSTPK YTTRSSVRSNILRPTTLVLICVPILTGFLGVWQLKRLQWKLNLIEEVDRNLQKKPMLL PDNINLSALPEFSFRRVVLRGQFEGPAILQGPQTKDGFPGYHLILPFRRESGSIIFVN RGFITTTRATAIREKRQAVPGLTEDGMNGDGKIYEIEGMLTKAGEKTFFTPENQPLTN EWFWKDLAGMTAWAGGEKRGIQPVLVDAIEEPDVSYTMLMNQGVPVGRPPHVELRNQH AQYAGIWLSLSASTTVMLVYVLTRGRGAPKSSRPRI
I206_01274	MNNYITSEQGARLKLSISSNYAPTSNFALDHLPPLPSLIGWSHR PQAIAKCFISDIYRMQALVNLGTEGMQYASRDVFLLNQFPCKMIEIVAWVCGVDHKDT SMTVTLDDGDGQYVLPTLLRLHPTTSSSNAHVFDPTLCKPAAQTFPSASERRLAKRKA TEELLAFERSQKMKWAPIRQYTRKDIRTGDTVRIVGKIDEWMRRKADGSSEWVRQVVV DENAGGSICVVDPDEQYTHTAEVHNLHQTIYSRHFTLPRLNVANQGPTITPSKNGSKY IIDPNVSDSFGMTLTSEAPSELSMIDAEPELRDPNKLRSSQLTDRTFRQYMLDYMTQE TIKSVLKLTEISQMTLNKELEILFPEHRQARLPFGKNTRSRTSLGVFQPSTRVNSAVE INRNKNPRGLPTTPTQRSFDSKRSNSSCSSGLKSREWKSSLKAFMPSTISENERLQTL ARLVVENEVRKEERRRRRRMREGKATRKDLDIELDRKEGKIQNELCEKEILKKMDRLV NWAIRAISEEGSLVQITLSRNGINEYGYLPLPCQLLFTLCIPHLKREKELRKNTIRRK SDPKSNNGMTIDELTDTFKSWGIEGRWERLGDWIVEDAVEWGLSKGYLKKEGNGYWLN DDYDDFYE
I206_01275	MDYANLRKTLHTPAWTSTQERTLLEPYTYISANPGKEFRGKLID AFNIWLKVPEESLKVVTRIVRMFHNASLLMDDVEDNSELRRGLPVAHTIYGIPQTINT ANYVYFLAMQDLLTLRKTDLSLDNQEKGKEKEVDVVGLVTDELLHLHRGQGLDLFWRD TLTCPTEKEYVDMVLGKAGGLLRLAVKLMMAKSDSDVDYVPLVNLISVWFQIRDDYMN LQSSEYEANKGYCEDLTEGKFSFPVVHGVRADSTNRQILNVLQKKTNSVSLKKHVVDY LRDETKSFEYTKKVIIQLQDQIQEEIKELGGNGPLEKALNSLALKEDDGQ
I206_01276	MLRNDIPSTSWDLTSPSPIYALDAGDLNKDSNVMFKPINNTKAE QLWTYQEDKRISVKVNETSNRCLTWDRKGNYSVITTPCKEGPQVPEDSEDPSTFWRQL WNITPEGKD
I206_01277	MSNSSKINARAGPSNPVSRNPVRLAVAQRHSVIDASANASGRAA PAGENKIIVQKEPTDNDTLLRASVRKAQLQRRVDRWIDKLMEETVDRPTFKKVTAHLT PSQYNEITHERHLNSICSYSLCSNAPKREYSTARRFKISTTNRTIKEKEGNPEDGYCS KKCTIRSNWVEKNLKDEAIWLRSQIEEFDLLEELEERGEFNWNTPEGNSNLQKRQKIQ SPSPKPITETNDNKSSNHEIPFDVPVQSGGSQIPNRNKSSDTPDSSKAVIPSQPENPI SNLIANLTIYEHPIPSTPPIAPSLTKSSIAQSPTSTISKPNQAQNKSIEPSTKVITIP GQSDLASPKDARRAQSSLIGSGTSGLSNTFVNASKPLAHLAQDDSDVEEEREESDWEK EMGWGEDDEEMRGFWEEARLAREIAEDGEK
I206_01278	MVLYRFLMKLNNETVTIELKNGSIVHGTITSVDPQMNTHLKSVK LTLKNQLPNQPPLSLDSIAIRGNNVRYYILPDSLPLDTLLVDDMPKAKRRKDGAPRGG AARGARGAPMRGGRGGGGGGGRGGPRGRGRGF
I206_01279	MSYSNGYNSNPHSHSSTPAPGDSNGNSAVKVQPLLCSGHTRPVT HLSFSNLLDDGTYLLISACKDGNPMLRSWLGDWIGTFLGHKGAVWSSKISLDTSKAVT GSADFTAKIWDCNSGEALHTFSHNHIVRSVALNPQQTPQYLLTGGHEKKIRLFDLGRP DAEPLILGSRPDGLSTDGIVRSLVWDEGSGGTMGVSASEDGLVRWWDLRTLSQTAQLD LGESISSMELAHGGGTLSVTAGKKVHFLDILRQHPPVTVELPHPPTSASLHPFARDRF VVGSTNDPWVRVYDLDSGKEKEVYKGHHGPVLCASYSPDGEVYASGSEDGTIRLWQTN PGKSYGLWQTQD
I206_01280	MPLLTSRARHFLQPLKPLTLSIGFSFIVPLRFFGSAPDHSKVQC TRRLIRQPSPAASRESPYPIVFLRMKGVEGLEDETDWVDWSSMFAEKGYTSVEIDISS PTEFSKSSELEEMKEDISILKSMVNLLSSEIRLLSIPFPPILIAFGKSTILAQSFIED NPSSGLILINPLSDKDERSIELQNKSNFKFPNFTFEPHFPILILSNQQSLRNLSVSNR LIREYGQLPNPNTDEEKKGWFKNRRNKGIEIGVLDEEGFESGLNENGRIQVERWMDRQ GF
I206_01281	MLHLRRSVTALKPVVVTPFPYSRCLRARLLSTTQVELPTLRRAL LYVPGSNPRMLQKSFDSQADSIAYDLEDSVTPGKKVEARRLVSDILNGDRRPTGEVVA RINALGTGFEEEDLAAVLSTRHLETIALPKTQSADHISYLISKINLLAPPEKRTGGSR PIKIIAMIESARAMIEIENIARSGNGHLNALLFAAEDYCADVGLTRTSTREELLYPRS KLVTTAKAFGLQAIDLVCVNYKDPKVLQEESEEGKRLGFDGKQAIHPNQIDIIHKSYS PSEKDVVKAARIQFSFEHNDKVGKGAYTLDGAMIDAPVYKQALNLLTKAKMAGLSIPR IKMEDI
I206_01282	MLKDLGKDGSATEYNAPSPYTRRMWAGGSIQWSNNNSLKIGDDV TQIVTIPKVEFKKDMIFVNQQLLIYPGIRSNSQSSKKNDDSWSIKEIRTHVFRKEPTF NTVHQIASSEKSTYSQKSSRTIQPIHSFKYIPDSTLLFLYSALTNNPHKVHYDYEWTI KEEGHEKPLVHGPLTATLLVELAGQLKPDKKIKEFKYRATNPMTIDREIRLSASRSTS KEGPLDTSTFELIAEQSSKIGMKAFASFH
I206_01283	MASRLSSEVPTLDARPGFPRADTDINNKEAKQPLPDSESQNHSQ DDHTDKERIETLEKYPGVAKIEALYVVFGKGTKLWILWFSIGLISCAYALSDMTTYYY VPFATSAFGEHTVLGTISVITAIMAGVAKPFIAKLADLWSRPVAIAFGVIFYTIGYIV VAASKTVADVAAGEVIYTLGNTALSFVQTILLGDITSLQYRGLVNGLVSLPYIPFAFV AGNIAEGLKVYTSTDGWRWGFGMFTIIIPATVIPAVLILFWADRRAKKVGALSLASST YARERVLAQAPTPKKPLTHILIDYARKIDAVGLLLLGFAFGCILTPFTLSSTAIGGYT NPSLIALLVVGGILFIATIVWEWRFASHPIMPLRIFNRTFICAVGIDFMYYFSGYLSD AYWSSWLWVARDYDSRDYTYILNILTVGLCGLSVPAGLIMKYTHRFKYLQISGLCFRI LGMGLNYLSVAGNGSNAVIVSARVFISTGGAISVISSQVAAQASVPHNDLALAASILA LWTSVGGAIGSAIAASVWNRRVPMMLEKYVGDYYNQNATALAEIFGSIYVARAAEPRA LIVQAYDEAIKPLYLAALLTSFVSLIFGFFTKNYVLDDRHNAVEDTKINFRSEDETAP EVVAAKAREAEARVAERLQQEGRL
I206_01284	MATATSTGLQNIYYERKTGTSRPCFICNRPTTTVLATIKTEDFL YTCDSHLTDTASPISSPTPIPALTPTSGPSAEDLKKVISEYQSREARKLDKDKVKKDE KDTDKDKEKENENDKINSSTSIPSTQSIPTSTVQPPIPAPTHKKYALHRHIFEMRKNE LKRKEQGNKAKEVSRGELISFKLN
I206_01285	MSGQPKYIETLRSIPKETFLFGPSPISHLPGLTKYLGGKVNIYA KREDCNSGLAYGGNKVRKLEYLVADAKAKGCDTLVSVGGVQSNHTRAVTATAVSSGLK AVTVQEKWVPIDPPLYAETGNILLSRLMGGDVRLNQETFDIGHKAATEAAFKDVQDKG GKPYYIPAGASDHPLGGLGFVNMIVEIAEQEKQLGLYFDTIVICSVTGSSHAGTLVGS LLEGKGRKVIGIDASGKPEATKAQVLKIAQNTSNLLDPSKEVKEIDVILDERFHAGIY GIPNDETIAAMKLGANTDAFITDPVYEGKSLAGMIKLIQEGSIKEGSNVLYIHLGGQP ALNAYSSYLPHD
I206_01286	MMAPELSNLIITSPLSIEKLAQVKKHFKSVHHFPPGINEKVSKE LANNVDVWYSNYTGIPTYLEFKDLPNLKLVQLTSAGANVALNSPALRSEEARKQITIS SASGIHSLSIPQWIISQIISLYLHLYLQTYNARHTQKWNREIPQLPPPPPGDFGNSGR SLYGKTAGLLGYGHIARETARLLKAFNVNVIAANSTGTKRKDDGYIIPGTGDEEGIIP SAYYSTNDPESFKAFLSKSDILIASLPSTPQTKDLLKDEHFDALPKDAILLNVGRGDL FKSETLIKALDKGHLSGAALDVTDPEPLTDGHPLYTHPLVIITPHTSSNVKGYFEIGA DLLLENVKRIREGGKPINKVIPERGY
I206_01287	MSEIQQTASTDPQITARPETSLSARPIPAGTAPIKAEYLIHQTP TVDGASSSALNDIGDDDAAEGVTGGDSRDNKRRKPNNNKKERKGANKGRHFPVIREIN IKICKSWEINGICEKGDNCKFNHSWDGYFEIKPSDVYLNPSSILSSNPPHINEIIEFG SEDELIGKKINLKTTCPVLKDLGYCPYGWRCRFLGNHIKRISENEIEDSNNQEQNENV NSSSTSRKLGKWELLNFSSNDNEIKGKWKGGETNWIEWETTNKLKRNEYEFPFSKAYL SVVEPDKPFTLSNSKPKFSKSHKRKQDEESAMNVSEEAAFNEESAFNEIQNGTEEDAL NAAQEEKKGLVVGESEAMDVPLRPEEKKRLNWEGGRYLAPLTTVGNLPFRRLCVDYGA TITISEMALAQPLVSGHNEEWALCRRHESEKMFGIQLAGGYANRMVPAAELIRKELHG GVDFVDINMGCPIDLVFNQGAGSALMEAPGRLAKILVGMNRALGDIPLTVKFRTGISN NKPNAHKLIPKFATQWGAGALTIHGRSRTQRYSKLADWEYIKTCADTLRESLSDANLP SVPIFGNGDCYSAQGYYEEMEKSGVDGVMVARGALIKPWIFTEIKERREWDISSTERL EGIRKYAEFGLSHWGSDTQGINTTRRFLCEALSFQCRYIPIGLLERLPGKLNERPPAY KGRNELETLLSSPFSNDWVKISEMFLGKVDDSFNFIPKHKSNAYGNEEAQG
I206_01288	MGFRFLELVRPFVSILPEVTAPEKKVVFNHKVLWTATTLLIFLV CSQVPLYGIMSSDSSDPLYWLRAILASNRGTLMELGITPIVTSGMIMQLLAGAQLIDV DFSLKDDRALFGAAQKLFAMIIALGQATVYVLTGLYGSPSSLGPGVCLLLILQLVSAS LIVILLDELLTKGYGLGSGISLFIATNICESIVWKAFSPNTVNTGRGPEFEGAIIALF HLLFTWNDKTRALKEAFYRERLPNIMNLLATVVVFALVIYLQGFRIEIPIKSSKMRGQ RGSYPVKLFYTSNMPIMLESALTSNVFLISQMLSSRFPNNFLVRLLGVWEPMEEVPSQ LSAVSGIAYYMSAPHSLTKAIQDPFHTVVYIAFIVTACAIFSKTWIEVSGSGPRDVAK QLKDQNMTLAGHREASIYKELKRVIPTAAAFGGATLGLLSVLADMMGALGSGTGILMA TTIIYGYFELGVKENSGLDAAGLGDLRESLLTWNLCNSM
I206_01289	MSTEKKTEIPYVRLGKSGLKVSKLILGCMSYGTPEWQEWVLDEK QSIEHIKFAYENGINTFDTADVYSGGVSEEVLGKALKAIGAPRESVVILTKLFNPVIR PGSGDKVAPNGRGSSRKHIFEAVQASLKRLDLEYIDLLQLHRFDYETPIEETMQALHD VVQKGWVRHVGMSSCYAYQFHAMQNYAINNKLTPFISMQNFHNASYREEEREMMPLTQ LLGVGVIPWSPLHRGFLTRPWNSEETVRVKSDTNYKSRGHDKPDESRKEINERIEEIS KKRGISMAQVALAWSISNDFITAPIIGTTSIDKLKDLIGALDVKLTSEEKSYISEPYA ARSISGHQ
I206_01290	MAEIKTPQIESPDFTDKNDLPSESYPELVPLTSTELTDEQRREE RQFVWRLDLSLLVIGFLGYAFKYIDQQNISNAYVSGMKEDLNIVGNQYNYFTVLFNAG YIIMLWPSCILVSRIGPSKWLPFCEVMWGIFTCCLALSKNYRTIYGLRFLVGFFEGSA WPGYMTIISQWYLPHEIALRMSLYNIAQPVGAMLSGAMQGALSTNLEGHGGKAGWQWA FIVNGVCTIAIALAAFFVLPGYPERPNPLAGWYMKEKHIAIALRRNARVNRSGQRPIT VKSFLNVFRNWKVWAFGLAWVLGGCTTPSGFFNLWLKSLKLPNGKARYSVAQLNYLPI AGQAISLGLQVIFSSISDYTGNRIGFLLLHFALNFTSEIILIIRPSNYHTYMAGWYLN YCGFAAMLIICGWASTVLADQPEARTVLFASGTLLAYILSAFVPLAAFPASEAPNWRI GAKLYLGFCLVGLALYLIIYFGLQYENKRKIKKSQEGKRQVA
I206_01291	MSTAIDVDNLTPPTTAVIHEKTPKASVWLRFRSFIWDSDTHLKS PEERRLLWKLDTAMLACLCLGFFCKYLDQSNLSNAYVSGLKEDLGWSGNQLTYATSLY TAAYALMQIPSTLIVQKVRPSLWLGGCEIAWCALTFAQAGAHNTSTMYAFRFLVGIFE SAFFPVGLYLLGSWYTPTELAKRTAIFHFTAPAGVSFAGYMQAAVYKTLNGHGGLEGW RWLYIICGIITLPCGLLVFFVLPNHPSSAKRWYLTDEELELARERMRRVKRTPTDGLF RKAVLKKIFSNWHVYLLPLTYIFYGLSCAGSSYFGIYLKSTKKYSVEMINILPTFISV IQAVTTLLYGFLSDYTGSRFWFTFGPMAFGAIPCGILAVWPNNYELKVFAFMTLGVQL MTAVIYSWWNEICSADPLERALVISMSNGLQYVMSAWLPLLIFKQTDSPTFRKGFITD FVFVLITLVLLVLVKILHGREIRQKAPVDEEYLDSPKSADGSFDKKDAVYNLEDHLGN TAVLNDK
I206_01292	MDVIDKHNVSHVEDAIPPSKVEVDGYQYTPNTPEEKRLVRKIDR HLLPMLWLMYVFNYLDRTNIGNAKVGGMQKDLKLTSSDYSLVLSIFFVGYLLNEVPCN LILARSKPRIFLPCVMLAWGAMSIGAKGVTSLGGMVAFRFFLGIVEAGFFPGVMLVMS CWYKPREMSKRIALFYTASLVSGAFGGLLAGGIIDGLEGVGGTRGWKWLFIIEGLITV AIASVAFFVLPNYPTNTGWLSDSEKDLAVARLAVGQEQGTDISHKAAFISAIKDPKTW VFLFIYNVINSVGTISYFFPTLMTSLGYTGRKAQFMTVPIYIVALVISVGMGFNSDRT GQKAFHVLAACAWGIASFIIVVAVHNNAVRQVRNSKTSHRWALYAFICFGGAAIWSAV PILLSWIVTMFEGRSKRATSIALINGFGNLSSVYGSFFWPASDAPRYIPGFCVTISLM AFGAVLVALAKWRYQDRGFEGVPKR
I206_01293	MSVEIPKTIKALVADEKASWATVKEVPIPEIGENEVLVKVAYVS LNPTDWKHASFVSVDGVTLGCDFSGEVVKLGSNLKTPLQVGDRVAGSVHGGIYKDKGS FAEYLKAESDLIFKLPEQTKLEEAPTYGIGWGTAGHALIHSQGHEYPPSKVDAGEWYI IYGASSSVGLFAVQVAKVLGYKVLAFASPHSFDLVKSYGADHVVDYHDEQKAIKEALE VTGGKGAKFGLDTISSGNSYKIAIGALGEKGYQLNAILLLPEDAKSINPKVKIANTLL YTIQGREFNFSPRKKENPNIIPALPADRAFGAKFYAATPEFITKYGIKPNPIALRGGL DTITSGFEELKVSSC
I206_01294	MTIAQTTHAGILTYRMATIDDKELLLDFRKECGWGGPKILEYLG NPDRPFCVFILSKDNGEKTEVGMGGWALDMIDDQETASRKHRIVYLSSLFIRKAYQAS GLGSRAVDILEKVAIEIYNAKTLTLDTTAYHTYIDEDGWLIEDTERQGKNWSWYERRG YREYREPRPQFPHPSATDPGRKLQGVFLRRHVTSCEK
I206_01295	MPSTFFHIHLRADAVISPVGHSCRYTSSHASFVRKTDGSPSTAW FLTPQERLIALERIRHNKTGTATTHFKWNQVYQAFTDPRIYLAAISVLCASIPNGGIS SFGATIIAGFGFGTKETTLLGMSTGVSETVAMIAAVLLSRKLKMRALPAIICISVAII GAILMVGTHNKNAQFTGYCLVFWWATGQMMFIPLMQSMVAGHTKRSMFYALYQIGYSA GNVIGAQIYRAKDAPSYIPAKITILVTITLHAATLGAIALLHKYWNNRNAKRTDHIVE EQNIEFKE
I206_01296	MPTAVQPPMTQEEDQAFWKSSQKLIRYGGSWSNVIVTKAKGTVM WDANGKRILDFTSGQMSSLLGHGHPEISDVVDDGMRNLDHLFSAMVTKPVVELAERLS NM
I206_01297	MYTGGYEVVSLSASYHGMTHGAGAATFSVGRKGYGPQLPGNLTL PVPYAYRSRFRHPDGSYDWKSELEYGWSLVDCQSTGALACVIIEPIVSTGGIITLPDG YLKEMKKHCEKRGMLLIVDEAQTGMGRTGDMFAFQHEGVVPDILTLSKTLGAGLPLGA TICTEEIERRVCNERGFFYYTTHLNDPLVCAVGAKVCEIVERDDFPAQARNKGEILKK GLLDLQKKYPCIGDVRGRGLMMGIEIVLDPQTKAPADSLGTAISARAMETGLSCNVVQ LKGMGGTFRIAPPLTILEEEILEGIDILDKAFAYVLDQEEFRNVAQGQKTNP
I206_01298	MSSERIANKVPGTAPPLPVLWMIKELSFDIFGLAPAILSNGFIY CSGQIGQDEKGKLIKGSISDRVNQIMDNLDKILKAHGSSLENTIKFNIFITSYDDFKE LNETYSKRIPYPPPARSCIGVKSLPFNTDVEIECVAIVPKKSIKAKL
I206_01299	MSRTLTPIATSSRQIASLPHHGHQQSIPPPQQQQQQLQQANSRW TPYSPNIIELYPGPKNRLFLSLRSGIEEEVDYALPKLVVASFHETEKFKLETWVDSVS ALKEWPEKWIEGLELEVTSNELRKSRLQIELQGQEGLALSVIPEWIIPQSTIDRATNS LLILRNASFTANNSKIICRTTFLEFLIRFFELPVEYLLEISLRNPEPIHHILNILQSI FPFLIPNHTNDVRIQRIFNHVLPHFLINTRDQAIIQNILPLLISNLTITNNHNSFEYS TLQDLINHLLKLTVLRPTSPPLLLDLSLDLLISLTQNSNYCKQILLSQGFNAHLKSLL MLLEYNGRQTQATWENPHHLTGKVIRNPAGEYVRNENSAKRRKLQRELNQRQMEIYGG PGVRVDVGDKAPILSKQTKDRLYGMHEPTRSIAWMHETFIYSSTSQLLQVTFWHAYRD FFQNPATVDALLSASEVIKNVTVAFPGAMAKVWTDEMGGQKFVIAGMGFRKGSDDQER FTCLWNDCSSRHSPTDPSTLLSHIQSAHTATPQLRCQWAQCTHTPFTLSHLLTHLPSF NPVAVPEVITSHPSTPDIQSSQSSITNRLVPPLARPFKLHFMGISTPSDPQTRTPVGT ALLACLIIRNLSKTLRAELNLLDIDIIREKESQKKKNALEERFGLPIPENVLKEEEKE EQDELNSKLNNQFNQQDEKENKEKLEIAKNAFISAQEKLRNVAESNISGLAGYLGDCL AW
I206_01300	MRPSQLLRATHYKPSIQFLGQRKKISHGPHAPAAHPCAPSEIVD SFQSFLAKLQSTSDGPSNPSSNPKAQTSNESSKGIVKSEESKNTKTSTSTTTTSSGSS KENNKPVDFENYWEAPSYLWTPKEVSEKELEAVMSGGATDIRTGP
I206_01301	MGISGLLPLLKEIQVDGHVSAFKGKRLAVDAYVWLHKGAFGCAE ELVKGKKTTKFVDYAMYRVRMLRYHGITPFIVFDGGPLPAKKGTEVSRAKSRAENLDR ARSMESQGRFKEARDLYTKCVDITPEMAYQLIKALRAENVDYVVAPYEADAQLCYLER EGFVDGIITEDSDLLVFGCRQVIFKLDGDGKCVWIHRNNIATIRDFPMHGWTDVQFRR MAMLSGCDYLDSIVGIGLKKAHALMRRFKTVEKLLQHIRLEGTMAIPPNYLASFAQAE LAFIHQRVYCPHLCKLVTLNNFPPGGLAEDDEKWIGLDVEHHIAQGIATGELHPETQS PIVDEWPDFQPTANARPLRDAFSKVNSAPAASGGPMDAFITRTKKAKPLPTPVGAFGS GPSRLSEQVSMSISAPALYSRAEPTTTNGIKSKFFNKSKHPTTPELSESLHWEEDTES EDIESQPFAGPSRIPSSQSRRSPSPAISSDRGEESPSKSILSTHNLTSPGCDLSSPPD TPSQGILFSTPRKKCNGIRANTPLSPTGATVGGSVLVSASSQMVDIDRDLEAHNAEKA EVEIGETQVETPVDTQTPMHRERKSLSRLSTVVIPNSSSPITSTPARPFIPDTRVLSN VSSRFKAFSSSSDSISDEEQLITPAMDQISQKKRKRVKLEPIEQDENIEQFEDEGERK SFVRAKVLAKDWRAKYAFGQKSSPPASNEDTPRQPKRTKSDPNPRTNSVKRPLMPISA QAPRILMARQLNIPSPTILRTNSNTSNKSIPIGKNNIPLSVEAEPMEKENEVIVPLSS SPLSSSPSELIFESTQPGGKIRNKLDKYRFSSSSSK
I206_01302	MSIPHATYDPRRVFRFSLPRFEVGPKVRSGGTYLSGGLFALSYF FLFDAATISSHAKPPPDAPYDVVPVHMSFVDWIPAICSTLGFLITSLLDKSHLSAAFS GDSWGGDGPAAVRARVVLFIGVALMAGGLAGSLTVLILKYIITEYTEYLYYGIMNVAM NGGMMISAIVLWVSQSGSDEYEYQLTV
I206_01303	MHLQSLLALLPILAGFASQTDASPIHKLTKREVPQEKSHASILT TVQTFLQQDNPLAIIDPVFGLLGNAAASAGQGQVKNTDCLQQAIADQAFTNAKASNDI EGMTAALTYRALERNTGQVGLASVLCTETTVNPEIGEISQHQDPASEGAKNINKNITL NLSKQIASIGGNPIDALKSGTFAPGDITDQTAKGNSCDEDNCIFDQILLVNDVTEDEI NAAVAGITLKTDTTAGNDTTTGIETPIPSGCPAATDNTISDATTSTSQDTAGNLETGA DTPAGSNNAAVAGIDVGQCTDFSMTFAAGLEGRKADEFSFEPTDQTNFNHGTALNPSI ITQFMCDTFVNACAKSAATRDTCKTVAADLDNQLAVGNLQRDQGFADAWLSGLETAFG IQSTGTGTGTGTGEAAAAAAENTTTIATGDVNAGANITTGTVDTTNKEANVTSNTTAS NAIGDIGGNVTDTVANDNSSKVADDTAGNVIGAVGTGSTTENNLQTFTGSLNGISATP IINIGGDRPFQVKNDTFVNSSAAFQRSCDQQFNGCANVANSGSGDSSVAACSAQKDQC NSAIPAA
I206_01304	MRPTSIIAGASRLPLTPKRGNKDFYKGTGQSRVPGGGHRTGPPG VHVVKGKAKYRVLDEKVRVFVGPGSKVLEETELRPYVGTQEMIDSSKGITKFFNPYSK SSSSRPKFPSFSPMPLPPKIQTQTTTTTLEGGEEEIIGKLNKKHFTKFSKKYQNLNWE EKQSLIMQHRREWFEAMSNAYGGGNVITSTHEEQQTQELENRSNQSMEPQPTV
I206_01305	MNGPGFEDVEDKDGVRLSWNVWPSSRIEATRTVVPISALYTPLK EREDLPPVMYEPVTCKGSCKAILNPYCQVDVRGKMWICPFCLQRNPFPQHYHQDLSPN NLPPELLPKFTTIEYTLSRPAQIPPVFLYIVDTCVDEDELKALRETLVVSLSLLPPNA LVGLITFGTMAMVHELAYADCPKAYVFRGSKDYQPKQIADMLGLNPSNRPIQPMRPGQ PIPAPAASKFLQSVESCEFQLTNILENLQRDPWPVDQDKRPLRCTGVALGVATALLES AFPNTGARIMLFSGGPPTDGPGLVVSPELREPIRSHHDIDRDSVKHFKRATKYYEGLS KRASANGHAIDIYAGCLDQVGLLEMKSLTNATNGFMIISDSFMTAIFKQSFLRTFGKD EQGYLKMGFNGTFDVLTTKELKISGVIGHVISANKKSQCVGETEIGIGQTSAWKVCSL TPKTSLAVYFEVVTPAGQALSPNQSGLIQFVTHYQHSSGQYRLRVTTISRTFQEGGHP SIAASFDQEAAAVLMARIAVFKAEIDDSPDVLRWLDRMLIRLCQKFADYRKEDPTSFQ LSPNFSIYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNDADVNNSLIMIQPTLMSYG FDTEPHPVLLDSVSIRPDVILLLDTFFHILIFHGETVAQWRKANYQEQEDYANFKELL EAPIVDAQELLEDRNPIPRYIVCDQGGSQARFLLSKLNPSTTHQSGSGYGNSGGGQAI FTDDVSLQVFMEHLKRLAVGASTS
I206_01306	MTSEIPTIMKAVVEDQAATWVEIKQTSIPEPGDNEVLIKVEYSA QPKTPDTNMIIPVVPELAVFGKEYLSRTAKLISSNGLRANPIDLRNGLESISQGL
I206_01307	MRRVSVGRLKNIRQYASQVPLKGPLLGVKPPAPPPASRNPPSSP SPSSQNSRSNTLASSTLPKPRLDYNSLLSDPGYTTLNAIRRASPLKPDHLLHIARLRE TQLILLQKLSTIRAKQKEIGSLIRTGIVGDSEELKEQAKKIKKRIKDYELNLTETENE LLDLSLLLPNFSHPDVPIGSEENAITLETFGPSPTISKEIANDKLDHVNFCNYYELLD GEASSNTTGSSWPYLKGILALLEQSLIQYSLSIAIKNGFQIVLPPDVIKEDIAWRCGF QPRDSSSNPSTQTYHLTNSQSGGGNGPNLCLAGTSEIPLSGLFSNKLFNEEDLPKKIV GVGRAFRAEAGARGSDTRGLYRVHQFTKVELFSVTSENQSEEMMEEIRKVQKEIAKGL GLSVRVLDMPTEELGASAFRKYDMEAWMPGRGKWGEITSTSNCTTYQSRRLSITYRPS PSSGSSQSAPNTPPAPPAEGHNGPLPFAHTLNGTAAAIPRLLVALIENGIRFKEGTEE YEGVNLPRALQRFWIGGDEIGEGRKKGIIRWV
I206_01308	MWSPYPTSFHQLQQNITWQQSHSQFQQQQQQQQQPPPQDESSTI HPLYNSSDSIQRELHQNNNMSYHYETEEYSQSHGAGPSSHHTHTPTSTGLHDTQNGYF DGLNNTNSVHTPLEQPPYSQQQQHQHHQPWFSSNNQQQHYNSSSTPLINPYPPVTGIN STNENGHSDAISRILSSTPWHENLFPFTLDVPPPAESGLHMNIRSNEKGKEKEYQGDE WKSEEFEREVSKRVWQGLEIGHRGNGIQVDVEKGKEELKIYLNEIISLLHPFIPDQNS NRIPSPPPPYLLTRFAKLSQMIHRILITLSPHVNPNLSHIFNKPFISSKSYQKKKFED MTPAEKEMEVIKKRRDALIAKAQAATAASSTTNNNGVNQTKSSGSNTNGQNTNRDSGG GESKKARFSDIIQSESRSQSQSQSPYQQPTLPPTYSQSHDYSHTHNHHHHQHHNQHQH QHGNHHHHHHNNHDFKNQENLYNEEYSTIYDQSNQINSLSALTEASSLISPNENNQLR TNQNYSKLNEDDKILDIFNHQNISVNHNNNNNNDNNSINVESFNITRCHGCGANVTNE WMKGPDGPDSLCDLCGQHYAKLLAKKDIITPLQNSNINDFNSNSNSNSNNNWNDSEYN FNYNQNQNQNHQGQMNNNNNNQHRNEMSVSAIET
I206_01309	MAQKKRRSRPSDLESSRPTEITHDWEKSDEELELEANLFGAEKT KSKKNNKGSFISAGLEENNQDQSEGGLSDLEDNDLFTIDAPIAENFDLDNLPDDQISS DDGEEDVESDAESSSSGSGSENEFRAASPSSSRSSSPDITEENSKDKPIISLPDDIYD MDIEQEKLKGKKKAIWNDPSDDLISIDPSENRRLRKLERGKKRKLENGETSQAIGGKE LQERLREQFERLHPPPEWARKRTAIGTPSLSSLLTSTKSFIAPTISGSSRSALPQGHI DLQRMRNANQQNPTTGKREAANAGGGVVDFAWHPSEKVGVMAVAGGDRRVRFFNIDGH TNPTLMTLHIPSLPLSRSTFHPSGSSLLLVGNRPFYYTYDLASQKCLRSPKNLFGSMD TPSSPNSLHRHAFSPDGTLLAVAGRRGAISILDWSSGGAGGIVAELRSGRGGTSSDLM WSKDGKNLSVLGGRDGAEIEVWDLGERRIKSKWRDDRALGGTILESSKDGKYTAIGSR TGIVNLYDSTSLNPINSENNNYSEIQPEPYKSLEQLTMSINCLSFHPSNEILVTTSEG RKDLLKMYHLPSGTAFSNWPTTNTPLGRITSTGFSPSGEYLSVGNQRGTVLLWSLRHY TL
I206_01310	MSAIEKYDIPQVKNLDKWQEVEKSFPPLKNDLLLRAAKGEETER APVWVMRQAGRYLPEFLEVRKSHSFFECCQTPSIASTLTLQPIDRYSKLDASIIFCDI LVIPQALGMEVLMEPSKGPVLPKPLINPDDIKRLNKNVNVEKELGYLFEAITLTRKGL LGRVPLIGFCGAPWTLMAYMCEGGGSKTFEKSKSWLYKYPKESKELLRIIADVCADLL VGQVLAGAQMLQVFDSWAGELTPYQYKEFAYPASIYISHKVKSILKQIGHSGIAITLF AKGANSPSTFKLLSDPLITGYDTLGLDWTVDPLEVREFVGTKVNLQGNFDPTVLYGGK QGIENEVKRLSEIWKKAKGGWIANLGHGITPNVKPEDMGWFLECVHKYSKRD
I206_01311	MARTKQTARKSTGGKAPRKQLATKAARKQTTTSAAGGVKKPHRY RPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVLALQEASEAY LVSLFEDTNLAAIHAKRVTIQPKDLQLARRLRGERS
I206_01312	MEQKGCGTKKSIKSGLTFPVTRVRKYLVRGRYAHTIQWSAAICM AAVLEYLTAELLEVAGDTTHDHKKKTISPRYIQLAIQTDKELGDLLPKVVIAQGGVLP KIHPELRKKKKRPSQNATNASTGFEESGPGKRSFISISISISK
I206_01313	MAPKSVASKAPASQASKAPAPAASKAPAKAAKSTAPAKEGGKKR SKKRVESYSSYIYKVLKQVHPDTGISNKAMAILNSFVSDIFERIASEASKLASYNHRS TISSREIQTAVRLILPGELSKHAISEGTKAVTKYSSSK
I206_01314	MSSGGKGKSSSETKSSSRSSKAGLQFPVGRIHRLLKKGNYAQRV GSGAPVYLAAVLEYLAAEILELAGNAARDNKKSRIVPRHLQLAVRNDEELNKLLGSVV ISQGGVLPHIMAELLPAKTKGKAKASQEV
I206_01315	MSIDKLSYENLPTSNESRGLKFVLETAFPLRLSDDIIHYPELSL RWVQQTWSEFIHNIFSPYYFIHFRDVYKLDGEKVIMAITREELSIAWIDSLNGVRYHQ GLLNHIRMKQKYFDLDLSERELSVVIYQLEQTCRDWSNCYRELDLALGLLREYEQARI VPKK
I206_01316	MDALLASAGPLISNNKKNYKGKTSSSSSSNYIKKQGPTSHQTIT RKDGTKIDPSLDSILGNTRIPSSFHQSNLATGSGSGSGSGSKPLISDLDLSKIKDKKL RSKLTRQDLNNKQLILERNQVNDWINKSISGSKGEIEVEEELGEKTWRIKQNEIQNFI GTSNKSKKFDLKFEGMGSYKIDYTKNGRHLAIASSSGHVATFDWQAGKLHSEIQLKES VRDIKFLHSEDFYAVAQKRYVFIYDQNGVELHKLKQHIDPTHMEFLPYHYLLTTVGHA GYLKYHDTSTGVMLTQIPTHLGSPHSMAQNPHSAIIHLGHANGTMSLWSPNMTTPHVK LLAHRGPVNGIAVDPSEGSAGRYVATSGMDGMVKIWDGRMWGKEVRQWHVRNQITNLA YSGMGMLSVGGKSGVTIYKDLQEGNLNNTPTPYLTLPLPSLTCSSIKFCPFEDLLCIG HEKGISSLLIPGSGEPNFDSNEADLYETYSRKRERDVRGLLDKIKPELITLDTDFLGK INESRGGETFEERNKRSFRQLGRLERLRINGKADENEINQLESENENENENELKQNTK EEKIKRKMKGKGGSTSRYLRKKQKKNIIDNSLLTMKAKVSAQRKAEETKRKIASGEII KETGALARFG
I206_01317	MFTKTITLLSFIAISVNAIITPTSPDSNTVVKVGESINALWTID STDNWNNVEIQLMTGDNLQMVPLATVATGIDGTKVDSYSFDAPDVSPYSKIYFLQFTN GGDTTNVTWTTRFTIAGTDGSTTDPTNSTVYSGQTVQWGTGTLLSSVSTDGSSSNSTT SSTNSSTDATAGGSSASTNSVSDSSSASSTSTAESSTSTSASVSASASASGSKSSASA SAASASSSTTSGAGKVQIGMGLIGVTSLLGLVLALE
I206_01318	MRGTNAAQDSRFKDKEAASIKSTKFPKHFAEKVDLRKVNLSVLR PWIASKVTELIKIEDDVVVEYVFGMLEDKEKPIPDPKKMQISLVGFMDKHGAAAFMDA LWTLLLSAQNTIGGVPAEFIEAKKKELQAKQNIQPYGNRPQAEDSFGRRGDNGLPSRP GPPGGGGRRSPPPPPRDRDRDDRYRPGGRDDYRPRPPRRDFDRDRERDNGYGQRRRSR SRDRSPPHARGAGYRRRSPSPRRRSPSPRRRSPERPKRRSPSPSTRRRSPSPSTRRRD GSITPPSRNKREDIPPQKADRGRARSRTPTPSAPGSRSGSRSPTVTPPRRRSGGLRRD STPPRNRRRGSSVSPPPKRRRSPSDSRSRSRSPGVSKKGRGRFTVEDGKEDKDIAEPK KGKSRWD
I206_01319	MSDDMIQSDDPTPPKTDKANLEHLESIESTIIADTAKPAGSAEV QDEKDQSGDLNDRPASVLITSISQDPSDTIKMQPQVVQEKQKETEFELAPLPTEFDPD APVNLPQLVEDEEISDPFGVEDDLKSISEHDQAENMNKGGEDVVMNEAEEEDEGKMME YITSLQASGPAEFEEEEEEQEEVENRDTDIQPKNIEMSEPTLMHAEDTEDTVEVGKDQ TKDMQNPEEVIKETETRASSPLTPVSDIPELPDTLTFSPSKPSEPLPPPTKRVIKKTK EKRNESTDQNSPHAESSRSAQRRSASPTFHDNVSSDEQESKKPVDLKKLREPMKTTRK RSHDDDDFVPRKGGAKLGMTGSRPRNISVGKGKDKGKGRGKENPIDVDDELHSIEDSD EFREIQKDDITDGKEQDPSYKGDHSPQTEISVIRGNKKPNKRHKANGSENGSTKPIKG SKKRLDAASGIKVSDKPPEKITPRAKMFSDAMVKKLLKGKTKEVQMASCQRPRYGKWG KCTQCIAKIGGDSCRFRDFRSFPIDPETTDITGPGYFESTEWKEEVTPLPTEFNREFE EEHIVKTEKTVATMLLLLITGEARHVVSKKAIKRGMDAAKHRSVCDFCSSTIFGGWFF CKICGRDYCLACERYFPDSLETIMQSPWPMPDAARPRLLKCQNQPGQKAVGQPKHHRG CLQPVSRFDEAELKDHWLKLSAIALEDLNKGNLDEKLGYIGLNREDEGVNSVLDGILK KEEGENEGENGNVEEEDLIAPLPMENIDEKQDKNEISEEDSGEEGLWKYTKITNPEAK LIDDPAGLKEINREFIFVKNENKLNNLLFDKIWSKGEPIVVNHVDKKLNLSWKPNDFI EKFGEEQCYVVNCQTNLPTLSTVGKFFEKFKNKNERGKIILKLKDWPSTDDFKNTHPE LYNDFCDALPVPDYTRREGVMNLYSHFPPGPTRPDIGPKMYNAFEARETAGGFGSTRL HMDVADAVNLLLYASPRRSPKEKPKASSPLSGQEKSDETQSQPKSGKEQGPDENADNP VDRSKSMEFDPEVSQIPASTDQDAIPSPEKDLNEEFQQDHKEENKVHDGIEKDEIPLQ QDNKDGKGIHLSTNGKENSDGDQVDNAIIVDQDEEEEAEDVEEEPGCAVWDLFRAEDA DLIREFLKEKFGNTHVFTDPIHSQLFYLDSELRKELYERKGVKGWRIYQYPGQAVFIP AGCAHQVCNLADCIKIALDFVSPHNVKRCQQLTQDFRKENFAKAWKEDVLQLYNVLWY SWLSCIETRQRRIRESEEAAIAQKAREEHLASLRRGRHDPWEDSLHNHHRLGSPGNQS WGAWNVSSVRDEPHPDSRSPSLSRGGSPNIVRVLADSEVKEGDKVDKKDGEVEQEEED INVKEKKGLAEELLEITLKKEPPSSSETFLGSNTLWSGRKGLLLQTSKSSSIPPSRGG GGNSLEDIKPNLSARQLTLISKQKEIEEKEKLRLEKRLKNPPRELRTSTLIKLGAKNL EDVLASARADMFDNQSESESENPLSIIGIENEQQQQQGEKEEIWPNPNSIPPTLIEIE SPNLIHNHNSLTPIINEQENVNDNDETMTEDLDFNQNPIGNNERFDIPDSLMTTLNDV LVNQPHVSPEEEEEVEQIEQRDNIIITDDYHEGVVVDDDNQHQDGQVGQGGTVTDVEA ETVPETEQDRLDREELAANLRHIGELIDMDDFDAEGEIGGTFDG
I206_01320	MRKALDVSRLTRPCQIRCRPNLFPLARSISVSIKTHKPANAARQ TDLDDDVDLDYGQYGGVRRADFEDYKEALAEQQQIKRNSPQTIRKSKLPSLANAASFG KTRQNTVDSIEASSSKSSQDVLKSKTPSRKGKERAVDQHVEQSDLPDKFPELLHVEAR NGLRRNPVGVQMLSSSLHSQLFPGEPLKRPPKSLLDISLNHLHANGLSPDGAAVLPEI NFDLPPLQGNNIRDHFYNIGKQTAEPYLSMAREFINSDLPKMPSKWEMDKAGWTKYHP DGRMEAVDDLGEETLVSFDVETLYKLSPYAVMATAVTPNAWYSWLSHTLFEEPPSVTP ATPDPWDTRAPPHHPHDLIPLLKGPKPRIVIGHNVGYDRARVKEEYDLERTATRWIDT LSLHVATRGITSVQRPAWMAHRKNKKAKLLREQENQDAVRELAEENGDLDFLQETQDW GSDSTDGNGDAKKWEDVTSVNSLAEVANLHCGIPVDKSIRNRFADDSIKHASQLRPEL YELLTYCAGDVKITHQVYQKVLPLFLESCPHPASFSGVLSMGNSFLPVDENWEQYLKN SEATYREMDAGVKKALRVLAEKARKEGIKDGDPWLEQLDWSPKTARWTDESDPNDART ETGESLGGNGPSTEQITSSITKASSSKVAVIPKWLSDLQKDRSYIISTHTQRYFLPLL LRLSYKGHPVAYLADHHWCFLVPHASSSDYIDSHGEPVDLKPKKDTSLEPLEEHYCFF RIGKDVRKTKLVGPGIKSMLKKGELTSPYPGLLDKIAQTDLSDVQDDLWRCAEDLEKS GKDEVWAQQLDWAPILQDSTGVISNTSGSPSATQTSPSPLLSKARKSTKPNLGTWPKW YWDLTGPPSRTPVGELDLTFKKTVAPLLLRLQWQGYPLVHSRIHRWLYRVPRSVIESS TADYVESRGGRVRFEKTGPDSLLAEDREHYYFRLPHKDGEGKNVGNPLSKSFVKSIES GELASAAADSGDDVAAKAATDATNMNAFCSYWISSRERIMDQMVVYNDQERKQGMILP QVITMGTVTRRAVEGTWLTASNAKKNRVGSELKAMVRAPPGYAIVGADVDSEELWISS VMGDSQFGMHGATAIGWMTLEGTKSAGTDLHSKTASILGISRDAAKVFNYSRIYGAGK KHAVQLLLQGDSKLTKESAGKLADNLYKATKGSKSIRDKTLSPARIPSIWHGGSESYL FNTLESIALSEKPKTPALGCGITKALRKSYLDNGLNSYLPSRINWVVQSSGVDYLHLL IISMEYLIKKFQIKARYLLSVHDEVRYLSTEKDKLKLSLALQISNIWTRSLFCFNLGI DDLPQGISFFSQIDLDKVLRKETFLTCQTPSNPNIILPGESLDIFQLLKKTNNGKDLY NNSLQVVTEEEELTETVTDSKQLEITSLFGDISSKNHKLFLQAQSQRKGKDAKEWLNS LPSLDDEIERLD
I206_01321	MGLFSIFRKSSPPDYETLLARLATEINEAKTHLSEIRLRERRVS LLINLYGISLWAIWVGLWWVNGLPLGLLGLSHHDTEGKVIGATGIAGAPIFIWGLNRL IHIWFARQRTHEETNLRLLLTKQRKHLEEIKKATNYDSTRKLIERYDDPSAVGNPQTP QRRIPDSPSPLPQGSGHGQTPASIGKGNKNVSGPASGPGGTPRAPGHFAGVGGTPGPN SNGPIIPVPQGLTPDQAAALSMQMHAIQPVLPTPEKRWYDRIADTILGEDPSHAAQSK YALVCGECFRHNGLIGSQYEWERMQWICPRCNHLNPPPISRNSSGESSILHNQPQIQQ TPTKPIQPNPVQHQHHQQQRSSPRRRVNGDKSTPRSSRLGKEVFSASSSSDEDEPRDK SQEGMDVDES
I206_01322	MSSREINPAPASSLLGSTSDIISHICSYLPPSSLYSTLQVSKSF FHASVPHLYHSIHVGRNSGNIFIGSKRSDNLSFDTIPHRNTDSTSFFDEINKNSLLKH IKRIEVSIHLINECPFVKQFIEPLSNLEIVHLSRKGQDGNMENNTICFNEKCQFITKV CNRASKVIIRQLDMKPLKSFSKLQEVTIKIRPCELPWYRDDKFSEELFLNELNNLPGS VKKLDLVWWDESHKYIEEAYEVPRSHGWDNLNRISSKNVMRLIRGCTYCDQEGCVRYR PHVGVQLPYMFKILGSKTNIEQIRIWNFEYTVKRSQWMKSAIQYEDLKELMINSFNQG RESRISKSDLESSQSVNTAETTKITFHSGLEYYHTFLSSLEGGRSGEGSIQVGISKDD LEYWRREVPPEEFANLRIRK
I206_01323	MSMSPAARFAGNAVIIDNLYDHLDQTNTLVMMKVKKTFYEIGLS HLYEEITIKIGKPDPFANIELDSNVRNSFEDEKIQKPNINMIKRINFFYHTKSQCPFN IYRNIPILPNLKIINLLRGEIPNSIEYEKELCEYSKCQFLKESCINIKKIIIYQLNFK VLKNFNKLEEIIIKIRPCQAPNIKRFMNKKKKKSDNRPYTKESKFNLKIPKSVKLVKL VWWDEYHSYKLDKYHYKVKNRRCGYKGGTYEYFSKKGCVYCDKIGYEDNLSRYVMDVF QKFRFMDVFKELGRHLNENQRLEVYNIDKLVERLNHTEFMNIEEVENLLVDKLDQGIL EKINKNNKASKLEKMKNYKIKVDFYSAKQYYPEFIELDMIVDEEARYWEYRLFPSDEM NSWDKATQDDAIRTESLLV
I206_01324	MTTSEPKPSACQTVLTTVELLFQVFDNLDKNSLYSILSTSKLFW LCSAPILYRNITIESDRPHPFTRYANHDSTENEPFGTVNLIRKIIFHPHLESECPFNP AYQNPIPGLEIIQIDKDIKQYNRNGEKYCELNKCPFILKNCSNAKKAIIRGFDFRYLE NMNKLKELINKIKPCQIANRNMISQNIEDFQNLNLSENNYNSNSSKAANKVFSLPDKV ERLEIFWWDEVHSFDGRNSDPSDYEEIFENSIEFRRKIRQPKLPCNHCGLGERRRKVI SNQPQMGNFAKGNLLTIWKYFGKFTNINKIDMYNFDKTAQLVLQIQSKKNEIWQNSNN HINEFIEELDKSFMEGREKRNDKIEIHNTIPICGLTSQTKYTSKLKPLNPDEGEKIIQ TYHHSVTQYPSEKEMQEDEISYWKNKFYPSIESLPMRRQLSLERLSNISKDDLNLYHE DDLQEELKKVERRKVAFSGGRPGRPGRIHMSDGTVIISCGRGSPCTM
I206_01325	MSASTSTSHAASPTNLTSTGQGQNQDGGELFSLDFLALAGLDSG MSGANDMTSPTNSVNGQNHFNENQSIQNQLQQWEQGNNHAGPSNHLQSHNHPATATRK TGNDSEHYRSGGNTIGHRTELENAMDLDQPDLGRLAQTQQESFNGQDFGGGGQEYDAL QAALLQQQLQGIHMQSPLGFDINNPQFPLAQMFLSSPGAQMAQLQKFMQNENGGHEGP RLKHRGSVDRNWQAGLGGMPTPGSSERSAPIKVVAELTCNVLTGSSGEIIPQNRQEAI SPMHLEMLARGQSEFGGDPNMLPLLSPALSHSTSTSFHSPSTQIAFNPQSHSSSDQGG NRSPLEQLQEQQRQFQEQLAVLQQRQLEMQATAAAVVAASSNSPYVGGSNPPSGPSRP ATTPGTGTTPTSAGFFSPLTSPALEATNRFQQQHFSRQQFSPAFNAQQARTPHPLSAL SSPALNPVGSSGGAQQTLSPALGPQTGADLSDPDYLRALVGMLDGESQNIGQPAQQSQ YHSPSIASSSSAGHSTILASPALIPTNSTGAGPHRHSLPAKTRPSPMLKPTNHRSHQR VPSGTPNGMGHGNFSVPTSPAVQKFHPNPPSANMLNMGYLPPSAIENRNLAPSSTSIS SASTPSPVDLSHMMPPPPVPSNGSSKSRKGVAPMTPASLMNLGAAPLNADMAQNQNFR LEDQQYSQSGIVPPPAPAPPKRGTPASSIRNTRKQSAVTNNSNGNGGKKTAGSKLVPV GGSTGNGKRALAIRPHSAAASRTGTSPIFICKMRKCELIFFACVAAKPPPPPTEPENR KTSHKAAEQKRRDSLKAGFDELRLLLPPINVEALDPESGEPIPGSSAPRLLPKSSLVP DDNPNRGVSKVALLRFGNEYIERLKERVDRRDDYIERLRREVKKLRSIDIDDEEELNL DGDNVDEEGEELDILEYDWREGEEEEFDPPALSEDILDENGEIIELEEQDEIEGGEEI NENMENHKKVKNGISKRSKSISSNHTKSPALKATNSRRPSLIRGNSSANTVTGKK
I206_01326	MNIDTEKSAWSDPRSFENSKYVTKISKEESFQENGKQDTIDGIH SLIYDENDPHLDINEIKRFKKLENYFKNQPQDELSMGGGTIIDDYVKWKKHHSTTQSE QDNWLIKEITDNHSLHETGKEFLSDKDKKRAEELQQDLYKCIESEIKDKFDSQRYEGE INIIDNDRNDSNNRQNFKISNLSDEKWMRSRGFRIQGLTVPLNSIDQYSEDTKYFQHY LISRYENDFKNDTNEIEEKYTLDIFGSKRKHSHMCRVKSCKGYPINENREIIYENTKY NRDTMNNPTNFYRCSKNGFNYLLKGNQFICNSNIETRKEDFLSFEEITDLFNYEDYSR KEQFKSDTDIEEFKNAKFPLKREMINLIDGTALLRSYFIYRPRLYNDSSLYQCEHKLN YPLPEPTVEQNQEYTGENEDDIYPFFESEDPCEPPELTSRRKGYSVLDWTN
I206_01327	MARGSQPFSASTSSTTKKSKPISSAKAKRRQDPSNAYTYIPSLP KRHRTSAAQLSVSKDELESAGPSRRRAEESDDEDEDMQTRIKKVAMMIADDENTGEVE SDESDIDSDEAWGSDGSDEERWGDVFRELDKGKGKKGKSKAKEVVRKPTKPLTVNLDE SEDEPETKVSGKSKGTKATKATKAATPVEDDSDVDNEEEISDEEDFGSEDGDEDDDDD DDEDQDEDDDEEEEDNDEDEEPEEESDLELPSDLSEDDEEDPENLNGLDAFVDSLATA DKKRKAGEDGDTEKLEKKRRVLPVVSGPGLRENGDLALKNNQKLDLSSLISSHPSLSG ASALLPAKSDKKASTSILKQGLVSAPLPTVVQDRLDREAAYEKTREEGQKWAGVMKRV KEAEHLSFPLQPEQRGGVKSTNEVLAGFKPSNKLESAVTALLKKANLTEDTLTKREDL ALEAQEMTIEEIKEKRDALRYQRELMFRAEAKAKRVAKIKSKTFRKLARKRAAKENPG MDLEDLERLDPEAAAEEREKMERDRARERATLRHGAKSGRWARDVGGDGEELEDRRKA KEEMLNMKEKLTRKIMGKDDNSDSEDESEEESEEEEEGIKSKAFDQLAALDAKNAAEQ QGRLAGGKGIMQMAFMKKAEERNMKKVSETEADLRRDIQMFGEDRASDEDEEDDDEED EKPSMLKIGGNEGRMVFSGPAGTSSREEQEDSTPEPTQIKRPSALSKPRISPLLAEET SADHNPWLTSTTSAGPSRKRNAIVGSANAKGEEKVTRALKKAAKGKEAEIDDGKVEIS LGKPSAPIKNNKKPHINGDAESEDDQDSDEELLPISGKGIKAFQQRDLVAQAFAGDNV VEEFEREKERQVEADAPKVEDTSLPGWGSWGGKGAKKKKNINPKFLVKTAGIEPTQRK DFKRSNLIITEKKDKRASQFLLQDLPYPYTSKEQYERSFATPVGSEWNSRSGFQKGTL PRIVKKPGAIIEPVRRMF
I206_01328	MFASRLSSSLRALPRQASAVRMARRGYAEAAGSDGKLQLSLVLP HQSLYSSAGVIQVNLPAATGDMGVLANHVASVEALRAGVVEVIEENGQAGKKWFVSSG FATVHGNNTLTINAVEAYPLDTFSAENIRSGLADANRVLSSSAPESEKAEARIEVEVF EGLQAALAK
I206_01329	MAITPLEDGSDRSIDARSIVSLIVFFVCNALVIYPLKIPFPLIF SKGCDSIQNKISFEIPCERHEPIPKSSSNSSINDTENDNDNVNRLNQLEKEKLKNLPC ARRCKCKRLYFPLDLRTVPVIGVLLLLASTCIPPSVVRRGIVGSGGVRPYDIMILFTC FAYISISLDCTGLLRYTAFLISSKSTSTGGGKKLYNSFYLFFAFIGLFFGNDPLILSG TPFLSYFTSHSSINPPTAFLFSHFQISNLVSAFLVSSNPTNLVLTSAFGISFLKYSAW LALPTIGSAIILFPTLKWIIFKKKGLIPNNIYPPNINPRDALIDPFGAIFNAIIFIIT VISLVGLSAGHLLEGIEGVWTVTVPAAGLVLIRNLIHDWKNRNSITSNSTLHSTTNED VDNNNQNGPAISNEIDNNRSNVIQMQPTDRPNEKNIIKCKKLIPFRPLSPFTDNFPNT SIIISRCPWTLLPFAFSFFILVEGLQHTGWIRVFGNWWGHWESVSGVAGSVWLMGVLS VIGCNIFGTNIGATILLARVLQYWATTRIVSNRSLYGAIFSLAVGSNFGAYSFVFSAS LAGLLWRSILAQKGIHITLKENIRWNFISVTVTMIVGCLIVAGEVCVMYKS
I206_01330	MALPHPPPPNLHPGQNPQQHPFSHMQGAPGGQPIPGQPSHAQQM QMQMAAAAGQPAGQPFPHQYSVRPPPQVNMNAVAMSSQQAAQLQHQQQQQQQQQQQQQ QQQQQQQQQQAVQQHQQAMQQQQRQQQMAQQQQQQQQQQMAQQAAAVAAQAQQQQQNQ QPRAVIPPSPHHPSPSPHLAPQGFPGGIHPQQYPHPPPQPPGQQGIQPGPSPGPGQMR QPPPHPQAVRPPPQQQQPQQPGLAPQHQHPYQPHPGMPGPSLQQGQPQQPPQHLAQQP NQPPPGSNGIAPGGQHMQPPQHMDPQEAYAMSRAGGSVQSQMGQRSWDSESVLKLYIH DYLVKHNFPAAAAQFNAEAGLAEQGVPINVREGVLHEWWCVFWDVFSGGKGAQGAMNP AVYNESMSRLRNIRNQQLGIGPSGYAQQPQQTQQQGQQQPQPTTPGYAQGPQQGQPIS SQQILNMRQQAQAAAQANGMSQQQAAQMVQQQIQQQQQQQQQQQQQQQQQQAQQHQQA MMQQQAAQRFAQPGPSAASPVQRPPQPGHPMYQGQTPQPQPPQQGPTQHMGGLQPPNA PPGPSHSPVAPSPHMMHQPHPSVMPSPHQKGMRPPPPGINGQTPGAPNPLMRTLTPQQ HHAMAQSQQGQGQPQPPPSYLHPQTPQQGNGTSPVNGPVAPPPHGMPQPQQQQPQPHL TPAQQQQMLQTQAHVQAAQQRAQMSLAEVQAVQAQQQAVQQQHQHQILAQQQAQREQQ AQRDQVFFNQQQHIAAAASQMWGPLGIGPVNPQIMSQSAAQVGLGGKNPQEMDDAEKS RLVNRYRLTLAENQRRAMMGLNGQPTGQQQQGQPGQPQTPSSQQVQPQTPQQQQQQQQ QAQYLAQQQMMQAQAQGQPQQTPLGQQQGQAGSSQSQAQAQQAQGPHSRVPSGAMHRL NGMPQGFDGIAMQESDSHASASGPRGASPPERKRMRRNSGSAAPSPFPGGQAQTPVNY GQGMTPQTSASTPQQFSMMATGGNEAQSVRQQQEARMAYEKKMHQDQAQAMRQLANGS LPPGFQPHTPVMSGSSIDVPSPAQQLNANLQGVQASPRNPSQNQMNRDRNSSKGVTAG TMLPPQSPAMVTQRSSTPKPGQGGQKAAQTPKTAKEELLRDTVATPKSQSLNPSPNNA VIHVIDTSANTNSTHGLTPSPPSASTTTPATIVNGPISFSNTNSSTNNNNNDTNLNGD ATLNGDLGLGSMDFNLMGNNMGVLGGLEGGDFDALAAFGENFDFGMYLAGLEEDGDGG EVAIA
I206_01331	MTEQNTRYVGTAVTPSQVTAGISETEPKPSWIAKDEEYVSSKGY SIPSREEVEALGIPCKDNDKYTVRVEGRDWESSYTVHEISAKMAHDKDLWRSWYMWDG QYNVHSEAILSIPRGGRL
I206_01332	MNHPMQLERFPDDTVQQTVFGVTDDKTRNTEVEYTKFISGHEWD KQTIMNILKLSSVWKGEASISIPDTLGDTVEPMGYTFQGLSIPIIKSESQENNEEFLK VLFFDKSDTPSEHSPSCKVIKCQGWFPNTTSEEEKEGEYIACLSLKGRETVYKCRTSH RNDNSISFVNDDIIKTVLKKEISYGMISKDTGEIDGTSSIFYFRPDLNNRLFVTEKRN YEML
I206_01333	MAARLRLENKVCIITGAGSGIGLETAAQFASEGARLVISDINLQ SVQQAAEKITAKFPSAEAVAVKCDVSKEDDIKAMVDKAVEVFGRLDVIFNNAGIMHPQ DDNAITTEEKIWDLTHNINVKGVWYGCKHAILAMRNNKAEPEKGLGVGGSIINVASFV AKLGAATPQLAYTASKGAVLAMTRELAMVHAREGIRINSLCPGPIRTPLLMDFLSTPE KMNRRMIHNPMGRFGEAVEQAKAVVFLASDDSSYINGTDFLVDGGLHACYVTPEGEQV APAPAGLASTL
I206_01334	MSAKGALSVVPAGVLSGDDTRKLFDYARDNKNVTSSSTVNASLE AAKAINSPIILQISQGGAAFFAGKAIANGNQEASIAGAVAAAHFIRAIAPSYGIPVVL HTDHCAKKLLPWFDGMLDADEAYFKEHGVPLFSSHMLDLSEEPKEENIKDCVFYFKRM AKMNQWLEMEIGITGGEEDGVDNTSVDNNSLYTQPEDIWDVYSALAAISPNFSIAAGF GNVHGVYKPGNVKLRPELLGKHQAYAAEQLKGEKGEKPLYLVFHGGSGSTKDEIKTAV VNGVVKMNVDTDIQFAYLSGVRDFVLKKADYLKTQVGNPEGADKPNKKQYDPRVWVRE GEKTMVERVKEACIDLGNENRA
I206_01335	MKVLSALFAAIATINVAFAATGYIHDERSLRPLRKRWTSDNPYV TVDGSTCTVKPMGGGQDDGPNLLYAFNLCGSTALINLPGYYTVNTVLQTYLTNVEVRL TGAISYVPDIEYWSPASIYLTYQNATTYWFFGGSGITLHGGGTIDANGQTWWDYYAQN PSAGVAGGSSRVFARPIPLTVGNASNVVVDDISVINSPFWHNFVYQSTDITYSNIQIR SISSNESAEAVNSDGWDIYRSSYVTIKDSNIQNGDDCVSFKPNSTYMTVENLICNGSH GISVGSLGQYAGETDIVANVYVRNISMSNAQNGARIKVFGGSNDTKSVSGGGSGYVKN VTFQDFVNNNVDNPIYLTQCYSSSAQQCQNFPSTLSISDVHFIDVTGTASGKVANNTV ATLECSAKCVDITATGTSLAPKNGTAGSGKYLCANLQNESTLDFQCTDVPITKG
I206_01336	MSDLQQKTKKRSRPSQADDPIEETNKNQASSSSSKLDKGGNEND IEDKEKEVSKKKKKKSKDLTPGIVYISRLPPGMTPQKVRHLMGRWGEIGKVYAQRRDT PSGYNPNTNQKKQKHQSANYSEAWVEFLDKTVAKTVSSMLNAQVIGGKKGDKWRDDIW TMKYLSGFKWEMLGEQMAYERQAHQARLRTEITRSKAEQSEYLKNVELARVLDKRKAK KALTSGNPDEGLNSASASEGAKPGSTYRQRPVIEKTKTLEGKGMDAVLGNIFG
I206_01337	MTSSSRPTRITIDPTPTNGEGITRIRDDVDNSTLYPASGSNTPW EEKRLSFASGPSTAVNTPSAGEDKPFIFPSSAPLSRMTTRVSDISEKEGKEDLDEEFK EKEETVEQYKQDELQNGIQTPAENLVGELESYPSPTRAKLSKTKQIILGIVMMSTTFV ASATNNSTLLVIPSIARDLGVTELQAQWVSSAYALANGCGLLLAGRVADLYGKKWLFL IGMSLFVVFNIISGVIRNYIAICVLRAFAGLAISISLPAAFGIIGTTFTEEPGRTMAF SALALGYPVGGGPGMIFAGLIAGAGTRSWQFVFFILAGLALIPIVAGTFVIPRDPPQT ITATTNRRIDWLGAFLITAGLCLFSFAITQSGLVENGWAQPYIGVCLGLSVALFLGWG FWEKWVATKTTIPPLVNMSIFSRYEWKVTSIICLSFCGYLSVSGWLYLTTIWYQNLKG DSPVMNAVHVIPAPVVGMTACIVVPLLAPRVKASYLLIIGGITTSIAQVLFAVAPVDL TYWACEFLSNCFLPFGADFTVGVGSVLISNLVNEDEQALAGALFQTGLQIASTVGVCC ASLVQTSVTNQHSNLHMGLKDSFWLMAGFSWLSAIIAAITLRNVGLAKDIGTLVRGNE LERQKGKQNQ
I206_01338	MHGLTSAHHSGLLVSGRLADLYGRKRLYLIGMIVVVIANVISGV IPTQIALTVFRAFSGLGLSISSPAGFGIIGTNFRQEPWRTFAFAALGLGTPLGAVLGE IMGGLIAGTGKKGWQYLYFLLAGFAQMEIGDREHIHQSTEGKTQNRQIDWIGAGLITI GISLLLFSITQAGLVEKGWRTPYISPIFSFSIILILIFGWWEHKLEYESSVIPTSQES PTAYPPTAIKDSIPPIVRLSIFSRHKWRISAILGIAFFDWLGICGWVYLTSVYYQDLL GYSPLKNALYILPAPITGIICSCLVTLIVPRVKAPILLALGGISSGLANALFAFQSPN TIYWKNEFFSAILQPFGGDLTIPIGSIIISNLVEDDEQSVAGALFQISLQIAGCLDLC ISSIILTQVESIHGLLKGLQIAFWFNATCCWFVLVIIFLAFRKVGLAKDIAKVI
I206_01339	MLIRRLYSSLPSTRAGTSHSAISSIPTSPKPQTRGRIKPRLTTS SCKTKSTSSTARNSFKTITAEKNGRGRRRSKSLMDVQEMNLVSDSNRYTIEPINIFDE SNHHHQQQNFEDYSNSSVAPTSKQPSSPKLSFTHPKSKFSEENLNSFKPIYIYPEQFK LHYTFTHPSHPLPLNLGTSIYTNPRKSLSNLKLKESINNLFNDIPSSFSPNPVNSLKR LNKGSSNGLNEHYKIISNLSHPSLIHNLGGFNPWTQGYFGHYEGELSNKLITKLEEIK EISNKSNKQWENILSKLEGKNSNKIENNVQVKSVENNLEKDANLDEVVLGLDDVLSKL GLSSNTRGRKSMNNIDQEVGLSSEEGVLLDSVKRKRKKKISKHKYKKRRKATRALRKR LGK
I206_01340	MSKRTHDQVEEMEAGPSTVTSTSTSSLTPATNGSNDVNIGLLQL PQKRFYRQRAHANVFVDHELDYPKSPSEMDWSYHYPKYFTHPDQTEPSSIQNEKKVEW ADVGCGFGGLLMALAPMFPDTLMLGMEIRMSVTKYVTDRIAATRQYQSMLPSNSTERE NGEYQNVSVIRANAMKHIGNFFEKGQLSKIFFLFPDPHFKNRKQKARIITPGLLAEYA YVLKPGGILYTVTDVKDLHEWMALHLNNHPLFIPIPNEELTGDAILEAARTSTEEGKK VERNKGDKWVACFRRAENPED
I206_01341	MPAPRPPHSFEITIPHILTQDQFDEIEDLTFLHFEEFEDAWPIS LKITSEYNESFPNQENDLDEISEIELIKTESTIINISTSKEWNIENEEKIRNIIRNLL IEIMGGGIEIKRS
I206_01342	MSQEIHLPQGWEARWDGQSNAYIYVEQSTGRSQWEVPTQPSYGS SPAPQQSAPSSRPGRRQYPTAQIQQVYGGGGYDAQPQQQPITAPGYPDQGGAQQQPQY FTPGFGGQDAQQPSYGQQPQQPAYGGQQAPAYGQQGGYGGAQQQPVDQMNNQFQQMNM GYQGQGQAPNHGGPQLHSIQTVNLIGMQPDVSGLDAPPPPSLLPPNASVTASPHIQPD PSYQRCTLTSMPTTQSLLNKSKLPLALVMAPYRSFRESDGDDPVPVIEDSVIARCRRC RAYINPFVTFIEGGNRWKCCMCGLSNEVPQLFDWNQVENKQADRWARKELNHSVVEFI APTEYMVRPPQPPVYAFVIDVSQQAVQSGMVAVAARTILESLDNIPNADKRTKIAIIA VSTSLHFFSLPVGATEASMLVVSDLTDVFLPKPVDLLVNLTESRPAIESLLGKLSDMF QDSHTVGNALGSALQAAHQLIGKIGGKIIALTATLPTLGEGVLKARDDPKLLGTSKES SLLNAASSFYKTFAIECSKQQVAVDMFLFSTSYTDVATLSCLPRYTAGQTYLYPGFNA SRSEDAIKFATEFSKVLAMPIGLEAVIRVRASRGIRMSAFHGNFFIRSTDLLALPVVP TDQNYVIELQLEDDIKGSFVVLQTAILHTTCYGERRIRVITQAMPTTDSISELYGSAD QVAIATYLTNKAVERSMSHSLDDARNTITNKLGDMLSVYKNQVTSSAGASAQLAVPDN LKLLPLLCCALVKHVGLREGASIPPDLRAYAQCLLTTLPCQSLIPYIHPRFYSLHNMP PEAGNPGDDGIIALPPALNLTSERLERHGLFLIEDGQNIFIWVGHEAVPRLIQDVFDL NSYGELQGGKTTLPLLDNPFSQRVNNIIAKTRELRRGVYRPHVYVVKSDAEPALRSWA LSLLVEDRMDHMSSYAQYLTTVKSKVSLYV
I206_01343	MLQSGLLQTGHADLVTHVTYDFYGERLATCSADQKIMIFRKTPD NTWDRETGWKAHDAPILHLSFSHPVHGSLLASSSHDRSVRIWEEPTGRERDGRWIERA VLTGAKGSVKQVEFGTADSGSEPRVATISTDSYLRIYTSADPSLSDWTLLQPIYIPSL PQPGSTDETPVENSSSSNELANGGWGLSWCKERWWGSIIAAFSGISPIIKILSIPQDS SSSSTSLIFLNPPQNSSQAPLTSISWAPSCGRAYHLIASGSRDGTVRIWRLEPPGEKL RTDYNDLDGDVGSTGGAWKGECVADFGKGGARVGMVDWNATGTTLTTTDDEGIVRIYK PTYARSWKLLGQMSAEEPPNDDSNGH
I206_01344	MPSSQRSDRKSKGGGSSSSASGTSKSTFSSRIAKRVPGGKIDST MLAKFQQHADVLLDCASASSAGPSKTLADLDTQYDLMTKVQQNEFERKVYETLLEIGI EHEVKTQELMSDIAPTKLSNDSLLQAEIFQDLNNESDEEWSMSGKGEGEDEDEDTKMS GM
I206_01345	MSNNNYYAGRHGQNRSYSHPSSADDRAHLVSQAGSFGSPQNSSN AGGYDGQDTVMGNAGEEDILSGIGTDPNINSGNDFTYQGDTSTSQTPFSSHNPRTGHE QVQSSFEFFHPEKMMELNDSQAERQRLGLDDGWDTRSFKDQGNNSPRSTQAGDDPGDG DRTPTGTQPTGGSIQSTEELQDLFDSVARRRRAKKRKGGPGPSNAPRPA
I206_01346	MFSLPAFLTTFPFTLPSLPSVSLPANIQRRFLSYVLKRSLGRFV KGEALDAERIQAQLSEGWVEIEGLEVDTTEINSLIPTSIPLILESGTLSKVTARVPLS NLWSDPLCLTLDTLSLSFVLSQPSYVEKGKRAARNSPRELHRDLAESVTLAADHFLHE ELDAYEEAELDRSIRQSLVLNATDPFNNDEVPGSFPFDGPTSPGLDGQPLPANVESTT ILAGLVERILSRLEFKLKNVNIQIRFEDEDHGGIFEIRVAEIKYSDESQSQAPAVGSS NNRTIRSIRLSGVTLHLLPHLQATSVPTGSSGPRSMSTTSRSSSISSTSSSSTGGEYQ DMIMSQAVVNLKQSTMSNLDSEASVYMSAISERPPVSIPHILEEAIEDNSSRSPTPTP KSSSKSPEDTMIVTFGSEDIVLRMTTTPPLAAFAEQPSSPQLHPPTTSKQFPSTSLPK IDVGISLGTVATVITPEHLAIILAALQYVSSTGSTQSDPTPAAPAATMTNQPQFNAHV RMKALFASVVYDMSASTDSQYSTNIASFWSKPSSTYIPYGHLRLRLDTLEASHSSKEY IKSHATHRTTRAGHPTLARLPRRASTSIRSGPTLSTSHIRLQDASLFEYLASDTGNDD ATDVPPGGAFPVLLFDTNLPRQYDVGPGVSSSSFASIGPPNRPTTDAGGGFAVFPEFD SVDWRNSGLQRKAGGSEKQWKVKQKGKGILKGTQMPDIEQPPVLSLQKDLVTNSTAMV SLSPIHVFVDLSLVERLLPMLRSIAPAVRSAPEITERFEPFASRSLRRPSLPTRHSTT DSIIDDLDAQASSYSTIQATPPAKPVFDIQCPLLRVDIRCPAPVNRRGTWGDGGHLRS GIVTLDMHNFWAKVSSTDQRTGRKAEGTNVMWEKMILFFSRAPERKSSAFIVIGPLAP DPAEIDVSPLSPNISLRSSSSSTRGKTTIVTCRVPSIQAKIKQQTIEGLQFFADDMTH WLDGAFGDGSAPKPRDDLKMIGSRFFGAPGSTRGSSSASSSTDEDDEEELSSTILKLE ISETDISLYVPLVDGGERVLALKASDTDVKVESNLAGRHETAFSLNVMDAEFADRTDT VNPTKILGRTTPYTLTTLQQPLVHLRFTSQSNVVAQTKETGISVDLSRLTFFVKSIDW VKDLARFAKTPEGVFEDVVPSEVTRIKVLIQDCSIHAAAPTLGGAVVLVLGVVEVSTD IRSDGEENEVKVSAGRLTVFAVDDLAAVAKMGTITGEVSETWKKAGYAQLAEIISVDL HYLRDLTATEQSLLEILQVQSSITACADSLASFGSLLGDIAKIVPAKPAPPRAGPRPS TLDRSINVFDSIDEQAFNLIPDIVSGSEADMIDDDLPTNLDYLDRASRLSSRQLTSDR ITGETLRAWQTDEDEELGSETIKILYDEKFDMDENYWDNLPVLREGHDDNLQIGKIRV RVKDCDAKILLFEGYDWLKTRKAIEDEIRVVRKRLERIRQLLATGQKADESIDFDRAT KSVLFNSVYIGLENGQSAGEMDNAALIAAIDEELDDFDDTEKESMNTSWQTLPSAPMA GHQPTSNNRKSKTKLRGRKLTRSKKPQIEISLSSLRAEYDFYETSSSSDLSSELEIKV KEMEILDHIKTSTWKKFLMGMKADSRGNVRETDADMLRIELKGIKFGSDQDEEMRLRA KILPLRLHVDQDALDFLKRFFSFKAPTPQSPASPGTSNDPFFQHVEIFPIELKLDYKP KRVDFAALREGKTIELMNFFHFDGAEMTLRHITLSGITGWERLGTTLQDLWTPDVKAN QLADVISGVSPIRSIVNVGSGVADLILLPIEQYRKDGRLAKGVQRGTNSFVKSTAMEM MKLGAKLATGTQIILEKAENVLGGNNEKLIASVSNLQQFGEGNHLNSWDNKNGSSSED EDDLVSRYANQPEGVKQGVQAAYKSLSKNVNAAAQTILAVPMEVYERSGDDGPLKAVV RAVPIAVLKPMIGTTEAVSKTLLGMRNSLDPSARRELGDKYK
I206_01347	MFFPTNTQITSPFPSPLSQSNHPQRVHLYSIMPPLKQTRKFYGG RSASSLRPVFSQHASSTILPISHIPQIPICEQHTSREIMTSVGLVNGVRVTPDDPSRV VTVQAQSGKTGKETSISYTNCKVVGNGSFGVVFAAKMLPVKHDDGPEEPESEIAIKTV LQDKRFKNRELQIMRLVAHPNVVDLKAFFYTNGDKKDEVYLNLVLEFVPETVYRASRH YAKLKQAMPMLQIKLYMYQLLRSLAYIHSVGICHRDIKPQNLLLNPATGILKLCDFGS AKILVAGEPNVSYICSRYYRAPELIFGATNYTTNIDIWSTGCVMAELMLGQPLFPGES GIDQLVEIIKVLGTPTREQIKTMNPNYMEHKFPQIKPHPFTKVFRPRTPQDAIALISN LLEYTPTARLTAPEALVHPFFDELRVEGSRLPNGKEMPALFDFTREELSTRPDLIRQL VPPHAEPALQERGIDIEGFVPIPLEQLRISLDTSRLGRQSHAWTHR
I206_01348	MPTAVRGANPAAILVVVFLVLAVFVFFGGGNKKSKTNSVRSRGK GKDDIDTESEVSEGEKRKRRKEKGKDESNSSEDEEARAKRKERERRKLEKKKKHDEKR KKKEEAKERNKDAQQNITDDQVEIPRVGVPNLPPISQPEILSDKKWERDSSKRPERPS GRSPPVLLKRGSSNEWIRPPLSGKKGVSFDDNGKIGKEANSYASFNIDDPSNLIYDQS HTSKLNDFESNQRGGFESGIENSKDLLDGSNSPLNYLEKTGKLNSKLIIMMKNIENAC LIDHLDLIGKMPKNLLIETDKLINQESFIPGLGMIPSIIIEIIKLMLIEEIIDLPLII SSQSTSHLDLLNPNKPYDSQPEVLYQHPIEPIKKEKVELNFVENHLSKHGIPIEMIIK ELNYPKWKSLNSNEITKIVSDWTLDKLKKAFSSTSNNITEEEEDKFITIKLILGYFLP YFRGYGHYRYRSFLKEEKVPGFEERIGKFQNELINWFKYIGKFLLISKMFNKNGSFLN SECNLKIQISKREKQIGFIIDYTFFIPKLSSSSSSSSSNPSEIEKLGFKFYESKKTIV KVKSLALQWVNHLNEFIYPQLNSSNSLEKKEKLFNDLYPFQIIRLTFRPNGILQNKMN LKIVKESINNSINYNKNEINEREILIMNLDSKKMKEIYENDNKKEEQGKSILEHFGSM YRSMTCHMIRFKNKRPFHWYNPNFKEEEIFNKFDEKTLEYLFIMIGPCLNKFENKIKY STVQAIDFGGIIPILKNQNKFLNLNEFKGLKWILLPLLINNNNNQNTNFNSANLILNE NFGLFENLLGFNSISITFHSLNFNDKNQFLFELNQILINNLKIELNELKNQIEFILIN NDNSNENDYNEIEDDLNPTLNEFDIPIEMLPRELIE
I206_01349	MAELDTPVERTIVIYGQGGIQTDLDLNSLAEDEIAAVIPDMLAD YSAECRDWTLIASEHWKQGRWVRAEELLRRGITFFSGGTGRHRDPVALINLHAMLAHL HLHLARSAPKVILPITKYDKIAEGTKTKDIHHKEAAANLNAASEALRVSGARQDDEPV SLSMGRVIHYLATGQPGLAHPLVERLLHRQPNNLIALTAQARLQFARRAHEAALLTYQ KLLSLNPEMSPDPRIGLGLCLWQLGDKAKARLAWERALSREPDSWVCLLLLGLASLNL AREPALKRDERLRFETEGVDFVKRAFKLNVKSPASALALASISGQGGQLPVASKLAER AIQYSDNKRHSVLANAERGRLGFVSGDVADAGRYIAASKAEDPNTVNIMAELTLGQIA IKSGNLREALNFIEQTAKRLNGKGPLEYTVLHACLLAYPHPGMSNDELVKNRITARNM LSELHSLVATAETDEDWAKLRGIGSDADIFVDLAKLWQDESLEKSISAYQTALSIKSD SELENSEDLSLTPPDLKTIRMSDNLGVLFHLQGNVETAERMYQESLQKISSTDSKEAE ILKTILAYNLGRAYEEGSDTVKAAQWYRDVLRQHPEHMESKVRLAIIAASAGRNYDAH TLLKESLKADESNLALRSVYSNFLISLGSYKEALLFTSQTLKYEKSDAYTFCALGWLH FTLGREAKSSSEIADRPKQYLRSAEAYERALHIDPKCAHAAQGLAIALAEDSLTPPKT VIPEEGKYRARLAGQALAIFSRIKDSLAEGAVNVNMGHCYFIRGEEEKAIESYGTASN AFKGRNVSVLLYLARSWYALANREGNFSAMNKALGYCQSAMHILPSDRAILYNIAMIQ QKAAELLFSLDVSKRTLEELQSALKQAQQAANTFRALADDKSGPLPYDADLADQRARY GDGLLRRAPAEMEKQTAYESEFQARVEEARKMRAAEQERIQAAEAARRAEIETKAAAL AEERRKAREEALAWQEELNARAAEEEARKANNIEKRKRRKEQGTIESGDEGGEDGQLK ERKQRKKPKKEREGKKSRKNRSKSEISDEEDEVPLENDDEDEDEDEGVRTARKAQSTL AMLKAKRKSRRNVEDPDEDDDDEINLGAAKKGKQFKSKAYIEDSDEDEDEDDAEAPAT AVEEIANGSDGSPTPQGSVDGGEGKMDVDQDEDED
I206_01350	MSAAAHPVQSSAEPAKPAEGLEPVTPGASATQVSKKQGEGKPNK KEKKEKKSGNGAGPLELNPPPEFFAERIQIYDKYKAEYEEFVAKQERVEITVTLPDGK KIEGKAWETTPLEIARGISPGLADKVIIAKVNNQELWDLTRPLEASCDLKLLDFDSPD NNYEARQVFWHSSAHVLGEACERRYDGCCLGYGPPLEEGGFFYDMSLAGGRTISQDDY KGIEDVCKITVKEKQPFERLELPKETLLEMFKYNKYKQHYINDKVPDGTRTTVYKCGP LIDLCLGPHVPHTGRIKSLAVTKNSSSYFLGDAKNDTFQRVYGMSFPDNDRMKEYKKY LEEAAKRDHRKIGKDQELFVFNDISPGSAFFLPHGMRIYNTLMNFIKAEYFKRGFSEV GSPNMFNSKLWETSGHWQNYSEDMFKLKVDEDTFAMKPMNCPGHCVIFDSRERSYKEL PLRYAEFGVLHRNEASGALSGLTRVRRFVQDDAHIFCTPDQVESELYGAFEFLDAVYK PFGFTYKVGLSTRNPKKWMGDLALWDKAESTLREVLENKVPGKWHVNEEDAAFYGPKL DFQLTDALKRNWQCGTIQLDFNLPERFNLKYHSAEQASDKTPGAQFSRPVMIHRAILG SLERFIAIITESSGGKWPFWLSPRQVVVIPVAKAYADYAQQVAKRFQEAGLYAEVDLS DNTLNKKIRNGQTAQWNFIMVVGQDEMDAQAVNIRNRDDEVQGREETVKVDIAVEKLV ALKETKAAISKL
I206_01351	MDSTSSSCASSSAEWSADPKELLPTKWRPNLFAGLSSSQRIRPR GDEPEKSYRSKAEMTRKLATELRHEYSLTTPSIEYPPGSDTEELKFYEPHLKHMLKRL CPDTERADVSSVKACKNKIDLARNLLGEKYNILKSQTTHGSSNERDTAVNTSGYLAKL YIAPSVEGIRAFNYYSTLSLDDREEMDNLEGHICAEYARKKGSTQFKLLHPTGYTDSS FGPVFSKNWKALAEVYPEFDVKPGKFMLEKGLSVEMLTGSSENPRPQMVPVTSSTPPK DDDASDPTISSALPSTSEEKRLMPNLYSEARRLGNSDFEELSSVEVNKKEREAASARA MEHFGKIMADKYRMNEVVVKTNTSSLSLSDPQQFSEIAQSPIKKPYSIPIPPMQYLPG PANEQIESYSPHLQIMLSREGYSDLRRFAPSSRIAPCMTNIDRVRKLVKGSETFEPQD YYEKAKACSSTIPARLYGVRRPGRPPRLYPYHSDVTDHSMMQQIQDDVTRQALSENAL NNSSHISKEPSDSIAEEYAVKWISEELREAMADVYQEFSEDQTGKYALTDKGLSTEMV NLLEGH
I206_01352	MNFSQNGFNPMMGFANPYAQAFGSPQNYGSPQRGYGGRGRGRDD RRGGRGRGGGGGGGGGGGGGGRDRPPIPENSNARMRKMVIKLGDDENFEPVEDSHRLA RVLKRGWGEGSAGVCEGFRISVTQQPHKHSYLVVLLLSLSRRHVTTAEGAGEDAQLGD KRKAEDEDDIECGKEILEDLSRALRGWVEGREWQNVRLGLQFFSLLVPAGLVTSSSLL GVYKSLLAVLEEVGGGGDRAERAVRAVGEGLIRSGKSLYDESPDEVEGLITSIEGYII GRRNEIKSLVDPLSPILANAEANAPNPDTLDDFLSALHALRANFFNPPACLSRHWELY TKDEETIQADPFELSPISMPPEMYAVDAYELDKGEGRLGNIVLFADDVVPPPETLEGW VLRSLTLDLINIYEVNRKECASLLISLRRYLPQNTFKPVNPPAEEEDASTLSTWSAES LVISTLLNGLLTLPRSAYKSIYYGSVITELCKLSPNTIAPPVGRAVRKIFGYLGNDGL DVEITRRVAEWFSVHLSNFGFQWMWKEWVPELELPASHPKRAFMRRVAELEVRLAYYD RILDTLPEAMKAEGAGVLASEPPEPVWAYEKPDHPLHAEAKELLQLFRSKATTSDIRL HLDNLPGSEEGISANVRKMVFETLFQLGSRSFSHFLNATERYIDTLRYLTSDQASRKV LLSAVWDYWKYSHQQKLITVDKYLQYGILEGLDVVEYLFEEDGDTEGEEGDGWTDEWK WEILKMTIEKHSGRVQAIKNRMKVIEREDESARARRAAEILEKGGDVGEGEDEDLTDI RPEGSKAFNDAQTSLDIQSTRLEKILISTMKQFISSLLPNEIAANQGLKGVLTLLQSG ESALWSVRAKWGWYREFVRLYSAQLLPLAEPIENSIFSTLPSGDDDIERRAVEMVRGV WSSSLEL
I206_01353	MSRASKVFLASSFILSGVTVWGVHFIQRRESDTMYQGVIKDEAR IAAKKAAAALLPSALTPQSISDEVLFKAHSSGTPLNTPSSPVQQPASVIDPECQTCVI SPPPQLLESQSKEQRAKERELRLKEYEDQKKLEGRLRGEQGVQEPVTVKRLV
I206_01354	MSGNNIKVVCRFRPMNRMETESRSETCVDISSDYTTVQLKNSAS LAGPEKDGFTFDRVFDTNTKQHDIFDWGVKGIVEADVMTGFNGTLFCYGQTGSGKTYT MMGADIENPALKGLIPRIVEQIFASILSADSVIEYTVKVSYMEIYMEKIKDLLAPQND NLSIHEDKARGVYVKNLTDVYVGSEAEVYKVMKAGGSSRAVSSTNMNAESSRSHSIFV IGIHQRNTETGSQKSGNLYLVDLAGSEKVGKTGATGQTLEEAKKINKSLSALGMVINA LTDGKSSHVPYRDSKLTRILQESLGGNSRTTLIINCSPATFNEPETLSTLRFGMRAKS IKNKARVNVEMSPAELKALLKKTVAELASMRESASALEEEVKIWRNGGKVDPSNYAPP ISQASSSNTSSAAATAKRLATSPLPSTPSGSSTPSRSGTPGGLLPSALLDSSRPDTPT VYNMEMGKDEREEFLKRENELSDQLAEKESALASQEKLMADMKDEVAYLKEQEASISN ENKTLSIELNDLRIMSARLESESKDAVITLDNYKDKVADLQKDIEEQKNQIEELKKIQ HREKEEEKEKRKQEMLSEMMSKIDMGGAILDSSSEKLRQVLQSLENRDSQSAEDISNH TRELVRSAMAENQDVVRDLQERLRLAQEESELQTKRRNEVERLLSRRDAAYEELLDKT TSSQSVAVDDIKAQFESKFHASEELLRAEISSLNGQTESRAAEIRRLQSTVESYKLSN EELNRALSVAAAGNEESENFAQSAKELERIRKSHEIQYAEFEIVKKSLMKDLQNRCEK VVELEMQLDEVREQYKTIARSANSRAQQKKLEVLEHNLEQLNAVQKQLVEQNSNLKKE VGDTQRKLISRNDRIQNLEAALINADNRLTQKTHKSTEQIQILKAKLADLEAKTSNPY NHGRIAKPLRGGGGGGHNIAAANLSPSLPPGTPIYQSIAGGMVNSPLGRVQDESGGKR RE
I206_01355	MALSSPTATWDTIQNVFYRKEEIYSMSWSIQDLSDYKISVGKNG GPIAIIRNENKILSTKKHSIGKPKIEIYNSSGILKSNILWDLNEKPILIHFTINNLII ILKSGLYRIYDLSNLSFYKQFSSLGGLLNNTNNNNEEEDGIINILEVKAYEEGMVILT SNLEFLEIRGWNGSRAIPLISSGLIEKPSSWAILSPDISTTAHIQIIISTFSTILTLD TLEKIDQKIIKGPFSNISISPNGKFLALLNKKQLWVVSIDFNRNLSEVDLNQFFSDSS EIIEEIQCEWCGDNAITLSWNGKVILIGPGGDSLSWDYSPAVQIVGEIDGLRIISSGT CEFVQKVPDATLAVFSPGSSHPAAILYDALDHFERKSPKADESIRSIRPDLGNAVDTC IEAAGRELEVIWQRKLLKAAQFGRAFLDLYNPNDFVTMAQTLKVLNAVRYYENGIPIT YEQYIASSPSTLILHLLSRNLHLLALRISQYLNLRPDPVLSHWASAKIIRSSQKGVDP SDGGLGDDEQICKAIVDKFEKEGERGVSYAEIARKAWENGRARLATMLLDHEPRAAEQ VPLLLQMKEEKIALEKAVDSGDTDLVYQVLLHLRSTLSPGDFFHILDDSISPKLKPAV NLLQVYARQADRDLLRDFYYQDDRRTESGCLEMEEASQQAYAEDRLEHLKKAAKAFGE SKERQFESKMADDSYRLLTLQQIYEKELDQKFTFSGLSVNAFIHKLILEGFTKRAERV RIDWKVPDKRFWWIKLKALAERKDWEGLESFAKSKKSPIGYEPFVTHLLSLTPPQPTH AASFVARCDPRQRAELYVQCGDWGKAAESAKERNDRGKLDELKRRAPNGIAQREVDEV IRRVGK
I206_01357	MSSCFSDNTSWSKTVERDEGFPDNSRVHDGDVYRITLNGEDITA TRKGEKWSVEGSREPGPWYQFGKQLTTLVWRVAEDPDYQHISQEFSANSFICFNGKQT PIIGYNIFNERPNTGPHPQKSVGQVCNSDDQGQ
I206_01358	MSAPTSTVSSQDNKSMLDRTIESSDEDAKDNTDNSPSKTYTITF NGKPLKIPVGVPERVWLQSLAIKQGRDRPSFFERSTPGEWCTTDWYREHFRPIPGQIS SPR
I206_01359	MVEPLPTPSGSVSTRPNPSYSTLPELSKPFILDSSSRSYKHQYA NIYFVRLVELRPIVEDRAQEKWKSVRGNPPLLPRILNLTRSQLCYIVGTVYLDMPLKP NVLEDMARDHWLAPPAPRPKFYSAQDAVHLEDESGRVRLVGERMKRERDRQGGVIMAA LGMETSSGDFEVIDLCFAGLPDKLDVQAGPSKANGKGKEKAKASEDVEMTGNGMNVQD AEKTWVALVSGLSVGAQEAPADLKCELLVEWLTGEGGGISDQFDGGRIARLILAGNSL TTPVRGEDDKKIKRFNNSTKPLFANHPTKTLSTLIEDLLASSLPINIIPGPSDPAGAT LPQQALPKVMFGSKDKTRGLECGTNPSWLEIGGKSFLNTGGQTIDDVFKYLPSDSRLA MARRTLEWRHIAPTAPDTLWIYPFPDADPFIIKHRPDVYVIGNQPEFETAIVGDEDSP TRIVLLPSFAQTGVLALVCLETLECKTINFEVPTWIGDENVKNENGDDVEMEV
I206_01360	MSLSAHLIEQFEERKPFIGDLSSSFARPSHLAIPRSSTPSARPS SRHFTPYPKMDPDESWISHHGQDQMQQSAFAGPSNQGNTQWSEERIQMMQGRLRKKLG PEYISQRQGPAGGGKLSYIEGWKVINLANDVFGFNGWSSSIVSLKTDYLDEKEGGRTS INVTAIIRITLADGTFHEDVGCGQGENLRGKSAALDKAQKEAVTDATKRALRTFGNLL GNCLYDKEYTKEVTKIRVPPARFNQNDLERRPEFTPAGAAAPPAGPSIAQANQAKPIP IQQPQPIRPLARDPPIVAPGTPLRSINDANGDVYMEENFDAEFLDIGSDSFLDQIEES SVQVASSHTHFQQSNAQAGPSRLPQQAAQPGYQHRHRPEPPRVAQSLNDLPTSVVAQG VAQQGQVGSKPSSSDSAESGSGGSGKTLAESKPRPVGGFAVPNLPGTTANTNAASARR QAMAQALNAAKISPSPPIRAESPKIPAGGIDTVAARAFNKLKSDGVDLRLDENGVSDE GEKVTMSGFTSARGVKRLNEDRTSLSPTKLPGYGQNQGQGQAGYNRQAGRMALGELTT GPTGSVERGNPGDDWGAKRSRIG
I206_01361	MSLIRPTASTSTFRALGLGLGGRGLHTSRALAIRASGVRRDATV AADETGGFKYIPGGPILKGTVNDAVSDLPASRSHGSYHWAFERVLSAALVPVTVGAAV STGSAYPILDGILAVSLIVHSHIGFDSCRVDYLHPRKFPVIGPIASWILKIATGLSVW GVYEFNTNDVGLTELVRRLWTA
I206_01362	MTFDQQSHISSDQTMTDTGKFDSNKNSSTDLEDILRHAPHIAKS AWRGVRGEEGTSFPARHRAGCQWPSVQNCSETCGESVEDADIVDILKTDETIKGKKKI KVVDDQTYTAYSIDPMKKEFIIVPQPTGDNENIRSIKRDMIISDKMWNVPGSHTVKWN EFPDDPTCSGYYIASS
I206_01363	MPLLFPNFRIHQVFGANTDVGKTLLTTALVRATASKYALSAKGK GKEVEDQKSVFYLKPVSTGPDEESDVSYLQRHTKPYSHLIDTHNLYQYREPMSPHLAA KLAPDLPFPKTNDELVRGIENYATDCVKRLDGREGALFVETAGGVHSPALHPPHTQST FLRSLRLPSILIASPHLGGISTTLSSYESLIMRGYSISAVLCLYDSYYRNDDFLEGYF KDRGVGYWTIKPPPKKYGTLAEDALRLSQWYSEVESLGVGEQGEGGVKDATEWLDIEH SNRIKELNGMPDRTLKSVWWPFTQHGIINKKEDVMVVDSAFGDNFDAYYTKPPSAVTP AVDEGAVIPKEEESLLNSYFDGSASWFTQSHGHANEELTLAAATAAGRYGHVLFPSGT HEPALKLAEKLKQTVGKGWAERVFYSDNGSTGIEVALKMALRAAGRRYGYDGEMGGDY GVIGFRGGYHGDTIGSMDASEQSTFNKAVDWYKGRGHWFSPPMVQFINGKPTVSTTGP DEWEVLPDSVASKAEIISDGWRVSFDDLQDIYSVQDRLSTPLADYYRSHIRSNLEKAV KEGKKFGALILEPTCLGAGGMVFVDPLFQNCLIQVVRAIRNGWREKRKWRGIPVIYDE VFSGLHRFGYLSAASILKETPDIAVYAKILTGGLLPLSTTLASTSIFNTFLSNKKIDA LLHGHSYTANPIGCSVALKSIEILEKQNWEKEKKMWNLEGNNSTIKRWSFWNPNLIKN LSEMKIVKGCMAMGTVLAIELKVNEQSGYSSNFGLEFLNNLKKRIIISPNNSFSPFQI HGRPLGNVIYIMTSSFTKPEILRAMEKTILDELKKI
I206_01364	MNQPPEPKRSQSTTSLSRLYHGSGGVGGGKQNEMNNNEEEELID PKLDFCNSFWGQGDRGFEVIMARLRGAGRTVDELKGFWKERAAIEEDYAKRLNKLSKH AIGKDEIGDLSDALQHLLTETASQGAYHASLGNEIRQTVENPTVELAARLSNLKKGLQ ASIEKGYRNKGLQEQHVHKARDRYEQDCLKLNSYTAQSSLTQGKELEKLQTKLDRVRQ TIGANEQDFRQFVKVLEQTQARWESEWKGFCDHVQDLEEDRLALTKDLIWVYANAVSQ VCVEDDSSCERIREKLEQFEPHNDMLNFVKGWGTGDMIPDPPRFINYNAGESYPTQPA YHVSHFQRISSRPPMPPSLAQQAASQSEPEAQQPEEPEQEIPQANGHDKDMNGISDNF QRTTLKDEPPSNQPAPAPPSQKKNPFGGIALPGLANSTSPPPPEDTSGKFSSMPPPPT PPTMTMPEPRIPSRQGNNSPAPRMVNLQEDPMAKALADLRREPPPPGSVRRNASHRRP ESVVSASGSTRNQYGGIKSPSSPGPNRMSYQQGAAPTHSQSRGSVDMTLSPPQPGHTA AALAKSMEEFRQQSSRGPGHEAKRQSVNYSNFGDDIVGAHPTSRPSSPSVAPRGPSPA MMQPPTQPATHIADEVLSQYHQAFPGERQERTRSRAGSVISSHSRAGSYVEQQQRPPP SPGREAFAGIGAGGGRSPSPQPAVFRSPSPSPMMSQGSLGPQNLGISLDAKGGVAQDT MAEQYRRQFERQQAQQGPPPQQMSSYPGQRNSQYGQPPQPYGQDQRNSTYGGQPPKSP SASAQTHDRSSIYGGVPKSPVAGPGQGQPPISGNRPTSGFNQAQSFGGSASSHQGYNQ QPQQAPPPQPAYNSYPAQSQQPQYPGQPQGYGGSQQQQPNGPPQPAYGQHPSSQYPPQ NQTNGYGAPQPQQYNGYPTSPSVNQNQYGRSASPAPQNQFARSASPAPVPQQQQYGYN REPSPQPQYGGSVGRAGQSPSPQPNQPPNNAAPTGQWSTTGLPVLFYVKALYDYGAQS SAEFDFQAGDIIAVTSTPEDGWWSGELLDEARRIPGRTDFPSNFVCLF
I206_01365	MDEEADLALLDQHLLKTNLLSQRMTNILGQLDNRLSRLDKTIAP LGLQPLTRKDANIESILLQLEGKSNTSIITKSPISSSLAPIRPIRNIPINSSSTSMIN TTSTNGNGNGNENENGEIKSISKNSSINVKGYNLGNPIITNLKTSDFNLNEEKNEINL SPIQSNNPSINNSPADETAILIRGPDLMSLNEFFISIDGVILDLERMFKGLMQGRGGA REIGVKDLSNLVEIGFSGLTQLFLKVSKDGMGKTIDAESVLNNGPPTPPNYFSPLNTL LPLVNKITTILYPQNPTPKTSSIVQPIWDQTIATFGDMRGDWLCRCLSRLISRLEESD EGGIWSEGRGREKVQGLTAETLLITTLFPSNPPTNLLQFTLHAPTESLTRLLQPTLNT VKRSLSTHIYLALDLYRSLTTIQSTWDTIISKCLSMTNTPTSHETKELLSILNQPVST LRSLSLRSFPELLVDIKSSRIDGPLTSSIADITYSTLGYLENMNGFEKLIEGLLSKSH SERSWLMGQKESPSPARNASEEGGIVNLFVADVLGTLLQHLDARARNMRRPIGQAFSL NNLSHIRNTTSSFKSDIIGPGAEDMLNKAFRDAKGQYLSEFHSLVNTLTTTHSTPRFG VTVPQGERHNLKESATQFFDKLAELENVILQYPLNRQDPDMRDRISREVEVIIRNGYE AFWGRCAGKGIEKFIRDERLIPLGMDVIDLRGSPDDITRRVQAMFR
I206_01366	MSISNNIEELKIENLSYVDIQHDTLNVFDDVEQGIINSEDIWIS GYKFGETSIHGKAKIKLSERGGSEIIPRDNNVEIERINKTQFKVSIPKLLIQNRTIKF PKQIIYPPYKKSSNLDAPLHINSISLNPNTPHIVIGGQDGYCTILSTTLNSSEKQVQL KGHVGDVLDVKWFPSGEVILTCSSDLSIRIYGKEGINPRTLKGHTKTITSTYIIGIGK EIITSSKDGNIKLWDISKGKEIKNWLIGKSIEQMIIIDNSSIINKFNLKSERIGLLNV QNGIWIQPLNNNNNIDEKGWFIENEIKSKLISISYDENLLVKGYMNGLIEIIKLDNLI NNDINIIEKGKRNKIIKRNESSIYSLNLKKNNNEGYNLYIGTLSGLPALIFIKEINND EFEIEIKEELASWNSECIETFDIGKEGIWCSGGEGVLKRY
I206_01367	MYGVEFDSVSSVLFLADLALRSTLLITFAKRHFINSLEFKMIIP VRCFSCGKVIGNLWDSYLELLAAGVDEGDALDRLQLKRYCCRRMVLTHVDLIEKLLMY NPLSRDR
I206_01368	MPPKATTATKAVPPAPRKVRTTKTTTITATKDCADELVEGLGKL KIQPIPKRTIVKDSSSTLTTASSSKLPSTKRPVTSASAKKIPAPSSSTSGSKGKFKVT TVEDTLPWAKSECPEGEMKPLDRVRGAMQAINNASKDLSTAVSSGFKYTTSSQTPTPI TNGKGKEKDTNQSETNEWTNEKVGKILDVCKIAFRVLRGLDEQGHLQNKGLDVERSSI GIVAKCISMGMFQIAMEMLVGSRPALLRLYDPRPNPILPKMNGTATPSSASASSLKSA APRSHPSSYKSTSRVTAAVEGAPKEWLEMGRLPSSKDGGELSETLRSLLFSVMMATWI CLLVLVKNKEKLLSTLAIPSNTSEEDLLHPLILASSIPIPQTTFYLHNFYRQVTNIAT LPSTSYYLQLRHLSLLSMSITITPSSDSRTTPTQFWDTTHRTILQIVKEDDGKEKLRE AAQTIDHIVGWVENLAKRRGEKFWFEGKGWLGLVEMWIGLGRRLGESEIIDRPLALLA TPTSISSPPSTAGPTPVSRSSAKSSKIRNPEAEIARVCGDLAKASLQFEKASSPIDHS LNVGDLITLAYSITSLTVDGEFVDIAGKAIRAFERVRRGCLKDYKVANDSGSAGMEDV KEWLKGSIEFIEILINPLILDASLTRELLMSLTDTLIQYFKPSQYSSSYHYLQRARVL IDQTADITQAKDRADWLRCLSTIAYNGAGQSYRSNRTEEALSLTEISCTWGAETLRLM DGNQQEDKLFTGLREGMSKRWELLSGCHQRLGQKEEMFTAYSHVFASQSPQILSQIAE SSRSKSVAEALAPHAELDNSLKRLVSLILYEPSLYLTHGRRLIHLMQEEAVPLGSIGA IAERLMGLLEEGEWKDDIVKVNLEIGDAVLELYGEEYPIRRIRVLSKMLRTILTSGQS MDRFDGLVEEANRLSIQGDHGQDVKLCSYKAEYVSYSLILRAMQSYHTSPHPSLDVLQ ATKEVVEKLRQIIIPPTSNNVVSTPEKGAPTRKTPLGSLSTLLGLLGHSLQQIEVLKL LRAFQRNKEDLVDGKSTQLATEYQKLGKTSRAGFVFTQAQRVIEQSKVLVSAKVRVES GLRFAAFLALKGDVSKAQEIYTAASVLESEIEENKTGPYVARVTDRCDHLERAAWARR AAAAIYVAQDDSTGAIMQLSASFRLLSRAADAICRIAASVPGPSTPADKAITEDDPFG APLPPSAKPKVDGADEPSKDSKIAKTQLNQSTFNGKHLSAYQWNIACSLLNTILDLSN AFAYRGTVKECEYFLNLALGMAETVKSDVLQARIQSKQAELCFRMRKFEEMGEKLENA NTALHAIEGPDMVDLHVLKGDLYSRTEMLEEAEQVFQSTSRDIEGLDRVFVANEAVLP TVRASLTNSKLGKGSEPLLPTALAHILRQYAWLLKEAGSKEECEELLAQIKNLPSSTE TTAEQLLLEGRIALHEAFNTFKTDLFMSSLTESAVAMPMGAPAKRVADRQSTRQSIQT VLTRAEEAFLSALSLVSGSGKIEGLRQACLALALLRTFQTSLGNGSEEITASAAGMLA SSSAITLQRELLEAIESKFVDIVNDDLTWPSLLTAPATPPESASMDVDDIERSIEVVT EDLDDHNGKLRAYWEKIKLKYSSNLIQTPDLKDLNILPPDWAVISINVTDDRNTMFIS RHQRDHQPIVFCLPLDRQGRREGEDDESLFTFDSATTELQDIINSSNDSARTAKSVVT REDKLAWWEGRFKLDAKMKELCESIEFVWLGAFKTIFSPRLPDPTSSIADLKQRLTKI FDSALAATSGGASKPRSRASSVPTLPKVDLNDSLLACFVNLSSKCKDEEIEDLVYFIL DVYQFHGIPVALSELDIDQISIDVKAALEKVESKIAFSGLTPSKDEHLFLALDKNIQP FPWESIPILRGRPISRIPSLSFLLDQVKMSNHLRPSLSQSTANPDRNGEIDVRRTVNS RKTFYILNPSGDLDRTEQHFKPYIDDMVKKAGWKGIIGRPPTELEMAAALKDYDLVLY FGHGGAEQYIRSHKIRHLPQCASTMLWGCSSGHLREQGDLDRTGTAWHYMVGGCPSLT ANLWDVTDKDIDRLSSHVLKLLHLDSAHLPDSKSRTNTLLPLSNLSIVQAVNESREEC KLKYLTGAAPVVYGLPVWLH
I206_01369	MTLELRPNLDNLPDDVLSLIFRQLDLKELIRLKCIAKCLADRVD TLGIPLYSSLHRQPHLTSYPPINNWNPLNVIRYNHRINQSLSSHSFHALQIGPTWNQS VIPTLELTEDQLILGVGSKILVHPLVPPSSTTLEGGKVIDKSIEYNIENKKYQGGKSD IIGIVPIKSVENDLIIAQFDGTIQRVNLPHTSNNIEYRNSKLPRIKARYSASSKGKPK ESINTLVGTTDGTRFMTTSISGKVDIFSSNSPWIEPNSLKLKSRAWSTLLTPSNAILG IQGSIEIYPLSSTGQILSHHKRILIGPENPLLSSPYSIHLPNSNQSNHNPNLLLSGWY DSHLRLHDLRSSSILPINQFIDPYTWSDNSAYYSTTYVGEYHIAGGNSKHGTVSFFDL RNLKSTINNNNNNNNNNNDQRKSEFGNSNWSCFSTGGKGSPVYSLKADNGNLYGVTEK RLFCLNFNGQGLIKNNLITQDLENLMNTLNCNKKNGNGRYIPNGYKGRGGKWSWTVKY DNNNEEYKGARGYEHRSRNIELFDSLIPA
I206_01370	MGKKAVVAPGGENGPNTIFKATYSGVPVYEMLCRDVAVMRRRAD AYLNATQILKVAGFDKPQRTRVLEREVQKGEHEKVQGGYGKYQGTWIPIERGLALAKQ YGVEDLLRPIIDYVPTSVSPPPAPKHTVAPPTKSRKEKEKKGSKEQGTPSKTGPTSAA ALQAQAQLAASRTTKRMQESTPDVDTSMRSGEVEETPSATPEDESSSQTPSPVGSEAE ADDSMQASMEMDGMNLGLPMGMHMGLLPQMTEMDTLDSVSRKRSAAMMMDEDQDQYAQ LRRIRGNSAVHTPQGSPRFSGMGLLPASSSGSGGHLPPHPQQQPQLADDAPIGPEAYT DMILNYFVADTSQIPQILVSPPHDYDPNSRIDDDGHTALHWACAMGRVRVVKLLLTAG ASIFAGNNAEQTPLMRSVMFSNNYDMRKFPELYELLHRSTLNIDKQNRTVFHHIANLA LSKGKTHAAKYYMETILSRLADYPQELADVINFQDEDGETALSIAARARSRRLVKALL DHGADPKIKNRDFKSAEDYILEDERFRSSPVQPNGGSSSRQLSNTGINGESLNNAKGS SSNANDKVIFAPQLYSSEAARLTGGTALQDITSNIQSLAKSFDSELQRKERDILQAKA MLTSIHTEVTETNKLIASLNEKTLTLQEKKNELSTLQVNLNNKIKEQLKKGFEIWLAH EVSREQNWKSGDGQENLNVNDLKELNDVPNGGQEVVQAEEEKLRWEIEEKRKRRNELV DKFVKAQTEAGTSEQIAKYRKLIAAGCGGSKVEEVDEVMSQLLQTLESESDPNVYAAQ KMNSTTWTS
I206_01371	MKISNTAPLHLSNQEVLSHFISLKEDNDQLSESINHKTARDKAF ARAKYPLERDDPADEDLSLLEPLNVEDEKELNIAERRGLSDELVWIQNEVIKYLCQSY NLTSRQTADGVARLADELQDHDLTKAEVLQITNLAPTEVVELYAIIEEPDMRFLPDAA EKLQEIAVQIESTLLSSPSDHLSQWTGHGVLPEGEGEGYEHGYVEQNEEEMNAMGMDE QEYIFEGGRDGEGGVDDEKDESMD
I206_01372	MSNNQNQSNNPSSFADMDEGQQYSTYSYNRSGHSETQYTGYHTT STNTVNGTEVQVSQGNDGRHVGFGYDDNQQISVNPQQTQ
I206_01373	MCNPIYQSQTPDLPKRYSPVAPEPTHHQYGPLMSSSNILPQRTS SLGNPGNVDNKYRNHTSTETYNHSFYPPATLSETPYSLQQHLAPQLDSGNHVETVTQL PLQSYQDSSLTSFFSTLSIQLSPQMQHQAFPSSSSSSLSSTSSATEPPRVIALPTLDS LQKLASILDTAEDIDQVSWAQDVLRLVDRQLTPSNGSGPTDFTHPDSPIPNTSKLPPP LKDLLENAVSIIIVVSTSPNQKAASLALYLKAKLQSSGICQDILPKNNRQAFKDFESS ARGGEIRGWFRLGRDYEGVNDLNRAKDCYERGIKRGDCECTYRMGMAHLLGQLNLPTN PFTALTLLRQASDISSIDFPQPSYVYGMLLAGELSVPTEIPFSLVIPPNSIPSEALYN QWNLSRDAIEKAAYLSYPPAQYKAGFLYEHAALGTSYDPLISVNWYTYASKNGEKEAD MALSKWFLCGAEGNFPKNENHARTFAEKAAKKNHPNGCFALGYYYELGVGGRKDLNQA MKWYQKASNLGNTDAPLRLLALSSPIPNSISMAEHEIKLNDTLVRRRTQAKIRSDRQS ISRPIPTRGVRHEHGQIQNQQLPQMIIPIPQAYNSPKLQEWENHMTIPMPIALPITTT TTSPSPISPNIKVGEIMSPNINNNNNNHYYIKNQMPSNRPPIPHQSFSQNYSNPKSDE NGRRPSGIAISTTNTSLSDLPLPEENSKQPQPQPQSQSQPKKEAATFAEMGFQSKPVE EDGCAIM
I206_01374	MPMLAHLFPPRFTEKRPGSPRRKRSAADLRRFVTTFLPNMNTNV AVNHNPFPSLNLLVLLPSAAHLHQLPAPPYRPSALSSRKSSSTIPSLQSIKAGEPVTS PSSSLASSTSTTKNAEEGSNNSSVMTSRRLSLAPGLGAGATLPSAYMDLENLSPHSSP APTSYQMSPSASSEKRDYPFGSGSGSVPAPRSPQPSSATSSTQTSPITTNVPAFGARR STGTGTSRLSRRRPVTASTSTGIASTISPALMGIPGGRASLAVPPGLGGVSHSNRTRP GWEADEVIGTLRGSGMEVTVIRHAEHLPQVLNPSHQPSFQAHSIVRSNDTADSLTQVV LIPLSDSPAFPSLSLLLQQGTTPTAVCFQQDLLERAKRSEDEWLPGALAQIRSISKIQ EKIDRDSSDSASSSKSTSSRSSHKQPIIIAYSANPALSQTTINACLSAGAAGVLKPPY ELDTAELVMQIINSYREGKPLSTVSSPSLGRTPSPLLTSRSPLGSPTTEGTTVILPPT ALDMGAEHEGERVLGAHRKSLSGSWTTEPPRRASVPGPARKASLPTSNGTLSPRLPTT PKSSFPPLPLDFSLPQQFQLHQYNSECNPRRRSVDVGGLSLALKRASLAFEETFNQPI GTTLSQIKEGYSFPPSTPVKSNLGGSYKSSAPGSAVAVKAVSETDSEGEGTELAELLS AMFCHTMTTIEVQMSDYEALSAPLTQNHRERLVQNLSTWNFKPHNLPEGDLYRVACLM FESVLSSEGIKELNIQRDQINRLLFAIRAIYHAPNPYHNYVHAIDVLQATYMFLTQIG VAPPFSYMRDWTPGKPAWQRSDPSDREISVGTRRAREVMRPQDVLGVLIAAMGHDVGH PGLSNAFMKNAKVPLSQVYEDKSVLENMHCMLIVQLLRKHGFGFLIEGPQSSITHQLD QKGFRRVLYSTVLATDMSLHFAWIQRLKEFDEGLREGEVGEDEYDRVMVCQALIKCAD ISNPTRPIDVSQHWSSVLLEEWAKQASLESDLDLPVSVVASADAALQAKGQIGFIDLF TLPLFEAVSEALPELQVYADSCSDNRDVWQRRLDLLNNPPSPPPDSDEQQQQIEVDKQ IIQPLIEGASHDERFKTLFPLLLPTSLISNMSLVSSSEENNNYYSNSLPTTPPTPTSL IDNKEFSQNQEQQQQQQQQQIPQSVPHPDSPAAKAIRTVYKAKLAEQIPKGRVTSSSW ARSLSEWNEGRRMSTPEVVVSRDDFST
I206_01375	MTAYLSPHSHHHHQLRTCSNKENTPSASSSSVFGLTDIDAISTS LQTSLSGVTPASSSSRPKKANGLGIGRAPKFIYRKHSSKPYNRERVSSIQRAKKNANR LPSSSSKCQKPKKGVKPRPTPLKLRDRILEREEETRKMKVIDRVRLDRWRKSVWRPCS TILSEGLDLRFKLERPPIPPILSVNDRYCGEIPIDYILSRLTPMLPSISTITLAYRPY STVPHPDPTIPKGSTLPLGIPEIIHGNKSHWAERTKLREPDMVLAVYQRGAEGETGKM LIPVLSTVFASQCAFWPNLTHQIAPPSKSCSLPQPSSSSSSASSSANTGYNRRTKSEA AVSQALPAIIESSEDGSGAENDTETDDTDSSNTSWSSVSTSNDEAHPSGLPIPTPVKD HYGFLHLPIVPFPIPSKDTFDIIHRLLHHPHRSIIPDLLNLPDEKCKNLESITRELRE LPVQQLMERVQNIHGVWQNICCLGIGNLGTWKQLCEAWSCALGIITGHAILNKNIPPQ QIDLTKKTPRSLTERIAWEWVRDERARMEFESM
I206_01376	MSEDKESTTQATTTSSSETDTSKPSKFKTQDEAEKYLWDKLPEY ANDQSSYAHWTFWGNGWWNDSLYHKDDPMLKDALKKIHDE
I206_01377	MFHESSQVLRDSSTISKSSLYQSRLDRALSQLSKLSAVIDVKFE LLQYDHDVLIAIREHEAPTKSVAEEEQDRPPSSNPSGPRVQFPLAPVFTTDQENILND FESWVGRPRS
I206_01378	MSSYPPGPSSDSIPAEDYELPDLEAGPSKRRPSNSAPKARTLTL HPSSSTANLLFSAPNASLPTPKRRHARTRSYPDSPEDAMTPRRLHRDAFLSEEDQRIN DTEEVRLPDFGHILGFNDEGEDHFAIAQGMRTRWKRKLYLLLEEPNSGREAFFIHIGV TGTIIFSAILTTLSTLPAFHTDPGSTRVLFGLDTFIVILFTIEYLARSLAHSDSWSMY YNWSTSFFAVLDVIAILPYYIEVAQNEDTSILFRFSILRTFRLLRVFRAFKYQNQMLL TIEVMYVAVRRSKDALLALSFFILLVLILSSTLLYFAERGTWDNQLGAFIDSDGEPSQ FDSIPKTAWFSLVTMSTVGYGEITPKSFMGKLITVPLLMFGLLLIALPSFVLGRNFAI VFDAMTSKVPKPPSNMTSPRESLEVPPPPTSLTQETQSIPLLTNLSSTSTPTGPLSAP PSRSRAVSPLPTSGNMIPSSSASSARGINNLPRMWDGGDMLQSSTMSKGDLTNVKLAK NQFVLLEQIDNLRKTIDRQGDLLARLAAALDGKESRSRSRTTRVENHGEVRKHDIDEK GQFALGESDEEGDR
I206_01379	MPPKKGAKKGGKKNQDDEEFWEKKEAALASTPLAGSDAEDIPQP AKSGKGKKTGGVFDLLDDGDAVDDDDEGGDLMAMIAANAAKKKDKKKNKKQKYDFDDD EEEPSQSAEVDTKPNLDDEWPEDDVKPKKGKKDKKSKKKNNVVDEDEDMAEPAPATEE PGTAVNPDDEWPEEDVKPKKGKKGKKGKKVLDEEEEDLDAILEKAAAERRAAEEAAKA AEPAPVAESEPAAAGEDDDEAGDDGPKILTKAQKEKLKKEKEKAKKKAQAAAKKVTAP TPAAEETTPSAPEPAAEAEEDEGDEEAGGGDKKKKKKKKPAAKAPEPVAAAKGKKVPA HIAAMQAAMEEKKKLEEEAKRAEEERLRAIEEEDKRIAEEEARIAEAKAAKKAKEKEK AAKAKAEGRALTPAQKKERAAAEARKQAMLASGMMVAGLQDGAPAPEAKKKVVYGNRK KQQQQKPKETATPPPEPASPAPAPAPVEQKSEPATAAKEESDDDWDKSEDEVEKVVAG VDKLKVEESEDDWDKSSDDETPAPAPTTKSSTPAAKPSPAAVPAPAAPPSASPADAKA APKANGKPAPVEESSSEEESSEEETDSDDDDDSDDESDSEDEATARKALALEKIEKRK QAAQAAGSKEDLRSPICCILGHVDHGKTKLLDQIRQTSVAEGEAGGITQQIGATFFPK SAIVEKTAVVNPDNATDVKIPGLLIIDTPGHESFSNLRTRGSSLCNIAILVVDITQGL EPQTIESINLLKKGKTPFIVALNKIDRMYGWEAKKNAGFRETLNSQKAFVKSEFEDRV KAAKLAFAEQGFNAELFDENKNLGRNISLVPTSAITGEGIPDMLLLLVKLTQERMNAN LMYISELECTILEVKIIEGLGTTIDVILSNGVMKEGDKIVLCGSDGPIVTNVRALLTP QPLRELRIKSAYIHNKEVKAALGVKISAPGLEKAIAGAKLYVAHDEDEVEAYKDMAMD DLSSLAKFVTKSGKGVWVQASTLGSLEALLTFLQQMKIPVFNFGIGPVYKSTIVKAGI MLDRAPEYAVIMAFDVTIEKEAEELAKKAGMKVFSSMVIYHLFDAFQKYMAEVQESRR KEAAPNAVWPVRMKILKAFAHRDPIILGCDIIEGSMRVGTPVGVVKLDKATGKREIIT LGKITSLEINHKPFTIVKKSQVGAGVAVKIERAPYQTARMFNRHFDEHDEVVSLITRQ SIDTLKTTFRDQVEMGDWAIIKKMKTEQGVA
I206_01380	MESQRPARIPPPGLPILSGPPPVIESSTCRQCNKEFNFIFRRKH VCGHCGYEYCSNCISDGQALMPRKAGQGSSSLSSTSSNNGPGSIFNEIKAGLKEGLGL DEKSNNAGSGYEVESVCLPCLSMLQVTAANLTQLRALPIKRLKEYLAAYNIPCVGPKE KEDFVQAVIRARNPSTGCLSHEAESFYRRRSVPKFGQTPQTPTTNSRPRPQPPQTQAR PPQQQYYARPPPPQGYYARPPPTQQQYRPPPPAARSNPTPPRPAQPTPRPAQARPTSS VPKPQASPAPPPPPVPTILSLVSLPKSYLASLSIGTLKAILYENHVRVDFKQVLEKEQ LIDRVNELIIDERKRLERQRIEEERLANGTLNIPVPNGNGVHDIKDNLENEEGGVEVE SSNKKIPTGPPPEIDRGLCVVCQDEEATLAVVDCGHLCMCAACSDLIMATSQECPLCR TRIVTS
I206_01381	MSRQDVSENVAENDAGRSTISAEERWLPYDTPRIRHITGIRIHQ LTLPESLLYASKLVPSQSHDDVQALPSPSLGESSRRRMSNSSTLSYPFPRRSIEFDKR ERSSSTSTARPIEPTSPTVSIHHTQSRNRLTRPRAPTLAGEALEHDHVTSPLDRIESR DNEVQAIKQERKPLRCFVALKFPQKPYPPTISALEEEGDEELRRRTKSDERDLRLNGH NMRKVSRKTSSNSIYTNTNSLPNTPIKPTLRHTISNSSSHSSLLQSTPPRSRTVSLTS PNKPNGNGIDITQGYSLGRSTSRLSDTSSLHSIVRSPPASMRPNMLGKSKASLSISHH FAHAENIPSQTTIKSDNTKDVQGSETNLNDAQENKESLDKQIVRSESKPETEIPFYIS PIHTPSTNPRFQGLESNDFANWLTPAQCAQNQFVMEVWIQLPSGEWKLMDGIGGLLDL KELRRGPSTANSNGIEFTLSLNPKETYHVPSTSELESGEESQRGIGVMERSLRETRMK KGVTFGGLHHLINLQAVIADTQRSIEDVRLKVDKLLIADEDWRGLRREISEKRSRIDW FRSKIADVEKLTRETQARSTLKEQDIEIRRNHLDGAEEADELRRGRGRDLEDEIGKIE AERLSLLPQIHLLRAHHVQSLDNLFPIQPLDPSQLLYTILGVPLPIPIGTKDPAPPLT MSEFRVDEKTTAAALGYVALTVQILGNLGGATGGLPYAITCAGSRSSVRDGTGVMQGL RSFPLYSKGVERFRFEYAVFLLNKNIEMLMQESSIRLLDLRHTLPNLKNLLLTLSSPN LPQPPAISRMTSRNVSYRSATPTFIGSNGGWSRTSSSAWGDREREPYTRSMSPGSTQA DQSPLKINTLLSPSPLRTIRRSQDNKGKYGSLTRSVDLGSNDDLSESEGEKE
I206_01382	MPLREADLSATPIDDGSFIPSEAEASTRRDPNDRSYQPRSPQPK LVLFDPRSGEQETIDLGSASSILTLEDYKRLNRRTTERGMITGILGGGVLTYLVRRFM PKTPSRNALSLTFLFSSAFISFSTSRALLVSEILKIRALARSKALSNGEMLDNSPPSD PMFSNSNSLNSDKFGSFNTNNSGNGNSPKDLNSPENQSRSQSTSGYIPPKYDTDNSKL YDKDSNLQDELAKLGKLPKIQQQRTRFPKGRGLEGEVEEENEMRDPYATPGQPRLG
I206_01383	MPAHSPIPNIPGQELTDQTIKSFLSQRVADLCGLNQPKFPGSQP VSFQSSSLELLETKDFWVCEKSDGVRILVFIVMNGMTGNQEAWLIDRKERYFSVQDLH FPHWENRGNPLGETILDGELVIDIDPKTKSETLRFYAFDCLVLNGDNIMKKPLLRRYG RLKEWVIAPFHKSLQDFPEWKDALPFEVVAKEQELSYHIAHVLKVHIPKLQHGHDGLI FTCVETEYVPGTDEKILKWKPPSENSIDFKLELRFPPSSANHDEPDYYAKPEFLLYTW LGGEEYDFFDMMGMEDEEWDKFKESGEQLDDRIVEVTWDADNGYWKMLRIRDDKNHGN HKSIVDKILVSIEDGVEIDALLARSDSIRSAWKNREQNKKSNQPPQQTQQQRKPPPQQ QQGGQPQPPTPGASRMSGQGYPITPGYGGQASGQSQGLVAGLKR
I206_01384	MDSTATSKILDSTKPPYYQSYFPKKLVVKSDPPSSSTDVQTEPS FSSIAPNLIPDPQAIPRFSPSLKPFWPIATNNLSSPSFDPNHSPCYIPDSPPDLSPVW QPISPKVEETQHSPIWQPASPRTNYSYSGPSASPDNLLYQSSPSSTSVQPSVQSGSPL LSENPPRYTPTNLIRANQLPSYALKSSIPLNLKGRRAISQYRCGLIKARFNHKPYQRP VGTPSSMLSTPSSSSSSKSTNPFSTLNSAVPGAQSARIYAQAISHQLQQQRQERKEGI DFEKVNSDVQILLAAEYMLAGYHAVESSW
I206_01385	MAQTEMRYFSTRGGSETLSFEDAVLTGLAPNGGLYIPTHIPELP LDWQNKWSNLKFEELSFEILSLFIPCTIIPSLELKEIIKKSYSKFKSEKTTPLRQTGE KEFVLELWHGPTWAFKDVALQFLGELFRFFLERRNNQLEKDGKEEREQLTVVGATSGD TGSAAIYGLRSKPSITIFILYPDGRISPIQEAQMATVPDENVYCVAVKDSDFDTCQSI VKTLFSDKEFNSTHRLGAINSINWARILAQIVYYFSAYFQLPKEAREKESKIQFSVPT GNFGDILAGWFAKKLGLPMDHLVVATNENDILNRFFKTGKYESEEIPEKNQSFETSLV NGSSDGQQATPSSSSSVKSTHSPAMDILLSSNFERLLYFLALDTIDSPSGNVEKDRTK AQEKLNGWMSELKKNGKVDLGEKIKDAAAKDFWSERVSDEQTLEEIKKYYKLPQYGPY VVDPHTAVGLAATERSQKKAPNSYWVTLSTAHPAKFSSAVELALNPKEFPEFNFRETV LPEELKKLEQLEKRVHKVSGEAGVRELIERVKKGEKIVPGEGKGSI
I206_01386	MFGGTSSWGQNNNQQQNQQQGGGLFGNTSTGFGQQPQQQQNTGG FGQPSAGGFGQPAQQNTGGGLFGGGGSTGTSTFGGFGASAQQPQQQSNAFGAARPTFG ASGSTFGQAQPQNTGGGLFGSTSTSGGFGSTQPASGGLFGAKPATTSTFGGGQSSGLF GAKPTTTFGASPGGQDVLKGPNELQNYRADAPPPPPPAQGTASPAYFPTWQGDPSTTT MGKEGPPHLFHSITGMIPYRGVSWEELRALDYQQNRKEATQQPANTFGASTGGFGQPA STGFGQPQQQNSTFGAKPAGGLFGSTGSTFGSTSNTFVLTPASNTGGLFGQQQQQQPQ QNSPFGQSNTGTTGGLFGQPAQNQSNTSGGLFGNTSNVFGQNNQQQQQQPAGTSTFGS FGQNKPAFGATGTGGFGSTPNTGTSTFGQTGNTGTSGFGGFGQNQQNQQQQQPATGGL FGGGGAFGSNTQQPQQNTGGLFGQTNQQQPAAGGFGAPKPAGGLFGSTTTPAAPTNTF GGFGQSSASQPASGGLFGNSNTTSAPGTSLFGQPAQQQNTQQPASTGLFGNTGSTGGL FGAKPATTAPSTGGLFGQTQPSQQPTQGGGLFGNNNSTGTGLFGSTNNSLGQQNQQQN NSLAKPAGGLFGGGGGLFGQQNQQQQPAQTGSTGGLFGNLGQSQPASTGLFGSNNQQP AQQSNLGGSIFGGLGQSTMQQPQQQQSSLTASIDQNPYGNNQLFQYTGQKLEYGSQSK KPALPPLTASSYRLTPSTKGKISKLRGFASPLAGSQSPARPGTPLGSVSSPGRSSILN SPAAPDRYKGLTDTALTPNAFVPRPSIKKLTVTPKVLTASSSGDQLESVLGKSALRGS TGSIAQPTPERASIAPGSPATLLFNPPAANGNSDSPSRRNAPLADSSRVSGSEKAPKK GEYWCKPKFEKLRQLSKNELSELHNFTAGRRGYGEVTFIQPVDLTLAPLEDLLGTIIV FDQSELSVYPDDYPAKAPQGQGLNVPARITLENVFAHDKATKEPIRDSTDPRFTRFLK RVKNIPNTEFISYTDDGTWSFKVEHFSRYGIDEESEEDEPEPQHAKKRASIPLGSDRT SSRTPETDEDEDEDMLPPTKGLRDFSEGEHDSGIDEDSFEIDEDSLDDEETFEIDEEE ESVSDNMPTWDQPIKQKVGIDGMKKLREMQSSFFTAPRSNTVTNLNGKRDLKARNKDS FFGEAGEESESLDQRAVKVSHHPSIWSSPNADRIELQRTSFGEHQVSPPKLRQPRKYA RVALGSSIVQSKEGIRADAGLALGRSFRCSWGPNGELVHFGKICAPATTITPESDALV HIEQVAILAENTKAENTKAQRLLNLHLDNTLVETLDGVPYAAIDGQIRFRDFAARFDA GDRSHEASVFRLGVALFDELDLKLPLDASEELTERIASIRRKLALSKWLEDAVSPAVD SELIKKGEDRPAKIFTYLSGHQVERAVQSALDGGDMRLATLVSQAGGEEVFREELMKQ LEDWNKYKVNPLIAKGYRKLYAVLAGITDVSLGDPSKGSDGCPDVLIAEGLDWKRALG LYMWYGNRFEDSISDVVSSYSNALNSQHPPAKPLPPYLEMPSTNSDGKNWNSTYQPKD ILYDLIKLYSDITISLDQVLDARATSPSPYDVRLSWHLYILLSRVLEKRDFEDREEDG YSTTADRLTQSYAHQLEISGEWTKAAFVLLHLETSEGREKSLRALLFRHPAPTSEEEQ FLTHDLKIPVEWKHESQAAALSSSGDAWGEYHALLKANLWDQAHKVLITKLAPEAVLR DDKALLRKLCEGLDGKGADGWEFGGKLFLDWADLTSDTASLLTSVLQAGPHPDPRESS TLQNHSRNLPRILQLLPALFPDKTNLEQVAGLSEMLSPLYQLAGLLHNAGYVSKQPVP NYLVDEDKLNLLQASAFEKFNRSLEELPLIT
I206_01387	MPLPQLPSSCPPKRPRYVPSSSWPNPPPSPLVPTKKVKLEIPTS PRNRAIIHPAPASSNYSLPPHRILPPSSPYPTDDTTTSPKHTLASPTSTYTENPNSTQ QSSTDKNAHEMIKENLEKYKNFNLNDDINYKKLSNIAKIAQIEKEKYYKNQYENRTKI LEKSIKDIIHLKEENDSLINELSNIKMNNKFKENENFELNYKLKEIIKENEKLKKLLN DSEIFNKEENLKYDQSIREYLIKMNKISDEKSKLEKDKLILEEEIHNLKMKLGR
I206_01388	MPSPIPSYYIPPPYPSHSSHLPKRPKVYDNLFSTLDSSNETDNP VAPLSREQKQFTYQSKPLSEKIEINNELKNLKHSISERNLNDKRRTNKELELINNLIK NHKLELLEFEKTKKELIEQKEMIKKFKNENEKLQKEFEEFKKSNKKALKILMNLQKDE FLFWSGNQLKPYLKEIELGIKFRIKNWQNNNHNNINNQRNKDKDCYKRSSSPEFDLQE NQRKSR
I206_01389	MESNEEAPHDIHAFFDSLFTSPSTSQKVRPLPTPSKKHPLSSSP VRPVNSFGSSPKTASSSPGQPSIRLSQQAESSMSLNLIPNSKRPLSLIITSASSSTNL LDSERPTKKMMPNFYRDSSIRGIIQPSNNKVKNKDKSLEGLLKSSQPSQKPRSEITQS IVPKPNPMLTQRYKQKQAKLVSTPKAKAVISKKAPKSSKAETSIIPPPPTDELIRITM ENYKEIFPPHQLNSRNPYYVHLFLKGDIVVPKSRIHSIVNSPALPSCSESASTPVSQS PISNKSTPNLAMALEQQKRDNELLRQSLHSLQAKFMEKNRLLTKERISHGRNCQLLGG AQRELLSNKEHIAMLTKAVHEAREQALFSARNNWGEHYRRASEELRNTKAQLRAVRKG NKIWIEHVTNCKVDRERIVELANKAKADIESLKRLRDENKVLKETNRNLMIRSEELAH FRKMINKEVEVNDEQARGE
I206_01390	MSESTQFATQIQSDKPTSKSSRRWTLSSTNWKSRFSIFSHIFDR NSSTFGRIGKRNNKMLYSEIANRPRFDSLEDAKKNVQATSSKNNQKITRTKIGDHCVT SYFVLVKSDKAGESGTNQSTEWEKYMLTPGIGGLTTFHLGRLTADEMIQDLGSSQTNK SI
I206_01391	MSTTSEPPTTNASDADTSGPDTSGESLFLVYNDPTRASSRKYYA LPLPANICKVDEKSEKAYVNMEVQVSGKGDVTTTSSWTKSDATIYEERMLLSIKDIER FSEGHPLRRMVFSSNDSGTQGSTVGADTHEGELA
I206_01392	MSDPVDPTTADGVSPGIPIDPSRLSTQIQPAASTLASAISVNPD PVDPAVQAESSTTQAHSIPISTLEAAMSSIVEQAEIPASTSYIPNTGVNGRRASSSGG KGLNGQHRSVGPELTTPSLLSETSGGIFIPTGEPPYEPPADIIYPPDKIANPFWGDMA PQPIIENFPANSLYRPKYLPADIDDRLDKRSVWIGIEKDSSRAVYFLPPTCQCCKNPA VAQHCDRGWPKCARCVGRGVICIPGKAWGMMRPKGKRRNLKAEAAKTKPFNDVLQDTS NGGLPGPSNSPFTGIGRLSSKEKGKAPAHTYIPPPPLPSLPDENRATSMSIDQPPSLL QSKPQKKRKLSIAVEGDPKRVRRKSNRDAVGPLTHPTDLPLTRNDQAYFSRLEDNARK PPLSDMYGPCPVWAKTKSALQSAAEYLREPRSTAGASVEIGVGGVARGVILEGEVKGV QGNFWGSGRDSGTIITAIGQSRRQRSLHYPSCEPPRLTSPVRINANCTSSTAQEDQKP DLDLANAGEKPEITALLMAQRARTPVAVAVAQDYSAVPFKVPRPLVVLGWFWITDAWL EPIMPDIQLFTPSQNAPAGRPEEVIWKFRFEWCTGGKQDMPWWSSNREPRQSVYLPSS ATSDHTWSLSGTDRGSTTVSAPTRDVPMEMDETSNYQHTCDNCRYTSERIYETGDICL NEACSWFFGDAASNTNRIGPMTNRPAPARPRARIQPETLGLRLRPPQPTGISHDVTQA HAGREFWRGWVCDKCGSAQERYKWTGWCCEACGHSIQPPRRVYTAEDLRLPSRPVCTS ARQEDGYASFPFQTQRSWSLFPLHIKVVKHSLDHDLFGNDSQVQHSLAHEGNGVNEVA GKVLRGLQVQGGNEVPLRRYTANSTTRRPVELALSPFYTYLCGSDPSPFASFPTYRTV HWQDVPPVCLDAIDLVNTRAGSMFPGQPEFGSLLIVANPPNSSTSLNPKLVMRPNTYM AILFLGSDATVRIRSGGVRHKQGELTVQHGDVIGFRAGSQGIEAALRMENFGFFCIAR HARATDLNLPQTPTSDSNFSESFLSHLHARSHSQPSSSVEPLSATFPPQHDINPSRQN TPNTPIFAPKKTQSRPKSVTLPKITKLAEMNLENWYIGAYPLDQKQFLRILKPYRIHS ASETGRAEETGDVREEQIMVIDQEKEEFTQIDPIPLSPLPGYDDLFPEPEPEPILPSK KSTPASKKAKASTMTPSATPTSGKKRKGPRISTSATPAGSVIDPEEGTPSLKGTTSGT KKAKGRSRKSLA
I206_01393	MLRALRRFLAGSTEDSRAMRPEYEPLSANDEASTHHERDNIKED VRHEPKVYLAFWVLGAGVLMSWNALLCTIPLLTTFFPTDSSMKSNLASYISSSFCFGN LFFLGMAQRSVGKTSPSKRIHWSLLLLLLTSFILAFPLLPLILPSLSSTALLPVIIAT TVILSISTAYLQSGVFALSALWGSKEILAVMSGQGGIAVLVSLVQLFLAIIAAGSKNG PVEGDNGETKASTLAGVGLWALGSAGTIGCLFAHRFLMRHSQYSLITSSSSSTRTSED QEEKKGLTRKVFKKNLVLMIAVAWVFVVTLSIFPPVTTTILSTHKPTPRLLQPDVFIP LHFLLFNIGDYCGRTYLPSIQALFTTSPPRILLLSLSRIIFIPLLFFCNTTLRSTVPI FNSDLVYFLIILLLGLTNGYIGSVCMIVASSPELSKRIEEDERDVAGTLASFCLVAGL AGGSAASFLVTYLIK
I206_01394	MSTQIPKTIKAIQVDKVGGPEVNVLREIPTPVPKDNEVLIKVQW TGVNFIDNYFRTGLYPAKFPYTVGQDAVGTLVSAPEGYHIKTGTRVFTTAPNSFAEYL VAPVERVAILPDDIPAEDGVSIGVQGLTALYLLKESYAVKKGDWILNRSAAGGVGLIL TQIAKYLGVNVIATVSSKEKAEIAKKSGADHVLLSTDSSETNVKKILELTGGEGVHVS YDGVGKDTFDENFEIVRRKGTIVTYGNASGAAPPFPVSKLTPKALKITRPSLPAVVKT QEEWDEYTSELIDITKKAKINYAVHKVYGFSAEEVAQAQKDIQGRGTTGKLLIKISE
I206_01395	MTSFSSSKRPRTDDIDFIHPNRFQIVTTQHQKAQGISDDPFISS QFHALSECKALINQIDGKPYMIARIYTNQWERCLTALKASQLDYHSLLSSHGDLVKQL DIYQKEIIQLQAVLESKEEYNQLAKKEAIDWKKSHIKEKASCQQLKVTLDGLNDRVSE QRAEINDLQEQKANLDTKCEAVLNELSEALQGRSDLETKIREMEIEKAAIQDENKNLH ETINQLENELRREKEEKNSPVDMVRDYQGDIDDLQEERGTLVVPLEKSEHLYTKVPWA QAELETQIETLKWRLSEANVIIDNLHAKQGGRKEISPAFSPTRPVNGHTRIPNGNAIP DHVSLNPIHSCDASTRRRMQAIEELNSNLRSHLDHQEKKHKEERRKLEEEMEEIYNEL WDLKLRIAKS
I206_01396	MKSPRMSVNESSSQADCYVRDNSAQPLEVPLDKTTRDSMKETRI QQTRKKESQFDLGPEDQIIQLSHTKKWGLLVLFSFSLCVDQWSYNGFFILTPKIAQEL SIPISQQSWIVTSYLITFGSTILLFGRVSDLYSPSKVFSIGFIILAIFNLLISFLPEL YSFFIFRALSGIAGASLVPSAFKCLSSIFQKEELGKALTIYTSAAPVGGASGVVIAGI IDLIPNSGQMSSWRWFCRVLAIAIFIPAIGSLFWIPKGIDSKKIEKEENQKKKFKKLD LGGSFLILAAVLLLILSLTMGGDHGFKTAYFIAPFIISIFIFPIFIWYESKLPKGYPL LPPSIFKIRNVWIFLLLGLIIFSFWSVNNFAFVNIWLTHRGESGIIVGVRLLPQAITS IIAACMLSKFSWFTNQPRIAITSGMTLAIAGFIMFSQSKDQINNNYWKFIFPSSIFAS GGAMLVYSATSVGVMTSVPPSMSGTAGGLLQVFFQVGGSLALGIQAGMITINPGSFGN YKNIQASFYFEIGWLALGLLVFLVAYRRPKSAVSDDEAPHMIH
I206_01397	MLGYYSLSRRRSAQKNDPPSPGLPLYSSSINESELPTSPKALKL SASPTSPVAENRITEDGLGSPFSITGPAYPLQSDSNNRPKSKNSLPTLSEHERTENML HSGGSKVPLISKDFGMKESPKNVNMQEENTKESITSDSFSLLPGDDNSLPKSAIDLPS QGDKESARKISNIFDDPKLLPPPSIHDQYVSKHIKPIAPPDLSSPTLESRPSLNRSTS APGHPNHSATSPPNQTGLLPSAIPSETSENQKNDDANQPIYEIFNANLSTDGLEMSKK LRGYLDLVLKGQEQVGRMHLSLEGLGMGSRGIWEVGKDNENDKNEEDVKGKIEERQKG IEDIMQRLGEISDTLRNYHQLGTPKLTFPRRNTKPQSPLSAENANRIPRTPNANALGR STTIAGGTTSPELSKNNRTRAPTLVRSNTAVGDLSPNSIKQQKEKARSPLINTFTQFT SSDDDITVNKKNTDLPPLPLPHDQVNFPTSPPYTGGRKIPFLDMDRIHDQHPRAEDAE GETPTSHHHWFGESPDSKTGKRERKITDSPIEMTFKSRF
I206_01398	MAAAASSSTFLDPHLGRAADFVGPDFHQVNLDVEGYLKSQKGYK LDSDTQICPLSLTPLGCPLPISQCPYRHTNPSASNFKPPPSLPTHPREREKKLTVCKH YLRNLCKMGDNCEYTHDWNLRTMPICIMFLKQGKCELGGECLYFHKRDRRVECPDYNR GFCLFGPNCPRKHIRRKLCTAYQIGFCPDGKHCKFVHPVADRPKPEEYINPIPPDPKQ FTGPPPQLPAGYGRWREYKYDPNAVVIPAPAWVEGGSLSGWRAGGFLSSNARRSNHNN NHHHNDVPAGAPAGYEKKTGWIKDLSTVLCFRCNSYGHFANACPNQAVPGDRGGLKRE 
I206_01399	MSAISRDPSMGKKRKRPSKGGAEKSTPSKTEAEDVVVGVEEETP IEAEATSSKVTLDTPSGAVDGARNVPGATYERVPFSTLNLSNPTMNAIQRIGFETMTE VQARTIPPLLAGKDVLGAARTGSGKTMAFLVPSIELLSTLRFKPVNGTGVIIISPTRE LALQIFGVAKELMQGHSQTFGVLMGGANRKAEADKLVKGVNLIVATPGRLLDHLQNTK GFIFKNLKALVIDEADRILEIGFEEEMKQIIKLLPAENRQSMLFSATQTTKVTDLARI SLRPGPLYINVDEEKQASTVDMLEQGYVVCESDKRFMLLFTFLRKNLKKKVIVFFSSC NSVNYHAELLNYIDVPVLDLHGKQKQQKRTNTFFEFCNAPSGILLCTDVAARGLDIPK VDWIIQFDPPDDPRDYIHRVGRTARAGKTGKSLLFLLPSELGFLRFLKVAKVPLNEYQ FPQKKVADVQKQLENLISKNHYLNTSARDGFRSYLQSYASYSLKKIFDVNKLDLTKVG KSFGFSVPPKVNISIGSVKAKKERQDESEDDEENDGIPKKAYYRNRQKKGKFNQ
I206_01400	MAPSRQPVAGPSRPKYSQQPVAQSPPPSSSISSFNPSRTLFALA SPVLGSADKVQVWDVATDRVLADWEVAGASKATTVTWASIQSDAASKKKKRRKSGPTE ASDEEVVLITSAKGQLHVFSPTKGEIIRTIDLPESATSAWSDEHAVVYTTSSSILTLS PDASSITHTFQLPSSLASPSAITLLPSSTPEILHALVATSSVLELHLDLSSSKITYTS QPLPASTSSVSSILPLPTSELGSSFLVVSEDDRTISQYTIVTPQTPPKLSYRYASPTL SSAHSLATSSTLLSVLHVSGEISLFALPNELDFSRPKSDSKPSTVRLVEGKDERIARL ARVTFESQPAAAAGALICGRMAGGGRIQWQRAVFELPEGGLRPSTIVKCDAQDLVGSN GSSTTLPIQRFAAPANVTEAPSADIDEPASRLPTDVDMADLSLGERMLAVSNGDAPAI DSDAAAKTKSGSELTIDGPVNAASLTRVLVQALHTSDPALLTLCLSHRNPTLIRNTIR KMPAQLALPLLKACVERLGQGKSANKRGGGRGSVQNEQQGRGTVEWVKGVLVERGPLL MTMPSLPLHLATLSKLLQTRLETYQPLSTLSGRLDLALAQIQMRRLAAEASAQQNGGQ KGEGQIYIEGESDDEDVPIEYGEEGEVEDVNMLAGSDEDSSEDEEEDDESEEEDSDED VLDSEDEEGLLDLEAEESDEEDEEDSEDE
I206_01401	MFSEKEMKEAARKTLGLDEEPDKETPKFYLDAFREHTKKCPYDS HFIIELADSTKNGSEIGGNGFGVITCMEDYCWKDIILSADPLKPDGGRSDGFGSFSDF QDHCDEPDHKRGRSERCNRLGINDQINPCSSHSSSLPSRPPPSFEYPSSSASVAIKSS ILDEPSVAGPSNLDRSTEIRAAPSEPTYRESKPVIHPSSSPSRSIFDLTQPQTSSSTN RQRSIDIITIDSSSPMKSDPEEIDELAVDSEDDNDDILLLEDDEIPSEYRKVTNRDNP IPLDDSESEDDMQIRDRGKGKAVSRSNSNRTPLAPIFNMSQSNRQQDSGVEMIRDDSG DSLEARQKSFDALFSPSTSRLSKGNTRDTSSGANNIIALSSEEKVKMMQEEDSRSNTP AAAPSASASAPAQTLHILVTGRLRILEHLKNGIGSTAYFPPSPQLLAMLPALKTYGPP NDQLPLIKSATDNKPLVNGHNGYGGLNMPEQIKGEHDPLYIPQHQLTICHPYSLSSFF DENMKDFVEDTTVDDSLKKLGLNSMEDYLPDLRIKLMAHQVLGVDFMIEKEKAQKFLG GINADAMGLGKTVQSIATIASHQSEDPRVKSTLIIAPLALLQQWKNEIESKTTPGLLR VLIYHGQKRTKTKNHLKQYDVVLTTYGTLVAESGPKEKGKKKNGSDDDEAYNDIRKQG PLMKVHWWRIILDEAHQIRNRRTRAAKACFQLKAHLRWCLTGTPIVNTLEDVFSYLHF LSISPAASWEHFRGHISQIQKRRPKLATKRMQAILRQCCIRRHKESELNGQKLLQLKP KHTNVDTLNFTDDERQIYTAIENRFQVRFNSYLKKGTVMKHYSVVLVMLLRLRQLTCH PWLLRRNANDGGHEQDVLVTDEDLLTGVSAVKLDDIAEVARAKTLLGEEAVAQIKKKL AERQAKINDAASDDTEVSKEEECPICSDIFTDERITPCYHSFCAPCLEDVFNNIANNV DLRDVDDGKRSCPLCRGQIERGKIFRADAFIEHDKEEEASDMAEEDGIENDIDAKLEV GTEEYEESHTKGKRKAVTRLVSKKVKKDSDVNGEGEDPLAEVTDELAMEDVLPSTKMK KLGDLIDDINAKDPNDKIIVFSQFVQFIELCSLFLTRKNVNHVRYMGSMKQDERENVL QQFGQSLKDHPKSPKVILMSLKCGGVGLNLCAANHVICMDLAWNAATENQAVDRAHRI GQDKEVHVHRLVIQDTVEQRIMKLQEEKQALSDGAMGEGAAGRLGRLSIRDLMRLFAV GGDGED
I206_01402	MPSTSTSNSPSPRFSESRSPRENPKPAFSRPPSGTKSTTRTTST QSRYPPVTRNSTTPSPPRYTPSTPPNQLQSNLNTVSPSTLNRAINTSLPSSSLSPNSA LYYSSPPSAFPIQSQLNSVSQEYELTPVTPKRIPPSIHESPFDDRHSILHSSGSRIGN KAPDIDDIEVMSEEDPFEYSNVRASGGKQKTMPGYQFSSLSPRAVDEKGKALTFGPML DELAFEHDSDIPRPISEYRRSLYPNSIPASTYQDHPDLYELKSSPNKIVSELASASTS SRLPTIQPVRPTFRGLFSLSSAKEYVLLLIPAIIFSILSAFIAPYMSMVIGDAFTIFA TYPLVTGTATQADKQALKDGVGRTSLKLAIAGIVAVILNYLRGLLWVWYGETVASRIR EIVYVGVSTKSMEWFDLGMGMKDQLEDGEGAEKKEAIGAGGLMSKFTRETDEVRLACA LSSGAVVMNLATFILCFILAMVKSPVLAIVTLSTIPLVVLTQIVTQVAASPLLANERR ALAEASTNVERVTSAISTVKAYNAQSVEEFKFQNLIEKGKKSLVKQGLVWGFSSGMTD FLLLGTFVLGFWYGAKIVRDGKASSGDVMTCFWACLFSATYLQQVIPHLTGLTKGKNS LASLLTIIKDYEEDPSRPRARPISNESNPFSPSSPIYSQSPKGIFEKKVETYRKSRPT SIRGIIPKRCHGEFNFHNISFFYPSRPDNLVLKDISVFIPPGETTFIVGGSGSGKSTI AQILLRLYQPFSGEIIMDNQNFQFLDPIYTGSNIAAVQQGCILFDLSIHDNVALGLAG SSDLDQFTEKKQKRSPKDVTRNEVIEACKMAMIHDFVESLPEGYETKLGTGGNSLSGG QRQRLAIARAIIRNPTVLILDEATSALDPASRVQVFQNLRSWRKNRTTIVITHDLSQI AADDFVYVMSNGIIAEQGFRVDLIKKPEGVFAGMAAEQAMIPFPTKRISDPTNWQDGL NEILGMEDEFDIEELLDDRSVRNSFRVSSLNFGRLNGNVRPGSIYADMLDEYSKSKSQ HRLSTHQNSSSKQLTNAQKRLIWNPEDLNSGLGARTTSRLSNRPGSRVSRSSLAYDHD QSPHLTIRSSFDTNSRVRPSFDSAQIPHQNGLLHPGWAEKGNSPYSTDSRTSMSIQQR QQRTLSQNLDDELKASDLTHIPSVVDKIEPLPSLIYLIKLYFPGLPHKWLLFLGCLGS IGHGVTTPVWSFFLAKLMQIVGTGGTDTTNLTKFGIIILGLCALQGICNFISEWALIN LSSKWSYKVRLEAFKKVLYQTKSFFDKFENSSERLVQILIKDVEDSRVLMSQVIGRLV TVITMIGLGLIWAMIVGWQLTLIGIALAPLFGSFMIFNTWLMGRIETKHKVGREAVAR TFYETVHDIRGIRAMALDSAFQEKFDQDAANAKRLGDRTAWGVAFGGAIASGLTLFAQ ALMNYAGSEFMMKDIMNYQQMLQVYNLVLFSLTFGSGMLDFIPTMAKARVAARDFNRL YLLSTQTEEEKGDLRFPITGSIQFQNVQFSYPTRPDVKIFTDLSFTLKPGEFVAIVGP SGSGKSTISSLLQRLYEPCKGEIILENKYNLNSTDIKFLRNNISIVNQFTNLFNTTIS ENISYGNISTTNNNNNLLEIQRSAKLSNIHDFIIKNLSKGYETNLGENAILISGGQAQ RIQLARALYKNSNILILDECTSALDVDNARAILDTIESIKHSRTTIFITHSVEAMKRC DRILCLGEGKVLEDGTYQSLINKGGVFAQLMNSGEWQ
I206_01403	MSGLKITEFSVHDIRFPTNVTGDGTDAMNKECDYSAAYIVLKTN SDLEGQGMTFTIGRGNDIVCYAIEQIANRIVGKDLEPIFSNMGAFFDFLVSDPQHRWL GPEKGVIHLATAAVNNAVWDLLAKHEKKPLWKLVVDWTPEQFVQATSFRYITDAITKE EALALLKKNESSKKAREEEVIKKGYPAYTTSVGWLGYSDEKVVRLTKEALNQGFNHFK LKVGADPEDDLRRGRLIRSIIDDPANLPEGRVIEPRTIEGKNAGPAGCVLMVDANQVW DVPQAIEYMHKLKPLNPWFIEEPTAPDDAVGHAAIRKALKEINIGVATGEHAHNRMVF KQLLQLDAIDVCQIDSCRVGGVNEILAIMLMSAKYGVPVCPHAGGVGLCEYVIHLSLI DYICVSGEMERNVLEFVDHLHEHFKYPVSINKQGRYNVPLDPKGGYSIDIYEESMKEY TYPQGGYWVSAAEAEKRGEAPPIPKHL
I206_01404	MSDSAASSSDITITVKGPSELKLSITISPDKTVTDLKEAIAAKS DVEKERQRLIYSGKVLKDEDAISTYKIQNGHTIHMVKGAAKTNNPTPTSSAGSGSSPA AVPRLPQMGTGLNVGSNPIDNVENIHHGLAGFNPFAGQPGLDNMFDPNAMTGMMNNPD FMRSMADMMARPEVLDQIIASNPQLQAMGPQVRQMMQSPFFRQMMSNPEALRAMMQMN ASMGSGQGGFGGAGGFNPFGGGFGGAGVGTGGQATGGEGQQAASDPFPNLFAPQAPAA TTNTNNPSTPSGTAQQPPNPQANPLAALFGGAGAGAGLGAGGQGGNPFGFDPSLLFGG GAGGAGGFGGFGSPAPRDERPPEEIYATQLGQLNAMGLWDAQKNIRALRSTGGNVEAA IELIFSGQLDG
I206_01405	MLRNSSRILASSSRSSLSRSGARTLVIAGNVAKPQVASLSIKPQ QTLIFRSAFHSSSLLLAETVKVPQMAESITEGTLKQWNKQVGDSVSQDEEIATIETDK IDVSVNAPMAGKIVELLAEEDSTVTVGQDLFKIEPGEGGGSGSGEASEKEKSQPSGAA KSEAKDAQEGNRDQAAPEAAKEKGASEEVHKKQDEKAPALEKSSEEKPAPKKEESAPK PAPKKEEKSKKDEGAKQEKALGSRNETRVKMSRMRQTISTRLKASQNAAASLTTFNEI DMSSLMEFRKLYKDQILKVDGVKLGFMSAFAKASCLALKEIPAANASIEGDSIVYRDY VDLSVAVATPKGLVTPVVRNAESMGLIEIEQAIAELGKKARDNKLGIEDMSGGTFTIS NGGVFGSLYGTPIINLPQAAVLGMHSIKEKPVVVNGQIVIRPIMVVALTYDHRLLDGR EAVTFLVRVKEYIEDSRRMLLPSPL
I206_01406	MSNTSSDALSASTSSFVTSLVTNIAVAGGELVAFIIFRRWIKAI YEPRTYIPPKASQAPVLGKHTFEPLWRIIMADPEEILHKNGVDPYVFIRFLMMMTKAM IPIWLISWAVLLPVDSANSKNGDKDGLDRFTFGNVAKDKQSRYWAHLILDYVFIFWFM WLIWGEMQHWLVIRQRHLINPSHSKLAQANTVLVTGIPKHFLDEDKLEQLFRHLPGGV KRIWLNRNLKDMPNLHDRRVFATNKLESAQVDLIKFARKWKIKREGKIEKLETKKKPI PSTLTGPNNPQLLQNEDRQNASALTGPSRIVHPDPETGDDREPPFPAVDELGRADQLV PRNKRPTYRIKPKWAPFGLGFLGIGQKVDAIDWARKEIAFTSTELKKSRKQLQDDIDS PGTTDDHYPPLNSAFIHFNQQIAAHMAAQCLTHSQPYTMAARYTEQSPANVIWRNLSL NPYEQKVRQALSWAATVGLIIAWATPVAFVGVLSNIKTLTDQFTWLQWINGDSTGKHI LQGVISGVLPPVLLAVLMAILPFILRQLIAFEGIPSKTGVELSLMTRYFLFLVIHTFI VVTLTSGLVRSAQEFINNPGSIATTLASQMPTASTFFITLVLTQFTGTMGTLLQIISL VLYYVKIILFGGSPRSVYKSRYSLNTQRWGTDFPAVTVYAVIMIAYCIISPIINGFGA AFFLFAYLVYKYLFIWAYDQPPETDTGGLFFPKAITHLFVGMYIQEVCMAALFFLARD TDKKAKAIPQGALMIVLIVCTIAFHFTLLNSYGPLLNSLPLSLAHLSYGMPTEKGHEQ SIIGQEYADNDNNNFSNPNAINDPGFNSSKERLTIGAAGPGLGTNDITPSSSNNHSHT GYSDEKAKTAEDREREQDLQNRMEEEHPTERDFAYATNGDDVELGIRTNLPRPNFQED ENISSPTAPGPPITTMPSHNSSANGRPNSLRSRKSTRSTDTSETVYFALPGGPGVMKS RQKFYDDDGNDPKAFFHPATKEQQRIIWLPDDELGLCKAEIERNEKEGVRSSSKGAWL NDKGKVQITGPPPDDI
I206_01407	MPLFYKVIYWCTRIYYKVKIPLTQLKSRNGDSSIVKRKSTEIPD DIWLLIFQHVRRPTEEIMKDRSERQSTLVLAHNREQTKPIGDWGNYHQNDLNFHRLAS PALYGKAIVNDPDLFFYGINTSSPSTNRLSKINQLAHVQSLTMIYASTLCPSRTINFD EVSIYRRPLQRTTKEFIKNFIIALDSTRNANFEIANYRSNQKVKTTKVFPNLQQVVMG ISPFFSSDQHKWLFSDGATKRPVVLQHDLIVKDLLTQRREIGYEFAEMLNEISFLPLK MCNHSLHGPWGISLSPAKFILHHPQRQQS
I206_01408	MFIAIIGTPSSGKNTVVQYLERKYGFKRVRLDKKEKNKEVSGES KLNGIELDHKSNELSVSSSTSPAPSSPLESPSNNSDLKFTSLSTLLDFITRNWLLNHV TTDLISFEDIEPFVKRPFFLCINIDGPILIRFQREREKEKSKSLLNNNENQLLSLEKF IQSHDELLNGSLPSLPLLSSSSSSSSSSPSSSNKLISDDDNNNQQQQQNDFRKSLSLS QISICNNFKTIENFENYLDNLNLINEERLRPGWDTYFMTLASLASHRSNCMKRRVGAL LVRSKRILSTGYNGTPRGTKNCNLGGCTRCNGSARGGEALNECLCLHAEENALLEAGR DRIGDDSVIYCNTCPCLRCSVKIVQCGVREVVYNQSYSMDEASAAVLKEGGVILRQLH MPGQM
I206_01409	MGMGISKLVTERAAEFSSAGKELPYGMTGEDIYFHQAEPPCGSL EEPFYPPFPSDNELPELPIEIWQRIFAHLRRKIGPIKSKTRERGDYHQRDLVNAMRVC RKFYYLAAPILYARVVTDKPHLFLMGATKYSLARVNEYTRWTKLDLLQFVHRLDLMYN STPTTRYDLRFPITKKDKAKFEKVIFKYEYKDCEEIRRMIQDVDNSQSAMRYIHWFRC LRRQYLKSRTPVMLSNLEIFTISHPSFKSIYQEWTGHTHVPVHSITAPNDNTNWPRYI LMPDKLDRYNHAIDGKEPVLGTIRVVPKSAQFSYEFARLAAPKHVCMDDSSGPYAYKR YNGLYDKIKLPQPETITYHIYPRSVERFMLPAKPDEKALYRWRVEPYIFNGSTSRWVL DLDNWKNHSLPRQKADFFVWLRHNLYEFRKYQDSDEKYKKRWTCFAPSFKPEDKTKIE IYGVLNNIELIDDGLDLCASRGWMDYDKSWAYEQKIGQLYAFLDVVPGMGDIEFMEES AGLCPACKTSSDQTDNGISI
I206_01410	MPVPTATSARAASMALAGSSSANDMAGRMARMEAFRKVRPSYRF HKRSAANSPQLKPTCVALMAISSQAPTQNSQHARLIDTLLRELNGVPQSSLDPAVVNY ILFPLTSILRQTNPATLPDNFLESAFRLLERATSVWRKCDGGMEVVAWEQLWNFAIAA VIGRSGGKDEKGKCKQKEANQELQYEAVLLLSALLLPTPIDQHGLPHPSPSMLEKVST TKSPLLPTLFQTITFLLETSSPQPPFHKLQLSSLKLLRPLVHTYLQEKHEVLAAVLPG IISSMAKIVQIGNNLKGETAEALLGLIQDVIISTLNDKDLRKLGLLRPIVDDLSQLAE DWNLEEPIPPDQPPPPSPTSSDTSKSNSLNPFPPLSSSYLAFTSAQLLNALPPMILAL STHTSDLARHAVISLSYSIIQSCHESLSILQPRCLASLLLLSQDPFDPVRHDAKRRLR QVLHDERILLNTTLLDLLSNAVNSLPRLVTSEQDKKVDEVIMLISAISQLSEEMNITG KGGTNVIANLLGPKGGVGKWSWSLLNCLEFGKPSGWSAAANSAERIGQLGWQQAQANG TPLQITNGDTTSQNNGKFPHLPLRYIESKPTAKRFGDMLGSLGAAGGENALHSVEYFI LFAKANKSRQIAKSVSAVWVCERLLAGISSAQIEGVEGRVSKSVRKMSREIVKLLVSM DEDEGDQDDEELDYKEDPNAEALVPIERIKGIDALTTLLDKKPIPNTQASKETRRLHI QAQKSLITCFSLQTLTLTSHILTSSFRPLLLTTLYVILSHLASPQPIIREYATTALHT ISHNIGYASPQNMVLDNVDYVINVVTLHLLPARLSPNAPLVLIAMIKLVGSDIVPMVH DLVDEIFDALDDYHGYEALASNLLAVLGTLIEVMNDEVTSEGVSEERQKKLDENRRVE IPPNPKNDFAKFFGWYEEREGIRKSEVEEILERAPQHAWGKSDLPLDEEEDGKDAEGE GEKIEEQEEPPPTRTQEVCIRILSKSIFFLTHNSPFLRFKILSIIGNATQVLARGNRE KELLPLINESWNSILNRLDDSQPYIQVATLESISKICEEVGDFMSKRILDHVWPRIKI ILKNQFKNDNQSALSKSNLKTKTNLNGIGIGINLEMNQFSISFKLHKSILNILIYILK EVPIDESIIWEINLISRIFLDKKVNEDLQKLVKSVYEQLIKRDGDLTWLVLKATLGEL NDDNGVWNYLREDKLDIIDNAREILSMI
I206_01411	MLSAIIAYFIITLVISATKADEVFIGCYSYPGVLEGQTMEKMPS ADISCFNHCIASDWPYTLDRVVPRNDGGLDRYCLCSVEAPSVEFMVGNADLCSANDPS VVTVSANVPRWRWTDDLDRTCWGVDGGSPSLYHPVNNALECINICSRYALVVYKDNNS PPGCWCEGFRWWEETIPVTCGFNVAFTYEFKFWETQPSSVAKRQAREKRLSKPENSRS YCLNGMKACNILNGEGLSYECIDVSTELESCGGCLHGEFGKYLNKTSQSVTGIDCTSL PGVTLSGVTCSDGVCVSSSCEEGYALHNGACVATLN
I206_01412	MSRLRLIHIGVIIICLPKVLSNYVGCFSREVLEGGQLEDITATG IDCQAICYNQDKLYSYGYQESVNQASYKSWCFCTDQAPDPNYLVADCNVDNGLTVIVP YQFAYHFVWYNCHSFIPSTPGTVVNSARDCWALCNPYSWAGVVYDQIDPDIITCRCYQ YDDHPWYGTPAAECLPGSWFIYNHYPQPSGAVRRRVRASQMALSDGRCPTGLTACGIP GATEDSYECIDTKLELEHCGGWAHALALESGNTGVNCTALEGVTQNGVTCLAGECLIF ACQEGYSLFNNGCIPDS
I206_01413	MLSCFWLMAFISVATLVSSHFVGCFTKDVLEGRSPTKVLSDNSG CDRVCSGINTDFVFEYHYEDDNPDHVFCLCTNDPPDPYWLLGDCNGEDSVIITVPYKR DHGFDLYGGCHDKSSVISSTPVDSALACWDLCNTYPFAGVTYNISTTPYTPLCNCYET NNYPEFTSPGYGCYYEAFFPYFHTVQPSAVIRRRMRAKQDFLSGHDLSLCPSGMTACK VLHGESTAYECLDIQEELESCGGCRYGEFPSHGDVKGTKGLFRDCTSIIGVQLGAVTC EKGHCHITKCDEGYEFSDHECHLIA
I206_01414	MDVTDVEGPKRAIKELQSRYGITKLDIAISNAAILTSQAMARIE DIDPQAFEDHWRVNVKGNLLFFQACRPLLRAGSKFVFISSGAGVLDRVPDRQNVCYGI TKIGATYLARYAHFEHPELIIFPLWPGWVRTDMGKLTAKHLGLDDGTVTVSVDESAAG LQNVINNATRETHSGYVWNYDGTPGKW
I206_01415	MSEIYDVHTSLLFDPKRLEWLDNVSITVNKQNGLITKIVKRESE KAEIAEGDLDLRGKVVLPGLVDAHTHIFLHAYSENPSVNQKRDESFVERIIRATNHCR IALLAGYTTYRDLGSESMQEADANVRDAINRGLMPGPRLFVATRVIASTLAYEPRTEN HIGGTCLPAGCDAADGVDELRKAVRRRIGHGADIIKVYADYRRRIMRFPPKQQHPYKS EIKILPDDPNPDHNVFSDEELKAIVDEAKMAKAPCVAHAHTEDGVVAACKAGVLSIEH GSKAGKRGLQAMKDNDVILCPTLSVLESVHWPTLPTILDNVKKAFDMGIRIAAGGDTG TFPHGEGAREIELLIKAGLTVEEAIKSATYRGWESCGGDLCGRRFGWFEEGCSADIIA LDTDPRTDEDAFRKVNFVMKDAVVWKQENQPVGIVEIGKPVRGEYLKRTVI
I206_01416	MTSQASFKRRKDGCRTCRQRRVKCDRDKPSCGHCQRLSLVCRWP ELIDTFTEDGPSRPKRQQTSRKRIHASRSMTTNDRSSVQLPENTRSTQNSTKDVAEDS LDLPNAASSSSSVQQDVTSFTDTSPPDLPGSNSGNNQASFDPVDQSLFNFNSGNYDQH NTKNAFDQLQSFADVNEPLLADINFDLFFGLNDPFAATFPTITGLDLTGNLQNNAPFN LADFSDDLSGSRRSFCTPVLPDAVDQRIATYFLETVSDGAITRQHNTPNYYISLFAAS LFCPSLYAGMLAWSAWHMATTVPMDPTARQECLNYADRKYRLCGELLFQNLEFVNTDS ETDLEAVYCSFMVYGQYGLVTCVPLEKLRHLLNEVDKMHQSRPLDSKSSPLLQRMASI LALYDMKTSLFGLSEPLYTLHLGAAIFNSPETTVSTGAFSSVSSLHMLYLSAQSTVIE GRLREAREDQDVIGVRRILRLGDELYERICSIDKLLDPSKLDLYVDELHPIDENPNPV MTIQQQSINHDIRFEIMLACMYHGVVIQLSRILNYPTPIRSIDRTISMTLNLTRRDPM TLHGVLSLPIFFAGLETKDTNKYQEILEWFDTNNTGVNGAARVQNTRYLLEEVKKAES DGQRKDVGQIMRRTKLDTLV
I206_01417	MGLNYLIYTHNSGFNFAGADWDKAMRDRSGGTNIGVVTYYAGGL NYVRFEKNSPWSGPEMGSINAILRDFVGAVQFVSSN
I206_01418	MGNTFSTVKWESPTGGKFRIYSRFYDVYGLGNWKPVEVEERIVG HPAFERGKLSYAHIHVVILMVTSDQVGQCLVMVELKSNGTHEIEFRKQRAWKDKQVRF LDCALDGLPAPYHWWGLVIIRPHLRIAKEAADKIASATGRSVIISAQDPLSEIVQEKQ IVKKKVTIAEEPQKKAASSGDKETEVEGKKVEMIVEETTTTVEKQPEVEAIAAGSEGS KKSQKKGKPLPEV
I206_01419	MFEAIILAGLVLLNYSQALPTSPTVQQIQASRSDQGHLIDRRAE DSSIPLERDESAGIFTANMVIEGILLPMHVDTGSSQLWGAHESCQACRDAHMIVLDTV LPEECERKDIQYAAGTVTGCLANTSVSLGSYYVEDLRILLATDISEEIAMHGHLYSGT LGLADDKLTIGNTSTFGSSLFTQGQIPSPKIGFYLPGRNNPVDEGKMAFGNSIERFTT NIEPITLPRARPDDGVYVINVTKVSVGETTVASNQQAVLDTGSLGIGIPPSMSDNILS TIYGKITEEDGGKKVNGSSPLGENNLTSLSFTFDGKTFNVGYEELISTSQEDGQCWAL MDTYKGNEADETWILGEAFLHTASPSYIL
I206_01420	MLFRPILLIISTAVGTFAAVAPRAHWQITYSDDEPNEVMANAYF EQDQQDDIQPESPVYKYVSDFQGEQTYQEPPEEKHKVTLISNCNSGKAVYTDNDHPQP RGNGTYPGLVSGIAYLQEFEHAHCQYGGVNCGAVQFTLSDGSGHGMMNSASYDLMDAP GFGNHKFHYKMNYKFEGETYDCDRGSAAEGPCTGGTPEQCPGGFFGGDWYSGRRTECR GSDVGIIITFC
I206_01421	MVWPFSSKRLLKDWPQFKYLNIPGEFQLYMMIPSNDHVVHSSIQ TFANSPGTIGFATYCCAGNTYVIYGRDTPFIDHELGDFLGQLQYHLGYCYCQKILYAL YWNP
I206_01422	MPSFTMSDKWENIDEPYPEFSNMQVPGHNKIHFVVREYHKTDIR AILKWAEVNNFLPVHSPTSPLGSCSKIKNLVQISDILERDGAHTQYLKTTHHDEE
I206_01423	MSVATNSKASTSTLPEQEPVLRVYNSLTRSKDVFKPRKPRHIDW YNCGPTVYDSSHMGHARNYLTQDIIRRILRDYFGYNVNFVMNITDIDDKIILRAREKY LLDETKSKNTSITPTLLNDTKLAFSKFLSGKLIKSLPSIPSGLSDSQDDLQNFLIIIE KDKSDPIFAEAARQKEEKYTLYLASLLRAHGAIKKAEALLNGSSQQDVVDLVDGTSDV LGPYYGETLGHTISDPIAVSRQLALYWEDQFFQDMSKLNILPPNTKPRVSEYVKEIVE FVEQIINNGFAYEAEGSVWFDVNKFDGAEGDGFRHDYAKLQPGSKGNKKLLDEGEGAL TGSKGKKQSADFALWKAKSKPGEPAWPSPWGEGRPGWHIECSVMASAILGKGMDIHSG GVDLMFPHHDNELAQSEAYHGCEQWVNYFLHTGHLHIEGLKMSKSLKNFITIDEELSR NTARRLRLAFMLQTWNQKLDYSRGLIADTKAKEETFDNFFANVNARLSQAGPSADGLH QNGPAEEALTIDLFETQKDFHAALCDSFNTPNAIQSLLDLIAKTNIYISSKGRDANLN VVTNIAEWITRMLRMFGLGEGTPTKNGIGWGTAVVGGEEVNGQDISSQIEPWAKSISS FRDAVRKLAMDKSLPPTELSKQILALSDRFRDEEAIALGLQLDDGQGSDGGALFKIVD PQSLIAAREEKRKIAADKLAKKEANARAAEEKRIAQLEKGKISPKDMFKPPNTPQGIY TEWDDQGLPTKDAEGKEVSKSLLKKLAKEVKVQEKLHEAYLAWVKEQEGK
I206_01424	MPTEFSIWNFAAAHSLVIVTANVPYEPGQRVDIEILDGSERGNV YLNKKDIKGETRLAITTHESADVGVCIRNFLENGRFPSDLSRSVDLDVDIGADAVDYN AIANQESLSILEVEMRKLEAVVKEIVEEMGYLQRREMRMRDTNESTNARVKNFSILIT LGIIGLGIWQLVHLRSFFKRKYLID
I206_01425	MPFFNSSSHSGSPYNSKTPIPIGVKSISPEIVDIDQEGDVNMST SDNNQNDNRFDIRINQGQGIRSEPTTPSTNRKQYLNQLNERPSIGINMGISKKLTRRV QTGISNSIGSNNEFDQNEYDESSNKRRFSKEDERKQEEEEEMDDFDPMAPIDIFNSKA VLENEWDQIQKDMAQFLHKYAKDTLNNAYEHIFQVNYIFTSMNKEAQEALITGVEMIE KEEDGHENARKIITDFSNEMQRASDVLSRFSKKGNELNSLQVSQRKER
I206_01426	MALSKPSPTLYVSNLETKTKKPELKASLYSLFTPYGQVIDIVAK KNGGGRGQAFIVFAEQAGATAALRALSGGLFYNKELSISYAKAPSNATLSRQDPSLSR EKAAIEAAKLVVSNAQGEYEQLEKEREADEAVLRGEKREAIDEDGESTGRDAKRLKGN EQENEEDEEEMELDDEDEDDQPSLICSNLPPECNEDIMSALFSQYTGFSSVSSFTASI PKSHPKSNTGSRSFLIKFDSVEGLKKAESETKGYLMQPGWEMSVSQK
I206_01427	MSYNMTTSTIPSFLQSQLPHSLHPFFTLSYPIHIKSNTFFHSST ITTSNNGEILYDKGYKDIYISFSCFLIFTILRYLTMDYIFSPFSNYIIQRNKILELQS QFKEKGKGNGKINLKTFERENRKDRKKRIHTITRFSEQAWSWLYCTVYWIFGMFTLYT IPNPFSPEQLWGTYPYTPIAGTVKFYYLSQLGWWFHQIYVINSEKRRKDHWQMFGHHI LTITLLVTSYIANFTRVGVVIHVLMDFCDIFLPLAKMLRYLTYTTACDVTFVIFLISW LLSREIGLFLVIKSIYQDTPKYIGLKSKFSTSTGNYLTYNTYIGFIGLVSLLWILASI WFYMAIKVAIKVIKGQGAEDTRSDDEDQDENDDDENDNDSEDLILDENDQLLLLEQIP QSIGINNEIIKNNENGNLNQNQNQNQNGILNNGILKKRK
I206_01428	MSLWQSSPMHWQTYTTSAPLTPQSEEAFRKQASLAFRNNQQTIG YSVSSSFTNFNGITSGSTQLKIGKSSPWTIDEINSVERYLIGLIPKGDLIGPSGRPVG LIEPPHTSTSSTLTPRVSKSKSKKAAPPLQSFPSTISEAGTISPSTPPTHMVQDPTSP RTNHVHYEDLSYHAPSGRFAAFKKKLTKKTTQTER
I206_01429	MVRGSDIILILVAIIFPPLAAIMITGCGCDTLINVLLTLLGYIP GHLHAFWLIYKKTKAEESFGPGGYTYLGNGNFVGNGPGVAGAPPPPHGHQQQPYYGST Q
I206_01430	MSGSGQGKVPSTETASKPEVEIISSLLAHPLLQDPKFVATAGGL VVLLLFLSSNTATITVFRTSKKTSRRSGPTTVLLVGPSDGGKTSIFANLVHNSYPQTH TSIQPSITTFPSSSPFNDGQTKQVRLIDIPGHPRLRDELKKYIKDASAVVFVVDIQAL VRNAAAIAEELPPILIALSNISVAQNLIEPLKLQILAHKTDLLARSTSPSLKSPPDIP ESSISTARDRVKSILTREMDRMKSARGGSGGKIESMGKVSGGASAGFFARLFGSGGGA VEGEEGEGEDESLIWGGKGQFDWNDIEGLEISFGASGLGSVSEGKEKGEREVGNGLDE VKSFIWDA
I206_01431	MADVKDPKIAEAYEKVRSNNDDITWLLLDYESDKSNALTLTSTG KGNIEELAKELQPQRASFAYAKIRYENDEHSFREKFVLVIWIGEEVKIMRRAKVSVHL ADVKNILRAYSIEVSASTASDLKQDDIVTRLRRAGGANYDRSKFD
I206_01432	MLGTREKVLILFLVAVSVSASAYIGCFELAAFNGGGGVIFDDRT ENCPDLIVPEIPYSNSWQYYNNTDVYACRGFEYPLNPSYLVADRNCDYPNVNVTLAHP PTDWTWAGCWYLHDTPNWVQVTNVSNCLANCENYPYAYSRYNSDLTISCLCTTYQPPY DLPLNCGFGDPFWYTHPYVPPSSQAIQRHKREKKRLEQKNQENDQFGLPNLCPYNMKP CKIPGLVHGLYECINTDKEIQSCGGCVEGDHSTGVISGQE
I206_01433	MDPIHDPIQQELEELADTQPDIVLSVKTTFTFNNTTHRFVVAPG RSGIESVATVHETISRCGLDCRKPLPTPVISGDESLLSLKLTDDELSHTYQEGSSQIA QSVIDKLFPLHSARTQVHLKQIRKPDPESRGGRKGCKAIGNQHSTALLSEQTETKGYL TDDLLKDVSTNLNERRCRVTKEPSVQGTTKLQMTWYDCNSTQQ
I206_01434	MSDEAPQQSTSKLDNAGTLLQSVSTSMTHEKVSILPGHEPDYSA CTFCLWQEDHTLGNALRWIIMKDPDVEFCGYTAPHPSEPKIHLRIQMYDGLSAVDCLR KALSNLRDLFTTVDKSYKHSLNNDNYIREQDVDVRAAVDETLRQRGFPVEGDEEGMDL S
I206_01435	MAHSYSGLKSFTYSSNSTRTYRSYTSPSPTPSISSTNSSNSRNS INRYSPYPRFKVEDPEEEEEMLRDIRDVGFRQLNMNYGYKPKARSTSPNVIPLSIQPK MNILNPGKKHLTHRRAYSMSTISYGYFLLPSRSTSSPLKTPQPLERKFQTASPIQPAI PEVDSSPQKHVAVKPNRNDTSPEISRRRLILVNPIVAAHLKLGGMVNIRSVDGHNSFK VCLPRNTSKTSIKAEVISSKKKDIGSVKMVRSMATSAC
I206_01436	MASALQSNKKSHKRKSEAGSGQSDLQVIVEDPSTSAGPAFVNFP SVRPSKNTPFTIYTRDVGSSSDITKQHTLIAGETEDVEFFSTNRDHNLNTEGSDCQYL PALYDPSTGSVHIHPSTPLYLLTHGVKRLRSSVNAQLLDKQAHWKTQRNDLGETFGTR KAKTQIRAEERNKVDVGAMQGVKGHLMGSIPELAVNDGPVLANESIPTPNLTTSDPTE VYPRDSLISSQEWSSIDVKALQQAEDDDSRQKALPWRRKLGWFHSRIRYFNSLEDKTV RRTQLRYIFYLSTLCLLRENAAVLSKLSAAELPNKFPNVPSQLLDGIIKRFAEPKEKR YVITEKSHVKLLAWICVLYLHVGGFSVDTSRVASDLKMDKTKIENMFKNVGCTVNMDT PAERERKGISMAEASASRKAVLKAPVVFPRQKTRGPTRR
I206_01437	MGVPGLWELLRPAAARTSLSALSKEAFQSNRNGLRAFTVGIDAS IWIFHAQTTHYGENPFLRTIFFKITALLQHPVLPVFVFDGPNKPGQKRNQNVAGQFGT ADHKSKQFKALLDVCGLEWWNAPGEAEAELAIMNRQGKIDAVLSDDVDALLFGATCLL RNNSPTLSGAQASTSGTNSSRGDMRTYEIYRSSAIRDLWTKKEGTTLSTEEDCRMAMV FIALLGGGDYTPEGLPSIGPSISFGLANAGLSDFLKKYTSERAVFEDSLTTIYDKIVE ELRTNSSKQVGRKYPDRANKLSALSPTSIFPEFTLDAYLNPCTSPPNDPTQGWPGFGK GESSRTRGKARNEGRGDMEGLALACERYFEWGTKDIVIKKFAGESVGIFGAEIVDEAR QTVRSRYSAEITPLVHQAVGTSKTASSSMITSFFSQAAPSSSPIIPKSSQMSQPLGDE VHPGEPSDKVLKIHSTRIDPTNPNLTEFRISFRHAEYIKRCHDAMEGTRADPNDLSDN TRQSIGLRDKSHDHEDAEPSNTQVQVGIGKQPKDEIRVWMSEYLVTEAWPKLVQKWED EKAAKRSPKKKPRTLSKAPPKNGKGRAISTEKMNVGVFDAFYTAAKSHKTTVDMASDM SSVEEVPSIGSKSLRSKSRSRSSPTSSPRRSTRTDLPGAKTKVRARPCPTSSLSSLPE SSPSRGSSPTPTSSPKSRSKSRSKSNQAISPEASSKKSEQNGITASPRRASSPKKWPF SKRVFSKSKSSPSTFPAILNKDVGARDDPIDLCSSSDEDATPIQRRRGSGNKAMNSPL SYDDTSISKPLTKGRSSKAKLNGFTSSPTLETNILDVSNIENTLSTMTSSRSGSHSKQ IIPIYDSSKINQSLLFGSDRRTNESEIQINEHRKRTPSPKKKQKKRINYLVVSETSDE EVLDCTVTRKE
I206_01438	MLAIFALYFVTLLYTPIAFSQPLKRQEKELGVYISSIRDGSCLS TNGQPEIGSKVIFDNCDGAETWHVPNEAGLLGLDTLGLVFDQNDQDGLYLREPVKNST SQLWKWYSDNRIGSSDHTICLEYSQGGPKTAECDSMNVDQVWILRNTSRSQTFDDIAK KDDVNRQGYIHPYGRNDICLSAISAKEPFIGGGIAMTYCSGKGDGNFYLPVSTSESLF KWNLPIKGQKGHIKLSSSNLCLETGLKSYNQKGYYDWSLIYGMGIQLKECDNSIKGQD WIWDGKTIKIVDEGNSNQCLNILGRSGPIQMTNFLNLRPMQLWTCDSSDYNSMFSIS
I206_01439	MTNYSYYLIPLVHLQTLATSIRFAARRLGFPNNVNPRDVIKEME SSGKLKKHTLDKIKRRQAAHENCFENEAVWIGAVIAGNSVGLSSTWMNTMSIGYFLLR CIYIYSYINISTQKKSLFRTLAYWTSNFCFLATFVKAGLQFNANTKLL
I206_01440	MPIKSTQRVAPANQYPPDAMPKIGRIIFHIGVTAAMFDGFWGLQ NLALTKDYIGTQYGGHFQYLTILGLFGTIITMFLSGVCDYLPAIQSALKTIKRVFLLF AMPVELVISSIYWSIILFAPELMLPPNPELSSSSEPSAEAKDPLFRIPLLMDLSMHAL PAVALAIDFFFLEKKFKPPASNIGSFVLAATFGTGYSLWVEHCASINGSFPYPFLTIM NFQQRIMMYVGSTLMAWLVFRGLNAIHK
I206_01441	MYTPSRKAPLPPISNDFENRYFVNTLPPNHPFATYYIPSKETHH QYKSDSTSSSLYSDIKSKYEISSKYTYNSTKTDRLYERNSSIKEKSPISSLSKPLKPI NTEYSLLGHFNNQSIDQNNNNNDKLKYRKEEFNKHPAYSRLTISSSSSSNSSFDLIPT ELTLFNKKSKYNLLYDLEFILGKKINFPFLNKNKNKNKNKNKQNIFESKNRNRKEKNN RLIKNKYVDDLEWESLNGLLPEHVVIVEKRRGRGVREGSYI
I206_01442	MLRRIAQKNLARVAGPSRARLLSTSAPRQADITLTIDGKEVTVP QGTALIQACEQAGAAVPRFCYHDRLAIAGNCRMCLVEVERSPKPVASCAMPAMPGSKV FTNTPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGSDRTRFHEITGKR AVENKDLGPIVKTSMNRCIQCTRCVRFANDVAGVEDLGTTGRGNDLQIGMYIEKTMDS EMSGNIIDLCPVGALTSKPYAFQARPWELKKTESVDVLDAVGSNIRVDSRGVQVMRVQ PKINDEINEEWISDKTRYAYDGLKYQRLTTPLVREGNRFVPASWETAMETIRHGYLNS GARNDEIKAVAGSLADTEALVALKDLVNRLGSENLTLDSKLGDKPPTQSADIRSNYLF NTAIENVEDADAVLLIGTNPRHEAATINSRFRKSFLHRGAEFAVIGEKFDSTFEYEHI GTSPKDVESFLNGKGNKGFAKIWKEAKKPLLIVGSAVTETQDGAAILKAVGKHVSSNE SRFLTPEWTGFSVLQRAASRAAAYDIGFTPSSSASSVKPKFVYLLNADDVDPSTIPED AFVVYQGHHGDLGAQFADVCLPAAAYTEKSATWINTEGRSQMGRTAVPPPGASREDWK IIRALSEVIGNPLPYDDTLQIRQRMFDISPTLVRYDHIEKPSLEIVKTGLQLLSSLQS TTASSNPLKKPIADFYRTDPISRASVTMADCSKAFTKKQYEISDVDAQAQASFA
I206_01443	MAGRFGASKYRNSLIHYPTREEYYRKDLPQLTIFSGNHFDNEIK SNRQWIVTLTSSGELTYREYKNDLNEEEEEMVGHARVGNGSITSWDLSKLEDGTMAIG NSDGSISIYTLPESSSSSLIARHTIPAQSASPITHLHLHPTTPNILLVSSSSRPLTIY DTSSSVPKARISLNLNEPKGIWSIGWSTDGTKLAILSKSGNLIIFEPRKSNEPIITKS LVSLIQPLKPCKLIWINENIFITSFSKFRNRQYSLFSSIGKLDLIFNQILDTNSNNLL IPLIDKERNLIYLIGKGDLILRQIEISNFFQNGFQESIHNLNLPLLNSSIIINNNGIN LLNVMKTEISKIFLFTKDKDGNCLIPISIRIPRKQLIDFHSDLFPDIIGTVPEQSAEQ WFDGKDNLPLYISLDPSKRNIWEDRIKAYKEKTTQAQSAPDPVKPKSTSNPLNGTDQS SPTSLPAPTVTVANSESPSTEPTTSPPTQIKETFSNNTDLPALLKDEDYSSTSYKSRI VADYLAEEFERHKSDAANGPLFVGLQGPQGCGKTTFCSGFVQYLKQKKGLTAAVLSLD DLYKTHQGLKDIAAKHPDNALLSGRGPPGTHDVDLALSVIEQVKHINNTPGRPVNLPI FNKSLCDGEGDRSDSTVKINGPIDVFILEGWSMGFAPLSESALKAAYDNPTPASTQTT DIYYTRHTLSSLQTLNTYLSEFAQAIYPTFKAFIQVEPLSYDYVFKWRLQQEHAMKSS NGGKGMTDEQVQRFVERYMPGYELWKEGINNSGTGWEGRGLKLIFGSEREILDIFRPA TIPIDKANEVKEEEKGDIQLKQVQKIAEEKTITLKDKEVPVQVEQVGKTEITPFPPLT QPEAKPVSTESAASPKPSLQASQPITATSNLRQKASANTQAPPNERYNPNWSRKFLAG KSPLIPTYDALPPIQTLHQDSRILKSNSRLAFFPIQGTGGRLNVHPLSKKGRLSVGGE GYLSAGVEIVDFDVELAGDRVAVAGEDGLIRVWTVDSKGIEGVGPEADQILKGKGIDK ITQIAFHPTAKDLLVGLTNDHGSSFLRFWDLSKREEVKTAEVSPHGAFNFSISPEGSR VAIATKDNQILVLDPRSSDNVKSGKAHDSPRSFQIAWIDANHVVSVGFSRGSQRKMNL YRIGANIEIIYSMTIDVSPSVLFPVYDPDTSILYVWGKGERVIQTYEIQLSNTEPITK LPSHTASSPQIGLIFQPKRIVDVKKVEIAKCLRLTSKTLEEVTITIPRNKPEFFQDDI YVSTTDVETYVCSASEWLEGKDEPLKKIDLRPEGMISLSQAPKMNSSRTKKFVPAANV MSEEEKKRQEMDALFAKAKMDESSDEEEIAQKGLPPPDDDW
I206_01444	MEEIVIPDLDADDDDFQLPITKHGFGASSSNSSLPETDPYAHRT NPSSDASYIPKTQLTPNRPILPQASASFSVGFPTPNTRGHGFGQPASNSNAEDRSKLG KLNNGSSSSLATAGTSISSSSGFLQARKGSLASLKNAFKSSSSSNTNAAIPPVPSLDT KAYGAPGYPALRNPFSRFDSPISPKNSSFKTPSRSGKAPSTSSPAQNPSGGYHADTGR KYSIASSHRSQGGRSATSQGSSSFKAEDHPMPALPPIPMRQTPSRMNRMGSDTSVFGF TSKRNGSIGGDEDLTLGKTPGEEALRVVFRDFREAANQKVSRICARPLNSQPSLPSFL DSGVDPAFDSLIDSLAHCGIRHSRRVVDLLMSWSRDFTGNIGASEVRAHLDRSLGLQM RVEDAAAILQSRKSSAAKFIMNRSFIQLLKVIPKDSLDAESGMSLEQNAFNAYRAEKP EEIYQFPHRRAVSQLQVELLGQLSNTRFLTVSDRFIRELSKHTGQSQSTKENEAKIEH LLRGMKYLKLRVYPEDELEMSSDFLSALAAFFANAHGQNLKIAYAETFTSLLHPVIQT ATAEVNHPTWSKAITVVLERALAMAQKARYWSAAFPLVVTALGVSPREVFMQQWASCI EAILSRLKDRSLRPVAMGAFIRMLWIYLNRCSESSTSMRKRLDPLIRTFLVPNGPLYP PEVPSEAFIAILYYIMARHLDYGEEFVSEYLRGGANNNIADRSTVLVRAINYTLRIAE LESSAPWPKDPDFTKFSFEAFESSGETLPFDAESRPEVHDLLKKSNPAFIDLLFQCDN SVKQLLLSNDSVTLSGHASSQTMDSVPDLITVKHGDVYVTYPARYAPTLRLMSAILET LPRCMPSGVNITQVVNVLCRSSFSADPGVCSIAGDTLRRMCQDAERCSLVVSTFREFV FETRHVFRDTFIGTRLLESQFERIIKLWLDLLQTLVGHQRVAEAQAIDDESGKRSTLI EPSQISKIEGCALFLLCSTSLPIRKLANQILIAARNFEGQQRKPSAAFRYSRIMPDKA ALTRVLQIFEYNLDENELASVRGLPWMTSTDRHRIDLLCSKDKNKLFQRIAESDHPKD GFLWLSILPFFVGKVVEQLPSPAADLRQVVCQLVLRLQAHVALIAGGARIATPGRGSL TTRSSSDTVILADHWRAYLSVLCVTMSSQGPAPPTPPIQRTKDVVILNQEMINSPALF SYLTSLLGWDDPRFKDAAVYAMGSINQDLLRPLSEILLSVVRRLADGTKVSGTPRSDN TISTSRRTPSTAHGPIWTAVSHVFRLISPLLLDAKSSSHLANLSSMIGFVKVTYTLLS DRNVKEDFELQSLRRSFCMTVENLTNSLGKLDSSDRFLGEEMRGSIFKLCFEWCHVGR RPDVAKARESQTLQAAAEGYRGDRDRAQYLDDLQAKTKLLSAAAAEAMAGLCQGKLIS ANEATPAQQASDHMVEPLTVLRWIRGMFASSSISHHETGRRALFALIKYNWSCERLLD EVLHQSFGEGEQFSLESSFFGVVADVLSEGHHTLPIEQVACLALSKIGHPVPAIRQRA FQLTESLYIDPSSSLLSTALFPAIGSSSPNIYRHAQKEMSQQLASIYADHAFQFLAEC TTRLSQLEAPRRQATLSILRPWVAYLDLASDTSELSPEDAAAEHGALHNLVYLAVRFS DDHLEDIKSILVSFAEAGYAHQQSSPPQTHNTNTTALMKFLFEQGGKRKSPEFVSHAQ KIMACLAQSKAGDTIFEEICNFIEPNAMAALPEADIPPSPMSSLVNLDSLMNAPSSRS QTFSTGQLALLYAGELLPHRLSNFELGKRMPALLHAALIHTDHSSSALRDQAQSVLFQ TLRTWICDLSNVPSNDAAAIWSSTEHKLTSLARTASTLFWKSDDSGTSESAFLAPPKM TNIIMKILGILLPLQPRIRQQWGELALTWATSCPIRHLACRSFQVFRILSPRVNPRMV SDILARLSSTIASPSPEIQAFNQEVIRTFAAIVQTLSMSEAFSYPQIFWCSVACLTTP FENEFTEVIELFSHVLDKTNLSDPSVVNHLVSFRPPDWVGPAPYLQSLLLVGLRSSKT AFLTFDLVRRLTSASQDELVDSPSDRLLHGFIAALPWMLHSLDLGEPNEELASMALDL ASIADHQGSHSFSRLLTSFAKVRFRAKDDFIRQAASLLRDYMPTHALDIVTLLLGFIL NTHEWMREKSMSILKLILQHPEARAPIQTYGNELLIPLLRLVPTKHASQALDVLDMPS AIDPVSTALSPSSFNKSEGGDIFGPITEESGWSVPRSKDLSALTKENVHAVFNTCAIE TRAASAHFSIVQFTDLSSNKYGGFGTMGMGNFSQASFDLPSPPLSSIQNHHTLNSSRS IGMGGVDNQSIGDLVGALHSLGQFFDDGLSSLEEEEQDSPSTIDHQQLTNGKITASGR RGSDSKSGQGHRQTGSDVSERRLRAIMARGHQASISSPIYESFPSHSTLNGSNPTTAK MIHNHRPNMSISMTSDSSMTSSQDERDRDNITQRLNFTSNNNPSFHRRNDSGAGKNSH SRSNSNLNVFLHNRRHGTMESVSSISDVGDQNAFGLEENSNLNGANQSMTSINSFVNR QAIWDIGSQNQISIEQGGENGSGVIDLNELNEVVGRSEQNTPVLAKRNILRPGGN
I206_01445	MSFAKRFVSTASTMSNVYFDIAINNAPAGRITFKLFDDVVPKTA ANFRALCTGEKGFGYAGSGFHRVIPQFMLQGGDFTNHNGTGGKSIYGNKFPDENFKLR HDRPYLLSMANAGPNTNGSQFFITTVVTSWLDGKHTVFGEVTSGQDLVKKIETYGSDS GKPKAKVVITASGTA
I206_01446	MDVLKAVQTYINKMITEVPGMKVLLLDAHTTPIVSMVTTQSELL AHEVYLTDRIDNINREPLNHLSCIAFLSPSESSIQAVKAELTKPRYGGYWLYFSNTLT KNQIEEMASVDEFEVVKEVQEYFADYLAQYPSHFSLTQAALAEGGDGPSNPPIFLPPP LHLPPPTLASHLQAILSVLLSLKKRPVIRYERMSSAGKKLAMEVQASINNPPYRELFD FRSTQGAAPLLLVLDRRNDPVTPLLSQWTYQAMVHELLGINNGRVRIEGEEKIELRAS SLPADLVLSTSSDPFFSQNLFANFGDLGASISQYVTEYQQRNSSLNPGSKDKGSANRI ETVADMKKFIEEYPEFKKLSGNVTKHVTLVGELSKIVERDGLLEISEVEQSLASVESH ASDLRSVQTLISSPKTRSTNKLRLAILYALRYQKLIGNQIPQVIDNLISNGVSADKAR LVYIMLNFAGADIRQDDLFMNENFFSRGKSALKGLKGVENVYTRHEPHLTQTLDLLLK GRLRDNSYPFVDGDEAARTQKPQDIIIFMIGGTTYEEGRAVALLNQKLQNEASGGPGG TRILLGGSMVHNSSSFLDMVENCALNYPDSIYAPPLGQPTSSLLSSSNTPIPSSSSTP IPSSGGGPSINLRAGGYELSVGGVAGSGIYRTNQDSGANAQFELPKMDTVAGVAGGIR DGAGKLWGNVRQKVEERVSRGPTPQGR
I206_01447	MSVFNTDRLSGKTVLITGASAGIGAATSILFAKTGTNLILLARR TENLNNVKEKVEKAYKDFGKSGKVLIFEADVRKFEDLDKLPSLIEKEGLQVDILVNNA GMVKGTEQVGADADISQMFDTNVLGLIHLTQIFVKQFKARQSGTIVNLGSIAGREPYA GGAIYCATKHALSAFNGSLLRELVNTPIRVIEIQPGMVETEFSVVRFRGDKDKADNVY KGLQPLVAEDIAEEIVWTASRPPHVNIAQLFVLPVNQATATLNYRK
I206_01448	MSGERSNTSSSAGNTSNIPATSGPGGTVDPRSGSTNPTGTLSGG GEYQFHENDPSYVPRDPSGSVQSAVNAAAAGLQASIKRGNERGNNS
I206_01449	MFSTLSSFIFFLVTSSSFDLVASAPTSLTSRRDQTDTTWARGYY IVPVAAGDKCLAASQPNPTAGTQVITTNCQNASKWSIPLVESGGAVVHDDSGLVLDLG NGNNKDKLTLRNFTGDDQSQFFRHGSDNRLSNNATNKCLDEGEDGPQVYDCYPQNTNQ VWLIRQTPDAKNISEGIPVGSEVSTPNSNLQYIHPKDRGDVCVSAISSGPAAQDQAGV AFTYCAGTGFSNSGTNTSQDLMQWSLPTTDPDQVRLGSSGLCLETGANIVNSYGYEEL KVADGMAVKVATCVDNSEGQKWTWDGQLLKSAIKSLDQSDQAGQCLNFAAVAGYVKMD NFLNLRPLQTWGCSTQNENELFRAY
I206_01450	MNRVSYSAIGRATSSRSTRNFSLPKSRLILRSLHSTPRYQAGTD AEKSAYAKKMQDLLNPKTTKSKYTIPLQQPWPWHEIVSTSPNTITLQRTLFARPPDLS PPLLLFAAGIWGLFVIAYIALPDVPKKEYTADEKKIIEENKRKQEEANILSRLSMSFT SAIFSSAQPLIYGIVTVALLGLIASSTRIVTRINMVQIKPKNSEQAGKTSLKLTNVGH EMIPWGSRGSRELKVEDCQVYIPNLKNSHTIRLKVLKDGQPSKWSLDRFPYSLDYRPV PDNARLDKEVVQSTNRLQHVFGTVRAAE
I206_01451	MNVTTSIRPSLLFKVPTRLIHACARREAKEVASKGKGKQNPSTL FAPISKPINPIQPTQAPSSSPSSSSSTNHITNHSRSKQWKDFENSWPYQPLNTRSKDK IIEIRTIFEKPINFNPFFKLFGCAFAAFIGFQWFWLPDNSGEYQTLWKYENGTGYGTR VWGTIKHILYVQTPFWALGGAAFGIWRLTKTLNIVTKLDQCRIIPSNANGKEEIYLRM NTVKQTLLRGLSKEPRDLRLESLRVIPISGPHQKEGDMILSIHVNDAKARRFSDGQPY IVDTRYSRFLDKTDKPFVLSPSRLRHVFGKFEGQ
I206_01452	MRRSSGILPVIGFLLGFTSLATANTPQVRISLETAWPAPPLLLE ILETIYEESPLNYFPFLHLISELSKENLESDEILLSSILDLIDSHPTLSNSISKETFS LALSLHTNIPKILSQYSWYESFIRPKENVLGVDRCKENGWVEWKGKGFCWVDDFKKDV ELSIEEGSYHSNAQIEVLPFDHLSSPSSTTSSTAILYYTPNTENSVNLLNYLAYHETQ YPNFNYVIRYLPPSVIDETKKTPLSGWGVEMALKKTDYLVVDDRLTGTSKSQSDNQKV IKSDQDVEEGMFDKVLGKDPWSDLSTPLTSDEIRDLGLKAATLIKSSSDPLISLKELS QDFPKYSAALARRVEVSDEIRGKTRQLLQRGNVAEAIYINGKAWNEGLDAYGLLRAIR AERHHVLSLTSLGLTPKQAIELISDPVIGEAQVEDSRGEGIVDASDRSEGGDVIVYWN NIEKDKRYKNWPESLGGYLRQVYPGQFHTVRKNTWNLIFVLDLSQVSSLDIIANAISP MIQRGLPIRFGIVPMFNLDQMAKIFHYVVQTFGRGATKDLFLSLAGSTPHSPTVPGTV TLDAVRAAYDMLASTSPKAALPFDELLSSELYDAHLEKTVDYMNRLMATAEESKQGHL FINGKHTIMGGHWTAIVQSEMATQLALLQEQLMIGEQPEDVSNYFYDLPSSSKRRNKL INPGQGEGKLKIFNLIDLFEGDITKRLTTDFVYPSGGGVTPISMWVVGDLDTEEGRRV VGGALKHIQTPDGASRLGFVHIPFDDPSIPRSRYRLSTALYQLHAASLLSTTSAKDLS ELLESLDRSDDNLDRLKITNDCEGNCVDIDLEINKDGESRIISVTDDAQKYQSSERHP LLNTVLSGITEIDIAASAEFWKVGSDIAKKMGLRDGKPHLLVNGRLVGPLTPQNFILE DFDSLEAYEYRKRAKLVIEIIQTMFEDISVFDRPTLSTLLSVTSSVIASAYKPDSAEG IFLPAQAARSRLYRHLDDGVLSFKHGDAEKAILDVAVVVDPISENAQKWSTVLHTLSE MENVALSVYLGPQPQMTEVKLKRFYRSSLPSKPSFDVDGNVIAPGVTFLDLPSTPIYT LGLDAPPSWIVSPKTSPYDLDNLVFTNVHSPIHISFSLKQLLIEGHARESSNAPPRGV QLQLTKNGLEVASDTSVMANLGYFQFKAIPGVYDLSIRPGRGREVYELESVGSDGWDS ASVNITGSGVVLDSFEGNTILPRFVRREGMERADVLEEEQRKSDESFAGASMVGLSTE VLSTKPRSRHADINIFTVASGLLYERFASIMILSVMKHTESTVKFWFIENFLSPTFIE FIPKLAEEYGFQYEFVTYKWPHWLRAQTEKQRIIWAYKILFLDVLFPQDLDKVIFVDA DQIVRTDMKELVDVDLHGRVYGYAPMGDSRQEMEGFRFWKTGYWRDALRGRPYHISAL YVVDLKRFRQLATGDRLRGQYHALSADPGSLANLDQDLPNSMQDTIPIWTLDQDWLWC QTWCSDESLATAKTIDLCQNPLTKEPKLVRARQIPEWDLYDREIATFAAKLEGQEVGV GALAASVDDLAANPNTGAAGSCDSPLDRHLQDDSSSKDIVGNDESNEEIIEEMLEESR RLDDEL
I206_01453	MPSDRAGYTLSVYAIDVSPSMGETKADPGSESKVPKLKLVKEYV ARKCEPRISSGRKTEAVGILSYGGKTNNQANMAFVKQDPTNEDPPYANVSSDVAIQTA KPKTLEVVMNLDVGEYEGNPVSALMVALDMIHIHKHTKSWALEVVLITDGESAFRQDE YEEAMERFDNDGVRLSVVGIDFQPINEAVDKSKSRNKRLSEKFWRTFVSMLHERISKT TESEEMLPTLETFDEALLESRLPRPAVVNGTVSGIDLHIGSSEVDAEQAITIPIKYSK ATMKARPPTLSKAWKSAMELQLPNRPSLVKSHSTYVVKKIDNVPQSSQMASQAYEATQ QASQAQAEEDDEEEFVEKEDIVKAWRFGSTWVPMEADTFEPMETKKGVEILGFFPKDA IKRHLLMGEVRFVWPDLTSPKGQIQFSALVEGMELRGMCAVVRWVLKDQAEPVIGLCL PAMDFPGEGKRLDYMYWVKLPFAEDEHNFWFPSLTTYKTATGKVVKEHPLLPTDEQCE LMDDLVQSMDLDMYAREQAKRKKDEEEDQEIWFETQKSYNPVIHRIKEAIFHASLTSD LDADPLGPPHPELTKYFDTPDEIADRITDVTEKLKDALNIKKVSPRTRKKVQKEGLRE DEGYIDIDELFDETETKVKAESSSQATKPKRERPSPIKGSENPRFIESDDEDMQSPPP SHSANKPMSRVKPKAGRLISNENPLEDFKTLIEGEGDVFRKAIQDLGAVVEENIQSSF SYQNYSTAIECLKEMRSTALMYEEVETYNEYLVGLEKKIKNGKKKDFWDKFEAEGESV SKISEEEAQQALTEYD
I206_01454	MSRAALLFLVIALVALSFTPGVAAFGAGNIPGYSYLEEKAFRHG DIEDIIGNLMKAAGGGFLSRGTKFTPLDVKRVYFGNWLRDYSQAVDVGALKKTNLQTI LNVVMVLGFLGIGYATGEFEVTKERLGCYLPTEHIDNPKGYAEGEDARQYDDRLRGPV DPRELEIDPQTGMKNYIANEFGGWATSKALIRQRLQEVIHYGRLFRSTDNKDDSYQAF QLLGRALHTLEDFTAHSNWCELALISLGYNNVFPHVGRNTQIQAPNGKRVYPLVTGTF GGADFIHSVMGEATDHLSQQSVSDLTKQMSNARSISEGQSNSADTLRQLFFSIPGGEG SEMTREMDGIQNMRAGQPGGIDPSQMSPQELHDTLWKILSFRDSVMKRIENTIDRIPG LSGLVEKISNSVSVFIITTLEPFVKPLVGTATAALGQTSQAVIDSHDQYEVWNDPYAS DPTHSFLSKDHFGLILNQPAGEIAQIVVEYTVKLVVQAWDNNSMPVEQVTEPVLEALF HPEFHNGRSEIQKRMLDKMKNWIDTVHQKQEILNRLTSDKVKAGGNRRIGDTSQSTGH VHNQLLPDGGLQQVVASHNVHVPGAQYLNAGQDLLSGKKPWDQGFGSGGPNAWRDLNP NVPESGIGQASSFYNNDPSQNQHQGQYGGGSYQPPHQQQYQSQGGHADTFYGQGSQQP SGYGGPPQGGYNAPSYPSSDDYPGQGHHNQGGYGGPPPLDRYGGPPPDQYGGPPPDQY GGGFGGGQPTYGEQMAQGGHHGGHSGHGGHHRPPGQWGNQGGYGGPPGGWQ
I206_01455	MIILAITSISISLLDLKPYLHLQLIPHITKYHQFWRIIIHPFAF TNSTELLIGEILLYNIGVSIERAFGSRKYASFILVSSLLSTFIASISIILGHRIGLNS IPAGPYGIIFSLIWQQYRMFPSLYHFKVLGIEFSSKAFNWVLALQLFLSNPPSSIFIS LIGLITGYIYRTDTLFPLPSLSISRRRLLVKRSLKTYRIPLSIYQLLSRLFNPIIGES LPPRRSQRVLPGQGGNENNNSNNFSNNRATTTAINNPNTTAQARASLRSLLTSRLNTN TTQSTMTNQTPTPGINMEGGNGIGIEEERREPGSTTAAMGEWVNDMTGRGTRVASEEE ISTLSNMFPNLSRNVIVRALQTNNFNTAQAVEALLQESG
I206_01456	MNQLTDLELVAQATSNESLNSQNHPNILSENTNSKDKELKYNNN TIEKMKKDDTSISSSSSNQKNKNDLKSKLEIEESLNLDSESIKTIQNLLNLSNSNSNS NSEDSEELNLNNLNELEIENLFKQFEIVDNFANNLENKLDNLLNKLGSVENEIIFENE KKKDN
I206_01457	MALNFTLPHIQDNADGSWGPSTSALPAQFKDIPYAPFSKSDKIT KVADWHDLPADAQGGRQRQGQPRRQGREAYGAAEGTVFGFVHDEDEKSFSLVDSGART TNRIKAPIRAVRQLRGNAPARGTRGRGGGRGGFGGRGRGGARGGYGDWNKPQRTRDSS VTISPDWQVLEEIDFTRLAKLNLSVSEAEDLASYGTVQAYDRAFDRINTRNEKPLEIL DRVRYNTSTSDDPVIAQLAEKKAAQIFATDSILSVLMTSPRSVNSWDIIFERKGDQLF LDKRESGPFDYITVNENAAEAPLDSDDQTNINSAGSLSLEATYVNQNFSSQVIDPKSK SVTPKPNPFYSADVETEPLASTLYKYRKFDLSISEDETFDLIVRTEADAYLGKRDTLI TVKALNEFDPRSQGGSAKPLDWRKNLDIQKGAIVASEMKNNSAKLARWAVQSILVGAE YMKMGYISRANSKDAQRHVIVGVQSFKPNDFARQMNVSLTNGWGIVRTIADLLLKQPE GKYVLVKDPNAPQIKLYKVPDDAFDAADDGETIAESQLDEE
I206_01458	MAEKNGSSSSQTLTSVNTPSQKAGRSRRRNPKSTVEGEFIRDPS RAAISSPKGKERALDHLPPLQSLSEASADKKLKKHKKKERTGPRGESSTAGAIAEGSQ RRKDGNSWDLIPVAQNEISRIPPVWAHDGKFYFTVTHTSIHIHSSSAPSFTRLSTLSS THREGHTKPITSLHLSPTNSFQVISSSEDGTIKVWDWVVGRLIRTIKFTETQAKVQHV TFGQVAEKWWMFAAVTHSKDASGQKLTHRVLKVPLTGSTSPYVVGKLSAPPTALMMSP RSTYLVALSATKAYTYRMPSPSSDSSIDIWENRPTCVKFVSDQAFTCGAFSPENTLAT SSEEEWFATGDEKGVIRLWHGLAQAFRQVDLASQAILGQSSNSNAETEKRLPTTSLHW HAHAVSAIAFTPSGSQLLSVGEESVLVQWHLASGKREYIPRLGGRPIINLAVKKSSHG SEEEWWMSLADGSTIRVGSASGQITNIGQGIRLDPLRPTSSTKTYPFSFHPATSTLVV PSSHPSTLQFIDPIASSVLFDLEVAPSNRISKRGQKELESVQVDQVDFSASQDGQSAW MATFESRTGDDSEGGGRVKNLKIWRWQGERYAINTQFPRAHGQSPLTSVKFSPLQQVS STSSSKSVLLTPYLLTASADGIAKIWHARQSKVSQQGKVAAARPTFSEMYWSCRSTFD YRGLPIYDSAFSPDGTIVVLAHGAVVTLWDVDSNVLLKAFDTKSGSDVRKINFVGQEG RYLVGAGELRGIIVWDLLSCETAWTIQDYQAQNLVVSNEFFLTSSSTNKASIITVFSP ESSTPIRSLSITRPVIHLQLLPSPSKSVTSLHLVGVASSGEIFRFGDLAHSAAPLSAK DVSTNAKGQNQASSIWQEMFGKDAFLDVAIGEPEQENATATASALQQNIVRGKGKPAD VFNGPSHTMPPTSILFDAFMDELLSGHTTSGQRDEEVAHDVDDDIPIAYHEDTVVQAD LPTRIVKDNKVEDGEIKELESFFRDLLGNLTPANTTNIAKTPASKKMNGHLPNGDHTP ITTTPTNKQPIVNGIHSNAKSGNADESMEIDTPASKRGSNSKGKKRKALRED
I206_01459	MSASSSRRHSQFRPCIDLHQGVVKQIVGGTLDLISNEGEVKGPK ENFVATHPPSYFAELYKKNNLKGGHIIKLGPNNDESAKEALKTWKNGMQIGGGINEEN AKEWLDLGAEKIIITSYLFPNGKFDEMRLKRISEKVGKDKLVVDISCRKREQGWIVAM NGWKTLTDMSVTQDSIKLIETYCSELLIHAADVEGLCQGIDEELVIELGKWVNIPTTY AGGAKDISDLELVDKLSNGKIDLTFGSSLDIFGGEGTKFEELVKVDKIAKEKSQ
I206_01460	MPPLQFWKPGTAAPGSSLDRDSEAEGSLLPGISSRNEHLSLEAQ RKRLPIYKHREKLLWCVEKYNVVIVVGQTGCGKSTQIPQYLHEAGWTSQNHVVACTQP RRVAATSVATRVAEEVGSVLGDEVGYSIRFEDLSSPTRTKIKYLTDGMLFRETMLDPL LSKYSVIMIDEAHERGAYTDLLLGLLKKIMRKRPELRVIISSATIDAEDFMEYFNSNA DGSDRTQDDAIIISLEGRMFPVEVCYLKEPCSDYAEAAVQTVFDIHMKEPAGDVLVFL TGREEIDQVIQEITDRIQTLPKSAPQMLALPLYATLPPEEQALIFDPAPRDTRKVIFS TNIAEASVTIDGIKYVVDSGYVKLKTFNPKTSMDVLSIVPCSLASANQRAGRAGRTSP GKCYRLYPSSVLPIHNPASPMTVTTPPELTRSDISLYLLQLKALGIDNLVKFDFMSPP PSKMMIRALEFLYCLKALDDEGRLTRPLGERMAEVPLDPMMAAILLNSHDFRCGEEVL TIAAMTSVQNVFNMSEGGTKGMMSDLERRKFTAEEGDHLTLLNAYNAFKRYGQNNKSW CGNHRLNHKALSRAMSIRKQLKKYLDRFNIPIGSCEGDAKRLRRCLVTGYFKNAARMM PDGTYRSAREGAILHVHPSSVMFTRQPSTGWVIYHEVVETTKSFMRDLTVIEEDWLVE LAPHFYEFKGGGLKKHF
I206_01461	MKIEKFIPKWAKHPDGSPKKLTLLGRATLLILGELLANAICWIA AGICFRDADGLLGLALLAWTIGLRHGLDADHISAIDNATRQLVSLGQLPITCGLFFSL GHSTIVIVVNVAIAISVDIYDKLDKVGSVGGIIGASVSSSFLFLIACINIYFLIITIR QRRAIKKRQELGLPDEENEENKIYGGGCMVRIIRPVLKAVNKSWKMYPVGILFGFGFD TASSIALLAISAIAQRGPSGKEINHGKIVILPFTAGMSLVDSLDSILMLYAYATPSTD SPEGKLTLLQNIPNSKDNLVNIEENVIPDLPSQEVSISRNNSEVIMESEITILEREEE QQELIRIKSPIQEITTINQQQQNDDLNIPSSQIQIIDNLKKERIIRVKTATMSNLSII LTLISIIVALSISFITMMGLIGENCKKCLESAQDPNGGGLQGNWWRFWFKVNDQSGYI GAAIVGCFAFILSSYYGIKFGMSKYKRKTKQHNPLDPTNEQVRDEENVV
I206_01462	MTGGALSFVLPSSTPAERPYTNLINSTQFARNNFRPRQREWRRT VSPQETPGALKRNLIQYWGHHEFRHPQLEICTDAMRGCDLIVIAPTGLGKSLCFQLPA ITIEHGVTIVVTPLISLMQDQVKHMVEKGIKAVMLSENTDASDLREIRRQMSLGHPEI RLLYVTPESLFSLRHKSMFDVAYRQKQMVRLVVDEAHVISEWGLDFRPRYRELGNFLK EYKGIPVTALTASATQEVRTDIIKSLGIKKGYGQWVMPFNRSNLFYEVRYQGRGSLDD DEELEEQKSTVEETADWIQAYRPKARMRNEEYGIHTPAVIGIVYCKKVKDAQNPGTLA AWNEGKIECIVATIAFGMGIDQPNVRYVIHYDMPKSFEGFYQETGRAGRDRHLSHCLI FYSREDAKKIRWNHEMNERKKKKVIEEDNPEETLSPINSFKALQHFLEDTKQCRHIGI CKYFGEKIELRDPTVRLAYCQKMCDVCDNNNKVRMKALQLSEGVDIASQPEERPEILP PEHSRDPLDVISEEGSIADSLVQLGQQSDGFDFRDNDEVFTPQIPVNPETAVAQQAVF PPISPNSLPPTPPDKIAPPNLVASFMPPPPSRPANANPSPQISVKSVDSSEYSPLVPA SVKPSTSSTTIPNSKSSDSRPNAPISLTPVLVRDLSAEALRPAVERIFQPIRRREIGP ETPPGSSLHNAIAGPGPSTLANKHYERSRQSPPAKRRLPVDADYSVTPVRGVRYIDDS EEGTGSDLKLTREQRIKADRMLNSVEPAKGNGPYACYNHNPIANKFRKISSSRTVFKP PIAKSPTKIRCDLIQKTAREGAVKEMTDALMACLAKGDLARKLLKGWGRDEKGPQRAK LIVGVAIALEHEIADISRNDPAAYKGRIKDFKQAAKALRATEAVHVISKGRLDELDDG SPGVAHLRAIETCVRSWTDGGR
I206_01463	MDDPFGPAPSGGHLPRQRSRPNLMNPIPAPPSSRSIASMARTPS PQKHQRRPEMTGQDLRQHLQYLLEQKSTQLQTLGTMGQEILKQQQDLEQRIREFEDAD ESDEEEIREDTKEKLQELDQAMKMWENQNEDLMKGLGGRSSELDDPSVSVSSPTRGAH DAAPSTLTRRQRNAQHRTLDMEFATEIGQNLLVEVRRLQALLSERDRALEKFSEEKEN WEGEKQSILIAVRTAETSVERYKEENWNLEVNLQEIRSSLADVQEQFTKSNAEQTRLT KTLVSAREAAEAYKTDAEKNAQLVEELKVRHETDMAQARKTTAGLQRDKSDLLTELNG ERLLRVSAGRGRLSNSMSASPGMLDPHGIEEDEDDVFAAGGRGNTSPTKRGPGFDDHD QALSPSQLYESDFDSPNPTPSKPFPRSPLGEMYSNEIDELRDDLAKAQREIEFLRGEN LRNRSTSDLSSKQSVDEFGSKPGADWEDEEGTIGASGRGRGSVRGRRGGRGRGIAASI GRKLGFNRAVTGLTGTPGDKSFNSASSGTPDLLRTRGMSGSPAPSTPGTPSGEALGRI VGQNNSMETFNSPSLSNRSSDSLGQQTSFLAPSGGALADEIGTQIASPQAEYVDVAVM TDDWESEKNTFSANPSSREDGTSHAPTPQIMTTAATNASIAEWALQTPKRNSFDDTSF NAEDEAAPSTPAQGGDVTPTKKTVALPMPSRLANVFTRSSSLDDGLSTTTGDETETEN DYEDARETVGTLTPSQSHSELPTDTEAYQTGQEWHHSNESSADSDSDQERDREHTMRG LKVSGSGIAAGGWSAAKQAHKMASRDRVIERIVEVPVDRIVEVEKIVEVPVEKIVEVP VEKIVEIEKIVEVPVERIVEILKTIEVPVEVEKIVEVEKRVEVPVQVEKRVEIEKIIE VEKIVEVPVDRIIEVEKIVEVEKRVEVPVERIVEVVVEKIVEVPVEKVVEIEKRIEVP VEKIVEVVVEKIVEVEKIVEVEKIVEVPTIVEVERIVEKVVEKIVEVPVEKIVEIIKE KIVEVEKPVDRIVEVEKIVEVLKMEEKIVTVEKPVEIVREVEVEKIVEKIIEVPKIVE VEKIVEKVVEVDKIVEVPVDVEKIVERVVEVEKPVERIVEVIKTVEVEKIVEKIVEVP KEVEVIREVEVEKIVEKIVEVIKEIEVEKRVEVPVEVEKIVEKIVEVIVEVEKRVEVP IEVEKIVEKRIEVPVVIEKVVEKIVEKIVEVPLEIERVVEKIVEKTVEVPIEVERIVE KIVEVEKRVEVPVEKIITIEKIVKVPVEKIVEVEKIVEVPVEKIITVTKTIEVPVEKI VTIEKIVEVPVSQLKADQSDSSMQTEPLPSTPSSPLAPPADISLFRVSPGTNYDFLKA PPAPGALGNKGSRRISNEHFIGSGLPQSRDLASPGSIDGLPPSSPTDSTAPDRTRPPT ISVPPPPDMPPPPNTAVKKMSTGPPARPMSPPPEDFLQRATTPTMQMSVNRYGSRTAP SSSAAAIRASHNYSDMPPPATASRQTSKASFRVPSTSAQSTPGGKEQDPSWLRSRESV KRKATKVISSSGYASASSSISGHDQAVGNIHDRNPSLSSVDSYAGTVPHQNTQQPAHG STDPSTIHAITQTMIGEYLYKYTRRTVGKGQSSNRHRRFFWVHPYTKTLYWSSEDPGS SRVSESSAKSVFISSVKAIEDPNIQPPGLFNKSIVVATPGREIQFTAENKERHDLWMS ALQFLLQQQNAASSTTHLAESSIKHNTSRSGLSSIIPDEQGRILPSQAKSPMSLRSFG SERQSINNITPRAVRPSSAMSNVRSGSTMGKRTGTAAHEYMRRHEVPNAIHGGHRYKG TYKGAPIADPDDFDLVMRDDANEMDESFEGLENVRACCDGKHLVGHHHHHDHPNVPKT PARSQTPSIRAWSMRSAGGGTTRRPSNASTKPIGHVALQNDESSIFSTAKKRERERSK SAMGHRDRY
I206_01464	MTGILEKYRIGVATASLGMTKSHTLPLKFAALRKAGYKYAEVGF GDYMAWVRERNPNLPPSSCPPEWKEADEPDPSDTEIWNAMYAEATGFLQMARDHDLSV LAIQPLNQFDGWPEGSKRADWVKRKAEKWLTLCSRLKVELIQVGANDYAEANAPDSKT SEDLRWLAELGASLEPPVKIAYEPWCFSKRVNTWEKAWELVQLANHPNLGMCIDVAHF PLAPSYGWNPATGEGWEIKQNDEMLDRLKEVPGEKIFYLEISDVLKPVIPLGKGSSFD EWNDENKPPRGDIFTWSICGRPLPFVGKDAGRNVKSEDDLGGGRVLESVKAILSTGFK GPIMWEFFEAISMEKDDSDVPEIYAQACRVAEKALDEQLTRRTKQL
I206_01465	MALTDYFSPVAFFILLRESLEAGIIIAVLLGFISQIIPSIVQPL HLPLSESRRQSYSQSQYNENRQSEDSARSSQELLSGSQQNGTYGTSPPNQSSPHLESR SLITPKNGRRLSGLEVDQDDIEEAEEVTEEKDKVVKKMRLQIWSGALLGGTVAMAIGA IFLYVFYTYTHDLWQDAENLWEGGFCALASILILVMSLAFLRLPHAQVKWRLKLLSAY HSHSESDPEHIQQHPHKHGNRHKRANKATAILFGLPFITVLREGLEGVVFLGGIGLSE KGSAVAGGGIGGLIVGGLIAYLLFSSTTPLSLTKFVQFSSLLLFMIGAGLASRAAYAF ERQYFISYVGTAAAEAGNGPGSYRVAGNIWHLTWWDPEPGSGDNFAQLAQAVVGWNNT GTVWTVSTYIAYWLLITFTLVHAKFKEGRTALCGQLSKRGWEREFSKRERGVADDEVL LDDRSDIGE
I206_01466	MTGLSLNPLNYIDKPINKNKKCAWVVFLLSTSSYLPGILVLSWT LKKFNSKYPLIVAVNPKLSKSVINVLKEYGLQIKLIKPLIPKGEINLIAERFVDTWCK AEVFGFIEYDRVCLIDGDMMIRQNMDELFNIPLREDQIAATFACICNLDKSSWAPSDW IRDNCGYTPSQGSEAINHPGPAQPNGTHSFLNSGLVVLTPSLELLKKIRNLIESDSEE DKNRIKEWAFPDQDLFADLFRGKWISIPWIYNTIKTMRYWHGNFYNDEQVKNLHYICK KPWTYRPTLNSPEIRKSTGKKYAVDSGDYAEVKDGDVGLDEKKADAVTHGWWWEEYEE MLDDMRSKEFKWIDMVEDLTAKGDSRI
I206_01467	MTKSEESRHVAVSLVYSPQTKKLLMVTSRAHPDLWIFPKGGIEP GETSGQAAVRESWEEAGTPPSLPAPEDEERLMMLALPSKKNGSIWHVHILEVNEDVVE CIQQWPESHERERKWFTASECLSNIQDWTNIPSENTHDNHSETPIIAEAKGNPIAHNH EEHVKERDAQKKQQKKEKKAGAMELALRQFIELKGGML
I206_01468	MIDHILGRPSPALRRSQIFLVLFFWLWRLYKGDGAARPTPTTSA LGALPSQRIGQAQRAWLATIWVKIVGRRMVMWIGKANERLKHFTPYQLILATLTMVYA LRHLDDLLGLGAPEPLARLYSRSYYRATYVNTAFDAGFASAMAIRPKWLKDISSMLFT GYYLLWATEGDEVLRRFRAVCSVEMLRVTWEKTKNPYVRILTSFHRPRVTIIRHLTIP RPPTSSRANLPSVKAMLFFDGTDAELAKATEMVIDYPGGGFVAMGPECHEERLRAWAK RTGKPVLGVDYGKAPEYPYPWAIEEGFDAYRTLMETKGRIIGIESGKLGIVLTGDSAG GNICATIMLRILEHPTGIPTPVSMILAYPALDFNFTSWMSPTNLRVLRTEQSETQIPG LAHGKDHLRHKSPLSVVNDIENGGKKRRHQRQKSWAQTLSGKLPNIMSPVDEKKQIGS GMRSSPATPSMRIKDLPRSMSAKVVGWLGGENGDMPNNQADFSDDSDEDDDDDDDGAT IRPPRPVTEQSLADRVKTPAAEEGQFLFSPPIASPAPIETPPTDVVVTQESKDGVDQI VAKKRKKMPIGTRLTMTSRSGYFQDRIISPSMMRAMAILYIGPKRNPDFETDYYISPI LSPPHLLAHFPPVYLICGERDPFVDDTVIFAGKIREAKKARRAQAESNARGKSARHGE YLQMSTGKTKSTGQADELPDPILRQSDDDWVQMRIIEGWGHGFMQMSSLMREVDPILN EMADWIDESFIRFEEHQRDRKEVKLAHAEAQSTLQEEERALLAPDTHLLPPNSTHVKP SRQWQKSPSSTPLDTADLGSSYIDRKEQDEENSDENDVPEDNLVTFTPKSGKDKIIKR KLPPPSKFNPVPRRPSKERLDLYRSNSMPRFDVDETGSSGEAMTVKTPPHLSIRSLPQ SDEGKKGSGAFAFFGGGGQTGKTTTSNRLPIPKSLYGISPSERSNSLERDPSRSTVSA PITTGTGTPLHGSAIDKPKNSLVAAAVAGARAASPALAAAGFVPQKIGDVSEAELMRR RRMEAVYGIGGIPDDPHEEGSWSEGEEDEC
I206_01469	MTTQEQTLKPLTRDEVSKHNKAGDLWIIVDSIVYDLSKFGNLHP GGIGVLLDQEVAGQDATTVFYGLHRSEILQKPQYQRLKIGQIENEKPIIKHLAPGDLS RVPYGEPTWLTPEFKSPYYKESHRALQKVMRKFVDEVIYPDAQACEESGKRASKEVLE AMAKVNMNAMRLGPGKHLHGRQLFDGVVKGEEFDYFHELVVTQEISRMGARGYGDGLN AGMVIGLPPVLNFGQEPLRSKVVEEVFSGQKVISLAISEAFAGSDVANLRTTATKSED GKFWTINGTKKWISGGMHSDYFTVGAKTEGGLTAFLVPRGEGVETKQIKTSYSTAAGT AYITFDNVKIPAENMLGPEDGGLLVILSNFNHERWVMCCASARSSRMVVEECLKWAAQ REVFGKPLLAQAVIRAKFASMIAKVEAIQAWLESVTYQMNNMTYKEQSRNLAGQIAFL KMQSTRFAGEIADDAVNIFGGRGLTKTGMGKFIEQFQRTQKFDAILGGAEEVLGDLGV RQAMRKMPKDVRL
I206_01470	MSQPIPTSHKPRSLSITHAQIDSNSALLTPNGTPPHTAGHEHNG HFESKLQKLLSNFESGTHSFDRAERETPEERRMSFGSDIFPTPPSSRRPSFLTSLGIS RPFAFTPTTSSPISSTPTTISGSQLNDNKEKEFHSIISRPNLENEFNSKSTPNIPHYY NNNEKEEKGNLPISINRSKTTSQINQQTKKQNGFINQKEINHNNIMISNGDKINPNEK RHFDPSREPKLLGLL
I206_01471	MSVLRLQAARLFRPATAPSARVWSRGYAASVHGNDPNIIEEEKQ RNLKGNQDSSAPHKDHAPGWNEHLASHSEAAVKADQAGPPGKPGKDLQDATVDQTHKK HHEK
I206_01472	MACQPTPISTLFSTSTSILTSTITSSSAVLITPSASTSTWVTSI CTTPTGDPVIDQISTSSSSSIMTSSSYTSPSIESSSSSIDGSAITSFSVASPAVSDAT SGSPTSPIGELQEPQTTLAVLDGQTLSGEESSSILNGLTTSRRARRFARRLYGGHKII RRQDTQDCQTITSTSTILPTPTTSWSLIFIPTESTSLIEVPTDTIMGGCESTSAPEST VSSSPVNPMLTSSIASVSASPITSDTIAPSSTSIVATDQSSESSTSNLSSSSIPSQTS FLSSTTPTTSSPLSTAQTTNGYVPSDPLSAVFTGPTLTSETIDSLVGSSTVDPLAPIT SDALQPDPAETTWDQSASSDIPVVQITDSSSIASSTEPSITSTKAVIPGAAIAETSSS NLPPSLASQNSKPTLTLNHNSSSSSGENEVEEGSNGSNKHNTAGAVVGGIFALIALIA VILFLIRWWKKRQRVEKTKLLRSSWFYGGKDLTDAQENEKRRSETVPPPLSRIPPISR FSAPSFASRSEGLGALLSRPLKHFNKDSSSSGIKPLKLVSGDPNDETNSDSKNLISKL ILPFRNINLPSSKTISDTLRNLPGGINVFGPKKTSSFIIKSRNISSPQPIQPEINDEN ERRNGIFDSKLFPIFSKFQSIRKSFKRTSFSFDNMKYVSRSQIESLNHDQNSNSYDEK NDLSNDSRMNKWIQPSLSKDNPIKVNFMQPPPFMNETGSFNSKHGSINSNGNSPYPTI IPNNMNNIGQALSNDLEESKFNLELNYKPTHVQLRHLTWGSSYANPGRTSILSSNGML ITLNGEIATSEDGNSIYSKTSLSHGHGSLNLNRNGTLKSNISDSNDNNNNNNNDQYLK PPKSCTSLNSDSQNMNIPSPSFASNGNGSGAFAKHSFSPLSAGSGGSRGSFGILPSVP EYPNDEQNMNKEIKDLRRITRSTAHSSGIWEYSAYVDGSAGSSGGNSNSKRGSNGKDQ SSQFQNQGQLKRIASTHPPTIRQVLGPSSNNLQYQSQSATMIYPNSSSPIPVEYPPGF TTNDIIQITVPSPNNLNYTESMLKNSNLSNRSKSYPINQNQDQIQNTLLPPNLISPLN SIEGERITKAWYEKPLWDNNNNNNINNINPNRYPNSILLPPKSNESYLYSQKSGNSLK SNNRTSIYSKQSRKSIKSFKSVRWEDEDGLISNTLEGPRAL
I206_01473	MLNSLNHLPSTLVMLLSIATSFSTANGRVIQSRHSKSLPRSEDI PTFASFEDLVAHHGAIIVDTASTEIFINSTDIGNATNANIHDLVKRADALDTIGNLHE YTWNWVTKTCTAVAVSTATLALSSYFLLITVRNIRSIAAIMTGDGRNPATLPKNVKRD LENSNITYARIDTEIEFDQGLSKRTTHPIKLLYSIDHDNGLIELDQANIHLGTISGQE SDSDSGSNEGNNNLKKRFDESHEIGVGFSGPVIHFQGDENYYRSTQEYQISKIFNYGN EACKQEYICGPQGCMGMAIQASSYYGFNVRNLWGECYGASRDWIN
I206_01474	MSSKTRSPILPSYNPNISSPSRGSGSSSPSPGISEPMKRSGSGG SGSRHGHDGQNYFDNGYVNSNAEKGQNLYEQAKQKVEIIPNLPEEKTKKSGLSPALII PIWIALSSAVILYNKYLYSNLNYPYPIFITSYHLGCAALGTRVLRVTTNLMNGLDKVE MTRELYLKSILPIGVLFSGSLILSNTAYLTLSVSFIQMLKAFTPVAILLISAIFKLQV LTSRLVMIVLLISTGCALAAYGELHFEMFGFLCQVSAVAFESSRLVMIQILLQGLKMD PLCSLHYYAPVCAIINACFLPFTEGLVPFREYLRIGPLIMISNAAVAFGLNVAAVFLI GAAGGLVLTLAGVFKDILLISSSVIFFGSPITSVQIFGYSLALGGMVAYKTASK
I206_01475	MVLSTTIIVSHLLALLLPLILLAPTYAAPEPAALVALPQFTTTQ SSTHRSSRRYQAPPVQPEVDHDVLPFVIISTIDGSLHAVERDTGKIKWSLRDGVEPLV GGEIRGKGNEEEYIVEPLSGSLYVFEDEDGDQGQDTTKEGGGTPKIRKLPLSVEQLIE LSPFTFPHSPSRIFTGSKHTSLLTLDLRTGQQLDCFNSFGTNNSQCVCENEDPLDDLE GSNRSNRDLLFVGRTDYRLTIHSPPVASVGLSPSTATSTVYQNVIEAKRNAGAQEITY STYTPNSYDRPLAEYWAKNGLADQGWNDPGAKKTRVELAYDGDAVGVENASGVKWVTR LDSIGIAVYDILVPLDSTSANPILVPQPPPHLPTLFPPTSRPYQHFMDIAQKPQSTYI GSLPLQLSLPPSENASSVIQSAEDRTNVKPNGSRPLLYALSSSAYPLINFAPPPRPGS LTNGSFVLTEDLPEKDQLLPYLIDPPADDKALILAQPPAQPVSSIRERVIPRRGWFWW ILSAIGTLMIICGIAITQFANSHRVKQTSPSADEKTPLLIAPEGTTAPTSGEKPELEA GCPPALAPLVDEDSPAPKKKSARRRVRGRKKRRDSSAAILEEGGEDDEDDAGSPSASS PSGKDEKPLPDLPREMSSTDLLDQEDKERLSISDNIIGFGSHGTVVLKGTWGGRPVAV KRLLSDFTRLASQEVKLLQASDDHPNVIRYYCQEKRDNFLYIALDLCQASLADLIETP DKHFELAVALDRKKALTQITAGLKHLHGMKIIHRDIKPQNVLVSRGKDGALRMLVSDF GLARRLDQGQSSFAPTANNLAGSLGWRAPECIRGQVKLNEGVLFDPTSTFSSSSSSSL LDDNTPPIEDKDKNNRLTKAVDLFALGCLYFWVLMSGEHPYGETYNRESNIVKGDMVN MENLSILGEEGEEAKELIGRLLSLEPGSRPDTSECLVHPFFWNPGKRLSFLCDASDRF EIMENDPPEPTLITLETNANDVVGKDWYSKLDKTFTNNLGKYRKYKGNSVRDLLRAMR NKKHHYQDLEPSVKRHLGQLPNGFLHYFTSRYPKLFLHVHGVIKNSLLRHESMFEGYF QEGG
I206_01476	MSSSTSFLLQSSSSSSSALPDSPALSLLPFSLGPNSAPYTSNSA PLSSYFKPRPNPNGSNSVIAAFRGRSVVGQEIDIPKGWKGIILSTSKRPDKGGIEIHS DQFNLKSTPTPNPKEDQENARHDDGLQLRRTTRQSSAPGGRNVNGKVKGEGQIALSKP KIRTSGIVIRQSKKRYRFDSDDEEEEGEQKEEENQLSRTPSKRSRLIESGGYITPQKS SISYTTVKNDEESYNYNNDTIIIPEIIIQEATPLKNPLPTPKKRLNERKSSPTPESKD RRSKNQLPEITESLELVEKEIQFETSDPFINEESNEFQISNQMNFENNELINEINGSL SKETIIKVEEEHLIPSPSTENDLPNFEFNTKSEENENMTSSIKNELNPLNEFEEQEEN YEGPIRLLKPISKFDKFILYTPDDPLIGFRSEELSINEIEKQKDKDQSIDTKDTIQVR RSWWRSGGSGEGGDEFIRGLGEFLGLMENLNKPVYLDDLEDDDEDD
I206_01477	MSQTLIQFKVTGDVQGVSFRYYTQKEAQKLGLKGYVYNHDDSSV QGIAVGVEDKVKQFQSYLSKGPSTAQVHNVELIQNNSSASEEEIKKALGGSSGFEVRR 
I206_01478	MTRSASTSSLNEQSGTCLLFAGLGSYPHTPTSPTPSSLKIWDEA SEALLSPDATIGYETRGMSDAGLKQVKGWMRGWVEGRSLEELMKRPDVTAAFILTSSI AILASAQEKNGTPTLLPEGTTHLAGHGFIGTLTALVASGRLDLGTGVRLARIYTSLPP SPPNTYPRSHLTTVLSARHFHSLSSPSYFVPPPPPSPRSTDIDEDDSINEESDLNPNS TPLKRRRAMQLILDEIHSLQRDWERENEITGSGGTIGPRQEWASAGIINSSKVLVVTG THHAVLQVIERLQHLNLANPVMDVSMPCPYHTKLMTHAIPKFRDVLERCYFKNSNPKD PIILDPMTTFPISNPSFSLLPHLTAQLRWHKTLFRLYSSASSSSNKNSNLPKIDKFIT VGKGAKGLGIMLKGEIKKRIVISSNSNSNSSTSTNIKIEELTSDHPSGINTLNSNLNL KSNENEIKGKNNNQKENEQENRIAKAMEMFKRQQKMNNR
I206_01479	MSTETATAPSQEVPSFKIVGKLDGVPVVHDSVTYASQVIQSNEY TAKLYATALAIANKSYEVATPVLLRTKPLLESADGLAVATFDRAEATFPYPFKTPTQD LIVVKQAKGIYDDRVHPYILSAQPVLTDLVNKTAQINEAISSRAVATIHTSQDLAHSL IEQLKHLAENGQALPGALVNGVGKISGDLKEIVFAKDATVQDKSNKLAAYVKDQAKPV IDEIYNYVNAAKIKAQEEAERVGEAANGTVENTPAQS
I206_01480	MNRRGHLSTKIPQVKLRPAPVGSSRRAKEASPINMIDEEIMRRA LADAEDEETSTEALRRNSKPWKGITITFTGVESKAALTALAKELGGTVENALTIHVTH VVAVGYGSAKYLYAVEHSLPVMKPSWIEEAHAAWLNGDELDIEADEENHRLLPFIGLK IAMSGIEPLDRRKQLIKFIQSSGGQYSKDLDRSCTHLISAKPTNEPRSSEKVKWALRE IAELEARKRKGVRTDEEEMKIVYEEWVWDCVAYKGRWKEDYYDARKARRGGKVIPEDV INGRISLQSNKNVTTDGATASKAALDSNEPAVMRKRKRESINTLVTELVSTTGTKPRD KSIPKRSLSPPKPPTSAAALDQQPDRKPSLLHVSRSTSFAINAPREEKSDLDRTTAIP PVIDQSTMETEDTRQDIAADALIPKRFFEGLRFSHVIQEQCDGLENAIRQHGGTVIND ENRREGERVDYIIVRLCSEIRPHLSESDKDTIVVTECWVEGCCFEETLLTPDKHIVFR PLPAEMPIQDASKLIVHLSGFSAENNVYMRRLLRAIGGTLSVKLNRQSTHLITAVTTG QKVEKAREWGVKVMKDSWLIATGRSGKIEPEADHVLSPAVEVTRIPGRTSDLTANMST ISNLPDNSDFALRQSSSKPTSQLPLISPGRMLKPSPTHIDDAMTSTSSIGGHGSRTTT SIIQDNNVLSPPKVSTERILNSAIPHKSASEIIISEPSKLAKFASAPISESLAARQLT TKAEIGNSLGERAASTGSLGMGKSITNGGGMTEALRQLAEKDGSTSGKGRVIRRPRPS ARIKNTRSPINSVSPAASRISGPPSPSHIDPDTSYFGADGTSAHDAEGNIPMIDNVAE ESVQVRYVDAVSARERKKIRAMFNGPDEDGGSAKKRRK
I206_01481	MTMIPLAILRPCSSRFAASLFNQSTTRQFSSTLSRKEQKAAQPQ TPATDSIELSAPVAYISESHDPWFNLSYEDWLLRNTPHEQPVLFLYRNFPCVVIGRNQ NPWKETTPHHLREVGIPLVRRRSGGGTVFHDMGNTNFSIILPRLLFTRSHGAELVSRA IRERLGIKQCTVNERNDVIIKDGENELKMTYKIIQHRAYHHGTMLISSSLSELGKSLR SNSPNMQTKSILSHRSPVTTLNHYLPSGRNPIHHDEFIQAITAEFNKVYANGVNKKME TREVNSIWIKEPKVWKGVEELKSWEWQFGQTPEFTNLLEGQLSFGSISANLTARHALL ISLTFHLEPLHNESTEKTMEKQNFLDSLALSLVGHRYESLEGVEGALDHQWEDEKWRE RGNEVISWLRKVM
I206_01482	MENRIINPLHLTSLTILSPTNSPLYVHSFTGSEDELRHYHLSHA AVDVIEERIVMTSTPTKPAESYLGLLFCMEDMAFYGFQTITKLRMVLSIALVDAMIKD SDIVAIFRSIHNLLLKTITNPFLSLSNSFQAIPENLKNIEIENKNENKISNKSIISNS KMFSFGPEDIKIEWLKNSLNFNKSINKIGEMLNG
I206_01483	MTSFNPSHNPFSSKAQAIHHASSSNNDEEKSKFPDSLPTVHGHH EDHPEFRKEGRKRVKQNMPVMPDLRFEQSYLLSIRPYLTPHPTSKGITKKGLIEKGKP SGTLVTSAEEDRVFHWGREVDVDWKNVIWVTLRDQVISPLVQGALWGWATIFLATTGT ILRANLYPPNHVNRGRITGGPISKVDQARGGSIVGQSGWWKNWVGSFFGGVQTATV
I206_01484	MNPNQPLTSEEINQAYKVELFDQDGKKIILKELIKDKKVILIFI RHFWCTNCQVYTYQLNKSIPSSSLSNNIESCGSFKPILQYIQNTQSNYKILTCPSLEI HKIFNLKKTLKGPSFNDNDDNKKNYLDEVGGMGALGHPKVYINLDKPGPKVCGYCEKI RGPNDQNGGEVIFEQDGTCSYIHRMQNTVDHTDIEDLAKMIGVKYIPLTEEQKAFPG
I206_01485	MPNFSPFRKAVLNLRANPQSFLSRRPLSSQTSSSSTNTINQKFI IGAGLAISVPTYLYLTRARLDYQPDTVPDKVNSDVPDQVRSSQSGNGKQIAKISARDV LDKKDSNEFWVVINGDVYNVTEFLDEHPGGREIIESNKSKDVSYIFNPRHPSDQLNNE NLPPSVKHLGKLEINNEEEKEKLKLNISKSETDEKELIKKKREEFEEKGLGQIINMRD FEKHAEELCSKVAWGYYASAADDEITKESNNSDYKKIRFRPRVLRPVKEVDSSTKILG YDSTIPLWISPAAMAKLGHPDGEVNLTKGAAATGIIQCISSFASCSVEEITAARTNNQ PLFFQLYVNSKRHLAKEVISKINRLGFNAILLTVDAPVGGKRERDIRVKGEFEAPKSD AYDKQGDTKGVAEAIFSAVDPDLNWKDLKWIREQTKLPIIIKGVQTVEDAVLAYKYGA DGVVLSNHGGRQLDTSATGISTLLEIHRKFSIFVDGGIWRGTDVVKALCLGAEAVGVG RGFLFAQTVGGVDGTIHAVKIFESEIQLAMRLLGVNKLSDLRPSMVEIVEN
I206_01486	MSSKQFNKKRSFPDGAGSSGSGSGTTSNKSSKFSKSNNGKPSKS NGSFKNNTNGNVGKFSGKPNNNLNENKGKSRSNIKEKEEEVKRRKKPITLGGGEEEDN EDEDEDISMDEDEEFDEDEDEEMGDKALENSGINEEEGQEKKPKLSKSEKLALHAQQP HRTTLLPSHPLLHDTLIPLWETARRADLSKEQRKKTINELYNASKGRIGEISRGHKGG RVLQTIVKFGGKEERTGVAMELESRWKEMMESKYSKFLMSKLIRYCPSIRPLLIPQLA PHLLTLLNHSNAVTPLSDFYDLYATSKERKLLVRGFYPRELKIFDGGKQASETKGLEA FLEDIGTDGKGRERILDSIEKTVLDVFNATQKQALAQSIFHRLVFEYVTCVFKFLDKE TAEKKLHELLAAGAESFPEIVHTKDGSAVVRELIVRCNAKDRKQILQPLRKHVEALCK DGDAQMVLFTAFDCVDDTKLMGKAFVSDVVGLATNLAFDKQGRRALLYLLTPTSTKHF MPQTISSLAASAASAKELGTSKKDTELRRKELLGYASEGLLKAVEEKGDEMVRDPGAG MVVQETLLYAQGDKSKAMNTLAKALEIPYPDPAPVEANPDIKTSHPLDLSHSIRTFKT LLSGGHFNTSTKKIEIPDENLSNQFSKLIWKSIFSSSLSEENVIKICKGNAPFVMVEL IEALKKSSEEKEFKEIKNVLKKKGVKEQIEQSVRKGSNLLVEKINEL
I206_01487	MKFILTFFFIISLFLITQIQISNAKVYNIELPKQIIIGSNINII VSSSSYIQNWNDFGIIWGILNENHECNGCIGQEIGYENLYDNNFIGNLTYSIKIPNLN NGSYTFIAAVPYLVGISGETGINYFNQSINLISDAKMRI
I206_01488	MSFNDLERGQSQPLLRGNAPDPDATFTSLKDSVSIQIFKIQSNV QGIQKLVDKLGGNADGPTLRTSLHNLTEATREMVKRSTEDLKKITAYPAGGEHSSRKP IQNKLSKEFGNAITSFQRVSRQSAERQRSFVESQKRRVDKLVEESEEAHDEPRNSVEL EQVQAQQQVQQVSPQELDFQETLIAEREAEIREIESGIHELNDIFRDLGTMVVEQGGL IDNIESNVTSVARDTSSAAEELTTAHEYQRKAGRRMACLLVILVIVVAVVLLAVSYSQ MIELSLFY
I206_01489	MSRSSGPLPKITAHSTSIICQDTNLQGDITINEGVVVHPKTSIL AIGGPIIIGKNCIIEEGAMIINRNAESLRIGEYNQFMVGCRIEANSIGNWNTFQPRST VSNGIKINDHCTFSAGTITTPSLTISGETEIENLPSYTTIFGSKSERRTWDGTNQVAE QNLREKHIEYLREIIPKYNRLRVIT
I206_01490	MLAARSIRQSSRFHRGLSTIGNDIFPHAESSSAPSRRRQYASSA EATVVSDQTGEISSASPLEGTYQSRSKRNIKSNPKAKQAFLRARKLAEAIRSEKEGNS AQISRIKTSTNVEKAQNGDSNFWSDLLNKPTTIDTSSQQTVNTNSSPTLDDLLSKKPD REPPDPWHPKYPQLYRKVYESLDSAFVHRQLKSFSTQLGIYHNAKASKGGMIKKIMKS WKWVEPRNKPKDPDPFVFDLPPPELFLFVRQEELIQSLMDGYERLNMSILPFSEVPQP SSIMLTPNEGDPNRKVLVASGKLEPLMRLSQIISDQKQTLQNVTISSGEINQLKASDG LLRMVSNASGAYVESLPENKYRITAKSSEDIESAKQLLGIASLHSEILPSYRSLDVLL PTPRNFQTQPLRLSLYPFTPSLTESFPWTILPSIASKTLFRLKKVTEWNSKPAIREID QKNEKIHIAPYLKEAERRKGETEFQNLIMDLTAKKGKKRLKLQFGNLLFPIQPKDGRL GTFDNPLPGLWPIETLKNWLIPSHAGNSMLIQSISLTPSMIQFPLIEGQSKELRRIRY RSIPSSPTPADSPSSESRFVEFTYTKERTREEKWQDQYDAALNKLEKAILEEEQTIGQ EQSITPDEQIIQGSAEEVESHSSTSLFSKQTSAINEGSPEVSEALTSVDEVESISSTT PETFEAVFGVIKESDLLIPDRPNDVRITSTSTVTLPENKIPEAISAIFEAEKEGKLSS SLLPPSKVNIKDEEYTLEYDERVEMIEEAKDIEIAGNTLTLFRRSMRVIEQGMEGKSK PLVYSELECGSTSYGTLPIEFYRELAFMTRDVGPDAGALKRGNILSAFGGSAGWNGMT GY
I206_01492	MLRTIPRNIRQNVSVARALSSTIARPSSTKRNYATEAQAPSKND LFANGGNTYYTEEMYRLWKQDPKSVHSSWAVYFSGLDKGLPSSSAYSPPPGFIGAASS IPTAADGSPKMSVEGSGDVTDYLKVQLLIRAYQVRGHHIANLDPLHISNADLDSHVPP ELKLDYYGWTEADMKKEFNLSDGILPRFRGSVDGDKLTLGQIIEELKRMYCTHVGVQY VHIVDRGQCDWLRERVEIPVQWKYTTEEKRMILDRLMWSELFEKFIASKYPNEKRFGL EGCETLIPGMKALIDKSVDSGVKSIVMGMPHRGRLNVLGNVIRKPIEAILNEFANTDK DDTGGGDVKYHLGANYVRPTPSGKKVSLSLVANPSHLEAEDPVVLGKTRAIQHFEGDE GTGDSAMGVLLHGDAAFAGQGVVYETTGMQGLPNYGTGGTVHLIVNNQIGFTTDPRFS RSTPYPSDIAKSIDAPIFHVNGDDVEAVNYVCTLAADWRAKFKKDVVVDIVCYRRYGH NETDQPSFTQPKMYKAIQKQPTVLSIYTDKLIKEGTFTEKEIDEHRQWVWGMLEKAYD GSKDYKPSPREWLSSSWEGFPTPKELAENVLPHLPTGTEEETLKKVGDVISSFPEGFT PHKNLARIIATRGKSVAEGKNIDWSTAEALAIGALCLEGTHVRISGQDVERGTFSQRH AVIHDQENEQTYQPLKHLSSDQGSFTVCNSHLSEFGTLGFELGYSLVSPNSLTIWEAQ FGDFANNAQCIIDQFIASGERKWLQRTGLVLSLPHGYDGQGPEHSSGRIERFLQLCDD EPRIYPSAEKLDRQHQDCNMQIVYPTTPANYFHVLRRQIKREFRKPLIVFFSKSLLRH PQARSSLEEMTGESTFQRYLPEPHPENLVEPEKIRRHILCSGQVYFQLLKEREDKGIN DVAISRLEQLSPLPYDLLTPHLDKYPNADVVWAQEEPLNNGAWTYVQPRLITALKETQ HHTGKVPIYAGRKPSSSVATGNKNAHKKEIEMINAMAFANAEDSQ
I206_01493	MSQSIGYSTTPPTSSSRFSLQSITSSSSSSNTTTNTPNPPSLYS TTNQNPSSNRRESARSNIIPSGQGNSSLQQQIFGSNNNLIIQQQQQQQQYVNILDRPL NKSKNSEIALSSWAFMFSEIISYSQSRVDSVTDLEKRLSSLGYQVGQRILSLILLRNT QTLNLKDPKREYRLIPILQFIHTQIYKFIFGKSADGLEKSSESEDEYMLISNESPLTQ FISVPKDMSDLSCEAFTAGLVESVLDGLDLPARVTAHWVGDQYPDTPQRTVILIKLDG KVMDREEVLGK
I206_01494	MGQGQSSSKKLGRTSSKQLSTSDLADSLANTTISSGKVIDGPSS PGSSPSMEISNNGQFSTPTRVSGRRNGSGKEKTGSISSFSSASAGTNRPSLNTQNSFD GSSSVNTSTNASTSSPTSISTPSSNIPTTQNILAAPKTRSSLLGHSPPPPSALSVSPG QPGSPPLNSPSQSLGHMQRDSTSSLSPGAALTATISRSSIGGTSAGGVQVLDVDNMIH RLLEAGYSGKVTKSPPLKNAEIASVCAAAREVFLSQPTLIELSPPVKIVGDVHGQYAD LIRMFEMCGFPPSANYLFLGDYVDRGKQSLETILLLLCYKIKYPENFFLLRGNHECAN VTRVYGFYDECKRRTNIKTWKTFIDVFNALPIASIVASKIFCVHGGLSPSLKSMDDIR RIQRPTDVPDYGLLNDLVWSDPSDTALDWEDNERGVSFCYGKSVINAFLATHDMDLIC RAHMVVEDGYEFYNDRTLVTVFSAPNYCGEFDNFGAVMSVSEDLLCSFELLKPLDGAA LKKEMTKSKRKSLQNHQSPPNNPMAQSY
I206_01495	MSLTHSQHLTSESNYQKQNHLKPSSSSGSLSNGSSSSRLKKLRP WSLSSHIKRSHTSIPTENNNIIGNGNFEQHQNINGNNKNRNDENLNKYDNEIDQLYIK DLRIGNKSDQLPKTLNNTWEEESDLEDEDAEEEGRIDTYSWIDPSIIGTDRLRDTPDP SRVDLSISRSRSYPNSIPKSSNENSENTTPRNEVSDLLDHAGTAPSPPAQLLPDDSYA MLLAFQSTSTADSSQGHGHIGTPSIQSHTQAAPLSPLLATPSESGVLRNMNPEWYELV DRSLSDSLNKEELKRQGLWWEMIKGEREYVKDMKTVCEVFIQPLREHDPPLLSPPTRL HAFIAEVFSTTQQIYHAHVRLLGRLMERQRHEWPLMTTATDILLGTLLEIVELYESYM KNYPFAEARVRREVAKNPPFRTFLSERNTFDLTRRRDISVFLSRPVTRLPRILLVLEA LYKVTPHDHPDKEDIPTAMEILQNVVRSTQPGIESAENKIKLWNTAERLLFKKGEVVE LDIGDPKRTLVHMGYVFRRVRSETNWHGWQDLRAILLDNYFLLTRDEENGKHVVVSRR KPGGHMLEPVFQPERLMFPFTVSTTGGVNGRTYTLCTATQGLREEWREKIEGAKTLRK FDVEGNRIFAVHNISVPLEIKDPITSADTFTWHNRETIAIATSRSVWLGWRRDSKTFR ELLRFNSGHITMISVVPDFGWILVVSSGSLLAYDLREMIPTSNPDTWITKGRLEGQRL SEPEHNIAFARVGVTKSRLLVVYAVHSRNSHQTNLYFYEPLVISSKSNSSPFRSFGSI TVPGYASDLSFFRQTVSIVTEKTFVIAEPGNPTYNCIPTFGLDIPERGMIVRMVSSSK PLAMWQVDEAEFLLVYEWGGCWVTKFGEVSRKGSFLRWNLIPSYVIFKQPYLILFEES QGRAEIRDVISGRICELIEEKGLKVFGLIRFNQGIIAKTLKGLIEIVETVPL
I206_01496	MSEDAGPSNLGKRPRNGSAEVEIKKNENDIPEMPTADMDDSSDE EIGPMPGNGEVVISNSRKKKRAVLPHEKLYLASLPDTDRYYKSFMHREPLNSVTITKT NFVITTSIDGHLKLWKKQDQGIEFVKHYRASLKAIVGTCSSEDGKLFATVSEGGEGRV FDIVNFDMINILKFPYTPKTCCWVHEPGAGQTLLAVSDSSSPIIRIYDGRGDGTPLYT LEKLHRAPVHLMVYTAKYDCVVSADEAGFVEYWQPTEPWGLPSVPGLWQYKSSTDLFH FKKTKTLPTSLTFSPNSSQFVTLALPSRSVHIFNFLTGKLTRTYDESLTAVVEMQQAG TAVFKLDDMDFGRRLAVERELDTNESGPGGMLRTANAVWDESGNFVLYPTMLGIKVVN TVTNKVARVLGKDETLRFLNISLYQGAPAKKGLNTIQMAASANPLLQEKGSRDPHLFA TAYKKQRFYLFAQSDKEESKGDRDVFNERPTREEQTTAVSATAPEKERASATRCTIHT TKGDITLQLFPDLAPKTVENFVTHARNGYYNGTIFHRVIKKFMIQGGDPLGDGTGGES IWGGTFEDEISTKARHDRPFTLSMANAGPGTNGSQFFITTIPCQWLDGKHTVFGRAVG GLDIISDIEDVRVDKNDRPFDEISMSSITVE
I206_01497	MNYKRQDDDLTSTSRREINFSPPPAGPYSTFTLYIVSGLFFLIS ILSFLLGLSYIHCPFNIFPIIKPICQDEHYKYLIPLLIPVTAWFAIANWIGWEYFKYS 
I206_01498	MPRRFHLLRRNHDSSGNIKPSSVDSSTNDQEPSSAIKNRLHALF TTSQVKNNDQEPEAGPSRSSQYPYDEKENRCNKSTEHSSTRAAPDDGLIRSRTLPIDG QNGEHGVAQSVTIPKKNQRDAIKVLMVTWNMGDALPKGDLSVLFGQIPPYQPETPTGT IPKLPVENVHPYHIVVVAGQECPTLSGAPRGLGGGLVKGVTLRHKKDKEKEKDREKEK EKEKLNTKGKPELKLKKDTLEVDREDAGSRSADQEESSEDETPKESSERASRASSPMT PHTPFMHRGQPTAKGWSQMLDDYFCGINARTSEPLPSSYNSSSPVPSQPPFLPHPPPS AFPVHPSLLRSASAPITPVTSSIAVPPRAPNNILGTYHLALSPSPLARSGSSFESSSA SSSTSEADESYLMINQRSTDNHHPPSSPSKNTEKDRPELIIPNEEVISTHNGNGSYVH VVKERLLGMYLTVYVYKGCEHLIQGTDKDLVTAGLAGGRVGNKGGIGISLKLADHRFL FINSHLAAHTGRMHARLSNISKIKSELRLDCFLPKDDPRATAEDITDRFDTVLWCGDL NFRIELSRLHADWLIEQKKYSELLMWDQLKLAMKDPNLNPFPGFEEGPIDFPCTFKYD VWKSVRATNREIRKSTKRRKSTASTASADFGSSANIAKNLSYVPEGDAIEEVDDDNPD TSLTRESLPKANGSDDEMTEGNFSRRSFESSRYTSGAGTDIEEDSDDIPATYRNQHQH QHRPFEVALKEKTRHLLGLVKMDGILTSSPRRPGAGIGRKVSVKRKISLKRQKDLMEG YESRRTSVSSFQEHTDQPSTPTSEGHRRVSTSSRYDGSNEGPMLDGLGLAPPIVESSS SVYSSSPPREKEKDKPPLTRRLSIMKRTMSNRSVKNASNLEDDDDEEEVPDEIDRREG VYDTSKKQRVPSWCDRVLWKSHITPDPEDDSSHAKSVRLDVDSHTPFHRLSNVFSNLG GHLKIQMTRTASMDPGPADRMNLRIRTSNSPEESVQPILSEPSLVASPPDSPTFSPKI NTTGEGLIPLPRKPSPIRPVPSSSPSKDGKRVFFSNTAPDRLIPSMGIGMIRSGSAPG EHRPKLDKRPASASGNQNKITFDSPISPTVDTLRSGPMTRNGRVRSNSDSTGLEGKKD EEKKKGRISDGILEIDRKPTANVKIHTNSKDSSRESSPERKLLKIFDLDKRIRTISNS TPSEHKSSTSFKNLLHPSESSTSMHRISTIHTSTQPTQTSSTGLGVETNGKLGGRESD KHTFIKFIKDLPSWLHRSSSHAPEDILIHVQDQNENEIEKIWKKGEVRCLHYGTIDDA GMRLLEGRSDHRPAIFAGAVYV
I206_01499	MPVTKKKGSLFAIYADTPDKSSSSASSSSSSLSIKTSKTGTTSP SKRSSSSSRKALTSLQPKALESTKTENKGKSVSLQDENSKSKISTSDLLPLKSKLSEF TNKSHTHSHSAPTKNRTKSSIQIFNDENSISVKTSRKIPTTTLKSSINTITSSPKSRK SAPTPLATSHNQSTKRTRDLLSPLPILDVSSSSGASHPRPKDKFENRLNDLNESPLKR NKISPSQSRNTIQQGIEINDKENIPPVFSPDSSNDSPASRTRSKIRSLTLSSGQSPLS SRIQSSRKEINKFGELIGDGKSTLTLKKGRELSGLLQQDLDQNLENTIKILQPSPTKK SGKSTSTTIKDIDMLGDVSEAYGAERNNEPEGFKTQRKR
I206_01500	MSTDKRVIIVTGASAGIGRSTSIHLSNIFPSSEHPEQLVLVLVG RRESELQKTADSLRNGTIAEIAAGDATSEEFVNALEATIKEKYGRLDLVFNNAGVNYK SDGQFEDQDMSLFRQVLDINIMGAVLFTKLAFNIMKSQSPQGGRIINNGSISATAPRP NNTSYTISKHAITGLTRSSSLDGRKYNITCSQIDIGNASTEMGSYVSAGSMQADGSIK KEPTMSVDNVAKTVGFIAGLGKDVDILSLEIMYVSSSSPSFPQL
I206_01501	MGVTVDTISQGDGKTFPKPGDQVTIHYVGTLLDGSKFDSSRDRG SPFVCRIGQGQVIKGWDEGVPQLSVGQKAILTCTPDYAYGARGFPPVIPANSTLKFEV ELLKVN
I206_01502	MPPHYPQEPDHPTDLSMPPPADKIIHIDTAPPASSSHHSDVAGV LRRNQACLQCRKRKLKCDAVRPHCATCVRSYRHLLRTSPKSNPVLCCDYDDGAGNNHE DSDKAAKGSTTKSPDQALDDDDGGSSKKKRKASGEGRRKKKDEEFEEERDRLTKKIEQ LQAQLASAPLGQTATQAQNIPQPNRALSNAAQPLQDTLSADSPTAFLEMLSSAASSQA TGSFSNKPDRSNTSDGPFWGGIGRLVDLDARFKPMFQFGNNDQQGNLSSLNNPSPGNQ SQSQESRRSSSQNLTPGSNTGESSQGPAILSPGGVFNFSPGDTTSTNFMSNWPPPESI TGIVEPGTKDDGPWKVVESIETVFAAGANPSSQPNFGGDTNMDTEINLDGLQAGLDAA MQQQLLMDLFWPGWPINLPEPNVVNDLIEAFFDLVPNLPRVLHRARFLSRMALPPTHS NFPHPALIHAVCAAAAAWCSPEIYEKSTRGKGRDFFDASGMGMYGTDVLEGKGSKANL TFGLRQASFAKEAVQEGLNTGNRLFDVVRAMIILCRVFIDDTRMLECWAYGGLVARML LPLGLNVRSAELSLKSVMLPPPADALEREERRAAVWMAFYHDTIASAASGWGTSMSLD ELTVPLPVSIEAFEAGHEHMESNPQDLESPDFWVRHPVPDSFVMCIKATVLMNRVTKF ARRWKNRHLRDNDDFDGLNRPEFREIANAIACFQMSYPPSLRNVGKLTPRKILDIDLI SAHMLPHAAIICLHEPFADLFDPSDQAARRMLGATQGIVSIVQQLASVVSAGGSNFTS VMHSSASVCLVTSARTSLLFLRHALNIGDMAAAQSHRADCEMIRMALSQFGLKFKIGH HHSQLIEYFLDRATNPTFEKLQAHYPDHPRSGAPELTPNANFGACVSNALNIKRGFWR LKQTSNSTGASPFGSTPESTSATGRPQPSSSSSVSHHLSDDGSPIQHPLESHGLRGTG NKPATVRNVSSEAMETDSPPNPESNQPHPRRQTSNLSNSGHNRNSFEGVSGLPLNSDN GGSRMDLQSCGIALPKSQNTSSDEPNPISNSYNPHGLCDMAAAAAKQPIHIVGAQSQV DNLSSTQLHQELFDTSLAGIEPVTAEMKDKFDNKRTLVDGQYPIWMNLSEVELAADAR KQAQQAQKEKEIQQPPFDMSLPGVTPVTQQMLNKIQARDKLTQDGQLPIWLNTTEQEL LAEQQQRGQGVNFTEPNVTPELEEMMRNVRTVGKDAHIPFHFSTTSDQLLSESLRNNS NGRDNPGSGLGSNIAQQSNLSNSNNNNNLNPGAFYLNPETENKFSKIKTIGPDGHLHV IQNLTQEQIMNEISRNAQLPINVGYKEGQVEQGRVGEMLRNVYE
I206_01503	MRLTFLTSLLALLSFSIVLAKIPAPPQTGAKVINQITRKELVAR SNYPELFGKRNNKINKRQDGGGTESDSPSPNCSNLSQRRRDLTEIEQILNPFEMADFL LGRGNFIMQNGTIYKDDLYISKLKETANSHRGWYHKWHNVVKDSLGNKLLEVSIPYYK KWKNGLSQDELIHLSPMTAIRAHDLEELEKVMLSREDFIDVQVHGYTISKKFVQVASS F
I206_01504	MISAFFIFNQKGEVLISRLFRQDLKRSISDVFRIQVISNPDVRS PIITLGSTSFFHVRINNVYVVGVTKCNASAALVFEFLYRFITISRSYFSKLDEESVKN NFVLIYELLDEIIDFGFPQNSEIDTLKMYITTESIKSEMAVREDSSKITIQATGATSW RRSDVKYRKNEAFVDVIETVNLLMSKEGKSVLRADVDGQILMRAYLSGTPECKFGLND KLVLQKRYPELISGNEGTKSDDAVELDDCQFHQCVRLGKFDSDRSISFIPPDGEFELM RYRSTSNINLPFRLQTHVTEPSKSRVEYTIHLKANFDSKLNANNVVLRIPTPLNTTGV QAKVGVGKAKYVPGENMIVWKIPRLQGAQECTLTAEADLAATTHRQAWSRPPIQVDFS VVMFTASGLLVRFLKVFEKSGYQSVKWVRYLSKANGSYQIRF
I206_01505	MSSEERKVAPSAELLPVEQANPAEGGAAALAPEAPAEGVKEITK SAAKKEAKRLEKLAQKAAKGPTVAQGPKKDKAEKKEKKAEAPVEEWVNTTPKGEKKDV SGNLPAGYDPIQVEAAHYDWWKSRGFFKPRYDADGKPLEKGTFCITLPPPNVTGNLHI GHALTISIQDALIRWKRMQGHTVLYLPGYDHAGIATQAVVEQRLVKTEGHSRHYYGRE KFLEKVWEWKDQYQAKITNQMERLGGSYDWDKVAFTMNESLSKAVQETFCIMHEKGLL YRANRLVNWCVYLNTSLSNLEVDQMALTGRTLLNVKGYEAKEKFEFGVITSFAYPIEN SDERIIVATTRPETMLGDTAVAVHPDDPRYKHLHGKFVIHPFNGRRIPIITDAITVDM EFGTGAVKITPAHDPNDFECGQRNNLEFISLMNDDGTYNENAAPYQGKKRFHIRNEII AALKEKGLFVEQKDNEMQIPICSRSGDVVEQILKPQWWISCKPMAEEALKRTRAGELE IKPKSSAGDWIRWMENMQDWCISRQLWWGHRCPAYLIKYDGETPDTADDKNWIVARSA EEAEAKAKEQANGRKYTLEQDGDVLDTWFSSGLWPFSTMGWPEKTADLEHFYPNSVLE TGWDILFFWVARMVFFGNALTGKMPFKEVYCHPMIRDAYGRKMSKSLGNVIDPLDVIT GQNLQKLHNDLRLGNLPEKEIVKAEEGQKKLFPKGMPQCGTDALRFTLCNYTSGGRDI NMDIGRVEGYRKFCNKLWNATKFCLFRMDLVDLNGVRQQSTFVPNASPLPTGQEGLVE KWLFHKYNIASAAISKSLEAREFADATNTAYQYFLNDLCDVFIEATKPLFESNASEAT RLSAQNTLYTCLEGGLKLLHPFMPYVTEDLWQRLPRRQGDECESIMLASFPEYISQQE FPQAAAEFDTVVDCIKAARSIVGLYNLPTNADLKRYILVIVQAKNQQQRQLLESQEPI IIGLTKGCGTAKFISDDSEVPKGCGTEFVTSDINVHIPVAGKINASAEIDKLQKKEAL AQSNRNKIQKLTEQPNYQTTLKEEVRISNSEKLSSLESEIETLRLSIERFKSLL
I206_01506	MVNFTPSWVVDDLSIILGLDDETIKQMIIPDLESYTNEAKLRVH LHDFLGSSPQAKTFITRYTSYRFPSLSSTSSSIPTPTLTSDPALAKPKAPLKKPHSPA LSRPNSNAGSTRTNPTPIPKDIPEALEAAFGPGGKVYQKNRDLEIGWGKFSFSVSSSS SSNLPKSSGGSGSGSGSGSGSGSQTPIQRTRQAGAVNIQIQESKSSSSSRLDSSSSAN GSRTNSGKGKKRDDGSEKIWDKPKSFEIKRLESILDNIKILKEGKGKIKSPDNKVECF CQARVHPLSNYVPICQSCGLIQCNLQLPYLPCPSCHNSLSSPAQLSRLTMRIETEIEI QLEKEQNERKKIEKERLDRLTAQAGGGSFPTLPNSIPQQTIITNDIKTGRKVISIGNK IKGKSKIITTTYITPSSSFNISPHNSTNIKEEIPNDIIPRLRYSPIDNKKFEKELNKL LIFRKEFNKPFGNPKLDESEGLRYKELIIPVIQENGIGRRKKGKAKKLGEGGREVPGA A
I206_01507	MPRSPRRSRSPEGGRRSSHRERGSSPSRYERSYGASGSSSRRSD DKKGSSSRYDDDRYNSSRDKDDYRDRSRERERDRYRGEDDRDRDYRRRRDERDDRRYT QNSRDHRDHDRSRDRERDDRRRDEPRSVNGRHTRSPSPSRKAPAVRTPLNDGSTPGGS PAPETEEDKKRKAKERLEAWKKQRALKEGKTSTPESTSKAASPAPPSVPSPAQGGLPK LSGLPLKPTAFSLSRIGLPLKAGNKPTPLKRSLAATLDDEESSDRKLQKLGDLPEINP EVQSGEAADIGAIGDDMAVEDVKPEVNGNEDGEENQMDIDEKPKPDDLKAEDAIKVDE EEEEEDPLDAFMKANVDEVVKVDSADAKRLGLRHSGDDDENEEEEKVKVEDKLAEAEA LLQQAAAKSRKKDLPPPDHSKIDYEPFRKAFYNPPSEVQDMDEEDAELLRLEMDGIKI RGQDAPRPVKNWGAYGLPTGCLDVIRHHGWATPTSIQAQAIPAIMSGRDVIGIAKTGS GKTVAFLLPMFRHVRDQRPVSGSEGPIAVVMSPTRELASQIYKECQSFLKVLNIRVTC CVGGSSISEDIAAMKKGAEVVVCTPGRMIDLLTANNGRVTNLRRTTYMVMDEADRMFD MGFEPQVMKIINNVRPEAQKVLFSATFPKTMESLARKILVRPLEITVGGRSVVAPEID QRVEVREPDSKFNRLLEILGEMGENHKEEEDFRTLIFVDRQESADDLFRDLLQRGYVC ASLHGGKEQVDRDEAIKNFKNGDVPIIVATSVAARGLDVKELKLVINYDCPNHLEDYV HRAGRTGRAGNTGICITFITPQQEKFSVDIKRALEASNAFIPEDLKAMADGFMEKIKS GKARQAGSGYAGKGLERIERKRIEKDQAEKHTYGDTSEALSLASREGAVIPYKPKTTE FKQPESNPNAGKGDADYTFTEIKVEVVHGPAPDRVIQNQPSKVAIAALPAQTIAALEK AKAEGRTVDAANLAKVVARLTQSIELTKAEKLGLAQPANVGGVRTKDPDATDYHAIFP INDYPQKARWKATNKEQMTLLQEISGASITMKGLYYPPGVEPGPGEEPKLSLLIESND EHRVRAAVDEIRRNLVEASVAALNTADRAPGGSGRYAV
I206_01508	MDSPSSSTRFTLLPTSYLASQPPSSEITLQSLIDTSDRMAEEAR EALPYSFDECTYDKGYLRQSVWACLDCGEKGVCYGCSISCHSEHRLVELWTRRSFRCD CPTTSTQPELSPPKKRRRCQLYPPQAQPQEENDKNHYSQNFKGKFCRCGREYDAETET EAMICCIACEDWFHESCLNLRPALPSTSTDSQVSAANTPRTGDASVTEPDPELSKVDA GAEEEDDEESAVLIRSDSYDGLICSACVSGNEYLRNQAGKEGWMIIEPNENGGWKVIG EIASAKNGEPKVESKENIQAENKEMQNHENDSNGKRKAEDDVSNEDGNGKRFKSGSER KGKRDIFLANGIREQLKDQLDENTIKSLPFPLIDEEIYEPPEEEEQEVSIEQVTSRVM TSLPRVQAIEALHGYQRLKDRLNSMLQEHVSSGKTVSKEDIEGLFDQLKGKQ
I206_01509	MVSLLWTPSSPTTTPKLISRTFSQKRNLCFLVISLFILSFLVLG LHPNVQQNSIEQYDRLKEWSNDKAQNLAEGLSDDTVLGGTLKGWLDHSEKVEEKECKG WNHVLGEENDPPNCLKARQYRQTMRALEREEKAEHPHWYFTLQHNLETLRNISSCFLP VTDPDWRLCHEKPLILSGWWYTAEVITGATTGEVIWQSSIVKQLKMLGYSFIAVGPYL NWVEVAEMMPDVYHLIWNSDVDTVTCITDPRCIAKEHYVPPEDAEDLSIDVPDEERGV IPIWALAIVDYWGARPREMSNNAYWWGLTEDGPWSYHPLGQEWIATPWPLPGGHFHLP YSVEDYCLKMPIKPHEDRKNAALILAKRSSYFHYPQVSPPQFWTNLSQVPDFDLLSTV EEEEGKPIPDGLVTMGKQSKEDYTALVGSVKALVGMGAPPISPSIYISLCQATPVVIP YFQQDYRMDGWWLYSSWSQHGPAIALGEPYVYKYYSQNYTDLEDAIRRAMSTSIERYI PENMKLPYTLSQLSKYLSRDLKLMFKDVIDNNGGKIPKLKKGTRERCYKLNRCKEPLK IGRIPNIPSKFSSKAKAERL
I206_01510	MSDNIGSSSSPYSPSISTQTNEKNENTRRSLANDDEYIPTLTQD ITSISPEINTKSLPTPGVDDVNIERTLSTVPIDDSDVPPITSKKLSRTQLFFVAATVT FTMCNSAAGGQTLNIGLPTIQKDLKMIDSDLQWIASAYALTNGCFLLLSGRLADVHGR KLVFITGVLWYALWTLIGGFMKNGAGLVVTRALAGCGAAMSTPSAVGIIAGNFTGKAR STAFACFSAGAPVGGAIGLIVGGVFVSYVKNTWRGALFLLAGMAFAIACISLWTIPSD FSHSDDKRVDWIGAALVTVGLILLQFTISAGETASNGWKTPYIIALLVVGVLLVVAFF LWERHLINNTSRPPLMRLQLWTRAKGRLAAVYFIGFIAWMGFVSLFYHATLFYQQIQE TGPLGAMLRFLPTSVSGVLCNVIVAFLISKVPTQWLVCVGLIATGMGNVCFALSWQDT NYWRLPFNGMWLSVMGADFLMATGLIFVAALSLPDEHSVAGALFQTLIQLGGSFGLAI TTVMSDVQFQKAINIGKTYKESLLLGYHAAFWLGAATSFLALFIALIALRGMGTIGKG INRSKKIEENPKDTSTELQITKQEKANEDKIAV
I206_01511	MSIRSSDYIDDIPISNLTKNEYTNFHVQTEINQDVAEDISAEIP PDGTVSKSSLPIPINENDVPSPDAPKLSKVRQIVIAITLMFLTFMSASGGQALNIGLP TIQKDLNMRDVDLQWISSGYQLTNGCLLLISGRLADIYGRKLLLLIGMCWFALWSLLG GFMQDGVGLVISRAMTGLGAAMAMPSATGIIAHTYNGRARQIAFACYGAGAAVGGALS LIIGGIFVSFVKHTWRSALWFLSGLGFVATITAYFVIPWDSSHTKDKSLDWPGAMLVT SGLVLIQYVISAGQSAPNGWKTSYIIIFIILGVLLLVAFFLWEKRVIDKGNKPPLMRL QLWTRSNGRLSAVYFIGFSAWLSFSSILYYGTLFFQEVQLTGPIGAMLRFLPTEIGGL ICNVLVGLLIHRIPAQWIVCCGLLSVGLGNMCFALSEKNTSYWALPFHGMWLSSAGAD FVFAPGLIFVSLLSLPDEHSVAGALFQTLLRLGGSMGLAITSVIFENVKNKSLKKNEN ENESYLKGLKAAFWLSASFAWLGLLVGLLALNGLGILGNIKQNDGKEEAQINLNKARI ENENLVLEERIDENNRNQV
I206_01512	MDREAVNGFFQTHSKIDVVVHCAAERRPDVAEADPEKAAKINAE VPAHLAALANQRKFVLIYISTDYVFNGRSPPYEVDDQPDPLQMYGRQKLDGEKAVLAE RQKGASVTVLRVPILYGDTEYNAESAVNILRDVVEDQSGKQYKMDAYQVRFPTNVQDV GRVLYDLSHLDKPLPAILHYATPSPALTKYDMTVIIAKHLNLPIDHIIKDTNKPGPDA TPRPENTQLSTKALKEVGVDVGEQRSFDEWWKDYIGESK
I206_01513	MSGFLRKASLSRKKGLNDEASPRGPSTRADRPPKSRSVSEASTI NENAENGKMKKKHSTRKRLSSLFSSSTSLSSMASDRDRESSPNTSSRPSSTLNVSTSN SGASTPTSSVSTGIPKQQLNGKGAPPSDVKEGMKNLRLGTDEIPLSTSPESGNSPTTS LKTTAGPPIQPVSAAGFNLTSSRNGVESTPTEKRNRRTSLYSQWDLEKVGLEPDSDSE DEEAFLTPSEGLSEVEEGDEEEEEARKNVAQPLPNTVSSSSSTAAAAISTSNGTQNGS ATQGQSLADAKTVEKGTIVSQEKDVAGTGPSTIKRSIPSVQETKVSRKGQIHATKAGA LAVDQENVLASDIDITREALSLFLTSHMREAEDYLEKKEDQGQHLYIQSAQGIIEALK GMMTFDSIDLTNALEICKATSVTASALRRPTDSLVSRFVKAGAGVARVKSMTPLERHA ELVYAETSLMKALLAIVSGGDWLGLIREALNMRAAHGIYHILQQYLEEADKNSYDDSI DTDFRSGVVLGAGTSSLMLSLLPAKVMKIASLLGYGGDKEQALLTLYSAGGWHRGVEK PDFDENNEGLRRPVCDLILLTFHLVISTLMPVTGIDVPMAKNILAYNLRRYPDGVFFL YFQARLYTAQCQPENANRSLQKALDSNLEYIQLQHMCLWDYACNFMMLSNWKGALDCF SILKEESNWSRATYTYAAAASLVQLAQEEGGDIATKLKEAEKYMQQIPKLTKKIAGKS LPIEKLVSRKARKFHSQHNKLFLPAMELAYVFGSLSSTPRRSLLGEWLPRINKALKGL DEVEPANYGNGHEYWDDYCLGHFLRGMCQFISRYQPKDAAPEALEKSPSDPADDDLDK GAEKDLQAVIRHGPDVEFDHYILFHCYYELGRLYARRGDYEQAKYHLEVVMAGKLPDH NPYMAKASGKYSLEGALLLKTHAALAGVKENEKK
I206_01514	MKVQDTLSNDHVAVNLSTTNGPDDHNDIDVDVLPPILGDNSVEL SRGNPTEKDTSIEASLTLTRQILITITVTFTTILTSSNSQILNIALPTIQDELKISEE NLQWIISSYNLSSGCLLLLSGRIADIYGRKIILLIGTVIFTIFTLAGGFMQNGKGLIV SRALAGCGVAMSTSSTTGIIADIFAGKARSRVFTCFSAGFALGGILGLIIGGIFVSYV KHTWRSALFFVTGIGILSIVSIIIVIPSDGSHTNEKNVDWPGAAIITIGLVLFLFAIS DAQSASNGWATSYIIALLIVGILFIATFFFWEYYVTTKTNHLPLMRLGLWTRAKGKLA ALYFTTFIASMGFIVTLYNATLFFQEIQNVGSVGTMLRFLPIEISGIIASILITLLIH RIPSHLLLIFALLACGFGNLCFALSKQNTNYWKLPFHGMWLIVFGADFFLPTGLILVS SYSLSDEYSVASGLFSTIQRLGSSIGLSLTFIIFNSQYKKHNKVIDNKEAYLKGLQAS F
I206_01515	MSVDIPAPHVPGSSAVAQPSIPSTSTTAPPTEVPGAPVLGGETL PKPVTPAEAIAAEPAKALDPIAEPSILDKAQALAKPYLDKVEPYVHKAQEATKPYTDK LEAKAQELMDKIEISHISGNAPTTTAAPTSDNTAERTLDNASITASDASEKAKGIFEQ GLSAVQSTFTQITHTIEEKTASPTHPGIITQVTNAAHKVGERIEKAINDVDNNTTPSA HPVQTTTNTVPHIPPVPL
I206_01516	MGEQQNEIDLDSVIDRLLEVRGNRPGKAVQLAEYEIKYLCTKAR EIFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSL ETICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCL PIAAIIDEKIFTMHGGLSPDLQSMEQIRRVMRPTDVPDTGLLCDLLWSDPDKDITGWS ENDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGE FDNAGAMMSVDDTLLCSFQILKPAEKKAPKYGGYGASGRRQ
I206_01517	MTTAHSGLHQSSSSNSNRNYNTDSSSSITISSASKKHLHNSPPL SNGISVSEWSTNPFSFSTDNKNDPLIQQSQQQQQQQQQQQQQQQQQQQQQQQQQQQQS PSSSSSKSKSPSIIRKSNITSPYDVRNDIRIKPTNHGYLSAEKSGLPSTSTWDSGTGS GTSNPNYILDNKSTPGLELDVEGRGGNNSKEGRINKSDYPK
I206_01518	MKSHPPPDPRYPYANLLQPIPLDIHSQHHASSSTGQATATLYQP ASTSSTTPVSAYFPNSNLLDVTTQDQVDISNAQSSSMKVNSSSDSYSNPTKADILRRH SISRSYWDDPSPDQESLVASAPNSAKNSPISGNQGNDAPKPSGRSGQKRRQKYTRTRT GCLCCRARRIKCDEGRPSCKRCIIAKKECQYPDPGEGEEKKPSGKSKHGSRGNSDASE SEKDDRPLTPSPCRSPGAPYSSPDNANGANSAPTGETAWEASFVNPTANSNGISTSNG NYDSGLAIGTGLASSGEQYLAGQIGKQEWATSEGGGAPMLTTPNFLLPWFPTAEERSL ILHYCANAASLMMAIPSGLNPMLAINLPLALDSPRGLNPSADALRVALLGTGAIHQAF LLARSGVANHQTAAMFQYASTLRDTGKEMVRRAVRDGNGAMTDAALGASTALGTIDIF FGGSGWMDNFNLAKEMVALRGGPAEMLKNSEPRKLTDGVTMSPARLMLEILAIYETFS CLTTGKEPDLISDRWESWWLEASRSTYEEHSVEKQFGMSRIMVLLFARVTRLLARVSR SNVLITESPPSSLAITDPTSTSFGSSNSLLDSLARGLTAEKDLDPLVIEARQLNRDVD AWIDSLQLSTLEHERVQVGNRAYAYAMKILLLTMVFRYPREDIRVQNAAQQVLQHCSW STAALGMSIDLTWPAIIAGSCVNGASRQWVLTLLEGFKSQCCFDIETANRIITEVWRR ADSGESRADWKNVCDDLGLQVL
I206_01519	MGNTSSSQSQSSSSPAPAPTSTSTATNPSSSSSAPTTSSQPIIR PRAGTNGAAGVSTFHSGLTPPTSPPSPPPPGTPLLLPFAGHLSPQNPHCLSHPQAHDY SKGTVTKLILQGKLAPFYRGLEDYEEEWSEEEIYKILTEMRENDYKENIENSYTEKLK EDREGNTSTVGSVAKKIGINKQKLLRKEEEKEERVKREKKAYRCAVECPICFLNYPPN INTSRCCQQPVCTECFVQIKRSEATLTHLESDPACCPFCMETDFGVIYERPITPMDSS SSVALATSPGSEADASTFSQALSLGSEAELSVGPGMNPKMKETVRRKSVSSKAQEVVT IDEIRPDWENKLNAVKAAAARKASRRIVMRQVGDRLIPIGFTSSRAPGTADFSMSVGQ QSASAEPGSRRSSRRSSNRERELEELMIEEAMRLSLMDHEDHQKKLADERRGSSVSSV SSPLNPANASATSVSSSFRDPATSPIIVQSSQAIPGPAPSSMRRSSNADGSNTPIKQS GTSKLLSKINHVRARASSSASSKGNGSGDHRSVTFANPSTTSLNTNSNTNNNTSPKPS PPSSRQNSDQTQPRINTALPIVVPTSSQFQAHKQTSSPSSKGTGLSPTSPAPVAAGPI TTLASPTAKRNSGNNNVIENGLPRLSLDMPALKPDSSISNNNNSFGNLENNNNEIKGK HVIRPNHLERMDSEMSEATVGPGTYAQLDSDEE
I206_01520	MEGSLSMSSTKTATTGSKRTFTPRPPRSIEERLPKLYRALTDQV DDGYYANAIRTCKKILSLDPASQAAYQTLLFLHLQTDDYNSALSLLDTPPKDAETLEF ERAYCLYRLHREKEALGLISGKDGRKVNHLEAQIRYRLGEYQKAQEIYDDLLADVGSS SSEHSDILTNLNATSSHHTFATSTYRSHLPSTSNDLESNVPSLPTGWSSGGLAKVVEK KTAAAKPSIEKKVAGEKKRKHKLPKGAVEGKNVNQDPERWLPLRQRASYIAAQHKKKG GKESMGTGFTQGSTAQASGNSGGGGGGNKGKKGKKK
I206_01521	MTIPLTPTVSSTSQSTVESGQVNVNKDLPTTPRKLPAAKSHTPS PGKGKKCPAIEVRIPTPTSPTEAAGKRSVRKETEGNTEEEQGTDREKGSLNPRSSSFE PKLHPKSFLSRLQNLSIGTDEDPSILPTKNDVSFFDTPRRSGFSVHDDSTPKLSYTPH TPSTGHSAGVPVTPVTPLHAPFSNNELLPYGKEGKQPQASIGHNETQSAEDVGRYLMA S
I206_01522	MRLFGPLKRLDPVGHEGRLFLAEFYDTRDATKAIEALDGQMADK ALISVSHIQPNTTVPQTTASNSRYTLGSAAYVPGKLGQRSSAAFSQVSSPTSSDVFGY DTSTDSASTPLQTPRMSAFGRVRSDGDVFSPRKPSSIYSSASQSRHASGYSTPLSWDR ASGYETPNHMLALGRRLHEPGTVQGLINNADIEARARQGQGLGGHFNANDRKAIPAQN RVFPERILSGLDPRTTVMVKDVPNKLSREELVKILEEVVPGDYDFVYLRFDFKNCCNV GYAFVNFCSVAALYQFIQAKVGKKWNMFSSEKVLQVSQIHIKGKAALINKFKNSAVMG VIESWRPQIFYSSGRMKGQPEPFPESDNLAVRQRSAAAQLAGFSNPSSYSYGYDDQHY YDYSSPHGSAYGT
I206_01523	MGRRAKNKQADVRPLPGSVPDKSATSRRQQAKKKKAPSTSNDNK KFKAQSGSLAKKPLPAHRRKKVDEVVEDDSDLDEALIPGEFSEDEDEEETVKIKGVKK GKKPASSDDEEPEERTGPVKQLQFSDSDEEDEDLNDDGEALPLGQHAFDLDEAPSDED EELGDEFDIGSDDEMLDDEFDEDDDEEEAEADSAFASEDDEDAEMADEVSEKDDEDEE QDGIQTNLEDDLEETYTLPAVDRGGEEEEIEHGTSLRDVEQRMRWLVGVCLSKDDKMS KGVPGKSRSDHLLQLQHDIATYFGYNTFLVGKLMKLFPADEALAFFESNESPRPVTIR ANTLRTRRRDLAQALINRGVTLEPIGKWSKVGLQVFESPVPIGATPEYLAGHYMLQAA SSFLPVIALAPQPNERVLDMASAPGGKTTYISALLQNTGTIFANDSNKLRTKSLTANI HRMGCKNVIVCNYDAREFPKVIGGFDRVLLDAPCSGTGVISKDASVKVNKTERDFQLL AHLQKQLILCAIDSINPNSSTGGYLVYSTCSVTVDENESVVDYALRKRPNVKLVETGL EFGVEGFKSFEGKNFNPSVSLTRRFYPHKHNMDGFFVAKFKVEKRKKANKQSIIEDEE EEVKPIKKINDEGMVVDQVEEGISTFDNAEDDALIEESKRKALKKKGIKVSTKPTKPL PTQTSENRSSNGQIKIPKSKKVTEKRRPRA
I206_01524	METPTKEGSPAAQSLPQMQGETPAPSIMEGAETPVAGPSSTPAA AAAPKRTYTKKSKPKVEGLMAYTSAFVPGTYNIKIPAGDYLQKERNVDVARQMSLARA KQEKAEIDAAKEKQLAEGQDPEEVQPLQHTLIIHPGSRNLRIGRASDFYPKEIPNCIA RPINAPHRGYDPPIPGSRAKRIAERALEKREAKRRKASNGGHKANGNGAGDLPDFSDD DDDDDGEGDFVDPVDTNIGHLREYLRNRLVQERLATDWKEGSRVKATNAKVRPENLPE HNDPYRIDWTESDGRPFYVGTEALRLPEKAGYKVRYPILQRSFNKRDWTSSQLLLDDI SIIIQESLKTELGITSKDYHKYSVLFIIPDHGDRNYVETMTHLFMTSMAFKEIAVHQE AYCAIFSAGMSSACVVDIGAQSSSVTLVDEGLLNQDTRIKLNYGGDDITTALVTLLQR SNFPYRELDLARSQEWIMMDNLKNKICTLEEHLVANTPWDFYLLKTEGLTQKYVFRTY DENILAPLVFFDTRLIDFKEKKGHGSFRFWGISDEKVTDDFTSSYEEATGAMRACTTH LLPAPIVEAEVPITNGQTATSTNGDEIRMNNGEATDSKPGIKEVTPAPSAQGGSQTTP VPDGATPVPTNQNETPVPTKPAIVDLSNSQILSEACKSPLDAAVAASISMAGTENKAK TAANSILLIGGGSSLKGLGGFIADRLPPLLRQKGLPISDVSIVPPPRGLNPRYVSWKG ASVMCNLESLNDMWIRKDEWDAIGIRALKDRYLFY
I206_01525	MLGFYIIPFISGFLLTIIFQNTCPNGLIKCYESYKPSLKEISNQ LFQIHLPNYSIPLISSTIEYQLNPDYTKSTRIPIPSITSFQMEMKNSAYLLNPIISPT PYYNIQPITTSIPLTIPLITISSHRKLDQIYYDLKQEFEYIQQQPYAYLYKSFNYLSN LLKIEENLLSISKEISINPHSIFNVLLALVVYNLIWEHDVRSRVELKKAIKHSTQNDL NEDLLIGKDKSQISRDLKPEENIYLEFGTTNELPNELSMPNEQIKQDSRVSLRSEPPF EIYELDYPESSSGEINSNAKSDVDVVLSELHKHTMVLDYPILFEGNTVKTDFGIQDYK QSGNTSSSSSTSSPQNHLPDDLENPTPSDSNSTKSSSNASREINTMMEPMKSHSDIIL PIDAYQNIEVEPLPSNSITSIYSSNVKSVDKANLLKTESESSKMTKFHEQSVPLFKSI SNSSNSSNSLSANSTSSDPKFKSNITRHPFSKTGNKKKNKEKNEINIDSNISLTTLNG NSDDWVARIASLKNEAK
I206_01526	MPKSGKKSNSHLDIDEKPYDRAQESNEKLRQSKLELPIIKSQSV THLKKGKKQEYDKALILTLVLKTVKDIKWEELSNEIGKTPTQCKDVWRKVIQPSIISN KTWSNEGKDWTKVMKIKLLLIVLDACTPNWDEMTISFPGKTKSQLYDVWRKVILPRLK RGDPIE
I206_01527	MVKTNKTKSKVKVLKTYGKPRSSSSTTSNNKQKPKTKAKVKSVI TPSDDVDKKTIDNGIDHNEEENQNENQKLEMDNLIRKILCLVFENTKNVDWFDLTKKL NYPTLKNNGKAKKQIRGKKEDIVMMNGVELRDYFNDIILPRLKSNEFILPHKSVRSSS NDHLESPALSAQGSKLSKTEASEDTFQLDGEEESQLVLPVTKEDEKPKTKINRGRAGK KEVVIKIGDASDVTWPHLQITNLSD
I206_01528	MTLISIFVTSPDTHSERRFDSSLTISQLKDKLVPITGISPQYQN LTLYASSDAVLSGKAIISLSEESRTLEEYSVKEWQCIKIDNTDPNYRPGEFTDESNLE RFELTNEEYESRNDTVLSHLKANKLGRFAPIPTNLTCQPPIPSTYDSNIIPGSRCRVS HGDSGEGRIGTVRFVGEASIGKGGIWVGVELDEPQGKGDGEVEGNRYFQCSPRHATFV RPEKLTIGDYPEEDLLASDEDEI
I206_01529	MSVSSTTSTPLGDILKISILGNESIHCGFHLLPYIFDTITSNLP SSAYVLITDTNLSSLYMNDLKDAFDEASKKNGSKARFLVYEVAPGETAKSRKVKEEIE DWMLDQKLTRDTVVLAFGGGVIGDLTGFVAATFMRGVKFVQIPTTLLAMVDSAVGGKT AIDTPHGKNLIGAFWQPSYIFVDLSFLTTLPPREVSNGMAEVVKTAAIWKDDDFALLE SRSAEISLAASTKPDSSSTTGRFSSDRSHAQSLLLQVVSGSIYVKAHIVTIDERETGL RNLVNFGHTIGHAIEAILTPAMLHGECVSVGIILEAEVARQLGILSQVAVGRLTRCLQ AYGLPISLSDRRITSLPASGQLSVDRLLDIMRIDKKNSGPAKKIVLLSRIGKTYEEKA SVVADEVIRRVLCEAATVVSGTPTKSPITMATPGSKSISNRALVLAALATGTCRIRNL LHSDDTAVMMNALVELKGAVFSWEDGGDTIVVEGGGGTLSAPAKGKELYLGNAGTASR FLTTVCAMVSGAASTEKSTIITGNARMKQRPIGPLVDALSANGAKVRYVESQGCLPLE IDTDGFRGGHIKLAASVSSQYVSSILLCAPYAAEEVVLELTGGQVISQPYIDMTTAMM AQFGIEVKRQTGTDGQLLDVYVIPKGTYVNPADYSVESDASSATYPLAIAAITGTTCT ISNIGSSSLQGDARFAKEVLEPMGCTVEQTLTSTKVTGPPVGQLRALGNVDMEPMTDA FLTASVLAAVANLPALPERQVQGLPPTASRIFGIANQRVKECNRIKAMRDQLAKFSVE TDEFDDGIIVIGKPSTSLIRGASVHCYDDHRVAMAFSVLACIIEKTIIEEKRCVEKTW PNFWDDLQNKIGIPVEGVELDTHKQASTSGKAVIPNDQSQADHPVFIIGMRGAGKTYI GRLAADVLGGEFTDADDTFFEETKQSVSDFVAANSWDEFRRVETEILGRFVQERKGNH VIALGGGVVETEVARQLLQSHVSKGGVVVHVTRALEDIDNFLSSIGNTAARPNWGETF ADVFKRREPWYAACSSHEFYNVLEPVGTQSQEEHEQAMRRECGRFFRFIKGINTNRPR LGNDNPTNFLSLTFPDITPALGQIDELTEGADAIELRVDLLSPTGTAPTTPGLPPQSF IAKQLASLRLATSLPVVYSVRSKDQGGMAPSDQSDLYRTSVELGIRLACEYVDLEVCW PAQVLAKVSKSKGNSVIIASWHDWTGSMPWDKQSIRSKYAQCSKYGDIIKLVGTAKSF SDNSKLQLFVEEMNAQSGAKPLLAINMGSAGQLSRALNPILTPITHPLLPSRAAPGQL SAKEVLSIRSSIGLIPSKKFYLFGSPIQHSVSPTLHNTAFTSLGYPHKYGLHESEKVD QSVLDIIKSPEFGGASVTIPLKLDIIPHLDSVSEDVKIIGAVNTIIPTNGKLHGENTD WQAIYQASISGLPSNFKQGTALVIGAGGTCRAAIYALSKISNINTIYLFNRTIENAIK VKESFPKNYNIKIIDSFNEEEIQPNIIISTVPGNSLVINEKEKENGIYLPKSIISNKI GGIVIDLAYKPYKTALLNLIENENENENENENSKNWKSVPGVEILILQGLIQFKLWTK KNSPELKVRKSVMEKYFK
I206_01530	MSSSTQTNGHADTTIPASTPATGSAQQSKIQPNDVGWQFVPQYY NFVNKQPHRLHCFYNKRSTFVHGEEGEESTVALGQQEIHDQITAIGYNECKVYIHSID SQSSANGGIIILVIGEMSNNHQPWRKFTQTFFLAEQPNGYFVLNDIFRYLKEDVDEDE IPEEEVVSVAPQAQAEQAPAPVEEQIETKPAEQVTQEPAQAPEPEPEPVSAPAEVNQD TVPEEATIASVPDKDVAPSEPAPAVEEPVTSIPPPAEESPAPTPAPAPPAAKPASPTP APQAAAPTPAPPAQPAAPPKPKTWASLAASGGKAWSSAVVSSQPAASAPAPAAAAPKK EEAPAAPSAPAAQSAGKLSPFDQASRVNTPHCFVKLPNWSAESQNAGESISETDVKTA ASRFGEVKKVEIVKAKACAFVEFAKVESARKAIIASLPAAQGGEDGIKIGSGKLNFET RKEKDERRGGPNKPQGQGQGQGQQGGNRQPRQGQVNGGGPGGRGGRGGRGGARGGQAN QGDRQPKQ
I206_01531	MSVDLQQSLSELQQAFDAPEGSRDDVSKRLAKLKLELAQSGLYF APPTANSQDLVAARSILEIGTFHSLRQGNLKSYAQYNFALQPFYDNLSSIIPDSPNRP ITLGLHLLALLSEGLLSQFHTVLETLKIEQLSDVFVKLPVDLERWLMEGAYNKVYRAK DRVPREEFGFLLERLMGTVRVQIATTIETSYKSLPLKSALSLLFFNSNEISKLNEFTS AREWELSPSSQIFTFPNSTKPDIALAAQQQQNGINANGEQSETSNPTLDLLKGKGVSR GTPMQTMVGPALRLAQQLEAIV
I206_01532	MDGKVDFAGHLGINPNDKTISKFEDLPDEIIKSIIRYSNKKYPW LFVCKKTIIPAGQFIYLDEFTKKSPKPEYVYRPQGAVVRYQRPFDGFIRLLDGLIDIE QSKSINIVKNLFQHISFKDDKIKDDKSNENITTHHVKVEFLKIVKTLDLTSEDITPIS RKTKNSDLHKVVKSFENFQQNSIIVFPGLKNLTIDISGTKIQDPVFMLQLVNSITNVS QPDYFCWTFDYPLWYQFKSKTPQPGLPHDLNELQLTFAKGYLPKVVIHHIKAKPDTAI LPVYGTLNRFDFCYKAKVFGVMKFEECVKFFAKILGPHLSKTNDEELNKLKDQTRYQI QGFCGIWLHIPDISTNKKAEMLTGKLVELHPELKGRLEFIEVGIEHHESVPCQGCGRT MSAYMTFIMNNWTWKKLDLGADEDPDNPLMMAFMPGSGTK
I206_01533	MSSTPVIGFIGYSGLVGKSVLNNLIEHHNSGKVKLIILHREGSD VSLIPENVEKRLIQLDSNGFEKNKKAVEGLEVLLSTVGFQGLESQKYLIDALEGSKTL KTFIPSDFGTNWSEEELKANGLKALKVKEDIVNYLKEKKLSFTNIRTGAFDGFVFAYQ AGGTDLKGNKQQIFRNSLNNKFRITSIPYLGFSLSQLLLKPDELINKTIQLFDYSPTG QDFINILTKINNGKKTEIINYSEEQYKLDLENDIGLAISAALKAKWGDNNFGDSNVPK IQGWNSKSLEELARQYV
I206_01534	MARRRNQVRIPPKPKSPPSRLKTRLDLLTYAFSRLPPRQLPFLA TYAYIIIYAADKGWINKEALGKGGASVVGVLSMVTGLLVSYRFSSAITKWDEGRQVWA AVRITIRDGMRMLSISAAPEISGNTSDRSSPIGLGETDQALEDDTRLPNGGSSVRKSK GKEKIQEQQEVVARRVDELAGLLVGFAFALQHHLHGTRPLPQAPLCDLLPSAYLSSLK RTEARVRFAESHAGPSGSSPPSIPPTPSNITSTMTLASDDGDWELSNLKDKAEEAVNK LTEAIALSGLSNEGLELELKQQLNQLNTSGKEENSNLLFDGQLNKPKFKSNLHSPNPP NLPLAILKLIESYIVGLSLVNEKEGGWNDSKRERGFGLVKALSENLGEAERLSSNPPP LPLTLHLSHLLIIYLAALPCSLLCVVNGWALIVITLIAGWCFLGLEALIGEVGGVFGS SENHLPLPMFTQQILNESFDISANFLDYYKSRMTVRLGENKNEEDQAELENLDRKKRR NEGEWYPSFS
I206_01535	MTSSTIPDHVKDVQPEERKLEQDASPTASSNPLRTAKSLVLLQL LSRLLTFSLNQALLRLASPSVFGTAAIQFDLICSSILFLSREGIRNALLRTKSTDQIQ SKSDSSEKEDVAEKQIQALSIIPIRLGLFISLILGSIYIYSSDRNTTTQAYFHTSLGL YILASLMELLVEPLYIQIHRSNPPLLNIRVQAEGGMAITRSVITVASLVLLGENRALL GFALGQVGGATWLVVRYLREFDWNVRTLLWSKSVTVDGENRYNPQTLSLAIANTGQSL IKHLLTEADRIAVARICPLDGQGGYAVAMNYGSLIARIIFQPLEESLLLHYSTSISSS RMSGKSSVLRLYSLTIRSSLYLTCIILTFVPTLYSAISPILLPRQYQNTTAPAILYLY LIMYIPLMSLNGLTESFVTSSATPQQIRKQVRWMIASSGIFALTLLSLTKDTKLVSYN NRPNWSTWINPTREEALIFSSCSAMLVRIVFSLSHAKDFFGSEMRIINLIPNMRVTIW GSLVTFVLNTLAQTGRWKNGWKGWVELIGSGGMLGLLTLGFM
I206_01536	MDRQSSVFSKLRSRNTYDSINNDDEWYIPYNSSSRPTIPTRQSG IGLSPVSPTKPNHSMNAMFSNVFASSNNATAGPSTMKAFPSRPSSTPMNTYSSTYNNQ SGRRLDKSPSYNSLSDAKISDRIPSSSSVPTGLQAQNILFSPLNRELRASASQKQSKA YPLSPFNSNPVQRSQPLGSQTQRQRTVSVPKSKTSRKYSAANPYEYKAENKRWAVPTM CDMFLLPRPTLLPHDITPPTTPEDEINNKRLSINSVESTSTANHKAVLEKGRHREEER EEWANLIKRRGRSLSLGSTAPPPGAPIIGSARARSRERSADGNRRSRSNSIIRALTPS LSLRKRSASFGSRLTAGTAGNSRKSSMTRKESNRKTNVNRRNSGRDINKDSSFLNIDH DAFRANQNFVNSKDYGIPQSHRMPTARSPSDDYVYISQNARKRSTSMPYSTNSVQTRS DPLLSNFNDDVESRYPTMDIPAGRSLQFKHPSIADRGDRGGVVIITKSPQKRNTLRSS NTYRPPAPLNLSKPLPDIPKEDDSPVLPESGPFISLTDEIGIAISPNIGEFEKEKISG EDDHLDKDATPKSAPQSMDPKSPSTPSKSRLSDGGSATARAFLAKQQQRARTKRAFQS PTQGPRSNNPQRLSAGSGTLTTASTPTTASTSISSVLSPASSTTSIRSDGPRRKTALE EAIGRSRASSVGILEAQQSRFSPTRIALLQDRPNTGDLSKRPNRTYGPTSGNNTSVHP PIKATLNLPEHINTEDQNRFSSPDLGHSLSSPPLDYPISTVPSPRTKSSFMDVAPSSS SKVNQTTISPQPTSLGSNLRPTMVHGESTASKMTVYTDASEGWNRLGSSPHSTPLSDR KDRLSASNSEDSPGQTPDDRDFQGLFFRTPADRNGSFSATPVPEQYAPYQITMDLPKI VPEGTVPVGLGYDMDPTSRQSHIIVGDERRPSDGSESTAELTTPNMAESTQMPSQYMT FLDPLVRGDSLSARNGRIQQQLMAPWVNRPITPEAPVGEEEDDDDDGRSINTHDTHGT AVPILSEGHEFPFPRSQETIRSLAQIEREGESECATESTPDGKRRLSINSTSQSHAPL SPGTFGIKQQQQQDDSSTTTTTNLKHNPPSTFQHYTLNPQSAHSANYRLSPNSPARKG RSSFSTAHSPVNDHSLSPIPGNDHRHSAAVSFFDDFPTPPSN
I206_01537	MASIDDLAEVKVTHRSAFGQLVTGPPGAGKSTYCNGLQQFLTAL GRPIHIINLDPAVSNPPYECSINITELIDLESVMKEFNLGPNGAMLYCIEYLEINFDW LLTRLDEILEHDNGNGYVVFDTPGQVELWTNHDSLKNVIERLGKLDYRLAAVHLSDAH YITDASKFISVVLLALRAMLQMEMPHVNVLSKIDLLSTYGELPFDLSYYTEVQDLSYL LAKLDSEPRAAKFGKLNKAMVELVDDFGLVGFETLAVEDKSSMMTLVRLVDKMTGYIF IPHNTIEGDGDDTNQINTQALFGSIMSSTTKNDVRDVQERWLDNKDAYDEYEREQWAH EWEERKKQSAQPEQ
I206_01538	MLALLVFRFVLWASVFYAVKNLIQLTPLLSKTKLTQISFSTREL SGIKIPALLIYHIIRSILRRIGIGSKLNIIEESDGSFKLKNGDITLYMPFKITNQDIQ QYVKCISSNTKTNNNLTEEMIFKNSNHLQLLLSSLTEPTLLLLLSKINCQIDPIGSVN VRNKFELFNFYKIQQLLLSSSLSQNFNEINNIEFQTIAKLNENVKKVKRGWEFNIIIK LIENNLNLNLKSKLIFKQSFTFLTFYKHFIPLPSIPLIKSDRIKEDFKDIGNFKINID EPIKWSKLSKDYNPIHTFNLAAKLLGFKSKIAHGNHIVVKAIHEVSKNLTSESVSDKN EKRWMEIEFKRPVPVPSTLELKMYKQNADEERTNLEIWVKGKVATTVTCNI
I206_01539	MAALIAEDFTKLIGLSRHTFEPDIAVNVSGTLTFVEGQVRGVPK TSLEIWRPYSRCKQSWDEVEETNTDSANPIKTRPHYLSCATSDLYKKNQSQWSPQTRA HFKDFLLDVTWQRLQAFRPPKSTKGCDCVTSYHLVSVCNSKMDDRAQNCQPFKFFDAF VMKPSDRDITATIASRGNTVTVTVEWANPTYQQGQVLQEYANTFSTWTTVKESTGGPS TVHGGPFPAQLSHAQSNTNPNLTDNNWWSKFEREYNSRHQSLPAQKQLQDSANPGEND DLSWLNDLPAPEEPALLTNHDSVPPQQLAQQYPPGGSEDYPNGY
I206_01540	MRCAVRPDLAAEWWCESHGIRLSSLVAAILISSGHIKSSSLTPA RPAEYGTTRRAYDPMTGTIDAALDITQDLGEGLVNLVTKPQKGLTTISAFPFVGASKI LDGFSTGLHNLPRLYGYDVRVKGQVKDVRSGFAEGGKAFAYGLYDGITGIVTTPVKAA QDAKAKGTSSQSTAIAKGVAQGIMNAWSLPLAGANSLISDSVKGMVASCSDDGSNITQ IEATKLYHGVKALESASHAERNYIISQFEHVSEEKRMKRGTMGKRIWHSLNRTRKVA
I206_01541	MSNNLVPAPPYSLIDETLPEAGSSRPRSSIVLDDVNDSKEDDEE ADFAYGDLLLKAHFEKWTRKLKLDLNEQEIRGLVGEHLEDETESQVTGEGERDSMAST PTDSPIKPPRLNILILIVGSRGDVQPYIALGMKLAEDGHRVRLGTHKEFRDFVREASG RKVEFFDIGGDPRELMAYMVKNPGLIPGWKSLKSGDVGKNTKMLKEILSGTFRACVFP DLDTQIPFSANAIIANPPCFGHIHVAEALGSDSCFTGLAMPWSPTTAFPHPLVDLHIN SKGKSNVSDIEKPDSHTSNYVSYALADHLTWLGLQRVINNFRKKTLGLLPLSAHSGTG VVHRLKIPYTYCWSPALIPKPKDWKSNIDVSGFYFLDLSTNYTPPVDLARFLESGPPP IYIGFGSVPVKDPKKMTEIILGAVNKAGARALVSAGWAGLGATKSSDNVFLLGNVPHD WLFKHVSAVCHHGGAGTTAIGLANGRPTIVVPFFGDQVFWGNMVHRAGAGPEPIPADK LDVYNLCAAIKEALSEESLDAAHRLGEWIQSEDGV
I206_01542	MAELIALGGDQDLEDLSWMDDTFQEHIADIRDLFAANNYNQPEA VKLHCDGQPSADRINLFQMLSKSKGQENHQVSRESEAKATISEGEPNQEEDRSHRLGN SLLALQRLSEAIPGVPSSTISNFKQKSQTQLRESETEIKNVIPDTSATGPHDASHCTP SIAVKVLQSTSRENQNSSPTKHCTNPVSLQVEMSIILEFAKLQSNHEFRTLAFNFVAQ QMAAARRGLTYQRYLTLKIAEKRAQQNVFLRRSQNEKRSDKADL
I206_01543	MEIDEESVIPVSASLVPPPESVGQLPSSLLTTQRVSNTDESLID IEDDLASNLVSLAVDWRPIAPNISFISNDHTQAPQSIKSEISLEHFHPYIDFFQSTSS MQVSSTCASPAPTSSYYLDDKFVDPSNYILPITQKPEDRSKYLDPRIPTDIFWNFVGE RQVAWAKERREKKKRLTDIYSSYDGRSSCLQSNSNESENEDCVDPVFKVPATIVRDEK AFIYPSPKEKSLYADRISYEGIELIDRKSDKTSSPTSKGRRTTRKHTKGVVDRKIINK LIRSTKAYNKEKQRIDNARKHQSKKINDLALFCAKISNDKKLKDVVSLSHRLKRLKVT PERTRYADY
I206_01544	MPTRFSNTRKHRGHVSAGHGRVGKHRKHPGGRGLAGGQHHHRTN FDKYHPGYFGKVGMRHYHLLKNHYHKPTINLDKLISLPEAKVDAPSGTVPVIDLVHLG KFKLLGKGRVNQPFIVKTRFVSKLAEEKIKEAGGVVKLVA
I206_01545	MSAKLKVGSWLEKNDVADAGEAYESGSETSEIAKPNGINHVSDD DDEDELDQDATSDVMGKRDVEVDWESIAPKLRPSLMDKSKKRRRAFIARYLYVSEQSP PPAQVPAIMTSILSCIPLLVDTDHIDDLVTILEYLVGRDEKFPESLKLGDKLVKWTSI EVEKVANPSKVIPPRLLFSYLFLTLSLLSTLAASRGPAESFVTSSQGQDLFLNLALVL DAMRSGEEKMNYALRVARMRKKSGIRTWRVLRELRPALPTILNSLVTRPAPAPARLAV LISHVIGVLLRLRAPGKGKEVEIKDGRAIVEKAKDGIIAFYTTNILGSKTAVPRHTSI ALSEFLGEFVSYEVLVEKLIPQAEKMLLRSPEHALDLTAELLTLCPHDISSILPARLV PSTLSSSKSSNAETRAKSITLMRAIIKRCEDEKVQAKLATEILALPKTGKTASPEHRA ILFTMAANLNTSDAVSPIIVDTLPGLVAKEGNEPAFHALSAALAPHLAYILTSNRAIA APASSALVKELNSTKIPTRRGLSIAVGEAIWRVKDLGQQFSVEGEKLIGQLAPALDTN LKAASTCLPANAGGFLEGYVALALALGPLNGIPSAAKLTSSSAMDGILATSPKPSFVL NDKVHIKIPAEEDERWLLRSLESIVESASIKINTAVSRVAIGLTFIHLAFDSKSKVIR RDALSVLSSLVKKSPKQVSRIMREALKAWLRLHDERKAVSKMKVSAEDDETVTSKSRD IGRLLSAIFVAAPETEKSIMQDLAVDYIVLAHHPEIGPEAQTSWIGLTQGLGLDPAAV ARDEQERILKNLWDAAGTPPEDPRLAEAVYHAVTTLAFISPSVYVTAVLEKLRSDLDA SNLDFIGLEERGIWATPSDQLYVDILNKKKDSGPENKNSKNYATEKWEQEVRESLAKK KSTQIGAKLSKADQAIVNAQLAKEAEVRSQISKVQGQLKRGVELVAALTNSLSEETRK HVDELAKLLLASVFASGNFLVDGRAFEVFIGLGTLAAERLGETRRMLAASILRSSEAP LIGEDYLEEPVGELVTRILHQLHFLAEQTPLDSTTYSLTSLLLTQVVSKGGVTEESSQ SDEAQEQLTLIVPNSKLWLTSYKVTNDAYPRLQTIRDLLHVIGTYSKLSKDAVSALAD LGAAIKDVATGAEISEMIAGTLSKDSNVRNAALQALQPVDLTELDYSEELWIAIHDDD EQNANLALHIWEDNGHDLPESYLSSLLNYLSHGSAAVRLGCARALAEGAEQYPTQVEP TVQGLQALYVEKAKLLVPEYDRYGMVIAETVNRQDPWEARVAIATALEKLAPLLSTSL ISPIFEFLINKEPLGDRHSEVRRAMLNAAIAIIDIHGGEAVTGLMQRFEDYLGSATPS SDTDDYIKEAVVILFGRLARHLDPADSRIPQVVDRLVDALNTPSELVQSAVADCLPPL VAGMGEEVEYLVDRLFSTLTTGAKYAARRGAAYGIAGVIKGRGLQSLKEYELMEKFKE AGEDKSAYQGRQGALFAYETLTSTLGKIFEPYIIELVPQLLTLFGDGNSDVREAVQDA SKVIMSKISGHCVKLMLPTLLDALEEKQWRTKKGAIELLGAMAFCAPRQLSLSLPTII PHLTGVINDSHAQVKSAANTSLKRFGEVLNNPEVKSIQSTLMKALADPTGKTNAALAS LLKTTFEHYLDAPSLALVMPIIDRGLRQRSSETKRKAAQIVGNMASLTESRDLVPYLN ELMPLVHEVLVDPVPEARATAAKSLGTLVERLGETNFPNLVNELLQTLRSDTSGVDRQ GAAQGLSEVLSGLGMEKLEGLLPDIITNTASPRPYVREGFISLLVYLPATFGHRFAPH LGRVIPPILNGLADDSEYVREASMRAGKMIIANYSSKAIDLLLPELEKGMIDASWRIR QSSISLTGELLYRVTGISGKVELEEDEAPAQSADAARKALLEALGQERRDRVLATLYI VRQDAVSIVRQASIHIWKALVQNTPRTTREILPVLMQLLMTLLGSPEVEQQETASRTL GELCRKNGERIFGEIIPILQKAISAPDARSKEGACLAFADVMAATNKEVISDHEDAII SSVRAALVDTEPSVRAAAARTFDAMQHYMGAKAIDQTIPTLLEAMRNPGETSETALKA LQEVMSVRANSVFPVLIPTLIAQPITAFNARALGQLVKVAGSALNRRLDTVLGALVKS LEKEKDEEILGELHSAVESLLASVEDADGIHLLEMLLIGWAKDPNPVRRATACDIFGT LCQVNTEADTTEYRVDWIRILITLFDDSTEEVVESAWKALDHFVKTIDKDELEDLVVP LRRSIESSGAPGRTVSGFSRPKGVQSIVPILLAGVLSGTQEQREQAALGIGELVQRTT EAAIKPYIIQLTGPLIRVISGQAIAPQIKSAILLTLTVLLEEVPQLVKPFHPQLTRTF VKSASDPAAVSVRNRAAAGLGALMKHQPRVDPLITELIGGIRSGDNEIAPSMANALAA VCKSAGKNIGAGAKAQIIELVEEAFTAGRNENYNTAISQIVSGLAINDSKSISNIVED FLASPEYKPTSIISLMILSILEESPETFYELNVIEDITRKVMLSISTNELGPSIQRPA RESREILRKGKFGDDEKVQALLR
I206_01546	MSSSKAIACHVRVRPSCATDGKINTEGIKIDGNSISAFNKEGDK KYHFDLDQCHDAVSTQEEVFKSVKPVIEQAFKGQSTTIFTYGVTGSGKTHTMQGTVTD PGIIPRTIEAVFQKQSESPSTSFSVSFSYVEILKDEVYDLLGDRVEPRKREIRMSPEG HNIVADLTVQPISSTTEFNILYDAAAKTRKTAATRLNSSSSRSHAILTIYLHHSDQDN QLSFLPSKICLTDLAGSENNNLTGNDKERMRESSAINTSLTTLGKVVDALNENAKKGV KDGTGPFIPYRESKLTRLLQDALGGRSLGLLICCLAPGEKFARDTINTLQFAKKSKSV ENRLNAFEQNTRRISAPLPRASQSNKAAIKVHTGAGPRHSAPTGRIALSSVSTNIQRG KVVKSSSLGDIENGRAISAEKTKEEMAKGLTDEQLSHRIVSEQMAMQKELIHSKEAGT IDKVELDKENEFSLITDEEKDKRARVIVSHARKAHHSGDLQQALKLYRKAYEYVPFNR KLSMRITEIELSIEGIIPCEKIDEQEIPKSGMKRSHGHLDSLADLSYEESPAKKGKC
I206_01547	MAAVASSSMHVSTLAGSSLHQAVDQISSSASSGFNVLEHRDRFQ ERALRDVTLSSNDSAMMMDPNNPILVREEMVSQKDYFRRLKFTYLEQEAKRHFLSSIM GDEPQRVEPGENEERELTNAEKKRVLKEAKSEIDEMRAFTVRLAEDNAKKHTEMSQGL AEAQALQKQIRDMELELARIKATHPPENRMTTAQANDTLDAQIVEMERVTDALSSTQT QIDSTREEVAKVAKEVQRLSREREREEARAKEVREGREAGDTKVDEICRWCYRYSSSM AFYRSLLGIRSVKAVSDNELHMEYEVNDGQVTLALHFDEVTKRLTDASLIGSDIDISE SVGIAAGANDVAGLVADVLVRLRPV
I206_01548	MYFDLFVPFPLPQESDEGPSKKSKKGKGKAVPQPSTTSIVIKKD CWSGIESKDKDAFVNKVSLVGHLGYSVVGLTIIPSEPSNQVISSPFSNGLPFPDLDPR FSQSNSSSSSSSKTPLVQVTRYHMRLDDNRVHPLTSQNTNTLKAYDILSVAPTSEKAF QLACTDLSNPGPNQISIITLPLHERPFTFRFNWKQMRQAQRNGVVFELLYSAALFPPS NLSSETQRRYRQNFLSNAREVIRITGGKGVIFSSGPSGDVNGLRGALDIVNLGTMIGM PSNLAKESISTTTKNVLLRAQARKTFKAIMSMPKLVPAEADNDGESIDDIEVEKDVNT KQVDITDKKRLMVNTPTNNVKKVKI
I206_01549	MSLRSRSKVIQTLSIPRRTTTSPLLPLIPLCARFGTSCRTYRRQ DSQAALATSSSSSTASYANYIPPPPLSASSKGNDEALKAHFDLPYPTIDKSTSSGLFQ FPPLTHPHALRPLTERTLVQASAIVERISNAPSDPSGKELRQVVKNLDRLSDVLCGVI DMCELVRNVHPDKKWIEESERTYEVLCSFMNELNTSRGLYESLNQAVSYQHAQPLSSA ELKVAQTFLSDFERSGIHLPPAVRQKFVSLSDSLLSLGRTFLSFASSGPSNRPLIEIP EPERLLAGMGAQFIDSLPRERRGGAVYITPGSWEAQMISRYAREGEARRLVYVGGMRK DQERIDVLEEMLKQRAELANILGKETWADVTLMDKMAKNPTNVIEFLTSLAKHHKPTA SLDVSTLQRLKATNLTGNYRGMDQKSSTAHLPPLYAWDRDYYAEKYLSTLAPTSSLPN ITPYFSTGTSLMGLSKIFQKLYGISFKPSQVSQGEVWHSSVKRLDVVDENEGTIGIIY CDLFSRNGKSPSAAHYTVRCSRRVDDDDQIGDGLQNGWDYKYGQGLEVEGENLKGKEG KYQLPIVVLTCDFGNVDMGRPALLGWNDLETLFHEMGHAIHSMIGRTEFHNVSGTRCA TDFVELPSILMEHFVSSPEVLSSFATHYATGEILPKSLIEAHLNLNQSLAGLETHGQI LMALLDQKYHSLNYENLNSFDSTEMWFNLQKEIGVIPPVENTSWQIQFGHLYGYGATY YSYLFDRAIAGKVWNTLFNNNNNKDITKQNEVDLLNRNGGEILKEKLLKWGGGKDPWK MVGDLIPGKEGEIISKGDENSMKVVGGWMIK
I206_01550	MARPDPQNEIWDKHLHPHLRLLLPSSSPPIHLEARLYLPLPLPP SISKHVNILGEYSTVPKKLEDLDANLRDGLRDMGVERVVVASHPWGRLGGSMLDPVIT SHLITAIYHPYHSHTTDPSTIEAITPSPAELCGRTAVISYNVRGVGLSGGSQPWLGTG SDPEDLAEIEKIGVGLLGDSVKEVFRFGYSWGSLLTILAPFPTAHHIPLKKTLLVSPP ITVFKGITLLSSKKFPVALKDHHTHLNKPVWLVYGTKDEFTGSSTYLNFAEEQGKEVV KSIQVDDADHLWRRDEGQKLREVIRDWLDSSGDNEEMDNKLS
I206_01551	MLTSLLSLLLLSTSTFGLTDRKAHELSRSRARAHYAKKEVHSFE ASFNESSSIEKRGQQYANSRATFYDVGLGACGWYNNANDYIVAQNSAQYGGGYPGPNC GRSITISYGGKQVTATIADECPTCPYGGLDMSRGLFTQFASEGAGVFYMTWWYNDESQ DPTTTSEKPSPTSTYTPPTSTYVAPSTTPTPTSTWVEPSTTSTVQSTSSSTVQSSSAV STTVSSSIAASSSSALPDTVLQTNATIPVSVNATGTISAENTESTAPVAVLGNLVLFN QAVAYLGHIVVVGAEN
I206_01552	MPLTSSSTFSPHILLAPQVIYPLSSNEDESVSPLQPDEDPWKLT TSNCSSHIEVPLRRDWMNRSSNPAITSKILRDLSNQSEKSNDTKSTAHTDAWRACESD LINETKHQLESVDAPELHCGASTGYHLVGILSQAQVINIQKHEKDKRSRFPPSCLSSE YLKRCILTPLEERGCQFTIFKENDCEFCKCSFAGPSAKDEQIEHGQYQSPYEITSRGA VSTSGDQAENRSDKGAITSAELEEMSRISFEIANIE
I206_01553	MSPVDLNLVELNEPESFPIKKTTQSDGNEAYMQILPGPLIKVWG LNGPLDSKNREWKGIFYIRPKEEIEDFIKQNARSFEIDSNNQKVRGWDEIVIPSNPIC EDKHKIDIIPISSFVNLLQKGEKKDGSFWKEWYEAKKIIPVQTKSFTNSEKDMDNKAI DSDQLMFFSVFDGMGGSIFSDMISKTLHGCLALTLSKLKDKYEHNPIDKDVLTKNLIE TYVALQEDFLNAAPSALKGLIHRENTSTILPALNPSSFLYGAIEGSGCTACSIIIDTQ VNKMYIANVGDSRAVAGWWNQKEKKWRCDVLTEDQCGDNPKECQRRLARHPIEEAGKV IYDRGWGNRVLGTNDVVRKFGGSYSVRSHEEENEIWNVYQTKQAFNFFPKTTPPYTDT EPTIDIRDLNSNEEEELKFVILATDGVWERLTSEEAVLLVAAFKDNATQGDLSKIALA EKYPLIPPKEPRLFPVQDLPGTESRSEGSWTFEGDKDAGTHLIRNVLGGSDKEWRQQV LSLRDSAARQMRDDMTAM
I206_01554	MKAIIQRVINASVAVDGQIISSIGKGLLVLVGIDRYDEPNDATQ IIKKVLTARLFDDEQGGMWKKSVKDINGEVLCVSQFTLLAKFKASTKPDFHESMSTIP GKAYYTNFLEEMGKSYNPNKIKDGQFGAMMQVSLTNDGPVTIILDSRSSSSLSSSSSS VKSTPNISRSITPNSNLSTKKSKSKNKNKDKVEDINTLEKDEENYNKSSISIKPSENC PNGIIDVSSISSISGTIANLGLGTNGNLEKEKKLI
I206_01555	MNEKPIINNNNNDNDNSTLINQSGILNNTNTNTNTNTNNDKLKQ NLIIDEESQIVKNNSSSLNKQLNEEENQNNHNHNNSLAKLSQARKNFLLFIFSVATFI DICNVSGVAVAVAQISQDIHLDTSQIVWIITSYSLCFAAFLLFAGRLSDLFPAQIIFE VGFFILGILSLVTSFVTSSKYGFLILRGLGGIAGSMTIPSAYHLTVHMFPEPAEQQGK LALLGMAGAIGNVLGLVLAGICMLANYRWFFRVLAILCVLFTVLCFFLLPFTGSTYVP DPNTPRWKRLDIIGVLIMMGSLITFILALTQGPIDGWGSASFIAPFVLSFPLAIAFFF WESKIPPKSAVLPSSIWQITNIVIASLAVGIAFPYWATSQILYATWFQEVFRWKPIHV AAAILPQGIVGLLVGGMTQAVPQVITKPRYSMAIGGLLIIAAELLQVFSDGGAGKDYW KYCFPAFILGSAGAVVTYFASAINLISYCPPEMAGVAGAWTQVMAQIAGAITLAVQAS FEGAGISNWKLVGRRSFYFQIAWTAILVIQYLVFYKTPGTPEEEHEATRQRIAAKGGD SGVISE
I206_01556	MTRRNPPSTITLLLPSPSSSSTSLIASSSTLPKSTNKIILPPVP DLEVLILAKKIALEKGQKFDLEAYLNDDQLEEYRTKYLKLPPKPPIIIPPTPPLINQQ LQSEEVTPGPSTPTIEIEINTPINGNPSTPNNVETINNNNTPIKKIIKIPDLSHLHWK QRAKRIAELTKEAEMIANGELPESSSSLFNQIDEVNTINQSGGKKGELTDKDKEVIRG SASYWNSLLISARKSRGPQWDYSLQQFQYDRSSSEYYNHGKDPRPADQQSSIKPTPQS TKAELDGEGDEQEMDAENEIDGGSPKKKRKLSLVEKDKPNGLSMNKVDDKGEHENENE NGKRIKSNSISQTPGTIPHSLPKQSPHQNHQPTPSANRNDLPSTTPQSNSINLPPQSR ISSQVQPSGQTPTPHIQPSQLFGGIGMGRPSLPQNSSSSSSSSIPQSNQNQSNSALLG HGQGGQNVNPNFLASLQQFQQQQQANLQQQQQTQSSSSNQNSQTSIPSTLGGFGGLNP SQLSGLTGLNQQQLNGLNSNQLMGLSNMIQSMNPQFLQQQQQQQQMLINGGGGGNING LGQQFNNVQQGMSQHGINQNLGMNNVNMTMFQNLNNSGTGSVNGSGNGPMLGGTTPGN NWSEFTNS
I206_01557	MSIDQPIFPYRMRPRGRRKRIHSTISWRTFFSLALTLTILPSCL AQDTTSTSTISSSVTSSRTTISSSRTTSVRNSSVSSSGSSTPTSQATKTYSIDSLPTS VSIPALNKTSPLIQVVLPITNLLYLTFSLCTLTSNTTLLPTILLSTSDLPTFDMGNKP ISDQTSGGTKSVGYNQKNNKNGQNWNIALDKGFGNYTLNSTDQVPVNILFGLGLEDDG STLDELDVTGGIVIQMDASEDGPLHSISSSLPFLGDTTSNQALIFSPLLYSYFEPEPS YPNYTLPSPDLPVVPLNDLSSDSMISGNSTLSSNLTLYVVPTNSDNSPTLNQLEYSQC AISLAVNATGSIAEKLIIKTEEPQWSAVDNDEGYRQYWVIGDLQANTNYTTWLKDVNG VWSGPMWFNTKADSFPCQLVLPTSICPSIAYSAPLPVNSTSTSTSSGDLISDTSPVQS LPDELVSILTTNLEAFSTSLLSQACGRDLFSHVSTCSNCFSSYRNWLCHIIIPRCSNP SIINSNSSLDSDSDLTINDFPNPIIIHRTLNQSRNDNSDLVEYEYDEILPCLSICNIV DRKCPVNLGFRCPKRKQNANESYAFIGQDDEEGDGSLEGGLKSYDNFGNRWCNG
I206_01558	MIHSSSPKIKTTFTPLSKSPKQPSSPIMPSSGPSSPRLILNSPP LEHHSTNGTTGSYFQQSSNKKGSSSKHPGPLYPPVHKTNPYHNSQPLAGPSSGTKGVP KDQGQGRTPAEAYANLHTQQQSKRQLVDHPHHHPFTSSSFKENQPNGSQQLKEKGTKP VILDWISRKLGARRATISESPSTSNAQRLIVGGSPKLNSGGRNRLPSISRPTTTTIRG GRGSKGGENVGMINMRRENSSNSTQPSLSMYSTRLSNVGTLEREKRREANNPYPSIPI PKLIASSGLTDTIKRRNGNGRTTFNHNKHISKQEEDQENETNTTVSMTYSYSYLSRSP RSRSYSLDSIRSSTNSYARSLDDSARPARFRGRANTNTNNERPVSASGGYIAFIAGGG GLSVGADDDASLRPFPPSHPASPTHSTSVLSRTGSNQFPPPQPSNKIDGSRSRTNTFY STWSGAGHARSTTGSLDGIYGSTYRYSYDQRREDNEEDQDEEDDGERGRESRQDSTST KPTTCISFDSSPAIAHIAQPQFLPITQNQFQVQLPTSNQTQTSPQTQPYTPTVPQGSF GLGDVITSSPASVPSPSRRASSESSDHVIRETSSGGSSPTPPSPTTPTSHATSSPTGP ISQNLALQVHVQAPKHTPHHPLHNPIPNEIPDDNASMLTLASSTFDLLPNQIGNNQGQ SQSESITPQTHITSVIENTSQMINPILPNPTIINRLKDTTITTTTTNTTNQIIRPNSI TSPSIHWAPTPNLSSTIEERPNSIYDNNNNNNNSIHNSNHLSTTTSIKSFKQKADRDA SVRAIRRKGSWESYESGWSWRGYTNNNKNLTSPIGGISIGGGGGAGGGGGSFKNNGFI DKSESWKSRNDDNDDNDNDNCDDVDNESQFINLFDEEVEKQKFKEFRISNNDSFSAIQ IN
I206_01559	MKSAGADKYSVILPTYNERKNLPVIVWLLAKTFESNGIQWEIIV VDDASPDGTQEVAKQLAGIYGEDKVVLKPRAGKLGLGTAYVHGLNFVTGNFVIIMDAD FSHHPKFIPEMIKLQKLHNLDIVTGTRYSSNPSPKSLSTSSPLIGLGPGGVYGWDLKR KLVSRGANYLADTILRPGVSDLTGSFRLYRKNVIQDIISKCTSKGYVFQMEIIVRSKN LNYLIGEIPITFVDRIYGESKLSGNEIIGYLKGVFDLWWNV
I206_01560	MSDPIELRPMGKSTAPLPPPRHPATSSIADALPGSLGKAYKSAE EKAKAAVHLQEGGLDAISIWGLGLAAWFVILGIPLLLFPRILLFFSQIPPSNQQSTFS TSTNSLIREEHYDSLSSLESLLCLTISLGLFSVSLISIFNLVPVYELPIQNNPNRKSL LCILVGLLTLSGLLIWNTKNLNGLNIFIGGGNLLISIWGWWTIVFGNSQNKLSKSGNL KSKTPERFKRL
I206_01562	MPAVAAFSAPMGPWNQAISSMQIRNTPVLPNDMYWSSSPACEQN HPDYSNTYPCTTPSSTTSQKSKYEIGSTHPATLSTAPTGYGYRIIDRPKPKSSRSSSS LQSDDQKITHILGNITNLLLQQSQLINTNQEKLIITENEVQANFNELNEKVRSLTQDQ LNSFTDLRNYLKEKVDQSTKRLDYMCKSGNLKRRIGNKNNGPTGKSKGKSNLMSSFRN DIDTTPSSEAIVEDLIRFDTPCPELEVQNFVNQFMDVTPQPVMDLDNFTNDAHHFARN PLPSLPEENMACSLDQTLVDKAVSRQLALLNGKHVLPEGEDAVGFIFRKRLKGNRCDN GFKIDMSFLDEISIAAHAHSGGDSSNLSSGVDSLPNTGNTNTPVPNTQHTYQTANIAK PWNPFPLNYIREPTALSIADHSSVVSTDDSILTSVKRGTSLVEDKLLLPGSPEPPVPR KRRRSGRVHASHKRKGKANVWPNYGINTVKTRMEEIICDTCGGRVHWACAGLNHGKSM REAPWSCPDCLTILMEAEEDEDGRLPSIPRAQQEKCLRPDCIFLTAKKIVRKNDDDNE FYLEKIIGRKRLHYNDNGGATYIYLIKWYDWEIYDSTWEPAKNIPDLERWETIFLQQF KHSPDVLTQRVWLLEECTPWFDYKGHYNTELLKSLNIEKRTWWDDKI
I206_01563	MDGPNGPSPGNAFSTSHKVAFDRDSSITISSTDSLSNSSSNTPA TSTSASDETMTSLLNSADRERQNGGLTLYTTLTIIEDILKSQNELAENNNARWQKHVE YTNDKLKELTQLIDKAREETNATSNNFKAYIDETVEKAFQNIMNGEPSIHTTNRDTPA QSGSSSKRRRSQSRGISREIQGLNYPFFSAKLTKEKEKEKEKATVLSALPSNACSANK CSASQGEKTDDGPSKSSSVTRKATDIIDMTIDEEDDLRLRSASPVEILNCDNSPTPRA SMKKVKPLERVSMGHKNTECRSATLINNISSTSRSSGSGDSKKRTFTALSRSDRFMMD KNAGRAGISSYKKTEASSIIGKKQVGTSLNGLKRVRYLVKRSDHTVSEATWELAKNIP DFGEKVIDLDQNCLEANIDIREKFVLLPEAKMFWDEKGNRRVGFNAG
I206_01564	MNQPNRIDSWLLQDDEKPLTITEDPKIPNAATVLLRKQDHTLGN MIRAQLLLDPTVLFAGYKVPHPLENDIIIKIQTDERSNPADALKRACHLLIRQTVHIK QQFMDQAKNIEMGMGPDQALAGVNNGNYDPYSDGLGREGNTIVGGQVRDNNQTDVYDF 
I206_01565	MVFEKHGLAFGMIATGASIGAIIWPTIWANCPQRIGFGWTMRLI ALICFLLGLTAYFLVETRLPSKPPGPFFHLQAFKSI
I206_01566	MSSQSSTLRSDSSPSTSATTFVQSPSPKSQQLDHEVHDHLVTSS VTPTPGHPLDPKMYSNSNLHQKQVKQEQSHSNHVPPVESMGRRSHKVKRNRPTPPRVN DRPSLSLNNLNPSTSMIYNHNPLGMAIKPHPHITGKLVYVHSYPANMSENEIVDAVRG CLPTAINITTPVPPEMRLRPDIWYDWMPKTGTIEFWSEALAERALAILVNYAHFSVQG VRVSPYPACLTPFPAYPTAIRYIRPTRRSKFIGLPANLRPEAAYQANYPTPGEVYDAV RPWGSVRWVNSSACPHDDPDLGWTATVEFWYEDEARHFDQGFGQTASLIKGCEVFISP AAPINLAPPVPLEYPIPPPTSLPPINSMYTIDSVKFHCMMPYNDSTSQPFQAYQPDSM YLQGDSIQPSPASAIFSHLPVMSVDQMSQFTPPISYVEPWAEHNMFASGNPLPEVYMD NMIPMTPDKTGRRLSMGGMPRMNGRSRNWSLTIEKSPDGQTKPTGLIADDGTFIQHGP GQHIRPAPPGSTSVSGLVDYSNVFVKNLDPDINCHFLSEIFSNVGQVVSARVMRDDQG RSRSYGFVSFHSPEQAANAIAEMHGQKLGQRIISVALHQPRKLRPEKIAERALYGSPM TFGRQSCSMPRRSTSPDRTARTGRGRHSLVEEPRHHRIADEIRSLSPSSRKRALAKQI HPRASSYAREKSIAHEYVEKAVQLLASQDLSLIPLLHDKTQLDIRVAEAFVYLSSETV KEESDKDQITTEIIRPTNQDLVNLRAEIIKMDPINVNDIMPIIIEMLTEQDWETIWDQ HRIARKYGLAKQQLDKDTEKTDKSVPEQVEVKAPEPQAVDTQEISEQSINGNSLVSLE DLNLDLLASLPSKQILLNLESEIGNKVLELLGIAEPAPTRITNNRDWISKVMKKDKVA RGIEIASALGKLIESDTLKRSQRIKVIKALIDAEEEQALCGLMVYPAILNSKVKMFVK SQAQ
I206_01567	MPRLNKIILVVSALIIFTILYDHLPLSTLTQFRQSRGNSTSTSQ RSIHRLNDRISRPPSSGGWSREVYEESGISIDHKGLVYLDKEAENDLHPIKKLIERGK ELAEKQKSKIESIQSIEDAVDDYVDTFSMKPPKGFDHWYKFTQSIKPNSPPVPSLIPL AHQPFLSFLSLPVDILRERIEIVRSKGAIFTFTFVPSGQGDEGTACDSDQNWVPSDYH KRGKGRIQIDGEEAWGWRCNNTLTLLLPILPLLPDELFSMKPPLEIAFSSDDGPRGMV HNTFREKAESLAKSGTTWPKGQLDKAEQSMRWTYGWAWSCPDNSPLKTRATDLVLNDL HQPDYLTGGQGELCPPLDKSFIADFERSVDYCSDPELMNYVRAAVEMTPVVATCKTMW NSDIVGVPLDGVFEKVQYVKWEDKTIPKAFWRGSATGLFHSRKTPWRSSQRERLHFFA NNETASDEEVSVLLPNGKIGEYTRNQLNKWLDAGLSGAPSQCDQADGSCDDMAREINF MGRVRKEDSLKYKYVIDVDGNGWSSRFRRLLSSNNVVLKSTLFPEWFHEMLIPWYHYV PIKLDYSDIHDVMAFFNGSPDGIIRGHDELAKEIARNGFDFVENRWRLQDMQSFMFLL ILEHWRLMSEDRLAASYDA
I206_01568	MNSYPNEFLAHPQPLMFVAGLNSITRDRSTSTASTSSQTTRRPS GGAANLIPPTSGDIALTTPITSPTPQLDNLPKLNEGNDEDVTQEKTKESQGEVTSKDI ELDERDKEFEELVYNLRSALTPLGGKGKVWLGEESRKDFRIILVDKGVRLPMRKINPS SSINSQSQQSISDLPINPHSPLSPLIPSSPLYPDGLIAPVWIRKHAELVPSVFVLFLR LYESKPLPEGDEPEVQQAKEAANKAMEKDQDDQLIREIGDRRRRLGERGIKLTVVLMA SAATLDSPTLDPRLSYLRRASALSAKASLFVLTPVPADQLPDFVQSLQDALYDSAVEY YSNHAKRVKRKRSRLPLSQNILSPSITGQGSGGSVPKALSPQGWAVRYDWKAGWFAEV RGEFDVARRHYEDCWNELAKMFASTTTLPPRTKRWAEAKVLADCVAIRICKLLLYDDQ GPRVLNPFFVHLKRFGDLSRGWGIGEETFEFWSWIARQYRIFAELLELAQQSGLRIAS LAPPLFPTAQAASVPPQPLDHYATPISSGNPLQVLQQPAFYYYTAASCSIQRQVRYQE ALAVETDALNSEAGSVSGYVSTAPGFANEKKVDHAALVIELFTKAYSLLKEQDHAQNR MALFVAFRIAEVYCQSAQYEMAIRFFDRISHGFKRERWSPIVKQIRRLWYECAQQTGN VESAARLLVEMMSPDSGVEGEERAALQGDLLSLLTTTSPASSEPIVVEFDGQSSLLNV QAGFWQAESTVSKSIPYQIVMRCPDNVNVGDIDFTSLRISWSDEREDTIISHSESAGE VHESVRVEEGEEAKASLNWIEGRVLVIDGSIQGETEGDVTISKIKLLLKKGPWDLEIY LNPNQLAEWHTPKGTVTPIQELSSSVSFMHQPHNLDLQIDHVPSAFVGEDVEVIVKAT NQDDREMDVTLSVFLQSGEGDEDEGSTLTIQDDTSSSLLKDVSLGAVAPGSTISKIIT LRSAVESTKILDISILSSIKMSQMSSSSNTDDIRTEELIRTAVIPILRPFEVKMGIKY SERLEDSQNVEAIVGILVEVPGPREIEVEKIELIQGDQADIQLVSDSLNSSNGFTQNW DINTSYAISAKFIVQPGAGFEDVSKIPANLIFTWKSNKNSLKLIKTIHSIPKLIYPTP KDSFILPIIHLPKPPIIFQNKPFIINLSIINTNKNFNFNSSSSNNKLQIICETLEGFI WLGSKNILINIKNELKYLKEFKLQFKFIYIGNENENENLIKEKFINLPKILIYQFDEE DDNDNDNDNYNGKELIEIKGNYKILVKP
I206_01569	MTTRAIVKSVLSGDTVIIRPKEAPAKGQPSKEKLLHLAGIQAPR LGSASREDEPFSFPSREYLRALLVGKEVAYNVTHSLTGSGPEREFVTLLIAPAGPGQP PQDVASLVLANGWAKLRDGVGEGEEAVRRLGAEEAKKREQLRAVEQQAKSEELGLWSD QAESQRTVSFQMPSDPHAFVAEHKDHDIDAIVEQVRDGTQLRVRLLLDEGNHQFVNLV LAGAKSPRASAGRENDTSSAEPWGEEAKFFTEVRMLQRSIKVRLLSAPVSLGASPFQS GPTPAGAKSANGNGLPAPSSGGASIIIGIAKHPNGNIAEFLCGAGLAKVIDWHAGILA PHGGLDRFRAAEKAAKDKRLGLWESLAATKSAGATNGSSTVVSTTKGSEFDAIVTRIW SSDQLTVVPKGDDGKERRLQLASVRGPRGSDAKSTYWANEAKEFLRKRLIGKTVHVHI DYVKPRDGEYEERECVTITYGNANNNIAEQLIEKGLATVLRHKRDDEDRSMELDKLIV AEQTAVADTKGLHSAKEVSLPRIVDASESASRASSYLTQWKRQGRHQAVVDFVSAGSR FKLFLPKENTKVTFVLAGIRAPRTARNASEKSEPYGSESLRFASKYMQRDVEVAFDTT DKSGGFIGALYAAGGANVAVELVREGLATVHQYSADSLPFGRDLSAAEEEAKKAKKNI WSTYSEEETPITKVDETGALAPDYLDVYISSVKEDPFSFSVQILEKDSVAQLEKLMSD FSLHHKQPTSGAPAGFSPKTGELVSAKFSEDNHWYRAKVKRSSALKKEAVLYFIDYGN EETLPFSRIRPLDSKFKGLPGQAKEARLSFIKLVPRSSEYGSEALRRFNYFTENRKLV ANIDQKEGNLLHLRLIDPSDPNAADDPLACINADLVREGLATVDKSVRYLGSYPQVKR KLEDATEGAKKDRLGIFEFGDVSED
I206_01570	MCRFLVYKGTEPIQLSHLVTRPKHSIINQAFESKLRMPSSRPMN ADGFGIGWYDPLPAAASLTAWSNANLTRLAEKIRSALVFAHVRASTMAGAPSEDNCHP WMFDKLMWMHNGEINEFKKIKRAVQQSLPEELFLYPSGYTDSEWAFMIFLSKLKDPHA RSFSHVELRDAMMETIRFINELSKKAGITGPSLLNFVVTDGETVVATRYISSKTSEAS SLFFSSGTSFDEYQEGGLYRMTKADKRENIIMIASEPLTFERSDWMEVKTNTMIVITP KMNLLQIPIIDDYWVTPKDPASLTRSRDFAIKMGFGLGFASEQARKDE
I206_01571	MSTPAEYLSQISANALISDLRPTTLSLPALQCLNSFLDEILVSI IISAESLNPSDLRKEGIPNVFSSSNGSTGGENTNLRSLGRSAIAEAELELRSWLEGKN SIKGFKPNGQGNGMINDKTNFNNEKAINLMRFKCVSYSTLASQDNLNEILEEEVLTAW KNVGGDSSEDTVEPAALWITAIIEHVCEHVLTQLASVVARDSEIIVAGPQELYTALCE DESIWGLFKKMKVKDQLEAVIRAGTRHKRSTPSRPSTSQSAGGRASPSMMSGSPHASK ISLGHHRDSSIEATRNITSSPPQPDRSSFETNRFGGIAGGVMRKGSQFSKKSTNSPGS KHHLLRGQGHERSGSVLSDNTKSMLGAFHDRHESDATEEDEQSIQEAQDEFDALVRSG ETMKVSLTPSRLKNFEGGANRRRTAESPVPSLSARSARSDNITPSQFPSPPSTEPRST TIGQSDQYSTSHSSPTQLSSSTLASRPLADSPQRRLQARAATTIEEKADEEEKADMSK KESLMELLTSEGALESQSPTKKSGLISSNRDQIRRNVPAVVLGTPPPPPPESTFSSPS NRELDTPKAGDHAIHQPDIISSPTNAHDQSPFSPQPVLTRSQYSSSSLNRPNGKPKTP PMGGAEEDYTFPGPGQRNTKKKSEAQELADFFNNTPPPTSEPTFKSQPQSPDLDQGEG FSDPPQTAKPGKGFRALLSKATKSSKKEKEKSPQLGTSASYSKLNSSSDINVTKKSES GNAISKHRHNGSTGKSEGPKITGWAGFEDPNSQPQLGKNGGMTMPKKQKSLHSLSTVP TAFRPFAREDDYNNAQGRKGSNASRTSEYAKEAAAKYAERRNSAVDVNGRRGSAGIIA GDRRGSATASTLGLGDRRGSESTLGAGERRGSATTPTVNERRGSQPLYPSTTDNDSPI IENKKMIGLGIGAISSKTAIENGSIEKGEPPILGKTISSSASSFKRPNLEGINIPGSA NSFQTAHSFLSPPSSTMTTIINEPNSSNQIQIENTNSSERITNLMNSNSTSTTKEDIN IPISNKSLINDDDNNNNISNIPINDLIPLRKLLNHATTVIECKLLLDAILTQFGVPKF IEKEEEGEIEIKPEDRVLAWLLAGREGPN
I206_01572	MTTPSIQLLDHTILLAPFTLDILQDPSNIISIILDVLPKSSLKS FTVIFTSPSSSTTVQEQLYKRLKESPVLNFSIFQSFLGKVYAGLATSQLSIGKILMDV EIHFDGEDGSWNEKIFRSKGEFQLLLIDGISIPFDLIEILSPLSQIKLPFIYPTKSIT TLTTLTSILDNEENILPGPNVVALGGTFDHLHAAHKLLLQCSYFLTQKKIIVGIMSDK LLITKKFNNLIENLKIRLNNVKSFLNRFNSENNKIELEIIEILDLFGPTKFEFNMQAL IVSKETLSGGKIINNLRKKNGLNELNIFIVDVIFNKNQNQNQNQNQIEEKDEIEIEID DEMKLKELKMGSTGIRKWISENGQHD
I206_01573	MTIINRIPPLPPTKTWSKHFPAKLLPVSPDRNMIRKSIGRKLLA NQKLCDEFVKSLKIRPHEVIIEGYAGVGGLTRSLLSGGESFKESFKWDSNLLDELNLE NQSLKEQKSTNKNKSKSETKKTKYPIWQEDLPSSNSTKSESESKLELEVEGETETREE EIIKPKVVIASEGSLELLIRSFNYPSKKDELSSIGKPISSDPYTREIPVIQTPLHPNL LLSHSTAYVWPTLPKILENPLVVEQLPIHDPSLIGVEATKRSWEDPEPPITIVCQLPD SSIGEQLAAQWIGSAVGDPGQKRTWIWEWGRIRLALLCGKSLYDRIMAPPSSIIHCKL SVLTQALFDIVPLPPYHHVVNVDKKSQFIEDRPWKPLSNVPISKNKPIGIPLINLENS LINSFNKENNNNKKRTITYPLDFYPSQTSSQRLIGKQINRPDLLGLMLIPKLNSPILS SQKDTWDFVMRRLFIRDTLTLENGLPNLNFGAETLIENIENENNFRGIPVNRNRVIRD LTIFEWLRIVDVFDKWTFKPDNLILDSGSPDETSRELGQD
I206_01574	MGRRPARCYRYCKNKPFPKSRYNRGVPDPKIRIFDLGRKRASVD DFPFCCHLVSDEYEQLSSEALEAARICANKYIVKTAGKEAFHMRVRVHPFHVIRINKM LSCAGADRLQQGMRGAWGKPYGSVARVNIGQVLISIRCKDSNRAVIIEALRRARYKFP GRQKIIVSKKWGFTPLDRADYEAIKSQKQVVNDGAYVQFLKPKGNLLQNLRTAQRA
I206_01575	MEEESDILYGNAAGPRLEPETGGDFAYRLENSLQPRVRDPDRGI TVNPHSSYYAEDGSFLLSTTVFNHFRIPFSKCEEPNSEEISFNKWPANQNERTFIDPE SIMSLYQRFVSHKNPNYELSEVSWSSLRISMIAAMRVAMGTIHKAGTRSDCQIDTNSA GSEHSLAVLSRQDAETLKSMLQQIDSGGEIQWNEWDRDRIAWSSRVAFPYRTLNVENF SVVDPSVNWGATYRIDTTWGDLTEEATIQFRNHRGIHPGPIPYYRRGSVLGSPEAPSL ASGTILTSPEEYMMSSPESLVDNSGQIEIAESPYVRWLSLPEEAP
I206_01576	MAWMSSGKSNEDLIDKMVKNGLINSPQIAEAMRKVDRKNYVPDQ SYAYEDSPQRIGFGATISAPHMHAHACENLLSFLPIVNSPHTGAILDVGSGSGYLTAV LHRLAPTATIVGIDHIQGLVDQSIKNLQKDEIPLASDTDKSGVFMICGDGRKGSPRHA PFSVIHVGAAAPQIPEALIDQLAKPGRMFIPVGEGSQDIWQIDKSESGEVHKKKLFGV MYVPLTDAEKQWKTEL
I206_01577	MTTKSTGPVTISLNQLISDPEALHDNIVSALGSGPGCLGIIVIQ DLPFEFIALREKLFRLSHQFANLPDSIKEDLAKPETSYCFGWSHGKEVMNGKPDTQKG SFYANPLIDVPNVSETLRRDYPEYYAGNVWPKGIEGLEEFEETFKALGKIISDVGIAL AKACEKFVSPTLSNPSGTIASLISSSKCNKARLLHYYPQPPSSSDTDGQDVQNDALCG THLDHSLLTGLCSAMYLSQISPESPPQIVSSPSDSTGLWIYPRNSKNPVKVSIPENCL AFQTGEALSLLTSNKLSATPHFVSGSTKSKDPISRETFAFFLQPDVDDIIGPDGETFG QFTKRVLGRHYAEKAIHEAED
I206_01578	MSPIREQKRKSSPSIGQAVFYPNSLPPPLRKTSKALPAYSSRPA SPTSPSLSTKFNYSAAKLSTPPSQAQKRDIQEVQKGKIQTLENFDHASEFPFPPQQLP HLSPTRKLTHLFDGLSFATPQTHTHETYNHLLYSAGSEPENDITTPNALPLSDLINEA LIEDDEINYEDEMGSVTQFHSPTANLFSSSPFNTSDANLFNSQNYKTSSKPTFSLGSP PKCPLPRLPITRLPSWGERQNLNLNLNVREGNQPSFEPPSPLSDPPAFPMPATSPSPH RPLDYIFPCQPQSQLGFNDVFSPEYQLNTPAPRAVQLNNQLYTPPYINDNLPDPTNAL HLHHPILYPSAPVSQCPTPSITPPPVVTELPRSEVAAHYLEGRKLCPAFSRRYIIRDE LGSGGFGFVCSAIQTGYKNISGIEVAVKFIFKDRIQECDYAMLEGEPVESFVLSRVRH PSIIGFKELYEDNEFFYLVQELHGDPWEPGHTLVAPDTLQNPLPTATPSLPMIIPTIL PPQTPGSALLFSPVHSVDWSKLNPMNNEESKNGSRPNMARRASYDLFECVEHQRFTED QARIIFRQIVDAVGYLHRRGIYHRDLKDENIVIDRNLCVKIIDFGSAVIEDPNAKPIL YDHFRGTMSYASAEVLNGRHYHAAPADIWSIGIILGILLSGESPFPNTTWAADGRIKI KRSIPPGAYDLLVRCLHTDPRRRATIQEVERHPWLRGMLAHRGSIC
I206_01579	MTSMLAFLTSTSPEAHASTSKSSSSSKALSMPPPEFSGIADGVQ LPTPTSSVSYNGITNYQNERQSTNSSTSIRRRGINSPTPTPQPSQSFTQELFRITQLL LSLDSDTRKSVKETEFKLENLEDLLGNKLKSFESTLDGIKNLLEEGEISKVELKNSVE ILNGRIENIERMCRSISRSQSFLENGTSRGIQVGRSRSHTREQSSSINQLPVQPDMSG LRIDKTSNTFGHRRADDQSNFDTFIDDNSGNDGVDEANDLDLSMEMDDDFQPPDMNNE SQTPDMNNKSHTPDMINELHAPDIEIGVDPQEIMRSPSQGLSSNAKNHTYQADGGQQE KSIRQKVAAVIARENELANTVHLSPVDDLNDAPLQEIPSPSYQTRSRRKSSLHPPIGS PHLSHASSSTIFQVDDHQESGSSSKPPPRLPFPPRGEPVSSPSTQTRKRGRPRISLSQ TKGGKSHNRPISVDSKSSGSVQEIDDEDFTPRKKKRRKSMLKSNVVKDEKKPHDYSKY TKAGTARIRKFKGQVRLAIKCLAPSDGSRLSEATWPDKGPNTARGRLEEIICDACKGR CHWSCSGIPEDKDMSSENWICPDCAYRIEVEDTPRILIESTQQLKCIRYNCILREKRA IEHQEGEEERYFVEKIVGRQAIAREPDSQKRVFLYLVKWDGYELNDCTWEPPAHLEHH IDRLLAEFDIAAKRTKSNTKARVCILPEARKYWDENTGEPVAQILQEESSGTDELNVD HRDPIDESDNITQDSPEKAMSQISYDRVTADDPILLTEQKGDDKAPDPPGLEQNEEDE EIDELDDDQEDQQLQSQNSNEEVGGLRSQGKADDEATQGERIVTEDNDGQEGEDELVD ELEDTDRPVISDEAQGEVGEQTPEQKGRSFFGIRMF
I206_01580	MSNQLISQIRTISQTPSTSLQYDQVRSHIDSQFFDLDALLYPIA GPSKRRKRNSEEEIKYWEEKERQASKELEETTEALPKQIEVTQEKLQVLLSSAQELSI QRYSIADKLANLVSEISTTGENQSNGQSILNQLEILQNELSRLEAGLQWAKILEEVIN LSEKTLNPTSHKPSPLAALPYYRQLNDLINRLLGSLPPEMALVKVAIEVKERTWQALK DLMSQNLMDACESLGWPKKVLYENISTEDRRTFENAFKDLLYLQAEGEELHGEDRPSH WSVGKGLYPIQAMIKPIELRFKYHFMGQKGTNRVDKPEWAFANILDQIYEHQSFLSSY VQRLASLSGYEDIDIKSEFTLLLFPILLSLLKSRIPYLLDHPALLAHTIYQTVVFDEA VKEGGFQLDSTSLFEDRETAPWEGLSGVILREKDWFERWLQGEKKFADGQLNEIISSP EAWTISDEISEEEEGQSLGLKATTSARQVKALVEQITDRYAPLPDLAYKLPFLLSTQL PILQNYLSRISGSLDAFETLSSAFVRAVPGALAGNTRSGVHIDQAKLTSGKNGLERLM KAWLSSKWVEEGMRRWADDLFFVEIASDLAASQALKYRYSSDTLLPSLLKGSATDVNP STTVFDVVLERYDHLTSRAEDMMVKVITVETENDLKQHLTRKWDRPPSAEPTNPSSYL LSALTTYSSHLSAISDTLPPLTVSKIYRKVVEHISNHILQRGVYAGWSKFTEHGGIDL QLEIKEWKEVSSTSLLSSSTSTVLRSIVSIDVPWQKLEDISKILVLPTGDAKETVTFA QAMASAWAGEEGLKVFNDRLGVNLNKEELQAILRRRVECWR
I206_01581	MVQASSSNKNLWVAASDGDLARVQYLIENEGMSPNDKDSNSYTP MHAASSYAHIELLSYLISKGGNINITDDDGETPLFVVENLETAKFLIDNGAEITLKNE EGLTAANQLEEDHPSISSYLNSLIPENQQEQLNSNQQDQVEEESEEGINQLALENFTT EQSDLLMIEAEKIMKECQETGEEPDERLKELVEGIVKNGLNFGKQVQEQVTTNGNGNQ NDEDNKRIREE
I206_01582	MDSMHPDPAPAPPPSLADIKAQVDRLEASHKAKGLPLSGRIIHV MHHLPVEIVRIVPAESLEAGGMLSPPMTPEFKPEDVEATVESADAKWRIHSRTAHPAL VSGIKSLSDTHDQILVAWTGEVLLQPDTNASPQQPSQATFPSIAQNLLAPFQENPTPS PTTPSMPSPPDESPLMVFGGEFNEQEKKDVEKELQRFSEVEQKYEEGSRLKYLPVFLP PDVSKGHYEGFCKKTLWPLFHYLLWLDSTATVPSPDPSWLAYHKTNQMFAQRVAEVYK PGDLIICHDYHLLLAPKMIREALGQVFHPNAGWVMIGMFMHTPWPSSEIFRCLPTRRE ILDGMLGANLVSFQTYSYSRHFVSTCIRVCGYESTPGGVDANGQVTAVGYCPIGLDVK RVIHDRELPGVIPKMEALRQLYKDKKIIVGREKLDVAKGVYNKLQAFEKFLQVYPEWR GKVVLIQVTTPALSESPKLERMTAELVSHINGTYGSLDFTPVHHYHQALEKDEYFGLL SVADLALITSLRDGMNTTSMEFILCQDKTNKSPLVLSEFMGTVASFQSALQINPHDLL GVAHAINKGLTMPEAEKEERHTSLLNSVVGHTSYTWAATILKQLLENVGGEHTAHQTP ALDVGKFSQAYKSSKKRLLLFDYDGTLTPIVKVPAHAVPTERTLNAISTLAQDPKNVV YLISGRDGDFLEEHWGHVANLGMSAEHGSFVKQPGDEDFTNMTEALDMSWMSEVEEIF KYYTERTTGATIEVKKASITWHYRNADPDFGEFQCKQCLDLLESSLAPRRPIEILVGK KNLEVRPLAVNKGEIVKRLMYENPDADLIFCAGDDKTDEDMFRSLRTVFPPGGVQGSE PIIMKPPVAVTSTLDPEEVAELKDVELHIRPDEIFATTVGPPAKKTLAGWHVTCPEEV VDALESILE
I206_01583	MIPISRSETGLNIPNHFEQYILYHDQDLLDYFNREIDQNNRFIY PNARTIIPNEQNSNSIISEEDSKTTNEIEDLLFPKTHPFAKIPINTKRTKMQNSDPTN EFMYQVPTGMGMGMGGMGNYNNKSVSEGGFGIENSQRASKPLDLKALNERLQTLGLGG PSIDSLNTSIPRQPQPTTTTLNLPSASQPQPTHHPNIQPTSYPLSPYTPANASPQSQL DTGFHTNHFLSPDSAFHQHTQAFPSVTELAPGDSISMYKPTRAPSMAARSQRKGGTNV DPGEGGVTYTPSPEEAYEHPPGDGASFWSQDDITARTPRTIAGGLEDEMTIGPTSIWT RSDMGRDMYDHRDRLLRQESNRNQEQMQELQRQIREAHSLATTATKLEAAEKQLRELQ ARLIAEQVARTQIEQEAGLKEEEMKNYQNEWASAVRALRRARDEGKKSDEEKRRIQRC FEEARDKLWKYHEALRVREARAQGKEEGKAEAWQEAERWMGNSPPIPGIDPVQNVPGA VLHQTPMMQTQTPMFLQSPTNQYFQQQAQQGQQSQQPEQQQYQQQPQHQWQQPVPNSP GATAQMQSIAQMMEYFAQNPGTFPQFQQQGQQQVTPPQQATHAQPQVAPQQPPPQQMP QLPPHQPPTLPMQQMPTMQTPGQLVAPMLHQSSSQFTPATQPQQTGAADIYMTGYTGQ TIIPQHTGQQSMAPMMPQQTGPAMISQHTGVTTAKQPSPPHAVPIPQPMMVPVMVPIA QPPVPISASQLPPNPPQPSHSTAPAYAQPTVPDQPPVISQRTPRAPTAGIHTTPGRSH APMSNRIQATRPPPANRTGVSTAPHMPQPESIVQPHAAESYLERVDHDQSLKRMMGGA RSKTIHSSAVPPTDYSKAPTRSHTAARSAFDDGSSNVDKPLPDPFPPSQVLGRSRTHR TPSTIRPNQSQNNSQKMNRRMSLSDGLHNSHAARYSQIPDGDRYPAFPIKTHSRNTSY GSVDPAGIHLPSSRDASNVHSPVSQHGGRSARQTPARSGRTSARSRVAGALRNDMELD SELPGIQEDEEEETHRHPQAQVPPPMSSHNRMSQAHMMRQQPLQNNSGPGPRPPPSMP NMRPRPNVVMPQPLGGGPPPVTGPNKTFSEPHPGRTEKGHKARHSFSSLFHHRDPAGA RSEYLPEPESAYHPPRTHDLFVPPQLAPMAVSGGVEDVQGPRTSALGLSGVESDNGRM RAPTSSRSRSNHPPSAYFPDTPKTPHTQHAPPNAPRSPSRTEITQVHNKGGRQPINLD SPPAPGTTRQTVIITEKTDPRPDEIPLPNPRSTAPTAYTGFSPEQFGPQDPREVPLPP SKAHDPSEYTHMGNLEPTQHPKGHPSNAPTAYTGAHGKQPFQFPLPTSKTDAPTAYTT LNRDETHKTPRQVPLPPPKSIAPTAYTTSPPELPSQPNPNVPPQVRSIDFANVPLPRG GGTIYDMRTVVDSEPDVDEPRGPPRSTYHTSPPSKVLSTKKSYRKPVPNNGHSIGNGN TNGNGIDPRMYPLPASRAPTRTNRASTYAASVPPIEEVTEPESGRENIITSRPKVF
I206_01584	MSDSPHPPTPSPPRVRHSQRKGQTRFEKESAATGGKSSADLPGD PKVIGPWRIGRTIGKGASGRVKIAKHQKTGQYAAIKIVPKHALLMTSRMSMADAGAKH DKAVLGIEREIVIMKLIDHPNVMSLYDVWETAKELYLVLEYVEGGELFDYLVSQGRLP PDEASRYFQQIIAGVDYCHRFNICHRDLKPENLLLDAEKNIKIADFGMAALEPSDKLL ETSCGSPHYASPEIVAGMSYHGAASDIWSCGVILFALLTGRLPFDDENIRVLLQKVKN GRFVVPADLPIDAKDLITRMLVVDPEKRIAMAEIMRHPFCQRKQDTDSGRRINLVEPP RLEEIARPVRSEREIDKDILRNLRTLWNGTSEKEIVISLLSNEKTWEKAFYFLLLQYR NKHLENFNPEPESRRISTDRRRKPEPSSASTHRRSTRSTTSATASVTSAQSSVRKAVP REERDRQRSSMSADKENNNPNVTTATRPAPVPNPAVIVGKSPITPTSRVAGPRPISAD LQDRLNNKVNNVSSPKTPAHLEKIIENPSTVTPIDPKLPKITLQKPTPNSNGTSAGTG LGLNLNIIIPPSPKIGNTYSAPPSPSPSPISPTAVGLGMNMLPQIQGQGLGNINVPQV QDAALQKFFHDIAEQLQLIGSASPRSSIIVQNNPSSSPVISALPSPALSQEEKIITPT TPNISVPPPPHPIAMNRPNQRRSMTEQPIPNTAPKPEITKRRSYLGDSTQRENNQINI QPQRFSTGFNEKVRKISNGSEKKRKSKPAPLDLSPKIGSELLSPKGSPWLSTPPLGSP TPSSPLLIGTSGEIKTSWFSNLFSWKPATFTLISADDCASSRSECIKLLESFGASVIL EDADGWGILKCRIDEIRDVTGIIIPKSVKFRIEFLPNTINNNNGFNSPIPGSPNLIGF NSSNSRTTTTTNITMIQEKGALSSFKAIYGRIRSEWRLDNLKSPAISTHSRFSTSTNN QGQNQINNTPLTSPILDGHNNQQPIWI
I206_01586	MPSIFTRHRRASDALPPSIGNDETPTRKQRLSIDLTHTNGLNGS PPPSSGKIKTFFGVGGSPDPEAKNASLRKKLTSPSSFFKSSVDVSSPKNPPVATIITP PDELPADPILARYTDLSPRSNGVQSNERFASPILAPEAFTLASTSSPEGAPGQSKDGR RGSITWQPSYPLIVPDDEYVNIPPDGQVAPNGLSPAANIVSPISDENSKRRSLDLGNS LSSWPAIQPVTKPVTPPISTAQTPITPPTTQFPLPTPPLSASSDLKRIDSAGLHAPEQ PGGRRRPSLSIDISDVAIPGSTAALAAAQKEQAATLTSSETPQSAINPRLTSIAGYSR VPLNDTASRTSSASEPSSPLNSTKQRPMPPSRKSTLIQSPPMPQPIKNLPTLQGWSGY PKESSGSVTPGWGSLAREGGPKTPGGLLGTPSGQRTPGLSGFPFSLPPVQTPSGKGKE RGILTEQEVRKAKRHMPVMLRQPSTKPVQEDEGGDAGDDDDESDEESEIEIEGGRSDE SEGETETERRASGSKGALGLAGRFAKKNKGKGKASSNVSPMAKSVMREEEANGKSVWS LATPSEKRPTSNWAQFGASSPRTTPGPVPPTPGGRASLIRNESSYASTATTSEEGYFD SAPTSTHNSTGPTSTPNRVDEEPTLAKGSGTTELVDSAAVNLQLGHPTVLVPAGQEED NDHAFAEDGSDDDSDVGTNEGTNEDPAESPPIPTHDEQPAKLAVFKPMERPTSSRQSS IYNHVSRSMVNLPSKPAIPEDQPAPAEKLSVKPKLETVRSGEQIPTHIDIPPRIPEGK ALSNPPDPATPATEWAKPPPTPAAGLSSFNFWSGGDKKQPGLKRRRSADDLMKQPPKY EPPFPGTYIPRPRDEEGKEKLPTYWCSVHIEGMLPRKMEFSAPGMQSRDRSWKKLYFI IHGTSFYVYKFDPHRFPLKVDAPVPTITEDEVDDSLHVHFPHQSERRPSLGSATSNST RRGSISAVNGATEQRRGSVPDNIHSPPTARRGSNASITSSGTGLGGPNGVRRVSDSAS SVPRRSSLSIVTNASDGPSEGDIKDPKLFPGSNNSTGPRRGSMSAASTTSSSIGTSGG SSLASHFQHNSLVKQYSLQNAESGLAADYHKRRNVVRVRVNGEQFLLQTADNREVVSW IEAFQAATNVSLDLDVRPMPKIITLPRRRRRRAAGAAGAAGARTTENANGTGTSDTPA GNVAAVSAADAAERERERMLVEDQQAESIG
I206_01587	MSDPTVIASSDTTAIVEQLQTLTDIEGDLADTALAVIEQDSKSS AEFQSKEGEEEQIEKPQAVEPVVIEQETDAGVSIIAEAQNATEGDDVDALLENAEAIE DVLEESIPPAPIPTAIPEQTQAESTPINTDEIPPEASVKADQTDGIAHEANQLLNAIE ADLNNPVLPETLVPPAHDTALETNNEEDTGNIQIDIENPTIENVVEAATNPENAGTAS EVGGSIPPAPTPTSAPIAVSISSDPRIKSDPVESTSVAPLAPQEPFVPQSDVPLPEGL TVSSPSVVNNANLVHAWYQDPENSLTILALFNWAVQKTEIVDARAWYNVLAVDNPTAV EPLLALINLELALSNFPQVEGLFARALKGPSGGITAAADVSIWRAYLHYIRRQNPVIE SAPDVETTRGTIIKAYEFALKECGYDRESGEIWEEYIKFVSEGSAKNQWETQALQDNL RKLYQRAICIPLNNLEALWKAYDAFESGVNKATSKKFLAEKSPAYMTARTALRELKGL TEGLPKPILPPTPTFSESDRQAVLGWKNYLKWEEANPLVIDDESALKARIAYALRKCL SEMRHFPELWHYAAMYYLKQDKKEDASEILKAGVQACPKSYLITFALAELLEDLSQLS AVHDLYQSFLTSLTPEIDDLKKTIEREVEIAKGPEIPPAVGNDVNMDGDGISEHQKMV EERENRGKLVEERRGKEVEDLMKGVNVGWIMYMRFARRSEGIKAARAIFGKARKSPYL TWHVFEASAMMEYHSNKDSAVAIRIFELGLKLFSEEVEYVIKYLQFLLSVNDDTNARA LFERSAIKIPPFSSRSLWDIWARYEYLYGDLSAVHKLETRFAEVFPNDSPLKRFAQRY TYNGIDQIAARDLGFSITYRPQSIVQSNIQLQSQGIPPPTFNGNGGFGSSNSPIGFKR PLPDSESPQQRRRESLDRSPKRYKAHSPPPPPRRYPERGEREREIPPPRERGSSGRFN QPQNGRDSRSPFNSIPPPPIVSQSRGPPPGYGSPSIPIPIPPINNSGIPPAALDRDRS GIGKPLVWFIGNLPTARAFDGPIFRPDDIVGLFNNIAPTGLGVPGSVGQGGPPLPIGG RGGYSERKSDRRYGGPPPMRGSGRY
I206_01588	MVSSAAPTRSILDTSHSYFLQPSPNPGESPIALLLRGVNISSTS KYPTLPNSLSEYSSLEGTSRSDRDRKRRILAGQESHLGEDEVGLYSEAEQGGGDGWFV GRPFPIEEVDVHLLRLKAWGFTTIRYLIVWEALEHAGPGKYDEDFIDYTIAILRKCRE HGMRVFISPHQDVFSRFISGSGAPYWVLVALGLNPKRIHQTGSAVVHQSFFSQHTNDI CQADDNFISSVIWNTNLHRLAARHCFTMFWASDYFAPKCKIDGLPVSDWVQNRFIAAY GHLANRLKNAGDILDDCLIGWDSMNEPQEGLIGIPDLNKCPPAQSFKKGPSPTPLQGF ILGNGQPVDDVEMGDFTSTGQKSKGTISITPPEGKAVWLTREEAKQAETKWGWKWDEE WDFWDENGNGGCIWAGHGVWDPAHKSILRTQYFKPPGKGPTDFIEAFWKPHYTKFIKR IRESHPNAISFVNPPVFEEPPDLSEEVKKGRMALSSHFYDGLTLLNKRRHVFNADAVG LQRGLTNMISAIRFGEKSVKSVMRGQLGELKSDANKSEGIAGENDDKNYPTLIGEIGT PWDMKTTKLFGLAKGKADRKDYKEPAKAMDQVMNACDGHNALSYTLWVYEPLSTHAHG DGWNGEDLSLISYDEIPNEGEEANDDLLMLNPPDLKNLINLGTRGIQSWCRPYPIESS SKIEKFSFDMKSGNFNLVITIKGLEYKPIINDKSNDNIINNQEEEKEEISINQKGYTK LYLPYVHYLFNSSISPNTEIKSITDKEKTRIIGKPDNNNEEWVKGNGPATIDIEILDL SEGSLEVIGQWGWWRYNIGNEGEREGRLRIRPWKG
I206_01589	MGKHDKKTGKGRLDKFYRLAKEQGYRARSAFKLVHLNRKYDLLS KSKCVIDLCAAPGGWLQVAEKYMPKGSLIIGVDLNPIKPLPHVTTFVSDITTPHCRQM LKQHMHDWKADLVMHDGAPNVGSAWVQDAFTQNELVLQSLKLATEFLTKGGNFVTKVF RSQDYNSLMWVFGQLFKSVEATKPPSSRNVSAEIFVVCRDFLAPKHIDPKFLDPKHVF KDLAPLPVSITAAPTTTGEPANSHAHSNVFAPEKKRRHRDGYADGDYTLFHTASATDF IKGMDPVLLLGGMNKITFDTDEEKQWLKSRHTTSDIVANCSDLKVLGKGDFKALMKWR LAIRLEVGLDVKADPTADATEEVVVEPIDEEEQITEDLKRLQETKSAKSKRERKRANE KKAKELLKLQLNMTAPEDLDTNDLALKGEEEIFDLEEGEMEAQRQGKSTKRSLRDIVQ DADGMDESEESESEGEDEDDEILDSDEERERKTAMLEGELDGLYETYKERMQERDAKW KVKNERNKDKNYDAWHGIKENSDDEGEENDNNEDEDDEEGGWDLLQNKKADDQDSDTD TDSDAEMKDDEDEIVKKPKMEKIKKNVAFEQPQSRKNTSLVTSLQEPEKRAQMSRQAQ LWFDQSVFKGMDDLAALDGDDEDEVEVEEEVEEEDEEEDHDEDVSESESEEDEEEDVE MESEDEGSSTLQDDEDDFELVPQDEDDDGTGWDVDDEDQDEVKKKIIKDKGLLTAEAV TLATSLVNREITASQLIDQGFNKLSSFNKDGLPSWFLDDESKYYKPNIPITKEAVEQL KARQRELDARPIKKVAEAKQRKKFKAVQRIEKAKKKADDVMGSEELGDGEKARQVRRM LAKATRGKQKAAEKKIVVAKGVNRGVKGRPKGVKGKYKIVDSRMRKEVRALKRIKKAN KKR
I206_01590	MSGKSDQPTEVSPPRSSSGLGRSPSIFSYQTRILNNRTPTSDRH VAGGLRHTPNSLSASTAYTSLASIVDDDRPTSPPSTPIRRAVAKMVVDNGSPPTAGDE MGKARLGSGRGVGLGLGMGGRPGHAKSSKSVDLVRNQWQAKIDQINNTGEESTPHTPR SVKSSTFSPPLPASPTLSSSGNTPSAARTFSQASSASIVPDQTGSSVVTTATNDTTDT GISKYRSAYMAQRAAKRNTVYGASNFDSARTPSITSNRSASSAPTPSIVSGTNDRPNS PAVSSYASMISPNPTGESSAPSVRGQTVEERLAIAKANALRRRDAREKAKAGTNQAPA EPRKVDNSVVSSEFGGTSTSKPSSSADVFSPPVDNADASMLSNSSKTMAFATAPPTAD HTGNSTMSRSAYRSIVTAPTATLSSRYIPSGLSASTSAPPKEDGLDLPAAPGKDKYGS ISKTDRRRLGRHLPRIASGGEESHTKLSSEGRRVPSTLGKSSEIPKFEEEENTPPTPV QDRKAELKSPSTPGGTMRNKKSLEILVPSTSQNQLPTRPSVPSTPNTKRRSAYMPYTP KSTIGNSIGPTSPRPELTGAEMKGLMSAVGSLPARGMNNDEEDGVTGMSNRLRLTKSR LPPSASSASVAPAPLPSRRLAQTNWMDKHRHAIAAYEYLCHVGEAQQWIEGCLEEELP FGVTEMEEGLRDGVVLAKLARVYEGEAVVRKIWTESKHRYKQSDNINYFLNFVRNVSM PETFIFELTDLYNKKNIPKVIFCIHILSHLLARLGKAQRIGNLVGQFEFTTDQLAATQ KGLQGVAMPNFGDASNSLAKESSWEPEEPTETEDERRDRELLECETSIIDLHRHMRGR LARMHVSRTHAQLELAEPIIVRFQARARAALIRQDLKAERQERSQLQGFARAIQAVAR GHTTRRRWTAKLNAIHSSDQAVIGVQAQARGMIARIRRSLEQNRLDKSIRSIVGVQAQ SRGHLARRGRKSYRQVLAGPEVIQSVSTLQAVLRGRLQRQVAAKQQHKIHSQVATFTS LQSQLRGALVRRRIQAQEQKMDDATDYVVAIQAVCRGVLARQKKRSFTSTLQQVAPAI SSLQAIARGRLAKQAHKNMQKALAKVEVAGSVGGLQAFLRTRLAKKQNTEQKKKLEFV QPDVIGFQAVARGYLARQEYREWRDYLHEPRTLGALVFLQSLVRGFLARRRLYIHSSY IHRNVDKIVKIQALWRGRVQRQMYEKLVTGYDVDVPTVQNYMHLLDDTESDFADQVRI EALRGQVVDLIRENQGLETEVKDLDTKIALIINNKMSFQELARAKHRSANDGSVSFTT GNNDPFGNAGGIHLDRVTQRKLELFEQLFFMLQTRPEYISRLLRHLTSLDEAGEKEKR LLEGVTMILFGFGHERREEYLFHKLLQLSVHEEVLLAPTLHDLAHSRFPIISVAAQYI KPTLTPYIQDVLYDHIMRIVGAPELDLCTDPVKIYQNIINAEETATGVPSQLPRDRNA DQILQENATARAIFIRNLQELRALTEFLITGLLETHARLPYTIRLLAREALLALQTKF PEAPDEELVPVVARTVVLPFILPAIIAPEQYGLAPDGVGPQERRNLAEIANLVTHVAG QQYTDTPEQRLVRTPLEAFISASAMPFREWILDVADVEHAEGQFHAHELFESTIEAKP IKITRNDIYGTLSALIHNVAVITAGTKNDPISAILRELEGAPIDYDRSKNTVTLRLTN RLGGPQSGDPDAVEKADWVQAKRHVLAVLRVQTGKTLYDVLVTRPEEIHEQMWIEEVH RDIALENARLAKHGLPPTPAEQMYQIESIRSLPFHEVKSRAIEFCMKLERSGKLSRED DLQGLLVSISSDIRQKHHLRKMRKDNLAGMIKAYEDMSKKKLDHESQIKTYHDYIDGA MAELQAKGKKKPMFMSKQYRHQKSQQRQGKQAKFGSYKYTAAELYEKRILLSVNQFSP RQFDKLFIVIGSNEVGVFKFELSCPSSVSGGGGIMGEDEIRMEDLLGAQYENKERLDM FEGQAAFSLNMLIHQINKSERLCLAT
I206_01591	MKERPGVENALATIGAVFWMIQIIPQIIKSHRSKSTTGLSAGLM FIWALASIFLGSYLVAQKLSIPLQIQPQAFGVLASISTAQILYYSHNWSKRKTILFLI SFNLFFAGFETGSVFALWAGQDHGIEWPIQMYGYIAAVLLAIALAPQYYEIYKFKEVL GISMTFLIVDTLGGVFSLSSLFCRSKLDIAGLVSYALVVVLDSIVILLAIILNPIARR RRRKEGKSENINDQLNIIENGDAPNQSEEINKPPTVTGDGMGEGLGKSISAQEREKEL ANVEIEKQAKLQETSR
I206_01592	MPADARSADRVSNYNKFWEQKSANDNESHRANRLDQYTEVVNGY YDGATELYEYGWAESFHFCRFYKGEGFLQALARHEHYLASMMHLKPGMRVLDVGCGIG GPAREISRFSDATIVGLNNNDFQIGRATNKTKKAGLSDKVSFVKGDFMKLSEQFGENS FDAVYAIEATCHAPNFEGIYGEIFKVLKPGGVFGVYEWCMTDEWDPSNPKHKEIAHGI EVGDGIPEMRNLTAARNALKTVGFEIEHEEDLADRGDVVPWYYPLEGDIWKAQTVWDM FTCWRTSKMGIFVTQNAVWALEKVGFVPKGTHSVGESLVVAANALVAGGRTKLFTPMA LWISRKPAN
I206_01593	MSNYNDSSITINNLIQNRANAISSIPFSQTITTFTNNNTFYNQE FSSPSSLIPPSNMEISPTTSSPSLYSRTGHNQFSPRNQMINSSKKLQILSETNDIYYN YIGKNNNINKGNIYSRISENENDQDDNLEDDIEEEEEEDPRRMSMVGGPKVRKYTKVP WEEEDNTKWEMIHQRIPSNNTSQCTIKSSNLNLNLGATIVGSADMFSGFSKHANKVIN AVSQSTNNSISKSRDRDRDQRNDINTNMNILDQDISYTKRNLAQILGVSPFSNVNVNT NTNEKYLIPSNSGINLSSNPISSSSSSCTTSSSSSSNSSTISEDRNLPLTPRLKSNSS YSKNNNSPTLTFQTFTNSQIREGSVHNNRIETYHETEEYLFSKTSIGKSNSPSNTIKN TCLTPNNLINKNDRPLINSGSPGFGLITLEAAQERERLKYKSQQQSMNLTRSISGIII ENKRPLTQISIPPIPDEIPINQLRPITSCSISSSSPLYLNENRNGNGNKIKSKKSGIM RLFNNNNNSNTNKLEKSNINIPPLPIGMITPSNLKNKEIGRSSIWSNTNENNNLNSES AVWPTPSGLKFSTSFKKLNNNSNNNKLNNNIEDSLNDLRQDENEKLEIKHKLELRPIS MNFSSRLPVDYLVTCSGKPNLLNIKNQNDSEFLIENNQTIINNSINKTLINHEISINQ NQNHNQNQNQNQNQNQNQDFKEEQLINEKLKEKLKNNQKIWKIKQSEFESQIRELKDQ LIQLNSKSSSSSSSSSNFIDNECEKCGCKCKIDNNNFLIPNKDGNGKKLIDRARVKTA GARVYLVQEVYMNGNRISFLV
I206_01594	MAPSQLSQLKAALSTAGLNNKSYSKKDKKAYKKGGAREIDRAKK NDRLEEIRRSLNKFDERETKVKHDVGGRNLKGVTGRPSSSKQAGLEQRKKSLLPEHQL RDHTGTFRDRRFGENDPSLSLEDRMLERYTRERQRGQGKKGLFNIEDEDNGLDGFDEG FALGGLTHGGRSVMDLPGDDFDAQGFGENDDDDDNDKGRVDRRTVNKVHFGGFDGEED EEELHERKKSKAEVMNEIIAKSKAHKYERQQEKEADDELRDALDDDLDDLRALLEESA PAGPAASNFASTSRQSASAAKAAIPEEDVDYDQVVRSLAFDSRAKPKNRTKTEEELAL EEKEILEKAEAKRLRRMRGESVSDDEDGEGSRKKRKTDGKKPDADDLGDDYVEDDLLG PGVTLEDIEKLSVPSDQEADDDVEEDDSEEEHEEDEDGEDEDDDEMEDDEDSEMGSDI EDLDDEDIPELVEAGDDELEEVIVKKSKGKKAARGEKTKEIPFTFPCPTSIEEFEDIM EDLEDSALPIVVQRIRALHHPSLAAGNKEKLQEFLGVLMDYILILASRPVPPFELISA LTPHVVALVKLNAITAASHFVDKLKLMQKNLTRGLAKGPSQKTSKTFPGCPELVLLRL IGSIWSTSDFSHPVVAPAVLLMGQYLGQSRIRSTSDLASGLFLCSILSQYESLSNRIL PEAVNFVASSILSLLPRRKGFEVNTTYPDSKANDVNLYVDSSATAVPKQPVNLPEAIN SAHADLSSEQVEQTKVDLLVVAFKLSQTFATLYSSLEAFIEIIEPLTKVIEGSRVAKL SQELKTIHASTYNSLIRSLKHSKASRRPLTLQSHKPIPIASYAPKFEENFAPDKRYDP DVERNATSKLKALYKKERKGAIRELRKDNRFLAGEKAREQAEKDKEYNNRMKKVEGSL NVERAEEKEMEREKQRERKRAGRG
I206_01595	MQVDSSRDKDYSFARLCDLKSHVTLKITSLEGNIPRRSYTDSLK DSDLLHAGLQHDGPSDLYITCQLWANGIQYTLPFRTSWKDFPRGYTWNQTIILPITYP SLLINSQLAFTIWDCHGAGKPVPVGGTTMSLFTTKRTLKRGQQRLYVHRGVEADAKAN TSTPSELIGEPEDEMGRLERLVKDFDRGDIVKIDWLDRIAFRQIEKAHAAESSKSDKL YLYVDLPKFDFPVVYSEQESSIPLPPAPMPHPAPQTQQQLSGVPPHLLSSDPYLWRTY DPDAWRENPVEIKHRKLLRSQRLGDEGRDLKPGPAERDRLNEIFRLPPTASLSALDKD LLWKFRFSLFRSPRSLTKFLKCVTWTDPVEAKQAVEKLLPLWGQEVGMDDALELLGPG FTDKRVRAFAVKRLERADDEELLLYLLQLVQALKFDLMTSMAESMRGTRHRKRDATAK SDEGDSGLSKFLIDRSTANPVLGTSFHWYLMIECDASQPAGKMYAKVAFTFQTRLSET PEGIAQRDILKRQGQLVEALSARSKEIRSSKDSRSKKIEKLKTFLGDPKHNLSPLPLA LPLPLNARVSVTSVTADKSSVFKSNLLPLLIWFETTDFTRQTDDESPETPISITPDYP IIFKNGDDLRQDQLVIQLFTLMDRLLRKENLDLKLSPYSVLATSKVEGMVQFVPSKSL AAIMAEFGSLQNYLRQEHADDGALGSYGIETGVMDTFVRSCAGYSVLTYVLGVGDRHL DNLMLAPDGHFFHVDFGYILGRDPKPYPPPVKVCKEMVDAMGGTQSAHYARFQSLCYT AFIGLRKNANLILNLVALMVDAGIQDIQSEPDKAVQEKFMLDLSEEDAIKQFEALLND TSYLTMMFDRIHDLSVAVKLWLSGHKEADSYKIIGRNIFVIDSVKIARGRYRD
I206_01596	MARPATFASALTLSTIALASRAYLRLTTKKYEVNGLPILLDALR IPHDGKGKGKAIEGLEIDGDTSQLSRRGIVTLCNHNSVCDDPMMWSLMPLSNYFPFAT PSHTCRNSRWTLGASDVLFTKPLHSKFFDLGQVIETHRGGGIFQPAVDRAVKLLQDGS WIHIFPEGKVNQEPTNPKGGLFRFKWGIGRIIMDSKVMPEIIPIWISGFDQLLDERRG WPKPVPRPGAKISITVGKPLTSRIEPLVKAWREMAEKEVGTVGIGGEWNQEIEKNDSK LLGNVGNVQREIRSKGDLSEGKERDVRIKITDTLQAGLAELGRMIEEKEGRFEQGLWS QSTPVLKSE
I206_01597	MDVDTPTPATAVVKGDDKKPRFEVKKWNAVALWAWDIVVDNCAI CRNHIMDLCIECQANQGADNEVFTGCTVAWGICNHAFHFHCISRWLKTRHVCPLDNRQ WELQKYGR
I206_01598	MHENKSLENAFATVGAVLWTVQAFPQLYKSFRTKSTKGVSPQLM IIWALSSLFFCVYTVVRKLAIPAIVQIHFSLIVFSSSWVQCLYYGSGYSLMRSLLFGT LWAIICIGFEAASIIGLLVTQRHDITIPAQVYGYMSSITCVIGLLPQYYEIYKEKEVT GLSYPFVCTNAMGGIFYMISLFFRPSLDISAMVIYVLSAVMMLLVVILALILNPRAAK KRKLERITTTTTATIDPQSILPSNFDLEEIRFKSPIRNHDPDYFSDSECESGPCTPTG LLPHHEVPILDYGAKITADLELQQEVPFSGYDAKPEEEV
I206_01599	MKENKAAENVLATIGAALWALQAIPQVYKSYRTKSTRGLSPLMM CIWAASSLFFCVYVSSRHLAIPMVIQPHLTLIFLSIAWAQCLYYSYDYSFLKSLLYWV IWMTLAAVFEVGSIFGLLAAKRKGTEVPMVVYGYTSSALSFIGLLPQYYEIYRSKEVT GLSLTFVFTDIIGAIFYILSLFFRTRLDISAFLNDNVETGFQVMYAVTAVMVIILVIL ALILNPRAAKQKRVQASISPTMPPLPTSNETGDIVVEEKPECNQSDQTRNPEPVTGLE YFVQENATHSYPAMPGSTLV
I206_01600	MAAEKAKSVAKNVVDEPLTPSFTPKDYSSFFLAGALCCTLSHGA MTPIDVVKTRIQIDPALKGYSLLAGGRSIVAAEGTKGLLTGFGPTAVGYLFQGGAKFA GYEAAKKYLVELSGSKENAIKNRTAIYLGGAAIAEFFADILLTPLEATRIRLVSDPKY ATGLVSGLTKIASTEGFSSLYAGFIPILAKQVPYAIGQFTVNERCTEFIYNSMTPETK ANLSSTSQFGITLGSGVVAGFAAAILSHPADTLLSQINKGHGPKGSMVYRLITLGKEA GVKGLFAGLGPRMIMTAGLVSSQFIMYGWIKSAMGARPGIEIHKEETA
I206_01602	MIHQCLEHIDADFISHICHCIVQIPWSSCFPSSNFRNRPKSPDL EAQEGELETLLSGSNAGWDDSDMFSLSTPRAVKERQAKGLDPSKPMINPFKSHSTKAD PPAYESHLNPSYSYSNPSSYPQRPVSGYSEFEIEIDEDAKSLSINPTKLAEIAKQFEP TLTLDDIRREEEEQAERDREAERVNGPSMRKDYARTGSCSRIGRINQDQDEEFGEFEE GKSNDAESESR
I206_01603	MGWREKIPYGAHVIILFTLSFAALILVILNTFSSPFISSIGFLH LPGTDGDTNFGSFGWCSSTFCLPNQVAYEYEPYVNKALTGGMMLWAISVIFVFFTVLA ILPLLFVHESKALRTVGNRTFFIYTMGLATLLVTLAWLYSIFGWSIAHRAFELADIEV SFGPAMWMGLAATLCMLVIFLLRWPTEAWDGTTTRANGGTGARGVPQIPNNGYYHYKR TTREVVPRY
I206_01604	MFDSNIIINDSTVIITSTEPDYGFTLFSLIGIILIILHLCPWLR RKLVGAKSFSATYHEQTFEHETNRPAFHNRHNRFPINVTFDHEVHQTPVDINAQPPVY GAIGGPVWDLTDDPARDIPDNIEYDGTSGSDNDESDDEKDFDSHSTASSDTLVNGDEE FTVNSRQLMKITSLYRGQRPGDLHIQIPTADDLPQILDQPLVISPESDRDEVEDVETD GNESEETAVERPIFTPSPTLSNRYKPFTHFIRRERSSSTNTTLVNSSSSNPRGRSRWS TLETPIRSHSDHELSVDENRGRSRSRSVGENRSKSNDNIKSRSGSRSKGKGKCKGNSE SRTRTRTTSGSGSGSESRNRERSDGGNSNILSELHRSESPEEIEDLEEDYLFGEELGS IKKRKRKRNERNIKRLINNENQEYLIDRQISNIYKGEETIKWRWWCRPSHEQLLSELK LQEQILAYRGFAYLIDFDTENT
I206_01605	MSSYFPFSSSSKSLPQPTNSVNLDDPHLQVTITPSASAYYAGET FSVTITFTNTRTPPIDATYPKTPISVPPTADIKSASSSARQLPSLGQCGNDLPIQDFP TRKGQIGVNLPTLPLSHNGTNAEAGPSRITTPLSVNSTPGPPATELGYPYSPGANPAY RAPGWSGNGGGPTSPTREKPMNFRSPDGWTNNHNGKGRESGHGRRTRSLALGQGTMSP QELVWALGGQLTAPPLPSRRPQSAAIPSHHPHSRKISVTNFPLSPPSDESINQTLSPS LQPVIERQITSPSAGTSRPPLNRGASSTSSMGTSGDDETFISNEALTRHKSRPTPSPL GHGRTPSYHNAYGASYLGFGTPPPLPPPTHPYIRERIPADRGTTTILWAYTRLVAHFH PSNTYIPPDPLLPLRSMLLHQPVGSGSLNSTPAMTPTASNAGASSRWQLSFGTGTIGN ATQPSLTGSLFGLAKDLVLGGGGGSLEEERKRVWNMKDLPVLETTRSLLGVDVKLKEG ESREYTYSLPLPTTLPPAHRGKAFRFSYDLIVSLNVALPGGGGRQKSKDISIPIRVWT NVSVSQPFQTYDVLRPVIQTKDEGSIQETETEIQADQSSLYPVTSVDMRRRSSASDRH RRKTGDTEESLKAYAHYLLDTVKLPSSPCTSDQISPKLPPLSPVRSRPRTPRSQVVSP SSSTFDIPPLPERNASFLERDDELVEESAETGCGEAVEILSRHSSKASYDIAKDGDLV AVLTLIKTTYRLGETVLGVVSFNQGNTSRRVLKFSAFLESRELIPQTLLPPPGDSQPT LARLHAEHRSSYVISSSRIAFSLDIPSDATPGFSLMAGEEGNKGGLEWKVKLQFVVAV PHYHQHHLQHQSNGHRRSESGIRGEKSNTSKIGKYDIISLLPSSLNNNDNDNQFYTAS TNLTPLLHSSEHSKSNGSTDLNLQENNREKFAEKWEEMNTELVECEIPVKVLAGNTAF IVRPSIWVI
I206_01606	MAPSTPSTPHRAGSEGGSPAPTVAAPGSYNLEDVIPGVKIFVRK PLPNGQEEQRKAEVLSTRPKPKPSAFAPPPPPDAPPTDPKDDTEYYVHYVEFNKRLDE WVGGSRLITDKEMEWPKPKDEDKKKREKVGKATPGSATPTKGTASPRPSGSLLKKAAT KAASAVGKAHPLAKSTPKGKVPQKRKGKDQPGLDDEDEDGEGEDDNASVDADGDISMT GSQDGEGDVDADGEIDLSGPINPQAAPKVFSKKQEIEKLRTSGSMTQSHSEVSRVKNL DKLQMGKHEVETWYFSPYPIEYAHLPVLYICEFCLLYYPSFTQLKRHRTKCTLLHPPG NEIYRHDNISFFEIDGRRQRTWCRNLCLISKCFLDHKTLYYDVDPFMYYCMTIKDEYG CHLIGYFSKEKESPEGYNVACILTLPQYQRKGYGRVLIEFSYELSKVEGKLGSPEKPL SDLGLLGYRAYWQEKIVELLVNSDDEISLEEIALKTSITHGDIMHTCQALQMIKYYKG GHIIHLTDAVLEQHRKTMLKSRRSIDPKALKWKPPVFSRAQLAFGF
I206_01607	MSAIKGKTSLALTRVWHHADAQNRVLGNLASRIAWVLMGKHKPT YDPAVDAGDYVVVSNASNVHLTGKKGTDKIYYSHTGYMGGLKAVPITRMKERRPDEII RRAVSGMLPKNTFRDRRLERLKIFAGPAPEVYANNSLTTWRDQANNITSKDTTTIV
I206_01608	MAPRSTRASATAIATAQDAEIETPGSKRKRRSSQDSPLKVVLPS PSAIAKANPSTPTSTITSISTEKVNGETDHDSKRQKLSLKIHLHAAEKPREEVKVEED IPGALSKEIREGLSVVIARMAVNLPQPCNNILALWLPPSFDKKEENTLGYVLKQPSLT WEKLVDIIHLFSENLLAPVLYPNPVPARAQFHLPVPPLPSHFPSHSIYNFCASLYSLL LEVEPQGSGEGLSAERWALIQKTPQAGEWFTGAADAREVQKRKAKEASGNLLEKLAEN GDAFANASAMSLQSTFGGHSSSQTHTLSDSLIRRASLKMNRWKERRAQRGFGGFGSVT RGVTIPHITEAPFTPSFGPNLDSYHATGGEGYYSTLEGMHERARHREWSKRVLRRTKN IEEGGYHGVFDSGKGKEKATVDDVLAENGGLIEELQAWQEVRIRKGVSAVTEREHEVA EELLTSLTKLTGNLSPAHMLTEATTSKVGLAHELARRFLPVSSPSIRGTLDPRRPHAL HDNITVKPRTMTAQALGGLSKLPSASPHAPPPMKVNVMPPPPIPQYNAPPPHTLPAGG RQNNGDHRSVSALPRTGYSYTTSPATSNVGYNNRPTGSPTPSTPGISSGQYPRSAVGP GPSNLRQSFAPGTPGTPGYNANAYGSHGVGVGNIVLPSASR
I206_01609	MAEYNVDGMFRASPQSSLRNIDSDNEMGDSTQKRKRLSLQEGLL GGTSSSGSSNSIDKGKQRSVTMGPLRASPEHDSSGENSKKGKGKGKVSATGLAGFTSC EACRRGKRRCEPSPLVPPDHPDAARLPCARCRRFAIECIRVKAVRRKGPAPVAVSDAV HGYNHDSSLITSDTARRSSALSDIGGPDFERKLSASSNHSPSYPLASPALSINNLPDG IDQVVPGPTIDSILNLFFDYVYPLTPCLHRPTFVADLTARRDRTDPVFFALAMSVIAS TLVQIPRSLVNLDKNEVENLARKCIQVSRAKMGHMWEDATPITSSMGEEGIVYLFLGN NTAHVVATAQANQLALALRLNEESSYEGLDMIEREIRRRIYWLLFQADKSTACLVARS ICLPLEDAHDLMLPAEVDDDMITPEGILPQPPGTTPLITGFNVNTHLFRILNDAILIQ RRKSARSMEEILTDLQRLQDLRNRTIQTFLTVPDPLRMRNAYDTRSASPAVDWENKLR AQFVDFFRSAGDRSHALNSFLVMQGNILVTQHVVRLVLLQTHQALLAMLAVMTPILPP PIGAEETPENIACELLDGLNSLPVECVATNGPSLVQKVRFVGIHLMEATNDPTGTQSH S
I206_01610	MPSRSSSSDKNAHIQQDTLEHTVGLAFQPNDNTLAIAAKEEKAV GTFEAIKIYRYAFLWCLFCGLGAILWGYDVQISGGLLSAPLFRHDFGYEYNGEDVIPA RWQSAFNSVGSIGGMFGGITVGWIADSIGRRGSIAMASIISITAIFIQFFCPPHYNAL MLVGKLINGIALGMYISSASAYGSEISPVALRGITTGTVNLWIVFGQFLANCVIQGLG SRNDRTAYRIPFAIQWIFPVIILIGLPFTPESPWYLARKGLIERARRVLFRLGFQDVD LHLRQIQETIALENHYDKETTYWQCFKDNNRRRTIIALMVFVLQQIVGVIFVLGYSTY FFQLAGFRTSDSFKLGVGVTAIGLVGNLTALYSVNKFGRRPLFLWGMIGCTAVNLGIG FSSLSKTKAARWSEAIFTLIFGLIYQGSIGPLGYVIFSEISSAKLRSKTVGIGICVNS LCGMLANIIIPYLVNPDEANLKGKVGYIFGGLGLLGCIWTFFFIPETKNRTVDELDAL FEAKVSSRKFGKTTVDR
I206_01611	MRCVFAILRGLIQYAHVPKSELDEAQIKELEEYEISQGPLSVLQ QAVRNSSQVLISLRNNKKLLARVKAFDRHCNMVLENVKEMWTETPKGKGKKPVNKDRF ISKMFLRGDSVILVLRNAA
I206_01612	MSRLGPEPRINSKYLTQHRGETVRLTAKVIKLSGDTATVETSDG NTLGVHLSRDMHIGDGYVEIIGAVKDDLTIKAHTYIELGSNVDMKAVNAVVEFAHSGK GQGVLV
I206_01613	MVSFQCDACADTVKKPKLDQHRNRCRASFTCLDCSTTFNSPGEY KSHTSCVTEAEKYQGALYKGPKKNGQPQPSSNTSAAPSPAPTPAITEDSAPAATTSSI HPSRLNQLNAPEREFPQRGGPPGRGGRGGFQRGGFQRGGYGGVVERSYATDMNKLGPQ TGMRSWGSPAASAENTPKPEEIPSKSVVSSKDGDSNGDKKKKKNKKGDKGGTGSKANS KHPKPEEASEEPLSKKRKFEESESTSAAGAESTEVSSKIVKRLKKRVDKMEEKDLSLK EWIDVLGKDKEKNVDASEILKAVRVSKKDGQFVISI
I206_01614	MSSSSNPPVTPLYIPGSSSRSPTTSISNSPHGGTPQSAIISYPI DWNNSRESSMHEGTSIDNDLFKGDIEPPIPVSGHPVPRVSTETDVLYQMSASSSSSSS QPIFEWPSRSSQPSAYSNLNRLGNHPSDTFEPHSSSSEDDVNAYPLETRRGSTFRSTT DPLLHDDQPIDPEFIIGPPMDSYNFDPPPAFNRTFSSPLPQRLGYLRHPLSPASITPA PPPITSFTKPPLTAKPAPTALHSVSLEFADSLQSAIQTLLHLSPPHLLDNAKEQYSGC TVQVPATSLSALLTSMRGLNFLSAHAEQLVEGPDQGPQMRKGDDFDIGELLQNVADML SGQASQQAVDFVLFHGDVGIKHVSVTGDGEGLSYTLSHVIRQILLVAHQSDTIELGLQ VIPQSPSLTPRIGQPLTDAEIDKHRGTKSASGSRSNSPGRTSLQPPGPNEGPLLCVFE IVHNIFQSIDSTHVTPKAELNPFTKLAEAAESVKPNFNTTLCRRLLQAQNASLRVDVQ PSSPSGTGMPRRAYELSILLPRGRPIVEPTPLSTEEEAVRQPFSSVRLAREPTLNELS DFAESLRTKKVAIHANLSSVFARHLTSYLAAWGMDISHIPIDGDEIDKAKALLPTGRH DSGYGGSTAGSTPAGDIPMSLAPSFRDQGRFIIIDDDITVLRKELFRIRAELHPLSLK PRLNKRPSLSSRTRSTPHVRQVPQHRPVQSAILIHFTSLAKYNQVRDVVSSFVGAPWS TGSGAYVQPEVMVIPKPVGPRRFLTALHTAVNQPIVDPFFSPIATSPRSPGGGYFGGG GARTPTDKERDTGFFDSVAEESTEEHIGGGSQKPRSPLGEHPPSAAQIVRTDQGLHLS LPTPGEILATPANEYFSSASKATPLGSGASGVVMQSPDGRPYGMFFEPPIRNNERRAS AHRIPSDSLRRKSTSRRTSAGGEEGTASPAISPNTSRRVSSASNISGPGDDKRGSTVG ISERPGHARVNSRRRTLPVSEAPIVAVGRDRSATVTRDSRKATPGHSPVIPSPRSDQS GFPTPARQLKKGPVPAAAAMEVTEQETKKVSSPRPAKKVDKNDVVVPPINVLIVEDNP INQNILSMFLRKKKIKHQSAKDGQEAVEKWRTGGFHLILMDIQLPVMDGIEATKEIRK MERTNNIGVFPSTPSIEHVKTLSPEGPMPASPFRSSVIIVALTASSLQSDRVAALAAG CNDFLTKPVSLKWLDKKIVEWGCMQALIDFDGWRRWKSSDPKEASEMKKGFTLGPQAA AKSLASRLRIERKATRSPTPAPAAPQVQIQVPTPEPTEGITPSTLPLAPPNPPPLTES TTNPELTKALPSVQEVSESTSPLAKQIGLLKLDTDTGDESASTPVTFTDEKPLPPLPT E
I206_01615	MLNCRDAKPEALMLGCEGTLVTLSTVSGGHRDTDPFTTHGSVDL AIGNPILLTRLTFSEAGQELRAVKQAGRTTGALVGAVAALVTFSSILVYLYRQKRPKL RASQVDASGII
I206_01616	MGAACCKPEAIDFEGEVNLFHFYLLRSVGKGAFGKVRVVQHKHS KTLYALKYINKPKCVKMKAVANIIQERRLLEEIDHPFVVNLRHAFQDDENCFFVLDLM LGGDLRFHLDRAGAMSEEVVRFYVAEIAMAIDYLHSKRIVHRDLKPDNILLDEKGHAH ITDFNIAVHFSERRLLTGVAGSMAYMAPEVLTKRGYSAPVDFWSLGILAYELLFGKRP FRGRTNTALTNSILNEHLLWPDDAPGKCSSDGMHAIKSFLDRDPNKRLGYRPGGGGFE DIKAHAWFRNIDWDQLYKKEVVPPFEPDSKRANFDATHELEELLLEENPLKARKRKEG QDLEMMTPEMRMMEEHFKVFDYTKTQRRSYYHPTASGTAGANHTTSSGTTATAVSSHL SAKVAEVSRPGTPSDRTGVISKTGLDVEAQILDGGGMANLGGRGLRVSSQLDGRQDSL EQRSNTLNQPTPLRQSS
I206_01617	MPILGLKSAGPSNPSHFSLEPLIRPNILALQPYRCARDDYSVGV LLDANENAMGPSLPSLAKKSSDDESATVTTQTLSLLSDEEIASLNRYPSPTHDELKRA IAKFRGVPNEEWVFLGVGSDEVIDMLYRVLCVPGKDRVMTCPPTYGMYKVTANVNDVG VLEVPLITEGGAYQLDEEAMNSAFEANPDLKILFICSPGNPTGTLIPLDAIKRVLENP LFKGVVVVDEAYIDFAPEEHSAASLVNEYANVCVTQTLSKSFGLAAIRLGYLLAPPPL IQILTNTKAPYNVSLPTASIALSALSIEGLAAMSLSVATLNQNRKALIESLSKVKSVG RVLGGNHANFVLCEILDEDGKPSNKKAVEVYKTMAESRGVVVRFRGSERGCEGCLRIT VGTEEECQEAVKQIAALLE
I206_01618	MAVQFDGGVVIGADSRTTTGAYIANRVTDKLTHIHDRIYCCRSG SAADTQAVADVVHQHAQVYTAVYGAPPTVSTAAALFEKMCYDNKDQLSAGIIVAGWDK ESGGSVYNIPLGGGMFQQPWAIGGSGSTYVYGYCDATYREGWNEQETVEFVKNTLALA MSRDGSSGGCIRMCVITKDKVERHFIPGNELPRFWEGKEIIGSVNKQQVVSA
I206_01619	MPSVLPNSSKSYAPLSDEVNPSFGNVAPVPRVTRNGTIVYENQT SSTMSSTSSADSEDLERAGTRLHNGSEETLSPSFSRKGKSRALEGQEVLEELGDIGRT RRVASLKGKERAWDVEQGREESFTVPDGAYPPTNEVEEEERKVQQNLARFAAKDMARR RAARESRQIPSTSIPASPRSSSSTTSFSRRPFSVISTKPNRNSIMGLMEGIWPASPKK NEGWLPMTQSSTTHEQTYHNPYDTQPTFSPAPKMVISPTSPHNPSPFADPVSPTPGAA SSSHHRRPSLVSGASVGSTQSPLTSPTDGVGFAYGGPTWRGGQAVQQQEEELPKADKW WHALCAWGSDLDGGHESNQQGGQVGRTNPFE
I206_01620	MTVDHIHPFLKGNFAPVTEEYISHPCEIVQGEIPVEVLGGQYIR NGGNPVYPPEQGRHYHWFDGDGMLHGVHFGDEPRYTNRHLATPLLSMTLLLLRSPLPS IALLISPLSSLHRIITAIIQAFLIAVRARMGVLSVANTSVIWWGREPPDQRLLATCES GPPLEVRLPELQTVGWDRLNDQGESLSDRRGRWEWWKRFGLSRVQEDWMTAHPRIDPI DGSLIFYSTQMFDAPHVRYSVIDRTGRHVVWKQGVDVGRAKMMHDFAATRSHTILLNL PLTLAPHNLFSTHPVPLIHFDRNLPSEFVIFPRLLQPSHRPLRFQDPEPSLIFHTANA WDEHDDQDDLIAINMLGCRFRSAKLVYAAGAVDVPIVEKTFGENDVVRLQYYRFDMVG YNGGVCQSAQRITHNFPLTAIPFEFPTLPTHLGMTAARYVYGCTMQSGSFDERLGGAA KVDCIAKIDVLDLIRKGQRRGAGKNDQPVDSRSSAQIVQDWERGIVGPIELFTMPPGW YAQEPRFVPREAADSEDDGYLMTYVYDESYLQANGIPSTAVGSGSELWIIHAKHLAKG MSAVKARIKLPQRVPYGDQKSTGSGVTTPGSRSAAAQGRSLKTSALCIHPVRSPNVQR KIPN
I206_01621	MPTQETTPLLGSNRGHDASSAPPFNPLKSTRYLLLGSWMNLLLV AVPLSFIADLLHWPAAARFATSFLAIVPLAKLLGDSTEQLSMKLGQTLGGLLNATFGN AVELIVAIAALVQNELRLVQTSLLGSVLSNLLLVLGMSFFASGFFYSESTFQVTAAQA SSSLMTLACITLILPAAYHASSTEEAQNIVKTLLDDGAPDPADASLRGLLILSRGTSI ILLLTYVGYLYFQLRTHAQLFEAEEVENEEAEVASMDQWSAAGWLVIITVITAFCADI LVGSIDETAQQWHIPKRFIGLILLPLVGNAAEHVTSVWMACKGKMELTIGVSVGSSIQ IAAGMIPLLVIIAWPLHKNLTLFFANFETIVLFVSVMLVNLLLQDGRTNYMEGVMLMS LYLVIALSYLV
I206_01622	MAKGQPQLTLKPLRSDPGGEFMSNLAKQYFLDAGIILTTVITLL PRVEIQNRSNSPDPVDLTDTSQEQNTIEQVDIISQESIDPLAITDDADTWGNHAIAMA AMLTLAPQTNEEALSSGDQQHWSLAMKDELEKMSKYKVWEVVQ
I206_01623	MIVCNLCGDSVLDLGSRCTKCYGRAIERPNPVKALLSSPQKRGS PDRWADKYTKSTTHAALTSSPLSNNRAATTEHRATASRPGLSRKETFDVALTAESDAE LARVYGSVLEQGIQHPQCMKCGTDIRSGMKIYLARNDAAPLVAGDTLCKPCYTSSFSI GACHDCKRPIIGEREEGLGGRHIGARGLKWHGKCFACSLCGKPPSRQTQPLLLPSAKP ACEGCYDVYFSTNGRYSSSKDISPGVVTTTNKYARPGQLLQGSRLPPRPAETAQELKR LMLPGTMQDVNSAAQGTVDLRPCKIMSPTRPQMALPNADRQTEQLLGFNRDLQSPPDM KKVTSVQDRVRQLNAQTTASDAGGHSPSTQSSRQPLYPLTKHNR
I206_01624	MKPSIVDKKIVLDGLRSLPEDTSILDPPNVTQEQASYFTRELLA FVEERQSQAARHTVLQAASNLSPEPVWENTALQWSQNADRTGAGNDRIITYIIKGFKE GVFQPELWLQGLENETKDNEVSKEILVAWGKDNFDTLLERCTGLYTRSSMRMPIATLL IKSVVEYPYHPSDVSSATYMARVANSTFVDILLQSLILDTGNQLFSINLRLLLAILPY APLILTSKVPLMAIVLGRAISWRDRPFIDHTPIARDGVTQTQIPDPSLNWDVATSATE IEIDMPDHLKPRRIAQMFIIAMYGAWPSNVIAFVRDPVSYIRGKNVQPIYAVDWDRVW SPGVLATRLEPLIRDFRLHPSLVVFTSTAELADAKRWEKIDASEFIARSQALSNSDQQ AISHAGLFDEEEQLVNVVQSMDSGNLGKENELLRLEAKYTARVRKQYLYHIGRLHRTS LRLNNDEAEIHSFVNRLKAQTSQIAELTTQLSQARTDASLAQQKHVKWQTQLRDKIAN FREEKATWQTEAARIRAELSEARATAAAQHEELAEVKNERFKLQNRLTEADPKIRHIA DYEIRMKQLTDSQRLWDEDVQRCKEALQQAEASKARCHEMLRASRQETTDQAQAISSR ERKVPTLQRRDREDLPDDRNHIAKSSQDIEVYIKLLEEAKARSDKLERENLELRNNIP NPPKKEGDAHDRSFIFEDQVV
I206_01625	MPKVIRLDQPTYYEILGIEEAAESCEDRNLHDPSAKDTFQQVQE AYEVLSDPERRTIYDDKLESLRNPPAPPCFSAYPGTFRPPSRNPARPVFQQPPPFHPL HGTPMMVPSAKIIWFKTRLVQRISSPSSALATTGPNYSNSPLPALAKRLCTENDDGYG GSFSETTMDGGSESKN
I206_01626	MNSNDNLAIALDRLKFYAQDAVVTLTQCICKPDATLKINGRSYK IEKLLGEGGFSFVYLIRDLSSGRLYALKKILITSGQEGVKEAMREVEAYRRFRHPNII KILDSAVVQDEGGEGKIIYLFLPYYSRGNLQDAMASTSVSGSRMPERKLLELFHGTCL AVRAMHQYHLPAVSASYPPTQEDDPLVGEPVFDHDEELANAEQGELIPYAHRDIKPGN IMIADDGSPILMDFGSTIKARINVETRQQALLEQDIASEHSSMPYRAPELFDVKTGRT LDEKVDVWSLGCTLFAVAYGHSPFEVDGTSIAMAVGSGRYRHPGGYSQTLVSLIDAML VVNPEQRPDIQKVR
I206_01627	MARPPFPSGWSKIPLSLKNLTLANTLPVGQSFLWHRHQLKASSS EQPVEEFSRAVDNPPRVVCLRQTPNHLYYTAVYPSIDAAETDGRTGITRAWIDDYFHL KLQPDLEKLYDDWRRRDPDLFGKVEVNERAIGVRVLRQDPWECLIAFITSTNNHIPRI TSLLHRFSQAFSPPLLSLDNPDGSGKTTYHLFPKPSAVPATSDTLLRNMGFGYRAPFI ESSLQMLQDEFKGEVEEGLLSWRTEPVEDVRDKLVALKGVGRKVADCVMLMCMDKPSL IPIDTHVAAIAARHPAFPSRLRNKSMSKQIYEETQQFLLDKWGPMGGWCQAVMFAADL PANNKVLKVETKAVLKDSLSLKRKAETVTVTELKRTRSETRRVMKVVTEVKIE
I206_01628	MLQSASEILSTTWSAVEASISVILVLIYGFLASKCKLLSKEGEE NASKLCVTLFLPALLFSEIGPLSSWENLQQYWIIIVYAIVFQIISWIFGTVGVVAFGM PRWIIPCMVFNNATSLPLLLFSSLGKNGTLSPLTKGDELDGVLDRGQVYLLINALVAN LTRFSLGPIMMKRHPLDVPHPWSHSESPHAVKKVKEVLSGGYPEIEPYSDDENAPLLD QAREGGKKGWKILKIVKNCLAGFMNPPMYGGIAAILAGVIPFLHNWLFTKGAWLSPFS DSIEKIGKLYAALQMLVIGAHLRTKNGSRPPIFPLMYLFVIRFAVMPVISISMVYGVR KALGDRILSDPVLDFIMMIAPVGPPALTLAAIVEMSDTDENVETAVAKTIVISYALSP LISFSVTAALHVVQKLY
I206_01629	MGAKAQVLRDIRKRLSAEQFEVQRRAYLFVARNTTLPATVRHKA QLGLNALNGGEGRLGAVKSRCWETGKGRGVMSKFGLARFQFRLKALNGELPGVHKSSW 
I206_01630	MDTTLPSIVSRLFVEQNQRNIAKPHQSSTTSSGIMLPDPARSSS SRRADERIPLRSNLPPPSFVDVQETPSPPRKIISNCDKAKIEARPVTPLDFGNSSKDK TMPSNGKQIRTSIDQKLAVEPAIKGIPQGRQEDLAISVTNNSTSISVVSQNVATIPHR NGAFKDTRIKLSSLSGTMRSSFRDRSNDKDEKEKRSSSLKLHKGSVRSNQRGSKIISS SLKMGLQSDHRPVSPNHRSNHGATHSAYSDTSFNTANTEASLPLVPPSAPTESTECST AEFSLLFTPTSQMEYDYPASITSSRSTQSRERVLGLISDPSPVSPAGMESFTNPAIEE VLPFAGGQPFAAWSSPVDNEGQISVHNHNVEVGRRGSMSPGRLAMLSPKDAPHTESSM SFEGWEEIPRPRSNLPGKKVWLGSRGDQSTGVYDVGAQEEDREVEVWAWVATKK
I206_01631	MSEKPLYTIPIDERPPHGPWTSKVFFPLVFNFAQLGINSAQFLF VPLLLIPVVGRRWFDTAVGWTKDGYGRLLIVITVLFGPTSLILTTDTPPSITNLVERD ANGLMTKINLPDRLVVMANHQAYLDWMYLWILSCYAGHSRGIIILLKASLKKIPVIGW GMRFFGFIFMNRSWAADRDNLTLALTELGHQAQSGAENHTSETSGLLRPKKRSPLWLL IFPEGTIVSDEERVKSVRYAEREGVPDFVTMLHPRSTGLLFCLRTLLPQVPDLQLLDV TIGYPGVPYGKYPQDWYGLFSVFFRSVPPPTVHIHLHLYSELTSSTSEVPSLVTKPLE SGTNELPSSTGLATPEETKAFELWLRSVWTAKEKRMESFYRDHKFQSGEGGAREVVPV KQTKWYHWISAFGGGGLGTAAVVGIGIWQIVSLIKSL
I206_01632	MDGPINLASLSEASSQPSPSRHQRRPSLTLSPHLAAHPISVPSR HGSLSNRPSKSSYEPLSSSSSLRRGSRGSFSSLSLSGSVIFGSTSVFQSSAILDEDED ITVDSGAWLDKLARDILLAEDEKVLTTVADTRIEEAVNLLLENDTKYLLVKPLDTAQT PAFFDRKDPAVQDDSRTVAPRRECHYWCSLHHGIHRIAVEDGGVLSHDTVLRYLLELG IPPSILEARINSKLLNLTLHSLISISSSATIVEAMQAMNRYGLRVLGVLAEVKDQTLG SDTSPVLSPVDEEESSLVSVVRGRDCARMVVPSEGKQALTMSLAELIKLVEEDEIAGK ERGEERMPSDIDNAGLRLPSHPCNGFVQSVH
I206_01633	MAALEPQVDNTHHFGTNGNNSVEDHDYGLSEKAQNLDLNDRPAS RATHRTSQTTQSNFANGGPAVVPGKFVEDYNDNPSNTREGGNEIGLGSALSSDPTDLK PEVDQDEENPQRPGFNSRASRSYVKPIPIVTTYEPELPEAASVKQRKTVAGSTKAPST KRASSKAGSIRSNKAASVNGDHVRSPSVNGDHVRSPSVASVRRLPAQNEEHNGFHPGQ IATHERQHELQGGNRVSLHDSGPISRDRSTTFEEPDLPQQRATSPTRPHSSFGYRPQP NLMSISEADRSESRNEQSRDLRAGVLNRSGTVLSRSGTYGRNGNLSRGANGGTIGSRK GAFGRGAGASVGTQPEEVLGRDDIHQRAELSERILDDATLRRLSTMEKKDAKRLAKVI KAEGKSEAKSVAGAIKELERLTKLQREAASAERKSQLRLSKWTRREHKARLRFLKEKE KYEKIEGELRNSENDYEERRDHASGLTAQVAEKTQSVDDLRAMRAADDREREVKLLAL KNPAHS
I206_01634	MNQLPPSVQKVLEGKIDPKSQYALEQITQIFLIALTVVSFVISF FSSSVIFGLEVFLGGLVLLILVGLSNL
I206_01635	MPLPPICTTFEPYFLLSDERLHDIVNHFRKEMEDGLASYGKDVA MVPSFVTGVPDGSEEGTFLALDLGGTNLRVCEVRLFGQHKFEIKQQKYKVSDELKEGE ARILFDYIADSVDAFLTEIGSDISSNEPMHLGFTFSFPVEQTAIDAGKLLTWTKGFNA KHAIGHDVVKLLQDAFDRKHIHVRCSALVNDTVGTLLSRSYQSGPALIGAIFGTGTNG AYIDKTRTVQKLGEDKIKQAEAGGEHAGQFMVVNTEWGAFDNGRNCLPVSQFDNKLDR ESINPRKQAFEKMVSGMYLGEITRNILLHLIDSSILFNGYSSKTINTHYGYDAAFVSA VEGAKSPEEVKKLIISQLGVKEEHIGEGDAEIVQWAIRVVAIRASKLAACAIAAVVQH TGNDKVPEGEEDKGVDVGVDGSVAEFLPNFSERVSEALAVLLGKEGGKRVRMGLAKDG SGVGAALTALQAKKAMDKRTEGKSHSQFVPGKKGFN
I206_01636	MPLRRLELSNFKSYRGQQVISFGDAPFTCIIGPNGAGKSNLMDA ISFVLGVKSAQLRSTQLKDLVYRGRRAIQNDEEEMDIEEEAQRSQSVSENDARTAWVM VVYEDEKGKEWAFRRSISAAGASSYFLNGRSVAWKEYNGQLEKFNVLVKAKNFLVFQG DVEGVASQDSKALARLIDRISGSLDLAPAYEAAKASQEKATEASTANYAKKRSIITEV KHFKEQKDEIMQWEKLRDAKDLLVQRHALWKLYHLTNEINESTEKVEEASNQLAEYRT AAERDDRKLRDAKKEQAQAQLNVKKREANVKKAEKAYEEKKPELLEVETQIAHSEKKV RNANLLAERVQKDEERQAEALANLEQGAEDVGRRMEEAGQRQRQRSQAAGITLSGANL EEYRRLRASANLQAVNERQQLETLRRQQKTLRDSLSSIEDRIQQAQRQQNKLTAEVDT LTDREGTISRKVEDMSEERERNKGQLDHAQAERQRISMRETEINERLQETYNKLLQAG VDKRESEREAKLKETIASLKRVFPGVHGRIVDLCRPVANKYETAVTTVLGRNLDAVVV EHEKVAIDCIEYMRNQRAGQATFIPLDTIQVKAVPERLRNFVKGARLAIDCIEYNANV ERAMQHACGSALICDTMDIAKYVCYDKGQEVKAVTLEGTVIHRSGLITGGQGSGGARS FNDRELEALNRQKEGFIAQLQEFHRSKPKEKADESLLESLARLDAELTVARDDLQSTR TRLAGLRQELEHITSTVSNLNPDLRRRQREVDDAETRRQGLLETINEADDGVFGDFCE RIGVSNIREYEDVQLRIAKEADEAMEGFAAQQARIKHQIDFETTQVNNTRERLASLRS TISREERNITDLRTTLQDLEGALTELQEEVDRQRGRLERANEAYEEVIVKVDGARDTA RRTQRTLDKALKEISVWNDEIERSGSERHAIYRRCRLEEIDLPLLRGSLDKVPLEAPV RDESAMDVDEDGTQRPVETNDYGIEPDFDNLEDEDKEEKSEDVGREFESQIAKLRADL EKVVPNMKAIDRLKDVEAGLEEAEEEAEETRRESRRAKDEFQSLKKRRCDLFYKAFNH MSENIDKIYKDLTKTTNQVGGTAWFTLEDAEEPYLSGVNYNTMPPGKRFAEMEQLSGG EKTMAALALLFSIHSYHPAPFFVLDEVDAALDATNVSKLARYVRNQADKEVQFLIISL KSTLYERADGLVGVYREQEGNSSRTLTLDLRKYAA
I206_01637	MDHDHDGDLSTDEIDHDHRSSKGGSKKDDGGKGDGKGDRKGVIN RVNRACNNCRRMKMRCVGADDPPCKRCRNGGLECVMEKPGKPGSGDGNIGEDRIRSLE SQVSAMQNTLSDLVTTLRAGMSSGNSNGSAPTPVHVNNTTPDYAASISNIPLPASTLH GLMAPPGNSPAINPSAMDTYGRVTMPNDGNVFTGAQPQLTVPTLPHQSNYAGIGGNGF SAARPNNVGSVQQPPRRPVILDEHLRRQTMPAGTHPNGPPWPVNHLVEQNTHTRHMSL PPSRAGSMGPEDILGPEEIINPLGEMSNMAGLVEAAVERAREEQAKTTDVNGEIQMKR SGSDLERSRSGDEDKKVNKRARFVPPHPVAYGPVIYEYQNLPPIAIAPGSNTGPKRQH IHAFPDAVSEGLVSEQEGRDMVQIYYMGSSNFIPCFDPAFDTWDSIRTRSPFGITTMI FVGARVRDGGGPPSATQSLCRAHAEKIAVNTLFHPVQRIEAVQSMILLSAYRDSGWLP GGHAVRLALDMGINRSFLHLLRTGMGKGKSETDLEQERSLVVQSRTWLCLYLMEHQMA YGTGRPAIIREDETIHQCRRLLEHPLSIPSDARLVSTVEMTALRSPLHIELTSAPDLP IAEGTLKRLKQANADFDAWERYWDRVLSDRFGKGKGDFFRESLIIQRQYAELFVNSQL LRGIREPADVAKMPEEKRVLAIRAMRNAQKCLDICLHGDNYRNGLKYAVHYTHVCAAF AASFLIRIARLFPHELNLKKTAKDIEELANVLSQIPAGRYARSLRLILRKARRQKVIP APSRMPSPSKTTMALPHMPGHPGGTPVDLPSALSAFSPSQLVNPAYYPSPAPGSIPNM SPTSAAVLRNATNQFINDSPNSAELFEFDSLFAQETMEKAGITLGEDNQLPLFLDGQS LGASANHLDMAPYVGLEQFFLPLEVDNRLANPGNGTNDNNTGEGFTGGEGAWW
I206_01638	MRSNPSHDPSTYAATKNEVGVFTTTGYSDDIKPLWRFKNAEEAK KSAESIWERFERYRDERDFVGMDICRKFIQMGRTRSLRYALRPGGKKYDPSTGKERKR TGEVYDQGKLDGANIYEKWLDKCWNDKIYKKAWEDWKDGKISVSQDDDKEEEVVGKDF KKDLKDSDELEAVDVDRDAEDDSRPNTSKKRKRKISNGEDQYDIQASRAKTRAHKKKV APNKNLPSLDCKKWAIDYRTFNNTPIGGNAN
I206_01639	MFPTKLAALSAFVAAVNALTINTPASLIECQPASITFSGGSAAP YYLAILPGGEASAAALENLPNAETSPVTWTVDIASGTNITIRITDGSGNIAYSSPVVI QAGSSTSCLGTNSGSSASASASGSTASASASASAAASSAAASGSAKASSAGASGSATG AASSASASASAKSAAYLTRGNAGAAAIVMGFVATALRIVA
I206_01640	MSRPPMSPQILTPVSDQSHDPPHIHSNSDGEEKMNSSQPISLLT ALIQSADHKPTKPYMHTSGIISSHSSTPSTAVSSPRAPGHGILQQAKSPENPAFQGGS ILRRESNSGLSDEGHVGLGLGGMETLTSRLEKVEEKRERIGWADEVEHPPRSNALKFA VAPHPNHPGPTADHRAFSPISDDEDDEDIDEGYQEDEEDGFDSDDSRDSQIHPRFPFA RPTNFENHPRYIGGTYIPFQQAAPAPALLPPPSRRGRGHIRVDETSPSQDKICSRHRS PPPVRSRSSSGQRSSKPISHSPNGRLSDAGPREGRAGSPMPIAIAEDSEDEESDESAP VEEQERIVSAGWRSDDAVFYGPKAKQVNPIRRIRPRRHSSAFDNSVFVDSDGEDKEGH QPSSSGIGNFLRRASEHIPGFRRPSGGLDRVSSGGGTESAGQRASTPCPPALDNTPAM AQNRFANDPNDNSGGLTHRLETALNIPSAPNSTVVSRNPSIVKHSETHSNPISPRSAK VPTITTAQIIPTSKGEDQLGWTDEALLEIRKSRKSDHNL
I206_01641	MSAVQDNKATLPVPKNLLFIEHDVPSSTAASASTTPTDPSIADP LAAVGAGIPPPAPQTNGATSPPVANLAQRVGKLGLTETKLPDVHAEPHEYYGGAEVWT RARTFSNSGVAGTTKRRPAEMSGEKISRNRRLSHDEITASAPRRFLIDVEETMRVVLE QEDTDRNFQISIYDSGPKLLSLGTASSNAHKAFDIRGTYMLSNLLQELALARDYGRKR IVLDEARLAENPVDRLSRMIKNSFWNALTRRIDAEGLEVACADPKNRSQHTKARIYIP HGENEMAEHYRQIAREKPNLNLTVEILPEKCDDPAFVKSLNDKPGILALAMDRKVDKE GKVKLEALPFIVPGARFNEKYGWDSYFMALGLLVDGKIDIAKSIVEHCIFEIKHYNKV LNGNRSYYLARSQPPFLTDLALQIYNQLDRSQKEENKSWLKRVVQASIKEYHSYWMSV PSLDPASGLSRYRPVGLGIPPETEATHFTHILQPYAQKLGISVNEYIDGYNNLTIAEP ELDEYFMHDRGVRESGHDTSYRLDRKCGDLGTVDLNSLLYKYEFDIASAIDVAFDGEM NIEEEFPLSPWPITVEAFAEGAPRESSTATRQTSKHWFERAARRKEIMDELCWNDGYG MYFDYDTKAKKQARYESATTLWPLWAGCASETQALKLVRHALPKLEVAGGLVSGTEES RGIISLDRPNRQWDYPYAWPPHQIMAWVGLERYGFVDDAARLAYRWIYMMTLSFVDFN GIVPEKFDAVELSHMVDAEYGNQGTEFKYVPREGFGWMNSAYQIGLQCLSTGMRRAVA NCVPPWVFFNLPAPDFSSAKKRRAEREAREAEAAASGHGGAPKLEVHHDPPSLEEAVA KLKLELGHSQ
I206_01642	MPQSPSWELIEPYFVNAGFYKVPDPTYGRYTLPPHPPHRILIDD PAVTHRYRRVFLRPFNSFADKHEAYDTTQIIHAEDVNLTEDEIYRGLQLGGRWVFYST WELKEQPKGGWEGSGKGLGKDIILAHGLGDYGLRYTPHVHHFLKAGFRVIIPDLPSYG RSTGVHSYLPSLILLPSALHVVLTDVVQQDLAKGRDQRKVFLCGASMGGWTVLYYLLK YPPTLSATEVASQATQPDSTLPEEDQGKGYDNLERSKKEEKVRIDIAGAFVICPMVEV SKESRPSKFLEYIGRAISFIAGPLPFVKGVRGNVSDDPRVEEDFDSDPLCYHGLLRIG TGIAAVEAMTELEKRASEIDVPIRLIHGNKDRATSHLGTLKLFDRLPNTDKEIQIYDG YEHIMMKVGIDEADDEKRQRVLADWRNWLLQRC
I206_01643	MREVISVHVGQAGVQIGNACWELYTLEHGLSPDGRLIEGSPHGG DDGFSTFFSETGSGKHVPRSLYVDLEPNVVDEVRTGTYRSLFHPETMITGKEDAANNY ARGHYTIGKELVDNVLDQVRKLADNCSGLQGFFVFHSFGGGTGSGFGALLMERLSTDY GKKSKLEFSVYPAPKMSTSVVEPYNSVLTTHTTLEHSDCSFMVDNEAIYDICRRNLGI TSPSFTNLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPFPRIHFPLATYAPVVS AEKAFHESNSVSEMTISCFESNNQMVKCDPRQGKYMACCLLYRGDVVPKDVNAAVANV RTKRTIQFVDWCPTGFKLGICNEPPALVPGGDLAKVSRSLCMLSNTTSIATAWARLDN KFDLLYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGIDSVDVEEEEGEY
I206_01644	MPYSTTIPGQGGSQQPLLIPSSSSASPNRQYQHNGGSFPRQTSY NGGGGPNYGAQGGGPSRWENGSGSTSGGSGGGPVSNLSALMKSKGGDMNDLDMGSSPP GAYANSSLSSNPHSGFHPSSYGPNLPFSAIALGISSNQQQPGSYMGNNLNNMGMSISP PHWGSLGSGSFVGSMGQFGTSLNSRDRELEARYVRDFSCCGKKLNGLHELLEHYEEEH ANLAPDVRMAAINAAQNSMNSNLQTSQQQQQQQSQQPIPDRNINSYGVQGIPPTPTSL SGNGQHHHQSDVPQPPGMMDIEMDMDEPTQYPSTPNYHPHPSQHQHQHPIQRNIPNNV VGGMASNPWAAAFRPQLTNTSNSSQQPQCVPPSLLSYAPPTPGSIISSTNSNSTGTGS GSVGVGGYLTPEQIQAKAIRKAQKKAERAAREEVSADDPDSEKRFPCPIEGCGKVYKQ ANGLKYHLTRSINSGHGNVAALGGLAAILGEEHIGIGGQ
I206_01645	MPRSRRSKITTLSKTPVRSTKASKQALVNEIQATIDKYDHLWIF SVGDMRNEGLKEVRSQWRGTGRFFFGKGKVMAKALGETPETEYQEGLSNIAKRLKGQI GLFFTSHPVDETKEWFESWSKQEYARMGARSTAEISLPAGPILTPYNEQQSGDPFPHS MEPQLRALGLSTSLIRGVPSLNNPHILCKNGEKLSSEKCRILKLLAIQMAEFKIILGS RWSKEQGFVQGKELDKVESDNEVNDMDED
I206_01646	MTTNNAQQDDVAAFSTSVPPPPYSADNQYDLTPLPHTENRPRLP EEHRNPLLTNLTDDIKIVKFQTIVRESKEIVVGRIKVPTPGTASHAFILRRYDTNAIS LTTMYKVAFPTATDEDEKREMDWVKSSFETRGTNGGRGSDVVRLAGQWVSRHLAIHLA PAYNLADLIAALARAVPDPNVAYRKSQRSQAASEEMAGRQPPVEGAATRPVPSMTAAA ETASPAPKRQRTDAVSPVGEASSSTVEQNGTSQSEQQGEDRHLTLEATTTLTAAAGSI VDMEAEIESAKQLVKDLKKELRLRAAVGEELEDQGVDIPTENRGKKRGNKEDEGGEIS GGVANSKDRIVRKNKRVVQNGVLGETGQKIAWGTLIFGLGVGAATLLPQYVSSFF
I206_01647	MSILHQPTAGMSSHTGQIVKSPSPTSITSPRFLQPSLPDPSSSS LNRITSRSSQSAGRIPSPKPPFTNESRQSSFKVLSRQSSMKDKHKQGNGHGHPKEVSE TSDDARSPLSESGSAEVIETQAVRVQKDHESGRWMINQYRVLREIGHGTHGRVRLGED LSAQLSVEEGGDLGLGISQGGPFYAIKIVDRNPKRKRLTGLGKQKGMKNGRDGAKMLN ESEIRKEIAIFKKVNHPNVVRMKEIIDDPESSKIYMIMEWCENGEINWKEAEGSPALT VGETRKIFRDTLLGLEYLHHQGIIHRDIKPSNLLRAADNTVKISDFGCSHFSEALRTA AAQPGPDGDAYVDDIELAKTAGSPAFFAPEMCYSGLDTDISQRSTSSPQATPVTEVPS FTLRPPSSIETRSSPSDPSNVGGSIPLRQTLSNESAFPRRPPSARSHSSSATINRKER LPITNAIDVWALGVTLYCLLFGKTPFDAPNEYLLMQVIPVQDYVVPPFLGKDHMATGS GGLPASDEAKDCLDLLRKLLEKDPAKRITLEQAKKHPFTLRGVSDPASWLAKTDPHTQ TFVTVSSDEVAAVITKSTGFRDKFRKGIKSISHKLQLLSGANRTRSRSIGDSDSPGES HSAVPSHHSTPRSSKLLGIVSSNRDVSPMTSPLPAPPGLSRRLSLLGQKLLPGDSPPQ TQGASPNLSGHTSPESGGEVPNRTPSVTSVQSVPGRSFKVNRHPSTHLVPPAPSTMVP LADEAPRSPRPVASSSSLDKVKNASSDLSPHSSLRRRGSGDIDVINRDMGGYRPRTHS NASSISSKLARLLSRNGSQRSRRMPDKELLAASDIEESGMTPSAASSSPADALGRMSL DDVPRRQSMETFESGSYSSQGGRVLAPSPERGMGTGHWNWDNRLRTVPQRRGSNLSEQ YMPVDEHDEQVDWVGAISDEDDYGAEEYDLDTTSATNSSSSRPTASHGHGLTPAAPNF PSTWRRNSREGLLGLTSDNNVPHQIQPVNTATVITSQPVPVAATPTLDPIPDGSPIPS TSNLSIPYRSSSSSSNPININTNINGDSLQRTPSRTSSKISQSPYRSSFSHERAKSPL GINTPSWSGRGEENSISSSPRSSRRNFINNSNGLSRQTSASVFDLEDDDNEEEKEEEE QKADNDFKDEGLAISIGNHRRTRKGSMLSNR
I206_01648	MRLFSRLYSTIRMARKIQLNEVETKFVGLLDDFAGRLNPPVECR IAGGWVRDKLLSLPSHDLDIALSIPSGHLFAVDFVNYLKTKDIATGSVGKVAANPEQS KHLETGTTRILGLECDFVGLRSETYADSRIPQVKPGTPFEDASRRDLTINALFYNVHT REVEDYTQRGLSDLDNKIACTPLPPKQTFQDDPLRILRCIRFASRFNLTIEGHVIEAI KEEEVKAAIATKVSKERIGIEVTKMLQHDPFRAMSLIELLGLHPHVFACDVNPPRQTA FASAQILHHLSKRLFVDEMLWLATAATPFDNVTVKRKGKDQPAAGIVISEGLKLSTEI KNAVTNVFEASRLADPEATVRSEIGTWMQNPAVKPWQRSLTWSAVMEILPKWTGGWDE HAEGIVTRYERFRSKIEELGLPDSIDKPLLLNGTELQQLLSISPSPLLQTIRQALNVW QLDHPEGSKEECEAWLQHQWNGEGRAEWESKSQTKKIVKGEKRKR
I206_01649	MDTAFELNSQYRNDILASLPKSKDFTDHLNQVSKNRAASSLKEL YKYQNVPGMIVMAGGIPHPEVFPFETLSATILAPDAFPLDPPRVPKKEKSSLLSWLFS APKPTLNISIPKWAPKPVDPTTIQLSTSLQYQAATGPPALPLFLREYVSKIYKPAYAD WDVLINNGNTDGWNKIVQLLFEKGDTVLVEEWTYPSAVNAFLPYDIQSVPIKMDKEGI SPEHLEKVLSEWDEKARDGRKRPKVVYTVPTGQNPTGATMEAERKKKLYDIFAKYDII IVEDEPYYCLYTGEWTPKGSKSDKSILAQRNAEAEKKEGKEGNEAFIKALPPSYLYFD TDGRVVRLDTFSKTSAPGSRLGWFTANPLFIERLTRISEVSTQAASGFATALTVSSIQ KWGFDGYIRWLRGVKATYNMRKTWICDAFQDTFHLEWDQAHVQDLFPNGSKTITCYSK QPKNKWDEKKGLNGPGLVTFTPPTAGMFVFLGVHISEHPDYVELTRKGEDATHVLVQR LWTQLADNLVLFAPGWYFDGGGEHAIGGKGYGYFRLSFSIATYEETYRAVETFAKVLD KFFKLN
I206_01650	MQVYDPNRWIQPGSWGPQPSNPWSSASTATSSVSDYLKRLRDSF RSGTANTLQSAANSIMPSSNSTTSTFPTTSTGGPPPPYSAIPVSGQQSIAPTNTTTTT STNGPPKTENEGFKYGKIVEPDGKTKWELNIDAQKAGINNMKIGETVTTVCTDNSGQP AFTVAISKNESGVTTYDVSRGGVTPSAQSGFTNGPTQSALPTSGTNITGTGPSPLIPR KERMPSFVDFLREDGPQYNLYGQLSGDWSKYPEDTVESSSIGYDQNTRQSINYADWLW KNGGKNETAFNDTRKELENTTNFFQNRYHDTDWNYNRMTGEGKNTLLNRKQARNIARQ FLEESSNDPWSSDINWQLSKFNQIDQVVANTTLSNQSITISHSNLPTNENGLGSASSL SYDGSDLGEWIKKQVGEPLIRDPSRFGPDGRPAPLGYSEDQVQFMKDTFNRVQTYGEE ICSEAGCDAEDTSNLSSQIGSLLVEDMYQNNMEKPNNEQKDYALRYLLKYGKVMKSLP KELQETYRSLSTGRISLDDLSSLPNTTTTDSNNGSVPTNSTTNDELRNGFNNWLDSRQ QQPTSTNDSYGIPSTMQTGHGINPNGQGIGTDKRSAMKGSRNKSVSFNPQVGAVSVPR DQIGRKDVYNQQPGDMGQSDWGSPVIN
I206_01651	MASEYWLAPSSIEQWWSSPSQKDIKRPYSTDTVASLRDVFPENH HSNAMALKLRNIFEKVQNDKLVNLTTSVIDPVTAQVMAEVGFETLYVSGGMSANTDTA TDDPGPDLADYTYDTVPKKVTTIYRSQLLHSRTARVNGTGKHDLPLLPIIADADSGHG QHTAIMKLVKLFVQSGVSGFHLDDLVSGVKRHDGKDGLSSVIVPSNEYLKRLVAAKLQ LDIMGRTATHITSTIDHRDRPFILGATVELPHHYIHAEGNSGKDEWKREAKLSTLDEA FKNSNPDLYDNFSNQTKDLNVSEALSAARKLDPSFYWNYESPRTSEGWYAYKGGVEAA ISRATFAANISDMVWACAHFYNSDVAERFSKGVQNVHPGKWMAYNITGGFPQDGSADN EIKTIPSKLASLGYVWLFLPIGGLTAVGLGSKLAMRAIKDEGLYGYLSQVSRPAAAHH ADGTSPEWWWKIMGKLADDAADAIGQGS
I206_01652	MPAETPASAVSLFRTSGEELRASATSTPKSGSGSSSGRKASTAA TPQSQKQKELAEAEAKRRKVQRACDACRRKKIKCEGPMNSLSESKCTHCEEYGLDCTY VEAAKRRGPPKGQTLLSYSYIETLEQRCSRLERILHQLNPSVDLNEYVGPPLDREEFD LPSYQETLRTLHIPPFPSFKSLPESSRRQSSNGSSLSPPAAGTASPAGNVLGPSPWRA YERDPTRRVENEDAAEEAALQEDIAKGISKLNVKDAVWRYHGKASGAHLMRTFHELKY TQQDPSDKRDFLEEVNRNKRSQYWQLPEWELVIANEGIRSLDLSLWPEEDFATALIDA YFTHLNPHLPLLNRVLFKRQYESSLWRTNTWFAKVCLMVFANGSRFVDDDRVYWPVDC ALSEEGKERLQSDQDGSLRYSAGWKYLHALLRMGRSIMQGPNLYEFQTQVLLCNFLMG SAVPHLMWILSGVGLRSAQEIGIHVRSTLIRVDPIERALYNRAFWCLYHIDRVNCAGV GRAVALQDTDFDADYPIDVDDEFWDTGDPEKDFKQPEEAGISAISAFIQTLKLDHIIG ATLRTIYAINKLPEHRADLAAQSAVVVELDSALNSWADNVPDELRWDPTRSDSRLFEQ SALLYVHYYYCQILIHRPFIPTPRSRKTGQVLLPSLAICANAARSICNIVDTVLRRGR QGGALPGRSLNVGFMLPSWVAAIVLLINIYSGRQTPAERERAVIDIKRCIAASREMEM IWRQAGKMTDMMAELASETDMPSAIATPKSGKRSHSNDDHSSSCSPCEPSIFAQRPSA GSPLSSAPAQSQDNASLSQTRRNFGPNFDDPRLISATTPAVHPPAHPSAQEDSVGTIS TPPSIYGAYNSGKPQSSFVELQPSANHFDSLSYTSAGNHTSTPINMFQPEQHNSTNNE NLLHINNNNYNLNNNSYNSVNPAPQHFTTFTGGNLPLSNTTFNQNQHSQQTNPASNGS IFGNVQSDQLLGLSENSFENSLFDLGSSVFDMTGQGAETDIWTQLFSDYTGQNINSDW SWGGASGSNNANPGQQSQGQGQYPQWQ
I206_01653	MDRPIHLRGESNPLQRRPSPLSSPVYIENSSSSSISQHHNKKSN GSKIRGKMRMDSLMSPSSRKGKTPLPFAQIAVLMGTRLAEPIAYTVIFPFVNQMVEEL GVTDNPDRVGFYSGLVESVFAFVQFFTVYHWAKLSDRIGRKPVILFGLTGVVISGSLF GLSTSFWMMIVFRCLTGAVNGNVAVIRAAIGDVTDSSNSTEAFAMYGLTWTVGSIIGN ALGGALSHPYERFPGWFGKVEIFRIHPYLLPCLVTAGTTLIGIIFCIVFYRESLPSLA SPQSSPFNPRSSLSFFRSLKSHKRQFSNASLVSESDTLVDEESARDNILSKLPRGEDG HEPLALGGVGKNVEWGFWELMNYRPVRVMTATGFLNSFVQGAWSAASLLFFFDRNNGL SMSASAIGGALAINGLVTILVQLILLSKIRNYLGISLGYKILSIGWIFTWLLLPLLRS VLLSTEDPINLSGEYLQFGENRGWAVSICVNIYLSFVAIVNLSSSLLMVLINTSAPDR NALGAINGICTAVGCMGRVVGPSLISALFAYTMDTHFMGGRAWWIFMVIMSTVNLSVT FLVAEHDEDKKPQDEVAMSLLDNRDDVDEDDELTPVDGRNETRRRDLPS
I206_01654	MLLVPILIILACLSTSLGGVISHVDRVIKPRAELVVQPVCQGGN LDSHDCNVALLSLGGGIQGAIQFLRVDDTSNTSSSGTCRMTVTAVDGGTAISISKGRL EQAQKAAIAECGRQAWTVTALGGSVGGNLKIEQSGV
I206_01655	MTSTEPTLPYDRPMRQHRSRGTPRVSQDPGITAPHKRMFSLPPH IKEIDAVVVHDLVWWTKDQAIVDLAKQLGFDISVNDVQFQEHKVNGKSKGSAFIICHT RENALTLFNFFQANTFLGRKIPVALGSTILGNPLLPGWGNLGPRPLSTAIHTTVRTPT NSHGGVNFNRVRPSSRSAIQSNLGVGHPYPRASRTSNWDNPPGLGNTEFAMAWPSQEG MPPGYGPIPLPYQYNGHQY
I206_01656	MRVERSLFLTALFGALATFVAAQNENGSEADSGSTEASGSAAAA GDAGASGASSAAGVGTSSASSGTEGAAGAEETASGAIASASSAVSSAVSGASSAVSSA ASGAANGVSSAASAAITGTSSIATGVVGGASSVSSKISSASSAGSSEASAKGTGTSSG NSSSSSGNSSDSGAYPLVIINHGSEWMVTGAAIVIGAFGAGVATLF
I206_01657	MMIPRWKYIGIAGGFIFLLHLLASIHPTYRATTSPFNLLPSGGW KAGIPPDSAVPSWGGEGLPSADDLRNDEALDGRRKANAVFVVLARNSDLWPFLDSMRQ MEDRFNHWAKYDYVFLNEEDFSDEFKKYTQSMTKANCHYGKIEPSHWYQPDWIDEEKA TKAREDMIRKKVIYGHSVPYRNMCRFNSGFFYRHPLLADYDYYWRIEPSVKFFCDLNY DPFLVMQDEKKVYGFTLSLYEYIETIPTLWDAVKEFVQEHPDYLPEGNAMQFLSDDGG ETYNKCHFWSNFEIGDLNFWRSEPYTEFFDYLDRKGGFYYERWGDAPVHSIGAALFAK KEQIHWFEDIGYRHEPFQHCPQGDAHTRGNCWCDQGNNFDFEW
I206_01658	MPRIPVRTTPYHALPRCTRHYKSKRDLILRALGKASFINGSQFV IAWISPKGDTDIFASELLQSAVKDKEGGGVLNKKELEKEAARVKQEMIRRWDEIRRLE EKGEVPVLDEDNLVEENIEGEDNLNEEVEGEEIDPDKTMVDDELDITNLETPLKSSGT GLGISTNINKKLSPSGMYSTFPVTSNTPRSLTPCSTSTSLTPMQNIVLKPEQIEGFYM DRFTNLQQQTCKLVVKAWIKIIEPKKQMKFPYNKGEEFKPTWWPEGVKHREPDHLPKD ERKLLLMSIIRNPSVNVARLQLSTAETSALISASKLAILREIYIIAKEEERRRQIGDL TSDLTIELPIINSSISNGSPEPINGKRSHSLLTLDDNKENINYDLTGYNNSTSTGNVN KKSKNHPRLPGLALTNQPQQNQFSYDSPYTTSPSPFAYTHPSNQHLSPHIWGETRLSS TASSSSNSANTSHLSPYGYATSEVHSDYSSRSPNPEQQQQQQQQQQQQAAQIAQQQQQ QRQYTNQHHLAPILTHGHHSHPNATTATPSPIDSPAFPHSANVPNTSAGYYQRSNLNG GYMQQQQMEYLHQHQQQQQQQQQQQEAYGFQSPYITEQNWDSQYSQPA
I206_01659	MPPQIAKETSKIMSTAKNVPHSSLDESTSSTSSSRHLAQVRSAA SSSRIVTTPSVNMSIPSSRMQPEPVLAVHYGRYNRKHVKPDLFLDEPVEPEIHHVVEN AVKLAECIISHPDGLPLASSIMLEDSTAQGQIMNAITHALFRTKVIVVLEMMAFNEAG FHVRASETNKNLELNNKTIYLNEFLASRLVDSFRHSEECPEDYHRLLFLVAMTFSHEL VHLIRRPVSQLLATAGISVAEFTPPKSGPRAQYSSEGGWAFERGLMQGQLNGAWAYDA SQSSSDDLGIEQLTLEDQIKGAAVHRAYKETPYDMLCITRGEPPKLYEVDDSWVSECF RAFESGDFSVIEFPPAVIEEPIDENDIEMIKFKRNEPTVDIINVKEK
I206_01660	MDEITPTPTIDDIVSVTSTLFDIISTSLSDTNNGNGIDFGLGYP NGTSPFDVFDNSTISDPDINTISNSEGSYVLNTIIGLLIVLVSSLFNALGLNLTKLDH VRQQSIPKRQRKKEWMRVLWLSGMGMYIASQVFGSPLALRYLRPDWVAPLGSSSLVFN FLFARWLVGTPVTPTDIQGTIIIILGVILIIIFSSINHGLTQSVDTDRLNSLWSRASW LFYFLFIVLFTASAYLVSSLFASVVSSRASFSPLPSPTLELPTSRPKSPNAIVGFFGR ISKQIKSLKSKAVRRLEIWFGRTDDARLIWLQGMGWAVTGGSLAGLCLVFTKAAVKLF GLPGHPLVHFSAIVTLLFVIITAVLQIVCLDRALKCADTVVVVPLFYAGYTAFGFINS LIFYDEAGQYARWVLVAVFISIGVLISGVVLLSLKSSAKSAPDPYTVSAQPSNSMRLR PRSHARTPSAAGINSSEGVLDESSSKYEGDDIDALSDGIVEPRDVLWEVGSVSDDTSD NNDELEKEKEENKGKGKGIGGLKGGTGERRGLLGDEEEDLHEGEDHNNQYRINPNSTS IYNKEKNPFDDDDKVIKEEEEDNFGEYEGVEHFDVPSGNTTPTSRQSK
I206_01661	MKSAARLIPTLTQPLRNSTRPISRTILTKSITAGPSRLPRFYAT TSSPPTNNLAQEQSPQSQAEEFEGEDEIPSNINFEELSEEADERINDFLGHGDEFTSL SNGSRSDPIFLPISSLASPTPTLAADSDLVISLPPDIFAQPIRRDILHRCVVWYLSLL RSGTKTTKSRSTVNYSGRKLRPQKGTGRARVGDASSGTRRGGAPIHPIFAKDWSQKLP RKVRALGLKIALTSKLNSGLLRVVQNLNEGEWKGTNEAKRALSDSIIKIEKNQIKNIE MEPIIPIGNNNQEIQNHVEEEEKEKEGELEIIDKFGSSKDLSILFIYSPNQIHHQNEN LINFEKSIKNLKNVELLCIDQVEVYHILKYKWLIMEGDSIDFLTGLNDLQNELNIIPE PLEEEEEELFEKKQI
I206_01662	MRTTITFAFYAISTSAILVSAAPINTGNSIMIRDGGSAYTGAGG SSIGGSLSENNSAQGNSGIAGGDDVLGIASGNAGDGGKATSGNAIGGVGSQTVSYVNG QPVITTSNGGSAYSGVGGNTNGGNINQNNNAGPYYSNGNWYYYDNANAAGNLDALNVA SGNAGDGGDSSSGNAIGGSATPLGAYGYPYNNQYY
I206_01663	MSAVQTFGKKKTATAVAHVTPGRGLVRLNGSPISLVEPVVLRYK VYEPILVVGPEKLANLDIRLRVKGGGHVSQIYALRQAIAKGIVAFYAKNEDAASALEL KKTLIAYDRTLLVADPRRTEPKKFGGRGARSRRQKVSLIKCMLGYIPM
I206_01664	MPPRRSIPPPSPARSARSVRSNVGEEDWDGDSMTSASLKVPNPR SKKGTTSASLGFKDTSVNIAAAFHAAQTGQHLPLPSDLSFQSHTSSTHKPPSNRSQKG GSNRARSPAEQLQQAARALSPVRFFLKSTEDENGDFDNSNAYSSFSSIGNGGIGNGSG ESYNYAEEEEFVKQAQKNRNSVGPRISDTNGKRRKGKALAEDLPYRPGEDDQIYGTDS DSDGEGEGIVKGGALDGRAGTRGIRPERGEGYLGMGLGIQPRQRRKGRKSDSYNDGSD EEQENGYEDHSQSHPQRALSPLMEVPNGRYSKSPTPARLLRALSPRTDRKSPVPTFHP RRRGPGSIRTVITNILHGIVLGLQFIVESVTTILHSILIRPTERIFGSSQGVLKRVKQ DWWKYVGGLVALNLALRALDAPFRRQGTYKAPESPPSSIDEVAARITSLEQVTADISG MLKSLSNGQAENKQSTHNMLGRMDDLENALMLETKSVESLRGHGDKGIRALQHSFDSL RAEIKGLGDRVAKSESTAIAHDGRIRASDKNNQDIQDLKNRVGQVEKKVSDALDDGRL RSALERILPDLVPIRVNSRGTIDIDPKFWNEMKKIMISRTDTEALVKQALTSSSSSTN IKGQKQAVEMDESKIRQWAEEVFNDQKSRSPTVEYLTKDDFQNVLDHQLDSLRAELSS IRSSTSGQSHKTTSAAKSSVTIKSNKGDDITSLFNDLIDMALLKYSKDTIARTDYALF TAGARVIPQLTSDTLVLSTSSKLGKLIMGSKDVQGRPPATALHPDTSVGSCWPFTGSQ GSLGIMLTRRVGITDITIEHAPKELALDMTTAPKVITIMGVIDNEDDKRKVAEYWSQK NESENVPDHLPLGTISYDINSISNIQTFPISDEIRDLNIKVGIVIFKVESNYGGDFTC LYRVRVHGELSEEKSLDDLD
I206_01665	MSLPPYLPSDMSDDFSPSSNASSCESDSILTPDAGNNNPRQDAA LMTTPKMGSDHSILSSMSQQPTPKLIIPFEGATSSSTSRFPLLPPHNPLRSKMYSIPE YSTSGAHSPAHTPKGHPLGKGKNHGSYFAYNAQTKSHPITNPYGSDNPSYSTTVSYLL RIPRRLRPVLLVGVCIFTFGLVLLNRAMNQATHLDHIVKEQNLAFGRRYVDLYHTDHG KASDHAFMAERTDNARQAEAGVQKVLVAGGRTLQFENTQEEFAAVVSYVTSTTANALP ALDPSKPLDPHTVLDFDPTHPNAQENLVLLQKEINTMYPIVLIGRMRDPWHREIQRIL SEYKIQPPPLVLDVDQRKDSSTIIPLFERLLDTSVLPQFVLQGKSLGSYHDILEMRDS GKLKDTLQENESIAIQEIVKKKKKGVKERERVENERILRPAPIVPQ
I206_01666	MLGNTNRRCDSFFDTDENDLTDSSFWMEEESEDLYKFASPPMDR WRYLLNLGETEVELGHSYLNLPTSIYSTMTANHPSTMEINNSALGLQGVPPPSSILSS TISSPNIDNHTSYTTEFSSIRKFNYLSLNNEDIGDEESKIIPIKATSPNSFELSPQEQ FDLLSLNLMNISNNSNSNRQSINKKNLSLDSIEFNEDFEFGEISDSPELDLDLDLDLS DEILITPSEMNFLPLLIQRRNQELEAQPYMESQLHLNSITDFATYPSKIGCIGLGIDF GSTSSESNSIITPDDKSRFSSECIEDLVHSESQRSGIEQRGKSINWSSFSIQSLLSQD PDSNSTSTGKEEYEFENWFESIESSLLPSPFSYNSAMSTH
I206_01667	MSRLSPAATSFEPKEARPTQLAIRQAYVSDRGRRLDNPPYSSTH THRREHAHQGHGGAYEAPSPRMNIAPQISTFSNSVQYSGDKSAPAGGEIIGHDKTDNK RAAIDIKASLTAEMKMQQPQTPISSAVTSPNISTSGEVGSSTSIIEAEANSILKYAFQ QQYAADWRHRDISRMQDTNHEEDWKNQNKHLLPAILRTYPNSHTIDPTQYTPVPQFKR ESSTPITSSVHEDVRSAKPYRTYTERLKLEGLDGNRSRNEYGRTLTATGGQQTGFGVI GNTSCPNTDHPATVVVNEVGCDIPMMTEPFYTQPQIQFVQDDYNDDKANIGGHIISLD PDLPPLAIEHPTSVWNSMIHSLQTDIQPVIQWKYEKVLPDTQTGESMWIAELTLILPP THPTIAEHPLFSALPKNRWKQEYVSAVEALGGVKRWRGQGRELKADAQNTTLVKCISE NALAWVLAPTGLESIPEDGNDDEQAEGDQVHLEYARFNARLHQTADSDCANYHPSPGL KGITPRPSAVQPNTRDASAQSENTEEINIEIDDDNEAVPITPITHTQSPIPSIRGSQN GFPTVLYHNLNPVQTPFQRLMAAIHTNLGPAGLNLPSSASFSNNWEPFTNRFGCTLTL GVPGHIQKYQEECIYTYAEEAQNKVCAKALELNAIGFMEWLKETLQPPILQNATNSPT GMKQVVVRPPQTTESLSLGTGEIDGKKELKAHCTSTGKNVPQYYEKMMLWEGEYSVVC GVSIGDQNFSVAKGDRSTEEIEVYLARRVLEDYFSLKT
I206_01668	MPKIKSAPTAPPRRSARVSINASKVKEESRDDANDRNATRAPSA KKRKTRAKKSAATSIPTKKPEVKEEYDDATTNQFGNEVDPNITTRVDNTAELSENPKK RGRQAKPTQVAEVAEASASSAKAEPSCAGPSTIASGSKVKAEKTKFPSKGKAKAKAEK SGDAKKARLARTRERCPAKIFERYKRAISQRLFMIEREHYGEGASQTEQFKVLGSTGN VYTVDIGRSPKCDCPDHRMGNKPCKHIIFVFIKVLKVPDDSYLWYQTALTAMEVVFAA APPTPHGSVTVNVQVHKAYLKATGKGGEDEEVVTSVDKEVKDEIHGKRLDAVGEDCPV CYEEMTQEDVDGRKLTYDESLTGCGKPLHTQCFDMWAMSARKKGDDVTCVWCRSVWPS AAGAKGKGKGKDTGAQYSSWGYLNMAAEVGISRQRDVSTYHWGHRYLNSD
I206_01669	MTSHGLLFNHPAPSREVLKIWLDGQGIIYNEDLEISEMEDGDGW RIIAKRDMDLGELICEIPKTSILSHRTSSCPTLPDLEIGTLGGQTILHLSLCLLHEFR LAEESPFYGYLQSLPRETISLPIFWDIPEIGGEDGKKAKKWLNGTEAERELTIRDKEG LSLSDIQNFYEKYSTLLPNTSNHNKPSSILSYYYCFSIISTRAFMIDLYHLIALCPFA DILNHNSNYSNTSLSSDDFVCHLCGSLKTCKHDFKNSNGISFRLNHLNKKDISKIEND EKDTIELKVENIINIKKNKEVWNSYGDGINDGKLLVEWGFINQEFSGEGLIWNFHQEL NFIYKNKIENENEIEIEEISKLIDKVAQNKNLSNFDHYEDENERLLCEQSKLDKRLLN LDHDGKISINIFGWLWFYSSSSSEYPMSKNLDYNEEENVKMLIKAVDLLERIWSVINH DHQVGVNINENNIQDDSKHPNQVNQLIRVVKQVIKMLRERLSKMYQPEMNQDDLFDLR DSLDPKDKNQYMAMTLSINERVLLRSTLNKWEDVLAYLE
I206_01670	MSIILRRLRPDIAIAAFRNGKAPATFDYTVRPSANLRIRSFSTP VPVTNGDDRPLAGIKVVDLTRVLAGPLATMMLSDLGADVIKIEAPKHGDDTRSWLPPS AKFPENGKYPRPDLPPESAYFLQANRNKRSLTLNLKSEKGREVIQKLIKDADVLVENY VPGKLEQFGLSYEQVQNINPRLIYCSITGYGSTGPYAKFPGYDVVIEAEAGLMHITGE KGGQPVKVGVAVTDILTGHYAQSGVLAALIKRGKTGKGSRVEVSLFESQIASLANIGS NYLISGKEAERWGTSHPSIVPYQVFKTKNSFIMISAGNDNQFSILCSPLILNKPKWIN DEKFNKNSVRVKNRLELCKLIENVLKEKNTEEWCEKFEGKGFPFAPINNIAQTFEHPQ SIARKVIEEIEHPRAGKIKLAAAATTYDGEKPKLYRPPPYLGQHTSEILRELGYTDNE VEEMRDGGVI
I206_01671	MKRSLGSSTELSSPRASKRQASLAGFLQPKLKNGTSPKKVKLEE GKEGKPIIIDIDDETQSVFENVNKPLMDKGKGKAIEIESEIQNIKAGGGEEEEDGKST DENLDTSWPPKDHPYHPPPSSNYNHPILIGSIPNTLKPIHFIIKPKIINNPITDLDLI YYKSFINPKLSSNLLMNYLLENLPWYRVKYMVRGMNINTPRYTTVFGKDSTLTPWNGY QKCNPRAIPEILERLMRKVNYYSSGDDSISYHSDSESFLGLNPTIASLTLGQSRDFLL RHINFKNHPKTGKEIKIEKFNLQDGDLLIMKGKTQHEWQHSIPKRKNLNKGRINITFR KGIIKYSTENYYNYNVGKGNLYKWDSKKKVMVESLPSDGGVK
I206_01672	MPTPRTLEAVSAASISTSKRKNAPKDDEDDSASDSGSDVSMINV DFDFYNFNPDVDQIAVKRLLRQTLSHDDELIDVHPLAELILSEGIRLSAGSSIKTDGE ESDPWGLVGVIDINGHRNHPAFAPFLEYLLNTLPKISPLRLLLDPSTSPAHASKPALI FSLRMLNLPLPLIPHLYRILLNELKENPNSDCKFTDYIIWGRGYRLEGSEEGMGLDLN DSNSKKSKKKSSGNSVLPLTSGTFAYHPEEEFIDNLATHVHTYPFKTAPKRDEDAFGV EQFGRLVLISNDKLVKAIESMQAACQ
I206_01673	MTNTDILIPQYTTDEIEKFNIELEDKSPQDILRWAIDNLQGLYQ TTAFGLTGTAALDMISKISQEREEIHLVPLIFLDTLHHFPETIKLSQTASENYLAEMF VYYPSGVKTSEEFSSKYGNNLWEKDEASYDYLVKVEPASRAYKELGVKSIITGRRKSQ GSDRASLKVLEVDERGLIKVNPLINWTFKQVKEYIDQENVPYNPLLDQGYKSIGDVHS TAPPDPNAINSDAGERSGRWQGKSKTECGLHVNYFEMKKKFEEKAKVEES
I206_01674	MRLTHLLSLLLLLLAPAIQAAVLAIDYGAEFTKLSLIKPGVPFD VVLDKDSKRKISSVVGWKRDDRVFGAEAKMAATRFPDTHFPYVKPLLGSNTQQQLPLY PNPPSLTNDGVLIFPHPSSPSHISPTPSSHEEVWTPTALLAHQISYYRHLAETLLPAG STPEPINQVIVTVPAWWDHYQRKAYKDALELQGLSCLAMIGEGTGVALNYAMTRTFPD FNLSTGAGDKEYHIVYDSGALSTTATVLAFYQTSYLPTPKSKTAINTTHVEVLGVGYE NVGGVLLDTSIQDILLQDFITKSGQTGIKDDKKALAKLSREANRVKHILSANQEANVA IESLYNDVDYRSKISRTSLESSIEQSIPLYSHPITSALTSSGLRLEDVNSVILFGGNT RVPLVQSALKSVLGGSDDKIAQNVNTDEAAVLGAAYYGAALSRTFKMKNLNVTERSFY DIKMGDEIVFEQGSKLGERKILTLPTDKDEIVLDFTQSTHLLTGQSSTIAEENAKSKP ILSVTISDIQKSLKNFTGTSPIVQVALRLDPRGYLSVANAVLTSNVPDTEEIKDSGVA GALKGLFGSKKEKDVKGEDTEDNAEEEVEYKDEKNKSKKQKIALKFKERHMGIRPMSG EEKRTTQARLQSIATFESAKFAREEARNLLEGYLYRLSGLLSEDADNKALHEYATAKE RETLRKLVDKSMEWLGDHAETADEATLKGKRSDLEAIEAPILSRFKEYLHRPKAIEAF QQAMFASRTFLVEATQNNTVAIELGKNATDENPAIPPKYTEEELKEVEIQMKDNEVWM DELMKKQVKLDNDKTADPVIKADELDSRGKKLQMTVLRLMNKKQPRRPRPSTSTSTYT PKTSITKASPTDHGPELRESTHSESDLNENSTTSISLASPTHKGPELEDSTQYTGDKM GTTYISKAAPTDKGPEPPKHEEL
I206_01675	MPYDPGGPSSPAYPSSHPYSEQPPAEPILKLRITHITSTLSAPL PSLREYYVPSRFSTAIPPGNLPDKLPVMRIFGTTPNLQKICANIHMCYPYFYVPFPMD SSSGDDPLRPERVIKICQRFAVSLNHAICIASRQNPTSIGNANKFGGGVDPKHLHVIS VMLVKGIPFYGYHIGFSYFLKVSLSNPSKFWTALEQLRKPIVLGRIWQPHEAHMNHVL QFMCDFDLYGCGWLEVGGGKFREPLPEGDPYDSPPSSPHGIPEIFNSLTVPESMLYPF GMSPPKDTYTPLEIDILPHHILNRLRLKPRNLHQDFIELLHQPLDPNEKLVPAVAELW EDERRRRSLKGLSLGSDAMMPGSGGMGGRTMEELGYKVQGKDYSGQDENKGGNWKISG ELWEFIKERMDDERRKKGKLSFTGFSNEIAQGKDGEKRIYDKWVMTTFQAVSAHWPRP PRPPKSTQKSRRSANTKGEEPNLMEDGIDQHERIGVTSEDEDEEEEEERNPFEQYAMT QASQHVPIDMDVNTRMISRHPSEADDENRETDDYPDDEDDNVKKHAEEGAKFRATQMP KKVAFEEGDGDDDEALFDDIDDEDITELLRQNFPAGGSNQSTPKKNRFANGSITSSTR SSATGSSSGDFSGRRTDRQKRMMEQAGLGDLEQVCLLMRMVLRADELHLFSTIMDRSS VSIPSPRNPWDNRPVTPSKPKTPVSDKPTPTTLMRNLFAKNRHPSISPLNTPTKNRPA LAYDSPNVIMLPPLRRTQGDISSSPPSASGSLPRDPEDTLAELSQMQRNVTSKLGISQ DDLEDAKDELKEIEAELPDQDVVDAFFRPKQKTPISFSDSRSTKSSDGNGQFITPTFK GTKRPLSPTLKNDDDESEVTPKAMLLPSSVMRNPSIANGEPNSSVLKSRKRVRLATPP GASQQPLIPAKVTFTPIQKSTNSTNGTTTQSSQQSHPTSNSDSTSNNNVVLSSTSWQF HLAPPSKMEIMTTMEDSGIPSVIYQQPYYSNPIDVPARSKQFAGRVFTLKGNLVKDLQ DFEGSFSDPLGNKRWLKSRRTGHKWKFGWEYAVTPPTAKEVIDWCEREDTALQADSES LSKMSHSCFLLVQLEKPTQKSKYGFKFSQKQKSKESEREQQNMSVLALEVFAESRHQL LPDPAKDAVTAVFYCYQNDDPTLEDNTKHKGYHTGYIILKDPKKTKSTSIEDETKGLN IQENIKCTVLDNELDLINFVIDLTKFWDPDVLAGWELHNSSWGYLVSRAHEEFAIDLM DQLSRVVSGHTGPKKDGYSAHHTSTFKVSGRHILNIWRICRSEINLNSYSFENVVFHL LHQRIPRYSSASLTALWRSKTPEHTHRVLKYYFQRVVIYMEIVDAAEIITKNAEFARV FGVDFASVMFRGSQYKVESFMFRIAKPESFVLVSPSKEQVGLQNAPFAVPLIAEPESK YYTHPILVLDFQSLYPSIMIAYNICFSTCLGRVEKFKGTNKFGFTELKVADGLLELLK DYLTVTPNGMIFVKPAVRKSLLAKMLGEILDTRVMVKHAMKGARSDKSLTSLLNARQL GLKLMANVTYGYTSATYSGRMPCIEVADSIVQTGRETLEKAQELIHARKDWGAQVVYG DTDSLFVALPGRSKEQAFKIGNDIADAVTALNPKPVKLKFEKVYMGSVLMAKKRYVGF KYEHPDDIEPVFDAKGIETIRRDGFPAQQKMEEVCLKMLFRTQDLSQIKDYCRQEWTK ILQNRVSAQDFIIAKEVRLGSYSEKGVPPPGAAVAYRRMLKDPRDEPQYGERVPYIIS NSDGKRLIERARTPEEILNNRTISIDSEYYIKNLLIPPLSRIFNLLGADVENWFDTMP RIKRLGKYENENENGIFHNSKKIINFKNNNNNNKKGMKIDNHFKSNHCIICGIESSET ICLNCKKNKSNTIHTLLSRLQLSSKKLKELNLICSSCCNNNLIINGEKNLCNSIDCSI LYSRINSERDLEDLKNVNDLIKELELDF
I206_01676	MLSSITTLVSIVLLLGAQNVSAAICYDGYGRRYYCNGGLGWGAR LGIGLGIAALVVVAFALCGYMRRKQLRNQFSKYKPPALPYSNDQQQGQNPYVNNPPPP TGGYQGQSQSYYGNSNFNGNPYGNNPQPPQQTFQPSMAGQYQSRNDTGTTPNVGTEDH EHGYEWAQAREAERLEREQAGAEGGKAPPGYDVATSTQNTGNAHSTYQPPAGPPPGKI V
I206_01677	MTTSQLIRNNSQSSISSREEENIINERTSLIKNNKKFKKHHNLI GLSPIKFRLICFSLWCGTFLVAFDSTLVSTLLSEIGSEFKSSTQISWIGTSYLLSVCC FTPIYGRLSDLIGRRNSHLTGMFFFTIGTFLCGFSPSIWSLIISRGIAGIGGGGVQSV SVILMTDLVDLRHRGIYQGYVNILFGTGAALGGPVGGWISDHFGWRVAFTAQVPLLLI TAGCIYTFVNFPQASSIENGSRWKKQLGRIDWLGSLTLALGVGTLLLSMSIKTSTTKS NGKDYKFSDPIIFGLLMISVIILCLFVLIEGYYSKEPILPLKLLIRRTPLSIAISSFT MVLGQYSILYNLPLFFTIVQSKSSSSAGAHLLPNSILIGIGSLFAGWRMRHTGKYWLL SVGCSSLMVISSIGMLFWKRLSPNWLTWIAQAPGGFGYAGVLTTSLVALMTHITRRDR SETAVATSMSYLFRTVGQVLGVAISAAIVQHVVQKDLDRDIVGPGASQIIYQIRHSTS SIPHLSPKYKDAAINAYEHALHLVFVFNLIVSILTVLSLAMVKEEEMVAPPPPQVEEQ EEEQV
I206_01678	MIAVSILMDPSRVMIANILGYMSIGCWLCAQLPQVIKNASLKSC EGLALPFLCSWLFGDITNLLGCLLTDQLPFQTYLAIYFCTIDLALVGQYIHYKPTAPV RPPSVAQTPRYVTYNSLISSPHQSLILPPATAPQGRNRSSSGNLVTTSAPNTARPRTK RNFYPSSTNIHHLPPDIAVTSSSPADGSYAAIYEAALDVARAAERASHRRSRSKRRRL SRQHSASNTLTEDNGEDGMADSFHSEMSSSTANNSPRSAQRSRMTQSTGTLLADNRGR SMTRTNTRSPMHGVIPLSSSHQDENDTLDGLPSSGILGLVLGGNGQGESQMRESKRSQ SRSVSLARGSGGRGGRRAAGVAFMSLGLLVGWGGLGGKGAIKGRSLRNTGQVLVEADE VNSDWVLSTITKDEYDSKSSHLPFNNHVDSAYPSSYITYITTDHPESPSPPHPPEEPP SIQRMIGRISAWACTNLYLASRLPQIWKNFQRKSVEGLSILLFAMAFMGNVTYVASIL LNPAGNGDPDESSHYLLEALPYLLGSGGTLLFDMTIMIQSVIYGSSPPLPIQSTTLER HSRRKGYFTRKRIKHMEDGHSHPSHIRSHSYTQQPTSQSVSRSHSQTQHIFNHQQHDN EQTPLLPPANINNGLGINGIESNRSKSRSKSPDKKLSKDRGKKRSIIDGNDF
I206_01679	MQFLANPTDLFNQAKSAASSVASTAINTATNLANQATNLANQAA NSDVATNATAQAKSIGNQAVSTAGSLAGQAHAQAHSLAPGVIPAPSGGTGGVNVAEGV DKRGDLSPTDEIGKAKFEKLFESRHTPAELQDKGILKGAPGDSLAGKRADLEKAMHKD QLDKEIAQRPQPEELVKKGILSRKSSYMIVVPA
I206_01680	MTVSTQQSQTTDLGMDNSTDHDNSAASSSKTASSPRIEQKYSIT AGPGMELKPIGIHNESNTCFLNSTFQALSATAPLTTLLASSPQSPLVINPESSLPFAI VPPKLIPSLQPDILEPPLYDLLPVTRAFTNSLHRAWRMKEAGGGTYGQGETSSKRSMS LNSLLREIARKYDQYDDYSQQDAHELLRHLLDSMEMEEKDVIKKLQPNLTPLNQNGIY KRRRKSKQNETIRSGTTTPGSEVIQTIPQEKRMIPFVDVLFGGSLASVVVCERCKAVS HTYEGFLDISLSLKGDDPKPRKRDRLRAMARKFRPRKSSSTQNAQSPDVQHGGSSVVS DSELSESEIPREKDRRKSMDSDDRIMIDSNHTNSSSGLGRSGSTRAFSGLKAKPSFSF GRKKDKKAASPVIAPSSIMEGEEDRTPPTSTPVSPSLHSQDLHHKKSHHHKAIHGPGP TPAQAAYISRILAPPPGTVDLDDPIARLRAAQSGQSIETTNNSSSEYGLVDALKAFTS VEVLEGENAFACKKCWKIKHGYYAKHEATVKEEDEEQLAAALTEDGFGLPHTSTRGSV SSNVTTSSTGTSPMMISNPQISVMGSGSPSSEKSNNSSYYDASSLPDNRHGRSQRYTS TNSKQAIARAPSPLRRNIKPDEETDDLNERLASTKLSSTYGSESALTTDSGLTSNTSV SNDDLIEEDDAQDAEHDNEEESDGLSDSETSVDEHNDAHKPKSKNSKKSKNTSHVVMG RAFKRYLIAKKPEIMVFHFKRFKQTAKSYSFSSFYDLKKIDDFVSFPENLDLAPFLAP NRQDYKVHQTSNGPKATYMEWANPEQGPELEPVMYRLYALVVHLGTMIGGHYIAYCLV DPEKMFGLNKDNEENKTPTIEPRDSKSPGIGNSNASLKSQQSGLSGMSGIENNKNIKE DKRVWCFCSE
I206_01681	MSPPSLPSSPHGEGADLQEESIAQDVNQGESSTAPYKYLPKHTF GSIEYPGPISHPTSILKVIHQQDINECFNAPISGENSTNRPILEMRYNGIDEPGGPVR GHRIPSQKLLLKITKRRKKGKEKDEGVFTSEIIGSIPQTVRFRSMADHQWTPDSNGPT AQLVNSLKSLDYNAILDYTFPPLDEEFIEPHENTFDPKIKYRSRLDLQPTPLFSTKNL PYVYNYKMPNQAISEPFFDRRTQTWKKRYVNKARVAGVGPINVLHNHKLGDVPKEPTI QVKNKIGELDAKLLNKLKEAFEERPVWMRYHLFARFTHDERKELQRVKAYIPTVAYLM GTGVFWKCLVKFGYDPCADKNSYKYQRIFFYPNKKTIKTPINVDPLDSEEEEIDETKK KGWWLEKQERLIELNQRPPLDVMKAHIFDGKYLYRERGDYQFGDISDPLISKYINDIK KFKSKCSSQTGWYPNSLFKLIRNLIRIKYMYIWENHLPAPNNLCQNLIDEYENKEHKG DNDDIDDNDDGDNDDGEEENDEDDMYPNLEEKDEEENENEIEIIKNQDQNNLEDENEN EV
I206_01682	MKYLLNFLEGIPDAPNLSDPEFQQLLADVQAGKSSHRSSADAFY DSLEKLVNELKSSPESLAFQKPVSKRDAPDYYEVIKKPMDLTTIIRNIKARKYKNKAD FQSDLALIWENCYEYNSQETHPLRAAARFLKQKAEHHLVYLVDKNEKPANPLQGLLSQ GISINGSPAPGSSGPSRGVGTRGESLLTVGGDEDAAGESDDGQNEEADFRDGGGPLDR GTQGGQAQRSRRDTSVASTSRRENGASRRSPPAFRPQLNTSLEAAPALVRTPYTMTHF SPVSLNQPGPSFSDKGKAKEILYGNAPPAWFPMPSSSTSGPGFEDEDLKLEGYWWGAM SASNEDSLIGGLPSIPQMVDLGSPPLRKRRIKRSSRTGTLSDGNGSLVISPGKKKKKI NLKKIIDQTVNKLHDARNTIHRIQEFQRIEMEGGLLPSRELESEKEKLIKREERLERS LLRKEESGRSKQRKKEGGEIGQEEATLELKKASAGLLAHSGFEGKSNVCSWFRQDADV KLVGANEMALDLFTRAAVDHISNMGRTLRLLIDGFSNKMSAEEIVLHALHENGQIETQ DLEAHSKDDIERESIKVTEMQRKIRQAFKEVTTAPVIEDDMMFANNGEMLMDGNFADE LGEDFLGLRDMGIDKEFGLSSLTVPSSLFYGRRRRLAAAHGEKEDLPDYPPPPPFIPL NPTTIPTHLPALLHAFYAARIESGLSILEDDVFDPSQGQIGSLGQIIVKTQSSQTAIP VGTAGLKKKRDREDGNAEDGEEAKKKAVKKLPVPGIGKGNWVRPSKEEKAKKLAEKAE KEGLSLPNGNNKPNGTANGGGIEEDAEGEEE
I206_01683	MARDPPSLLSSSELRDISLTPTSVRSARITIIHPQLRDLILPLE RGRVLYPRGMTIEEQRWLPAIEEEDGTTKRGITGSTRPLLNLNFVPNCLTASSSIFAC GGQHGELYICNLPQPTNSNPALNPLSNKYTSSAPKIKPFIISTTLSGRSINNSIIIPP TWPSQWMRTSEEKKLGYLNRGSRKYEELGRYGFEEEELLLGRTENGQWITRKPISLTG DIDDEDQIMDDEDEDEDVIEEDDDDEDTELLSEEEDDAIVYDVAHSPISDSSVATYPN TVPFLHAPRIPHLPNPASTSRTTKGKIEEPRILISNNDCTVKMFSLHPLQPSNHNHII ERSPVSYNADAPQSRRERISPTLNYRQPFPVSNPNERDDLGSRPLDRLGLGAPRSSNS SISRTGTPFEWTSHNHSHHRHHHQTSVSTPFTLAERETLSLQRELQRASDGLRVEHDN LRRSREDFERVIGMRVSTGQAQAGHPRDADQEREERKLSKVGGTRFKVATNHCEYSQY RIIIELLDLYYADQARLASLSPDLKTMVSVGDSTEVTIFEVIDGGREFRKIAVYDAAT DAGFSTAWSKDGRKFAVASQDGQVTVWDHRSSQPLAIFHTSPDTSHSSQQPSFIDDIS ASHLSASPTSSNGSGWNVVGPEGITLRDPITGTPRTGTSTSGKEAARVVKFSPEGSNR DLMAFSEENSNIHLIDAQTFNTHVIIPVPHIPLGTADPDATSKPRQGVENGTWGIAGV GFDPSGDWLYSGTERTVVEWDLRRSGSGGGGVWGIV
I206_01684	MSEISTSGSATSADLTSSDKTNRYPDSIKNLFTRAEKCHELICR AAMAKEDRNYDLELETKNSKDIIIKLDPNGKEEEMINKLTDGNRRLRRHMSKFSKKSR LEYLTRSKNEHMTWLKWCDWDKSKKIRQSVSDSKGVTTIPDEVSTLLQQLPKDMIYGR KLYDDITNSLMSTLPEPTETFLKKYEVPLSEIEHELRNRGLDV
I206_01685	MNFLRSRSALPRPAKLLQSTTSTISKRGYASKDVIFGNDARQGM LRGVDILAKAVSATLGPKGRTVIIGQSFGGPKITKDGVSVAKAITLKDPVENLGARLV QDVASKTNDTAGDGTTTATVLARAIYSEGVKNVAAGCNPMDLRRGAQKAVDKVLEVLE SNKRVITTSEEIAQVATISANGDTHIGAIIAQAMEKVGKEGVITVKEGRTIEDEIEIT EGMRFDRGFLSPYLITDAKNQRVELEKPFILLSEKKISALQDILPSLEIAAQTRRPLL IIAEDVDGEALAAIILNKLRGQLSVAAVKAPGFGDNRKSILGDIAILTGGTVFTDELD VKLDKATPDLFGSTGSVTITKEDTIILNGEGDKANIQARCEQIRGVINDVTTSDYDRT KLQERLAKLGGGVAVIKVGGTSEVEVGEKKDRYDDALNATRAAVEEGIVPGGGTALLK ASIQLDQLNVDNFDQKLGVSMIKQAIRRPARTIVENAGEEGSVVVGKLLSEEFSSQDK FNWGYDSATSQYRDMIAAGILDPLKVVRTALVDASGVASLLTTSEACVVDAEEKTPPP GMGMGGMGGMGGMPGMM
I206_01686	MSATFKSIRSLQPLLDRVLVQRFKAETKTATGLFLPSSSTSSPL PEATVIAVGPGAPNKEGVVSPVSVQVGDRVLLPGWGGSPIKVGEEEYHLFKDAEILAK INE
I206_01687	MTTTNLKRPSSPVEDNQVESSTKKIRSSSPSSQLPCDSSTINSN SEVATDSNSKKISIHEWLHPNDEQINQTIITHSPLLHESSSTFITFTLSFLPFSNQIK QISTLEKECKRIIRELNVINLINELIFSQDNQNQGAFEKKNLIILTKNGKEKIREPDH RIWACRTLILRQGKDGTKGEDDYQLHEASFDDNEKYGGQTILRALRENKGIDVLSVCC RWYGGDMIGPIRFQHITTTVITSLKSTLKLMNLRDLRINIESLDEEIIHLRSKLSSIS ENFTSSQSQSTIQNGKGKYDEIDDEKKLERLVIAREKTKDALEKKLANQGT
I206_01688	MGSTRSVDDPIPRPSSIPIPSSAHWPNKMGPNAVAGPSSEGAIP RKTSGGSTPSPPKSPSSAPPTPSVIAVTHPSQFIHTHHPRRGSLTPLGLNLISPVPPH VGRESSQPGRSIGFQQSWPSSDHGLSPAGQGSLLGQRRGSAASTTSTLTQSRPMHMQQ TQHAHSFYPPDWNPGRRRSSLTPSGPTLSAASPSRTHISGKSSRPVSSDGSNGTPPLR PRQRAAPVDSIAPDSSPSSPFSGAHQEGYARRGSLPHLGYGGWTGHGHRTWNPVLPPP RGSVGEHAPEDTTQLPDESFKFGSVSEGVDAGGSGTSPASAALRAIDLSPSAGGRRTS IRKRDEMDVFEQAEEAEAERQRRAFLAATYGEDGKRARERLSIGGQSGQGPPGTPAGG LRRQSLLLWERMGMSKPLDNEVGPSSAPPLPSHLPLSNSLAAKDDFSAQRRGSLPIAI PGGGLGRSPSRRSARENKKEMPSVVVNAENVTTAEEEEEGQEDEPMDEDEDDQNDDGQ NLSAPLRPLPPLLPLSDPGPRLLPSTLALHRANHLLQSRNLQSDPLPHPLPPSLHPPA PVDVTEFDIDFILAGSQAQLGGQVKKKNVPVDIMRSSTTPNYSLAPTFKLGGDDEDTF AKFVGQFDDEYGDRRGEWTFRACAPQHHLSYSPRDPLDVAPGPGLGPKAEWESSGAGK YELFPNGEVRSTVTGRSWRVYRLGNREYELEEVKHSDGAPVDSAARNCSTSGDRYTLA GKIVHRDSGGVKLPSSMANTKSFYPSGSMLASTGRSSSLQNTSGSYVVSSATERKERL DSQASTITMTPALSSSTPLGTMASLVNKKKKHGDEDDSHTQRGSFSTKEDKSKSKNLN RVRSKESDPPIEGKKDKSLGGVLKRALKSSGLGGSSDKDEKKAQREERERERAQAHSW SGASNVTYQNWFSGSSRGPDGLPYKGQPHQHHHHSQRHLDEKESKQRMPSTSAHTNST NSATTTSSAGTSDSSPWSSSDQPRKAASSTTSGEDIVHYNSDNMNVTQLSAPKFRQGK AWNGVPDEAVAMIIPLEDLGARSPSIDKHPYFLQGTASKQALLVWYVPFNAEHDDYDQ AQQPRSSAASISDQPSSSSSQNPSSSSFAPGSTPNTSAPVGSLPKFQKLLRRRASREN NSFRKDSNTSKDHSHTPIAHVGSSAVNGGKLPSSVAKNGELALPPLPFRSFRVVARVI GIQDLKSEIEPEIGNEVPSQIGNGNTFTVSPFDKDKNEYSNLATSDDNEKEKNPFDIN EISPFEKDAKTVQVSTTGKTMPTVIAVCHSRSQGVEFVLEGLDRLGLCKGESAWGPTG YEEWRGTGLSEKGRELLDILWAGCTGVMGLSAL
I206_01689	MSTYQILVSGYRDTYTILSFDPSTSKIKIVSDSKAPEKASWIEP ASKQHNPLQSNRVLYSISEVDKGLAVSLNLKDDQIEITSQKETRGSPAHIHVLKDGSG LAVVNYVGGSMIFFPFNSDGTLSDNASDLLEFPFLYKDGDAPDPERQDTPHAHQVIEG EPGTLYVCDLGNDRIWVVEKKGVNTLEIKGWLQAPPGTGPRHATFSEDGKHLYVLTEL TSDVLVFSLENPKYPIISKPEFKVNIIPSSVPKEASKIMNAAELILNPKKSNILYASN RLEISLEEKSKGKFKTLKDVKGDSIAIIELSSNGEELKELNFFQTDLNNLRGMTISND GRYLLTAGRKEGGLAIYEISSNGKQFKLAGKIEKGVDNITDLTFL
I206_01690	MSTSLDGPKRTTSPYRSTPPPPSSTCQNDNDLQNRNRSNTSSPF YPGFLGSTEYNDEFNYRNRIGSSSSSSSRSASPNSPNLPYLVDSPQATSSSSSSARHS STSKSQHNKIPHYQNSRTHTAFPIRSKSTPLGLEIGKGLKTPGSKKRPVPLNLGKARE TFGVDEEMVVQRDDSMQRPLTASSVMSDGANSLTNELQDLTILRKTIRQNLKARPLDS PLPASDSEKDSTGFQTPELGYSQQEALSVSEGRSISIDETLEMLHTSSQMLVIDTRPL GSFLDSHLPRSANISIPSLIFKRLRKSPSGQNTGWDSLGGFISTQAGRFTWDELETDK HMNVVIVGSTTTDELAKVLYGVMRNLVTRGNVKILRGGWGSILGSSNAQDILVSGEDS IADKSSSSTYLPPPRSAPTHDISPVPPPAPPSIPPKGDNNRPPMPSLRPDQKDNKRSL PSLSINGSSGANPMNGPATSRRTPKLSLNLDRPLKSATVGSFNLDQPPPTPGAGFSGP RSGLLSVTTNSDSFSKSPRSPAFTLNIPRTPLGGSFHTLCHAQSKLPPSPSSFGDVKR IGNADDITSLPKTPLPGSSFTSSNENRPNGHPAMFDNEDSEDSIALSTAKNGIAPFII STILPSFLYLGPEISSEDDVNHLKQLGVKRILNVALECNDDSGLRLKDDFKYHRIPMR DIVEESGVAKGMREACGFLDDARLHSAPTYVHCKAGKSRSVTVVLAYLIHANAWTLKT SYAYVAERRKGISPNIGFVAELMQFEEIELGLKQSGGVHGESSSSGSSNPHPSRRSNG LEESSDSEKESGVGGSEKEKRNKNNRTRESLPPTWSHSLNVTSNRPSPLSSSQAGERD KRENEEDDSDRQRRQVGDEREVRKNGQWVHHRRAPVDRTTLQPGRRVSKAGLESLRPL NTTSITPTNNSRPSPGLGGELKDKQIKHSVTPAGDGPLKWV
I206_01691	MNSGQSFSDDIHTQTNIAYIDEELLKYGLPTDIWFHVDKLSSAH VYLRQKPEQSHGEWDNLPPALVMDLAQLVKANSIEGNKKDNITVIYTPFTNLKKSGDM AVGQVSFHSDKKVKRIHVPSRDNAIVNRLNKTKIEKEVDHESERQERLREEGKKKRDE AIERAKKEQEQKKIWEEEKKARSYDGMYTEEAFAEKEQWSDDDFM
I206_01692	MSSIDEFAIPNTILRHFDLSSTPWTQFTSPEIEGKRRKLPGSKL FFDRLLELVGLDGHSLYPPNTPANLRRLLHSIHSLELDRLKKDCFYYYLLKDYDESIN QPSMEIDVSSEEDDLAVISKIPNENVKGKSQEFARRRCMPLMWKRFMDGYWALDHALW ENAIASLSDPAITTLNFVPSIIQTLSSHVSPPSQALSLIHNFLVSAHPELTTQEENDV RLIALASTGSIPQAFSLIRASGSESERRRSRENLWLWILGSPRIPCGLVKSGEKHQVQ TKSLKELLHIPLSFEENTHLIEFLTHPPSRSISSTALSLLHDLITLRLIHQGQYSESL LLDKQLAGTGGNEKDRQKRREMVREFISILPEAQRLALSLDVEQKKDEIQVNGNVNSE DTDMGSSWIKVNSDGPSYADIASEPPSIPTPAILPSAPTGSITSTPAATAHPSSYPDI ALVPTPIAAPTPIRPSTTHTSLFSAAQNAPLPASPAKPSSPFSGPPRFAAGSSTQVPS PRRVLSGSPFNLPQLSASTSKIQSKGSPAPVRKLPKTIINDDDEEDDEERSISGRRNT SRKSIGRRELGRGVSMSVEPQGEAELEKENQGMDIEENRSEQTVASSSKRQTNNEPPK STRKPRKAVSNTGRNSTPPRESAKSTEPVTPGINGMPGSFMQAQSKDSQDMPPPSTIP RNTRANSNVPESVKGRSRITRSASRAILDEDHDEDSKPGPPSKKTRSTRKPPRSSLAP SEITDDGSVTNSVRRSTRSISRASTVQPSEQGSPTPSMSSVGGRKSTRGSRAGSATPR MSTRVSKRA
I206_01693	MSLASEESPEIQRPSLLPRPQGAHHDQQEDLRSVLANVPHSFGT TRSYVDMPSSDRSNNNPTQSHEILSPRPRLPASLSTLLNQSESVRLEDRERTSSDLSS FRRSSASPRRPAHQASDVQERGPRVRTPCRPILTPQRHSYHSNISRPTHPSRDAFRTF PPNRSRPSTPPRPIEQQVRRRPEQNLPHLSETTIGQALSPLRPGFARNVSDDRRSEVN FQSGNVRYSTEMQRSVTLPNRPGGYTPRPDQPRHTEILLILNQDRRRDMRNWSAGDSQ RYEQGYRDAIRDVASGHGRVGNKNINIRIHDPRPEEQLLSTEPLTRSTPVIGMPPPRS LQVQQQQRRNDNLRIDSHLAQLPMTHNWSNHSPSALPTPALLTSQSIVHPPPASDYFG TAFHHTGQSNPSYSPPKRGKRQQISCYPCRSRKLKCDGKKPCAQCSRRHIDGHCDYAP NIKRRGRGKKISNGGRGRGGSEEHDTEESTTMARKRYSDHDEGHGESSAMAQERSRRY NQDDQYDIGELAMDGGMGTGSRPSRPGSEKGEERNEEAE
I206_01694	MAPAAPQHILIIGGGICGVSTAYFLATHSARLSSTKITLLEGTK IAAAASGYSGGFLAKDWHGSATADLSAMSYDLHADLAKQFNGKDEWGYRTVDTLSIET DATRKSKKPSPLPWLADGLVHSSRSLGSHSTTAQVHPRLFTEFFTKKFLEQPSTEVKI GTATSLTLDNTNQSPKSVNYTKENGEKEEIEIDQLVIAAGPWTGKLSEQLLGNKVGSK LGVQGHRAHSIVLKTQQQLSATCLFTSMTMVDGSAGEPEVYARPDGDCGAGDDEPLPP TAAEVSPSQSAIKKLHKQAQALSSVFTPEEKVEIVAEQACFLPIADRGRPLVGKVRGV EGVYVGSGLSCWGITQGPGTGKVLSELILEGKAKSADISKLAP
I206_01695	MSAPSGIKVPPSLTSAFSTARNDAGDVRALVFTIEGESYTHLTT VKPKSTYKDDIALLPETLPSSKTPASFAYRLDTKDGGLHEWMMITFVPDDAGVRAKML QASSRSGLLKSLGANNFKHDWFATSINDLTPTALTAHLNHLASPPPLSASEAALAEVR EAEAAEAKRAALDPETEARRRKVVVGLGGKMEWGEGVEDALKKVAKRSDEGWVVVLEI PATSTSALSLVTSESTTPAQLSSRIPAKSPSYVFYSYPTPPSSTPSLKTPAKLAEQRN TFQATTGGVRNVPLSNAPRTEDDNGESKATEKDENAEEADKQEEEPEAKTAAEPPVVE DQGKGRVIFIYVCPPSSPVKYRMIYSTSTRSVRQDAMDKANVDIVGKLETSDPHDLTD AQLRSALPPTRPTHSSSLPTPTSTSSIGNSARPFGNPSSAQAAPFGAPIGGAFGRPRP LNVPSRSATQIPLPASGPITPVEGAEEGDSKDNIRRAFDAFGPRVNSPGGGGFARPKP AGRR
I206_01696	MAPTAPEAATQGLPPVGEMTSKDYYADSYAHFGIHEEMLKDSVR TLSYRDAIMQNPHLFKGKTVLDVGCGTGILSMFASKAGAKLVIGIDMSNILDQAEKIV RANGFSKDEIVLLKGKLEDVELPVKQVDIIISEWMGYFLLYESMLDTVLLARDKYLTP DGLLFPDKATIFLAAIEDQDYKDEKINYWDNVYGFDYSCIKEIALKEPLVDCVELKSV VSHPCAIRHIDIKTVTKEDLAFKVPFQLKATRNDYVHAFLGWFDISFSCCHKPINFST GPQAKYTHWKQTVFYTPETLTVSEGETIQGELTCAPNSRNNRDLDIVIDYEVVGNEPI KSKMVYKM
I206_01697	MSFFNPFRRAKVEREEGKILIQVKWGKEKFNIPIPSPSLTPLST LLATLSAQTSLPLDQLKLIYKGAVLKDSSLTISSYGIEDGSTLVLIGKNGDIPSISPS SSNGGNNENTINKSNGAGIVKKKIQQPETDQENILIEWINNLIENLLNPLIPSILTFI SQTNTSSNNLNKPKIIPKFEILQKEHARLSENLLKALLDLDGININNSNWIEARKARK LGVKKIQNELNKVDEAWGERKRIGG
I206_01698	MPGGATVLQAATNPERVEAPVTVKAYMLCVFASFGGIFFGYDSG YMNGVLGMNYFINLYTGIPIPAADASQATKDAFTLPSWQKSLITSILSAGTFFGAIIA GDLADYFGRRITIISGCGIFIVGVILQAASKSLGLLVAGRIIAGFGVGFVSAIIILYM SEIAPRKVRGAIVSGYQFCITIGLLLASCVVYATQNRLDTGSYRIPISIQFAWAIILA IGLFLLPESPRWYVKHGKLDQATKALARVRGQPQESPYIQEEIAEIIANHEYETELIP SQTWFGSWAACFSGSISNPQSNLRRTLLGISLQMMQQWTGVNFIFYFGTTFFKDLGTI SNPFLISLITTLVNVCSTPISFWSIERFGRRPLLIYGALGMLICEFIVGIIGVAKPGD ASVVKAQISFICIYIFFFASTWGPGAWVCIGEIFPIPIRSRGVGLSTASNWFWNCIIA VITPYMVGTDEANLGSKVFFIWGSTCVICFVYAYFLVWETKGLTLEQVDRMMEECGSP RRSAGWKPHSTFAAEMGLTQDGKLPVSTHEKIGHEQHHDVANSNAMQTTV
I206_01699	MHPNLRTIASPALPPLIRFGGSSSRTFTTSASFTRSLLPRIQQS QCNRCLSTSTPLLPRHTHARSTVDNVTSTISYQIQNRAIATTATAPDGAMFSHPPPEP GADFNVVMIGAGNIMFGSDEGPWNHSFRFEHKLGRRLKVTALIDPSTARAEKVLDGKR QSFVESAYKDTQVFRTIEDYHAALKSSKAADPHAIVVGCPPAYRGGTTKGTDLEINLL KLFPKTAYFIEKPVGTGTVEAAKDVTDALVKNGNIVSVGYMLRYLRCVQKMKQIIHEN NLTVMATNARYSCAYEAIAKPAWWNKAIDMGPVIEQGTHFCDLSRYFGGDVDIDSVVA RSVEWYEEPGRLSKVPIDESKIDEELRIPRLTSAIWKYANGAVGSFQHAVALQGTAYA CELEVWADGYHMRLVDPYQSPTLYVRRPGDDHEERHSFTDDDPFFSEVSHFIDCIEGG PDPHILSSFEDATKTYELTWAIRLAAEASKWPQVKA
I206_01700	MSFRAPLLRASLPRSSILSKPLSTTSRPIISSLKPLPTYTKLNN VRYLNTSQIAKLEASKAVGDGGMEEAPHSGINVHKPTSMDS
I206_01701	MEVDGDRITDDERMDEDLEHQNEVTGLEEDYPVGEGEGVMEDSD GDEMMADEIQDDEEYEVAMDEENIEPIIKPDTGGEDNQVEIEPAPIIENPSIPFNTGS ISTSASDSSTPKPLVSPFPEMASNSTNTVLPKPLESPGAPETDMTPVEEQDEQPFIDD IDNGNDEYSEKRVENGDPFESTELSSSIEARVPSPEPQKVATSEEALDVLSSHPQATS NMIEAKAVPTVPGPQSSTLQVGESSKRAKSREPTPDLNGGPDDGQEEILDEDEDAEGE EEEDYEIDANSLPPIIIHLPNDQARYLFEAYENDPDALPIWMKDRQAELAEASLSDIL NAIKLECNKEGLSKNGALIICEKQMDLKMNEDDVNLQSITFLELILLHHGCGLPEPVQ LYLSWEEPRFITRFNAIQAELDAVRERSESADLKHDDAQQREAEIIEKNADEVQEKRP EQPDQIAKDVREDKQEGEQDGEYEEYEDDEYVEEEEAKSQHGAYSNVGEREYRDKAGR RVSRDAEAQYAESVDYEVNTRDLERAHPNWAAVRQKPTDALHFEGPSGARYLNYAGEG RHQPESDEQGVEVKELDDQVQEEEEEYDVEEREDEEELQQRGRWNDDGGDTVEGSLAE SKDTDTQDQDRANMNDATVPEPLRVPLDELAKLEQNRANEISSELQKPRHAGLGEKRA ADSTVDSSALPTPYDSVPPSQAEAITRDTIPGLTETAADVPYDEIALKREREASSAIP TPAMSSLTPSEQEGALQVAERVGEERINAIPEDGEEFEDFVDRVNEDKEAGELEIPGG GNAMPITPAISSLDDGYVNEASYDDDDDDDDDDDSILHDTQLGKGRDTVPVTPLDYEA VKADEGDYFDDTLGSEDDVTLPDEDDPTYDPGLESTEYTVDPTKTTETQQDTTSISGM PSPHSAKHFMDNDEENSDFDTDSSKRPRTDTTD
I206_01702	MSRDPFLPEIIFHIAKILQAQDDVTTLFALTRTTTEIYDILASI FYPKLTITQIYEGSIFWGILPHAIEEDYHDVKSSNLSETESAVDESEQYKSLPYDNLV TIQQPTKEKCSKNPLIPNAKTNSRKLTNLL
I206_01703	MFILNWFWDVLSQLGLMNKSAKLLFLGLDNAGKTTLLHMLKNDR LATLQPTLHPTSEELAIGNVKFTTYDLGGHMQARRLWRDYFPEVDGIVFLVDSADTER FAESKAELDSLLSIESLAQVPFLILGNKIDSYGAVSEEELRMQLGLYQTTGKGKVPLR DIRPIEVFMCSVVMRQGYGEGFRWLSQYVRKSQCHGVVIMLTTLQNRSKLDRWMTHQK SGGEGES
I206_01704	MSVPSPFPAQADLKKPVCVFCGSSPGNQPLYTNASAAVGQALAK ANIPLVYGGGRRGIMGVVSQACLQAGGYVHGIVPQALTERASEHTPAPGTSSGSQSKE GQGEDVLGDGFGGTLTTDVVGSMHERKLKMARLSQGGFIVLPGGYGTFEEALEMITWN QLGIHRLPILILNIGNFYTHLYNQFLSSVEAGFIAQSNLSLLKLVNLEGGESANADES QAGDWGKAALKALDEWDLAEGTGYSLNWDLKERRAGGDESTLEAESSLVKAPESIFTT LRYTSPRNIPTLPIRQDSLPLMDLHFERLKEAFEYFTQRDGQERWGVWPGEDVIWQSL QKALEVKERAGAGDYRVRIVIHPGGKVDVQCPDAPKDAGPFAFLPSASRSAIRRPVVF DQEITDISLESSDQIDLRLHKTTNREMYDEAYARGQTIVPSNIHPEVILHDGINILET TTSNIAIKCSNSDEWITPKLNKNQPFLNGVMRRYLVAKGIIQEGEVTIDMIRKVKSEN GRLIGFNGLRGVWEGEIL
I206_01706	MASTSSSLPAPAPPPTTLFALIHELHSTINDAIDTALTWDQLQS PPINYTLVRPIVQRFAPKTQDEKANGTATPALAIPGGQDGGESGVARKLHSQKYQGPC LGMVIYALMANRIQYVSLSAGDLSYEPLQTTRAAFCELLAIKILRTFPHPDEPASLVS ELVRGYCAFDGAPEEVWQSMGDDRENVEEMTSSALELAIVSTSKRFLSLPLIQHLISL IYKGQLIYAPVSTRSIITDSYAEEDLAEVYVYNPYEAGWLDHQRLKVPKWRKTMEAGS FIILMILYVSTLAAKDLHHVQAIEIIYIIFSFGFVLEEFAASKEHGWAVYAANAWNAF DMAYITIFLLYFLLRIFALASHSPNTSDLAFDILAIAACIIFPRLVFFVVRENVVILA LRGMVASFVQFMIVTVLAFSGICFCLWTLGRATWTVKQIVWLMAQIWFGSSYLGFSAS SSFHPIFGPLVLISYAALCNVLLITMLIAILSNKFAAINQNAHEEHLFQRVVKTVEGV KSDALFSYLPPINILAFAILVPLSWICSPRTLHRINVFAIRLTSFPILIAISAYERYT YRAKQRAIHLNSSTIDSVMDVQKPGLLNSWLTGGSEMLISSVFEASSYISSPLASGIS TPPKASDISTIQNDDDVAEGNEAVKIIKASKKEKRQSTRTPFDSPLAKIFGRSNSTDK DNITSKGKDPEKVVGNDEVEALKKELEEVRKSQFRMEELLNRVLSAPSTGNS
I206_01707	MYSSSYSPSASPYTSPPPPPHDPYRSSPIPVNPKQPYNATFSPP PQMPNNFAPMPSGQSSHRYQQYANPPQQYARAPPNRYSSPLPPQGYVNVSNGYPQQQQ QPPPPPPPQRHKGTLAPGQIVKVGDQAVRIERYLSEGGYAHVYLTTSEKPIYPPTKGE KKGRWGEKGYTEHCLKRIAFEDDTVWIDVKKEIEVMKSLPPNPHLIQYLGSAHSRLPT GGHEVFILMEFCSGGGIIDLLNKRLRDRLKEIEILNIFTDVCEAVAAMHHLSKPLLHR DLKIENVLSQPISTSPTPQRPNSLMFKLCDFGSTTFPADKPPQSKVEADALAMDLNKH TTLQYRSPEMVEPMLGLPVGLPSDVWALGCLLYKLCYYTTPFEEHGPLAIVNAKYTFP PVPAYSPRIQHLIASMLMEQPVRRPTVFEILKIAHEMSGTKPEIDYPIPSRSLGASSQ PHQPTRINAQTSNLLDFTGSSSPLNGTPTLQPSFASSVPPQRRGRPTREGSQKSISQP YAATSMPTPPSLPPADHAPKPNIQVTGEMSKPQSAPTSALKSSPGLDAFGMPSLPASS SYKAENRGFGDSFAAHASKTGGFNGNSRLGANLPRPPSTSGFGDSFGTSEPTSLSARS PGLSSLNRVGSNQSGSAAIKQDSPITTVKSPDSSSSAPNGESNFETRFPSLETLDSDH FSPPPQQSQPKPESLISPVTLSPPTRPTFDNRPSIMGNMTGGNLKSPMISTAPTDHPQ PRSTQVTGTAFKAVKSPPSSQQKTDYFGSVASSAVKPGVSTNVSSSAGAKSPPPRDLM DDDQSGSDSLKLVPMQPGRSTTPLSASSMTNPSSSPNHLNTSRPQGSPASAQAAPLSG HHRPLLPHINSAKPNSNINSEEWSPLEKMRLSQDANRGEERKVEIELDSSDEDAFPEE ASGTQYKPLTSPSKDKGDEQLSRQKTSDRASTFGRLSPTKSRDPIKSATVGVPPSAQS WTSGQRSRPQSMFVTPSSSSALSKGFRLSESPSNGNFPQSESTTRPSHGRKGSINDIV SKYENLKPPSASFKDLKNPSPSTSSAHGQDGTFTNGAKKPSIASKPQALRKSTTEQSA ISPTYASNETTKNASDSASGIAKPIVNAKGSPLIAPKPVRHGETPRPEGFSRSSSGRA FPITKPKPSSINTSSTQNINQSEKIEDKDENGNGSGMSSPEKQQSVNSLIARWNQGQT ANSNANKVVPKRGGYI
I206_01708	MILALSFILLSVLLLGGSNIQASFIKKTNEKSNIGNVLAGGYIN NITITTSNVIQCSEAIIKWKGTNGKVKLEIGKGGYYIGIENIQIINSISELNYNWKVN QPFETDLIFQITDENNQIGYLQNVKVQQSNDDSCLSNSSSSTSTSTSTSNSISASSSI GENEITSSVNQITSQTTSSFDVGSSSISSSKSKSKSRTSSSSSSSATSVTASMSIAVS TQSTAPSVISNTPISTSVNNLIASTSSSSASGSIAPLAAASNGNTSGADRVKYQVSTL IISFSGFISLIIGSMLY
I206_01709	MHNQYPLDKSLSSIFDPQTPSPPPMTPRTRSTSYQPTSEAYIRS PTVPSSPAPAYTELPDRNVNFHFAPLLNGKIGDIELETIDGKRFLVHRKILEAETVFF HIYYGFVPVWRLNAASSSSSSSDRNLSNDIVPSIHQSPSTTFRNSSLDNRVSISTNQS FQNLRSLPKIIAQTLSSRSSISQNSISENYNNVNINCSNNNENIEENSLPPPLPPKDI ITSPTPTNSPYTWIVPENSNVLLAFLSLIYPKGIITKNSEDLLNPLEITSKVIKTSLG YQSSKALNISRDKLNYWIKEFPIEIYSLACFFKFKDLIKLSSIQALKINFKNWSEENK LLMGKKSLNDLLNLQSIRLNGLINILNIPPQINDEDFNEHFKYCHNKIEFENAWFKMI EIVKIHLNPDSDLLELLEIDLREFGQCGNCLVLLGKNIQRCLLQAKELPTSL
I206_01710	MVSSPNKLFQHQYGYNSTLLANMTPNSLHAPFYHITDPKLISIA SSKPFNLLAPIVVYWVVCSIWHHLDTAKYPYFEARRIHESPEVIARNKVTFAQVIKAV FLQHCIQIALGWMWFEDSEAILRREVFVDHLAKMAKLVPWVADGTLLLLGRRTGEQVL LKHGEKLVAWIYWWGIPLFQMYFAFCVVDTWQYFWHRAMHTNRFLYRHFHSHHHRLYV AYAFGALYNHPVEGFILDSLGSAVAEKVAFMTLRQATLLFVISSIKTADDHCGYRLWW DPCQLLFANNADYHDIHHQAYGIKSNFSQPFFTNWDRILGTQMSRGEADSKTRWKKLG HEYAEHDKHNVIAEGHAKKVD
I206_01711	MSINTVNPYASNNQISTLEQDVLWEFAKLNDKVKRASNLAKLTA ESPNESLLAELRTLEKRMGLVLTLFQASVWAVIVDMQAAEEARTHQQEQEQQLRQEQQ YAQDQYGYAGQGQGQDISYDDSRRWDDDSVL
I206_01712	MSITNDTSLEEKDTITPNIQPVSKTRSHSQSHYQRSRPEVDPFP IQLHLSRSLSHAHQPNHSLHRPPTRNDGYDNFPPPLQGIRNSADLERRLTTDADLVGQ MAVNDDDIGPPPEGGKDAWLCVASAFFVLFCVFGFVTVFGQLKVYYLAHQLKEYSQSD VAWIASLQVFLTFGGSIVAGRFFDSHGARGLVMLGTSLSVAAVIAIAFCKLYWQFLLA HALFGISASMLYSPSTAIAGHWFMKRRSTAVGIVVCGSGLSGVIYPIGLKRLFDELDF RNAMLIIAGMNAVLMFPAWFFLKARLPPRSPPPLKSLKGPWKEPRYTCLVLGSCLVMM NWLSPYFDAPNLITSNKVTGPISDYSIAILQVGSFFGRASSGVLADKFGVWLIFILSI LGCSISILAFWIASPIGSGCVIVGLIGYGFASGAWITLVAASTATISPIREFGMRLGM LWSLTSIPSLIGPVICGVLISSADGTFKYAGLFIGLTQFLGAFITIAPKILDYVRCLQ EKKETRRGINKQTEKKTSSAQDE
I206_01713	MTQSANMDSTLVPTPIENLDPANANFEQQAQHVTIRKRDFGFLP IPRSRRHDPHKTVTEEFGFTWKMNLVFAFASTVSVMNLYYIQPMLVAIADDFSVSHTR ISSIPTLVQGGYGVGIILISPLGDLVRRRQLVLILITLTTILSIGLALAPTVAALEAI SFIVGILTVTPQICIPWTADLAPANKRATSMSITLSGLIFGLVLGRVLGGIISNFASW RDTYWLAVGLQGCMTLILYFTLPDTPDKNIGLSYFGVLWSMVKYFTMYPTLVQACLVS MFSSAVFAGFWTSLTFVLNNSPYHYNSFEIGLFGLLGIIGALLAPQWGRLVDRVHPYL GQITGISINLVSMIVALVGANKNISAVCISIIGYDMGQQLTQVSSSYRIAGLDPKARA RLNGCSLLAVFVGQTSGTAILTHIYNSHGWTPTGGTAVAFIGAALIVLFIRGPHEIGW IGWSGGGQILKKEKLTDLSPEAITEKIKIKITKQEKVNPQEQSASNSEVTPEQNDSIP ATTEKGAAQV
I206_01714	MDMPRYSTQLDRPTSLSSTRTSLTPSTSTEPRNSTTAPPRTPSP YLADPPSHNSAKHAKDYGLPSIRIQNNDGDNNDDDGYQDDQGKLKRRKSLERTRTWAE EVERNRKRSERKGKGRSKALGNDQNPYDQSFWSVSTEVIDSLIETLTPSSSEFSHLPS AAQVIAANKRRSYRIDSDSEEDVNYEEWWNENSYKQKDIEERSNNSMRLEVVQSKPRE RRKSHCLRKESKSEKQEDSSLTDLIQSIPSQLPLQRLNDHQKLAIIPLLPSPTSPKTP LTPMTPSTPAKSLPQPILSINDIIRKHSPGVMSAENVVKEKARKEIEENRPRLASMQP SVKEQPPVQLKDEDSVIDPYQAQNTGQKRIEAATPVKQYTRGIGHQCKTGGLSGDRKI PFTASSKTDPLSIPSRAHSLREVKPILRQTQSAYSTPQKRASTQTAKSISASGEKVAY NGSSSESLSQDAKITSALLDHLEYLEQTSTIVSKSSTQNFNKRTSFQIMSRAKDNIDK PITPTRSKLWKRKSMPDSTSHTISQASPSKLKAELVSEVENLKFAIYLQSRNLNRVIT FARPFPDEPLNISFSEVGKLTGNPVVIFLGLGCVRYLISLFDDIARTFNLRLICIDRW GYGRSTQVSESQRTPTAWADIVSRVLDELGIEKYQILAHSAGSPHAIATALKMKDRIL GRVHLLAPWVSTDIDGGYKWLKYIPNTVIKSATAAEWKLQSYLIGKPPPLKHKPISHH DPAPLPGGSSKVDTPSTDEEDTDPPDTSRGRRVSMLKSNNDSYSTGKAQREVMQQPGL VRRASKILYPRSVDHSHGKEEKSIISMGSKYSSESADSRSVDPPSPTQQLRVVAPGGR SKPRISKQATDDNDLCLSEGFDFRSSSPVQLRNKSYITANKTENNSSSTSSLSDYENV DVPTGEGFTTALNQASHAECEPGTTSDLLSIILNRDNRSWGFQYTDYVGGVKVYYGSE DDKISEKSMRWMENSMKNVELVIRQGENHNLMTSLRTMWEVFESLSKEAKGLNKRI
I206_01715	MPTPLSPSSSQEIIPISPPTSSIIKKGSFVKSKSSKSLIDLSES LDFKYNDSENDIPSSSSKNEKQKYDSNQINRKNKTRITSSTHWSKKDLEDNIKRKAID NQREELNKKSRFQITQRMLSTRGKDLNPITNSDLYSRTDHFVSCSTGHQQSNRGGGSE GSMTYWQVRSAQVNDQARTKQTDILKGCLIYLNGSSGPKLSNLQMQHLISSNGGRIAP LPSSSCTHVIANGGLSGSKTQKHIDGQGKRGASRRPKVVKVEWLLDSIQKGQRLSEAG YGMVDDPSQPNLFKTLGVKPKAESELAS
I206_01716	MGKRKAAKKPQAKKKAEPLSSIFKCLFCNHEKAVTVKIDKQSMF GHLSCKVCGQKYTSPVNNLSVPVDVYCDWVDACEEVRARQPVKQRPVRAPSPLAHGQA GGAAFDPDGAQDEDAEGEEEDYDSRARTKPSKSRRDDEEDGEEDEDEDDLEDGGRREK RRRVREDYDDEDEDD
I206_01717	MEEIGIDLKLEDPTLPSQITEKQALLNSLPTSDEELYSTWKRLE AHREFLELQEEYIRDETQNLRRELLRAQEEVKRIQSVPLVIGQFLEPVDERRGIVGST TGSNYVVRILSTLDRELLKPSSSVALHRHSNALVDILPPEADSSIAMLGADEKPDVKY SDIGGLDSQKQEIREAVELPLIQMDLYRKIGIDPPRGVLLYGPPGTGKTMLVKAVANS TSASFIRVVGSEFVQKYLGEGPRMVRDVFRLARENSPCIIFIDEVDAIATKRFDAQTG SDREVQRILLELLNQMDGFDQQTTVKVIMATNRADTLDPALLRPGRLDRKIEMPLPSR RERRLIFQTVTSKMNLGPDVDLEDYVSRPDQLSSAQIASICQSAGLQAVRKNRYVILP VDFEEAWKGAVKRSDETHEFYR
I206_01718	MPNLHVHYQNGNVKAFRQELDGSTNINNTKGGNINPGSASGGGK SWSMNGFIGVPIKTDINERDQYGRTVLHLIASSITNNAYTFFSILLRNPNISINLQDT ESGYTALHRALFVGNLRVARDLLARNDIDLSIKDYEGMTAFDLYNGTVEGTNPPHDVD GSDLYVWGVNRNYSLGTGDGSDKSFPDHINLLTQAQANGRSEPSEKFDHVGVSKVVMA KLHTGAITTEARGNLSLCGFGSNGRLGRSVHSQLALSPLQELSSHSIVDIALGQDHTL ALASGGYILSWGHNRFSQLGYTIEIPDKPIPGSRDDDLLQVSPKRIVGPLKKEVVRGV AAGRMNSACWTADAVWTWGTNAGHLGYDKASNPVQTVPRRVTSITQPVLDIAFTDYAM ICLLDSYEVLCFHRDTSFKVSFSTPRVLSEAFPFRPPQATLKPMIKKVTSCGTSFAAL SSIGDVFTFSLPNPLDELPKDARGGHVNVKPQMIWALRKSFTAVQDVALGSDGTVIIC TQSGHVFVRQRLKSGSGQLKFRRIPYLQRVIKVAVNESGAFAAIRLDAKAKPIALTGR TLQEDLYLLQPHIRRFENQMTADEFEEALKKKVEDEDEDESSNSIAKDLAEAFRFCTI LSRWRNDEGDSLFAWSDPLLGSDVHLVVNDIAIPAHSVILTLRIPKFRDLLAGTLQSN LLSWGKYRSSKAINIKACHPLVALLLLQYIYTDDTSAIWDARVARAVQDKFAALNLPI GQIKSDLAGLADDLNLAPLSHVLNSAGKQPISQMTLPTDVYTFFTTTYTTSPSTKNQC DVSLVLADKEISCNSTILRARCPFFEAMFADSDWTSRRKAEGKVTVHMEHMNWASMKL VFRYIHEGAEDDLFDYLHQDTLDEFLDFVFEVLAAATELLLDRLVLICSRAIIKHCNA FNAAALATEAAFYQANTLKLSIFDYIISCMETMLESGLLDEMDTDVLQDLSDVIAQKQ SVKLSVSKNQVLVKAAMEKHREWLLLQDIPQPRIRQPFKWKPRSPALSPVDTMTFSSS NKEKKRAQIPPSPLLSPEMLPSAADGMFQMDDEPPTPPSTSGATTPRVTRPVTPLDLA AVPGQSKGAVWRSKTVETEKVDLRSIIAGEVARKTPQRPTAMTNTSLPGSRPQPTPLP TPTKSASLARSPPSGSPWRPMDVTKNSLSSVQAQQTPSLTRPSGSQASPVPQRTGSSK VITPIKLPNSSSSSSMITPQRKISGTGSNGAAWTTPTFTPTPAVSMIPVTQGFSLLDI QQHERDIAEMSSKKTTKSLKEIQEEEKRQEKDKAQEDEFMRWWAEEEARVAGQAGNNS LSNSRGGKANRGGKVRNAIPKGRGGKVSASGSGDVRNGGSEPQNEIKSKNEGNGSASS RGRGGKVKGVGNKQNEDKNKEQNKQIPTKNNHSSTLNQRSGNQSQNSMPKSNKSNETQ PVSQNSQSFNGVINSNPFNNDQKFLNQSHTIPTLQPTASSFIPKADAPSFIPKFNK
I206_01719	MTSTPPDRSEMMFLGKECHHQACHLHDFLPFACPACKLSFCQPH FLPSQHSCSAPLPSSMIDRIAPQCPMCNEIVKYTSSSTLDPNMAVESHILSGICTGFK GGEERKKQELKKKKLNGEVCYKANCNKMLVVKMKCDQCNHFFCPTHRHSSSHTCSPNA TPSSSSTNLNQSTRPAGKAALSRLLPPSMTPPIASSSKLPQSSAKPAISPAIKVTSQP TPVESNTSRPLDAKAAAATAALRRAGQDVKVPFVKTKVEKRSQAELNSTIQALKNRHD KGLLTKAEEVRYKNLLSSGA
I206_01720	MKTLLTPFPTPLSNRQWFYLSVLQGVGAGIIDGGANFAVAYAMY HNQKDIKMWVLAKNTIAGDLGVTPIIQCLASMLITSTLVHTDLHHHAVAPLAFVWPHV EHLPDPREITDRFKRRSKKAESSANEKSTSPLSSPTPSIDHDNSRKGLGHYFKMLIRF IFEGTENNSLLSIPGSAPLPFRIILTAAQGAAIGIVFGLPLFLIFIIVLGPLYKHDNI AEVGWKWSPMVIKCVYGAVLGWITNPIIACLALGSQAEHHLIVIPEENLEEGQGQVQL DTEGIETIHGEEELQSPIPISPLPGTTLRVPSVNGTPTRPPARLRALSNLSTTSSRGR PPLTANCSNLSIVGGSSTSNRRGSMTSMPRTPRSAPLPNGEFNLLAPPITSNAPGTLG SGLTPECPGISPIGYGSQSRRGRTRGATISTFMTNVDSVGSSNYSYALGGTGGRAQRG NRPRAISSLSNTIQPQIQDDLNSQSINSPPIKINNFNSISSTENRSDRGRSYSDGLGI SDTSGKKPVWDVFGQVKQSESNSKLSNLSPSKHMTLRHDKTENGEKNEETN
I206_01721	MSTGKPATQYQAWKKLQQLHSSKSSKLVLKELFANDPKRFSTFS EEFKASSPDVTLLLDYSKNLIDDEVLSTLFDLAREASVESFRDDMFAGKHINTSEDRA VLHVALRNPPTNGFKIDEEGVDEVEGVLKHIKEFSDSVRSGEWKGYTGKSIDTIVNIG IGGSDLGPVMVCEALKHYSKRDLKTHFVSNIDGTDLAEVLKLCNTETTLFIIASKTFT TQETITNAESAKAWFLEQAKEQSHVAKHFVALSTNAKAVTGFGISESNMFAFWDWVGG RYSLWSAIGLSIALSIGFENFQELLKGAHEMDKHFKSTPLEQNLPVILALVGIWYNDF YGAQTQALLPYDQYLKKFADYFQQGDMESNGKSVTKDGSRVDYETGPIIWGQSGTNGQ HAFYQLIHQGTKLIPADFLAPVETLNPISGGKHHEILLSNFFAQPEALAFGKTEEEVR KELGAEASKNAALVKSKIFEGNKPTNSILFQKLTPGTLGALVALYEHKIHVQGAIWGI NSYDQMGVELGKVLAKNILKQLGSESEVQGHDSSTTGLIHYYQKNRK
I206_01722	MDIAITSSPISIHTHVNAVRPGPSYVNYARPPASAGVGRGRGRG RGRGAAAAAARNASQYQQRQVTPPFVYLQRPNGPNGNIANGTSPTSSDSSILPPNHIH IVQSQPTSQMNRTNSTFSLASVPPLSNGPSSTEGSFGELESYHTSPYQIYQPSTLIKE VEPDVEIIESHIESGYAIQAINHFADTLRGMQRATFDEWVTFVDSHFESSARFQLNIS GAGLKSLGVSHLSFGQSGIPKFTAKFRYIAIDVSTLSLPRFFLTLAEEDTGNLHNLIL SDLSEPLIGIVESGSVEWTCGDQHLKGSLTAELGLGEEYKLIRIELNLEAVEGTISGI PDNALRLLEIAQQMECMTEVLDIVDSENLDPNDALKQLEEQNGSV
I206_01723	MNFKYPSFKIHQSVTRFCLPLSRFSKLPSNQNKTLYIGSIITKS IKNSTTESIKILIVKRQLLNENENENSFKWEIPNGKVKYGIDQTLIESIIRIIKDKIG LIVISIKKEIDSFEYQIDNNQKTYKQYNFITEISINDNHSDEEEVLLNSKEYQAYKWI TKEEVLNLDMTDTMKSVLVNGFKSIEQIAQQTE
I206_01724	MAPAPTPSGFSFSSSGSSPFSFSPQDKISKQPQSGPSTFSFSNS SNTVQPDASTSASKGTQQLPYNMEYSSHFEDDIEILHSRPYAYKKSEKKKWQSTGRTL CTVSSPVGGELATWVTKKPSSNPNESSTISEPMRSVSDKTIYFTALNWLPQPLIQLYT ESHLLFTSLQQIVAESHSRRLPSVGLDKVAEAWDKRGNLVGIEGLLGPPDAETIMHMR RLADLYLDQLGDLKNNHEIDIELRTRFLTSYNILHLAEVLYLPVDGKGEGLVGEEILD WVNDNIVAPDNSQGNEIMSTRNPWDHPSFFPYISRCILRGFQLPAASFLRSLSNHQHS PISKLASLLAQHISVFPRSTEERWRVDLDFLQAHKSWLAKFRAEIVNFTGGKSKGKWF GDDDKYQSMESDIRTIIELMEGRPTRILEEASDWKEALGAWGILVDVDLRRDHLPEIM SMILDKIPVDSTIPEDSIQSALCSADIIKALMGCYDLDIWLSAHLGDLLDQLELIPDD EQRFEIPLRDYFLLEYTEALQNQPKYSAFWRVICDYLNYAGVEGRNRLRSHILRISIG LDGESKGKKRQDNDDQHEGMDIEESDGDRDTNGEGQSKEIEEAVRLLDEVRAACGEFH LEEEFKLISQILAGKLIRKGEYGMAASMSMMASDGWALSRIAEKILDSYITEGDEEFL RLVDTLPPTLLSEAPTALAELQAQIDPVSGLPGLPATSAPSVFASRLTFLSEFRDYLL FLNQGARDRAASKLVSLLTSGIAPISIWAVLLVESIDLLEDSEILFSSNETFELLRVL EEVKSNASFAPSDYLDQLTQYLRRSFTADEMPDSKKVGYEEGWKKIDQVRLALARNLA RSLVNGFDSPF
I206_01725	MSFQIPNQNNSPSLSSASSSTMTPIPSQFQSQSNNYNQNYSSSS SSSYNPNLRVNTTTANNNFQSNPNLKVNSRLRSNSALSPRTITNSLSPINTNLAGSSM GLHGPSKSLGSNLNNNIKNNDDNDYFENVGKSNNSPLSPSPSSDERNDVAAVIRGLRG TAPSDISINDHSPSFSNKGKSLTPNGTNRRIRGTSPTPTTPTGPPTEEYILNKIREIA ESSPDQGDTLDISRQNMTKISDEVVHMFGAGVGKDKKGVWRLALSYNGLTNNSISFKF AHLHRLRYLNLKGNYLTEFPKPITELAGLEILDLSKNRISSFPDEPKCLSKLKVLSLT YNKIYTLPGYMVEFTSLKVFKVDHNPIEWPPKEVLGPLAASNSASRPKTSDGSSAGGR TRKDEDLRPWIENMKSWMRQRAADSERLLHQAEGSHRASDDEPISATSMRTSASARSF RSQLESPMIALSSQDTVKRAAFAQHVDEQPQTPTRPFALGRNRSATLSDDALALGISP GPSQYSPFRPRHSRDPSASSFTSPPSASTESSGHSLLHSTNLPPPPAILAAQGHSRGA SYTATQRLSGNLTVKKSLPDLRQSHAQIIQDRKNDGQTIEENRPLGLGIAAPGVPKFQ LPGRGWGGDMIPSPTAGPMMTGSERSRVMSRKGSIEMMRRTSGDMSSEIAEKRNGQDG PQIDESRNSYFRRLSTLPSSTISKSVPAALLKFIDAIRGILYALSQLHSALRQYLVFA VNERIASVFSRVMEPAGKYMNNLINTLDRFDSMSRRNSPPVHAIRSVIDATKESVAVF AKVIAVLKMQIPALKTNDIRYTRTLLTMIYGSMAEIACSWQSMAPLLSEIRPLLVIDV GGLAMRSMGGIKMAPTGSLSGRTPISPIIERRESQSPASVSKSTVGGSPLVPQVESSP APAPAQALSLRTMGKSRRQAGSFSSLDVERGMLMGSPGGPRSNEINASDQLSTPGSYL RHRPSESATIVLDQQAEASDEDEETLDRNTTQQPQQIMQDASPNNTPFTIPGTPPETV PSHQPVTMIPASSRQGGHHPSSSSGSSHAMAMSFTSTNPPTGPGPMRKLSVDVRPPTP ASASVFDEDLLDVIETATDIAFTCWLKLAEDVGAATPPFSNHQKSGSQSSILSQADSS VSARFGHGFTPNNVDHPRRPQTISIKHHNDLLRLLSSAEQITAALRESLMGLRANPST YTTTTLPDDAQTFIKTVVKVSELVKAISAHHIFPINVRSACSKLTQSTRECAILIQVS SLRPSNSTPASIPPLSANSSRPISPMYSSNIKGSSNSSRLGSQEDLYGNHYLNFQPHS AGYLNMTSSWDNNNNNNYNTNNTMAKDGLRGLQLPSRQIALSRSNNRSVNALPLPLPS FNSASPNGIGTPSNGTGIPPSGNGISPNGYHQIQPKSAQAGQSFH
I206_01726	MALPNLRSIFASSRTSEEERQLSRTTFYKFTAFVGACLVISLLA ARNSGREFGGKATRSVVNMVAGSQGKIV
I206_01727	MSQVQYLQPSISTMNSTQSNRSHSPDSSSIQPASSRMSNSDMAS TQIEQFEMTEDNAEEQGIESQRSHALPPVDGGWGAWSYLAAATALEMLIWGFANSYGV FLDHYDTIYPKSSVLPIIGTVAMGSMYTLLCPATLWLTSHPRQRIPAMWIGLVFLGAS LLGAAFADSAGGLVVTQGVLYGIGGRMLRIPSLVYRFEWFHAKRGLAAGIMLSGTGAG GLVMPLVSIGLLEKFGKRTTLLAIGIAYIILLTLIIPFVRPRLPLSQQTTTRMPKVNW DFLKHAPFWMLWLGVLFQGLAAFMPSTYLPSYATALSLSPTVGTIAIAMMNTARVPGQ VFLGYLADKLGARKLIISMSLASGISVFAGWGAAKNSGGLIGFSIAFGVFAGSYTALL SSFTKVLNHDDPHLPAILYALFYLARGIGSIISGPISSALMSNTSLGNAKGGYGVQSF GVLILWTGAGMIMSGIGAGYKTFKVE
I206_01728	MTELKSHFVFGTTSAYSHVPPIIELSLALLKYTPDLCISILLHI NNFENSKNIIENEASSEDVKSRIKLWPIGEKREWNDILSSFMDMIYKSGEVYAGVLLG SGSWPHPSIFIYDAVSFFYVNMKSKVEENFPHLKPTRSIAYNPLPAGEMLFLGGTEEN GSLRWLDKALDDFDPEARVKPENNLLSGANNDQSVDVLDQAAWMSKFAKAYKACLFES DRLIKIPGYTPFHAFERWGTEVDYTSLKEIGWFQYLAGFQACLPIPEMWITCYPSSIF EKGTFEAIQKDPNFTIGPNGKKEFLELGWFERKPKENWGEGVKEFLNKQNEKTVIYIS FGTLVNGGPSLSALFDLLKETKTPFIYACGNQKNSLNKNIKETLELCEKQGICISPNW VDQVGILSHSSILTFISHCGANSVIESIEAEVPIIAMGRRDDQILLSSKIHSIGIGIE LLQHRMGFSIEKKLLNRKGIIIKGTYNSFKEEFKLTLNKIKNDNLKFKLKLKELNNEI KFKRRNNGEWIENIIKFGQYGRDR
I206_01729	MPLPSLHPLPANPTTGQQPFTTLGSRGISAVAPASGSSGGVNRE TLKTLQDVYWSDDEDDPDCIICSEPLDLSDLNFKPCQCGMQICQFCYNKLLGSDARCP GCRRAYDAKAVVFQPVDWEEVKRAKERKTKRARTIKQLTTMGRRHLLGVRVVMKNMVY VIGMKLPAPGDEAIPILRSNDYFGQYGKISKIYLTDRTKLSSTAVSTLTEDEPSTATG IYIVYIRREDAARAIASLDGIPAPQGPPGTLLKATFGTTRYCESFLKSQKCDTPNCHC LHEWGGDSDCFTKEDMETALTKPAEYDARQKQTIVSAPQPPSLSSKTAWPKPSSDDIN GCKHSLAPVTGLPTAASWGKGITVKTANRASSTNPIARPTKIGSFIPLGKSNAAFPLP TPSPTIPVIIKEKKEKKSQSMARGKSTDSSLSAATGGAASAQTSPKRKATALPPLTTS TPSRPSSTASAPPPPPGLPLSSSTSISVPEPSTEEIMDEQVATDSDAGPSSSSPAPQT PARSNEPIPPEPLSTQPIIVHSPYPEPIIFSFPAHDKDFAFVLGLDDSELQLRQAQAG GYEPSPFSKTLEGLAELGVHAPELPDLFEPSSRRGSLGYNGLFRPFDISDGEDDSASV SVEDLDNTPGPSSRRDRDHNAQRTESRFGFARPGSTTSVGSARGQSPFSNLRRSAAEQ SGLRDSWYSSRQGQHDDASSSPLIQHPQQQHNQDPRNAALAAQVASFVGSYDSSIGAG EAWTGTTQESTYSNSPSHQRLTLLNGGGFERGSAGGRMDHGVYLQKGSREEYEPPVLQ YGNTQIYSNPAHSQPQQQVPPRSLFSPDSTVHEEPPYGHHQSPLSFQHGQSHQHPDAR TLMALHHSRGSPTPLAAHAYRRY
I206_01730	MPDLKAYLADKYMSGPKRDAILARTSDSSIKRKKRKPKNEDYIG GVKGEEGGGLMLRDEDEWRLKDEDEDMEDGDTPVMGKDVSTFQKSKSSWATVGSTSLP MIKSEPSNEAGPSSPPPSIKAEPNDFPANQPKKRKGGLRTAAQMAEEEAARKAAEKSP TPPPDAERSNQYNETVHRDASGRVIDVAKLKEEEKRQEEEAKRKELERKEWTKGLVQR QARDSRRKEEKEMGERKNVGVSRDDIRMNREMQEVDRWNDPAAEFLTKKKKKGPRRPK YEGPFAPNRFGIPPGFRWDGVDRSNGFEKKYFQAQNSAVRKEYEKNQWSVEDM
I206_01731	MSFRAILRTRSAFVAPTVRPAFTSIRFASGGGAHGHETESYESF NSRYQTFFQSVGDLFELQRGLNNCFAYDLVPSTSVIDAALKASRKVNDYATAVRILEG VKEKVENRGQYQAYLDELKPTIEELGKFGFFPLLGLIEKKGN
I206_01732	MSTQEQTNQTTEQVPAVSVDPAAPAPAPAPAPAPGGETECQGGC QLHHAEDQAQVQVPTTQTNQEKEDSA
I206_01733	MKFTFPLFISLTIFSTFVISSPTINNDKFKLINRESIPPIARNN GIYLRQNTGDANTSNGDNTVDTSTSTETETEDSNESTSSTGTEVEATPTYTYNGSGGE AGDSGPALTATESGSTPAANDLTSATAAGVEATSADPNAQPSSAPSTSTSHSGLTNYN TTTRSQSQSLVGTSAAQTTGSAKTSSTSSSAKSGALPLMPNLHPLSLITVILAGGLGV IRVLF
I206_01734	MDVPLPSPSASIRSNKPAIPLLSLAPPALALGDELAVGEPGDEN NAGEIWNVITRAADLVKDGERLENLAWRHWGQPTRRTYSDATRRLSMSSQATTASSAS IHTPIDTSTSPFLHRSRSAEKLTFGGALRLLLEKDENNFKDWVEDAKRSLPPIPPSNN KSYPLDSPEYQMPTISVPDTPIADVEIRLVEPTPVPSRVGSLGVSMNTSDMKEEIDPI PEEERSQSTDDNQPQLKNHVRIISTPIGTPSRRASYSKSSASPKKKSSKFFVQSSPSR GSGSDSSHPSPSDPIQTSAAAAAAGPSTKANNPSLPPAQKHTRRRSSGDCSGLSGKVN PHQGLGHGHAHAQHQKRHVSLSTMRGKYQAEKRRMAEQHSALANETQNKDNEESGWED EEEDLGEDEDDDWSDEDDSPKARKTDNSEKPHEVFSDHTDKEKEPPHEHGAKQTKSSL QRRRSSSRPRMARTTSDDRKPSGAGVDLLSLLTRKSSHSENAHQRKDKDAPPPPAPTP LTKMSKKERQRAAAERQKIEEQLEAQRQREMFAKQQIFGSRHSQHNQGLLASALQRGA SMVNLQSAVETSMRPSSTHVQLPSLASSPNPGPSLLRSKSVVAMPVQTGVSVTIPPHA LDRIKDKKAQKVQKNSQEKAKASVEMESSSEEDSDEDADYLNTTQTKQKLAALVAKRD AKSTNQGKAPATAAVSQPSQPPSQEAVPSQAVPTCPRVNEYGYVQPMSPTTRRRNIIM AEMSESLRRNVVLEREKSSGCGPRGMGMTRPPPSKLSTHHSAINLTQYSRGGGGETME RTSSHPARASPNTSQPSSHTGAITTLPTSSSPSHRMPPPPPPPLDLTRRRTTPNVLGG NFLRPLTRATIAQPQPPPPLETPQHHRQNSNESQKSGRNSSVVNLNNMIHESPSDLEA PAMMRRSTDGEGLHKERERRKELARRRDTTDTSYRLHGW
I206_01735	MSSPKTSNSPSNKIYSRRSHTGQLLLLSAAVQVGLLLYAQHVDT HPERYGGLKYTDVDWRVIHDGTKLIFHPNQECGNVARGWSVELLGLRIGDPYERSTFR YTPLLPLLISPSLIHPLIGRFILVAISLIIPSLLLAFPVSSRPGFWTTHLIWTLNPFV LNINTRGSPESIICLFVILTLYCIRKGKENLAAMWLGISISWKIYPLIYVPAIWSLLS RKYGWFGKGVWRFGFVTGLTLLCVNGLLWSIWGQPFLEHTFLYHLTRLDHRHNFSPYF YPIYLSLFPSSNHTLSIVPHMMEKIIRHPLTSFLPQNSLVLLAGFALEPIAGIEFTMF AQTTIFVIFNKVCTSQYFMWFLPLIPSIIPNLHLNRAKSISLIGAWVAGQAIWLSIGY KLEFLAQNVFLYLWMAGLGLFGISCWVLGEILDGFNVEQRRHLDVRKTK
I206_01736	MTAIISLFNLLPTLAILGAALSGIEQVPSAIAHNPVARSPRDGY HRHLASRQKLADNIAARGTTPKKKGLIRRQADGSKCRVRGQSYTASSSSAPAPTPTAS DPAASTSSAVDAAAPSSAAADSSSAAPAASSAAATTPTYSGGANGGSVNVGSKLGIAW PNGAWDTQGTPNWIGNYIGNKASWYYTWSPFSVSTGDELGLEYVPMLWGPGHVADWYA QQGSWPSTVKNALFFNEPNQKGQCDVAASDAVQYWINDYLPLRSKGIRLGQAAPTNAP DGLVWIQDFQKACTDQGHSQADCTADFYPVHYYDTNVQRFQEYVTNYHNAVGGNLWVT EYACQDYNGGAQCSDQDTWNFHTQMAGWFESQSYIERFSPFGVMKDMQGVNQANALMN PDGSITSLGGWYITTA
I206_01737	MYHTSSAALYNPVAQPRFYQPPSHISTTPIIPASIRAPYIPRTT AMDPFGMPPSPSSPFSSHESNPVPSASTSIPSYQNSGSSYLPSKSYMSSPHPTQQFDT MTTGTSSFVSQGGSGSSDRPAVTVYEWGPREGVQGNQIIIKADVILPSTTPPANSDGS SPHPSPRSKPTKALRVVFGTHPVQTAVTTLDTSSGSQDGQYYQLMATVPSYSSTGAGS QGYGNKVNVSVQVLAGDFAIVETVPVGEFLYTNTGSRGNPLKRGGENLESSRPSPHQV HRRVISTNSAAYTTPELQQYATMPSTYHPSPSGRSVAHPAPPPYTPGSIQPSLMRSTQ LGPGMPAPAPYPSTGQKASLEMAGDLMTMSKGWTQEEWHARRRLVQFWRRQDGTTIHA AFKPISQAEYPAYQQSIIVSCIFREDKNVCYVTSVDAIYLLEALVGTRFTVEEKNRIR RNLEGFRPLTISKSKPGNEDFFRLIMNFPNPRPRNIEKDVKVFPWEILGQALKKIIGK YSANFYPASPMAPPPLPAQSYQSTNYGIPGPLQPLLPSNTPEDEYIKQDPYGSTMSVN NASGNGQPNSGGSVPHLTSHGSSDSSSYGHSNYANPHTPSQHPNSPGAYRHTNTPHGQ DEKGNSYLNLSPPYGGQGNQWVNQGDPNEGSNPSSGSYGIPPNLIYPDQPHQLPHVHQ NVSRQPNPNDLRINYPGMSL
I206_01738	MFSMATPALIALLPLAKAHMSIWTESMYGFSQSYDPVTPLSGKS FDQWWFHGNANDEPSAVTTLIPGKDLTLEIAYSGAYHSGGQTGSQSGWTGNSESNLLG CALAFSFKSSKASEIKMEDFTIMSIQEKCVRKRLTTFEIPSNLPNCPENGCTCAWFWQ GKNSANEMYMTGFKCDVSGGIGSNYPTPNPPRKGKISGPTQPLYWANEPTNLDYTPDW ETKPSYNSAWGWTPGAQTAAFGTSGSGSTNSSSGSGVDSSSSGNGATSTAGPGSQSED NYSPSTKATSSPTSYSSPPSSSTSAYGGKTSTKTRGRRPQATETQPSYDDEEQDNGDE DQNDVPPWQELAADSEGVDGAGEQALVEHKVKNCKRKRHHRRNKLRMAHNS
I206_01739	MSGTTTPISTSASTPTLYDPADTPTERTHLLGKDKFIEPLSPIQ PITSHYVVEQGEEEEESQGGEEVDIYEPGKATFAQTLLNVLGDLIGTGLLACPIAIAH AGWVLGPIFLCVICAVTLWTLKILIRIIEKDRRMRNFADVARYGLGERAEKWITGLFV GDCCIWIIALIVLFSDTMEAVWPVFSSNQWKLVGMAVIIPLNFVPLRYLSYTSFLGVL STWALVCILIFTGLTTSSSPGSISHPAPTDLFPSHGIIKLGLSFGLLISGFGGHFLIP NLIRDMKHPKQADKVVEIAYGACMVVYVIVAVFGYLMFGRDVSDEVSRDLAKTAAFSP TMAKIAVWMVALNPLTKLPLGLRPLCDVIYTWFNLQPTIYLSKETTIREEERYVEPSP PPLTPASTTSTLIMFPPMPSSNSIGQISHDRREMLKNIFRPLVSILLICFFILGAFIL PSFETVMSIMGGGLAVISCILVPIAAGSNLWGWSWYARIIFGLSCLVALISTICALLN DGTDSV
I206_01740	MFMKYLAASMALLTCVSALKHEERDVKVLAGAPINALNLTVIPD SPVEGQNVTLDWGYGDAGSAPYNLQIGTGGYYSNLTWLYEYTNLTNTNLSWNVNVTAG ETLIFQLWDAINTTTYTQNHLILPNNSTEINVNNSTISSSSSALPSEPTTEDKTEDED ESFLGEVLSTIQKELDE
I206_01741	MFKKRSRPTSVRDKANVDNERTVTSENVENEIKGFKAALEGEED DTGRTIEDLVLLRKLRKSQSQQGIDLEKLNRGEQAKKGKNKKKELDAGEKFGLQAGPS RAGEDKDDEGDDNEKAKRLVRSNNFTQQTNALDVDKHMMAYIEAELAKTRGESATDDN KSAVKEAYDPQAELYKIAERYKVGEKTKKKTQDDEGNVTNSLGMLTSIPEVDLGMDNR LRNIEMTEKAKREMLEHRKQAAAEAAERENEAEDYAAARFHRPHQRVASDIYAIQEAR RAEAGLAPRTENATDEQVYDRFKKR
I206_01742	MSSDTPILPCLDFSSIEIHPSSSSAHPLDSPSFDIPSSILQHLQ TASNHLHNHETVALPTETVYGLAASSLDSEACKAIYRIKNRPADNPLIIHVSSLDMLR TLLPNKYEISELYMELITSFWPGPLSLLFPSINRPPLPAPQTNAIRMPSHPLSLALIH YSNLPLSAPSANSSGRPSPTKAEHVYNDLNKSKGLGCILDGGDCGVGVESTVINGLNW VKGGGGSVDILRPGGLGIERIKEIVDKVDGKEGLTEILLHGKPWKSNQKKSDGIPNGG TAIKGTSISGGKVKPVELSAPSTPGLKYRHYSPRVPVYLLQPNNIFPRPTNLPEEAES SSQAILRQISRRVHSSHGKGKKRIGFLYYENSPLSEQITKSTIEQDEIQLIPLSLGID STSAAQRLFAGMLTLERIPPDDQIDKIGVDAIMIEGCSDAGLGLAVMERVSKAVGGGG ILGDVKDGQGEIGVKGESMDNTFWVDLASRI
I206_01743	MAKIVPPMNFGLVEDGFYRSAQPSELNFSFLEKLNLKTIIWVGA EEPSEILLSFLDSQGIKLHNLAPQVSILFPIDHLPPLPPPPEPLIIQSLTLLLRPSTF PTLVCCNMGRHRTGTVVGCYRKLQRWALSSILEEYRRYAGMKVRILNEQFIELFDTDL VSITAEQALA
I206_01744	MAEKAKAQEAHLENRDNYVHDEKSAAAIHVIHNPLRRESPEQVV ADAQAFANNHNLGEYADLFGRAALVARDQKHFQSVPSLLPEEIAALQYERDHKWHGPF MLWYSISLCAIGAATQGWDQTGSNGANLSFPAEFGITGEGANEWKVGAVNAIIFLTAG LIGAFITDPLNHYLGRRGEIFLTAACLTATPIASGFTHSWQALFAVRFVMGIGIGAKN ATVPIFSAELAPARVRGALTMFWQLWVVAGIFLGFCANVIVKDTGRIAWRLQLGSAFI PAFILMLGIWFTPESPRWLMKHKKYDKAFQSFLRLRAHPIIAARDYYYSYIIYTEEQV AYPGSNYFSRMRDCFTVPRIRRANYGASTVMLAQQMCGINIISFYSSTIFTDVGYTDV QALYASLGYGAIQVVFTIPTLFMIDTIGRRRLCLITFPLMCIFLLAAGLSLLKTTGSQ GARIGPVVLFVYLFTIMYSLGEGPVAFQYSAEVFPTIQREQGMAFVVFINNFFAGVLS ITFPRMRSVMTNTGAFGFYAGLNLIAWFMIFCFVRETKQLTLEELDQVFSVPTAEFIS YEAKVSSPWFWKRYFFFQKHLPKPPSIIASADTDYSPKYSEAPPRTAPVSSA
I206_01745	MSQAMRAYATPAGIERIKVKNPVVEIDGDEMTRIIWKKIREELI LPYVDVDLKYYDLGVENRDATNDQVTIDSAEAIKKYSVGVKCATITPDEARVKEFNLK EMWRSPNGTIRNILGGTVFREPIILEKIPKPVPGWTKPIVIGRHAFGDQYRSTDFIAP GPGKLTLTYTPADGGKSTEMNVYDFKGKGVALAMYNTEDSIYGFAHASFKMALSKKMT LFITILKKYDGRFKDIFEEVYESTYKSEFESLGIYYEHRLIDDMVAQAIKSSGGFVWA CKNYDGDVMSDILAQGFGSLGMMTSELITPDGKTMESEAAHGTVTRHYRQYQQGQETS TNPVASIFAWTRGLAFRAKLDGTPELEAFAKSLEESCVEVIDQDGIMTKDLALAMKGK DMTRDDWVTTDVYMKKVEERLIEKLSAGVQPTIS
I206_01746	MASISTPTQLATFPQPHASSSKLPPVTLGPVNGDSGSAVAAVQG DGIWTYDLNTLRPTTSFTVPPSTVFSTIPISYWKTTTRTVSKEKPNADTTEIQEVMDV DEDEDLDDEEGEEEDDELIEEKERITLIGVGKEVWIWKGDEGDKEIISIGKSVQAIHH LTANAWSNLIITSNPTALHFLDESLQIHQVDLSTASIDIITSKLISKTENSARLVMIG SQGEVYIHQLVMDSIPRADKITEGKIGEGSFSYADISDEGVITALDSSNNLHTREISS LSTSSSPLRLGHPSPTAALLSLPSSGKPTILLPTSHPSPSLLLTIPLSTLPAILSFTQ VSSFTSTGSITHLSILSRKNGILTIGLVLNHVNSDGQGGRNVIYTTELVLPTKGIGMG MLLGTKEKTQTYLATASSTRHSPSASNTTEDDLIKKFQDLLNKKDDISAAKLLDDRLD DNRVIPEEVVRSLVQSIFTSALNNDGKLKGHYASSVIRSMVSGGLVNDSMWKESLVGD GLLPCGDWDNILSCLQNFRTIPTSTLVKLIASSLNPTEANAKNVPNLEDILNLIMKTP PAPTFRLDIRQNLSVEDATSVLEQLVHWAEKHVLLRATVLKGWDDEAISTEEGQEDIP TLGSVITYSNLLLDSHLPLFMNHQPSHSALEALQSNLVPLMEAQDQYRKLQGPVESIL ILSRREQAKIREIEAKRGKGKGGKKDNALSAGRLPEEKVGKWKVEELVF
I206_01747	MSGTIYKGPINPNPKDDEASIIIYSYIPSLTLGIIGVLTFTIIV SFQLFYIFFNKNKNKNKNKTKWFHILLLIGSFMEIGGYSARLSSHKRPFVISSFVAQY FLIVVAPVLFSAAIYLSLSLAIRGYKGSEKLLIISPKKVLIFFVTADVITTIIQVVGA ALIGSSESAKVRGNSSKVTPQQANNISLAGLSIQCFSFTIFLCILIFSIYKSYYLKNY LIQIQIKNIKQLRLFLNIILITSLLILIRTTFRLSEIGQGFFGFASTHEFLFGILEYL PVILTLFIWSLLPPSKFLKNLNDDRERLDSNLEGNEALQTTQIDQNRV
I206_01748	MNNMNGYPIYDDPNGSSSNTIPVFPGLSRTNSSSSSLHQYNSQP QPDPYTYLHSQLGASSLHSQLGASSLRGIVPNLKPTSFNSFIPNDDFNPQHQHQIQFQ HQNPISSSGPSRPSGIAKKKSAKKSAHLHGLGDGFGKNGELRKKRTKRTSGKACVYCR RSHMVCEGGRPCERCIKREIPHLCRDFTPPPHSHSHQSETSQHTESPSQASGAESSTT AMLPPPQAQPTLIPALSQDQPMPIYSDPNFPPTWPLLPSVSDQTAQFNSAPAQLEQML SQQTPPVKLEPDLTGNETMAAPSWGTSNDDSELAALNEFMKDLGVPALPGDFFSFMNA LDNKDTNNSLQLPMANGSIATTSSVTQDFANLSTQNTNGASSNAKGKGKVVPMSRIDK FMMAAADQPNGTRAARLAQVIKAKYDAGLLKPYDYVKGYERMNKWMESGRAAPRMESR AGSETDSPSVGAGRNGRLTCKSPYDSAQTSKLTGPAMTPHQALGKSISADSRRRILAA LAGFRPKFRQIARTLTNVDLVFVEEAMERWMLEYDRTFASIHTPSCIWRRTGEIQKAN QEFSNLTGIPASMFRDGQLCVYELMDEESAVRYWEGYAKIAFDQNQRSMYISCTLNIP LSLTRLKPRYLSAAPTPQPSKSAHPNPPYSIPELALPQSFHNDGMSSENGVLDEFREI KCCFSVTIRRDTWGVPVAVMGQWIPI
I206_01749	MADAKLFTRGKAQELRDELRGANDKRDKGFLRKKTALKKIVANM TMGNDMSPLFPDVVQCMQIQVLEIKKMVYLYLVNYGRLRPEEVTSAIGGFLSDCADRN PLIRGLAIRTMSSIPLPPIVKALIDPLRHALQDQDPYVRKTAAIAVAKLYASEPGRKV VEREGFVGMLRDLLADHNPTVVANCVAALVEISERGDDIVLKLNGNVAGKLVAALGEC SEWGQIYILDSLLSFVPQTHLEAEQLAERISVRLQHANSAVVLTTIKVVLYLMNYMED EVLMRVLERKMGPPLVTLLSSGSEVQYVGLRNILLIIQRRPAILQNEVKVFFCKYNDP IYVKLAKLEIMYRLTREENVSEVLAELKEYASEVDVDFVRKAVRSIGRLAIKISSSSD QCIQTLLNLMSTKISYVVQEAIVVIKDIFRRYPNQYESIIATLCENLNVLDEPEAKSA MIWILGQYSDRIENSDELLEDFAFTFKEEPAEVQLALLTAVVKLFIRRPTAAQELLPK VLKLATEEAENPDLRDRGFMYWRLLTSNPTAARDIVLSDKPVISTETDRMDKGMLDQL LLQTGTLGSIYHKNPNTFIRTAKARYLPDSPALNSSSKRHLITPSGVSSTSIRSPPSL PARPISSIPTDTESPISPNGGSSDPYGQLSDLEFVNGGGAGYQTDIPRPRGADEDLLF 
I206_01750	MFCPRLRSILSTTPSIRQLTISSSSSKILRAYSTPASVPLDKGE QAIYQKLKTALPGKRLEVQDVSGGCGSFYAIEISSPAFKGLSTIKQHKLVNKCLKEDI EGIHGLQVSLIHL
I206_01751	MTTCAGCGEKEASRLECPNCKKLGIKGSFFCDQDCFKKSCDTTH KAIHSIVQLAAENEANKESTLPANMRNYKFTGPLRPVYPLSAKRVVPPHIKRPDYADH PQGVSAIESVREKRIRILDKEEIEAMRVVCRLGREVLDHTASFIKPGITTDELDAICH QACVERNAYPSPLNYARFPKSVCISVNEVICHGIPDQRPLVEGDIVNLDVSLCFHSDL NATYPVGKIDEESADLIATTKKSVEEAIGICKPGVPYREIGNKIEEIVRPKGYSIVRR YTGHGVHERFHCEPNIVHYGGSKMPGKMEAGHVFTIEPMINLGTANLDHWKDDWTAVT LDGRRSAQFEETILITETGFEILTRPPPTTSSHKKKKKKSKSKANGSSTPNDGDETPE VGTPTGEVADGVKELEVNGSA
I206_01752	MSKAGSFFRQKGVKDAFRVLAWVPVGIFFTRHIYSLASVTGGSM QPTFNPNLSSAPLHHDVVLLERWSVAISRFRRGDVVTLWSPQNPELLTTKRIIALEGD LVNPLPPSPPTPIRIPPGHCWVEGDSKFQTRDSNTYGPIPLGLITSRVAYILWPWPRR GIIDNQLPTKSKDRVKSLGQTFLQSRFGEH
I206_01753	MSTKYKTTIAVAQIRSTDDPKHNLEISKKVIRKAAEKGAKAIFL PEASDFINQSYEESRKLSLPLNQHLFTKGLQEIAKELKVLISVGIHEGPESKDEKRFY NTHLIIGIDGNLLASYRKLHLYDVELTKPPNEDGSIPPPQRTGESDRILPGQKIINPI DTGIEGLGKLGLEICYDIRFPELSLILTRLGATTLLFPSAFMVKTGRDHWATLVRSQA IQNQSFVIASAQYGAHNPKRTSWGESLAFDPWGKQLGRLRSVDDTLPGSDEKVEKIYE DEGEFFLAEIDDSIIKDTRSQIPLAVQRRKDIYGVVGENI
I206_01754	MSLLAPRPETERASVLLPNVTCSSCSASIPLSTLGEHICSAPSR GMGDRNAPRPSQIAIPQSRPTVAPIMSRQPSSQSHRGPSPTGIRPPFAGPSSAHPSPT EFSIPRRPSAANLSPHDQPGSYSAYPVPPVKTPSPTNPFFPRPDGTAVNAAEPQSSPM IDTTSGGESGMAGVGRRAFAAAAWGVRAGVALAKQHIEQPLPSPSFQTANPSWPQPQA STSSQSATREPPLLPRVPLSGRHNPGSSPSFGARPEIHHSHTAPIGSTGSYSPPRSQT PASPPRRSASAASHRSNPSSPPRRKESLSSNTSSRSGQGGESLSQILRARTNTAPVRP NKPGFFDKVKEMQAHERSNTVGPVLGIGMSRSGSGGSSSSNDRSKIHASPQTTTFELE DDDYDDQQSALPWATPALKDSPMMSANPSGRSNEMLHQRYPTAGSEASSSSSSSRSGR WGATSGPESEEVVTPSQSLEMLSDRVHTQARPTHEAGMKSFGSSNGLIGMEGRDILDQ IGEEDEEDEGERVVFGTPTARNLKGTGTTDRQLPNSHSNSTITSTRPYLPTTVPHSSP NRIKKYTNNSSLNETPKPKSHKSSNSTSSSSNSLASSTRRNKTCVKCGDSVGGAKRFV ERDGVVLCERDWKKMYLPSCRRCNLPIEKSAVSSSDGQLKGKWHRDCFTCYKCDKPFE GDDFYVHGGKPFCQFHYHEENGTLCASSSCHQPIEGACIVTPGPNTQRFHPGHLRCDH RGGVSGAQNCRESMDEYYEFEGSRYCERHVYEATKGDRMMRAEKRRTRLVDLSFGSSN GF
I206_01755	MEELRDAAREKNMKSAEGYNKACRSLQNCPVTYSRPRDLVVLQH IGEKTVAILEKKWKVYCEDNNIVIESPVKKNKGKSRASSDDESDLSSSAAPKKKVRKA ANPKTYIPARGSGSYGILLSLVLAIENPEINTQVFLTKSEVIRGAQEYCDSSYDHSEK GTYFTAWSGMKTLVNKGYVYVTGNPHKYCLTEEGYEVAAAMRSLRPEFSHMEKRPFLH SPSVGGSNTPLVPTRSAPVVSTTITRAPSAASSRQVSPEKLTSKPKSERFQFWYISSL GDRVSSLTAASIRLDPVDFINLRKVEFRCSQRAHPFASQLRLVDHLSAAQPRDDTGQA TLFGFILEEEAPPTCSGFDDNGTERGNFTPFPSGQHDFQAREDINFTTVSAEMNQTQL KASCPIDSLTTAERAFTRTVSAPVQPHSPISNLAAEAALRRQIGGHTNRSHSLNEITE SVKARNPRPAPRLSSHIPIHTPHVHLDEPHLDRTASTNADGIHKFQPSDALIFPPGTY EIILIVDTREVESRSNRDKIAETLASKGIKVETRALRLGDMCWVARRIVGDLGGEEDE CVLDYVVERKRLDDLCSSIRDGRYTEQCFRLSNSCINHIYYIVEDWQVTERMEYHGLQ IMTAKSQIQIHNRFFLKETHKLSETIDFLTTMTKVIQQSHENKALHVIPSKYLSRSTY KSLQTHLKDSQSETSYFTSFAAYQELNDKSASVTLKEKFARMLLCVKGMSAERVSSIL DIWDTPTSLWTNLKERDSGPAEFELIDSNGKKRKRGKEMFFADMVKGEGRRKIGDALS KEVGQESLCQYRAPSADDSVVQLWKAFMGTENTEK
I206_01756	MQSTPGPSTIVLTEKRGLKACTACRIAKVRCHLVPEKGICVRCQ SAAIPCKFTATRRGRVKGSKNIDSSHDAELTSWTGGHRTFLRSEAMDDQPAFRVQSPL DPFVSGISTLTSPVPFPSRPMPKTRPGMTRRTVQTMAGSFPIGSERAVSESVPGADFS SGRDVHNPLLFLAECARRGWDTADGSEWKDKMVVPAPITYLPLEDAIRLGRWSKENLG KIITDQRKYFQLGLHGSKRDVSVGLDPVYQHVIKEDQVDILFGSYFRHVHCQWGMLDR DIHTSAFVRSRSAFLFTAILALGATSIATLTNSTPQQRILAIKLWAHLEKLQLVVSAT AAKSVEIVQGMMLAQMWALRTPRLVDDQRAARLGMAVRMAGQIGLQLARNHGSIPGER NSNDLRTRLSLVLVESRWESIADRQEISCQGLDLTDFEAEELDRTGPFEDIALMAADY ALYRFEAESKERIHRISSTTRSTTGLDSERIWIQTYLESWNKKWVSPQTDPLRKWWFQ YLSIRSHLVGILRVAKARSGPGSWSDQMRSDLILVSIELLEGCLSHKRAMHMLRPTSP IVFAAAILLRLTSKEAPERDLILRVALRLAGEPEKEDIVTYAVHNGYQILNMLCLSKE ATEVSHGSTQAESSNNDIIFHQSSVSSSITALPEDDTYQQNFRHTPPPQADQTQAQRI DPMAFLTLLNHQPVDDIGVFLGLTGTSNGNGNGSGIGYLHDTSNVNGYGNANTTQWDS SNPRSSLLYGDTASNPVPMPSWDNFLSTEPFTSIDTSQGSMIDHRLQAGNGSTTLTNN ANEEELFGSAGEANRQMSDNAISDFYFHLASALGS
I206_01757	MPVAIDSPVIIPLSDEERSTGVIAENHLFDAIDALFNDGVVVLQ NAIDVEVIDKLNEKMKEDTAKIMNGAVKGIHWNQGSDKGNVSQVPPILKEYMFPQVYA NKLASSVLSGILGPQPELHYIRSNTLLGNTTTRQKVHKDVRGRHLSHPYAIAQNICLI DVTPENGSTELWLGTNNSSPWTDHEVMHLGFVAQDKLDERSKVRPPVYAPVKKGSLIL RDLRLWHAGMPNHTDETRVMLAFVYFASWYQNTMKQKFPRSLRPTIEAMEKESKTKIV VDYVDDEEVKDYLSVEFQTLFTSPHHPNQEVA
I206_01758	MADLERVESKKDDIYVSSAEADKMRNELEDHTTAFAGLTRIQAI RKFWRASLFCMITAFGVIMDGYQTSLPGSVLANAGFIKQFGTVVDATTGKISVNAQYI SMWSGLAYLCQFIGNWSGGFISDRFGRTYTLYALTIVFTGGLITEIVARDYKDWLAAK MLMGLGQGLTQQGVLTFISEVAPTQLRGALMSTYGWSYALGQLFVSIALNALEMTDPT NYLKAIYSEFVFLGLWIIALPFLPETPWYYARKEDEVKAKKVLGKIYKGVEGYDIDRE YNAMLVEIQEEKYKSKSNSEVALKDIFMGPHLRRTFASLFALVMQNWSGSPIIFNYTT YFLQQAGLPKPFQASVIVYCILLFSLMVSFYGIERLGRRTLILSGGVACTIFNLAIAG LGFAHKSDSVDNATLAFICLWVFMYAFGFAGTGWTCAAEIATPRLRAKTTAFAASTNA ISGAIFNSVVPIMLSTTSRNWGVKTLFMFAILGGIGTVINFFLLPETKGRTFAELDEL FDLKIAPRKMKAHVTSIEESGLKNHQ
I206_01759	MASIPVYSEKPAGPTAFAPYLDTVANIFHPVSGPVLNTYARFHG WKESMGLVQPGTVENLTREIQQVHLANWAFDGARADVAKVVSANPAFQLTHSFSLGSS TRPPAYNFGAIFANAKTFLQGGVDGSGTVTMRANQTWAPTDITKIQAQLTNKAGQSML QVEHDHLGPHYSFSWKSMNPGILDGTGIHIASLLHSFSPRLSLGFETVIQHPQPAILE TATSYVAKLTSLPNALEALTPTVPGQPAPFYPSWTATAQLQPSGNVQATYYQKLSDKV DVALDLQTIIQPASMLGPAKREALATLGAKYDFRMATFRGQIDSAGKLGMYLEQRFTP AFAFLVAGEIDHAKNASKFGVGIMIESSTMTQEEMIAAGMLTPV
I206_01760	MSQLQTQKQISDNQPSNEALSLSASIFLNSIDSWIPKSFGKEIQ STSNSGDQGLVGLLKPTTINGHIEDRLGLGHPDLLQGSTSNRPIQRNGLISLSRKLNL EKKSKSSNGLQSVNLLEDDDEEEDESKFKSISKKKITSIDPFGGSKKRKKDPFSVGSN NSNGIKSKLNPPVPTSIPPQLKFNVDTNIDHREIEVDRENDVEKSSSEVPKVPSRQTT PVSPLPSPVESSTFPYNGPGPFGPPVKSKLKRLLHDDENESVEKTKSVQRAVSVDTEP KKQNIYHEVKGHIQKGDVSNDSPLSPQKLSKTQMRREKRKKAKLSKS
I206_01761	MAETRTRLISNPPSPTESTISQTDEIKDRNLLPEREGTSSIPQS PSASLFLRTAETLDGLSKQLEHLKDDSVDNSNDLIICCCGSTFSNGRTCKTLRERENI EDKLKLSGEIGNALLQKYEALELKYKREIERFENQLQLKRNALVESVRKVNNLEKANT QHLQKFAEVSKKNEALEKRYTQAMHTQTLTQQSLTHVRAELTTLRATSQRQKAALASG AGFEERLTEAEKRYEDARDLAETESRKVRDELRKRKRAEARIEELEFQVKTVKKEVDE VKEARTKDAQDLLANAKERLNELHSEAKTFRTDSPSDMPEYQKTLEDLVAANTLLKHD VSELTHSLSDSRDESRALKEEVEELRSAIGTIGKTSPFGRLPTRLATELGSGHSFHSR TESSPILSLGQMSMAASFTSNSSSTAEGALMSPGLGMGPIGEFGGILLNEDGTRTGAL SPPPNGRESPKFRTSPSGGIGYVLNGVPKVKTGHQVRPSLARSFSTNTDRRSRRSYAS QGVGPIAEWPGDGSEDVSGITEEPLSPGTDYFRAAEKNRKRRSLMLARRSTMSPHEFT DYSPNASTTLVDDMDYPSPMSDSALTNTSPKRAKRKTLLLLTRSQGVQTDPVDIADIS PYEQDGRRGSTSAQDVATTTSTSPIPPSEDLSETSSIQDKDNGRAGILLIVIEHMSKM LARLRDADVPTLNKRLKKHNLPGDVAHLSQSTMRALQQEVADLRNQFKGIHNLGGIDR KDFNLLLRLFKDVFSDLVDLQAIVNDVTINPSLSKKLQKAAFREESDKDLLSSGPGGK QASGLGWIAAPITKFFVTPAENDVSEGVQARKAGLERGRLQPSIPVKAAPKQQAIASA TTTHVSVEFGGTGIVRRAIPAVYTTAANGRDAVIDVLPPSPLPSNHSQNSDRNLDETL SPAKLGVESENSLLPPTVRNVRRSKSRANRNELLGIFAGATRPITPTSGEPWTTLGNG MPPSTIGPKTLRSVSSHANIGGSSSGEKTIRAKTSNERKKISSIVDAVIDPPTASGQI DNEILVSGSYEPEPPLLERTLRPRGLSDSSIRSTFVSQSLEPVQIGRAPAYTAISTGS GGVLQSISRRWYDFRGSNEVSPINPNGGAGGNSTEEVIENDQHPPSFNPLPLSSQSTR SSISTTTSSLMRDRAISPSTKNSIITPPSTSTGANTSQQSGLFGLIASSLVGTTSNEL DHSDVVEDEDELIGASLRQSNLIGRGVSGKSWR
I206_01762	MAELSLEEKCKLAAKTLEQSPPGEINDVINDIRAVINDDNALMP YVLPTLREYNLSQLHVIDHPVSDGVPAHSSILSHATILPGTEGEEERFVDAEGKRSFK FDHITLGITDYQPYDLPEEEETFRAALAQSLAKYTKNHFPSGQSSVSSSQYPLLPPAS AIPEPAPDVTKEESESEEIQEPVTDIVNEQVNEGDLEPAPTPATGDLNVASEKDEIVR PESLDQLDDLVEEAKEEEEGSVKKESVKPDVEEVGEDGQEEKVTTPRAEEATSSALEE KKDIVPEPKQERVEDPKYTLEIVGNKYNPNNFWTGRWRTRWVVDRASGTVDGNINVDV HYYEQGNVQLATRHSASFPLPVEEVNGQTVASQIVTTISKIETAYHLELNDVYGELGD KAFRALRRALPVTRQKMDWEKVTGYSLGSDLTKARA
I206_01763	MGNGAKAQQRKDRAGTKPADKGASSQLKTNAAAQTIQCDTCKAT FQGTSKQPMLQLHVDSKHPKSDFKTCFPKFVAA
I206_01764	MSSRSASPEGEGEEIRPYGDERDSSEEESEDDPEEAKRIAEGFI VDEDDDEDDEEEDEEERKRRKKERKKKRKREKLRAKREKQREEDLELSEDELELLNEN RGLTGSSQARPLKRLRRRSGSLGEGSDDGRAQPTLQDMFRDDEDRDRDDDEDDLGDFI EEDEDDLAAQGESEEQRRQRKREEKLKRRQQKASKPELAGVDRASWDEIYAVFGDGQD YDWALDGEDGMDIDDDEDATKKDLRLEDVFDPAEIKARRLQDEDRAIANNDRPERHQL VNSTLSDNPVFASDTLYPPPDLAAGWAHTKISTRTQYLFCGMHEEGSYPEPTIDNTTP YPAYRRFELGTEFRNAVSSALNMMFVQHLEVPYLWHYKRDAFSKLENQGSSSIQFLER DELWSLYNLGIRFKAIFERNQHTQNSWEKIKSRRPDLQDEYLTTKLLPSICMMSIESA AEGSEWLSYHYASDLKAVKEDEAIEEGAKRLPERGDNEDLRSGPIMKLVDAYGISVSQ VATTFNEPEGQPIPPKNAEKLPNDLAEEFAGPGTSFGSPELALQAASLILTTEFSKDP AIRQQTRDFMEACGVISVTPTDRGMTVIDEYHLYYNFKFLTRKPVQMFKDSPQFLHML KAEEEGLITINIEADENAVQAFVETLVRCCRSNDYGEISTAWNALRAEICSDVTRKYL VPTAARWLKEHLKGEADDFVAERCRLELEMRVNVRPFASSDMDQGETPSVLALTNGKG EIRDATMAVMLDDDGNIRTQTKFDNLRDELDKQAFVDLVEKRKPRVVVIGGLSVQAAR LRDDVASALRELAIRSHGQNPPVAEAYQSHEQYQLALADFDAQLSPYLTPLIFVNDST ARLYMNSEEAEKEYPNLPVNGKYALGLARYTQNPLNAYAKLGKQIASVTFMEHHQKLI NVEKLLIHLERGLVNSVCFMGIEINSCVADAYQRSMLPFISGMGPRKADALVHGIHKQ GTLLNRLAFSDLGLFGPTTFENVAGFLTIENDLKDMMLEPENPQEQPDPLDMTRIHPE DYEFAQKMCQDALDLDVEDVTDRHKSEVVQTLMLDDKRAQKLGELNLDDFAFNLQRQG EGNKRHTLGEIVNELIRYRADRRPAFYVPSEWEVVTMLTGETERTIGRGLKVTATVRK AITARVFCQLESGMDAILERDYVADEDQPPVTSCEEFFKPRQAIKAVVIQAEPNRFQV RISTRASDLRQAVAFIQPFRDEPYNDLIRKSQAEDAAAAKKRRQAGKVKRVVNHPNWH IMNSGQAEQFLASQHRGDVVIRPSSKGSDHLAVTWKVDEDIYQHIDVQEIDKPNEYSL GRILRVSGRYSYSDLDELIINHVKAIARKLDEIQSHEKYKAEEDLEAYLKNYVQAHPG RSMYGFSIDSDRPGYVKLCFLNKSTKDGGVIQTWPIAVLPGAYKLNNAEVPGVTELCN AFKLQYSARLAEQGSGGKTPGIRLGKTPLPGGRTPGGRTPAPGGVSVKRGGATPMYGQ PQIPGRTPGQHGMTPNPYGAPIPQQGYGGPSNGYGGPPPTGPGGYGRQGIPPPSNRQG YGGMTPNNAPNAPGISADRA
I206_01765	MSPPFLKTREEYQKLVDSVDTFLLDCDGVIYHGPKVVPGVKIVL DMFREQGKKIIFVTNNGTKSRRKLKETFDKLGLGATIEECFGSAYASAVYLAEVMNFP KDKQVYVVGEEGLEEELDSFGIKHCGGSDPEDRNFKPPIIWEDFKPDNSVGAVLCAFD SWINYKKMAKAMTYLRNNSECVLIQTNTDPTFPTHGSQFPGSGSLSIGIVNSSKRDPL VIGKPNKYMMDAILASHKFDPSRALMVGDNLLTDIEFGLNSDIRTLLVMGGVTAKEQI YGESPSKTVPTFVIESFGDLSVLADKQ
I206_01766	MSLWVDKYRPRSLDELHYHPELSSRLRSLSASGDFPHILFYGPS GAGKKTRIMATLRELYGPGVEKLRIDQRVFVTPSNRKLDVNVVQSNYHIELTPSDVGM YDRVVIQDILKEIAQTQQVDLNAKQRFKVVIINEADALTRDAQAALRRTMEKYMNNMR LILCANSTSKIIAPIRSRCLLVRVAAPTDDEMTKVLQHVAKKERFGLPASASKSILAS SQGNLRKALLVFEAMKMQKPDLTGDLEVAKPDWETYCAKIADSILQEQSAQKLLDVRG KIYELLSHCIPPTVVLKTISERIVDKVDDALKPQIVHWAAYYELRMRMGSKKIFHLEA YIAKVMTIYKQFNMIGYTDDYE
I206_01767	MAPYEPISPSSHSSRSNDFSPEPASPSQSLRALEVDSNVNQNGR PPLLGQTKHGRSNTISSLGGFDFQHNLLPLTLSGDMEETTNRVINSMGGGGEEERHVS LLHGIALIVGAQVGSGIFSSPGVIVGEVGSVGASLGVWLMSGLLAWTGASSYAELGCA IPLSGGTQAYLAYAFGPLLSYLFTWTAVTMLKPGSAAMIALIFGEYLNRLISHIFTPT VAVSGVEEVITVSDWSIKITATIALVLVSLLNMLSRTSGSDSTLFLTIIKIGALLFVS ILGLIALIKDGPGDSLKPDALFEGTKSDLSAYAIALYSGLWAFDGWDSCCWVTGEMIN PSRNLPRAIHSSMGIGLILFVLANISYFVVLSPSVVAASNTVALDFGKETIGKFGMVV FSVLVAISCFGALNGSFFTTARLIYAASREHFLPSIFSRLNYKRRTPDYAIGLQAGLS LFFVIFGGGFRALLNFFSVAGWFFYLLTVLGLLILRIKEPNLERPYKTWLINPIIFCA VAMFLLLMPIFAAPVEAIAAFLFIGSGVPMYYLTSRSRSNASKGYSEVNTDQSEFKAT LNDAWNKLKDDIGNFMPENLLSSKRKPINSGVVSDREERRGMLRDENLEMSER
I206_01768	MSRPKSNVALLTEGAKYIQRAKKARREQIEEIKFDDEARREWLT GFSKRKKAKAEEKKVRAKERDHQAHLKERREARADLRKKAAENVKSVRRAMGLEDISD YEDEDEDEEIQAGPSRQKEKIKEVEYSDEDNLATVIIEEDFDPSLIENIEKRFPDSID DDNDEKTKEIKEIKEKPKIKMLPPSSAKLQKIREKKKEEKKKSKSMETNSERRKGRIF EAQRRTKKASLARDREGSSNSRGGKGGKRGRGGKTRR
I206_01769	MGLPPQLPPKEYNSTQSKSSFLSPNSSNSRLSTNSKSSLNSIID QDLAAAVRDSVQINTPKSQTIPRNSILLDTSSSSSSGNNNNNNNNNNHNKIQPPLPSL NPLIPRRTPSPNTQQQHQRQQEAKKMGVYQPTHNYSHSSPDMSIITNHQGQQPALPSA RMSQISPQNPFEDDEIEPAGTGRIKPPGTGHMTNKSFGGTSLESDGMVQPHRAKFGRS QTG
I206_01770	MYLPHQPRKYQTQTQSQYPYVNPPRRPHPLSRHYSSIPPTSSSS HLLGPTSPTSGPKTRTRRSMSSDSYGFNGEMPAIKELTSGQKKAAEDKKGSRHADVID TWDPTGLGSAMWHHSGPYDAAAPSRNTNLPNNRAPMQAFRAPPVQSPPPKGPTTISLS SPPVPPAKDTTVEGSDRPAHKRGQSGNRYGAPPTNRRVSGGGLTGQYSTSMPSSGGYF PNMDAPQDEATLARLERQREREAKRQALKAAWGTDTPEPFEDFGRSPNDGTIDFSAPL PGKSARSPGLRFGMGATSPPILDQGTSPTGEYPPAITRGAGPGGVKRTKSLMQKIKTM VRHRSESMESDSNPPFRYGYGGGEGQRSMSASSGQVHKGKVPLPSPGWEDRPALVEEE ELEDEIIPGGGQFDDADVFGHDRRRVVSDGNNRENPRSSSPNKASSNEINPSISAEHP SEYHQDKSKRPGFFARTSGNQSSSGGRNSPNKKPILPHNSFSNSSIPTTNSITTPNDV FAEVDESLKDNLNKQGNPEDFEKIIPSTAGSGFILVESPSSKARVLKALQKEQQHNHH HHHHVRSNSHSKHDENGISTIPANRAKYLPTPPVAPVMPDFNDILAPTRKTSPMELGV PEEGGLKRKTSMVKKLKDRMNK
I206_01771	MSQGPTLTDIPNEVVLDYLLPELQLSDITSLSASDPAFWRNKTL QDFTFPSTSHPFISNSNWWKRVYLGLLKPKSYVWGSSDNNRLGGAELNNNNKRFGRFI DLPSEINWINSKQGKNKNWSESLKDSLTFAISGITSSSTQISSNQDIFGDNDAGVVDL QAGGWSFTARQSDGSIWVWGQLDGSRPGFRLHSWDDKHCPCPHPTRIPVPCQAESISA GRRHLLILDSDNLIWELRAWGRAYHHTSSELTAPTGHGINRSPPHIIQLSTGWQHSAA LTARGEIHVWYPFSDSYEQSLTLIGDLNGPVFDSEENKDERALKHGKVGEGVLITLPP IPTRPVRKDILAGDTREFEAKSQREENLEMAWAEYQSTRSLKLLEEEQRVVKIASGED FLVALKKNGEVWLTVVKDGVAPKWQYMKYFSSPTITHLTAQFRSFTTYATPIKHSSKS AVYHTRLPDRIENVENILPDQLESLQNKGIIQIAIGDYHYAALNDKGEMFTWGQGDSG QLGRGRDRLGDEPTKVIFPQDQVDLKDNEGDEQEGSFVFSITAGGWHTGALVLGDLKS RKIPLEKQTKEIHNIEEDQEEESESGNWPRGLWNPFANNPNPAVPPTGPSNRGGGPVR AMPFYRVGFAGRGAHIGAGRGNATQDEGQDQSQEPGAGRGGPSIFRVGFAGRGANLVG AGRGYSSAASDGQAQDHSEGQAVLRGAAPIVRVGFAGRGANVTGRGRVSGNSESEDEG S
I206_01772	MVQTLNFIVPSLYPNTLIDLSFPTPPLGLVPSIPEPIVQHLPIK YGSYQPLGRSKFMINGTRDDEIMIADDKFQISSIQLNPIKNSDEPENPEKEQEIIPPT IINQENVKARSKDVWAGLVNVRGGSISALTSGQITYHSSSSSSSSSDEIKTSSSSRII PSPLQCITSTSLLPNSFVTAGKEVDISIWDIERTFGSSDQVTKTWENGKRKKNVLEAG QIWQAKNVPQNNLSLRQPINHLSLTYINGSPHHLVSGTKAGTIRQFDTRQRKPLSDWK VAREGGINCVVSGVENELFFSDHSNLLASLDLRIGKILYTYSNMTSTSLNLISIPLSI NNNDDDDSYNKFERRIGLSSISSDSTFRIHTTTNPPNNIKEKGNYNNNGQQGKKGEIL KIVGGIGIGQGLFRGKGNRNLIIPKTSKKNEGDDEEEEIDEEEIWKGMDEIKDQGKLP ESGDEDEDEDQIDFSSESDLGEEDKIIIRSKPKKKVRKV
I206_01773	MARIDGIIILDSNGKPLISSHFALHPPSYPSLHIDSFNTARKKA IADDEELEPVIWVNTLARGGSSMSGAALCHIQREGMYFLVPVGQEVNPLFAFSFLDSF LDTLKDYLGDITETSIKDNFDIIYMLIEEMLDEGHPMTMETNMLKEIVLPPTLMRKLL NVAGVSGLQTPTTQPFTAPIPWRRPGVRHSTNEIYFDIEESLDAIIDKRGNLLSSAVR GRINCNSRLSGNPDLLLNFANPKVLDECAFHPCIRYNKWEKNRILSFIPPDGKFILLE YQAVASTSKTQIPLGVKANLVVEPNGGMLTRLLHIAAHLINIALLGRFSLTLTSRLSS RPLEDIVISIYLGRGATSVSATAQGDRKPIGIRSDEGVADGHVGGGNWEFDPHTQIMK WNLASLVTSERSPTLTGSFASSETQPTPSPSFDVSFNVQHHSFSNLRVDQLKVTGDVM YKPFKGVRQIARSGKIEVRW
I206_01774	MSTTNLIITSPTSTIVSRNSPRSVNLPTLVVHSPVHREHQKRRN SEPASPTTPTTPQHSTYFTGFPSYTPDPWNARLASRKSPKSPLHRCMALAPQFPSSRS SFSTTVSPSLTRYDSEDDSETLIDEEEPTPFIGNSLYLHNISMSNSAGTMKRKVVIMG SPSVGKTSLTQQYVAPPTYNASYYPTIEDTSHKTVSYNGVQYECEIIDSAGLEEYSLF PGKYGIGVHGYILVYSITSRQSFNMISTVHDKILDYAGLEKVPCVIVGQKVDLQSERA ITTAEGEALAKKLNAGFIESSAKDNKNVSKAFDVLLAEMQKEYNPAPEKKKSSWWGWG AK
I206_01775	MFGDTTTTRTTHHSTHHGTHRQNRIRGLKSAINNPRTTHEGRNH AQHELHAMGVKSTQLRHFFHLPGKSNGHTSTRTTHTPYSTTTSKTTRAY
I206_01776	MFNTTVATIDSLASSSNNYLPSNVISTTRDVYESTNPIETKNSA PANKNSHTYYQDMQFDSKESENQFCGTELRKRNEIFKQVINDFKPLKEISLNDLSKIS NEDKKNIKKWQDSYNELDKLEESQGPNCTCNHLSSLEKVWAKWAENKVEYPKEFQKDI QSYWHQGQLIKDWNDMTDIIVHQGRSIEWLRKVDTTDSQDSALVDNTNSFTYNPGMKF KNNQEKDEICGHELRRSNKAYEKFIKSFENLKDEDNFTNHKQTRTEEFVNWGVSIREL QEVMDSQGKVCNFPSHLDKVWQSWYEDREVCPIKFREDVKTHFEGQLPQDWRDMETHV AAHGTSIDELFPRKSCTSKCK
I206_01777	MSITTPNQAGPSNLNDNLGETLHPHSKSDTALTPRQKEKSPLRN VDIDEIILDEIEFDSEIDLLIGQYLESRGIPSISNIWNESVLGINTSEERKEWIEDVE RCILDGDYSSIEILISKPGLLKTQTQKSFLYLCWRQQFLEHVENRESQKGFNLLSKRL KPLEHYQPVPYDFYSLSYLTSASTVHDAPTFRDWAGVGPERERLVGMWRELMGSEKGV GQERPFVPPNRLKTLLKQAAAWQISQVESASGRSLKTPSLLSDYRPLQLLEKLYYLIK GHTANVKCVDLVGPRGDIGVSGASDSTLRIFSTDDYSTKHILQGHTSRIWSCASTSSG LAIASGSGDGKIRVWDVSSGACNTVLYIDGGDVYDVKWRPNKENQIVSASYDRILRFW DVETSKQVRTFSGHSQSTLTVAFDYTGNMIASGSKDKHIRLWDAVGGTCIKNITGCLG EITSVEFDQEGRYLLAGCKDNSNRLWDLRMQRNIYRYTGHQNTSKNLIRCSFAHNASL IIGGSEDGCIYLWEREGSSTVSDNSAPDIKKSLPSEASIKNTTSDGNSTPSYYPPRDK AASATNAPNKAIIETIKPLKVLEGHYEGAVFDVVWKNGKLISAGEDGCVGVWSN
I206_01778	MNSGPLKTVVVMGVAYGGKRAADLLSKSLPSNWRLIVIDRNSHF NHLYAFPRFAVMPQHAPKAYIPYTHLFDEPESSETPLTPPTTPPATLSALDDPSSSSS DVGVITNPKSRHQFIQASIVGLTTNSVTFVRPTLDSKKQQPRSTHSPDTYGKFDGPEE TIDFDYCVYALGASMPSPVNVWSEIIKTEVQNEVGEDQTENLSGTKKHGIKWMENSAE KLKKAGHVVVVGAGAMGIQFASDLKCLYPTKQVTLLHSRTRVMPRYPIEFHVSLIEEL KKLGVEVVLGERVMTWPKDPEILDGKKKIVTTDHGRTFEADIVLPCTGQKPHIALMAS VNPSVICPQSGRIRVHPTLQVHALPKKGGSTVEDRLNNLSLSMPPTPPPSEPSSRCSI SEESSSKDLSHIFACGDCAETGAIQAGHTAYYQSEVAARNIIRLIHQREAKESKYNLE DNDKVLENYKVSHPAIKVTVGIKRGIISNAEGVKINDEGVEDLHSMVMWPGFGFKGDD IDINE
I206_01779	MAKIKRRGESGAAKNYVTRNQALKKLQISLSDFRRLCILKGIYP REPLNKKRANKGSSAPATFYYHKDIQYLLHEPLLVKFREHKSFAKKLARALGRGEWAL AKNLEDAKPIARLDHLVKERYPTFTLALQDLQDPLNLVHLFSTLPTNPIPGKTLVPSS IIAECSRLISEWKVWAIRTHSLRKIFLGVKGVYYECDVPGQGGETVKVRWLEGYEFQQ YVPTDVDFRILLTFLDLYRTLISFTLFKLYTEENLVYPPPLDVELDERGESVGAFRLV EKKDNEGVESSGVSKKAVRKAIRGIKANGANADVDMDEDEQADGPAEEVNEEFVERPS KDQENEDVSTAPLTTYNSLLATSSNDASTSKQNLLFSPYTFYLSRETSSRTWEFVIRA LGGKIITSLSAPTPADASNIDSITHVIIDRPITADRMREMEDGRKWTWIQPQWVADCV NKQRIISSEGYHPGQLLPPHLSPWDGEGEVYRPWLDEQNNAITAAQEAAADADEDVEQ DIPVDENEELDENEDEDSEETSYPPALLASSLDPENPTLLHAAELEAEQNGISHGAFR NQLKEAIKANSSVSASKVDENKKGGKKGEEDLRKIMMSNKKAKLYEKMQYSNREKAAE KANLEKKRIAIEKRKRKEARA
I206_01780	MARPCDICGSRKWRKDKVTGNAVCEEGHVQQNYRSEVLDMEIGG PRHQLQRRKLGNRGPKRNKRREDGRANPLFYHGVEAEYLRVQALQVLLRLQIQAITKL WSLPDTFEMIVRDLWAYQLSISILPPIPSSQDDSHLAEPSIPIMKQSQPTQLDIEMAD DERKSDDGSQTESENEDEKGEDIEVSDVDSEILEEIEGMSKEENLEDEALEDDRFDAR KKKRKKLIISDTIMTLVMALWIMRIPFVGADIENAINEMEIPYIDFFRTINLPAEMKV HMNRDVMIALSPLRSPSPSLIHRQCKAFARLLKQRYGLDTPEMNIHPVTWRLLSSLGA TTYLQVNRLLTILDVNLSLMEREISTFYRKVRSRTNMRQTYDGESEVDSSAEDNMESY ERTLLYQDVVAPEVTVVSAWIIVMKITYGLDGVPREALLKSDPSIGLPVAKVWLKELK TRLDDGTFRGRRKYLEKQHFHSMDTDDMDNFLSKAEEVLLSNRAEPPDVASFPLLSSE PPMQPPIPLNSWTNFHTNIIDQPVNRIMHNPIQSSEKVNKALPLMPGEKIQSFPSNDP FLELPNDLEVIVKAASELIGWESTEILRVVEGLERRLEKIRPRDERGRKKVDEQSGSE RGDDDRGSRSRSRSRSRSRSVSRMSTRSESISRGTTRSREPSLTRESTGPRKSMSREH SLSRVASENNLRRISSREHLSRRASLNRTNSQKGKIRVGGGLKTSQSFS
I206_01781	MAEAVLKYEITQRSLKFDITVDSAGTGAYHEGEEADDRTIAVCK KHGIKADSIARAVTKDDFNKFDYILAMDQSNLQTLLNRQPFTSKSKISLFSSFSNLIP NSEKGLSKTKSENINDPYYGGKDGFEISFKKCTEFSKGFLDYLEDKKLS
I206_01782	MADTDLTFDSLLDLLRRLPPTRVEENVNSLCDLAPEYADDLLGN VDQPLKVLVDTEKGREFLGCDYNRDGDSFRSPWSNNYLPEATGGPVPSTRLRELEVSL NAAFDTYREMYFEGGTSSVYLWDLEDDPGQGKEISFAGVVLMKKVLSTPTSDQPETTP SGSWDSLHVFECQERGRSAKYKLTSTVMLVLETKTLATIEGKEVDETSKGKGNVTLSG SMTRQAEVDYPLTNSAGHIPNIGRMVEDMEIKMRNLLSSVYFGKTKDVINDLRSQSGL ELKSKEDLLRAELAGKLGARKA
I206_01783	MSRSMRTASIFSISGPSRIRIQPLIRNLPSSPCQCRQKRTLFSK RSTSFWSQSYPSTEVPQVPYTPPPPDQLGYDTINQDGEGIDGQRKDRKQRYLDSLMDK AGELSMRCSVLDSEGNWTAEEGKYKKSDLCREHDLDPRDLRKLDSLAPNLVPIILTRK TCIIISMLHIKVLIKPDRVIVFDNACLVQESDTQKRFKYHLERNIKAEEEVLCYEHRA LESVLVASANALEEEMAFTRHLVQHLLAELEDDINRENLKKLLRYSRRIVEFQSRARY VKRAVDEVLESDEDLSAMYLTSRALGRPRALHDHEQLELLLESFVKQVEEIVSEVDTT VANMNSTQEIAELMLDSGRNALLALDIKISIATLGIGTGALVAGLFGMNLTTQLESTP YAFLAVSGSASLIAILVMLYGTKTLRRVRRIALTDQRSEDLTKMMNSHRWDRSVEQFS KGFDPFLVDRKSSEILAKRAIWNKLFSSRMGLLKDKSALKNMAQAQAAKQASKQARSS AWNAKMNGSQTQGIDTSILPLQNGIWKWGKGYGQSRRWVKE
I206_01784	MTIQNSKLFAPIKVGTVDLKHRIVMAPLTRFRAEKGTGVPGEYA EEYYSQRATDGGLLITEATFISEDARGYDNVPGIYSKEQIAGWKKITDGVHAKGGKIF VQLWHLGRVAKISPVIYAASDIPDPTDEGPKPTLHVLTESDIDKTVGDYVHAAKSAIE AGFDGVEIHGANGYLIDQFLQSVSNQRTDQYGGSLENRFRFPLRVLNEISKAIGPGKV GIRMSPFGKFQGMREEVPLDTFIPWTKAIVENQPNLAYIHAVESRVAGSTDTPIEQRV GADNLDEIRRITTEAGVKFMVAGGFGSEGGFPKEHADKSDDLIAFGRHFISNPDLVNR VKNDYPLRKYNRDTFYSPGPKGYIDQKEYNAISQDHAEEVETK
I206_01785	MNDVDSSLAGPSRPIQRTSSYDETVQSKPTISKGGSSEEIELPQ YEEDESLIRTTHLDGKGQVMPQRHDIHHPHDDDGEEEEGLIYARNINEGDIGIIGVEP GHQNHRHATLELKKALLWKNVMITGLFILSWYTFATLLSLYNKWMFSPAYYGFTYPLF VTACHMIVQFCLAAFIRITFAERFRPKERPTRKEYVTKILPTAASTGGDIGLSNLSLK TITLSLYTMCKSSTLIFVLLFAFTFKLEKYSLRLISVITLISFGVFCMVFNTTSVSIP GIVMVFTASALGGLRWALTELVMHKKGMGLSNPFATIFWLAPLMAITLALVSMIVEGW FEILGSDFFNGFQAIKTTGVIILPGALAFAMVASEYFIIQRAGVVPLSIAGIFKEVST ISISAWVFGDQLTGLNIIGVAITVCGIALYSYHKYQKSMAETVELDDDGKPMKDDDTS PLMRTSTSNGYIVTPQHDDHNENYHHPPMSPNIQNTGEVPLTQLGKPKESDDDRTQRL RDDFEGWDNGNPDDEWSGPEDELDEDEIQRRMNDRMGNEGDGGTKGKGWGEWWDKKL
I206_01786	MRASLIRLASSSPPLPLSVQQASVQLIPPIPLYRRLLRAHRTLP AEMRFMGDSYIKSEFRLTRSTDNPLHIIAFLSQWKLYLDELLSSTIDGQGIWRGRKLD TDSFEKLNKEQVGQLYELMHATKEVWKSTEQLEQEAQDAGIAQPLEGGRQ
I206_01787	MARFTSIGMGKKKFVQSAAEEAQTSHPTNEGDVGPSSLPGSSAA ASGADKKKKKRRGRDRIKDETGKRVAIGEKKGPDAKKSSWGKDEGISRRAKLSAKHAE ERNQRRNEQRNSNVTCFACRGVGHASKDCPNVLLGAGGDGEGSGMRRKGGKAGSQVTG GGKCYRCNSKEHSLHGCPEPIDSSNPTPYATCYICLGTGHLASGCPSNGGKGIYVNGG ECKVCKSVAHRAKDCPDDPRRQSTFQEEDKPSRKRGEIVLGTGNGAGADEDDFMVESR HSISQQIEDKSGKRKKHLPARNSERPMKRLREVDPVTGDLGERLPGGYEGPPEGERPG QIDEAVEKLPLTARKMTGSRQPKPKAKVISF
I206_01788	MIRPSFARTALRVAIRPVSGPSIPAQAIFIPSTTRLFTSTPLIL KKNKGANTKSPKQKIRVVEDDEAGANDDPGAGEVVISEVLEKTEAKIEKAVHWAKAVI FEGVERGRGRVTPALLDSVRVSLPDNPGQCHLNAVASITTKGNALFVEIWDTDATKHV ESAIHQANLPGISPQKTGANTLKIPISRPTTEQRAEILRSLSNTVEAAKQQIRIARTD GFKHLGGRKSNGTDEIQKLVDEASKDLDGQMVLAKKEFEKA
I206_01789	MSNFNFKNSEDSQINVEISDPLLNSIYRNHSNDPDKLQKLFSSI LDHKWVENNPQWNTNDWILHSYDNLGIPGLNIPSYQLLRIDPEQIINYPNQILTSKNE SIRGKLKGNYPKISSSLKFIQNGNDEINQSNQKRRVKLDDDEEISAYIAELDEAELLA ENRKRKNKAKKKKGKRKSGSSSARRESFKIEKENNMKEQAEAQKDKEEENGSLNVQGG DSEYEAEIEAILGENAISENSSQEGSYVQRLEAKQDEGMDEEPSSEGMIEEILDASSS SVGGSIDENMSLGVEEPQAVEEGTESIEGSLVSYSPIPKAENQTENPSNTSEHGQDTQ NIHEIDNLDPTSLIFPSPSSIVVKLPDTSFEDLEERQPAPVIHNSRFPNNVIFFGSVP TDYYQSKKQEQSRPSLNELFPFSEEADQASRSFDIEEVEDHIRSIINHHTISLTRELS AAEEEIVTPRITALLDSFQDLATGPRQPITATNEAIMLNHTPPQSPPSPNSADLPSSE GSRLHNKPVVLPFPAAEPPLTPPLDVEEDEEDAPLVFPSDPPRVPQLPKLDRQILPPS VFKSPHVPSITIDTPTLPSFTATVHPLTYSWTLYYSNTSRQRKVSTSSPIQPSPLGPG FQVDAVDYSSHLFTIFTANNLEDLFGSWKALRRSIANTKGRNIEPLGDSTMKGGSGIG THFFPEETNFHFFRSGIKPMWEDKICQKGGKIMIAGEALTMDNIFLECVLLLISGELD ELVPVPADSTSTICGVVLSRRKLTRIEFWLGGKDGPEKEWVGHVTRFIETRFRGSKVY GYKPFGKN
I206_01790	MMIVPLLCGLLYALFYFTYQTLSLKFDTERKRAYILSVISSFTM TTLSIPFFINYLRFGLERTFKDGQKGWLGMIGEFGTTFFGVYLFAFTQLTIGYLKYRS QVGLLTGWIHHTVYIGLMFYLARTNTAPIFLTGAVMELPTFDLGLSNLFPSVRNDLRF LSSFFVFRILYHVYLLIDVARLYSRSYMGDSWVPTIMLGLALLMHISWFKGGLSGYVK RQSKIEANVNVNSQMDNKQDLIIDAVSEYDISVKEVLPITPEDSPLITPRTPSVGPSL LLPHIQIPNLNLPNLPSFTELTAALNREKLVESGNGFKEAVKHRWDEQKEKFNTKGQL LGRRSKIDTLNGEESVTIREVVID
I206_01791	MGMGKILLTGSSGVVGTYVLFYLLEKGYKVIAIDKDLPNSKILE KLKIFIKNDKLIIEKINLTNIENVKKLFLKYYSSSIENEENEEKLKGLIHLAAIPDPL KLDSRLIHNLNVIISYNVLYTAAEIGIKKIVQASSVNFTGLAYTRKGKQWFGFLPITE NEIGHAEDPYALSKWICEAQATTICRLFEDVRITSLRFHHILPTLKEAEQWSKPNEFW AWTSSLSASQACLLGLTSKGWKGHESFNIVAPEIAKPISISSDEIPIQGSLELFKDKW EGKEGTIGEIRKDWWEGEENKYRGFWDCTKAETLLGWKHNV
I206_01792	MAIPTSPELGQRSRDDSIDTILDKLARTNTFDSDWPTLRDHLHQ SLISTLPLYLSRGPPRPYRPPISPIIGPEPIHLDQTDDEGDIPPSESLLLSPSQPTSA STSSSTPESSTISSNLPRSVEAEPSETPSSGRLESLTTQDDLRPSTIGGLVIPPFPPL DRSRRNSREQISGTSYSGGQILMSPRGVNGIRGGAPRMVTIGPGVMDEEYDEETTIGG KILPGWMDGEEGKRELEKVVAILDEMDVPPFTIQRFSELLLEPTKYYSTFGKFIRAIE KTLLVTTPWEQPSYIPISSTSFTVPQTHSTAGSSSSSSDNGYDSDSTMPPGSTTPMFS PIPFLAQQNDLSLEGAGSSSHEGGMNGTTNRQLDDGLMSPLMLNEESGMFGSSSNPRS PTPEPEDSAAQEEVENDIDMKEEIPERPIVAQGQYESVEHSDPAHQSYLGRVDELDTG PIKTSPPIEYTNSSSSSSLSKNGQNHRHSLSPENIPVPGTGEGGNMTPHGMSEKPVPI SSTTVVIKDEKEKEKQQRTIASLPRTTSEKSLRERFVSAGADAEKTSEEKEGKS
I206_01793	MVAETEYYDLIGVSPNADESEIKKAYRKKALKAHPDKGGDPEHF KELTHAYEVLSDSNKRQVYDQAGKAGLEGGGGMGGGMDPQDLFSQLFGGGGGFFGGGG GSRNAGPRRGKDLVHRISVTLEDLYKGKVQKLALSKSVICKSCEGRGGKKGAVSTCTG CQGRGVKVMLRQLGPMMQQIQQPCGECEGTGEMMNPKDRCKNCVGKKTISERKVLEVH IDKGMKSGQQIKFQGESDQAPGIIPGDVVIVIEEKPHQRFQRKGDDLYCEAEIDLLTA LGGGEFAIEHLDERALHVTIVPGEIIKPGVLKVISGQGMPSYRHHELGDLYVKLNVAF PESIPVNVIPDLEKALPKRRDVQKFGKKVHLDEVTLEEPNDRQRRSAASDGDDMDEDE EHGGPGVQCAQLVR
I206_01794	MSYTYMQIHLYFIIPPIILLWLIYRPLIGRREIIKFLWLGFMAT IWTTPWDNFILSQDGWTYPTGSIIGKIGYIPIEEHLFFILQPILIILLHLIITHKRLL PFDVDLKLHNGSYVERKKPDVKDGKTNLQGIQTLRRRPLPSLLWSGLSLLGLRLVLQT HLYTPTDYGMKQTMFYLGWILVWINPVIAFLTFLGAKFTRSDWVTVFVGTGHLWIVDT IALRSGSWSISPTTTLGVEVWRGLPIEEAIFFFLTTYLIVLSSSLISHIHTLLVLSPS LPPCPSLNPLAHIQLLAKVAFNPPRIDKHILAGLKDAERTLKKGSKSFEIAKLAFGRE MRLGLVVIYAWCRVTDDLIDDPFENTSEHSSESLDIARSNILDSIRKHLIQTYEISNL YPASEYPNQLVKLDKILDEIPNLKLSDRSAFHLFSLIIPRLIPIYPFLELCNGFSTDL KFPSKPIKNLYEKEAINISDKLPIKTTEDLLIYADDVAGSIASSICYLSWSILDSSNL INPIENYNFSKNLHNYDKSISTILKEEEEERKNKDQEKIIKRIKIIKCAREMGISLQL INISRDIIKDGLISRLYIPLSYFNSTNSIISILFNNNNSKENENENQNQIEQNYNEFI EKLLNLADNLKNNSINSIELLPNTSKSGIKTMIISYYEIAISIKKNKGKINERGIKVG KWNRIRKSIKAFWI
I206_01795	MKTIKESDSNDGESLPTYKANNVGENSSKQNENSPYKGRDPRMG VPRTTTWHGYAFRKRKMRIKTFAKYCPLVSAIFAPLSTLLDIPALTQHWYAQYGTPQP DPTACLALSAIGLALNIIANMLLVMRFSAKSNWWWKHSTRWSLLCWLGKTVVAAINLI IFGILSRNSEGYTYLEGFWCAVTSIIDAGIISITLLFHYFFAFGSEIREKRKNDREGE DGQVDIRSQGKTFMLSVTAFISILAVQSLVFSRVEHWSYSDGIYFSTQVALTIGYGDF TPTTTAGKVLIFPFSVLTISQLGNEIALIIGFISSRAEARREQWRKKYEGAMHREANK IRPRANLTEEMALIHQINQREELMSQMYDLFWSALSLVTFWLIGATIFAEIEGWSYGN SIYTVMILSLTIGFGDFTPVQPAGKIVFIVYALMAVPIVTSFAVQTITGLLSTYSERG AIKEAFLAEMRRSPDAFAPHADFVLRYHESYDAMRKRLLKEEEEGEEEEEENQEEGNS DGINRDRIPEDGRTDEGTSKEEVTSQEKSNLIYDQPGDENEEQDDPSNSTYTGKKKDS KIHLDNAEKKLKDNYTRAKGIIEERQKETEDWRTAKIPHEEESSGNSTSSYDAPSDDR EERRRPRQDTERTYVGDNDEETGGKDSSITRLKNEQTQDGRADKLENEERKLEIDLLK QLMRKTIQLEAEARQMLLDSMDKSVARTLLLADRNVQARDVRALKGDDTEILAIWDEE SDKLKQSKIQANKDIQENEQSSSNNSTSLQQSKSQLDMLSRVRRYRNTFAEILVVGSI LQKLEGEEKEKFERFRGDTLEEATDEDENNNNNNNKGFKRYKTEPTKPKSESPEEVED IDKIAENKWDGLSSRIYKRWARKVREKDLKKWETV
I206_01796	MAPTVKAVNPPSNLPILPGPSQRKQNLACDSCRKRKVRCLRTEK TQICQQCSNKGEECTNLYIDSLAQAKNKKVRKSSHENIANDMDTVQKAKKRLNSNKKR LISSQNLRLTQMDTEDQSRMHDHEDRRRRNSANTVISTYDPGESSRQGSMTMTRNNSM DSLQQASNVALPDPYIVPQDIVQDPMSFSCHATNIPDLSTLTPDVTQRDMIKYLFAPL AIVNLEYGYNDVSSLSMCKDGKSDLWEEQDGKIWYEQPSEIHKSLDEEAIKDLVDDLI DTFFSIVQPRYTMIDSTLFRERFAMGSNHRLGNISHALLAIVLTYGARFSDHPVLQAD GEECSLRDGVHMKNRNRSRLVGLMVIRTREIAERSKIFRVASMENTHSCFLLEHLLGQ VINLGTKHYQNIYLSAAVKHLITMDLNTSAKWTEIQDNDLRTEALSLLWIVRMSDASR AALYRLKPSLSAEHFDVDPVQHAMLSEGAPMMQPLGGTEHNIIDQATWFKIHHTFCSI CYTLAKSLWIPSISARGIPFTILREFIHSSSIWRDKYLSSIGIPTIWPEHWDFLQAIT TCTTDCYYHVLWLLIYKAINDFGIKEEKSILEKNDSNKFEIENIKRRIKEEAEHAALR IAALTGVLTENGYLKLDPLIINQPIFLAGEYLASLGKSEYLICVAGLKQYSVIYPSLW DQADLLDQIYSQAKVNIFDQMQTINNENANSLLIGVVNETTTNHPDEGGQLNLNLDLN MGTMNIPPRLDLMSSFEDWTGSLGSIPSVCFLFL
I206_01797	MFRPLPSTINSNLKSAYSINHDTHTKRTKKVGVTGKYGTRYGAS LRKTVKKMEITQHARYACPSCGKNAVKRTAVGIWKCKACAKTYAGGAYTFGTPSAATV RSTIRRLREVAEV
I206_01798	MSSAEKEPDSASTSSTPSSSLPNTSIRTPNISSSSSRPIPIANL NNLSLLSESSTGSWERGRMHGNARSPPNSTKLQLEGTTGTSSSSSGGENKPWPSDKGL SKPDPSTGRVKFKPQPDIPLYTRQAREGYGFRPTSGSTTPTTTSSSINNNNNLNYPFP VIQRPKSNYNDQNDDDGDDHRPDGRSLEELRGEVREELSKNGLLIQAARDVVNDVGVE GGGIADEEGLGWPAKSTHLRLHSSPTEKAANIQLLSSAIRTVLECIGEDPDREGLQRT PERYAKALMWMTKGYEERLVDVINDAVFAEDHDEMVIVRDIEVFSLCEHHMVPFTGKI SIGYIPNKLVLGLSKLARIAETFSRRLQVQERLTRQVALALEEAIRPRGVAVVMEASH MCMSMRGVQKPGATTVTSTMLGCFRSQQKTREEFLTLIRSPSVTRR
I206_01799	MSSSGATGASAAPGAEGHRLPTNVYPNLYELAIKTDLVASPPTF SGEGIIHLDIKEDSSSINFHSHNTVTITHIAISTSDLKTTSNQNLPLSALTLNDENEV ATLDLSSLPGGGLKEGQKNTKLFVRWEAELKSAMHGYYRSEGDPDENGKKPIYGLTQF EATEARKAFPSWDEPLVKSKFAISMIAREKNTSLSNMPQVSEKPWKAPSNASVDNVFS ENYELGSLLGGTVQLGKTEGETKTEGKTEGKTEGKTEGKTESNEEGWKITKYETSPLM STYLVAFASGEFVSLESAHHSKLTGKTVPLKIYATKDQIKQAQFALDIKKWALPVYEE IFDIPYALPKLDTLVAHDFDAGAMENWGLITGRTTAYLYDPEKSPLSAKKRVAVVQCH ELAHMWFGDIVTMKWWDNLWLNEAFATLMGELVILDRIWPEWKPRSQFLKSHLQPALD LDSQRSSHPIEVDCPDSNQIAQIFDAISYSKGASVLRMLASVVGEPTFLKGVSIYLKK HIYSNAETKDLWDGIAEASGLDVAKIMKNWTLKIGYPVIKVEESGDGKIKLTQNRFLS TGDVKPEEDETVWYVPLEVATLDKDGKVSVDHKATLEERSSTYDLKGNDSFKLNADTV GVYRVSYEPERLVKLGKQASKFTIEDRVGLLSDATNLARAGYAKTSGSLSLINEIVKG GEEEFLPWAQIGSALSKLSGVWWEQPQNVREAINKLRINLLKPQVSRLGFDGEKDELP EIKEIRELVIGTAAAAGDENVLKEIKERFAPFLASSDDSKIPPDLQRTIFSNAVEHGG VAEYEKLLGVYNKAPNPSTKVDAMYALTSPRDQGLLDRTFKMLSDGSVKDQDLYIFFF GLTANKYARRQLAEYFISNFDTLMKRYPDGYGVNYLVKGAFQSLSSHKDLKMVQDFFE DKDIRKYKSAVAQSCDSIQAAADWVQRDSGDVEKWLKENNYL
I206_01800	MAILLLMICTCTYIRGTAPGLIDRNREGFLGLFFKCARIGERLS PYVSLACIAMAITIIMGS
I206_01801	MPSSSSTKSSGKSSNTSKISTSNTSKSTSSSAPKRKLSSMTSFQ PTLNFKTSPKLATTFSPSSSSSSSTSSRPSASSSSSSFKDEVDRKLMPPPPPGIKLKP SVSPQKGTIGDLKGKEKEIIIIDDEDEERNASYPYPDEVDTAQMWTDLYGPTHETELA PGKARIARVKNWIHESIYGYPFDIDPTPGTLNTDKIRKYKRILFLSGPAGVGKTTTVK LLCESLGVEMMEWGESVEEWSLGAGIDRESAISKFNSFISRNSFPSLSMSSQLTSAQS QNIKPRIILLTALPHLSHMPTREAFHASLLTFCQNFNKLSCPMVIVHSDAGSGGRAEE SWMDRERGGREGSLEVLGREVRDGPWCQEIDFLSLAPTFLNKALMRVLQTAVPRALDR PSHATVKLIALSSNGDLRSAINTLQMLCSGRKEVKGRKRKAMGEDEEDQSESRKRGGG KGSRGGKGAKLDVNKDLRAVLDAVTRNEQSLNLFHALGRVFYNKRLDDPNMEDEDQEL LDRIRKLPSDEPLPSHLQQFTRRKSLVQVESFIPTIPIDASSFALWLHQSFPNYCSDI EEVSAGLDELCSADIMRTDDDIWQSSSQAISYALHLSVRGLLISLPSPVPRRSQKVNK PHFFESYKLERDNTSALAHVAGYITRKGIVASNAFADGDVRDEGVWGGMIDKRVLAGD LVPMMVKLQGLSGRPILPSSAQTLCLPLYSSLNRNSMELTAKDDVDMDEEYEASIAEN DGLDFFKQQQAWDDELDQKEEENDWLLDDDIDDFD
I206_01802	MSGLYDIFTTVPSSVRRQSSRSVESQTPIESVTATTSILPTYLR PLNQPVHTENIYSHDNIFESPPSMALVKSTPPASPVSSLMSSILDTPSSSIGLVFFPQ QDTFEQWHQSEEEEDVFYSTSVNGLTTDQNIYGRKSKHNNHDFTSATSMPISSLGLGV IERLPDEPCYPRSLSSTRLGERSLSTGGSNSNTSSATIGSHTATTPLGHTLLPSPTIS SHNNSPRKGKRRLPFGHTFLTPLPTMPNIPQPLVPMGIVSNHSPPSSSWRASQNNGSP QTHKSELTNTKQSSKSPLRRTDSDILLPTSSQDDGVLRRPVMLTGHLRKSSNDSEMTV KLGSGFIAVDDSQEGDNELSTHGEFRNQYQYTQILANTCSSSKPNLLRYTSHQIPKHN YRPASGRDRSSSTSYVPSRTASSHVEPPQNSLHMNVNGSSITSRFRRPVSPTLPEDLL TPHTPNTYTHRTLSSAPKRPNSPTTARESLNAVLLRHSNKHQDDSQDEEDSLNRLIES AQRFESGGNYFPITPPKSPSYSSRTVPSPTQPNAKPTRPKIQRVFPTLKSLFPPSTPP STPLLPQASLVDQSPPGSADDVLHRQEVFDVDILSERLKTQKGRIIFAELEGFHEIDE EQVENQNTEIRPVSSRRWTLPF
I206_01803	MAQPRASTDEENAPLLDDPQSNPEQSTFKSIFSPSRPLTLLEKV LGGLAVLLLLLASIFIGLFAGAEGLLKKERGKHGHGGDIEYVTTTKTSYATTTQYSAT STSNPSPTGKPGHNVCLTPECVILSSSIIQSLNQSIDPCDDFYEFATGGWAASHSIPA DRGLYGAFNEVNDNNKKILIKVLDSIPSEKPKKDASSDERNLAKLKAVYQSCIDIEHL NDVGRKPLTELTDHIVDIFGEFDVDSVSSDFLDQSAEWKGTYDESYVLPVELAAAAEQ GEQLRNAKKAGGVKWEVPKSSATEVQEFNTYRPNDEREKRITKALAWLHSRGVQGLVN FEIEGDAGGEDSQVQSLWLYQAFGGLPSKEYYEEKPILDLYQSVIAGILTDIAEHTSI RQKKDKRDLLEDLETAVVLGEEDAQGLIKELVDLAEEEDWPWPWPGDDRKGDGDNHGG KHDDNRHSREPTSERMEKLAAKVVQFERELMRAGADPEYLFNPHFAYNPYETKTVSKM LPFLDIPTYLSTFAPRVFPTNITVTHPPYLKSVTNLIGKTPDHVLSGYFVTRLALTYA GALGPKVTIRQEKKRLDDVLKGIKKGTEENRQDVCLNWVDDIVGFIAGREFVREAFSP EAKAEGEHIIRSIVKAFHEKLPHIPWMDAESAKAAQKKAEAIIPKVGYPLYPNTTNPE SLSAWYGRLDIKEHDFFGNVLGSTLLEESRTWQGLGKRRNRDSWEVTVNAYYSPPDGE IVFPAGILQPPFYSQAWPAHLRYGAFGAVAAHELTHAFDNSGSQYDEKGRLRDWWTKQ TVKDFEERAQCVAKQYSKFYVYDADGKKVYVNGNLTNGEDIADSGLAQAFIAWQNSIS LSTTKTSEERLPGLDFSDEQLFFLAFARVWAQLIRPATAVSRVRTDPHSPPYWRATGT LKNLDAFHKAWGCKSGSPMNPPKKDQCELW
I206_01805	MSSPYPGAFPPSSAPGGSPNSSSLPQSRTLDPLAFDNVRGLGGS IPGATGDEGSQAGEDNTDEVRTAQRRARAGVDPESIPRVKDATGEKVMESFALFLENF TEQIAFPETPASFNGQNPLPATADESKFYVEQVKAMKEFELTTLYVDFGHLLEREEVL ARAIQSQYYRFLPYLRRALQTLVRKYEPTYLYTSTSFSSAPSASSSSLTTRDFNIAFY NLPLTSGIRDLRMERIGQLISISGTVTRTSEVRPELVSGTFVCEQCKAVIKDVEQQFK YTEPILCQNQTCNNRTNWLLNIEQSKFSDWQKVRIQENANEIPTGSMPRSLDVILRSE IVERAKAGDKCTFTGTFIVVPDVSQLGLPGVNAQMMRENQGGRGDGGVASQGVTGLKA LGVRDLQYKTAFLSCMVQGADARAGATDVRGDLEEGEEDQETFLNSLTQQEVDELKNM VNSDNIYQRLVQSIAPTVYGHEIVKKGILLQLMGGVHKQTQEGIHLRGDINVCVVGDP STSKSQFLKYVCGFLPRAVYTSGKASSAAGLTAAVVRDEESGEFTIEAGALMLADNGI CAIDEFDKMDVADQVAIHEAMEQQTISIAKAGIQATLNARTSILAAANPIGGRYNRKM SLRANVAMSAPIMSRFDLFFVVLDECNENVDLHIAQHIVNVHRFRDAAISPEFSTEAL QRYIRYARTFSPKLTPSASAVLVEKYRALRQDEGGPGKSNFRITVRQLESMIRLSEAI ARANCQDEISPAIVREAYSLLRQSIIHVEQDDISFDDEEGIANGAVDGDAQMDAADIA ALDAAESSYQAQTSTQTQGGQAESSLQGQQQVASSGKKKMRITYNRYMEIMNLIILHL SEIERENGTGVDKEELIQWYLEEKELEFENEQDLEFERELISKALIKLSKDNYLLEIR GDVRDGLISSSSEQEMELQQEESMESNNNNKDKVYYLVHPQVDLSDLSSSLPA
I206_01806	MEIDTPASSSTSPLPYLEHQLSSAPEELKPLWTKIKSTYEKKLW HNLTVVLTEFVFAPGTGPYQIDLFEKFITTIESKINALKLVEIARRVGREYSEPELTL KFLQSLHSRLTSPYPVEATDDNPGTPAPPPPAASAYALSLSSIAYAQLLLGNLDGCKE SLDECEKILSEQDTIEPSVNAGYYGVAGDYFKVKADYAPYYKNALLYLACVDTERELS EEDRRSRAHDLCIAALLGETIYNFGELLQHPILQTLVGTEWEWIKDLISSFNSGEIGK FESLANHLANEPILESSISFLRQKICLMALIQTIFARPRDGSSRLMTFQTIGEATRLP VNEVEHLLMKALSLSLIKGSLDQVDGTADISWVQPRVLEGSQLDTLREQLDAWCTSVG KTQEQVETQRRAAQEAVLVQ
I206_01807	MPPRPSSAIRALSANRFASTSTIPSSSIPIISSATASTSSIPLS ITSSSSSELTNVNRIYTTRKTFLWNYYTHLIERSNLIIIFEHSNLTSSEWSKIRNSIK SIPLPNKPFDFNSKNPELIEKANLNVIRTGVLNSLLFKKQSPLILSKENENIIKGQRA LLTCKNLSPIYLKQILNKINISLKSLKRDLNSNSNENEKKQPNLKIISILLEGKKILN NEIELNEFLKNTPELDLLRGQLIGILQSPTRQLNGILNQAAGGQLVRTLKGLENDLKG ENSKGDEKSN
I206_01808	MAAKSAATAVDWTKIYTGLGLDKTTLTSLQSFRARHSTAVSKNS ALKSTIPQIDLSHYKNVLKDQQAVSLAEKVLKEFKAVDYDVSKWNDVVGAFEGKAVAA AKETLGKIETESSSLQTTLSNIQDARPFEDLTVDEVAKARPEISKAVETMVKKGKWSV PGYRVSLSF
I206_01809	MAEQRENSPRIADEGDNVDEELRLMQARLAEMEEEKNALVSNGG SSTPNPSGTNGNLESSETHENNESTMEDEDSPGSVDSRSVFIGNVDYGATPEEIQSHF QACGTINRVTILCDKFTGHPKGYAYVEFAEPSIVQNAVVLNESMFRGRLITVKEKRTN LPGMNMTNRGRGRGRGGFRGFRGGGFRGGRGR
I206_01810	MSGLAKLLTTIAPPPAQPLSREYDGLEFRWKMFSFRPNEFKFEA VVLGIVGFYLSIYFIGKLINNNRAKSTIKPFELILSNQFSSVRELLSSSPSFWLLYAT GRRNLLSLHLTISLLPIHDLAGLLIHFIKSIIEPTYDNSENINFDFTLGRGEFGLQGE GLGVWGIVDKSALRETREKRWDLTFAKLNDSASGFPITHALFTEHVEITELLLKTPNI GISEILNNKEAVSVLKYLLISDIPQNRPSKGSLNSKSKSRHIILSFYKPNSKIQEESI KLWIQITLNIIDLIGNGNKKENLIKPEILKKLIKTRQLVDEDLNKSYKKEQEEDKEPE ETPEEKRQARKKLEREKLSEKERKKLEDLEKKRELRKLQKKQALSGSGSAGGR
I206_01811	MRVDPKYISIGSNRSSSCSVCTSKGILAFGAGKFIALWNTENNS KGVYKTLNGHNAQVTTIKFINNNENLISGDRQGEIRIWSKDNEKNKKEEEEEEWKCKF NWIAHSNSSISSIGISPDGKFILTGGSDSTIKIWNISNQDKIEEIQKIDLKSKLPLDL ELAYLPESKTPVLAVGCTDKLIRIYTLSPNNEFIHTLSLEGHEDWVRCLSFTTYPSPS DASKEDLILASGSQDNYIRLWRVSSIPNQPEQDKPIEQDNKKEGLDLLDEFERRLNGE GSGQISTKAHVMVVQDGGKSLRYNITLEALLVGHESGLTNVHWSPPSSTSSSPLLLST ASDNSLIIWVPSSASTESDGIWVPEHRFGAIGGRGLSFYGAIWGKNGQSVIASGWNGG LERWVKITDENNEEIWEVRNGVNGHYGDVQSLTWDPRGEYLISVSSDQTSRIHAKSST SSNTRWAEIARPQIHGYDMTDIAFISPLRFVSSADEKVARVFDAPEGFVESLRTLGVS SRNRDEEGARPKGATVPPLGLSNRALQKAPAESEKVEKQGQNEAIISISHTLTSLPTE EELATSTLWPEIEKIYGHGYELVCVASSYDGKLIATSSKSTNLEHSGIRIYNTKNFES FGNILQGHNLTVTRIQFSLNNKFILSCSRDRSWRIFKYNPEKEKENEKEKEGYEIFVE MEKAHSRMILDCCWADSFDCDNLFATSSRDKTVKIWIPSIKDKSNWKVSEIIKFNEAT TSVSIISPNINERKYLLAVGTEIGSISIYTLDIIEEEVKSKELIIFDESISHVGPVNR LAWRRKDDGKLQLASCSDDRSVRVFDVEL
I206_01812	MQVTSLLRYSGVCPFLGHSTANSLRSMASTNVNSNVSSLTAKAM TCPMMGPKLASISQARSYASVAGNKEVEAMHKQKNVRFNNAEAAKCPHAQAAREAAVN VKETARTAGRFDYQSFYDAELQKKHKDKSYRYFNNINRLAAKFTVAHTSNVKDEVDVW CANDYLGMSKNPVVLGTMKRTLERYGAGAGGTRNIAGNGALHLSLEDEVASLHRKDAA LVFSSCYVANDACLATIGAKLPGCVIFSDSSNHASMIQGIRHSGAKKVIFKHNDLADL EAKLQAVPKETPKLIAFESVYSMCGSVAPIEAICDLADKYGAITFLDEVHAVGMYGPN GAGVAEHLDYDAHNATRLSSDPVKGSVMDRIDIITGTLGKAYGVVGGYIAGSSDLVDV VRSYAPGFIFTTSLPPAIVAGAQASIAYQREYKGDRRLQQLNTREVKKQLQGLDIPVV PNPSHIIPVLVGDAALAKEASDMLLSKHQIYVQSINYPTVAVGEERLRITPTPGHSTE QITHLVESVNSVFNKLNLKRISDWKAVGGRAGVGAPNSIPPENVWTDKQLGLKDGSAP ERLTNGSKAVVHDEGVEVAQKRLTHLLGADAGPALASIPYL
I206_01813	MSRAILYVSLVLLCATYAQAHEHHNITQIDPEVPIDGKIYFHGA LQTFLWGISFPIGMVLGLSKSKYHVPLQSINIVLVFVGMYFGHHHGGRQYPETVHGLM AKIIKWIIITQGALGTFLKLHILEKNVRPWVVPFHSFIGKIFPVLGWTQMLFGVATAL GYCQGGHLGQCAAHYIMGSAFIGYAAIMVIMLQIGQKWLERTGRSQEMLDSTVIMVWG IINTFTEHHGGPWTHKDMQHTMMGVLWWAGGLLGMFLSRNGKRSFVPAVIIIMTGWGM SAHEQSLMISTKIHGLFGYALIAAGALRLVEVCFVLNDKPTPSGTVRIFQHLPPYLLT LGGTLFMSATDEELRYADGVGIDHVSYALFDFSLSFLLYLIITFLVHLYSNSGKNALN NKEDAINAEEIGYSKIQQNGNDLHDDENDGPEAYELTEHDVSGSGSSDEGLKVRGGDE IDWINQNENDGRSGGVRL
I206_01814	MTFPISPDFTDSSRVLNNSAGTYSQNPYEYVQGYQNTPYNGYQQ PTPPTSHDGHFNGSLDDYFLRKENHNSITPTSGNQTPTNVSFSEDTPASRKKDLYYCA ATYAISDTTRNIEVESYGYLSSGDKDALVKSISDDSTSFCIGYNLHNDMSIFNKVSSP DERWIGIQYYEKCQYPAYWGISKNRYAKATEFIESHKWKLAVG
I206_01815	MQSQQPSSIIADRQTQYVPSLPSLAYGNDDDLISQQPITLTQAA EFERSFCANSSERPPQGSWARVSRNGTQLYGSITPMQTSEVKTIMDNLAKACILGLGS GATTTHTQIVTRQQASKCDNIAGSGSGTERLSGLWAIIVKDGSYNYSSLTRDQEWRLR ELMDRFAFRVELGCGGLTTHEEFGTEIQARKFKSLLGSELTSVRPSGEWASVIIDGAP KYWPVTGGMKMWELTSMIDNIKSENARRWPV
I206_01816	MPPRHGSKKDAEPMAGSTSSSSYTELLSSQAGSQITDALLSWTS GGEDTLKELITAQLGQAHSSSTTLGTIPLANTLSRLLTSDLESGVLADFLAKAIEGLD DDRIEVFGEALVDVVEMMEQEREDTEEEKKPNEDTKMVVEESEPKVVSGLSVIKLLLE RNKLPSHIANLLLNPDRLVDLGLHPFPRQPRALQSALVKKNTTLFFKQRKYNLMRECS EGFSGLIVLLTGPDALPHSIEETLSESEVERKIRAKRVWSKIMGLIGYFNLSPPRVLD IILEIASCHVAVHWRFFLDLLKRSPWGSASLQDVKGKGKAVEVWPESEVECIGDALHP GGDRVLAQVLGFKFGFYQKPEGGDTPMGLTYMAALLIKHGFVSLADLLPFLSPDDIQM DEIRKKWEASVNSRSGPSNALSNSVLLDDDPPAASSSTQAEAGGPPPKPPPEQRIQLA QALLAIGDTTSALYFLSKFPWIAQSHIAIADLILRNIAIALEEIYQSVSAPTIDNEGD DLDMTALAPSSPSNFKDTIPTLHSPSPPETSLKRFVFFYPDWRENLEKWTTLEDIHVK GLRWLSLVRGLGGRDASIMVKICRLGVAHFAALRLEKASNPGLENFPDRTAALEPTTQ ETKPWLDIIRISLLPSLSCSNATAAFDVELWTLLSFFPYTVRYSLYGEWRDSTCSFKG RNPCPVAAHAASECTKEVQKALRRVTSSSTSGSASAATQAERHSARLLAKLSHGNPLF LWTTAVTQVKAYPNIGSAIVDAGRYMTQLSFDVATFVMLDTLSDDRAQRLNETGTGVA LWLERLSKFVGDFNRRYVNMDLGPVLQYIINRLMRGQAGDLIILEKLMSSMSGIEPVP NDGVSDSQLQAYGGGKEMVKEAFNSTRIQIAPPPEPGTDKPKEAPVDKLKNIKKSLPR LVNALKQTGLAIPIWIALAQTRQAVVDKLSNTPIKAMNLVQDTCHTAFMQFGDFLVEQ LSSEEQVASTPSLQELVDDFGLEYGMAFQILRPRLNAQLERAKAEHEADLKKRLEAER KAGLERLASPAIDPTALPASPMSATPQTEGEDVVMDDVKNEHLNGDSLPVPKVAGKAK PWWPLPLTATMKQAKALLPGEVNDALSAPFFVVFWHLTMADIAFSPESYDEAIKSINR NISIVSGWRIMVKDKLAIAEQQAELTRLKNRAAVLEKEKEAHGKDVNAVIKRRLKTES SKWFGKNIAERSLQVTLAAQLHQYCFYPRAILTPCDAVFVAKFIRTAHDLGTVGFSTL FAYNNFFNDNLAACIFSCTDSEARNLGRCLAAILTDLDAWHRDEKRYNLEALGLKSEK KEDEEEEKSLPGMLFRQRPSEPLRSMKWHEFRNFYAKCHNSLAKALVSCWSEAEFMHN KNAIIVALQVIKFFPVMDTNGRAVETAVKKLQNGENGEITNDLKMMCLSFLTGLRKRQ EIKPYVSPAKFYPGGQRLAQAAQAKNVPTGPKTSDSPAANGDATPKAANGSSTPVLIP SNIADREKIRQKLEESRNKSASNVVVTGEARGTPEPAIQALKTEANPRSRAASPAQQD IRPASPPAAPRHTRASAAPTGPAATARSVSDGRSTPAPMGPSRNANEKPATPMGPPAD LAVDEARAAARAKKFGAIKRPAPPPSSASPVTTPAPTAPPALPIPAEHSANSPTPASS RRTSPAPRTHRSGSVESKASVGSRRSSRRDRDDRDRDRDRDRDRTRDNDRDRDRRRRD DRDRDREREKDRPREGTGIPAEEDKDRRKQEDLLQARHDRLAGSNTDDRRDTRRSSRD TGSHRRESDREKDDKKSRERGARDRDDRGEKRKRDEEPRRIDAPPRRDNGRDRERERR HERPDDRERERDTRNGGDRRESQRDERERDRRPPTRPERDARDSRDSRPPPRDATRRS PAPPSGPAVSERRPEPTNNGGDRTPRRDNAAKELLPPSARDNPLPSVPVQNDRIVEAP RQTRSSAPPASNNASTNRLAHALPPRPGAAASNSPAPAARSGNAPSLAERMGGVPDQR TERRSPPPRQRSGDNREREGEGYVDPRKRTLEESGTPAREESPGASKRVKIDRERARA PSSRRDGGPGGRLFENAMREK
I206_01817	MGGLDALVAAASSVAGQGKNRANRANRAANMNSVIDPALQDTAT SDVADAMSVFLSNPAVVQLIAEYNAKKQHRHVSLYTQLLSGSVAPSNITPDTPVQQTR SGRISRPPVYPPVPNPFQQFLHNQSSTNHQVTVPPISTDQTQLQAIKDALENVTSANQ NTHGLVDGTSMADAASYDELLQAVNNDSSSSTNSRFWRNDNLLNGLPAWTGIEATTLA HAAESPSDPTTSSAYGQRSLGHGLPNGKSFNAGDRKRSASVLDDTGDESLDSPISKRY NGAGSVDNAEGLPEWPLPPTGKGGRKNMPREELLARRRARNRVAAQESRKKKKEFFGG IAEQLKERDDAYDQLHAHCRKLEQEVEALKRVILVAGLEMPNDIPTPIDTLPGPTPGP SVFPNIPNDEETSFNVDSITSGLDLPFHDLFTIDDNDADDLDFVPPSSPKREDSDSDD SDDDEEPTPLSASAKAGSGSASNGKKSKRKVTENEVEQGVGETPTNGTGAEAEDEEEN LFLPIEDVPIPPRDEEDQEQVMKQAMNELHVDTPQQLMGVIKKMVETAGYGGVTEEQV GMLSKLLALGQAQGMSIW
I206_01818	MVLDPTKLNVLHGPLQPHTKDGDSTHPTGYQRDGYCWGIEQDPG YHYIGGIVTKEFLEYSKKQGNDLMTPRGGFPGLKDGCKWCLCVNRWKEAMFASEKLGE KVVPRVDLSSTALQALKGVSLEDLKKYEYKP
I206_01819	MTDRASKPVIAVVYYSTYGHIGALAESVIKGAQATGAIVKPYFI EETLPKEVLEKMYAGGSLSPKYPLATPEALKEADGIIIGAPTRYGRVPSQVSALFDKT GGLWATGGLVGKFVSMFTSTAGQHSGHETTVTTTFPFFAHHGLVYVPIGYSNPLVGEI ESVQGGSPYGASTVAASDGHLQPTANDLAVAEHQGKYFSNFVATFVKGKTVA
I206_01820	MYGHIDALATEIIKGVESTGAIVKPYVIQETLPNEVLSQMYANT SLQSKYPVITPQDLKEIDGLILGAPTRYGRLPSQVDAFFDQTGGLWASGALVGKFVTM FTSAAGQHSGHESTYLTTFPFFAHLSSIFSMLHLPMLTFGLPAAYSNPLIGNVDSVQG GSPYGASTVAGADGHLQPTANDLAVAEHQGKYFANFVGTFVKGKQAAASTPTTDAHMP VLGKVAGEPTASTNGYSVDKTTTTPTEKATSTAAPAQATGESTPTATGTPAEKAAAPA ATPAATPAATPAKTAEKKQKKKGFFSCCDDSGIDK
I206_01821	MSNQDKTIVVFSATGAQGGSVVDSLLDAGYKVIGLTRNANSASA QALAKKGVQLVTGDTVDVNSYKDTFKGAYGVFVNVDFWSIYKAQGYNADATVKEEIRQ ATDAFKAAKEAGVKHVVYSTLDDNTQAPHWQSKADASKWAIQNDIPITNLIITAYWEN ITSFSLIKPEENGTYTLLLPLLDDTKHWGYSVADTGLWVRAAFENPDKWIGKDLYAAS GKETTAELAQILSEISGKKVDTLHLSKEYFQSDAMKKELGDEMWHNWDLFIENRISRD IEASVAIAPGASDFKTWAKNNAGIKKLLDF
I206_01822	MSGVSEGVRVLNDHIDSANSNDKQKIIIFGATGDQGKSVCKYLI EDGNWDVVGISRNPNSDSSKELKDIGVQVVKGDMGDPSSYAQALQGAYGAFVNADFWG PYFESGQNPTIASETESKYSRDAINECNKAELKHVIYSTLDELHDADVPHFQSKANVT KYIKATCLPTTYLYTSYYFSNATKFGQISKDKNDRLLLSIPAPDECLIPGYAVEQTGK WVLKALQNPNEFIGKDIQACSDIISVAQMASTLSDVAETKFDTLELSKEEFYSEDYKK KTDKEIWVNMEMFYRKLIRRDVAASKKLVPDQWDFKQWAENSKEFRKVAGI
I206_01823	MSRHRFVRNLDLDDEMNEEEEEGYSQEEQAQMASAMPVARNALK DLKPPITDDAIADSLWHYWFDVEKAVAWLKQDHEKKGEAPPPSLQPTPKEHPRRRPKN LFSSIPSSSPSNSPQPPLTALQRLSLARKGSASASSSPSPAPATSSPAPVSENDSKPL SKLALLAQKRKEAAAQAAGLSTPSKATSLGAPSPSGSQSPVSASDAGSKPLSKLAQKM AAARAAREEASARLTSSESLDSPVEVDQGTNEATPDATPDIFSIIPPPLKPKPTLSPS TFFSILTTTKNHGERQNEVEITNLHVPLATDIASLTKRFETAFAESPDEIVLRKRQGR AGTADLDTAVKKQAKGTAKAKAAVQKSASLPGKPRQTTGTTPKSKLQPKTQPNSPVTS TSRGGSSGTTTPTNNGKGSGSKSPLTGLQSDIAGLHLEQELDEVELQREKDKYKEKVA LSMKTEELIAKVRKDEEESGKKNISLIVVGHVDAGKSTLMGRLLYDIGELSEKEKTAN ERGSKKVGKGSFAFAWGLDALGDERDRGVTIDIATTHFTTPHRNYTLLDAPGHRDFIP AMISGAAQADVALMVVDGSPGEFEAGFERGGQTREHAWLVRSLGVKEIIVGINKMDVV SWSQDRYDDIVEALKPFLVSAGFSAAKTTFMPLAAMEGINIISNECEELKQWYTGPTL ITALDKVEVPTRPYESPLRIPVSNVFKGQTAIASGVAVSGRLCSGVVQVGDRLRAVPG DEIATVRTIEVDEDSSPYAVSGQNVTLYLSGIDSINLSIGTILCPLNLPIPLISKFKS QILIFDSLNFQPIIIGNTIELFNNSLNLPSTISNLINILDNKNPNIIIKKNPRVLKKG MLATIELTLRNLNQKIPLETINENKEMGRILLRRNGETIAAGKSFRKKD
I206_01824	MERFTQNPAELFRIVNIVVGGFAVAGGVGSLIHHSFSSIIIGIY EVLIGAIIIFLEIRTPTEEHKALIHKYASFMHSFIGRGVFYLLLGVLMLSYYTILYIC GSVVGFVGLAYIALNFLQMFEPPSTMQPPNNDPEAQPVWQGPTE
I206_01825	MSEKSTSTSLPLPSIGSYKIHKKSSNKPKIFLGILFILATLVNF GPSLKSINPLNKNDNDLISFEETENWLNKFDFEDLQDWSKCPKQPKPLFPNSTWNLTE EEKKKLVATYSKAVQIPTQSFDDNGEPNEDPRWEPFFDFQNWLKDTFPLAHETAKIEY INTLGILATFEGSDPSLKPLLLMAHYDVVPAPKDTYDRWTHPPFSGYNDGTYIWGRGA GDDKPLLVAQWESITNLLENGFKPRRTIILSHGNDEEEVFARRGQGHIAPFLEKRYGK DGLLMVIDEGSGTVDDFYGAPFAIPGMGEKGYMDIIISVGTAGGHSSVPPKHSGIGIT SEVVLALENNPFPTKLTPASPFLTSLQCAFAHSPDLPKKYSKLLSSEGPNSYPELANI ISQESLSRKALVGTTTAVDVINGGSKVNSLPEQVNTLVNFRIDFTESINSTKNHINKL ANKIAKENNLNYFGFENKEKEELGGKYLSIDIMGLSLEPAPRTPAHGGVWDLFAGTVK AVIPGPNGEERIVTPFASTGNTDCKMYYNLTKNIYRFMGSSASSGFAAHTVDEKFAIE GYFQIIKWVHAIIQNADAYSGEE
I206_01826	MPEEKKDSPSTFTPIHPFEMDAPKTWGGTIGMMNWDNDKIVFSK RSLLEFLKSAGVTVNQNFSEIQKLPKYATFGTFSQAATDAAVADLVEAEIGDPTTDSS SLPHNRTTVPRPSRKVRDPPGGKQSIQLFGEEYEEEDALSLAPPRDGGNGVDVEVERL ERMRVHAEPVVDNGAHIEDDNNKSERVSNPAHDFRPTRKVREGPGGASSMGAALFGGY EDETEADRATSRAQASQGGKRQAPQTSRNLW
I206_01827	MSRQENYPSTNLSVRKPPPGDNQSQLQFSSQGQPGSTDQQTSSE DDRALLRELGIYSGIVGTSTLCILANDKGSETNNVLMNFKVDESYNLSNQNPDSEAES KSHESVGKGPDCPEGWAKIQLSDDDKNESPQYTCLTEEQVDDLRNYARNISGSDTN
I206_01828	MFNYRDSSSKNAPGGSSSRPTYHTGETISNSDSKRTYKISISKA PDTTEHSLTDAQYKEFSKDLNDQLESTHHRLGKDNDLHHKLHNLDEPQEGCPTGSTCI LYSSCDPREIVCLTEDQVIGLRESAQYWDTTNKAMPFDIDVEKV
I206_01829	MAQVMIEPIHLNDPDSSAATAYSAELTSINAQLLNHGWTKKPLD LSALSTKGHNDVTTVLFELLGASVTNVNNLDSMMTRQRTLQYEYERLQKSQNNLKATN LRLQQETNGWKVRCDDIEKRLLLEQNKTKELKEEVARGRKALEGVRVAATHDNKKIQL KLDKALTTLSKQSENPQQKSTGLVLLNPIPAGRTQPIASTSSPLLEQTLKELTEIRES LQEETEAFRHVVVSTGNALREALAASQGKEAPARLMQSQFFTLNTSSLRQSHLAQSAS STSHPSMANTRLQSLVTEIRAKLVENAPPPLPVSGMYVPTAEDIEEGKRKDREQEKLQ RDLEDTIKDLQVELVCARKKEEEASKVVEEMARKELESARTYSTAKGDMEGILAKQKD LMEIERKAMRDNRETLDRERRQLEIEKQTWLEEKRQADLDAMMAFIPPPNDPSSSDQI AAETAAEDEPSEEYLLPMSPGPSTYHKHLPYSSSPLSSPAQAKMRMTPKNHHAVKNRR KSIKTPLSRLVLEKAVRSKAQDSLPPKDNILGNERGRKTNLSNSSTGRPMSPSRKGKE KHLGLGIPSNGMKSSMTGKARSSSGSSTAKLTGKATPLMGSIMAPSAGIRSSVSGALS SSVNRKVDFNQAGGIGPMAARKSKGVWR
I206_01830	MSTEHPHHHTDGETSPLPGGSTSPSLSSTFRHPAPNDPLESSFR RRNPYSHPENADDGQSNTSFVEGSVRISIQTPFGDLGGTRSRRKEGWPLPLTKTVLSI KEDLISGQLDGAGTWEKEGMRIVYHGRIVRDHETLREIIGKADPEQVYVLHLVARRVP VTPLPTSGMIHQNLQEFPLPPQNTTIPSTPFLSSPNPTLPLTSTSNSLALGDTIHYLL FTSRHHLFSLLGMPPLKWDQMVPKPSMSQDSAKEAIMSVVRVFAEDRKSREEGWESWT GAFMGDDDSDLRKVWESCNKEDIIREVKSLWSNATGRNMSEETENVQVEIERNTYTLQ LPAISRLTARQLSHLLIYLRITSLLPLLEPIYQQSLIPPPSPTPTPTPTNTTNTTLGA DQVRDRNGRVVYRRTFHLRIPFIPFATLPHLFWSAVKITAMIWMLTRGMKWNDNRFWV IGGLALGWYMIDALNEIGRVTRDIRNRQRQNAPLATQAQAQPEGPGIGGEVPNQGQPL NTNHIPTQAQAQNRPMELPRRRVPLQSLIPRIHLSEDSAQLRLSPHNLNGDNTLPPPA RSRPSRLITQFLLPILLWFLTLVPEWESLRARAIRRRERRMRVVIGERQNQAQAESNT TGTQARENEGDGQGDEISEEERIRRIELALPEGLSQQARKYYLRVVQSGEGIDWEEER EAQRALGVGDEDNERVEDDGMRLRML
I206_01831	MDEEAKKKWQRSKPPGVVVGLPGYLVGGEWVGTMEEFEDAVETQ TLETFLKQDIDLSNYNTNTNTNSSLSINNKDDNKDGNDNGKKQKSIQEVELENLMREM TNEDLDKLINDLNVNSENSEKEKEQIGKIGLLNEKNKLPISDIKENDQIILNDLKKEL NLDKNEDDFLKKIENNNNNNELNSSFTSSIDHDNSKNEIDKEIESNKKDNVEINKGQG TNDLEFIPESNILKELKDELKLDKNEDKDINEIKGKEKVD
I206_01832	MITTSTALTVAKYLFGTSVAVTSLAAGGLWFFQRHLIYPAYVPE GSRKNVPRPIEIGMPYEDVTLTTRDKVKIKAYLIPARQNPVQTEQLRHLTHKQREELG AKEMEKWLEEIGDEKSIEYAKSRPTVVIFHANAGNMGHRVPLARKFNVDHGCNVFMLS YRGYGLSEGRPSEHGIRIDLETAMEYIKSHPILGETRLVLYGQSLGGASCLYAGSRYR ELVSGIILENTFLSLTSLIPLVLPQLPKFFLPILLTEHWDAHHTMPLIPSTTPILMIA GKNDELVKPPQMIALRHLRAENGGQVKWKELEGGHNDTCLQPPYWGIIGDWLNEEIIN NPLDILGKFRKEKEEEEKSESSSFSDDYQKVTKEEILEAKKDL
I206_01833	MAPKNATASSSRVATNLKTINGKAGPSTILKNKNKKRAVQEVSE DEDDDFASVGSGIDMSDDEEVEEGEENEDEEAFPEFDSEIEDEVDQADEGDSESEELD DEEESGSESGYNSSDIEAMYDEEEDNENEDEEYSPLTSPSSSHKELSTDEKLSKLIAK NSIKPDESLGTDAKISKAKEGVGKLVPSKFVEGGYKREYEDYEAGYGSESSTEDNPNT VGNIPMEWYDDLPHIGYDVNGRKIFRPAQGDELDKFLSNTEDPAAWTSAEDRLLQQSV QLSDKELDIIRRLERAENPDADYDPYQPTIEWFTGEGNERVMPLSAAPEPKRRFVPSK WEHKKIMKIVKAIREGRIIPNKPSATKPTVYQIWSDSDQANLEHAMYMPAPQLPPPKT IESYNPPEEYLLNEEEQKEWEEAEKEDRKIDFLPSKYDSLRLVPGYKNLVQEKFERCL DLYLAPRTRKVKLNIDPESLIPKLPSPKELKPFPLATSVLYRHPENSRVRSVDTSPDG TFVATGSEDGIVRVWDLGNGREIWKWNLQSGAIQHIAWSPYKDESLLIALVAGKIAVL SPLALVAPSIAANTLNHVNTAFASSAATTKMGSGKEIKGTELIKWVRPNEKERERGVL VFIEVPGTPKQISWHRKGDYFATVASDASNKSVLIHQLSKHATQSPFKKLPGQVQKVL FHPLKPHFFVATQRYIRQYDLSSQTLIKTLQSGVKWISSLDLHNKGDNLIIGSYDKKL AWFDLDLSNKPYKTLRYHTKALRSVVYHPSLPLFASASDDGTIHIFHCTIYQDLMQNP LIVPLKILRGHKVTDGIGVLDLSWVNGKPWLVSSGSDGEVRLWCS
I206_01834	MSGRYTCRFAGCTRLFDRFSRLEKHVLDHNEVEHPDIVEVKQGI IRNSTYNCTSNIPELTRYFHSSGITRSLQSQHTSRPVIIDIRSPSLSPDTTSEDDVQI QAPQIQTPPTPVSAPVTIRKGPRWAVAPPSPSPSPSPSPSPSPPPALVSPLASSFPSS IPVQPYVARSGGNVDGPMCESTLGGESDPNVSEMPLIDTSRNLTSALSDSDTELDKSE HGFLNENVDDDEDEDEVLVAQTTAYESPSSPQLQNTTSTVSPFAKLSSGLPRAHQPYS PESLAQSDSCSTLKSTFPITPSSSAANEIIRTPNSLDRFISEARVSIGSNRERSETPC PSFNSTTAFKQIAETEKSVSHSSFEEKLPCPKRTLYESFKASKDGSTSPSATFNKSDS SWPTHGLTGPNPSNGELIKQTGSMKVKRIRELVNQIKESNNSISEKMEEVEKLLRSLE SPQTVS
I206_01835	MSFRISFLPSILHSRPSPTFSSNIRTIRSFHQQSFRQHPKFQQN QSKVITYAKSQPRSNSNRLSPLTIGIGATIAFTSLNSLPNRQVKCESIFSSPSITSNN NGPLPNDPPPGSILSVYELSFGTICGICSGVFIKKGAKAIAFLLGGVFVLLQYMSSKS YIHVDWSKLGSKYDTAFGSKTSTGYKGPTVGGVYNRIVDFLTSNFQQRASFIAGLVLG LRLG
I206_01836	MTSLEWSVFQGEAPSSQAGPSRTQELASQLVEADFKAILTSNEA RTILSDADLLEGLIISSSAPSKSEESPLLRLIVAVALLHSFVQANWTGPNLSFTPLDI LPSTTANDDEINAAALPLLTLQGEPAYHLASQPALLLLSRRLFLSLPNSLKTLPTWLL RLHLVHLSLLDEAVPLPSESLDSIQSLLEDPIVIEDQDLKAKIELEIGLYHHALGQDK LANQSFLAAARTSELEFELTGALGKRTKYQIALLSQLVLLAESRTREGEVEINHTEQN DDQVKAEISTSSNLPESIALNDDTLLEETEFTKVSSSSSSNNSTSKLSHLDPSNQPPL HPLDQALLLSLCLSQHNNSPSSGLTANQMMPFLSRVIAHPRNWSIHTTGLLLRSRLES TRSRTVERSTLQLQALIEQMPTSDSSPKERLKYFHQLPLPSKWDMERELAKRFLSIGV TRSALEIFDRLEMWEDSVSCLQRLDREEEAIKLVKDLIEGKKIESDTLTTLAKENLSE NRRNKLTEGRKSKLYCLLGDLSLSSEESVKDPVGAKKLAIEMYEKAWEISNKTSSRSM RSLGALYVGGQDYEKAIECFKSALEINPLYARVWFTLGVCYSRLERWNEAKDAYRRQV GVEEDDAEGWNNLAAVYLRLGEENKKDSEDVKSATFENKHLAWRALRQGLRFAYGNWR MWQNYMIVSIDVGELSEAARAMTRIVEELSNTPNLLESSIDFDVLDKLVDSVTRDDYS LIKQGKIIPKTSNEGFGLLPLVERLFNETILPKISNQSRIWKSHARLERWKENWIESL NDYLKSYRCSIVFNEKVERDLEIWLEALNEIEILIATLYQLGPKAKIQSENENQLNLN GEKIKINDWKFQAKGIVRTFMGRTRESFENEKDWQRLQDLLDDLKKAD
I206_01837	MTARLAEDEDTLSSSSNSSSSIIATPSSDSLINNLDSNLRKRHS VKGENLDSKLLNRQRNLDKTPASPKINIAPRMFSSRKYSPLPTSSNGSNRKRAGSGIT SWKRYALIGTAVLVLLALGYTQFGGRANKEILWDEENTYTPALDDDIVSGDGVDYSSP PFRPLDSDVAKPASNHQQGDDDEEEPTFHALPIGHTNKPPADDEVDEDIEEANDKPSS SPHDPTTTEAQDASHASEDFTEVTDSSEESTGFPASFEDDPNPQGTTACTSPHSDEKP IVQYALTIDAGSTGSRIHVYKFNNCGPSPQLEYETFKMLNPGLSAYARDPTAAAASLD PLLKEAYRVVPKELWKCTPVEVKATAGLRLLGQQESVAILDEVRNRLETNWDFVVNGE KSVEIMDGKDEGVYAWITANYLLGKIGEGVASDVDTLAVMDLGGASTQIVFEPKFPVD STQKLVEGEHKYQLNFGGKDFTLYQHSYLGYGLMRARRSVHNLIAFTWSFGQGQVHWD EMDEGIQIPNPCLTKGSSRRVELDPPGRQAVNVTMHGANGGFEACNRVVELVMAKDAI CEVKPCSFNGVYQPSLLDTFPRGQLLALSYFTDRIKPLFPDTSLLSISDLTNLAKDVC AGPEVWNKRFGNNPIALEELEDRPEYCLDLTFMNALLGLGYELSQERELMVEKKLKGV ELGWALGAGLALVENAKLTCTA
I206_01838	MAKSIRAKNKMASRARKRLVTHYAVTDAARTQRLSDKLLGKDKK TGEMDVEGDAEGDEIKEGDEEMKEEPKKVSTSGRRGSRREEWRLSKGMSAKPKRRGMG CKPTRRR
I206_01839	MSCSPIRCSFSSPSSLSGSPSARQKELLPLLPTEPNTNCLDHNQ SDRYIKGTSTPERVYDTYEGLNKEQEVNAVEPVKGLTDIVASRNLEGKRKRSLTHFNG IPLSILDSSSTSSKSSSPLPRQIITPKKIRDLGELFTRTKLDNDDEEEQTKKSKIGIS INRRREKSNASESTESYSSTSISTPSSSARSKSSIFDYESSDDDPEFMDDTFVKKGNT AKMSQEEGYEYDDDTDSEDDVIFLMSP
I206_01840	MGRKKIEIRPLTDERNRNVTFLKRKAGLMKKAWELSVLCGADVN ILIFNVAGKAYEFSSKELDEEIDRYMDYEGMIERRRAPEFAAMALAGEDEEDEDDEEP SNRRASTSKAGTNSANAVNANGAAPRSLKGKESFKARTPRHGSGDKGKHSKSKSKTRR RKERSESEKRSFIDSILSDSESSDPSDETDSRRRRKEKERRERRKEEERYHHHDKNSE ALQYAMNMHSSHSHGQPHQDPRYAQHLHAGRERYYEVDGSGRHPNSLPLDIPQLPKLP SDGAPYRASMTSGLGNNPQTQVYYGTSSTPLPSNQYISNSNVGQYSVPPPNLSSESPF PPQSYVSSSSGINQQNNYGNIQWNEQLIAKYAEFQLQQNHQRQQRLLLERQRHQLQQM GVPVDERSLLDEIFGGGGGGSNGNQNNQTPGNSNESYHNNSSTVTSSSMILPLELDEV QSSSNNSINQQSTTTGQQNDFIWPIGPGPGPGHGHGSNSTTTTPNPIEDSQGQVQGQE QFTGIGLSRQQNTRSALPNEGIAWGVQDGYNIDREEINLPSPISNGSGIVSGYEISKR KLRREDSDLSLKRVRM
I206_01841	MTSLSTSSRRLIGNLRCSSCSSTIQQLQPIRSSKNIQISYPKSR YISTNSLRLNSKVTGEISGLENKRLNYEQARKALELSRKKIYKERSKKYQSAVLYSLG VVVLSLGVTYAAVPLYRAFCSATGFGGTPMTDSTKFLPDRLYVTPETENRKRITVRFE ATSSDTLPWKFEPVTRSVKVLPGETALAFYTATNWGKEDLIGIATYNMTPEKIAPYFA KVECFCFEEQKIRAGEEVDLPVFFFIDRDIMDEPALDGIDDVVLSYTFFKARRNARGH LVPDASEEEIQKSQGFENYELAKKEHKLHSPPTTPA
I206_01842	MSRSSYDRYLTVFSPEGRSVGSAAFCVTAPWSIADRQSPIEYAF KAISGAGITAIAVRGKDTAVVITQRKVPDKLLDPETITHLFQITPTIGCVMTGLIADA RAQVQRTRQEAAQFRYKFGYEISPEALAKRMANINQVYTQRAGMRPLGISMILIGPDD ERGPQVFKLDPAGYFTGYKATASGQKQTEATNYLEKRWKTMESDKTVLDRAGVIELAI ECLSSVVQTDFKASEIEIGISSTSSDEKSIEGQDGRFRQMDEEERGEWLVRVGEKD
I206_01843	MSWGGFKKAASRAGTTIMQKTGQVERTIDREFAEEEGRFKTMEK ETNNLQKEAKTYLDSMRAMASAQSRIAETISLFYSADRTSDGAMAGHAYKSAVDELDA GVGRELDAPYRATVLEPIGKLNSYYPTINAAIQKREHKMTDYDAARAKVKRLIDKPSD DTTKLPRAQAEHDEAKEVFDILNEQLITELPVLVDLRIPYLDPSFEAMIRCQLRFAEE GYERLSGVQRYFADNIRDDYANGALDTQVEGVLEEMRELSIFGP
I206_01844	MSNQHHDPSADLAARMNGLGVNGESGYSSPQQQPNSHHQSPVNM THNYANIQSPPSRAAPGLQSQPYSPFPDQTGYPYYPGNAPGGPLGVGLTSATYATSPA NIGPNHATYAYVPQTSDLINLNNSQQTSASYDGSFPTPNELYGGQGDQSQAHHRTNQD ASSILQQVPRLTRQPYRPPTGQSAYQQAAMQQANASANGYYNFQDPRYQWVGQSQNMY THQGNMRKKDGQNNTYNSRNNNSYSFRSNQNVNNSHFGYNDSVTPTRGAFAGTSSGLN PYNASSNLYHLAQAQGYGNAFGYSPGYQNASGFVLRPKRSEDPNVVRSALLEEFRLNK VGKWELRDIFGYLAEFSGDQHGSRFIQQKLEIATPEDRQKLFDEIMPNSYQLMTDVFG NYVTQKMFEYGDQKQKATLAKKMEGHVLALSLQMYGCRVVQKALEHVLVDQRSLLVSE LEGHILECVRSSNANHVIQRLIQLGPPQSVPDSFIGHIEELAKHPYGCRVVQKMFENL DESMVRSLLDEMHNFTADLMEDQFGNYVVQSVIIVGKPEDRDKVIKEIKGRVIPFARH KFASNVVEKAILNADESDRKLLIDELVGLKEDGTNQVGMLLRDAFGNFPLQTALKAAS PEHRQELLDIIEPLLPQVRNTPVGKKLEVQIEELKKGNDQSANGSSVGDSTTDTNGTD TTPGGLTMSRSTSEETSLSSPPENIVDIRAKSTTPRVGNGNGAKTLEDLLG
I206_01845	MAVGKNKRLSKGKKGIKKKVVDPFSRKEWYDIKAPSFFENRNAG KTLVNRTQGLKNANDSLKGRIVELSLADLNNDQEQGFRKIKLRVEDVSGKNCLTSFYG MDFTTDKVRSVVRKWQSLIEAHVDVKTTDGYLLRLFSIGFTKRQFNQVKKTTYAQSSQ IKEIRGKMIEIMKREAEGSDLKELVQKFVPESIGREIEKAAKGIYPLHNVYVRKCKII KAPKIDASRLLEQHGEATDANTGAKVVKTGDFVEPEVLESV
I206_01846	MDVDSITSRLAQLPAGLASPGFPQNANDGQQFPLLNPLIGTPGA NDARNNAAGNPSTYLSNLAKSSFSPSYSQFISSSLTQSLDGLDPDIMKRPFDTSSLPV NPLNGMPPQIESSSSNLDSFPPKKARYDAASQNSNTATPGGQTNFGGNKNFTPGQFGG NSNGFAGTPLNLGGPLHQTPQQTPGVGQPGSATGNGLQGTPFGMMNGLNGMVGMGGFG MGGFPLNMNMQNYPGSPIVSPSMNPNTMTGNYGPAAAAAAAAAAGNTTGRTVYVGNLP SEASVDELLNLVRFGPIETVRLLPDKQCVFISFLDGSTAAAFHADASVKKLALHGQEL KIGWGKPSVVHPNVQAAVANSQATRNVFVGNLNPEMNEQELREELGAFGPIDQVKIVR DKNIGFIHFLSIGTAVKVVNQLPKEPGWEGKRVNYGKDRCAYVPKAQQDAVRQAQTQA MTAVASQHSQIPGTPFSSFSPMTAGFGGFGTPASAGFGSPLFGNGFPSAGGSGFMDSN TAGQVGNRTVYLGNIHPDTTIEELCNNIRGGMLQQIKYLTEKHIAFITFVDPAAAMQF YQHANTTGLLVTSRRLKVGWGKASGPLTPPLLQAVQAGASRNVYIGQIVDFSLFTEEK LRQDFGEFGEIDMINFLAEKGAAFVNFTSIQNAQKAIEGIKLKPEYSVLKIAYGKDRC ANPPRASHQTPGNNKSRNVSDKTPKEPTSQNNGSVPAKEAVEEGGLEDADFPIEDDGY LGEELTDVPSTFE
I206_01848	MRYAVLVTGPAGAGKSTFCSSLITHAQTIGRQVHLVNLDPAADK FEYEPTIDIRDLINLEDVMEELEFGPNGGLIYCFEYLLNNLDWIEDELGSYEDDYLII DCPGQIELYTHIPLLPKLVQYLQTSLNFRISSTYLIDSQFMQDKNKFFAGVMSAMSCM LSLGTSMICLMSKMDLVKDEKGRTKREVGRYLDPDPTLLFDSPDSESNPKFNALNRAV VGLIEDQNIVSFLPLDVTSEDSVNTILSHIDNMMQYGEDEEPKVPKDMDEGE
I206_01849	MGVTMKVKSIRPTHNKKPSQEAISSRKLSTISENTVTSLNQPFQ DDTADLVLISSDGLIFKVHHTKLNGCRIEFRNALFNNCLSTPPPSPVSTTTTTITTTT INLDLPEIHFLDPTIESSIILSLFLRLLYNLSLPIPNLPIYFQAYENLVKFIKRWECN FVCNDLSRSIRSWLEEGNISSSKVFKIGDSLNDIDLMRDALKKGGEYTWSGRLIEDPS KRKGRQMSRTSSFTNNQNSLSSLNASARAKPLQESISFDILRDGLPDQPSLDLTAVPY EYFISLSDEVKFALLRASRVGRDPNLDTDWEDVSVEFNHVLRDLRQAL
I206_01850	MARDWGRVWVIGTSLLISFISFSSQIFVVWPWYGREISVDLLKL LIPFNFCVFMVFWNYRLCIITPPGGVPQGYRPDLNAVEGLEVKKGNHAPRYCKTCEHY KPPRAHHCRSCKTCVLYSLSDHCPWIANCVGFHNQGHFLRFLLWVDIATSFHIVMVVQ RVLFISYKQYEEPTLSDVLFIVFNFAACVPVWLCVGMFSIYHVYLACGNSTTIEGWEK DKVATLVRRGKIKEIKYPYNIGVYKNIKSVLGPNPILWLWPQPMRGDGLSFPVNPDAG GESATSQWADIVAPRRDLEGPPLPTVQTGYGSSDQVVRGNGSGSDGRSGLSGSSEERI RLSSFANYMYLVTDPVIQYSWPPKDPGQIPNPRPLPSATSAFIYGNEGFNPNLRPSNS HLRARSTHNPTEGEETYSSGEDRDYESFSESSRSSSPEIYLSDYDEHNDGPLAGQRLP RMRRGSEGWEVRSAAGSWADHMRDIEGRGGANSRRPWEEEGRYNYYIPDE
I206_01851	MSSSSIASSSKRRPSLPSDSSATIVSQLDKPIKPRSSALIKHGK YVALGALGCWYTDLPNAVRNVLNDEAGWIRKAMITGLGSLAATISIFLYLVLFLPWFR GYIPNYPKWQTSARLKVIVPLLTTTILLGWTCIVISLSQAGKRSILHSAVDAVKAVGN ASLEQMEGERGLGVFRSMAGTTALFTLTLGILGFIPAPANVPVREKSS
I206_01852	MTFKHFELLIPSLIATILALYPLPWHIRTRNIATLSMIFWMTCL NMVHNVNCIAWDDNSDIKARVWGDISTVIIVGYNFALPTAHLLLAKQLESLTTLRPHS PLYDDKSRRKHNFFDLSITLLSPIIGVLVHLSNMDRRFYVVESFGPMPATYWNGWGVF WMAVIPICIACACAVYTALALINIIKRRKQMLSMIATGASVNKEQFVRLLFLTIAELG TCCLRAIFNLMSFQKGPQPLGHFGPPIHNLRLIGSIPLSLVSERGMLVLRLSYFTCVA CSYVFFLCFVTSAEVKRFYGQLIHRIFPCIPEPKSVQNQMGSMESSFNSNKSGKIQIH TSSSTSTYISTDSTMPLSPRTPIRSSNFANHNDKDISLEDMLGTPVMGPQGVYMPRKG SNGTIDSKNTYDSSNIYLPPMLTDQEKCKLL
I206_01853	MALSDYITGESSSHKGVNDIPAPRSDATVNAPPPEQHKRVADFV KEAPDARYTFDSRRNADAIDAELCRVTPQGGRSCIKLALASASLFKSMQSLGFYCALP AEPTRTHMECQRIPR
I206_01854	MPLKPAARNVFNYNRAIPRMSWAPENLFNLWQRTSPDSPIKRSH EFTRTNSTPYQLRFTAKRLLRGYHGDHIGYTKFSRWYMPEKLPAIHESNTGGSSSSSN SNEMNKWVEGRERSGGRNIEEKNQKKKEKNSKAPIGTMLFADVERRLDVLIFRSCFAQ SVWEARRYVVQGHVKLNGQVIRNPNVMLEPGDLFSIDPKHIHMLQPPTSTPSAEPETS SEVTVDAESESAEEVGATASSSGDADSSSPTEASAASQTPTSTSLPESSSATSHFHLP SYAQPHIFVPAYILPSYLSCSAVYVRHPTARPGYSEIPSPYDAGGELMSLGWEYFKRS MPRMRRKTDKWPNPWGGYGKK
I206_01855	MSFARAGLRTLRSLPSAQVYRSSALINQRRLISDEARKLIDNAV QSNPLVVFMKGTPEAPQCGFSRAVCQILDVQGVPREKIVSYNCLEDQELRSAIKEYSE WPTIPQVYIKGEFVGGCDIVLSMHQSGELENLLIKEGLAPPLPEEAPKA
I206_01856	MSFSLPYKYITAEVPDLIKSKPTSELKEFAVVDVRDSDFVGGNI VSAINCPSDTFHANVDELVKKLESVPKVIFHCALSQARGPKAARIYAETRSHALPSSS SQEVFVLRDGFSGFQSKFRHDPELIEKFNKYYHD
I206_01857	MSLGRTVKLNNGVVIPQVGYGTWQAAPGEVEKAVEEAIKVGYRH IDCALVYRSFSIPLRVFIVATGIKASGVPREELVLVSKLWNNSHRPENVEADLDLTLK QLGTSYLDVYLIHWPVAFKPGKDLFPKDSNGVVQLDEPAEKGGPSIVDTWKEMVRISK ETKKVKAVGVSNFTVEQLKKVIDATGVTPSMNQIELHPSLIQPELFKFCNDNGIAITA YSPLGNNITGKPRVIDHPEIKKIADRLGKSPAQVLIAWVAKQGFVVIPKSVTPARIKS NFEDFELSDADLEEINKIGLANQVRSNIPFEYETSWPVDIFGTPEEKGQVKAF
I206_01858	MPNSTTATASLHALTERLASQSKSLHPFISAGGLPLPPHFPSPQ ALAQAANAYRQPAPPPSLPSIQIQSRHQESSASSQLNSDEKGVGVIRCSEGRAWQEKH RHASERLGRFNEADMDGFVDDMGMILRKEYDCWVEQCWQEAFHHLFTHTLPNLIIHLI MTGSSPNFLRRNIVYGGQRLDHLFQSQMLHLLYEELEKRLSGLRPHSNAEAGPSTLDK LSTLALPTPPVPSTSTNQTSLYRDGICFHNLSYNHGIPEDEDDHGPCLCQLTTCFSCF HTSAVQRKGPTSLLPYELKSTKVSNSWLGGIESEEQSKLRQDSLTRKPSNIINLPSGK GFIQNSKSPNSPNLHSKKVERGPQIFPHPQMTDVLAVEEHLRWRLKELGARDPAVESR YGPSTNHPLALDGIDLPSLLPPTNQSDETLSEDGSTKSSIPPSKSPAPPTGNKIKVAK GRGGKMRKMIINPNGTTPKTKDQSNGKDGKKPIVYLPKDWTEEEAAQRNTAIVLTFRH LVKLLHQISTAASPFSYPSYAKDIDELQRVHPIALYRRLAEPSVQRRDDLNELKAWQG CMDKWAEELGGKERKRGNEYSNSKEHGLAVICYTRAISLDGKKTVYYSNRAIAYNNLG EFEKAEQDCNYLLKIDNKNQKALYQRAISRKGLGKLKLAELDLEELLKQTDYSISSPI TLSQNSGGLAGGGGNESARNLKNSLYK
I206_01859	MSRLYHIQAKKPFLFLILDEVSALVVDFGSYTTRAGYAGEDCPR VVTPSFYGYIDTPEGESSTTNGNANAAAEGEDVVMENGGEGANGTSGKTTRKYFVGDD GVGVWRKGMEVDNFMQDGIVYDAEPASRLLNHILHDRLGVNPEEHPLMITEPAWNTTK AREQLTQMVFEGEKMPALYFGSAGVLSAFAAGKPTALVLDVGYTHSSVVPIVDGYALR AVGTMRQPLGSELLISQFHSHFIKPSSTRDFPLSLASRHLISKRDPQLNPGTKANPVL RDDRMSGTTASWKKWSENGVIENWKEATTEIVNYKGFDFNTSKDLPQVLYEFPDGYHQ YFGEERYRFTEMLFDPKNYFNQSVEPPANLRGISNTDHSHSLKDLVSLSQLVHDSIMA CDVDVRASLLQNIVVVGNTSLTRGLIERLDVELAALMPSQKIKIHSPTIPFERKYASW VGGSVLASLGTFHQLWVTKDEYEEHGINIVHQRCK
I206_01861	MANLGVPVKLLHESLGHIVTVELKTGEMYRGKLMEAEDSLNISL REITVTARDGRVSQLEQVYIRGSMIRFVIVPDLLANAPMFKRVGPNAMRGRGIGAARG RATIQRANARRGTTRTNQGVRR
I206_01862	MVKSEQEVVNDFNEIVNMSAEELEEYLKTEGSETTGFQKEDGSG ESIGHESGRKIVDILKRNPNKDPSKYTDEDKEHMRKVVSYCKRHLAQESKLKETKGPE ELEKSKSTRSLKNWGHDPMKTLSKSEQPKNSDKPTSKKAEKPKSKGKSENHKKEDDLD DKKEGKVEEAKEDETEKPKSRGRGRPPNKKVEIEEEEEESTETEKPKSRGRGRPPNKK VENKEEENGSTEIEKPKSRGRGRPPNKKVEDDSEVGEKRGGEGSKSEQPASKKTKTTK EPNEGTRKAPSRGVKKA
I206_01863	MSGPGSGGAYSFSLTTFSPSGKLVQIEHALAAVSGGTTSLGIKA TNGIVLATEKKSPSLLLDTSALEKVAPICSNIGFVYSGMGPDFRILVAKARKIAQAYW KVYGEYPPTKVLVQEVAGVMQKATQSGGVRPYGISLLIAGWDSHRGQSLWQIDPSGSY WAWKASAIGKNMVNAKTFLEKRYNDELSLEDAIHTALLTLKEGFEGAMTEQTIEIGIV TIPTPDQMVEKSGERLPPTFRKLTENEVKDYLSL
I206_01864	MASSNSKLPIFIASAIGALGAVYIVAPKTELSGMGKPKEAIKDG RSQSAVDGKNNDGSPSVNSPHPALKYGGAAPGDQKSTTVAGQSESSRKSHSAEHDVKT REDPTASSGQGLAQMRERKEKGMGLPSPQGGDSPAQPVKAQKGYNDGQGPPHPDGPHE KSTGKEGRSGWSSWLGGK
I206_01865	MAFFWSGSSWSPWSQFVNSDSFDSGSDTSQSDTAVQTTSTRRSL VSVKATTATTVVQQVTVTSIRSIIPLTTLKSSATSQNLKSATAATVQKAQIPSSSLIV QHTTPNSSILISSSSSSSAPPILDSTAISISSNARSSSSSSTSSPPKQFMQSDWVYWG CVDNINGIPALNTTYATSEALTPELCILACSATQYHFAGLQNGNACFCGSFITSSSIS SDSTLCTSPCSGNDTSICGGSKSMSFYHLNSFDPNNDTSVDNANPGTSSGSLNDYEGS MTMVATLPEFTGTLMEYGDPRFKSNKDGVVFGKVLKVSSALSIYDHALNGGSIFLIAF SVLRILR
I206_01866	MRIFLPLLKSSASSSRWISRQQKDPYVKSRISSKGKDNFSYRSR SSFKLISLINKHPNLLHSNSNSNSNSDNFKVIVDLGAAPGGWTQVISNLSLKFNLDLK IFALDLLNIEPIKNVNIIKGDFLSEKIRNELKIQINNHNNNNNEKEKEQIEIVDTILS DMMSPMTGNRIRDVQLSLQLCQIANLFARNTLKIAKQGEEILKIGNKRIFPGGNLVMK FFAHPDMDEFRSTELDPWFSKVVVEKPKESRAESSEAYWVCLGYKGDPATR
I206_01867	MANASSKRIASANETALKNLELGLLVVNVLSPTIRFLLSLITSR SFIPRKFVIFLHIIFVGGSIITWRWFKTIGTPSRPGSGQVRVGEDLGGKGIVELGWDL IYMTWICTLGSAILGDWIWWLSLLIPAFGAYKLFSTIRPILAMFLPGIFGPKQPRDPA QAQAQAQGGVAAQGQEGDKSESKRQAKLKARMEKGDKRVQQLQVKRSG
I206_01868	MNPTNIRQSARSIRSYSSKPSSSKSITTNYPPKKSNGRTLPSST LRSLISLHHNSIGFLQNSKDIKIGFDNSFKNTRSDPYFKSYNDYISNQLNTFNNSNLN KKQGGLENLIEKSNNNESFGLGGNFGSGFGFGQKNNNNKKIYPAENVQKNFKIRQSQL WSETSTLGQSRDLQFLSERELLLQEALYGTWERGGQGMNKIEPSLDGLLEFIEAKGKT VEEYAEEWKGRDGPKEDIKE
I206_01869	MEKVQFQMEATLPELKDLYEKGLFTKSEINQITKKRTSFETSLI RRNIRKEDFFKYAEYEINLERLRKVRYKKLKYHLNPPPPSASSFSLPRRALYILKRAT GKFPGDLATWLAYVEYASREGMRKVVGKGLNSALQHHPTSPTLYLLQTYYHLHPGSPF PRESIPSTSKLDLPSASTTQKPGFAIEGIDPARTTLLLGLRFVPQSKDLWREYVKLEL GWVEALRRRWALLGIKDEETKLENAEGFDGDTDALKGGEGAFGPEGEDARKAILAGQL VIHALQSALEAIPPNEPPSEEGDGMEFRQSLINMFRQYPSPLRTKCLGVLYEELGEIS RIHTQSRAISAKARLIITTKGLYDREYSPESADIEVGEVVLQGVELVEELGRIGKEIR KSLKVDKDDDSWKEIVGFWLINMIGKFEDNIELTEYLKSIFSTITKPSSRPPASLLIA HLESLSSTRMETARSYAEIYPNNPSIQLYRLQAEIAESTDIASLRKTFEEVARQVTQS GLKDEEKDQVRIIWRLWVDNCSLDEQGWKSILKESLRLDKNVPGIHGDVLGLYINESL KSTKDVKEMLEIVKSYQPTFDTYKIVFTSLDISEIKVEDLKKIFESWRIISRTPYEKA QAALVYSKVLLGRKGQGRAAYDVIETIKREVKESSDIFNLIESEWKILIDTQGEEDED DEMEESD
I206_01870	MSQTHIRRFTRSLVNFSLINSKNELRYYSNIFGFRSWSMGKPSF CSRLSSSAIASSSLLLLPQSSILSRREYNVKSTVKESASNESNMSTINPNTMSSVDQQ SQMKGKGKAKLDDLNLELGIGIWEGQAIFTKEPLSLRRHIQTTPTSKSQLNTSASGSG SIASPISISSASPTPSPKSIPRPRAVPHFTSPLPRTTPIPASQGSGSPSIKLEMKDKP IHPFFSSSRTAPPTNKPRYTAHYTSTEASSTQSSQSRNYSQNSQSDSGIQSQNSSRSP SSLNNRRELDVLAEELGKLNFVPKALKPKSISSINKQNTLVSSSSTRMINGRSKDVPQ EDGMKGLPYFHYGQYSPAPQVVYTSSTEEANDLLSCLKGNVLGFDLEWPPAGVYKMTR PDGSTWEKKIGMTWDPIKKEYIFGQGRTALMQFCDDKLIVLIHLGESMDIPNKAIEIL RSPSIYKMGVQVNGDGRKLLRDFPQHFPSTPPELSLHGLLELSALARGVDPINTGPGS GLISLATLCRTYLGKQLDKDKDVRRGDWFSVLDQKQKDYAANDVYSSLQIYKKLRVMA EENNVTVDLDRYLKKVGSFPTTTSGGAALNTYYGARQIQLGEKIVDVPEGVKPPAPAQ LSALSEYLKSRTIEDIAEERGIKIGTVE
I206_01871	MSSAIQTSSGFSGNNNVFARSGQVTIPVSTIVDVIRLRIPPLPS TVYHLDHDRVTNQRVKTKTDIIYEKHRKSIDDELDFQLREKSDKDFQDFRLSMSWITG KIYEDIHCHNRQWRSAHVESVDGIRFREMTKTINDTTCPKRKVELQNQFDELKEHRAP HPAGGEPLPDNWIYLDDETRETHLTRLREEVGFTQIEPIILRNKKQNEESYNKSNRQE WPAFFRNYANTDYQSYNHDLTGPTAKVNFYRSHIGSEELFQMELLSYSVNSYGKAISD LLSRQTTLTLSGEDKASLALEGLAEVCKSWAHSRLQYKNEAKVNEWTESSYGEFEAQA IKKEGHLPRNGKLDLESGIEKSLKLITNKDGSEHATIRQYEPDTGISSSGGSSSARSK LKALTQKLTASSSSF
I206_01872	MRLTWSAIAPGSSRPDTNIGGSITPSSQFNATASGPAISLCQDP NVVSNSGLVRVFYPYPFPAKKGNPSAYQAQSPSGGYWQHEGDGANQPTLHDYISSAER HAKVDWDNTESCKYTASEELPSSGVFKQNISNYVNSHLIKARGDLMGHYRYEAARHWK QKLHGSADWDEDWQEHLDIYAEQCQKACDSHDTVAPFKQKAASDWATLKMPPLNIEIA TGMKVILDHAESNDSMGITKPWLVDAITKTTIKLNDRCEELKSLVETSSDPGTIAQYP SQVSEDYENAKIEYELVGRLAKIVGVEDV
I206_01873	MPGSTNKHKFSRLFGRKRNNTSQDTDNTSRDLGDPTPVREPNRT TGRLGNPQSINSGTPPNSSNSVALLPPVGHYPNSNSFAIPPLTGYSTDPHQPEQATSS EVQSTEPEATIESRSERRLSVPMKDIYTWREVTGAFEAYIKDMKNIPDEFDKEWYDRD INQELWRQQGKNPEAWRDDIGRIRFELESASFPSSTRYKEERDKHIAVKRSRDLASHI WDTLDIGCRKPEFSPEIAQGALTFFAPILRELIISSTRDRYRLSEADGMWRDDFVRSV RLCNGWWEDGDEESKPVPEERFSHS
I206_01874	MTQQEQQMTNQSCPLEHSDSSEEPESSDCDDTDCSDDELNGFSW PRTYIDYILESDGSARGSTVQEESNDSAALPEEIDSTQTIDIAGARKSREDSSNTQEL KRCIQEYVDTHLRSARDTFRSYIQEAMPDIFLPMEDDVRGVVSNPKHGKILKGKASEC NNLTDSIPEVEREKFRAWKLWENAEWPGPKPPLNIGTAFYMTVLLEDFQCDTDSETID TSEISIAQALDSLRDKVKSVRTAKNRPIRDLEEAFSLAERSWALEGAEKLFHGFGPLE 
I206_01875	MTTLKSFQAEIEAISHEKHIQSIKEAREAHAAAELARHHGKLPS KKDQLQQQQPVIEVKVDEDKDDEKEISIEELIISDESSIDEIQSRLASKLLKGHGEQL ISLGAHPSPQHSYSLSSTSDPIPNVGISLTSAQLDKSIDRLKTACLALKAELNDLYRI DKDETSHGCWMVRLTPRGVEEIMEVRVAVVGNVDAGKSTTLGVLTRGGLDDGRGKARV ALFRHPHEIETGRTSSVGGEILGFSPTGQAVIPTSHTTDTSDVHGHALAVAKREKLGW EEICKRASKVVSFIDLAGHERYFKTTLYGLSGCAPDYVMLMVGGNAGLIGMSKEHLGV ALALNVPIAVCVTKIDMTPPKILEQTINMLTKVLKSPGCRRLIRVNFRRIPVFVNTAQ DAVDCARYLGQPLGSGGRLCPIFMVSNVTGHNLPMLRTFLNCLPSSQSDDKYVVDAPF EFQISDVFSVPFVGTVVSGVITSGTIHANDAVLLGPDSVGQFMPTAVKTIQRKRASVT SGEAGQSVSFALKRIRRTQVRKGMVLIGKTENPPKAVKRFEGMVMVLHHASTIQPKYQ AMMHCGAIRQTVRIVSLDHPSGLIRTGDRAKCVFEFISHTEFLKEGQLILLREAKTKV LGVVTKVLP
I206_01876	MSSTSDRPKRDPLPRSSSLTNIMTLTSLDRYSEDWAGALTLLDV IETFFDSRLDLFNRRLKAQSSKIKSRAVELLPKGLRTPGGGGTSILYLDGDEPPPNNE DKNDGDKVGERDRVGEKYRKEVEREVERIKVKLAAKVTHLSSTWRSAQVVRTKDKISF LFGVMSLAFTCLLYGMAPEYFPLVYTVQSALYLPLRFYTYKKKAWHYFLFGNLCYFVN ILDLLWIWVFPSSTVLFICCYLLTLGPIASAIITWRNSLVFHSLDKIISIFIHIYPTI VLCVIRHNYPNAEERYPGLKDVNNYKWYTMILLSGVPYILWQAAYYKFISLDRKSKIE SGQRQNSFRFLLNDKRGPIGKALQGIKPEHRELWFIFGQLIYSIIFMIPPATLFINSS RASSVFLIVIFAVSAWNGASFYVEVFGRKFERELEKLRKEMELASATGVSLSSTPSTH PSETNLHSPSFTETENTSPQSVYEDGESNFHSRGLDDSPLILPSSQQVKDMEISQYTL DRAAEEIDRGQEEKKDI
I206_01877	MTPSFLRKRDRPHQLNSNNKHKPIRPSLSLPDLTTPLLDISSWE EVPPFQFQLKSPISPNTPLNISNNSNNPNSIDGIKRKMSFNKKPSLIDSSSKGKGQNS FPQFHRPFTPKLINHVNLNFDIINNEDKEDFRKSRIGWSSDHPFSQPIYNYQQAPSSW NKLSQSGIEGSGPSRDSLHRVISRRKGRKKGTVGKLNIAVVGGKGVGKTSFINLLLST LNTVEYPTTTIPLNSTSKLKSYTAISTIDERLLVRIIDTPGLDLRLNDELATKARMRG VGGLIRLLEDRFEVMCEEERKIRRMTGGEEGLIHLVIYLIDAREILHPQSTNGLDIVD WSCVGLFDDDQPAFKSTAENEAYDPSSSAKVSDAEIDIIRKLSKRANVLPVLTHSDSL TISELNKVKFVVRRDLGDKKYDIPGRGFGIFNDFDEITRKSMESTILSETEDQRPPTP DSINPTINPIDEIEEISLELPYHIFLPDHTIFNSKLTEVITTTKTKGSSIITTTTTTS TISNSTSLNDENLEGKKRIYKWGQAEIFNPSHNDFNLLKENILGENSKILIKTTREIL YEAYRTERLLNSKRQTRI
I206_01878	MSDSQSSALSSIRLPTPTSFPFPYPKPYDIQLDLMQVVFRAIED GKIAIVESPTGTGKSLSLLTSTLTWLNQHTKRLTEVYTEQLKEKYMDEKNDLNEPKWV IEHSIKMKLDEMKLIEEARLERFSKAREREKKIRRKMNLNKGLVIKKIKSMNDLDKKI KEIKDENDFLPYENLQNGEEDGLYLSKEVRELMEKYESTRPKAKQEEIEEEDLPKIYY TSRTHTQLRQLTSELLKTSFPLKEDDLDNNAEAGPSTTKGVSLVPLGSRKQLCINDKV RSLAKNGGDERMNEACLDMQKSGKTRCEFLPTKAEEGIMLDARDAVLASVKDIEDIVA IGRKSCVCPYYATRRAVKQSQIVTLPYNLLLQKNAREALNINLKDQIIVIDEAHNLID TLLSIHSTTLTSTTISSAISQLQQYLARFKNRLKSIHSLKIRQVLSLLNGLLSVCQNF IGNDEKGKSKIKHEVLNVNDLMERIGKGNDQLNPLELVEYLKESKLARKISGFSEYIA EQNNAKEEKASRTSSQRHASIASFHIVEAFLLSLVDARDDGRVILSSEDKNGSRTVII KYVLLNPAERFKQIVEEARSVVLAGGTMEPISDFLQQLFPQIPKNQFSTLSCSHVIPK ENLLTQVVCVGPRKTEFGFKFYNRENDLLLTELGSVIQSVIGLVPDGVVVFLPSYAFL DKVKSIWTNSGLMSKLGEKKTLFFEPQTSGDVETTLRDYALAISTVCVSLGGERSKKT GALLFAVVGGKLSEGINFSDSLGRCVIMVGLPFANVGSIELQERMKYIENLPGNSKES SREMYENLCMRAVNQSIGRAIRHANDYATILLVDKRYSTTRIKNKLPKWIGEDIKIQS DFGSVAKNIALFFREKREKEKGMKV
I206_01879	MSALQLNNAVPLRSPSTIKTILPSFDDLLRSLEDSSTSHDSQII PHHHHHHYNHIIHPPYSKHHRPSTPPTPKSISSSSESSHHKSHHENGRKRSNSVPSVS RTHLKSPFRELHLLPQSVEHHTNRIKSLKEGDGEWASYSLNAVTPSSLPYSSTLARST TLSSSKFNWINSNFLNESNTNKREILLTPPPSPPIHSIPLPSSTSSCTCSRSNSNTSQ TNDTKSNNQHFPFSIEYKRTYDAIKHFRENQHEYESDEKKRRRITDNSLTLTRSWHFI GEVGCSLQLNSYNDKKRYSNSNVAENILRDMIRNEDQPRWGVL
I206_01880	MVEAVINPIVQATENVVAAASEFVSGKPSTTEEDDKKIADIPKE DDGHRVYIGNIAYTTTEEEVREFVAPVGGEIKSVQLPTKFGKRPAGYAFVTYTNDTDA NKAVEQLKDKELGGRQVKLELARPAEKVLEIRKLKDEKRKERREAVNAQKAEKAAESA KAAGVPTNGDAKPAEGEEKPKKKKANKPKAKKSRRRIPGEGDEGEAEGDASADGEAPK PASKGRIDVNGAADGEVKEKKKRAPKPQKERQPRLELTGDDSKLMTPKNTIFVANLPF SVTDESLATIFTNLSIKVKSAKVVKGIRKPRAGAARTFKPFRASKGFGFVEIENESEQ NEAVEKVDGTLIEDRKITAKIAKEMKPVEQEEVAEAEA
I206_01881	MSSEHSAGTRSKVDRTVNQLSDVLEKVFDLPHQIKGWASRAAQS ATKNRKKDTKAKGNHSDAASDPYSGQLQPSSDYSSSVGLQHGRHGYGYSTSSHHVYSD RSDPQVTPNPPWSGHPAHYNRGPAYGGPTGYQSHSEQVPSASDFSSPAERYHLDHTGL GDTATTITTPLQADSPSPEHQPSSTQSTRDDDGLGTHEENVSPNGSDRDTRRNHLYSV PYSVGVVNEDSSDRNSWYSFRSAPGEYGSQGPEERGRSSIVFMFL
I206_01882	MRRTLGYSPNVPTILTSQNCVWLQLKTSISMASYHMTSDDNGEP PYVDCFSKEELDASGKLNSTV
I206_01883	MRSSIISLLACVVGITTLLLPLTLGVKEEDFKKCSQSSFCRRLR SIATKQEAAPSGTFTSPYTLASPIAVADGSWKWPLASSLYPEIRFELQVDILAEGDGI ARIRIDEIDTKSQFKRYNETAKWALVDPDPSLSSSAVLKSSSGKSSISYGPSSSKLSL DIQHSPLKIIQLRNGKPEIVFNERSLFHMEHFRNREIEKTAEILSDSEQIVLKGDEQD RSWFEESDVDAFQETWKRWTDSKPKGPEGFGLDLTFPGVKHVYGLPEHASPLSLPDTT GSNSHYSDPYRLFNVDIFEYLADSPMSLYGAIPLLHAHSVDHSVGVLNLVGSDTFVDV RHDSDAVRTHWVSESGIVDVLLLPGPKPQNLFEQYAQMTGPTPLPPQWSTAYHQCRWN YNDEDDVLTVDKKFDEHDIPLDVTWLDIEYAADRKYFEWNQNAFPDPVRMLDAVASKG RKMVAIVDPHVKKSDSYRIYADTRDLDVQVKKSDGSNYDGWCWPGSSIYVDFFNPNSW AWWTKMFDLKTWKESTNALFIWNDMNEPSVFDGPEISMPRDNIHAGGWEHRDVHNING MLFHNQTAQALIARESPAKRPFVLSRSFFAGSQKFGAIWTGDNMGDWEHLAGETAMLL SNNIAGMVFSGADVGGFFGNPTPELLVRWYQAGAFMPFFRAHAHIDTKRREPYLFDEP IRGYLRDIIRLRYQLLPVWYDAFHDASLTGSPIMRPQYAVFPGDEQGFANDDQYYVGD SGLLFKPVVQEGAETAQVYISDNQPYYDYFTNQLYPAKAHQTLTLQTPLSTFPLLIQG GSIVPSRQRVRRSSPLMWQDPYTLTIALDKDGQATGQLYQDDGVGYGYTNGEYIWRSF NFDGKTLKSTPKSAGSSPIEKGIVPYDENNNWAQLIAHVKIEQIIIFGLNAQPKSITS DNVELPFTYSPGVASNGKKEGKSSVLIVKNPGVGVVSDWEILFE
I206_01884	MSIRAISLRRLPRQSSSILRPLLSRSYATPQSPKPSRNAPPPSG LEGLFGGSGKAGTTVAPKPPGSEPPSGPSGPKNPEGGIPSEQLPEDQREPAGSEEPKR HKLSEQIGGKAGKKIGTGGNGGGSGGSGGPSGGPGMPGGFGGMTPNQLLLAALSTYAL WSITQPDDVRTKEITWQEFRNSLLARGLVSSLEVVNRSKVRVHMHSPLNSSQSPQPNA TGSSNLPSPDHGPAPYSFTIGSLEGFESLLISTQDELGIPAAERIPVSYREEISTFQT IMHFAPTLLIAGLLLWMARRGGSAMGGGGSGGGIFGVGKSRAKMFNKDEQVNVRFKDV AGMDEAKEEIMEFVKFLKEPAKYEKLGAKIPRGAILSGPPGTGKTLLAKATAGEAGVP FLSVSGSEFVEMFVGVGPSRVRDLFANAKKNAPCIIFVDEIDAIGKSRGKGGSFGGND ERESTLNQLLVEMDGFGTNEHVVVLAGTNRADVLDNALMRPGRFDRHIAIDRPDIGGR RQIFGVHLGPLTLSTDLKSEKIAEKLALLTPGFSGADIANVCNEAALRAARRGGESVT EDDFDGAIERVIAGLERKSRVLGKDEKKTVAYHEAGHAVCGWYLEHADPLLKVSIIPR GVGALGYAQYLPKERFLFTTQQLLDRMCMTLGGRVSEEIFFGKITTGAQDDLQKITKM AFEVCANYGMNPEIGPISYGGRDQQSEGFQKPFSEATAEALDRAVKKMVVDAHTRTTE LLSKHKSDVEKVAKLLLEKEVITRQDMRMTLGPRPFENKDEMDDYIEAQLDNIHKEKK EQESQDGPTPQLAYKPIDINKVD
I206_01885	MIYDLFQSPSIGQSSTKPINSPIKPDPMPMTIPIQGKYNSKRSK YNNVNQIHSNFPNENHHFHTYSQYQPDLKDDKNREFEYDYHNFIEGNEISENHHGLM
I206_01886	MSYQYHYQQQQQQQQQQQQQQQQQSQSQSHDNPSSLPFDTSNIP LTSTSTNNPPEYPETYLQRLIREKQGGPSNPSSWSCNQYYIHNDYCSYEYNANIDSRD IYYPSQGSEMSAIAALPPQNHIMSNPQHTVQKNSMNYPSQVSIQQAIYPSAPANTQST IQSKASARSSRFQQLLNTKVAAEQRMRELTPQNDVSQDTVMGDEQLKFAQAQMSQQAT NTVFHKEFIGAQYAHEGFQQLSQYQAPVKNTALFPQQSPISYTNDQNPYSSYSSTSPS IPMTHQQAQISRRPVSQTQQPQNHPYTDRAYQGQNLIPDVHSSFDSSQLSSSPMQTKS QLPGQSRSGPYNQNPTYPHAQVNGDSNVGADQSNLTSSPAAPHRQHLLPSQQWMQNAG INGWVTTVAPSRTPSISSNPPQPSPHVQAQVQSQPVPSFAWNVSDTGSAEDIPSFNTR TSFDRTPGLTPSAPFLTANDSTSSLPVTPTSSALYMRAQASNSTPYITVKTEPDTQHL GVPCAHNSHIPSPVATSPSRAYNTSPLLDYGARMFTNMNGSLQLPGPSMYMSSTNGGD PTNLNGLAGGIGGGFGETGGGSGEDWSNGGEGSGSGSGQDGSGSGAGGGHDGNGNGSD QGGNGDDGSGGMRKGKKLTLACHFCRRRKLKCNGAQPKCDNCTKRNETCTWDNNVRRR GPGKATKERREKAAREAISAGLTNSDSLRASLTEDESIHLTSANDIHHHQHNHDSIGE LPIVDALSSVLQDGGVGREGQDFEAQLVELERYNRQQQEQFDQPLHHQQHHQEQGNSY DIGKQDELVRLTEIDPDMPIDPALAALSAVIPSTLAELEQPNSSSNLIEQREKRRSVE VGDSSNGNGVHKKLKLEETTFTR
I206_01887	MSTVPSSSLTSLPNQEKVTQLTQEYINFLKELHKDQLGSRPGST SDTFSDKNTITSVFTRLSIPFKKFANTFTKQDEYKQADKAWQSVRGTAKELLETKRLR SEICKMEEKKLKEFADLYINSLSSSADLARRWSMEEKIKEGLTHEGDKSRHWTEANPW DIIDNINTESFNETELEDVLGFTSSPRSDQIVPFHKWDETRKIPYFIYRPPNPTNWEG DNIETVVALSHKLFRDVFDN
I206_01888	MAPKKRPANVVRSGNAGNSTKPTKDKGKEDIKDDGPPRPPPLFP AGYKSPLTILNEKCQKMGWERPTVETRPNRGSDPPTFTGWVILKKRVSKNIYNLDEVR LQPHTPLEIETSAEARHYAATYALFRFCSHLPMSMTLPPSIRPYWSTLTAEKAAAPAH QSWEYDSDPFAAKKEVEDRQTKKKDKEAVKEAQTQGTISNTTGRGTPVVKEASQGGGG AAWERAPEVKMASSLRETVEATVRKMMQQFPSAVLEASRDATATASTAPSGVNTPSLD LASLQTQLTTLGFRSTHITSALSALSAANARLHSSSSSTKDPLVLSLSILSPLEAAIE WLLLHLPEDDLPPRYRPSSSSADFITGASATSGGKTALVKGWLVDKLVKQAGFPRKAV ETILAEEQRESVVLDLLGRRLCGWEADEDGWGVEEYGSGWSGDENAREERKITREEEI MALEAVLGERYQQISPTESIIVIESENTADTIQLHILFDDASPYPSPQHPTHPPTFFV SSETLPAYIRLYLHAQLLRAFRDPERHDFTSVLESGSGGAVLIMVEYLESTLSEVLET PPDVGEVTKYLVPKVDDIISSKPNAPVKRKQKQNKESYKRKTPTAEEEEAVKKKQKSM FDDPTYAPMLADRKKLPAWKERENITNALESNRVLVVVGETGCGKSTQLPQFILDREI EAGRGASTNIIVTQPRRVAAMGVAARVAQERLEDVDKSPETVGYAIRGERKSSPDTKV LFCTTGVVLRRLGSGDPDLLGVSHVVVDEAHERGVDTDLLICLLRDLLERNKTIKVIL MSATINGEADVIPDYFGGCPSLTIPGFAHPVTDHYLEDVISDIRYRPQPTRYGPRQTE EQKAAIRAEFAKLNLDLDAQRALEILAASERIDYGLVAAIIKHIVDNAKSNAGAILVF MPGVMEIRQCITELQNTSLGPVDIMPLHANLSSAEQRKVFLPTAPRRKIVVATNVAET SVTIPDVIYVVDGGRVKETQYDADNGMQKLVECWTSRASGRQRRGRAGRTQPGQCYKL YTRRTENNSMPRFPIPEILRTPLEALFLQVKAMNEDTDVKEFLSKAIDPPKMDAINAA WQTLQDLGAVESEDHKSRLTALGRHMSMIPVDLRLAKMLVLGTIFKCLDPILTIAAIL SSKPLFTSPMEKRDESKKARESFAWARSDLLTDARAYDACMAVREKGGSHGAVRQFCE QNFISHTTLRDITSLRSDFLSALSSLGFINPSKSELTKFSINSKVDNLVKGVIVGGLY PRIVRISMPKAQFERVQQGSIQKDHEAKEVKMFDQAGRVFIHPSSVLFNESGFKSGYL TYFSKAETSKVFLRDATEVPLYGLLLFGGNITINHWAGGIMIGKDGFVKLRAGTRIGV LCSQLRRLLDAQLSEQIESPHSADMSGHEEVVNAMMALLHRDGLSL
I206_01889	MEQGRIWTVGVLPGDGQHNSNSEIEKLFFDFLSGFRINGQFTYR DALRSALLLHHHTLEVDLQDMIAWNNELAQKIQQQPGEMIPLLESALLRLARQLLHPT NDQTQTQGQSSTAAAESVPDMQVTIRSGMNLLQFRGLNANTLTTLVRLPGIVINASQL SSRATELHLQCKGCRSVKNVKVPSTLGGERAALPRRCDAPAAQGQPKDCPLDPYVILH DRCRFVDQQTIKLQEAPDMVPVGELPRHMMLHAERYLTGRVVPGSRVIATGIYSTFAP SNKNAKTSGAPALRQPYLRVLGIELDTSLASSPGSRVFSPEEEEEFQQLARSEGLYER FANSVAPSIYGNVDIKKAVTCLLMGGSKKILPDGMRLRGDINVLLLGDPGTAKSQLLK FVEKVSPVSVYTSGKGSSAAGLTASVQRDPVSREFYLEGGAMVLADGGVVCIDEFDKM RDEDRVAIHEAMEQQTISIAKAGITTILNSRTSVLAAANPVFGRYDDMKSPGENIDFQ TTILSRFDMIFIVKDEHNELRDRTIAKHVMNIHMNRQNENEAVGEIDIEKMKRYVSYC KSRCAPRLSAEASEMLSSHFVGLRKEVAQVERDNDERSSIPITVRQLEAIIRISESLA KITLSPRVLPHHVEESIRLFKFSTMNAVSIGSGIEGLSRTELNDEIDKIEKELKRRLP IGWTTSYQSLIREFVSSQGYSQHALERCLYVMEKRETIKFTGMKKVVQRIGV
I206_01890	MARSSRSSARPAARPSAPSGGSSQQTRQSSTAAYPAQHAPAQTY PQQHAGAGVQQGKPPGLLAQAASTMGGAVAGSVVGHGISNMLFGSSRPAEQPAQAPVD QSQMVNGQQFGAGATCDIQAKDFTKCLEATNGDMQSCSYYLEALKACQAAARPY
I206_01891	MTSILITVGSTLFPSLTDKILSSEIISILIENGIEKLIIQYGNA NLPFNFKKEINFKNEEKGKGFIKIEEEKLKIELIRFTNDFENLIKNCDFIISHAGSGS ILTTLRMIPPKPLLIVPNESLMDNHQSELAEKMKEQGYSEVSSIDDLQVTLPIFLKSR GKKIKSFPQMNRNRFKNILDDLMGFD
I206_01892	MSSPYTVVATDKAPAAIGPYVQAVKYNGLIYASGAIPLDPISMT VVEGGIEEQTTQVFKNITQVLAASGSSPSSILKTTCFLKSMNDFIPFNKIYSDFFGQT KPARSCVEVSRLPKDVLVEIEFIAVEEK
I206_01893	MPPPHLPLAARSRVIGTHPPSATVSHVLGLVQNGYLDIASRVAV SQIRGHSGVARNASSSIGGSETTGHSLERRTMSQRQNFRTYSASAAQISDHNHHPSDQ STSSSAYHRFQNPSENRRSCKYKSSEKGLSIDKGITKDGKFFRAYDLPDDWSLLPEEF YSRLYNGAFQSASHFSHDFDHYNYETRQLGSIGNLLGVFALFKDTAEYEDWNWLAGKL GRLTEITDNIRVRQRGLTSLIHSVRGYALAYTGQTEEAAQVLGRISYSDYPGYALPTT HSIASLAYAHMEDWESAMKKMAGAIDRGVQRITNNKDNIVVQECDYPAFDFELIKIYQ TAALDFGRNEDLIELVRGASFNTRRFLINSTQHPTPFSRNLTNLLLDSLARISSPVEW WADEFNRDPGTTTRTLGVFLFISLTRNRTKIQEAINLLEIFMVNGTSVPTSAAIRLCS LLMTESTSDAWTLYQRVCQAYPNFTHHALSQAMRLAGQAGWIEEEKRIWDQLSTNYKP TFRDRLTAAEYHAYRGRVADTMSFLETRVGKDFESRPQALQVLFNAYINANNTKGAES VLKQINRLDPQIYPFNALLQLYADQTNVEAAVRLFDELSNHSTLRPDIHSYTALISLF AHRRDPVNAENVLNAMIDAGITPDAIAYAAMLNAEVENGDWIAAMKRYQSLSDDVKSD RSVSSSILKALVLLSSPMEHVMGVFRRISNPNRHAWALVIQSASDASEMEVARELYEE MDDLAKANKGPKPDAYAYSILLHGYMRLGDGQSARAVYDEMLLREVLPSSVTYGMIVK SFAEARGERSLEQAHDFAVNVSKQAKAGHIADRRADKALTNQNIFSPLLVAHGRNGNT ELAQNYFELAQETNGDEQKESVHVYTQMMDVYRRSGDSVKVMEFWNKAFTLACETTSY RKSGNSPESTSDHREVESDNAETPSRSNDNILCIPLSIALDSLSVAGKYFEVKRIWND VKDAGFGFDAGNYNHLAVALARTGDVEGAFMVADQILLKRYEEIKYRKNEAMRESEKL QSVINNGRMDNQNKDEIYPEMEKDEEEYSEFQIIDRPVEPTFGPPNRRHTTHSPKSPF TNEAKSFQVEENGLGLELEMKLLSNWRPSDILWKPSLLTISVLDQAYGQLENAKIKKA WLPLTYNDENENDDNNSSVEEEKEIIEKETKKRTYGIVLPLFGGVPVRNHFTGKPHRK GPTELLKSINRRYSKLVGLIMFHRKKRAARKIRDMQGR
I206_01894	MVELRFGSTDENPPQSASTGTEESSVPTDPKEIKEQADTLVAEG KKAIALKQWEEGVNKYGEALDLMRQLVGEFDAAMAPLLLSYGKALYELAFSQQGVMGK EEPTKEADDATVQGGSEDAKTGKFVFSDDEVQPEEENEAGPSNSNQPPEGDDGDEEEE PEDDYNAAWEVLDVARTIYQKITEGKNDDEAKEDKLNLADCYLALGDVSCETENFPQA VQDYTSALSLQSILLPSSSRILASTHYQLATVLEFTPNKRQEALQYVEKSLSGFKERL TQLKGNGEISEEVKGLNEKEKEKEKEIKDVESLIGDLEVKIEELKSTPQENDLISESI NHLLGQNQFGSTNTTTSNKLKEEEESKPVNDLTSMVKKKKPKTIKPQQSEIGVQQVTE QVQSGVKQLIEDATEQSQAILNDANKALENGKAKFEQITGVSIQNVGEGLKRDNENEE NPEERSSKKAKTE
I206_01895	MRLSTLLLPLFSLLPIAFAADKQHWADIASKSKDGIIKLDSASY EEILAPDREYSVSVVLTALPAQFKCQPCHDFDPSFHQVAASWRRKAKHVRDGHFFAQL DFSDGQAVYQQLGLSSAPTVMFHPALAGPNKSNKLSVITYELNRNGLTAPPLHSFLNN LTPEGFKFYKPINPINYIAIPISILILGISIYSMRKIIIPLIQSRIIWGTFSLILILT FTSGYMWNKIKNAPYVAAGPNGQISWIASGYSNQLGLESQVVGGIYGILAFSIIALTL LIPSQSSPSKQRIGVYLWLAMLIVVFSLLIKLFRMKNGGYPFALLF
I206_01896	MSLADSLLADLDGLSDDEEDRKPSISPEREQPIAGPSTSKGFGS MLPPSLPFKSKRSASEMDLDGSDDIKVKEEPLDDDDFDGMTLENGVSAVGYVPEGGVR PADELDADEVNQTDLTAVEDVSKVARLISGRKLKEVLSDIDKYTKSPTDMSNSNSLEE NPEYHLVVTANNMSVEVDNENLLVHKFIRDHYAPRFPELEQLITDPWTYIAAVQAIGN SDDLTKCTFPPSLPAATILSITLTATSTRGRKLSPGEWKTVENAIEVAGELRIAREKI FSYVESRMSSVAPNLSAIIGTGIAAKLLGLAGGLHSFSRQPSCNIMLFGAMKKSLSNT HLSAASQQRHTGFIFQSNLVQSAQPEDRRKAQRAVSAKCTLAARIDASKGSRDGSYGK KCLIELTKKIEKMSEPPPNKMTKALPIPQETNRKKRGGKRARKAKEAYAQTELRKLQN RMEFGKAEEEIGIDDETVGLGMIGSGSGRVRGEVADSRSKAKLSRANKLRTQLLGRSA VSNDAKSGMSTSLSFTPVQGIELVTPSLSAAAKVKAANERWFAGGTFTHIKKGGSNIP GQN
I206_01897	MIRPRTLPLRRYTFLRSPPLSAPRLSLSPSIPSRIALSARYNST NPPISPNTPSPSSVPAPRQLSSFYISNVLPLKITYWDSRPSLANLIREESLLERIHDI TQDINLFDFKLESLEISRKDGGVFLHFSYLPPAINSSENGEIGNDKEKDIIGSQITGA PASSSSSPGKLFIEALEKSAKDHGGWPSWLGDWYVSQKSNLLQNTSIVPGHALYSVSD HEGLIKGDESEKKDLEVNTGMGRVWIVKGRQWTEDMNRFPTHRLRVEFDGPDVSQEML YTLFRPYGRIADIQPPSPVPAGSLRFATVTFSRLSPAAIAINCLHGFSTPTNTADFTL KTSGAATSSAIPKSRLRIYYERPLKAHAIRDWISAHPRIALPVIAFLIGTLSYTFFDP IRAFFIRSKLEGVWDIEQYSLIKALRQRFVLPTSFGFLSASSASTGESEEAIGKDAWQ DRVEAEKDVERWLSEYPSTFITITGPPGSGKVSLVSRVLKQQAKPALVIDCAEIAKAK NDKGLLDAMADQTGYYPVFSFMSSISGLIDLAAVGLIGQKAGFSTPVDQQLRQMLEIV GGALKDVSTHAQQEHQQEAQHLKDDAANAIERERRRRLIARGGWHDGRMDCLAGNGVM SELGVGDEPYLEGDLDTAPPPLMDDIAPINGEAVPPTSASLPIPQVASPEEIAARAAQ QAANADLDAESEEIKSLPIVVLKNFAQKTAKGDLWTVLSEWGASLVENKVAHVIIVTE GATATKALTKALPAKPLNNIGLSDADESNALSYVKDKLKSFQHHKDQVEIQREKIENT NAVFSLSIDDSAQIAKLGGRMVDLETLVYKVRTGTSLKDAVDDIILRNTVELRKAAFG DDSEDAKSLPWNRSQAWKVVKELASKGEISYAQLLQDFPFKGAEQALKALEEHELVSV SYIDGRASKVRPGKPVFRYAFEALVNDPIFKASNQIEYNTTLINKAENDIKTYENELN SLKGIISDGGSEALGVENKNNWLGFTNGNSAIKERSKWLLDKMLKNINKTGKLEKENE EMMKILSSGTA
I206_01898	MFIAPYIVLLPLLPFAVTASNKRTSEASSNGSDWSTSSDESNWS DSTYSSGSSSPYDSGTSWSSGDSSDYGNSYSSSGEWDSGSGQATTIKHETTLTTQLPY ETPKYGSGSQWGGDLQSCLNMCQTQLGGGLTMSVTATSTAAVESTSASKTESGSGSGT THTIIVAPTKGVLRFVPFAIEGKAGDSVEFVWGAGPHSATLSDGQNVCNKSTTENAFD SGKLNATATFSTTIKDSLPQFHYCTVGNHCTSGMFGIINPPNNSTPPVTAANSSSSIS IEASKTTSTKPTSTAGDGKGIGGCESIDCWVSSWEASGASPKATAAAVKQACQGTNGS WAWGGEWDMSTLICGDIKQDTIVENILYSRLMIAMNPTMLIAGSPMNNFTAPPPLNEF VAAAALTTDNSTSSATISAGATIASGASTPSTGLDSTAPKEAASAGAGTSGSCEFGNK LAVQRGNG
I206_01899	MMRNALLRSTATASAAVASSSIRPAIRFASRPVILNAQSNIQQG QRRMYHEKVIDHYENPRNVGNLPKGDQDVGTGLVGAPACGDVMKLQIRVGEDGVISEV KFKTFGCGSAIASSSYMTERVKGMTLEQAGAVKNTEIAKELCLPPVKLHCSLLAEDAI KSAIKDYQTKRAKRLSTANSPPPSLGSSPQVATA
I206_01900	MSIIPDTQSQLKPHQSLPAWHSYEEEDNQNSCSDSESSSSYSSS NNLITPGISQASSSSITSSPSYSISNHLPKFDLRSNELTPCKSINGLGFEINNLSINT DFSIERESLDETEEIFENLDNNLIKSELPCPIGDFQTDNLLSIPPPLPPQQQQQQQNL NNPIILRYHDESVEPLNQHYIPERCSTPIYNESNFSNVLFNFPNTNALAKITKENWLK RKIAFVTGITGQDGSYLTELLLSKGYTVHGLIRRSSSFNTSRLQHLWNDQHSKTPNKL FLHYGDLTDSANLVSVISKTQPSEVYNLAAQSHVKVSFEMAEYTGDVDGLGTLRLLEA IRTVGLEKLTRFYQASTSELYGKVKTTPQNEETPFHPRSPYGVAKLYAYWMTVNYRES YGMFASNGILFNHESPRRGRTFVSRKITRAVAEIYLGKQDCMWMGNLDAKRDWGHAKD YVEGMWRMLQHDKADDFVLATGETHTVRELINLSFSILSIPLKWIGKGINEYAIRLDT IPPKIVVKIDQRYFRPAEVDLLLGNPTKAENQLNWKRQWNFEELVKDMVESDIQSARG LIVDHN
I206_01901	MSAIASPQPPQTPYAPSSLSASTSTTASDTRYTPTSMPSPGPGP SSASGSSKWKNVFKIGRNGASIGKGKEGRPAIPEAERIHLGEPLHLPQSSKFPTRIPQ IRSQTDPYPPTQAGIIEEVDSIRPKDPSQEGAISNDSLHINPESNLVTPTSDEQSRPY SSVTDGSGFTADNSSRSSGSRNLTGVVNGHGITTPFGNPASPMKSPGIGLSGFKSRFF SSPNPHPIDTSSSRGKSKADKYKGLGKESSSFTNSHGHKVSVGSSSISSTTGRSPSSS TSPKTPNRTKGRDATGGQATPSDGTGLTTGKTGPGSGSATARFLRRVVSAPNTKALFA PNNLFNDAPDVPPLPATAKDKTAQQPISPVVVVGNGEIDLTASPPRAQNGMSSPFTTP TSSLSAKQSSGPVSGLSATGTRSTRAHTTSAAAQFKNIRDLQAQLGVGSSPGSPSGDP HHKQVFRRTYSSNSIKTKSVEVTPSSFQKIKLLGKGDVGKVYLVKEKKTDKLFAMKVL SKKEMIKRNKIKRALAEQEILATANHPFIVTLFHSFQSQDYLFFVLDYCMGGEFFRAL QTRPGKCLSEEHAKFYAAEVTAALEYLHLNGYIYRDLKPENILLHQSGHIMLSDFDLS KQSGEAGGAPAGIKHGGPNGTILVDTRSCIADFRTNSFVGTEEYIAPEVIKGHSHSSA VDWWTLGILVYEMIFATTPFKGPNRNATFANVMKNDVFYPESPPVSSSCKSFIRKLLI KDENKRLGSGSGASEVKSHKWFGTVNWGLLRNMTPPIIPAESNGIDAINFRTLRESKS VDFDRDDVDVIHAKAGNPSVFGNSTPGMLTPKEITTSAETPSSSSISGSVTSNGNGGM NSKDKEKNPFEEFSSVTRHVGEW
I206_01902	MEHSQYLSDQGHGVSSSEKRKEEGSLYDYTLPPIHRTESGSSSN HYNDQADLQPNFSSSHEDQIDSSTSVSGMKRSASEASGPKPKIKKANNTDNSAEENNT PRRAAQACLRCRKQKLKCTGGWPCNRCTKTKNICDFGRPGLGPSSGGSSLTDNLIEST GGSGGINAANSRLEQLESSVANLLAGLAGSNGSNIGSNKKVNYPNNEMLHTFDPVKKR QENLQTETGAPYPPHLHPKNSKDHQTIPPPTHIKTLDPPRKVNPNQISPFSLAQQQQQ QQQQQQSQPANSMSPDDHHYNPPSVIAQNHVRFTSSPSNMNFIQQSPSGISSNGAGPS PASANTVNTGVSSVDLMKEPLSKKRKGKGQKAEERLAAVTEGDFAEPPFKALTYQPSI WDNREQSRRNSPQLSQSTSRDEIPPGTYERRFMNDRDDPINTELVGMQMAETLFGFFI DHCHPFLPIVNVALDEAFNTIRQSPFLISAILAVASRFYIKYSAKSPGSMPILDPIIP SRLANLAEAHLSNTLLRKQHALSDVQAVLLLSAWGLQSGGRGPDSWVVTGHAARIARR LGVHKLLGQAAEIARLTRPGTVEWERLEQFMPQWRTWLCWFCFDGFLSLGFGRPQSTQ FETVDEQGFLQLRLNQALPRPGSTPSISLYGDVYIAGQVQLTQIGRDLINWGEMLADP RSALWADPKRADMFHDKELNVRTMFKDLNHDLDEWCKLWVWSGSPYSLYLGSSARIAR LQADHMRLCLNSFALKSGPEEDEVVAQCLKKALNAAMSTIQTHHESSQTDLALSFATD YLTITLAQAAVFLVRIAKSSPAILSIVNIELSVISYYLKMGVELLEVGELSETRLSTY LSKTITDIARAAELNVVGFRERDRLYAQTLQEADNEEGIDRPQSSSGLGNLQNSTIYE QNSNTIGSNNNNNNELTNFEMDSFLQFENQLDLGYLLGLPGDGSAILPNNPNNPNSNS NLNLNLNSITTNPIISNNQNQNQNSNTLTFGGMFDGIGGTNQNSSTSTTTTGFNLNNS NNGYNAEFAFGMNGIGNGNFDFGLNPNWFISGENENDQRQQSDGGGGGGES
I206_01903	MPRVTKKQKEAGKSAKPKPKVRSGGNPGGGKYGGGFQVKPSRAP KDAYMGKAQKIKADLIQRAKMKKSYAKVLKAEGMDSGRLGDGTRRRNERPKQQVSSES DDDSDGANEEQKRLKDRAGPAPGSSSSSSSNHKSKYDKGESSKLNRSKPKFPIQSSDK SPHHKVSPPKKVRALSPSPSPSAPSEQGEKKSYRDVKKEAFSKFHRPRDTGIGRGGRK GQPNMGARMGALLEKIKGNVK
I206_01904	MSVHEKWEGGDPFAPVDDTPSVEKTVGSKNDKIHIRLQQRNGRK TLTTVQGVPKKFDHSKILKAMKKEFACNGTVVKPEQVEGGEDDSPAPVGVKPNMGDVL QLQGDQRVAVKQFLIDAGIVSQKEAKDLIVV
I206_01905	MANQSIPVLVAETNDVRPLARLLRGIGLKHNAVMEISEAGLAVT VEEVRTLCAIAWIPTGLFTSFTYNPPSTPATFELSLDALLQCLNIFGNAGASSGASTS TAQSRSKRRWAGEGEVGVDEENEEYVSSRKGKEKRTGMRMEWGGPGYDLSVLLSDDAR GPTTTCELRTLEPEELMNAQFNQDDMALYLIMKSEWFRNALFDLPPSCTRITLLATPP KQAHPPKEPTATISSEGRHNHRAEVGQFKILAEGDFGITELDYPNDKEVMDKFECAEQ VKFSYNSTHFSLLHRALHQSVKICLQVETSGFLCVQVMMPLSDNVEIGGHSGILEFKM HALEEND
I206_01906	MRSTNEQQPLLSPSATTTVRDEWQHLNPGRKRLIVATTMLTSFL ANLDLTIVATCVPTISSELYTSDQEAWIGTAYLWSSVTFTPLYGRLSDIIGRRTAYLQ ALTLFTLGTFCCGIAPNFTLLVIARFVAGMGGGGMSTVASVLLADIFTPAERGFYQGL AFAFLGAGIGLGGPVGGYLTQWFGWRAAFYAQIPIAIVAIIQIILVVPHHVERLVSWN KLKEVDFGGALTLLISVGALLQLLSRNAETQSITQSPIDISMAITFPLFLILFVYIEL KVAAKPVLPISLLKKRTPLCVGIISGLIAAVNFNMIYHLPEFFEIVFEESVAKAGAHL LPSCVALTISAPLMGYLCKKTLKYKWLTVVNCAGPVIAMSFFITMNDQSSWAVKWLAI IPMGAGFSGLLVLTLSAVLNSVEKHETATASGYVFVWRSLGQVFGVGVSGALMQITLY RELHERFDSEDIINKLRHASKAIEDLPEAWQQAQARKAYEISLRKTFVFSLISAVLVL VVSFIIPDDKLKAQREPVEQQMPDSSDPRAAGEGSA
I206_01907	MEGSDSHSIQKTTFGDVVVSRKFQKLTKNWSLHLCSDGQDSYYV EGVTILETDVPLLAERLGTLTHENWYHISKSGSADEQETTNESDSDISDSSSATAPNE QETIDEGAQNIPASSSAVVPSEQKIIDKGDRDIRASNSDDHCSLESFQTECGHHMNLL ETKENRFDIPFEDFKTNYQDKLWRHHRIPISEQTYEKAKEIVDIRLASSREDIFRLSN KYFSSRGYGREGGNSNIEIKDDETIAQCELWLTDPSEKHLAFWAKHGINKDNAQIDKV DTSKFCLT
I206_01908	MATLPHSAGSDSSVHRLTNDAKPEKFSEIGHVEDVEASHRQHVV ARNRNISAKIQNPLYGLSKENLFGLADQFCNDKGFDDKKDLFQRAALLSQKPDDFESI PELTEDDRFWLRRERTNKWDQTRALYFLVIVCSIGSAIQGWDNTGANGANLSFPVEFG IAHNTWLVGMVNAAPSITVGAISAMLTDPINHYIGRRGTIFVTGLFCVFPVLGQAFTR NWQELFACRVLLGFGMGMKITTIPIMTAETAPARIRGALVMSFQLWVAFGIFFGFCSN LVFMNVGKNAWRIQLAAAFVPAVPLLLLIWFCPESPRWLMKKDRYPKAFRSLMRLRKS EIQAARDMFYAHCQLEEEREAFKGTTYFSRFGDLFTKPRLRRANLASWVVMISQQLCG INIMSFYSSTIFAEAGYNPKQCLLASFGFGLVNTIFAIPAIYTIDTFGRRNLLLFTFP CMSLCLFWAGSMFFMDTGNAARVPILALAIYLFTAFYSPGMGPVPFVYAAESYPLTHR EIGMSWAVQQNNMWAAVLGLTFPSMLAAMKPFGAFYFYAGTNILAWVLIFFFTPETAR RTLEELDYVFAVPVATFAKYQSTVWLPWFIKRWVFWQKNAHLEPLYKLEGVAGERTEV ERFH
I206_01909	MISKPEPTLLEQIEAAGCHVDTDSMNPEIAKNLPIKAHDMTSNH LLVDEQLHNPENKELIEKTIRELKGKDWLEVHTVLSVRFAKRVLPYIQGRVLVQASPR NAYNRDAIEAHVRAYDKVFQAEGIPRERFMVKVPSTSAGVQAAAVLNAEGIRTLGTSL FSLPQAIACSQAGMHSISPYFNECPAHVDPSIWPDVEDVAKQHPMSARMRHIRDTYDR LAKETGKTQPLIKAASCVTARECMAMLELGADSNTILSDQMSDLCSTSKLPVYKKGAE HQVRFKDQLNNTDIYWENWQKVDSTVSKERLKELSNLDPLSKIMSKDWKIASTDINYL EDGVLDKYNKEDEITSIRLKDALELFYNGEENSRKEIQRLQAIYV
I206_01910	MPFIPSSLVRSTIYTARNQIPTTIKPNQAIKLSGIGLPFAGFFS TSSTNKMPSDNFPVKKSEDEWHAVLSPEQFRVIREKGTERPGSHAYDKKNDAGVYHCA GCDAPLYTSKTKFNSGCGWPAFYDTIPGAVIRHEDRSMFMTRTEIVCANCGGHLGHVF KGEGFPNPIDERHCVNGISLNFKNE
I206_01911	MKSLLKTIATRSNSTSSTDNNSSNPLDNTERSASGAPILPPVAN GISILPISHHTPSIPIPNLRRSSEPISVERWARPSLPSYAVSRSPNNRDLDISNAPLP DYQDVVGTSSSPQTQSFENRRLLNVATRDYFGTSPLDRGTPTVEESHEESDETSLEDE IGEEDEDGTGEETGLGVEEDDDDPLIPRRRILDLQSVRRNTFSVPSSSYPRPEPSTLS SSLGQAATSLTQGYIDLPIPSSEPPLYSPSLGRDELRLISAVHLSADHPASAYFNAIA QSPPPPAGPLPSLSNSTGSPSDVSTGNKKLRLTLTRGGRRMNVNGTGPLYIKTGREDW IEGKIEVGKVDRAVGLEVAIIGMVNVSYYVRGQYTVLDTLPLARNRLQLFPPLADTST FTKDGQPMIPQNTSFRFSLQMPNAHYKDSNSELPPSCDLQQVGMQANVEYILRVKLSR KGLRFNETVSVPIIYEPRSYIPPRRIRALTIDDPFNPGWRTVELNGGRPKSKSSLPNP VGTSGPGINVTVLLPSPPILLIPPSGELPTFPFHLHFHSTLPHVLSTFSNPEESKFVV RLTRVTIFRLGIEKEIRRIEIPTKSEIWQEGGEHIALGLEGIVNEMQRRDLAGVNTNS GERRSPVVEGESTSGELSSSVPNNNMGTAVESGGGGGSLRRFMSAGSTEGSGTSSSPR RKSFLSDRRGSFNLRRKSTSTSINSTSTTTPTTTTSTTTLTNQVSSLAPVPPTIAEDE NSETTPMISSDRPTTVPVDMTSTDVHLKGSITLKPFNTSNSGNDLIRRLLIQSFVIPE MTLTYVLEVGIEPKKGSVKENFTHVWGGGVIEVVLGRRT
I206_01912	MSSPLSKELRKEHTARSIPIRKDDEVLIVRGKYKGREGKVTQVY RKKWVIHVDRVHIEKSNAATVPVGISPSNVVITSLKLDADRKAILSRKGGKSQEKGDV EMKSE
I206_01913	MSGDNTPIPPPISNPSQPKRSSPLNNNEIENSPIYQALSSRLSR TENALSNLSNQVNQLTNIVKNLSSLPPNVNLNSQNNTTSPTRPNKNNTSVFAPFESEL PLPSTIGIKQNGTLPKSPFIPTTTPQPSSINTNTTINTNGNSEILALTQQISALSTSV AQLQRLQSQNQVNSRQGSSSSNSNLPTLSSLGNNNNNNNTNNNENRQLNLGIPNRPLH QGIENLINSNGPMTTPSNSSHNNQSSQGGPFGGINRPNINRSFSSGIIGQPPISLPNS SIGNVNDFSNSNSNSARLNGHPHTPSGLGPGPREWPGNLNTTTQSPGPGQVSGQPIQI PIGPTTPGGSGLAAPGAGIVINKWEHLNLKVDLLRSISKYGIGPPNKIQTRVLPFMIK GSDIIAQAPPTTERIISYVIPALHLVQNLPPPPSSYNGPAVIIITTTVDQAMQCHKLV RGVGGPLGIRSGVAAGAAGSSGLQNEIANFQRDAIHILIGTPAKIAEVMTSRAGLGGS ECRLLILDEVDQLIARNLYDNVLNVAKLLPAPRRGAGGSSMTGPLTPSGPIAPFSPGL TSPYDAGRDSPFNPASKTPFPAQGSRFGAPVNPGTSAVGGPTAPSTNSGVGNGIERQT CLFSNTIPTDVINFSQSLNVRDPVRVLVRREGGTNSQESVSSVTPGINLKHTYVYLTI TGSAQVAGQQSGNTDGGPGTIGSGRNTSGHNEEQSKAKEFKLDMLVKMLEDYPLWQAI IHVGTYSMLEAVVLRLQSRKWETLYLTPEMPPNQKKAILQQWRISLSGNGPRFLVVFD VNIKPPEVPWSPLVINFDLPRSVEGYAHRAAAAVPPATRQGPQVNGVIVSFVQAAGGD VEMLRSTECAYRFKSAEIPTVFHDLFNH
I206_01914	MSVYVGESRGELLAWLNELLEPLQITKVEQCGTGSVYCQIIDSI YGDLPMNKVKFNAKMEYEYLDNFKILQKAFKLHRIEKPIPVDKLSKCKMQDNLEFLQW MKKFWDTESGGIAYNAEARAGRTITSAPPPTSRTTSSSARSTFSRPGVGVAGGSRQVS SASAAQVAQMQARVAEIEAHSDSLLKERDFYFDKLRNIELIVQERTAIEGITQEESEV MAKIQEILYATIEGFEVPEGEGEEAQLVEEEETF
I206_01915	MLPPRIPPNNPIQVQKNGMIHPDDAIRQTDDDASSSRASAISLG YLEDPFSKLLYKSNLSIPNSGISNNRKPPLINIGTHHRTIGLDKIINEFLNSGNKNEK KQIVSLGAGSDTRFWRLMSQSNSTKISKYVEIDFPHLTSPKAQRISRFKSLQFALSSN SSYIEYENENENSSNSINKIFEISKGGTKLNSELYTLLPIDLRTSSSSSSSSTSTKTT TIKEILSEELLPILNFNLPTLFLAECLFPYLNPKESENIISWFGENFNKCLGLIYEMI GINDSFGKVMKRNLSSRNLSLPGSEPFPTPKSQADRFLNSNLGKGVFTSSQVKTLWQI REEIIDQEELQRQVLFLFISLMSIYVISKLEILDEIEELKLVLEHYVIAWGVKGECLQ NVGI
I206_01916	MRPPLSQSRPYVSPDPSPLDDGFDQNSKYSQGQLSISPTSPTGL GPTSTPMTIPQPRQSHHQFPVLHSRLPDGRLLRHKYFMNPPQYPSGPAPTHPLSFWQH DQNNDPWLNPMMLPQNNKSRSSKKPKKVEGKQPTFLTRLFGILNQPEYQHIIRWDETG EAIIIENPEELAEKILPVVYRQSRFASFSRQLNIYGFNRKLSLRHVERGICDPDASTW SHPFLNKHSSKAEILAFKRRVPPRPTQAQRRRMILQEGGHSPTSSEQSMDFRSSPDAY QHHLLPDVDEDRPIQFTHPNGSFQPHETHQSSFQAPPPEYYAYRPRSPTAHEFDYSCP VESQAIPSLTHSKPFGSSDRSLYRLDSSSMFDDRGHLMGGPQSAPPITQSFPVPIQVT QQHIRTRSVQGEPPSAMLYSPLSPFNPSSWLNSEVHEPQAKKEDSISPTCLPRRDFDH FGPSQSIFGNEDRSTWQRNRLSTGIPDFKLNNIIDGVHDNSPESLPNDFGSTDSLHLP QIQGQGHTRLKGSLGLDTSSNLDKSQSFPALSPDSPNTISSGIYQGYTFPVRSEFPQA LPQARSYDTPPILSKPLTFTPTKLERRLTIPFTPYSPKNKPHVLNGGVLRTISSRRGS PAPIQGLGLKFGEEEHEEVAKGNEALPSASIKFEDPFEDILSGDLVEN
I206_01917	MSENITDIKISKNLNELSELIVQKPWILASSKGDQDVSLKALKA TKDIFDLGISLEQISHSHLHPFLLSILEPPSINTRSSSNKKLKNKNELVEEEKEVDVE SFLPFTPLSELTIEGLDPEQVWEQLELRTEGISKVIKEVGSGENNEIEEQDELQDNES ETDSNESMDLEEFRQMLIESGEEEAINMDDEQLRELMDEMDDEDSDEDDDDENEDSED EDEDEDEVDENDIDLDEDGISGSEEEEEVGLEEEDEDISIDEDENEGEDGDEKDDDED EEEGLEDEDDDDESALFGAGPSQPRKGKKAHPTLDDDFFSIDDFNRQTEELEAGRTTS GRLGGDEDDEEDLQDVGDLMLSGAGDEEEIMYSDFFEKPRGLPQPAPKGKGKFKEPKA RGKGKGKSVKFDEDDMMEQLEDAEDDDENAAYDTMGRLKGDLFDSDEEEDAEEKNLSN HEKRQLALAKQIAELESEAIGPKDWTLLGEATSKARPENSLLEENLDFEQVQKVVPVI TDDSVKSLEEIIKTRILDNNFDSPIRVRAYEPTPYLPSRYFELQDTQSNKSLAQIYEE EYQAASSGNKVKDPRDEKLKKAHEEIDGLWNEICYKLDALSSLNFVPKAPKAQITTIS DLPTTSMETALPSNMNTSTMLAPQELFKAPTSSSLVARSELTSEEAQKARQKNRKAKQ AEQKKLGSMADLYGNNKKRKSVREEKDEALKGLVKSGKGVTVIGKGGKEVNKAQKRAG EGVENRESGKRLKLPRSVSRSSSSPSPPPESYLSPRSSPLPFGGGGTGGNGRGPSRKS FTNSSLKNNNNNNNYKTIRSSSKGGGSNTERLIPKFDKGKSREIEEQVLDLDLDLDNE LNEGFSTQYGASASSPSWISAESSHEYLDDFGGEQTEKENKERKRTAERLEVDRIASS SPAPPLNRSIPGRRTSKEPHNHPTSPTFTLLPMMLGYRIPLWTVNGRFKPRTLDLRVN ITRRRCEDAILLAAVILGVWKLGYEWGEKALAGEISLLVGLSILYTTLRFRPIRQKLP SPQPSTNGRPHSPQFVPTSLNPNNVRERIGRNSNAGPNSTLPALSPGRDDRRASTIDQ DSQNGIGARGCLWGTEPREYRESLDDGIFFAIFLGPLVASALLHAALTQLSVNPHSPL PGDWNIEFPLVLPSTPVRKLSGNSGILLPSHADTIKALSALATSRRNLVQLFTLCGFV LLVHLVRSLHLEIKQSKQSVSCFLPNSPHETSVSLERENSDIHSKFANQQQSQNNTSG TYWLRLGEWKRTKSVVGFSFLVTGCCIIVKIVTAIIGRGVWSDMSPSDIVIATLFYQF SLYVCVRLARRGFTLGELAVVCNAATALFMEVVNLTRMKIVWLQTPYIKTYRLPTPLL TFQLALIPGSLLAGFLLSPLLYLSRHLAQKPAHRLRFPHEKPVHRRLLALGFYAGSAL VCGGLVGLWTQWLLGGRNPFVWVVYWFFEGKYTWTRPALISYWGGLAMISVAGWNRQL SRARKHKRYTVPGSTNKIEQPISSSTHRDHSSGTNSVIVGANGDTISGVASSMMDAAD QRMPTLSVNARRKFFHALAVVMFIPGIAVDPAFTHLSFSVAFAAFNFAEYIRYFALWP FGVSVHLFLNEFLDHKDSGTAILSHFYLLAGCACPLWLEGPSEILCYFGVLSLGIGDA LASIVGKKIGKLRWTSCSGKTVEGSTAFLLSMLACSCLLWVFGLVDPFKPLPYIVTTT LSTLLEAFSDQNDNLILPMYGWALGTLLGV
I206_01918	MIRSISGSSSSTNPSTSFAALGFTPNDLETPSAVSEKLAAASAL APTTTTISKPSTSYSQSPRRAFSLPSKIKLPFSSPRLTSSPVLGLGSPMIPLHSPNNR SSARRFSYGLKSRVHHYALPLSVAGFFTLALFVWMSINPSNEVSRFNSSSRLQLYGRS RFSEEEHEHKVKINRLNPLPGSGVSEGYEEDETGIKFLVMDEEELIAEDDLFWDSYKD PEPLSREEQKLADEMKAHKADVIKQDKLQSLRALVWWLAEGGILPNNWEVPTKAYLKK IGGRGMERLLEDIDGGEEDDEIFDNGWAEFANKRYRVVIFSKTHCPYSKKAKSIFGEY HISPAPFIIELDQRSDMDKIQTLLQRITGRRTVPNVLLDFASIGGSDDVTLLHSEGGL QRRLEDMEVLPFARRRKSATAPNPIIEPIEAIKPEHEIEGGAEETAGMPQEDEIEVIG NAELKRQVRSLVEETTDSSSDESEIEEDIISTPKLQKKDLLQEFVNRDSELKITNAER LYVKRLAPGTGILELTDPQKRGSWKEDGNLI
I206_01919	MSFRNTFTIAQRINSTSISNLRPFSFRSIHLTSSPSSSLKLVNS SFFTSRIQPSTSDPTSMLIRRNFGSSPKGLIRSSYFPKGSGYEYGGSGGSGGGNWFSR LRSRIDRVPTMTLIYGLIGINGGVFLLWQYAISSAQRFRDPTLFFFLQKNFILNEVNV FSGRVWTLVTSAFSHMSGSHIFVNCLGLYFLAPAAAGLMGSSAFLGLYLGAGIFSSLT SLTYHRMKSDRWMGSEGASGAIYACLSFYGAMFPQSTVLMFFVIPMPVWVAISGIFAW DFYGAIFRPNSGTDSAGHLGGIVYGLGAAMAMRRGGFLRMLSGKGRRW
I206_01920	MSFPKVYTVNGPSSTSSTSLPSWVAIKTKSKGGPNKKKRTKTQH STTDLELIQDFTFPGSSIKIKTTEDGQHAIATGTYKPMIKVWDLENLTVKFERVTDAE NVDFVILSTDWTKTLHLQRDRSLALHTQGGLHHSVRLPVYGRSLAYHSPSADAIIGCT GTDVYRFNLEEGRYMSPITIAKGWEDGRESEVEGVNVVDVNSRHGLWSFGLDGGGGVV EFWDPRSRNALTRLCLPSSTLLPAQNFDISSLAPVQKLSITALSSHPTDGLSFAVGTS TGHTLLYDLRSPTPFAVKDQGYGEAIKRVDWLRGGGSQEDAGRVISADSKVIKVWDKA DPSNNHLSLHPPASLVDLHAVPQSGVLMVACDSPQLSSYYIPEIGPAPKWASFLDSVT EEMAEDYSGLGKSAYQDFKFVDRAELDTLGLTHLIGTPTLKPYMHGYFLSLKLYTTAR LIANPQSYAEYRDKMVNEKLRAKTESRIRARKDQPKVNKALAERIRRTEERERLKKSK RTKGEDENDEEDEEAESEAEAEDGEQGGLLADPRFKEIFENPEFEVDEESREFALLNP ATANNNAKRKTAVEDEEEESDRSSSGLEDEDESDEDEEDDSEGSDSDDGDLGQYDPRK LKSTDPKRVLPTIRSHPRLVVGESSKTSGPSKQSTFGQRLKNSSNDHNGNNNNNNGFE NDKSILAMRKSADGGMEMSFIPSSKSTKSGKANDDDDEQDEYNGGTRRKDRKIEKFGN GLEKGGEESDNDDDLQDRSGRTKRRHPGRSASKNAFRKR
I206_01921	MSSKEVCEDCDPSHNHSENQIQPASASTMAHKSTKTQPIEIDEN QFKPPVPIDPPSKAKHATEFTIHRPPTPENKIERNDDNDTNDNKEKGDLTPPEEQKQN HHHHQQQQQQQQHEEEQFISPKLNEFKPNLIIEFCDRCRWAPRATWIQTELFLTFPSP LIRTITLIPLNSPETGGRFRVWVDIGLGKGDELVWDRKTEGGFPELKVLKQRIRNLIQ PDLNLGHSDVNGKQDK
I206_01922	MENVKALIFDCYGTLIDWEQGSYDALQSLFNQKTCPSPEKVFKS LGKIKSNLQAGDKTMLYPIVLKEAYKLLCAELRLYYDEESAEEFALSVGFWPAFSDSI EALTILKSLNSKLIIHSNVDNQSFEKTRKKLEGDWGIFDNVFTAEDIGSYKPDYRNFH YVLESLEEEYEINSNEVLIVANSKRADIAPAKRLGLKSVWINRPEAILGVKGFEDIKA DFEFSSMKHFAISLKDIMENDD
I206_01923	MSDPIASRKSTSINPENRESIHHSHSHSHSHSHSSIWKNTPNST LHPYPSPPESNSFQTDLQPWANHQKYSSISSDSYSNPRGKNSNIMNNLQPISLEQSWR SDLSPNKRNGKEREIIWDSTNNLPINNELDALGLSINNNSFQSNSIISTNRKYENYLE SKGGNKKDPMTVDVLARIIDTSNGRDKILKCIQYTLRTYLYLLSLINKIRPLSPWFKS NSKRMKIAISGLSLTRKCLLLFNPLHPLSDLLSSEPMSARTLIKHLIDLFSALSDDIT CFSKLGFINKKIGNKSDNWSNRFWLLTTIMGLYKLHLNTIPKIINSSNNLEKRKIELN DIKWTNRKLLSDLIFVGYDVLELNFEIIEEPMKCLTGLFAAFISTFKLYNKHWEASIG KG
I206_01924	MTSISRLNGTLSPSIPSRSGASSPLRQKFPSDNLRPFIKTLLIK TFTNLNWDLNDKLKMSKYSKEVSEKVKQRMIEIEPRGFKYIVTTTLTENLGQAGRADL ACHWEDTDSAIQEMFSNDSIIFTCLAFAIRLP
I206_01925	MVYNTTFSPQGKLFQVEYALEAVKQGSAAIGLRSKTHAVLLTLK RSTGELATYQKKLIRIDDHVGVAIAGLTSDARVLSNFMRQQAMRSRMTYGRAIPVGRI VQSIADRAQTNTQEYGRRPYGVGFLVIGQDETGPHLYEFSPSGTAFEYYAHSIGARSQ SAKTYLEKNFESFENATLPELINHGLSALHDTLQQDKHLSLQNTSIAIIGPSDSSSDI ENLSQSSAAKKGNFRVWENESVENLLKTWRRSRGEPEDGPSEEETSASAPSAEQAQGT QGSENAPPGAPAGGEDVTMEE
I206_01926	MRPLTEEETKAVFEKLANYIGKNLVHLIDRDEDDAYCFRLHKDR VYYLPLPMLHMATSVARPNLISLGTCFGKFSKTGKFKLGITCLDWLAKYAKYKVWIKP SGELPFLYGNHVAKAHLGRITEDTPEHQGVVVYNMADVPLGFGVTARSTIDTRKLDPT GIIVFHQADVGEFLRDEDTMF
I206_01927	MVLGPAINFPKWIEENKNLLKPPVGNKCMYKGENFIVMIVGGPN IRVDFHINTTEEWFFQYKGTMILKVIDQGKIKDIIINEGDMFLLPANTPHSPRRVADT IGVVLEMVRPGTEIDKMRWYCPNPIHGDKLVKIREETFHCSDLDTQLKPVIEKWIEDE EWRKCHECGEIAPAKP
I206_01928	MSFNPLRLRISLQRPTQSIKIIKSPFQLRSFRNSSRNSTSTHTS TTSHSNHTHNHQHINSQSTTTTIPPPNKNGKKTSPHLVWYREIVPAMIPIFLISTTLF LSLSLIRLHLSHSKLLIESNEKIQELEIKLTKLKFEQKKQILREKKERERILPLIVER VLQRVGVVNVEEEEKEEGIYEKNEIQNELPRLL
I206_01929	MAVTDATILERLNKLSITHPEVITHSPVKGSSEWLSELSIKSPN LSSTSLTKTLLFKPKTAKTSIPTPVLILAKDSTETSSNLLGKLLNLKELRLSSEDLIK SVFPSSNSKDDVSVLALPFPKDENIYLILDKSLANSEDQFALHLTSSSTTILLKGLDI KTYLESLIQGEGDKQIVKLVDFDELKANAPTAPPAGAKPAPKEKKAEAKPAVAQEEDE KYNMAIKYKKDEDFPGWYTDVLIKGQMLDYYDISGCYILRPWSYSIWQTIQTWFDDEI KKLGVQDCYFPMFVSNARLEKEKDHIEGFAPEVAWVTRAGKSELEEPIAIRPTSETVM YPYYAKWINSHRDLPLKLNQWNSVVRWEFKNPQPFLRTREFLWQEGHTAFLHKAEAAK EVMDILDLYRKVYTDLLAVPVVPGKKSENEKFAGGDYTTTVEGFIPTTGRGIQGATSH HLGQNFSKMFDISVESPNRGEDRIHAYQNSWGLSTRSLGVMVMVHGDDQGLVLPPRVA LQQVVVVPVGLSKGEGKNQPIYDACSELERTLLAGGIRAKADLREGYTPGWKFNDWEM RGVPLRLELGPRDIAAKSTLAVRRYDNHKSSIPLSDIANTVRTYLEEIQASMFKKAQE KFDSCLIPVTKWDDVVPTLDAKNILVLPWCEGNQCEDDIKERSKSQANKGETEDNKAP SAGAKSLCIPFDQERFGKFPEGENQKCIQCGEKAKSWTMFGRSELYLPPLATSKSTDI LNHFIGY
I206_01930	MVSRPPHKNLESSRDQSSRSTLRPGVTHHTAAGSNEGSSYPHPI RRYIPVTELANNFLSDNRYADHNQGQNPEDARYDSTQFVRHTEIRGTRIIHPPPQPYP SHATSGQGDGRAFDIPITTCLPPPPAEHLPSFGTINLSGYQTDYSRVMSDESLRRAPR SDCIRAAAQIGDEEDNLPVPAEAYVIIRPHRSPNQGWNDGIAQEMQEATRWIYELHLV QQPIRGKALGLGPLPRGWPALSAPLIVQLVVRDQNGREISIDHPILNRKLVHTSMMVD LVSEDGKESRSFMRVRPKPGTPNKPTSPTSANHLNPDMFSQTHRNLLGSLHRSANTYI LDGKKGIYFLFTELVVRNVGRFALRVSLLDLAGPNHIGTSIGITQTISAAITQPFTIY HATQFPGALPVTDLSMEFTRQGERNLGRRTRADNNGISSEDDQVNRESGSPEINVQIS ATTTSEIGPSDHTRDLGQDSPLGQEPPYSAGERIYILRGGRRLGDIPVDPQVNQGGQG RKPDFENHDNSDFQRSHL
I206_01931	MSSSSSAQTSSRSSPTTSISSLPRTSTCPSKPKVLLFGDRSQTK YDDILRDVAEVHCLPRVGYQEMVPLIKAKVENEGPFVAFGGLFLITDNFPGKWDEGLL GPLAPHCKLFVGPGAGYDKVDVDWITSTGAMYANSPTQVGKRTADGALMLILSVMRGM TPQDHSVRAGKWRSPIKTLDWHTSTIGIIGLGSIGTRVATLLTSIGAERIIYHSRRPS PTAQSQWGYRSLDELYMEADVIVLCCPLTKDTRGLINKETYNKMKDGVILVNVSRGEV VLEQDLVEALDSGKVMRAALDVFEHEPTVHPGLLKNPNVTLSPHVAPAPDSMGPPMNG EVVENIIKFVDTGMPLTPVNLNQLKEAGF
I206_01932	MSNAVPQAPMAATNQGHVSSNQEKSLLSGHIASLLNPDSPTITS TLASSSPETSVREVAERLFGVHRDHGHHSHHLSDSQDVHGSNENEEGGMEKLMNKLHL KKREDTDEGIENPAEKQWRSMKLLNQEEIEEFKQFGQWGNETPSDLFLNIYAQSLLPL NTDPLKDLVSPSLIGSCGVIPLSIISVIPDIIEHHADIIVRAQHEIFLATNFWEASSA AKTITDAFKELSRRVIERKGKKVVIKMMYDRGNPQQVIDPHQSVDVKTYTGDKVKLPL PEEIPGCTLEVQNYHQPPVGTFHAKYMVVDRQIAILNSNNIQDRVNVEMMTHIEGPIV QSLYDMALISWWKSFNPPLPLIGVPPIYDLARGKDAYEFGTDHPVISTKGDLNSAAEQ SRKVLADHHAQAVEEEDGKKEIWDKDNQNEADRVDNQFTSEESINKHLNSCILIDTGN RVDSTDNNPPPNASAFRPVVLHSPHNPFPIAMVNRPPRGRPGHGDVFVPQDQAWLAGF KFAKKNVFIQTPTFNALPVVEAALDACRRGILVQIYADLGFNDEGELLPFQGGTNQMV ATDMYSRLSDEYKKNLEIYWYTGKDQKTPLNAMKKSRNCHVKLMIVDEEIGIQGNGNQ DTQSWFHSQEINILIDSKIISKEWREAIDSNQNTLHYGKVSQKDGIWRDENGKTLPGE KKPPKGPMKSLVGVKGAIQRVRGEGGF
I206_01933	MRLIVLFSLFPLTLSIPQPQISSLPALENLEILHHSNDIQKRSL ISRDDEFVGTSIVGEKDTSTLTSLIVFHSDLSPTQTSSSISTSTSTATTSEKEKDQIV SPFYPSNNEDNDDEEEQEEKGNNTSIQSLNQGQTVAFNPTPTTTLTFLSNSLASITET TKNVNSTGTQSAVVAAAASNGSSSNSISGSTREAILKFGTIWSFGILGLTVIYLV
I206_01934	MTMSNLSLYTVTALLILDADGQRVLAKYYNPPHQNTPGSGIASD LGVGPGGPGMGGLTSLKEQKAFEKSVFEKIRRGGGEIHPLPPHLIITRTIVDLNFIIV GPLSTSNELMLNQTLSAFSDAVHLLLRGQIEKRNVLEGLDLVLLAADETVDDGIILET DAAAIAARVSRPKADSTDIVINEQTLLNAYTSFRDKVSQRIQQL
I206_01935	MAAVAQAPPMLPSTSSTGSISRPSSSSATAKPSESKTLNQPSKP EASDKTGAKVIVSEATPVDEKSEVKKENGDASPTNVIFHSCHSP
I206_01936	MKQPANKFPSYLTTFPRPQLVLHDGFLSPAPSFPLDPLSTCIPS YTAPSSPVPLPLASLINCDLLVDVDDDLTSTLLTTIITPFGNVVPDTAKSPRAAGQPL GPPPEPEANGSNPQRPPIRPNPEIRSRFDQEPNPFEVSFRESRSSVHSSSDLSDRNTP PRGTDATSTRHNALPPLSSLTSPAAADPSQFPWLANSLRSGPLSPAMLAGPQGGNSQH NNANNRAGGSNDANGNNNEGAGAFESTGFRTGFTPGTGSGFTPAGYNSFMGPGLGSLA MPSPNTAAFLNSITNSTPLGENSEVAAAAAAANGHSGQHGELNNLQPPSAIPPHLQQH HPLPHNNSQHEVPQETITPNTLSALTGVFGEMARANSGTQGGPPFYASGMGPPHPGAV PVGMPHVDYAQQSANAASQAANGLFLLSQAHQELSKREEEGRGSTPTRGRGGKASNGS AGQKRKSDIGPGGTPAKPAKKGKKNSLGAGAAPAVSPPKAAKQESFDSGDSDDDQDDG PRFGADGKPETEEDKRKNFLERNRQAALKCRQRKKAWLNELQGKVEGLTIENERLQQT IQSMHEEVGRLTAILMQHRDCGLTIPTAYGRPIR
I206_01937	MLLDINRKLLARSDRVKSVDFHPTEPHVICGLYNGQVKIWNYET QTDLKTFEVTDVPVRCVRYIARKNWFVSGSDDFQLRVYNISTGEKITSFEAHPDYIRC LTVHPTLSLVLTGSDDMTVKCWDWEKGWRCVQIFEGHTHYIMALSINPKDPQTFASAC LDHTVKVWSLGNPVPNFSLEAHEKGVNYVDYYHGGDKPYLVTTGDDRLVKIWDYHSKS CVQTLESHTANVSFAIFHPSLPIILSGSEDGTIKIWHSSTYRLENTLSYGLERAWCVA YRKSGNEVAVGFDEGAVVVKLGRDEPSVSMDSAGKIVYAKNTEILTANVSNIGSDGEA VEDGQRLMVSLRDLGTTEVYPQSLQHSPNGRFVTVCGDGEYIIYTSLAWRNKAFGSGT SFAWATDSNTYAVQEGKSKIKVYRNFKERPGLIKSSGSYAVEGIHGGTLLAARGNGFV MFWDWETGSVVRRIEVDATNVSWSATGNLVAITAEDSFYILSFDREAYNARLDSGEVI GDEGVEEAFDVITEISESVKTAKWVGDCFIYTNSTNRLNYLVGDQPHVINHFDQGIYL LGYLPAHNRIYVADKDLNIYSYSLSLTVVEYQTAILRGDLEGAEAILPSVPADQRNRI ARFLEGQDLKELALSVSTDPDHRFDLAISLNDLETALSLVRSSPEAGSQAKWKVVGDK ALSAWQMDLAQEAYEKAGDLSALLLLFTSISDRKGLAQLATSAKEKGLNNIAFASYLQ LGDSKNCIDILVSTNRLPEAAIFARSYAPQEIPSIAQKWKTSLETEGRGKLAQTIATP EEDKELFEGLGTASSSGEEGSGVLVEKEDSEPQEDTGVVGKAEEVIEKAKAGAEELVE KVKDLTVADNNGDSITDDTDKAPVTLEPPVEEPTTQSGNDKKSKKKNKK
I206_01938	MSSTERTSSFSSTTSCPSMTGPSASDTTLSPSLRSLSPISPLPN LNTFCLTPYPEENEFLNKINNSPLNSPCTKFQDNHSKFISYQELKESKDDSSNLKNFN KPNKIQPPIPRRRQTSPAFSIPPFLKMSSIDNLFSCNNSPLPPPLSPSGRSKIPYTKK QEQKSKIGRSATISTTTTTTSSSSSSSSSSSSSSSSSSSSSSNELNINYVNEKPIQPE DDETKLINDEEKKRKPKPLKLVQIERQLNYTNTIEENEKINLTKNESGLSFSNSNSSP KSNQEENEIHHNHYDNFQTPNSISYKSTSTAKPKTSKRIGIGKIYDKNDFNYNDIEGS IQINRNRWFNENDLDPEPKLNENKSPGWGEFNLDLKID
I206_01939	MSADLQWLLVRKWNSFQVKGGNGPSFSKEKGNLLNRHSHKYSGL ANSKVINIYASPEGGITVTKVKADAKPNQVASARSHVNLRKSTGPRRANKIAAAETAG KGYRADLRQVAVARASALSRAATRSVNPPKSFPSKQRGKKAQQSTSASKKEEDVIELD 
I206_01940	MSAIMITTTSFPRSVSHPRSRPPNSRSQSRQRIETPTNEQTPLL LHPPSPTASHSSSSSSISSYSSSTETLLRSPPLKDTISTARFCIVCAGIWSSNFVFAF QNTAIPTLAPEIGSWFEHAELAAYLGSGFGLASTAVIPLYGVFMETLGRKFAMVTACV LFGAGTIMCALANNMYTLIGARIFAGLGGGGMLTVSSVIVTDLVPLRDRGFYQGLMMT IFGAGSMLGGPVAGHLTDHYGWHWSFWVQLPVIIFCGIIVPTFLPTPPIPPTHQSLLK GLASLDWLGSFLLIGSVTTLLLGLSFHTSYLEPWSAPIVWGMLVASVISTALFIWVET KVKRPVVPLELFKSSHIAAINTCGFFLSVANQAFLFQIPVYFAVIVNTSTAQAGLILS LCGGLGLATGSMAAGQYIRSGHSWKYLGPIALFLPIIGAFVAAYWDPSWTWWSYYATV LPCVLGYSTFLCVQLVAMISSVDSKIMPKATALMYTTRSLGGTLGVSLGASVQLGALV SELKKQFQNVENGDKIISAILHSKSAIRLLPPSLRDLALKSYSHSLSVVWFFSAIIAL VTFINSFWIESNPVHDDGKEEAVRESGAGISEGGFGEGLGEGTIEGETRR
I206_01941	MRAGIDSIIYLITCALSVVCVAACGNSLHRRNINIGEQSAASLA RGIRLILSTNDLSGAGYALSVGAGGIAVGTLILFLHSLRILPMTRFLRKIFFLSPLML VINTLLVAASGIAVTYIGRHGTTSIAAFIGTNQLPESVVRAQAKAQGVPLDYWSNGYV KFMVASPWPVLPFALASTYLAYKAYKVERPSAQTSGIAANDIGVNRTVSGPNDKAEVQ HIA
I206_01942	MVDESERMLKRLDELIRKCDLSEQEITAFSSVKTFKMTREKLDD NCKCIYEPSKCILAWKELQRTRPPVDQLAEIEEEERMERLFREGKAKGMCGCCDCWPD DLSEEESMEWMTGRSSALMVNAYSTPRAFPNALIQHTKELLIGSPASSPSDISDKSGI TSSSSKRSTNSVNKKKKGARGKRASGSGMTMAEKETLDFLRKTDSCHLN
I206_01943	MSSNDKKCPIKPTEMARNYEVDRNTKSQETVYTTSNGCPVPHPY ATQRAGVNGPLLLQDFHLIDLLSHFDRERIPERVVHAKGSGAHGVWECTDGLEDLCMA DMFKKGKQCPITIRFSTVGGESGSPDLARDPRGFAIKFKTQEGNWDFVGNNTPVFFLR DPAKFPHFIHTQKRDPATHLAGGDDSTMFWDYLSQNPEAVHQVMILMGDRGIPQGWRF MHGYYGHTLKIVKKDGSWVYAQFHILSDQGVKTFTAEEAASQSADYGQKDLFESIQKG DFPSWTMKVQTMTPTEAEELWEKQRINVFDLTHIWPQKQFPLRTIGKLTLNENAQNYF AEVEQAAFNPAHMIPGIEPSADPVLQSRLFSYPDAHRHRIGANYQQLPVNSPICPFKL GNFQRDGQMAFFNQGSRPAYLSSIEPIQFKDRPYDLNKVHGKFVGEAISYLSEIRPED FNAPRKLWQDVFPIESKKRFIKTVSGHMKTCREKEILKRQIAIFRHVSPELAEGLEKE LGIKGYESIEGMYFNGTHNGMGSRKIPANGMKADEEVVFNNGAPVPRKSRL
I206_01944	MQDLKERLARLESLLEMQSYSAAVVDQELAETGPSVRSTIGRRV DTLKPAENIIDLVFDNPMADYTAPEISANQTMTSDIRHPPEARRPTRYSDIALSASQL DRLKATSGNGPSVQYGATSIWTHDNYEERTDIAETQLSARGSELLPGEFINWSQNLPP TLVAVINKNIHDRAIDQFVAYYASWCMILDSERFKADLLTCNISSINPQPAGSPSTRK WTSHYSPFLHNVVLWLGLHHNREIWPEAFQIFTECLVDHCTRLMRAEFEKATLSALRA VNLFGTCLAQPPNGSHDFGYTYYGMTVAMIQVLGLNINCEAYVLQGRMTRSELDSRNA AYWAAYMYDLLRSISAGRNPMISTPHPEISLPSISSEIDNAPWYLSPTAIAQETKLGH TLNGVKSMKSTCFHWTARLGCLLSKVVHSLYSTKIESDTTKGPIVEEISLNLDKWYNE QPFQDPKKLPLPHIVLSHMLYHLTRIFLFRPFYRSTFNDNTARMDPSPAEQCDKASKS ILELLKLYDKYHGVRYGIGTFVNATFTSATIFLLRAVEDQSDPTVSANTNKQSRKNIE EIQSPMWKLDEV
I206_01945	MPIALKDLDKVRICIDRGGTFCDVIAMTETRGNHLVKLLSVDPS NYPDAPREGVRRVLEWFTGEKIPRDQPIDTSRIEYLRMGTTVATNALLERKGERCALL ITKGFKDGLEIGTQSRPFLFQLAIKKPDVLYTKVVEVDERIALDWPGLEGDPAVTKAG ELVTGPSGAPMRVLKSVDVDTVRADLQNLYNEGYRSIAIVLVHSYLYNNHEKQVEALA RETGFPHISVSSDLQPMINLVSRGSSATADSYLTPEVKRYLEGFASGFKGGLNDSSSR VNFMQSDGSLCDFKKFSGLKAILSGPAGGVVGYARTCYDALDGSPVVGFDMGGTSTDV SRYGGIYEHVFETTTAGVTIQTPQLDINTVAAGGGSILTYLNGLFKVGPESAGAHPGP ACYRKGGPLTVTDANLFLGRLHIDSFPKIFGPTEDQPLDHEIVKLKFEELTKRINLEN NSALTAAEVACGFVNVANSSMARPIRALTEQRGFATSAHNLACFGGAGGQHACALAAY LGMHNVLVHRYSSLLSAYGMALADIAVDVSEPCQHQYNDNTLPILLDRTEILKRKAHG DLLDQGVQEKDVVYECYLNMKYRGSDTKLMILRPKDGDFAAAFIAQHKREFSFTLDAP IEVEDIRVRGIGLGEDADKHATSTYVEELNQLVNIPVAQDAHFASSDVYFEEIGKFSP VNLYKLENLQPGTTVKGPAIILDATQTILVHPQNTARILKEHVYIDVGLGPRKQLATD VVDPIQLSIFSHRFMGIAEQMGRALQKTAVSLQIKERLDFSCAIFGPDAALVANAPNV PVHLGSMQYAVKYQADLHKGKLRKGDVLVSNTPLSGGTHLPDITVIQPVFDDSNEIVF WVAARGHHGDIGGIEGNPMHPDSTESWEEGAAVDSLFLVRDGVFNEKDIVDIFMKAGH ADTRVKATRGLDKNLSDLKAQCAACAVGSTQIHALFDEYGKRVVQHYMAAIRTNAELA VRNFFKSRGSEPLIAEDYMDDGTIIKLRVDIDPEDGSAVFDFTGTSCESLSNLNAPRS VTNSALIYSLRTLIGTDMPLNAGVLAPVTIIIPDDTILSPGLDAAVSSGNTETSQRVV DTVFKAFEACAASQGCMNVFHSDYGNLSYGETICGGAGAGNGWNGVSAVHVNMTNTRI GDVEVAEKRFPLLIREFSIRRGSGGHGQWNGGDGVHREYELRGDMASSVVGERRVNQP YGMHGGQPGERGATYLLRKSRHGPGMRKVKLRPSAAVKVKAGDRVIMHTPGGGGYGLS EGSEDSLASKRIKTAPVPAAQIAHPKLVSRANGSLASYAATQESCD
I206_01946	MSNNTLHLDEEKNVVQEPRVLDVNPADLTEENIEIYDVAAEFLA SIAKRDDAAALLAPWTDEEEKAVKRKLDLIVMPLLWLANLMSGTDKVLLGTAVTFGLK TDLKLVGQQYSWANSAIYFTCIAFVFPQSWIFQKFSIGRTIGVNVFFYGITTFGTSAV KNFTGLIICRLLLGAFEGAGHSATGMVISMWWKKSEQPWRTGIMFSTLSSVVNGLLSF ALQFYTPGPIARWRLLFVLMGCFSVCVGIANFLCIPANPTKAWWLTDRQKVIAIRRTA SNQTGIMNHKIKWDQIKEALLDVKTWLINVSLNIPNGGLIGFNSLIVQSLGYTVKEVT LLAIPTGVISWVSSLIFARLSTKTRKPVLCTIAAVLICLAGTIMLKQIPRSNKGGSLA ALFIMYCYWAPYIIFGSSIIYANVSGTSKKVAVYGISYWGYCVGNLIGPQTFRATEAP LYKSAVLSMLIFYCLSIVFIALYGVLCWQANKKKEKQEAEWIASRADDGIAEEWKDLT DKQNPLFRYSY
I206_01947	MIKLTKDDKGSAEHIEQPIIDETTELEAGQRQKHNANKQLDEAA DILRDHGGHVEYTVDDSKRILRKIDIFVCIPMCIVYLVQQLDKGTVAQAAVFDLKEST GLVGAQYSWLTSVVYLAQLCCQPLSSYALVVFPVKYWVLFNYAAWSIVTICTAAATNF TGLIIARVLLGAFEATILPSFVLITQMWWTRREQSYRTIAYQIANSAAAIFGPLIAFG VGHVSSSIRPYQGIFLCMGAISLAGIPVVWYLLPNSPTTAKFLRKGDDRLIALDRLKE NNTGGKSGEWKWNQVKETYTDPKTYMWALMYLCTSTPAGGFGAFSGLITKGFGFDSFQ SILMQIPTGVIGIITLLIGIYFTNKFKARWLVIAIITLFPIAGASAMVNVNRSKSGAL LASYYIAYPLAGIQPLLYSWANLNQAGTTKRVVVFATMFVFQCAGNVIGPQVYLEREA PVYQTGLYTDIGCWVVLFCLIIAMRFYLGALNRKQARRRAAMGLPEDLQDMSIMSQQE ATTYKIELTERMKDQGMDETRLYENAFEDMTDYE
I206_01948	MVRMSDAIAPLSLSQDKSADLISLLMDGLLHIKDTTGRFLLKLH DGTLVDTKGWEHPTVFSWEWTHGIALTALCHHSALDPSSPGAQKSLKVALDWFETQWK RTDGKGAPKNINTMSPFYSLACFVEDGRTKDPKWNAWIDEWAEWIMNGLPRTQEGGFQ HMTYVNMHENNLWDDTLMMSVIPLAKIGILLNRPHYIEEAKYQFLIHIKYLADSVSGL WYHGWQFTPEEIKGGQGGAETNGGHNFAKGLWARGNCWITVSIPMFLDILGDKLPPTD PIYRHLVSIWRRQVDAFVRSQDPKTGLWHTLIVDPTSYVETSAAAGVVAGIYMGIRQG LISDPIYRQCADTGLAGVIAQIQPDGEVANVSFGTGMGNSLQFYKDIAITSMPYGQAL AMHALVEWQRLQRGEGAASA
I206_01949	MTTANPLSYPTSRKPFSLDTFRNPPKEYRGAPFWGWVTKQEKQA TLEQIDMFEEMGMGGFHMHTRVGLDIPYMGEEFMEIVRGCVDKAKKKGMSAFLYDEDR WPSGFAGGKVLEGHPEHRHLHLLFTPWAYGEEIGYTKPNFPIANAAPMRSELGELLAT YAIKLDHGRLSSSRRISSPSESTSGEKVWYAYAEPLPDSGFFGDQTYTDLLSEDMTKR FIELTHEVYKKHIGKDFGGAAPSMFTDEPQYCPMSTLAESEKLQDVFLPWTKRIVHSF KEAKGGDLLELLPQLIWDPADQEANTTKYQFLDHVCELFANNYIGVLATWCAKNNLYC TGHMNAEPTLASQTAQTGEVMRCYREMQFPGIDMLCDKREYNTAKQCASVSRQYGRTG VMSELYGVTGWQFTFEGHKGQGDWQAALGVTFRVHHLFWSTMEGEAKRDYPGCIGYQS PWWKEYAQIENHFARVNSALTRGRPVTRVAVIHPVESYWLCFGPKDKNSEETDYREKA HGELTEWLLEGHIDFDFISESLFPELTDMDSIGKTLSVGKCQYEVVVVPNLRTIRSTT LSRLQKFAAQGGKVIVAGIAPSLVDALKPTSPPEIKGSVSLPWSKAQLLSALHPHRDL DMIVSNTTLYRAQGTRADSLFYQMREDGEEKYIFICNTDRKEPCPVTLNVRGEWKVEA LDTFTGSCWEVKAEQDNGQTAFKYWFDGCESVILILRPGKASPDARDQTIMRRHYKQV GDVKLQSVQLQEPNTLLLDYCEYKWNDEPWQGAEEVLAVDQLMRRRLGLFLKGAKFRQ PYTIPQDKRKSIGRLRLRFTINAKTTVEKSNLAIESPDKVKAYLNGQSIDLKPNGWWV DKSIKTVPFPPISEGKHALELEYDYGMLTNLERVYLLGDFGVDVRGRSALIVPLDLND VEFGDITRQSLPFYSGNVTYHTTLESDGKTPIALRVAHFAGPAVSVDIDGKRSALLVH EPYAFEAGILSKGEHKVDFTCYGNRHNSFGAIHLVDNKTNWLNADSWRSDFDWWSEEY VLGQAGILNAPRVEVPGLEVPKQVRRGLTLHV
I206_01950	MSFGLLKGKVVAVTGCSTGIGRAIAIGAARNGANVILHHLGDST RKDIEGVEAECSKLGVKTVIVPGDIAQSATASAIVEAGVKAFSRIDVLVSNAGICPFH TFLDLPHDLWKRVQDVNLNGAFYTVQAVANQMAKQEPKGGSIVSISSISALMGGGEQC HYTPTKAGIKSLMESCAIALGPLGIRCNSVLPGTIETDINREDLSNPEKRADQVRRAP LGRLGEPEDLVGPVLFFASDLSKYCTGASVLVDGGMAISLQ
I206_01951	MPKEWPTIAKLETFIPSAQGSGGDYHRQKGGHWIIQGDISCPMH KYPDWRESRAKWGIGVLGSLFVKITATDGTVGYATGFGGPPACWLIEEHFSRFVVGAD PRDTNAIWDQMFRASIFYGRKGLPMAAISAVDLAIWDLLGKIRGEPIYKMIGGRTKKE IPLYLTGPQSASAKKLGFWGSKVALPHGPPDGVEGIRKNVAYLKAKKEEVGPDYPLMV DCWMSLDVPYTLQLVKAVEDAGVKIHWWEEVLHPDDFDGHRTLKKAFPHILFTTGEHE YSKYGFRKLIEDRSIDILQPDVMWLGGLTELIKVASMAAAYDIPVVPHGSGPYSFHAI MSFPNSDFCEYIANSPDGHTVLPSFGDLFTNEVLPLNGKVNLTDEPGFGLTLNPNAQL VPYSDFFKPVKGIGYASEKPEEVAQEASH
I206_01952	MSSENTRFVAPLRRIITTHTSDDIDGSRVTIHDDSVSLRPVLDG NAHITPLYSSSDLPTANPHIISAKHITEAMGNVPGVVFPGGTNGQVTDVAPNFRIGMH RTSSIDYNIIISGSATLILPDGKGGETRTVVKAGELVVQTGTLHAWEAGPDGARWITV VIAALPVDIDGKKLEDVDFYNKPTCLNCAKDPSECFYPPKLKPGLRPGTGLEMIKRVE LLEERIETYEARLAEQESRLAQIRQAGPSAGPSFSYDTQTPLNDHFLPNAQTNTFNPL IQTSIAPSQTQLNSLPSNLSNPSVQSLPFVADPSPTSGFDPSSLAISTSPGVNMASPA SFLDPHILPSDDIVRDLLSLFFTHIHPWAPILSPNMPEFKPPWTIVHHAIVVVTLRLS TDPRLNSTKDLIKKRAKQHVLAHAVESTSISSVQALALLALDLIGSEQGPSSWGILAL LTRSAVHLGLSKEEEAPSWASIAPIPALSRTVIIPPATTWHEDESRRRLFWLIFCLDR YACVATGWDFALPDSDIKRRLPCSDAVWARSDWHQSPPFRSIFQRETLYFDLDDVSPM AYLVEALDLLGRAHTLQSQMLEPGDSRAMNNRKDKTLALTASTKRWFANAPLERIEPP GMRLMIQAAYYATLLKLNGNHAYPAHGEPEEPYVSTCLDSAKAMANLTSSARALGWMT TSSPVFIWGCWVAARVIFVHSFLNHQTQPDENFNTILAALKEQSVYWSLANQYVKLLE RAKRKWQNSLAGGTTTSSLPDAIHVLLDFHRTAYSAVHQNQLQETPHVTPPEHDLAHL PVWAVQPGLGDLYSWFDLPAGLFQADTTL
I206_01953	MAPSNIQQTINTLQANWVWVPNWIDSSSENTAARLVSFTRTFET STKPSAAVLHFSADTRYKLFVNGHRVAVGPSRGHSTIWYYDTLDVAPWLKDGNNKLEF LVIRYFAGSRGGMPFERTNFPGLTCIGKIGEHDIASAQGWEAVMDESRRYPTGLQDDV FLHINERVSPVSATQSVKPIPYSFKTLNGELPPWRLQPRCIPMPESTSIAVNTINALE SSATKDAWTRYLSGSGSIDLEENTKHSLDIQADVHSTAFVQWSFSSSKDAEIKLKLTY SEGYELEPRFYPWLRSKANRLDSKNGLLIGPYDEVTLKVPAGETITYEPFWFRTFRLL RFEVEIGSQPVQLVSFNATQVNYPLAVKGSWREKGDEYSERIWDVSIRTLRNCMFDGY SDCPFYEQLQYSGDSRSVGLFHFLISGDDRLMRQAIVNYAASITPEGLTQSRFPSAVK QIIAAFSLYWVLQVCDHHLYFGDSAFTKSFVPRIDGVFEFFDQHIDKLGLVSGISEDI WQYCDWVTTWSATDDHPDKGVPTSGRKTNRHTYLSLLYAYVLQKASSLLRQVGRPGNS AEYSNRSESLRNAIKKHCYDGEYFTDSTVDVADDLSYSQHCQVFAVLADVPLSSEDKI RILKGSFGAERFSKCSYVMIFYALRAFAIAGDEAYEGFYKKVFEPWKRMLDNGLTTWE EDDVRMRSDCHSWGAVPLYEYCTEVAGIKPIEPGFRKIMFKPRIGLSEELEVKVALGK DNLATVSWKTEGEKKRVDLRFKKAIEVVSQLPGEARKEEGKVTDLSFYI
I206_01954	MAQRNNEIESNRQNHDIVPVSLGMNEKDNELVHNDHLQTAEEIR RTISKGGQVQMRSSLDDLGIWATVKQNKGIAGVAMAAAFSASLEGYQINLNGGIISNK GFIALMPRDAKGAINGSKIALFGGMQSLGQTLGQIFLQYATDALGRKAALYILWVFLV VSVIIESLVKGWQTWLVAKLFSGIGVGMLQATLPVYIAEISPVNLRGFLINAYTFWFC VGQLLAGVALNELTAKKVNFRDAIYTQWGMIGVMGIIFFLIPESPWWLVSKGKLDQAS RMLAKYHGRIEGYNVADEVAIMTATIEAEKKIAERDNQEGPWAVFQGTNLIRLLIAAW PKIIQQFVGLSVFNSYSTYFFQLAGNKNPFLVTVILGCVQLLAMLVTACLSDSIGRRP LTVYPYAVTTGSVLALGVIGCFDYSSKSLGSLLIFFACLATFTTTGASAIANVVNGSI AYASEIPTQRLRARTAGWGLAISNMVAILFSFCTPLMLNGDAHWGVKTGFFFAGTGTI ATIIGWFILPEVARRSPAEIDELFEKKVSLRKFKGYKTEVEMVSEENRAIQDGR
I206_01955	MIDKEIEEWNNVLKWLEEKHPGFESNLSLRDLPGLERGMTASKD LKKGETLLHIPSTCMLNPLTLLPNSLIPSHLFPQSVSRNNPNDSTTPISKKARMEKGR KLDTTQLLTLHLALTKDSKNRYKSNWKEYIESLPKTFRPWHPLTWVVSPILTQKENVP QVEWKWFNHLYDIGLSQAAKLKVQDVKKRFDEEYEILVEVLTEEEPFKSQNLVESIDK EVILWAWLNVNTRSISIPLGLPSPSERNNHTLVPIMDFINHSSNEKIVTPRVKQVPTA SSRIRKLSMSTKSNGSKSDIALPSPPLTTNNGNTTMGLRKADQHLLPNKIDFKLVCQD NGLKKDEEVFFEYGGHSSSTLFAEYGFCEIPVVPEEDGWLNMKYGEVDLTHYVSELWE NQNEEDKEEKKQVLEDIGCWGGNTIHCQPSPVHPSHSLLMTLRLIHLPSNSPKLTNIS RGLVTYVSPANENSTMMTLESILKKVIKDSQKRHKSLKKLVKYPEPENGKILTSQQIG IIKMLCSMCDEEQILSQSLLERIESGVVDFP
I206_01956	MVNPNEDTEFNDALRAHGILPPKPPSRSPSPDIPHITHQDAIRA IAATADTDQLVTLLENDNLDSDDERMFEDYKRKRMNELKKEEKKGRFGSMEHLSREDF VREVTEGSKVNPDQNQDQVGSSNDLNEEDEEDQKGGLRGTGVVVFLFKDSVPLSQHLR PLLHQLAAVHPSTKFLSIPAGLCIPNYPDKNVPTLLIYRNGEISGNVIAGMGLKGMKT TVRDLEGLLLYYKAIEKPSSALLRQQNADGDSEEENDEYDFDNKVGGVNSRSTNIRSG GIGLGTGRGKNESDDDGDDDSDFDL
I206_01957	MAHQSLDFKDLVVLVTGAGSGIGKVYAKFFATRGAKVVVNDVSD KAAQAVADEIKSSGGQASIAPGSVADGQKVVDQAVKAFGTVHILINNAGILRDKSFKN MSEQDWDLVTLVHLKGAYACTKACWPLFRQQKFGRVINTASAAGLYGNMGQANYSAAK MGLVGFTRTLAREGAKYNIKTNVIVPIAASAMTETILPPDMLKGLKPEFIAPMVGVLT AKNGPDVNGRIFELGAGFVSEVRWERSKGAIWRTDDSFTPSAVAEKWSEVQDFEHPEH PINSEDGDMMGWAKTSKTLPPNKQISPAITFKDKTVIITGAGAGLGRDYAMMFGKLGA NVVINDVSQAGAEKVVEEVKAVGGKAVTAVCSAEDGDAIVKAAVEAFGTVHVLVANAG ILRDKAFVNMDEKMWDQVIQVHLRGTFKCAKAVWPIFQKQKYGRIITTASPNGIYGTV GQANYSTAKAALIGLARSLAIEGSRSNILVNCIAPRAGTAMTATVWPKELMEAMKAEY VAPIVGFLSSEQCEDTGTFYEVFGGYAAQMRWQRTYGVSFPNDRELQPESIVKKWKEI TTFDENATNPSSGPEALQQIMANFANTSHEDAEGGDFEDSEDTPEIKEAKKTALEPEE FNYTERDVMLYNLGIGAKADELQWTYENADEFAALPTFGVIPQFGSSSSLSMDFVPNF NPAKLLHGEQYLKIVKPIPTSGTLVNHVKLMEVLDKGKTAAVTVQVLSKDKSGEVVTE NQSTVILRGSGGFGGKKNGSDRGPASALNTPPKRKPDAVVEEKTTPEQAAVYRLSGDY NPLHIDPSFASMGGFPKPILHGLCSMGIAGKHVLKTFGPYKDIKVRFAGTVIPGETLV TEMWKEGDKVIFVAKVKERDAPALSNAAVTLVQSDKQVKAKL
I206_01958	MPYTPAYNPDIEKGLSSPTKFAKRFISRKSRWIIGGLILTGTFI FIYSGPALTRPPPPPPHHQQQNEGLPPGHHRPVAGKPGWSPFDQHDEYDDEEEEEDDE YELDEDEDEYEEGSGGWKEWLPFGKGKNNNNKEKSKPILDFEDTNLENQIDSEENQTN EYEFIIDENGDHYITNYIQDIAPLHPDLSKLIPANEMFDEIDLKETTIPVEEIYPNDQ LRSIITPNHQDTPEEIRNEIPEGSWAKWWDSNRKLEDWKGQKGEIKKVQWSGFAGGRD RWENSKQRKIREERRDAVKRGFKHAWDAYKRHAWGHDEVRPVSETPSDPFNGWGATIV DSLDTLLLMGFSDEYNLCRPHVNQLNFHWVNGRDWHSTYVTEDEEGKGEVYAVPRDKG VGLAVFETGIRYLGGLIGAYDLSGDDLLIERAEDLANVLGKAFNTVSGLPAGRMDPGI PSNELIHLSTVSIAEVGSMSLELIRLSQITNNRTWFDLAQRAMEYLEERVIPRTSQSP LIPMWFQPDTSIEIVQNGGYAFGGLADSYYEYLIKTFKLLGNNQASQIWKRIYENSID KASELLYIDINDLIPNRELFTIGKFENGKLIKELEHLTCFSGAMLGLGSKLLDRPKDL IDAEKLTKTCYWLSADTPTGLQPEVVEWFDSNLQSDKEWMYENVTLNSGGNEIYKPPI TKEELKFYGDEIKGTHRDLNGNLRWSKDGKLVKTREKFEKPIKYYSKLKGKPTGIKKV NGRGINRPETIESIFYMYRLTGNRKWQEKGWKMFNSWMKNSKVKGGISGLQDVTKKIS SENENEKEKNFNDNMESFTLAETFKYHFLLQSEPNLLSLDDYVLNTEAHPLLVNSKLN PKSNLKNSHVKFWIPNLKQNQHLGIRSQGTNVQKFKRLEVLERLTGSRKNGKPIIQAF GQGSGSDSGGGGGGGGRGMGGGGGKPGDRPKPPPGFGMKKVKAPNANEGGGEFPDDF
I206_01959	MLILVLLGLLPCLVSSAVLDKKAGETSSDIFPPTGTTVNTAIFP NESEVGYPGITLTGVEPAAIQTAPASLYAYNTDPLSSYPLVSDHPNGSNGEDGFDITK YWGNLSPWYSVSSNFYGLNETSPNSPKGCSIIQVHLLYRHGARYPTTGSPPSTFASKI SNATKQEKGFNSTGELNFLNEWKYLLGAELLTISGRLQNFALGASFRQQYGYLLNNFT ESKTLPVFRTESQDRMVKTANNFAAGMFGVPEYLDQVNIEIMVETPGINNTGAPYETC LNSNVASKGSIGSTAAAAFAKNAFNETLERLQSQITGVNLTSTDIIAMLQLCSYETDA LGYSAFCKLFTEEDFENYEYYFDISFYYNNGAGSPVAAAQGKGFLSEFVSRFTGGPTP KADSSVNSTLDNNPIYFPLNQSIYADATHEVIILDTLTAFNLSAIFSSGPLPINKRTE NSFKASQVVPFATHLTIQVLECSNTSPSKQIRFILNDAVLPIDQSYDGCEYNKDGLCS FDNVVNVLQKRIEEIDWNHDCLGNYTASPGNDYNGRAPRN
I206_01960	MSLSRLQLAAALMEYDNDSDLASPNTQETFYKDHRASAIFQPFN KAQAEARRHKILSQPPPSLPSPLGESSRALNDQRRQSTSELNDHVDRPKSQNQSFRQS TISDFRVTPAGGRSINLTTNDIEEEEHLKNGEKHDVDVERWGLPSHLVLDESNQKPKL DTRKSVNSIVPKPEASSIGSRIKSIHVEDVLDDTDLHKQIYEQSGYIPFEQRQEQRES NRRKRNHSYDFSTSTANALSSGAQIRELIENQRERPSTVMGFTSKNHERDRKLSDPRM IPLPNTPGSLFNSRTLSSGSGWNSPPPGKMDEDLENQDIVNDEPNPFALPAPPPELGS RFDPKILQTQRQPSFDQDKRSYSRSSGIILNDHQQPPYTSRSRSTFYPQEEEYDDTEY IEPNPLVSPSFKVPNKVWEDIPTPEQYGRPLKPHKYRNNPPKPLDRLTMLRPKTLIMP SSLANRYSPPPPQIKLPEGYTLGEKPLPPGAKTQGERPKSGFNHLSISQRTFRSSLMV NGLHDEEFVGGATEDGEMGIKGRDLDVGALERRPGKLFGRSLMDELEARKSAQKGKQR VFTGDSRPAMMARSSMFEPPTISLSPTSPPLPGSANSNRPQSMHPIGNRAPLLSFDSK GDIHPTSPDNLGIPDQGGRISKSKSVFGVDQIWEKELAKLKLIQEEDRRIAEFQKMEE EEKELKKMKRKSKKGKGKEILPDLKIPKESVEEPSDISPIARAADLPPALQYSPEKAP SRRLSQEEGEDVEESRQGGVGSYDDGNGEEVNAPHDFVGTLNRSIRDENTDEDDSEED IPLSKLALVKSRLSASTRNSILILKEPESEDDSDEDIPLSRLPIAPKSPSIITRTRKP LKSLELNLPSSSSSSNLSKSISGKSPMSAEVDDENAEDDLPLAVRQAKTKGLKPITKA EIIEDDLPLGYKHSEKAQAQMQMQIQMQMQMQMVQRQFEEENINRQSMINPYQSWMIP NMNIGMVPPQFNINDPYVGMGFTPSIPNLGINLPINMNMGMGIGMGNPQINMNMGGFV QPNQGNPGEAIDHWRNDVALAPVGTSDRDSRISGFSSAGREGSIRT
I206_01961	MSRYAPVNQDSSPPATPSRRTSFHSIRSFRLTPNRSNSRSNGIP DPHEMDAAFDGPENDDADENHGLLGRSDGNNIHQVNRDQRIPGDYDFERDYTLPPSSP PPFQPYSSRNPAPGNSNGIVPTTPVYHPPQRSQRHFLGGILPSSFLPARSPAASAASR FIGAGSSGVFGNLAARPDNPQNIANADGPEYVPEDEQKEGPPSYQAALRDAVPPYWDT TVVLPSSSSPFGPLSSSMSGDEILIDGMPGGNFFGFFWNLIVSFSFQFVGFLLTYVLH TTHAAKYGSRVGLGMTMISIGLNLRSKAEDLIKTGKFPTDPSDPDPPTVVDEDKLAEN AIEAIWGPGGPWPYPVHEPNDPNGPITILHNTHEAEDWAHGHNMTLAGFMGLPNAEDV GRANEYFSFMMMSIGWFIILTSLGGWWRVKRFERGLQAARRESENAQAQAQANQNRDG NTEVGTEGIEDGNLETITTNPTIGIGTSRNEPQPNELRYYTAAFSQAWDGARDLQRGF FGMHGRPLNGNGRRNGRGNGHTPLNQDDGEEGDDGHELLDAQGFGLGPMALDTEDYPG INSQRDRRNNGGLWGV
I206_01962	MATTAVIWSPENSQEEFLVFVDDVAEYERWKGGATDIALSRFVG TFSIMKTTNSVGHTGQLGEVSKQEIQNVFFGGDKNKHAEEAIEIILKEGKSQKADYSH SYKLTLNPGRGAGSVKGQGNQQGR
I206_01963	MPPQTVLIVGASKGLGLSLVKKYSKEIGSSNVFATIREENQDNS ANSENSNSEFPKGLNIIENIDVSNENVGEKIIKGLNGKTINLLIYVSGILKSEKIEKL NWKDELSMYTICSIAPVFIIQSLLMSSSFAPDSKVMFLTSEAGSITLRTQGEGGGMYG HHGSKAAANMVGHILSYDLKERGITIAMIHPGFLKTGMTKDAGMEEFYEKMGAVTPDE AAVPFYEFAEKLNMDMTGKFWAPMGARGIGNAEEVLGKEWTKQPGPHELPW
I206_01964	MDQLAILNTTGQGPVPSLEGRQPIIDKGTLQPEDDKDLFVDPPD NANQQHLKDDISEDGHIIRTGRDAADHLLSIRDDGDPAITFRSLVLGTIMACFQASMN QIYLFKPTQVSISGSFIVLILYFIGKAWAAILPRGDIYEKRWKDNGGVGALPFWIKVI KIVNPSPWGLKEHAIAAITASAASGGAGAISVFTVQDLFYGIPLTATTVILSTLSIGL FGYGLTGLLRPITVWHVEAVYWTNIPTVKSLQILHWDTVKDSKPLRYFWYSFAGMTVY EFFPAYIFPWLNSVSIPCLASMKATGSKAAILTNLFGGALSNEGLGIFNISFDWQYIT SGATSMPLKLQGNYLIGIIVCYIAFLAVYYGDAWGGRSLPFLSTSLRTASGGKYVSTK VFIDGVLDKEKLAEYGLPKITSTYVWAMIIGNAAIGGVIVHCTVFYGPDIWKALKNGR KGIYDDRHHDAMKRYKEAPWYWYVAILIFAFVLGLVVVIKEHITLSVGSYITALVLGS VIAPFSTILYSRYGNGIATNQLMKMVAGLTSPGRPIANLYFSSWSHSVISQSLNLACD LKMGEYLKIPPRAMWVTQVYGTVLGAFINYVVMISIVNSHRDLLTDSNSGSSVWSPAY FQSLNTSATTWALANYLYSRAGEYFIVPLGLAIGAGAVLLHRIFVIFVPRIGKISTSD FNLPQFFAYAGYLGFNQTQSCVIFSILFAGFFTQYYLRNYKPNFFKNYSYVITAAFDG GSLFVLFILSFTVFGAGGPEKPFPIWWGNSDGNPDHCPISS
I206_01965	MACIVSPPAKLTHPALLTGLTTPPLTPLSAGHHAKHHTPNSSPK FQSPNPSYAMLRAHHAYALKYMIAKLRWDQVNNLYIPGQDADWNHNEMIHKLEKELHD VQEAQKGLDQFPNCFAPTAFPKPHGPVTKEKFEELEKIKIEKEKKLNEEMEKHFEPFL KQLFIGPMTKLQAKRDFLLREQLKDNDLEDISILIPTENKRYIMAQQEKKIHNHGPPR DKKSYEQQRYEKEKLKVALQLEKIAKEKAAKEKENPPKKRIGPLTKEEWIATLPVYGP KTYNEAMLPKQRAQRHDIITWLQRPWGKYNEKASKAMEDLAVLAKEALEEEKLYREKM KQKKEEAEAQEKKKAKDDALRKKEQEDGKGIEPAADKAIVEAKAEGNGENEKGQ
I206_01966	MLDIEEEFHPSLLGLDSLSVEKQKDPSSTQADVIEVKEQVKSVV DLAIDQAPLSNVTPDTPVRPLTALDLLAAITCSIPSHPTSTDSQPEAIGKSTGSDFSK HHVAPIAVARDFADQDQAQIGLQNNDTPKLHVRTEDDFLSSEYKSCSVLSEAASLEDT LSLERNIRPRSVVKLTKCRIPGSKRYRMAIRIYRPPSPLTTDHEDTEDDTIETHSQSS VPSRAEPPTRTQKDLSDVFGREILDKIEHQSKLDSTAGSTFPSVLRDLFPALSDFNMT VKIVNVFRRQLDLPILDARRLDKIAMRRSIAKMREGLYTELMAALILPLAPPPKVVDT DGEITNTDGETEAELDRVTLTPTNLKILETKLPKNFSAEKGLVKTFLNNDHEYAYGEK LGHVIWQGGIGRMRGKGTEGFRGHYNTDCQTHVFVDHSNILHGLVQYLYANPSDALPP RHLRTLSLPALSLLLRRGRYTPPGSLHLVASSPLQQNLDPLVRLDWEVSVLKRVELYE DEIIDTTSLKTVVKPQTKISDHNQIGMTRRYKEQGVDEILHLKILQVLNEKGLLPKKA DRSSANTLVLATGDARGGQFNKDGFPGAVREALKRGWNVELWSFTSGLSRAWKETAKR EKWYDMGKFTIWAMDDFAEQLVEVNEEEYL
I206_01967	MSFTTIEQAQKFATPNPLKEKLDAGVLAHALSIKIVSSIEVLGF AKSAGYDACLIDLEHSPFGLETTNQLSCAALSLGLTPIVRVPANTSDWISRTLDGGAQ AIIVPHVNSAAEAANVVKYARFAPLGERSATGTMPMLRYANVPAKFANPICNDLVTVI CMIETERALEDVDSIAAVEGVDVLLIGCGDLTSDMGIPGDMGNPRVEAAFDKVSAAAR KVSVNGRKVSVGFGGLHNRLDLVEKFAKKNDNARFVMAGADNTFLLQAIVDGGSAIQK VENNIKNA
I206_01968	MGRSRSSSPSKRTRSRAQTVDLQVQPDKQGNKRQHQACKYCREH RIKCAPVPGDGRCKKCIDLKQSCDKSSAAPKDDRPRRRTLFKGAGKGGSSLLRAQGYE PRYLGSSSLAILVWKHVPQTSDIIDRLRGIDDRYDLHRGSIGGLEQNGLLIGQGESSA GKSEKPALITVSMVQAKLMQELGAETVLISLYETCRDKIIPLFPVISVSESLLADKAN DAHVDKYAPVDPKSTPPTPLPLVVRMLHCAIASRSREVPESIQRSLQSSLHSLLLGPE MQRVASARCLGSIQVLVLLSMCEDLCAPDAADASENVWQNIGIAARMGFALGLHRNIA TTHVPYFQLNRRLRVWGACVSMDRWTAIRMGRPFMVDPADCDAPLPRHYADGIRDGDL TSKTEPLFPCFRFMAEFTSLSLLLGRAHRLVASPSGLQSADDLSLLMLQSDIDNWLAK LPQSWPYSIKLVLRQAPLLMNLFIVVLEFTFQRVFLWPSTPIPYQVSFRPSRERWVNL CQRAEQAVYWLNSPDGAYYLDVWSITVYAAFCCVIIHLKVFEESKDPHHQWLLEMSNT IIQQWAYQQPNNQVRKRLASFSDLLMSIGTGANISGNTASSNTGSGISSSMNIPVQSG VPPQPIHQMNTDVHKEIDVQGLLNNPFSGPTSAHQFDGYTFNSDIGIDGSGNNINPME MYNQLSLLDQMGLAEFGFGS
I206_01969	MAEEKTHVDVTTAQEVPYGDETSKTHGVIVDLAARAHYEHVEYT EEESKAVLRKIDWRLMPMLIWIYGLQYADKQSLTFASLMNIRQDIHLNLNSQEYSWCG SIFYAGYLAAQLPATYLMKRLPIGKFIAVNIIAWSIILACHALVHNYTGLLICRFFLG FFEASITPAFVLIISMWYRRREQAGRMALFLAANGLATIIVSPVAYGLSGLENPAIPA WKVLYILFGLLTFFTGVTYFFTLPDSQIKVGWLTDREKAIAVDRISENAQGIGNYVWQ WYQVKEAFLDPRTYLYFTFSMCQNIPNGGIGTFGSLIINSFGYSKRISLLFNLPLGAI DMSCKLIIMNLSDRFRDRTAFAIFAMSLPFIGGLIMLEAPQTNKGVLLFGYSLNGAAG TGWGLLMTSLSVNTVGYTKKATAGAVQIIAYGIGNWIGPQTFQAHTAPHYRTGKMVLT IFYGLAMVDLLVLRLVNYYANKKRDKKAREDPSSMIQPEDAAARDLTDKEQPGFRYML 
I206_01970	MSDSDRFKIENLFSVAGQTVLITGGGSGIGRSLTSAFVANGAKV IIVGRRLDGDVSTKEGAEAVLKQLEGKVHCLDTVINCAGISILFKTPSHEVSDPEKVH ETLASVENEDWIKTHQVNVNGPYYVTVSAIPLLRRSSNPNVIMISSVAGLVPQRGNNT FTYGVSKAGVIHLSSMLAGRLHPLKIRVNCLCPGIFPSEMTSTKDANGNIILGDMGTK AVKRSTMGRPGLPEEIAAPIILLASKGGMYMNDTCINVDGGRWLVMKGIYDGYRLPDD SYID
I206_01971	MSAPTKVTVSNDQFPIKPHNSPAVKVGNLVFCSGQVGMGEIKAA TRESLGNLQKVLELSGSSLDKVVKYTVFLKDMNEMLTMNEAFVAFLPDPKPARTCVQV GKLPGGPNASIEIECVAEL
I206_01972	MAAPFNPPTNFSEKPEVPQWQPPPAPTEDLDYAKLRSIDLSLLD SPDPKVRDQLVETAKIAIRDDGFLYLVNYGINLEQLHRQFSIAQYLHRNITEEDKDRL HWDPQGGLYAGYKPPFGWRTVKGKYDGITQFNFYEEEYKSLDKIPNCVHPFMDEITAF TEYLTQSVNKRLLRLLSLVLEMPEDYLWDNVESKGSTPINEGYLRHALFHPFKNEEKG MGEGLRMFGHTDFGTTTLLFSIPVTCLQLWGRDGIWRYVKYSPGALVVNIGDTLELVS GGHFRATRHRVHNPPPSQETYERLSIVLFNGSKGDLRMQPCWDSPLIKREGCFESQGA YKEFKVLQDKGIPIPTNKEWREISIINTRHPTDEPEKILKEVEVSGVKYIEVINQGVR VLQPL
I206_01973	MIPRALPYINFIVASSALLFQTTVLYPWHHILSDDFEKLKAEQA RQLEEHHKEKRQLLDSLHLKLEDLTRTVAPEKLRQAGSTILESARRG
I206_01974	MRTQSNRWMQTLSVISATLLIVDAVPLPQSTDSSDLSLGWDTAS SSNYQSSTPYDAASVDDTGAYPEDSAAIYAGGDDEDTYPIAGTTWESDAQISDLSSFL ISNFSSGSQNIEVLAGSPSSPSELSAESVGADATNSNWDSSINSLRVTYPAGSLNPGN NPRGGSTFYAHPMNLRKVHNATLEYSVFFPKDFDFVKGGKLPGLYGGHSGCAGGVDAK DCFSTRMMWRENGHGELYLYAPRHRQTQRLCRSPPFSDCSTPYGLSIGRGSWTFQRGG WTDIRQDVWLNTPGKNDGGFNIWINGKLVVHADDVFFRDVTETCLASMGNSAALWSGL SPIKRDGELENDDADTFITEDWLSVNQDVGDSNVAIRSAENSSAVGSPLLSMVKRWFE FQKPSRRSPSDDGHWKGINGYPGDPGYNGGNNVKIGTPGDVYTYEFTEVVTFPTALAL ATTTETETETATVTVTAAPEDPSADDPARKKKRQDIPDAMITGSVADETDSLFSSLVT EDALTAASPEATDVDALQAPAKKPVPPKPKVPPKPAPPKPKPVVKSPVKPVVPPKPVP APAKPTPPPAPKPVVPAPKPPASKSPVPKTPAPAPPPPPPPPPGPKAPLPPPVKSPAQ APVIAPPPPAPKAPTPPPAPKTPGLLPVPNARIASVNRPYSHLANVDCERGFVGLFFS TFFGGHTETWASPKEQNTYFRNFRIRINQ
I206_01975	MEDHPLVQLHPIVIQLATVPAVAIASYKALNVWRAVSSLPLNPH TSGSDASERSATSEAFRQWRALTATKKAKKIAVFLLFFSLGLSFHLFMVFGLSKISSQ SVMDIIWCIILIAMYAGPISYVSDLVFPTPRSVRYHRAKTGAPMRMIIEFEGDTKKAL KIEIARLLLSLIVVGGMIYSTWAGYTYLHSILGASYIITVVASIRYPKMSIRRIIQVI SGYLVGLFVIFISTFNQSDSPFDSQIIAPPLSIALGLFITYITGGSKTDEDPAEPRKE ESLTIMSDWVLSLIFVFSDIFGAIVPGIITAMTLRFEYSLINEPVHRPSEASTDAPVR IPNEYPSFPKPIFISSLLSLLASLGFLNIVTFVFPDIVWLGITPLNIYITVPTVFGST ACAAAYFGKFGQWWRYNEVWIPQNKVLDTSEEGAKDLDDEEAALLPTEVKETA
I206_01976	MALLTQSIWILIGIIYGEPLAGWSSESRQEAFIAVGYVGLLLIV FEGGLSSSFNNVISLLPLSICIALTGILLPIGLSFILIPLGSFSPLHAFAAGAALSST SLGTSLSVLSPASVGFDLRQSKLGTALLSAAVLDDVVAFVLSNILGTLGEESNGTSEI GKNVGRTIGVTIGIGIVLIPLTKYILKPLYESLLDRKERLKDTPCGLEPILIGYMTLV FIGSIAAVGYAGTSPLYGAYIAGLACAYLSDSQAITDEIVQKRKRESEEQTIKDALKC ETSMRTAFEKYLSPMLNHLLVPIFFGSIGYCIPFVPLWKGRIIWRGIIYAILMLVGKL ACGLWVIIWIKPKKGQISGRFRGSWKGAAFLGSAMVARGEIGLLISQIAYNTPKPLLT EDEFLMVNWGIILCTIIGPLSRSTKTLLITLYIMADDKSIANDETTTTKATDTKSDTE STKSEGDEKKVTTNVTSEGDSKVDVTVKSDKSWWKSFKDSLSKHWQSTKKSWNSFWDS TKKKFKSWGESCKKTLSKLISYPSKMWSSIVSKWKSDDTSKSETAITNAKVDDPALEK GDETKSKDPEAKTSDRKTEADTELSSPSIDDNTKAEGKKKAEKKKKKEGEN
I206_01977	MASTSSTSNIPPPLPTSLVTTLLPHLLPPSPLPQELLAKSLLQR LLYLPPSPSDLDSYLSPFPSTEHQPISSRLTELTHGHTLGDILYTKEEEEIYAKLSIL PENEIKDESIEIWFEFEHSSSDSRGWVYHSARIPSQLNQKFVSNPELSSTLVETESEE KMGYEEMNNLQGDQAPEGYWTGFDSSSNSNSPKSILNEFNENHEDAYWAQYSRPQTAP ITPGIHTPGIHTPGIHTPGIHTPFQNQQKQNQNQNQNRNQPKINTQEEQAKKLMESLK QLGLDSLNKDITNGYSEMNGQDGKREFWVESEDQEKYNNTIDQSENDEIAITKDKVEV EESGKAIKDRLKCKISVSLNKLWKKHIQGSNELDLEIKAIEWLNFGKQVIENSSSPNT SSPGGYTSYNGESSLDIIDKLEILLDMYELLNETNEKDNFHKLMESVIRKSPDYRNHE DEDEVVRQNMYYE
I206_01978	MRFTIWSLTLTRSFRHKALTIKQFTTSLNRFAKPPTTLLSLSSL VEESNHIQAREWVNNFKVNDIPKESYEISRSRSSGPGGQHVNKTESKVTLRLDLSKAK GNWLPNFIFKPLIKSPYYISNPPSILITSQTSRTASQNLSTVLNNLHQVIIQSANQVI INPTSIEQKNKVKNYIKKENEKRIELKKRNSAKKASRREVD
I206_01979	MGDSAIHALAGSVGGCVSMALTYPLVNLSTRAAVQTKKEDLTIV QAIRKTLEKEGLSGLYSGLSSSLAGIAITNGVYYAFYEETRSVLIKRRINSPHSTSAL STSEGIIAGLIAGSITTLVTNPIWTIQTKQSTHSITSIESKDSSQSESQSQIKKKRPT IIEAVNEIFEKDGLKGFWRGIGPALILVINPVIQYTTFERLVSALLSYRLVKKGITSA ASQNPLGRSSLSDWDMFILGAASKLVATGGTYPYIVVKSRLQAASHKYKSSVKAVLHI LKTEGLKGLYAGLGPKLLQSVLTAAFMFVAQRRIYEFVKRLVAIAASRRVPIAEI
I206_01980	MSNFDIPARPTNVGILGLEMYFPKRCISEDALEDFDGVSKGKYT IGLGQKFMAFTDDKEDINSVALTVVSSLLQKYNIDPTSIGRLDVGTETLIDKSKATKT ILMNLFAPSGNTDIEGIDSKNACYGSTAALFNTINWIQSESWDGRNAIVMCGDIAIYK EGGARPVGGMGACAMLIGPDAPLVVEPVHGTHIANTWDFYKPDLSAEYPTVDGPWTIA AYLGALDASYSTYIEKAKKSRARAAKKLSLASVSAAVSDLAGAAKDFVNGINGDATNG NGVNGHAEATDEDEGISQFDYVCLHSPYGKLVQKGHARMFYNDYIRNPSSPKFANVPE TISIEKTKTYTDKVVEKTFVGVAAEHYKSAVVPGSDCVARCGNMYTASLYGALASVLA SAPEGLETGKRIGMYAFGSGCAASFYAIRVVGSTKEIADKLQLKERLASMDVRPCEEY VTALKLREENHNAVKYSPQGSIDNIWPGAYYLEGVDELYRRTYAVKPVA
I206_01981	MMDSKSSDSGSESSSRRSTPDADDVETYNQLMTSLFPSSELPPP LDLLFEDIPTKWNDLGTKEGGIDKDGEEDQSIMENGMKRPMTKAEKQNAKKKRRKERE RLAKLEDEEKVIIEQTSEKNLSQQQAATSIPFRLFSACPIRPVSLIEENAVYVCPGNP IHLPFSIEELGRIRRTAYEAAVDITELVIPNKGLGSSSGSSQHNRCLQVPADDFFNPA PTIFVGEVAQYKRTRGPDEIEIPTSNKSSFQKVHLQYPYKHCPTKTKSKTRRGKRQKP HVQARFWAPPPDIGGKGRGYAWGYRDSMEGRRQPGGWTGYVRSKDR
I206_01982	MYGNVGLLTARGSGTNGYVSRNTAHLKVRDGPPGGSYGSGSRYG DYDDAKGPPVHRAPDQGILEHERKRRIEVKVMELRDELEEKGIDETDIDDQCDALRAK LSSANLPAPRSRPTDSHSIAAAKEAEMSRMGRALGVSASHVEGKAFQRETEEERAARL AEREERDKARVEAALQREREAERGKKEWEEKERLRRREEYKKQQEGSKSSRRDDVPPR RSISPPAVRRSRDDSPPRRRPRSPSESLSPPPTRRRRSPSASHSPPPVKRGRLPTDSR SPSPRRRRPPSDSRSPPPARRRRSPSESRSPSPPPRRTARRYSSSPPRGRASPSPRRK LRDRSSSRGRARSDSRSVSPPPRRTRYSSDSRSPPPKRARADSSEEGTPRSVRSLTPE PTKKRVEKSA
I206_01983	MVRTSERRAAALTKRNLSLVNHDNKISDKRDDRIHYPTPTSVDG DDLCSLNSEEEDEGEDALHSIQGDDHLEEDHGGLPTSEDVVMNELASVDTRKVDYVSL QQGHQELSQRSSTHSAVDPLKHSHELENVDIQHSHEEFPTPPSTSPSTKTQKNEGKSK KSPKSIATNFKDNSMEEKAILTPLILHGKAPVETTVNNHWVYLFFRFCAERHKMYERR TFENIPRDQLTKDETMSKMHIGNVFRQLDPSSKMIRDNILGKGDQSVKEVCFRLFLFC MFYNESTWKELCKVSTGGIPTWSNYISDLPKFEYVLYRISFIEKKKIYYGGFQLVPPT IYFTNNRNKDKLLPHFAASLRLVLSIMLTDLPKKLKNCEFANDASQILQTIPTFGGFL SWNIICFLNDYNNQFKWFYRNFATCGPGPRSYLGRIFTDNNGKSIINSISMEESGLIW LYENQWKYWLRINENPPHAWELGLKPGLRVLDIENSLCWCHRYINNKKGGKNLSQLPK PKFNLKKSNNSFEPAWCIEKKWLNNSSKIIYKDDLEEKLKELNHIEEDENVFEVEKII CRKSGNRLEKLKDPEFRVRWKGYSPEADTYEKASTIKDGAEESLQEWLDWEKSVWDSI EKVKKDYPYTPPIIAKSEEEEIKPKIEEKEGLSHRPTKRIRRSIKRESFDL
I206_01984	MSRTPSLTSGPSNPSTQIASPARDTICTELHALYCFDVLKAHYE GREPIEPPFDNKDERYALFVTWNTSSHVRSNRKPALRGCIGNFSPMKLAEGLREYALI SALEDHRFSPIKASELPHLSCNVSLLTPMTPIPSPLDWTPGIHGIHITFPHPTNNRHL SATYLPEICPEQKWTKEETILSAVQKAGYKNKVEVGDEVWESLSVKVYGSEKASATYD EYESWSRNT
I206_01985	MSVPTASSNNSFAIPPPPAKRQLKPANSLPTTTETYGQKHGIPS DLQAALQNVGRRGRQNVAMGHATHRAFERTQSVPSHVLTSSALPNQGFTTVLDAMTHA QGIISKEALRSRELQPFYSGGSDENREVDGLSLSPRGKNRRLKFGENGEVEEEIDGPL FSDSQSSTAQPRDSLHLIAARKRRSSPAEPTSDTETEIDEDEDLELPSEPTTTFTSNA SDFPSVFKSPAMTHPELFGPTGSTSKNFPSAFKGMSSRELKGLPGARKTGFGKTMSAP VGKLGGNGWGTDIDMDQSGAAGEGEEDGFDIKDWAENERF
I206_01986	MSTEGKTITCKAAIAWEAGKPLSIEDVEVAPPKDGEVRIKILYT GICHTDDYTLSGKDPEGAFPIILGHEGGGIVESIGAGVDNVKVGDHVVPLYTAECREC KFCKSGKTNLCGRVRATQGKGVMPDGTSRFKCKGKEILHFMGCSTFSQYTVVSKFSVV AVNEKAPLEKACLLGCGITTGYGAATKTPGIEDSNVAVFGIGCVGLSILQGAKAKNAK RIIAIDTNDKKEEWAKKFGATDFINPTKLPEGTSIVDKLVEETDGGLDFTFDATGNVN VMRSALEACHKGWGVCNVIGVAPAGAEIATRPFQLVTGRTWKGSAFGGVKGRTELPGI VDDYLAGTLWVDEFVTHHQPLEGINKGFDDMHAGDCIRCVVNMGFDDAP
I206_01987	MAPSSSKMTPLSPVHSRSPVRSPPATLDQAAYSLSLSLSVSAME SNNQATEPQARPPRFYLPSTSNSSPRSSPVAPLSRVQSRNQGETLSSGFSLAEASTSP HVYATANSHSIRRVTSNGSNGSGLYARRSSKASISNLRSALEVYQIHPNTSLPQALLS PEFLGDAGGPSSSHSSQNDQQKNVQIGQEHNQDRRSDVSCNDSSDRTSHTHHSSISSD DLGHLKMDALVDIHRVLYRGKEDLQVRRIELCLKEELQQVRRVVERWFESDCIYEQPL VRLTSRDSMLMHFALLHLFGAVYIPSFTPFATIIHIQELVHLFKTFLLGKDYGIEVDQ QIKPTLRTTKSPRTPNPGREEHLLGLGLGVDPPTRSLPNTHKDRRDYVRSESDLITQK LEEKHDHSGMKVYKGWWKLWDITAECKEIGEMECYDGHYLALIEHVLRLSLFPNFRSN SNHESSDQRHPSAYFHPNTQRTDSPHIKGHYILAGKQGIPALPLPSLAKRFLRFLWDQ VECWLDWDLRVNTMVQFNEVGKATHIRDVVDIRDLIEFIVPFAKRLRWITKLIGIFTS MFGAILLTILEDHKEANPKSTVKAVQVTMQRQRSQPILAPQSVRLVEKYSAATDTIVP PMKNVPIYGKSLLLYKVLVH
I206_01988	MAPSPPVTNSYTLSTQHSTSKHGHSPFHAHSHHTHGHYIPLAAR RPSSSHSVHSHPNSDQYSPSSSPNKSPKKYPNLTLNHEALRGAIAEPRLNRTKSKGKD KEVEKENGSKKDRDRLIREVGSATISLRAGVVQSLDIDEDGVNVRRDQPRTVVSRRDE QPEPVAIKPLESSRPPFTTVSGSVGRFRKARSNSLHYPATQGGITTDRSVLLPHYSTD QQYSLKPQNPRPQYSKGLPLELGLGGDFDLSFGEAVRRGAEGEEMPLPKEALRVLCEA KENLGIGSGVKQGRKGSMGMGLFKESRESVPTNKKLKERQKDKERDTALEEEDEVIEK DLLAQQDRKESVLRSKSKPRARATTTSSRGTITNLPTSLTNASSYSLRQGSTGSAVGQ SPESSVPSTPVPIRAISQRRQQLVNEEQIVPDGECEIVAGFTISSPLPRQLSQEEAGI ETGHISPLDEGDGGDESGWTTTSTESLSEEGNDQDWNTNHGDETDGSEDGLTVPLQPF NHAVGGHSSIYKFTRRAVCKPLVSRENIFYEEVEKLAPALLAFIPRYLGVMVVNYRKQ MRAPTEGSMTPLDSPQTTQGSPALSHPSTPGTTISRPMLPTTTTNLSAHSTRSMPAME VPEVSLDFNRHVVPDWLFKKDERGRSRNSRIYGNSEEESSRRTLRPSSARSQEFVRYT STSPSSSWQSSILGGSPHLKANSLNPPAIPRSIQERDEQPTTPAPSPSTSFLKQHLHH TTSTPTLPSRIGAYPSHPSLSSYYHHEPAGGSGSGYNSPHPFGGTGSTTVNTKLKDHV FATILKKLRKKGMGMHRHDDEADEADDEYGDTSSVRSGGNRRSRRRSELRRIDATGSM DVRSERSMDDDERIRRTQSDVVLTDRRGSRQREDSVERGIFDMEDVHDEDAGLEMKRK DRGRIHLGTGLQPMTLREHLSLPLDSDHQAQPQTPSYDSPLPTPRSRTSMAQLQSRNS LPPSPSTNAEDVARQELFIFMEDLTGRLKHPCVLDLKMGTRQYGYDATPLKKRSQRKK CDATTSRSLGVRMCGMQVWNNETQSFVSRNKYRGREIKTSDFPAVLRNYLSDGNTLLI DHIPIIVQKLHNLAAILLQLDGFRFYGCSLLLIYDGDKETQQHYRQAIRGEVDGLKTV EEGDGAGDEWAEHRHRPVKRVEHDQITDTDRRSRSVDTHSQSRKSHSHSHSQSHNRHH PQPILGHRKIRGEVNIRVVDFAHTTTGRDFLRLSEAETKELNGEPDLGKGYDTKYDPD SGLAIARFPPRNKGKPDMGFVFGLKNVCQALCDIYEEHQLSVVGDGSAARSLNIKENK DVFELAFSAGEEAYLST
I206_01989	MSPTEFISVTFPNENTELHPIPGAPVDPEFLVKYARALDDNDYN YTLIPYGSASFDPFTLGATVAAVTKKLKIIIALRPNTMYPTVAAKQLATLDQLSGGRV VVHFIAGGDDVEQAREGDFLSKDERYARQEEYIKILRKAWASSDPINWEGKYYTFKDF RNNVRPVNGTIPVSVGGSSPEAYRIGGALADIFGLWGEPLKETKEQIDRIYAAADAAG RAPNDRPKIWVTFRPIVAETEELAWKKAHNILDLLKKNRAGPAIYPTSDKAPQNVGSQ RLLEIAKKGEVQDRALWYPTVTATGARGASTALVGSPQTLIDSILDYVDLGCDLISIR GYDNYYDALDYGRYIIPGVRKALKERSNGAETNGNGEEANSDRPAKRARALRD
I206_01990	MTTTTATVVQEATTPRRVVHRALLARIPPKGQSAWQLSNSHTLP AYEDHQILIKTSYVALNPFDWQGVAFKYAIGEEAKVMGRDGAGEIVGLGKAVKNFQLG DRVWFCANSSASHTGAFQEYSVHSAAEVGHTPDHLSDEEAATLGTGLITAGVALFRTL GLPLDSLKVNKEVKREKNAPWVLIWGGAGITGVYLIEIARLLGYRIICSASAVNHEYI KSLGADVVLDRWSEAEGLVEQIRKETNDDVRIAIDNVGSTTASLCQQVLRGSASWRKA AGIEADSDDQEESAKLVPLAGSPKLSIDTEDMIRKVEPLRISFSTTFYGHPEFSRALL DRFDELLSNKLLSPARIRLVEGGLHGIEKGLEDLRHGRVQGGYKLVARLSDTPAQTTV TLKRDRISAEAEVRTTSEKRTRSDTEQDTPKPKRVKEDIDKIDPGTKEASMNRSVITV 
I206_01991	MSKIALVLGSGPRVGQAVANKFHSAGYRVATVSRSARTCDSDDL VHLTADFTDTTSVEPIFDQVEKVWGKSPDVVVYNAYAFASTHAGPLSADIDELAKSLN GNTISPYLAAQIAHARNKSVTYIYTGNALNTLVDPNLTALGAGKSASAHWIQAAAKAE QLRPAKFYYCDQRTPEGDPCYTGLKGEAHADLYLKLAEEEEQGEPIVVFRA
I206_01992	MTSTSALSSTSGMTSTSASFVTAVSDKASDSNLNQRQIEFEKNF AKRMDLEPLTSFGADPSTAHSFKLPDDYMTIVATQAADLQASILVHGRLCLTRYHLCF RSNILGIITMKVHSLSDIVSIKKGTTAKWIQNAVYVRVLELDDDDKWVEQHYGYGSLW NRDSLYDALMECWRARSPDRFNAFVEAEGLESENIDIDEQDTEGEAQVTASGDGDGSI PIITKPSGEEYKELALNVKFPLDMEQTFNLLYHNPDFTNHFYTNDKGLTELKISEWEG SGDEGNQRRTLNYIMHMNNSIGPKSSSCNGSETIAIADPQKSYEIVSETQTPDIPSGK SFTIRTRTCLTHDSLNNKQATRIHCTTQVDWSSSSLLKGTITPAVIKGQKEHHQQLIK GMTEWVKSHPGDFKGVDQDKVEIKHEVEIPQTEDTVNEKVGKTLLQYAGDIPDNPVML AITILFLVLLLLNLRG
I206_01993	MLRNVTISRITVLVMGRGKRSNVADAYLHASQAKNLTVVTGATI EKVVFEKSTAVGVRVIGDNQPSAAFGKTERYSKDVKAARMVIVTCGALGTPAVLERSG VGSPDILQKAAIEVKVDLPGVGHDLDDHQLICPMYRAKEGAYEPFDEYARGVDSVKGV IDAEYDRTGKGIAASNGFDYGMKIRPTQKEIHSMGPDFAAYWKRIGADKPDKPLYSNV THQSWSCTLLLRPTTYNPDPASRGSIHITSSDPFAAPRAITGFLTRPEDLPVHVWYYK KQREFSRRLPFYEGEEADSHPRFDPDSPARLRYPGDLNKTDKPVEEDSIAYSAEDDKA IEQYVKENVATAYHSIGTCAMKERQRGGVVDSRLNVYGVKNLKVADLSICPSNVGTNT TSVVLLIAENASNIILDDLKDEAAHTRSRESKL
I206_01994	MLITNVCPDEADVIICGGGGAGCVIATRLAESRPDLSILLLEQG PDNRDVHRLTRPLDSLFWLFQKNPYLKWLDCEPEDKLGGRQPRIPVGNILG
I206_01995	MKLLSSLLTVFATGATLALANNDALQPYTIYAYGINATFIPYGA RLTSLYVHDKNNTPRDVVVGYDDPAQYVKDTATNHTYFGAIVGRYANRIKNGTFTVGE AEYHVPTNEHDGENTLHGGTMGYDAQRNWTLTQYNTSSVTFTLLDASGEQGFPGAVLT HVTYTVTSPPRLTTRIVSVSLDDYTPIMLSTHIYWNLGAFQSPTILNDTLYMPYADRI IDIDPILVPTGGLSSVKYPWQSPSVPLNFTSPKQIYEGALYSQQCGEGCTAIDNAFIL DRPPYSSPEDASGPVLQLTSADTGITLTLRTNQQSLQIYSCGGQNGTIPVKASQGHGY VEKYGCLVIEPQQWIDGINQPEWGQMDRQIFGPDSPPQVLWAAYDFSA
I206_01996	MTSVLLDKQKASVTLPSSDEKSLDGNDFPALDLGADVIAEPGFT WTDAEERSVVRKIDFCVLPLLFLGFYVFQLERGNISNALTDGFLKSVGITQDQFNTGQ SLLYLGIILLEIPSNYMLQRVGPRVWISFQVLAFGLVGALQAFQKGYGGYLATRIMLG VTECGYIPGALFIISTFYKRSELATRNSIFFIGNGLASATSGLLAYAILPMGTRFPQH KGWQWLMIVEGCMSIFVAVLLLLFLPASPLKPRPLFLPIRVWTPRQEKILAARMVRDD AKNNASSYQITFRDVRDTLANWRIWPHVLIAICLISQTGALGTYGPTLIKGFNFDTLT ANALSSVSGWIGLVTTASFGFLSDRTRIRGPVVITGLTLVWAFWVAFQQKSLSTDRWL KYGLQIMVQGFSIPSHPINATWLSLNCRSPQERSIAMALFIMAANSGALVGSQLLRGD DAPLYRRGFKVCLCLVSLGLFVAILQHLQYRLSNRRINQLKENRVESLEDAEQTIEWN YTT
I206_01997	MSKRPNFLIILADDLGFSDIGAFGSEISTPNLDKLARNGLRQTG FHTASACSPTRSMLMSGTDNHLAGLGQMAETIARDPFYQGHKGYEGMLNDRVAALPEI LTDAGYETIMSGKWHLGLPKHAQPYARGFQKVFGLLPGAGNHYLYEPYLDDQTPAMKF LPPLYVEGAEQISHKDIPGPFYSSTYFTDRMLGFLEERDTSKPFFAYLPYTAPHWPLQ APDDEVARYKGRYDAGPEALRLERLERLKELGLISRDVEPHPVMSPFGYKSWNELSAE ERAISAKKMEVYASMVTVMDKEIGRVVKLLEDSGELDNTFIFFSSDNGAEGALLEAIP VMGDMIQKTVERFFDNSIENIGRGNSWTYLGPHWAQAATAPSKMYKAWATEGGIRCPS IIHYPRLGGLLDRSDAITHEFTTIMDILPTVLDLAGHQHPGGEFRGRKVHRPRGKSWV SWLAGREKEVHDENAVHGWELFGQAAIRQGKWKAVWLPPPTGKDEWLLFDLDADPGET KNLAGENPEKLHQLVAFWHEYEAETGTIVNMSNPAGTGFGRSTGINWDDWGN
I206_01998	MPTTRPEDLHEAEAQHQRRLTRWRIQWAKEYEEEFRQVQAEVSS EHRDGHRDKDRDRDINGDGDRDGDERYKKKAKMDNRGKEYEHVKDDAKIPIEQLAPIE LPPFVTGHRRDKLEESLGMILPPEDPRRRMLYEMRFTAYRFKEYLARTTEIPKALDEV DAQQVKANFDNPSFRINTRWSSINHDDFVKLVRPGGWLNDSVIDFYLALICNRADLHG KRVHYLDSHFSEKWREFGYAGVKSWTKGMDIFYLHLLLLPNNIGNVHWTCGVVDFLRE KIIYFDSSGHSQAAANSFFKRIRSYLRQEYEEKRKEAWDDSAWIDVFPTPQQGNGSDC GVWVCQTLEMVGRGRDVLREGFEFDDKNMPYLRQLMASEVGNFTITKRFWSHPVLW
I206_01999	MPITRKRQADLDDGIDLRSSEETNERPKKYQKGVNHRRLALKVP DGTMPFKFPHCTQTLDSEMLYDDPLPEDHKQLFRGNETDQLIHRRLHRLMAKIPRISI FPYVIRDIKKPGKRSKWSRQVNLKSIQEKFAYTSSWVTRWEKGPQQHARLALFISPNK LSELSHAWAAAIVDVKGGGRYLVIYDCDSSVTDRARGEALVFSHLDQSQKDFIASIRA SKKKRHGGLDIQTIYYGGIAKHKNPNMCLENTLSWMESCVDIKNLKRDNLTSDFEYKI VSLTPDAPMRARARAIDAVRSEAENAKQGKVDVTGIDAAEEAEGDHSSATKYASSSYF HPSGDDEG
I206_02000	MPPKVTLRKRKPPQQATQDGGDKKRKKDTGESRSKKADPEEEED DAEDDDDGVKRNEKEARDGDKHTLPGSKRRLQVELAGNVTQRGDNKPDVVTQSMMTPE KSGRSNLPLNLHVPFLGREFKLPVFDKTSGNHLSKVELLYNMVLSSPKGVSFESIWRR LCDLEQDRDVVTKYISARIETFKMAYSEKMQDISDEIQQELVDGLLTVLEDFKRTVDG SQSHKNHLLTSCISKMMVRLLLTDEDLKNPFYNSLRSDDSSRPGVPSEKFRDSLDELE SMTLTKTIKALFIEYSTSQATIDQTQDDRSELVGDVQEKLLYPCTIVGMETVVPDRFL KEYRASEEGDLDKAGIDPEERQDSFTRRMLYDMKVKFQPESTLGDGWRTLMKITDQST IAQTFFSAKESLCAGLDFKFRKIPIEQQNCSTLLSKVYEDDPQQADLDEPKDQRTVIV ETLTGVLDQINTSGSLENIHRLPLEIAVRHLGEDRVNYQMGNDPLFCSINTMFAARNG TRTGTEAISFLISLELQALAVSIRLCKIRSSGGETGEYDTILRQIQNYQRPVLAAAHT VETVTHGVPPPATPPPQLVKSKKPKGKHTARHTKVKRFIGPVFDLNSTTPPGTGKNLV YFSRYKRRCETMLRAQIKPELTISTYIREMMKIGTDPQVVAKAYVKARIVVFKHYKYD LKFEIPLEVQQDVIESLAEALEEFHNTHPTRSTTSPTPPFEIALSNLKKDDKIPETIL ARVFFSSVNIDPSEGVWLPKVSLDQIPVVIHYHELETLATATRMLRNKRERDAAGIEE DDIYWQEILDDIELFSDKEAKAERLAEDEGMRAQVRKLRKLTLLSSFSTNLFENSSVE FNNLGLTYQKGYTLDEIWQSIKQSRPDSNLHWNDIATVYLCCRFAVYKREFPDDNMNI AVKDIEFLVETLTKELFGYNHDRQYCLPFEQCVTVEVYEGNLNQKTLKTTMIKSVGDD EPLLPGIPEDQVLPTLVRLEFETMALLEHLLEDYKSCSKLSADLDILSTPEERQKTHD GMQSLLDEIAGKDNHFELRAWRLPQLFTDEQMEQAQEDSFNQRKKRDGRLVQDADAWK HRPRLIQRAGAAREKLWTTKGQREVLLERAMKNERVYENDDCFTDSE
I206_02001	MPAVPSVSDALLTSDHTFVAMLSPLKLTLISNAVVGICGVVILG ISAYVEHTTGKIGYHSSVYTYDAFVGAFTLVALVVIIALRYGKPSLATLLNEVVLSAL LWIFYLAAGASTTHYTRDDRQVCKHIDDLFDLPEFENADQDAIAMLKKIFKSTCRDVK AQLAFIWIGFVALTITTIYLATLGMKERNSLWKSSLRTYDHDTHSHADPFADPVGSSR GPVAGVVNDDASFKP
I206_02002	MSSSTILLPGQPLPSNLTTPPLPACGSGCYESNGRIIASIVGKP RRDGSIVRVIGREESGSAPDVGSIVIGTVSRLTAQQAHLTLTTSNDRPLPETSEEFQG VIRIGDIRLTERDKIKMAECFRLGDLVKAKVMSLGDARSYYLSTAANELGVMYAISEA GESARRTRLTTLPLPGNPLLPVSYQEMEDELTGRREKRKVAKPEGI
I206_02003	MMSFAQVAKRSASVGLRKQMLVSRSLRTSAPCSALSKFSMPAMS PTMTEGGIASWKLKEGDSYAAGDVLVEIETDKATIDVEAQDDGILAKIIVNDGAKGIA VGEPIAIIGEEGDDLSGADKLASEGGESSAPPQKKEEDAPKEQQQESKKESSESKTPS LVGQGEKPKFFASPLARKIALEKGIPLSEIKGTGPEGRIVKADVEKYKGGSSSSAATT PTSGATATPGKAAPAAPAEYEDIPTSNMRKTIGKRLTESKQQLPHYYLTVEVNMDRLL KLREMFNKAGEGKTKLSVNDFIVKAASLALAEVPEANSAWLGDVIRQYKKADICVAVA TPNGLITPIIKDVGAKGLATISAETKQLASKARDGKLKPEEYQGGTFTISNLGMFGID NFTAIINPPQSCILAIGKTSTKLELAPEDPKGFKTVQVMKATLSSDHRTVDGAVGAKW LKAFKDYMEQPLTFML
I206_02004	MTLSIDSHSEDKYDDKDTRDNSHVSPSTPVDVGFPVEFAQMQKP SETSLKSIMKKEVNSCVSTTTDQDVPEHEVPDITPITSRTSTGLSSRSSIKSSIKSVR FVNVNEHGFNISRRSSRGSHFSEVSDSRSEALVADKKEEYLSSPDSE
I206_02005	MPSSSKDDPFQSDPQPSTSPSIARSLVRDIHSPSPPSGPAIPNI PPRGSFSSSSRPVFSASFKSPGGLTPPIQRSNSPVNNFQQSTKHSSDNLIGRKTSMSS SNNNNNNNKNDNNQPSALTTSLTTSDENNHSNELSKQSSNNLKPSLSRKSSSSINLSG NSTPKNSKGKDKVEDDLTGAGDSNFSNLAEISNEEKAKVLRRHLVSAEEREQSKVPSP IVNEGGSTPIKDKSSPRSESINNGDPAGESGITSGGYGSTEISMRGEEDDRFAVAYDA LGSDVTHDLYKWQHTHRPDQPVRSASFSHIPLDRSAILDPTLAHIKEPGGFRRNFMST RAAEQGLEAPNMVRNVVDFLFLYGHFAGEDLNEDDDLDEEEDEESFPAIASSSGAYAR RPFTADQDGLPSASAARGERAPLLGSTKRGMSMTRHRRTKSGAASGGTATTTQALLML LKGFVGTGILFMGKAFFNGGILFSTIVMLAIAGISLWSFLLLVEAYMHVPGSFGDIGG ALYGKYMRFTILTSIAVSQIGFVAAYTIFIAENLQAFFMAVTDCRTYIPVRWLIFGQL LVFLPLAMIRNLAKLSGTALVADAFILIGIFYIGYNEGSVLSKYGIADVQLFNQNSFP LLIGTAVFAFEGIGLVIPITESMREPEKFPRLLSITMFIVAILFAAAGVASYASYGSN IQTVVIVNLPQEDKFVQAVQFLYSVAILLSIPLQLFPAVRIMENGIFSRSGKHNTSVK WQKNVFRSMIVVFTSLLSWAGSNELDKFVSLIGSFACIPLCFIYPPMLHLKACAKTRK AIIMDYTLIVFGTIVGVYTTVQTVRSLFEPGGDKPKLGKCEVPDGL
I206_02006	MSTTPDIQVASSGIDHVSIHSLQPEIIIIGAGVVGCALAYSLSQ SGREVVVLERDLTEPDRIVGELLQPGGVAALEALGMADVLEGIDAVPVEGYCIVSGED QVGCPYPLLEEMAGLNKGEKSDELDGAWIDKKGKWHIESNSGKKEGRSFHHGKLISSL RKKILNVKGVTVLEATVKDLVFCEHTNRVIGISASFKTAESDEITSSGIVKKIYAPIT IIADGCFSKFRLTPGMRTPKPVTRSHFVGIILDDCKLPIKNMGTVCLTPSGPVLLYQI GNAKGEVRMLVDVKGKLPSVGDGSLRRHIEEQYVPHLPSSLQPSVISSLATQRLRSMP NTFLPPAIQGLNNHLSGAILVGDAYNMRHPLTGGGMTVAFNDALILSKYLKPSETLPK GRQGLEDWNEISEVLKKWFWERKQLSGVVNVLSMALYSLFGGADEPELEVLREGCVKY LGMGGEKVAGPVGLLSALTPAPFMLFYHFFSVAFYSIFLLLVRGPPNSSLRTTNGALN PLMVLLTLPLNLIYSIKVFWTACVVLLPVIYTEFKV
I206_02007	MSQPQANPTPSTPGASAPSLAQPVPAQPPSRSEIERKLSFRSAT SARAHESPKKKKPTHPPPPHSGYHAYPTSGNESDSSSITSSTQPPFVGSPNPTSPILT PATTSVGGLSAIAERKFGNEVLDEMELEDVEEENEDEGDAEGDGAESASEAEEGLDGL NKGMEGERVVKSGYLWKKQERRKAWKKRWFVLRTGKLAYYKDEKEYSLKKVIDLHDVH TVAPVTVKKNPHSFGIVTPKRTFFAKASSQDDMEEWVRAINGVRRKLSEREEEERSKR EKGEHHQQQQKSGSIAIPSRDRAPSEVFDTISPTSTAGSYFVNRPSVGGTSNPQAIHA AGHVSPTLASGGIIPPSSPMDTTNSLTSQMAKVNIQQSLPMRNPSTAASAPSSRSVSG PSVSARREPSTSSIGSTAPGPPVSSTSAERPTNLNLPVGSSQFVVSSEDEDDIDLAEQ AQGQSGLQNLPATPIDPTKVILSTYLMKRSKGRGRKVWRKRWFVLTSQGVTYTKSHMD TKALRFIPLTSILDALEVDASDQSSASETDQPPSAHPHHHNPFHPHPHPHHNQAPNTA AAAATSPQTKTNFTNAMRGRLTSNDNTQSTPKKSAQAGVPSEKHGEENTFRLITAKRT YVLCASSEEDEIKWLAATRALLNQLRVNSPSIGQGPVAALGDQPKSPIIAVPTITQQP PTPATSLVDTPSSIQRTMSKSRSASDREFPTVQRQLSNSSNTAPQPGSMTRGRSATYM AKSAVADVVKKFHPEA
I206_02008	MSLSRAGPSIRPICRRCLLRQSLIASPIRQFATTPSISRSTTRQ QPFGIPSTALLNPTIPRSEAIPRIPLQLSVNDFDEKAPARPKQILRILLQRIPEYINS PRARGKLQVYGLQPDVTSRLSREWILKVEDDLSGKESMTDEEASKVMKMNGWNDEDLI ISMGQSKFITTIESMSLRNFLTYSVTTGHLSNSLKSHIQSILSVTDLTRLAHSTEFLS ARSIKRKFHLHIGPTNSGKTYNALKALSKAKSGAYAGPLRLLAHEVWERMNLGTVGDL NGQGRACNLLTGEERRVVDPDSGLLSCTVEMLPLNGVTGGQPFDVVVIDEIQMLGDQQ RGGSWTKSILGLNAKEIHLCGDETTVKLLHNLLEPLGDEIIVNKYNRLTPLEVAPESL GNDWEKIQPGDCIVTFSRTNIFAVKKLVESTAGKKCAVVYGALPPETRAEQARDFNDE NGLSEVLVASDAVGMGLNLKIKRMIFESLSKFNGKTEVPLALTQIKQIAGRAGRYKTS SDSTTIASPIDTDEAPATGGLVTTLHKADLPILRELMKRDLPSIPRANLEVPYGNLSD LSELLPANTSFGSLLEHFASLAKTPSYTVLSAYEHKLPLADLIEPYRDSLSLHEIDLF CFAPVNIRDERAKSIFSNLIDDFANKGFVNLEEIFKPSRLINQLELVEETLRTLPPLP PILGIGRKLLTPPIIISSIPMLESLHKSLVLYIWLSFRLEVSFPDRNQAVSLKERTEL VLDSCLERLPGLRQRKHAKGERGKEVDRLVRDWRRENVMPNGTRKVEGVPRKGLTWLE KDVARRAKERKTFKNVRVIGEDS
I206_02009	MSKSPFGSLTIPSWLPGQDLLNPQYKYASAGPALFEFDKLSLWA FVAMTAFNPIFWNTVARNEYRNKTINKIVGSPLVGTYFLALTIFSISAFRDHLFLNAL KNQPSLIELDQPLVKLVAIILFASGQTFVITSMWALGVTGTYLGDYFGILMSHRVTSF PFNVLSDPMYVGSALTHLGTALWYQSPTGILLAGWIWLVYSVALKYEGPFTDKIYSAK AAKSPSTTKKSNTSDGETYASATATSSSIPATPSRRSGRIASRKSLAGTSDIDSSDAE TKSSTTTGRKSRSSALTQELHNAAGSDAKGTPARSTRSRSKPRVVDDSD
I206_02010	MSSIWPPALSRSIYAQYYRQYEPMMHPWFVNFENSTQDNDTLLN DPKWYEKPEYVEIEGGKISLKQDAKFTYYYKSYPTASSKSSQLDSKEVTLPKNTTWYD NPPSVHFPAGVDHTWRSVFDMSSSKPRDVLSKSHLTLIKFGPLDRSDLVPDLVPNS
I206_02011	MAPTPPWQPTAHPHQSGMTYHPGPPHDSSQWVTLYPSRPPSQPY DSTSTMDTSMAIIEEGEEELEEIYTPANRPQRKSKAPSQFDPSCRLASTANAKPKGRG KANTLRSRSSSVLIPTQAMKNESENTAVFLPDPYQSAIQLKGLSKNGLLPAPAGCILD VNDLLILHPPEKENTGLQGHTSQYEMYTCRVCSKTYDGKNARSVARRHLQDKHGVPLS VQKRRSRWDYETDRPKSQEDAKERNLKSKRDWINKHRHMQKLEKNHEAFLERFGPSGI ITPCGINLVAPIFRGEVEAKDQKKTRYLDGTQGNLIIPESILEEVEAIREHKSGSRSG SLGMREEETEDTVETKAGKAIIKKTKGRKKSIKLSEPHVATETRSNTPLNSISNGEGK SSQYYAEPLNVSTPVSVSRGIANNPPQVHPWQGIEPFQPASMTVINGQYHFALHPQYQ QFAEASSGTYPLGNAQMVQVVQMEDDSVPSSPEEVDALPDQQWVPSEHFSVVRTEHQQ LEPALDITQQWQGMQLSSWPVEDVKDNVEQYSKEGSVGAEGEAVAAESLLDLHSTPLR GSDQNGRDEIQITRPQTTLGLVFDQTTMKQLASRQRITWSTELLSAPTVESPTRPRPQ RSSSFIQPFRDHRPEVTRSLSFDARPNLDDPFVLSSTPARPSSSSSADKPASISTSIK RSKRHTISLPSPSPLSSASSAIKKRKQAPASPVPPHSASITRSALRPLSTNIKPNYAG SFATPIKPSFGNFPQSVTKEWLQFSSPNNADAVLSLGLAPTHFLPTTPGVKGIVGAET PEMTILEARAKKRRGDDGVTPGRGLRR
I206_02012	MTGIRNKISQRFQRRPTSSASSSTETSQIGISDRIVEIHSDSED DVNPNVSKRSDTVTEASVATTAAVDATTSSAGTVVESRDRTEEVSSATVAEEQSNASG ASQTHTVSGTTQTAGVDTCDSAASTGQRGGRAMPKNNGRQPNQTRTNFRVEGEAAQIN GNIGSGKSQGITTHHDIVASDQANQINGNVHDGKGTEALLLQFFNKR
I206_02013	MLPSEPPKPSSRDFDPSIEGADDDVEMRSEDESPGIELSSVEIN ILIYLYLLESNFTHTAFSLLNESNLPSTSLFQHFNPSYPTPSHLNNGKSSRPNGATPM QGTSKSPVQPNFGRSEGRIERGELIRKLWKAVRWEEVERHVAANGEPYKPSCPNPFHL LIPHVCPPAFPSAELNPPLPLPEALRSATPPPLPPKRPEAFPPPPESIAGPSKSTSAP ESRNKRKSRQASSESPSRQVSPERADSPAKARTADNEGRREKKAYKKRVRLRETNENN MEVDNEGEESEEKEEIPIMVSSPKKKDNLKVPNSTSASRAPTPDSRKPSPSIKPKDLP KAQENGDEPDGRVGKFVDHRDAVSCVAWNPKNTDVLATGSSDGTARLWEFTSAGDSSS SHLKTSKKPTVIHHKSIESSRRNVTAVCWHPDGTMLATGSYDGVGRLFTPSGSIQGIM TYGRGAVNAMKWNPSGSTILMAKDDFTVTKWAYGIGGNMEMKLSFDSHTKEVNDVDWL DDDVFASAGNDHTIFVHRSNDKRPRFTFKGHTDDVTKIKWSPVQPDKPVTARLLASVS DDGNVMIWKLPSYPELSTRNGASRSLSPQKAKEGSAEDDYFGGGTPVPGVDHCLHRLT VVNGSENKRMNTLEWSNGQNGRMLLAAGGQDSTVKVFDAISGECLHTLAGLETGTGSL AFSPAPFGGKLGALAAGGWDGHLFVWDMESGKVLLSHEVEEDAKKQSVREQPMMLAIA WREDGKHLACGLHNKSVLVINVDGLTENEEK
I206_02014	MPAISFLPFLSSIPLGTRLITLTIILLSVIAQGLSYLALDNSAE PGSWGSRLPWLVMSPGESFWYPWTLLTAGLVELSLFGLIVSVISIPLACRYLERVWGI RELIKFSVITIVGSNVIAFGFSWLMWFVLGQEEALYGLPYHGLSGLQVGFLVAFTQLI PEHQVQLLGKFKVKVKSLPGIHLLISNVTVIVFGPSPTILIQFGFIIAWGYLRFFKLS ENGDFRGDRSETFAFQYWFPPIIRPYVAMLGNQVFKVAVKLGLVQAWDEPTAASYGLL PGPGGARAEAERRRALALKALDARLASSSPAPGASSATSPNATASASLPSVGVPPTIQ ATTTKGADAKV
I206_02015	MADHHHSHFTRRPSSGSSKSPTNRVSMNGGGRVLEASPPRQPSS RMSLSALVNPSNPPSPPRSTSHRSIHDYPAPVASSSRSYDRYDPPNNGSSSSTAAYYD KVYDEYESGFGRPSTIIPSYSIKSHDGLPPNHTTTSFTSRDREREIIDYPSTTTTTTS IGNYNSPSPVKSRFISPPPQPTYNGNSPHNQNQSHHRSSLSLSPIKISSTIPVEVENN ARAIRPDDKLLGNEDIWESVLKRYQVKREDEVEEMIKFVNVYKPPVNGKKASRKSKMT SEEPLNLPQMPGHVMEEGQSGKSKAKAGKRKYTRKSQGGKSGVNLDDELLGLVGDEAA SSPSAFPPSDAPVPDFGDDDEDDEDDDISNADEEQESRDPNAIVKPCGLTRAEVIQKI ETNDISGLTEDDVKAVQDEMWLRMKEKDGGAPVNKDGTVRKKPGPAKGWKRIRGIESK KGRKSNTLDGYEGSEAGDTSIGGDITINGETDADIAALLGDEQSIPKPKKNKAKSKKR KLENDQEEIKFVDSEDDHHRYSDIFIDEDDFDRSIRGGSIGGSSAMDGQTSNGGGNKK KSKAKEPGVGKGRWTRPPKPPTTLEDTPPVEKSTKSSNNKKSEKPITIAPQPQAIDEE VNSMLDLPFAQSEYIDLQPEKSPGPSPNSYDPRGISEIEAKIRFEMVEDLQKMIWNNI VRDVPKIYRVFQGYDTTVKQSAIRRIQAAVRNGHGTKNLKISQRNKITKDSISKGKRI VKEMLIYWKKNEKEELLARKKAEKESLEKAKAEEEARESKRQARKLNFLLTQTELYSH FIGKKIKTSEAEQADGMEKPITTIEDGLNNNEDLGLGDDGEILPDIDYDDDDEENLRR HAARGAQAAVQAAKDKAAAFDQANAQRGAQEDDTMDGEELNFQNPSLGENSVTITQPK MLMAQLKEYQLKGLTWLGNLYEQGINGILADEMGLGKTIQSISLLAYLAEVHNLWGPF LVIAPASTLHNWQQELARFVPRLKALPYWGGPKDRETLRKVWSKKNQTYNESSPFHIL ITSYQLAVLDEKYLQGMKWQYMILDEAQAIKSSSSNRWKSLLSLHCRNRLLLTGTPIQ NSMHELWALLHFIMPSLFDSHEEFSEWFSKDIENAAGGSGGSLQPEQLRRLHMILKPF MLRRVKKHVQKELGDKIEIDLLVDLSQRQRKIYKALRQRVSISDLIAQANNVTDTSGA KNLMNLVMQFRKVCNHPDLFERADVVSPYMFGSFSQSGNLAREGDQLYLPESAKNAIE VTIPRILWTDGGKIDLPSEESLAGSDTYVMNNLMNIWNEDWISSQITKNNNEFGFLKF SSQQSPRTITKLAKSHPLISLLHESTIAKKKIFDGAYVDENDLAASNNKKFSIPLKVP NATSPSGIPLREISTNVWNQSYLSRPDAKFSSDNVIAPLIKPVISNRSYLNYQDQSLD DPLLKEVVYGLKPSEVDDPLSSKRLNNLVSTDIPSEGLFNHSSTDQLPSSTLTIPPTK RLIVDSAKLSRLDDLLRELKDGGHRVLLYFQMTKMMDLIEEYLIFRQYKYLRLDGSSP IGERRDMVTSWQTNPDIFVFCLSTRAGGLGINLTAADTVIFYDHDWNPSSDAQAMDRA HRVGQTKQVTVYRLISRGTIEERILKMARAKKDVQDIVVGTKSISDVAKPSEIASLFM DDEELAESVAKRKQAEAHGYIVPSLIGNKNKSGFGDSLDKLNVDDEDDGFFKNPNKGT GNNEDDDFGEDDPSGGGSGGTSTPKENGKKKVNTKRKAPEGESSKKPVKKKVKIALGP DGLPL
I206_02016	MPVVTDFSSAEYWSNRFETEKSFEWLISNEDLIPFIIDNLPNQF NDLQEETLGQEEEENVLNILHFGSGTSSLGFNLQNHLNSNLNKNKNRKGERKRKIQIY DSDYVKPPLINFEIPFLLLDVLNLNSLKKNSVSKKQKWDLIIDKSTCDAISCSSNLSS SSLDDNNDDNDNDNDQINNPIERLLFNLSKITLKNSRWISISYSSNRFNDNNNNNNNN NNKLENEINLNKFGWKLIKKQMISTTYIPGGKMIKDFKSGKERIVHEPETGIWMYILD RI
I206_02017	MIDQTSPPQQPFIMPIFPDHATIINGLPPSSMTPTPTSMLISAP SVCEHGNGPLNVTAHIGGHGHKQHDGPVMYKAYVMPYSWYWILGVFGLMVTCHVYRQI KHSHRRRKYIKKLEQNSYYKPLSDNINDDDDDDDDDNDNNATQEENENRSINSTSRID PGLTRKRGRIRRIITGLSASFRNTMYLRQFPWWLYGPETFMDAAFTILYCTVYLYLCI HLAESWFPLRNDNIANRLGIMSFSQLPIILLLVSKNNPISSLTGITYQKLNYLHRASS RICLLSSWGHAILWTPRVWEARDFRQYLLCGIVALFGFTMLWVTSFRFVRRMAYEFFL ASHIIFTVMYLVGAWFHWRWLGQWVIPAMVIWIFDRLLRFAQVIYQNNFHRPGEWSSN GDCKIELLDHDVMRITIKRENFHWKAGQHAFISAPSISGMPHESHPFSIANIPTETAN EAYFLVRVHTGFTKRLRTALSSDLNTDIPLYIEGPYGFAHSLDSYSTVLLLAGGTGVT FVCGHFLQISQNARKGKSAVRKLHLVWHIRHAEDIEWISPLLNEGIKDSPENIEIKID IYVTKSHSSDEPWPQELDLNETLNQVGPRIMALREQDRGWDDGCRTCVPNTPMTESRD EMLFIPKRQNILGKYGLTPETAEKITWRRGRAKLNSIVKEDAETSKGPMNVSVCGPVQ LLKAAKSAVREVSNLQSSMEGLASIDFFEETLGA
I206_02018	MYITLSGYKKSLDNTDKAHKTGWPISPDLSEGIKYQSDAWQGLM QSGIAQSTVVLLDCLFVSRSEAPWLIDTGKRISPYCLIEQRALIAEHSFEVQHVLRGG TRSVQSEIQHNYAFTSSVNIGQTTHISQTSLPSPTATKRRKKKQEG
I206_02019	MRVNSNNLSNASNMSNITHPESDTLHSEGIITNSETPIDSSDDG HEGYQADLGQQTPSSYGSSIPNSRRSSVTLVNDARLPDQMIIDLDPVVSHDPSGTTLI SETTNPSIHEENPIESGYSADKNGEEQLAVEVTFENTNVEDNGGIQYNEENSRKSTVA SGIVRHRDPQCHDDATQLNLGSKQSTAQRRLIGKLIKKQRQLQESYPGTTTHVNDGDE DAHESSDEESDEDEEPDGEKKENKSPIGTVKYDDVKSSNQGLQINWSDKVNPETNTSY SAMVCEGKAMQFNGDITEDMGSFW
I206_02020	MSQSNPLTRRTPLSNPSPISVPTSHPIHAQGQIPKIYDESGADK KLRTHSEGPTGPSQAFGGKRKSASGSAGKLGKREYGILGFIVVIGWWVRMYRLGRPDS VVFDEVHFGGFATKYLKRRFFMDVHPPLAKMLITLSAWVGGFDGNFDFKDIGKDYIEP GVPYITMRFFPAVLGLALIPLTFLTIISLRLSLATAILGSLLVAFENALITQSRLILL DSFLVFFTALTTLFWVRFSNHDSEGRAFTPTWWFNLTLTGLSLGAVVSCKWVGLFTIA MVGVGTIRQLWLLLGNLKVTPRQWIKHFSARALCLIIVPLTFYMLMFRIHFWILNESG DGDGFMSSEFQHTLQGHGMEDTFADVSLGSKVSIRHVNTQGGYLHSHNHAYPGGSKQQ QITLYPHRDDNNVWRIVNASSTDGPASYPWDDLPTEWVLTGTKIRLEHVITEKRLHSH DVRPPVSEVDFQNEVSGYGFPGFAGDANDDFVVEIAHRTRGKRDRSARHRLKSLRSQF RLRHALTGCYLFSHKVKLPDWGFEQQEVTCNKNPTWDNSLWFIETNEHAQLPFDADKV NYQRPSFFDKFTELNAVMWRTNAGLTDRHAYDSRPQSWPVLRRGINFWVQNHRQVYLI GNPVIWWSSTLAIVAYLGVRALMVLRAKRGFRDLHQPKIAFYDEVCSYLVVSWALHYL PFFLMQRQLFLHHYLPALFFAILLFCTVFDYLTSTIRPRTRIQVAAVIVILAIWSWNH WSPLAYAGPWTKGKCEKGKWLKTWDFSCNDFHEDLGMYKAVPAVSSGKIENITPDSGV TTTLVEEAPEPIQNVFEAAEPPVEEKTIAPVGPQNEVQMQESTIEVPLDGDAFPVAKA EDTRAPVGNDAGAPAVTDAEADEGGWHGGADEDMKHDDQVRTEEGKAPIGVGNVEIPE MELDNEQEKLVDELLKNDEE
I206_02021	MSYRPVPLNDNPPPLPPKNAEASSSRLHARTASDSRRYGPRVSS QPVPPTTSLLPTRSARDDPRAPIAEGDQRPWGTGEHVGYAAFDGNAEEDDWLHNPDPR RDGKISHDRGSLFTVRGATNIGCLALLAIGIIALFAGYPIIDFYTGNELRSHGAYNLG GINSTGQVPQIAGFPTLIDSDTPDTAYSRVGFDGEDYQLVWSDEFNKDGRTFFPGDDP YWTAADLHYWATGDFEWYDSSAATTKDGNLVLTMTQEPIHDLNWKSGMLQSWNQLCFQ YSFYIEVRASLPGNTRTGGFWPGVWTMGNLGRAGHGGTTDGLWPYTYDSCDIGTLPNQ TNAEGTGPPGALTSGDDDGPVSFLPGQRLSACTCKGEDHPGPSESYGRGAPEVDILEG QINLAEQMGELSQSFQVAPFDLGYQWLNSTKGAEIYDKTVTKFNSYRGGVYQEAVSGL TLVEPNGYEGGGGGFAIHGVEMFADPNHRGNGHITWVADGKKSWTAYPAAVGPISSMQ IGQRLIPEEPMSLIVNFGMSNGFQPVNFNQLEWPAKMLVDYIRVYQRPEGRIGCDPPD RPTAEYISRHMDVYTNPNMTTWDAAGLSFPVSMVMSDSRLIKRVRNADQDVRLFRKTP LWIHAKFSHLNRTA
I206_02022	MSADQAKLAGRVGIVGTGHRARLYTHSIASRPQLELVALCDTNS DRMDHHNGLLKEHGRPEAKKYAAEDFNKMLDEQKLDILVVTTIDYTHDLYIVPAVKRG IKVLTEKPMTTDVEKCKKILSAVEENKGSVSVLFNYRYNPVHWKVAEVIAQGKIGNVK SVHFEWLLDTVHGADYFRRWHRYKDRSGGLMVHKSSHHFDLVNFWINSKPASVFGMGA LSFYGTEQGKKSGWARNYDRARDSKEAETDPFAIHLEDDAGLKALYHNAEHIDNYHRD MNVFADDITIEDDMSVLVHYESGVNMTYHLTAYSPWEGYRVMFNGDQGRLELECIEST HRVAGKKGGGAEGVVHGEKALTNEGHNKITLQKLWGEKEDVPYVWATGGHGGGDEAML DQIFGPIPGQEEHKSPIDRLSADQIDGALAMAVGLAANESFKTGKLVQIKELLGRQL
I206_02023	MPKAPASKTAAAGKPLHKFYVDASVPVNDNVFDLASFEKFLHDR IKVDGKAGQLGDKITIAKEGNKLVLTSSIPFSKRYLKYLTKKHLKKNSFENFLRVVAT AKDTYSLRYFKVDQDEVEDEE
I206_02024	MSRTHPPSEESKERDVETEATSSENTTAPQPQISSDQAVQPTKT ENIENGAPATPEEDVIPEGGYGWVVVGCIAALNATTWGINTTYGVYSSYYLANNYFDG GSPLNYAWVGGLSVATCLLCGPLANAMSRWLGFRVTMMFGVAGIVLGQCMAGICRTFG EFLFCQGILFGLGLGLTLVPSQPLLAHWFKKRLALAQGLGTAGSGLGGLILSNTTRLA IDHINVKWALIINGLISLVCMVPAVLLIKGRHKAVGARQAPFELKWIVHPGFVWVWLW AAFTMMAYFIALYSLASFATSGLHLTQTNGAALQSLLAAGQMIGRPLWGYFLDTGGRI NLTIVCYIICGISTLAIWLPSKSFGVLIFYAIIQGMTGGTIWSVAAPLTARVVGIKDL GSALSIFWLILVIPALVGQPIAIALLNYSQNNLGRKNAEAYYISIGLCGGMAIFATGL LYGAKRWIQGSWKVFEKT
I206_02025	MFDQLDRFQKTFLLALKEGDDLSESYANLYDLSRNLTEITKTGT LGAKTATIAWTVANNIALVSKEALMLEESYSSSMEKLTHKVNHILLCDHGKRSRPSSS DSSTPVRSSKRYRAESCSSPSTPPTRSTSPTPSDSPSNQKEPDHTIVRTWFLQNLQKP YPTQAQKEHLSKKAGITVKKVDSDLTNFRRRSGWTECMQRFCGGDRDKMKKMIERVIA GKEERLEVIDSVEGIKDYLGKREEERVGDWVREITALTSTLPKVPNTPSSSETSSTIS KHRSTSSLSSMSTVSNMIRTTQRSSSSSSTISTASSVSDVSFIMPTLSKKRLNPNAQP FTPNKRYVPNSLSMTRDVERDVRRLNPYDPSIWSTNTAIPLLPHMSSTTHNSWTIPRD SSFQPVLSEYTEGSVSGISRNI
I206_02026	MTTKALDVIFNTAARISEACQLDPANSPLRSQGVEPSLVLPTRE WILPYMSDLSPRLLEMVWQRANQVIQEERKTTLGLYRTTVSRMVSLESYGGMSDRETE FKICKAFETHYQKSCFRIRQTVHATLSRQRGLEEDDRFKRSASFSQRTILLLEAAYTR TKILSTAETAIIAEAAGITPHQVRTWFQNKRNRGDKRQQISNIQPQARKIQGLPKRTQ PQASQYIKEEPQSLPNRKVRGLPRRAQASHNAPQTPMFSETPYSDISSSVGNGISYHT NGNAERMKRSPSSSSSVSNSTDIPNGGFTSPYESMLQHGQEVPYISLEWGAGMLNIPM EMLQSNGIHQVPVFNFTPPSPLNLNFNQVLNPAHFADTQNMFGGQMYDTPVEKQSFNP FTSDPNGLSSLESIESLLQSALSDPSSFEQFPTLATSPQFSLDSLSPQSEVPSLASLG SPDWSNESEKGLDPNFFQALEGMLAQQGLSSINEIDSALDEGSSAGPSRCVSMSSLGD YGSGVEILSANEGIDLSYIAAIPLPPSPQKSSFDLQPIADYSGPTQLDESIYMGSLDD TSEFLNSGMCTPSSTTSGYPLVTPTSQSEPLAEVDQSQWSWIGGVLPFDNLAGMEIEM MEFGDAKMDGWSSGGGELIAA
I206_02027	MLSTITSSQYSKPPSNKELPHEDVEGTIGAAVDITTHDEVFGDI KHDGPNYRNVGWVGAVVLLLKSQIGLGVLSLPFALMTLGIVPGVLCLVAIAAIMTWSG YCVGVFKMKHPQVYSVVDVGEIMFGKIGREIFAAIYCVFMIFVVGSGIVGASTGLNAV SLHGTCTAVFVAVSAILGFLLASFRTLGKISWLGWVGLTSIVAAILTVTIAVGIQDRP ALAPQTGEWDKEFHVIAHPTFLQASSAISSLILAYAGVPTYFSIAAEMRDPRLFNRSM FISNAIITSIYIAIGTVVYYYCGQYVASPALGSAGVLMKRICYGLALPGLYVTVTIYL HLPAKYIFLRFMKGSRHLNSNSFVHWAVWESCVLGCAVIAYIIASAIPVFGGLVGLIG ALFGTFFCIGLMGAMWIFDNKDRRHTVKTIGYRALFFMNAFLVLLALYLMISGTWGAV QDIIDSYNASGGQSAWSCKDNSNSV
I206_02028	MSTIHTDKPEVQHIDDVHAEGEDTLDHEKQDIYAGKVDPETAKY LDPTLIIDDALNKQIKRLVDIRILPFLLLIYFCQTFDKATLAFSSIMGLQQDNNLKGQ QYSTLGTILYAGYLIGEIPVNRILQKVPLGKFLGILVSIWGTIVCMHAVCKNFGSLMA VRFLLGLFESGVQPALMLLTTMYYRRNEHPTIISYWYGMQGAQLCISGLIAFGLTYVQ SSPIKQWQALFLIVGLFTVVVGIATFIFLPDSPMKAKCWTDKQKTMIIERLRINEQGV QDSRWKWEQMWEAFQDPAVWCYWVMQIAGFIIVNGLAVFANIIVKGLGFTVRQTQLLN LAQGGFSLIIFFGTAWVARLTNQTCLVLVGTMSLALAGTIVLLTVPVSPKTAPGLLLA FYFANFVIAAGSLLYSIVTRNIAGQTKKATTSAMLFVTYGAGCIIGPQVFRAKDAPRY KLAFSVHIGLYAFFIVMTLILRFIFMRRNHVRRRDHEGTNHTGAEQIDHDQAFADLTD LQNKRAFRYVY
I206_02029	MPAQITHVTDVPDMKAVPHLLSPAVRLGDTLYLSGQTPIDGTGK VVDGDIEELTTQCIRNLEKVLKHAGGSLNDIAKVNIFLKNMDDFPRVNAVYEKLMPVP KPARSCVQVAKNPGDVRIEIEAIARAP
I206_02030	MSIERYNQPMPYEQKSEKDVPVDTYYNGPAGEDIQPREEETIRA LKSRQISMIAIGGAIGTGLVIGSGTSIARSGPGSVFIAYCLMGVVCFGVLLALGEMST KYPTKKGFAGHGTRCVDPAFGFATAIIYLCKYLILSPNQIVAGSLVIGYWNQSINKAV WVTILIVFVIAINTLGVKWFGEVEFWLSFIKIITLTGLILLGLIIDLGGVPGQDRLGF TYWKHGRAFKPYKSTGDTGKFLGWWNAMVLALFAYTGSELVAITVGEAKNPRKTVPAA IKKTFFRIIFFYVFGILIVGMVVDSSSPLLAQAAKKGTSGGASASPFVVAIKAAGIKV LPSIINACILIFTISAANSDQYVATRTLYGMALDGHVPRIFRKCTKRGVPWVAFMFTG MFMGLAYLVASADALKIFNYFVNTVTILGGLTWVSILSSHVGFMRGMKAQGIDRDTLP YKSPFQPYLTYFSLFMIVLVCIFKGFDAFIPWDYKTFITNYIGIPVYIIAYVGYKLFY RTKAVKMHEMDLTSGSREFHDIDEEEQDDLHYQTLTWKEKTIYHIKNW
I206_02031	MTSNVRIIVVFGATGVQGTGLIEALSPHEEFSILALSRNPQSES SKYLASLPRVKVVKVPDDCMEEPLKVFQSLNLQKGDVHGVFSVQGYVDDTTMIRQGKA IADASVEFEVKHIVYSSVDFAGLENTGFSAFECKREVENYIKKLSIQYTFLRPVQFMD IWLPKIEFQFKVGRTVWSKFTYYKNPNKKHQLISSKDIGKAGSIAFIKGHEWKSDGII RLAGDELSVKDIQLIYKEVMGENIPFAPSFLAWTVKQFVPIVKQFAQFFEETGYNVPI AEVRKDIPDLEDYRTFLLRHKNKM
I206_02032	MSSEDIHGYKADAYLGGEGDKDVVTQDTVEVPREDPKTYEEIYN NPHRGLRMRHVQLLSISGAGSPLTAAGPLGLLIGVIVWSTVIFGASNCLVEMTTLLPI DGGFITFAGRFMDDTIGKAVGWNFLLAQASLVCFELTAFNVMIEYWTLTLNPAIPIAI GLILFAALQLYSVRWFGEVEFWISYTKILLQIGLTLYTLVAMCGGNPLHDKFGFRSWK SPGPFGAAETPALRMKGIWDAVLWSCFALGGPDWISLIGGEVKNPRKILPKAFNSTVL RIILFFYLGALCVGINAPADDPALLGAIAAGAPGAAKSPYIISMNRLQIPFLPDLVNA LVLVSIFSTGNAAVFCSSRGLYSLALRGAAPSVFKRLNRNGVPYLAVTAILLFGCLAF LSLGSGSVVVLNWFLSLVGAANLVNWTSIAVTYMRFRAGLKAQGKLNDDFLPVRAYLQ PFSSWWVVICAPIAFIASGYALMVPGGWAGDNFVFTYGAVFIFAGFIILFKTWEVLRH KKPIRFFIPASEIDLTSDLDVIEAITAASEYVESHQDKSKGKKISDFFS
I206_02033	MPLARKDSKILIVGGGGTIGSSTALHLARRGYKDIRLLDIFQNP SLNSAGNDNNKMAGDDHAGIWGEIVAEAWEMWIHDPLFKPHAHNVGRLDLTSKAEREG KLRARYEAIHKEGRGHLVDWVEGKEQIVDKAPHLAHADLTGWKGIYVNNGGWVAARNA LNAVGHELRRLGVRTAFGTSGTFKEVLLAKDGKTVRGVRTADGTEWEGDLVVFAAGAW SPALLDLEDQCVSKAWGYAHLRLTPEEAKKLKGIATTYNHDLGFIMEPEEGTFELKVV NEFAGYTHMQNVTPFGAQKEIRMSVPRSHAMNPTDTISTESLQAIKKLIELWLPEFKD RPLHKMAMCWCTDTKDMNWLLCEHPRYKGLVVATGDAGTTFKTFPNVGKQVVDLIEGK LSENRKQLWRWRPEAGDNGTPREGEQPKDLNEVEGWKSDSVKAKL
I206_02034	MTTYHTAQLTNGFNVFYQEAGSRSSNPTLLLLHGFPSSSNQFRQ LIPLLADKYHIIAPDLPAFGLTTVPDNFVASFAQIANVILELLDHLKIESVITYTFDY GAPTAYRLALLRPRLVKGLIAQNGNAYEEGLASWWDPVKVYWKTEKGSDEWKQSRKIL TGALSLDSVKGQYLDGLSEDLASKVDAYAYTLDYISNLTGEQKIERQLDLFYDYQKNV ELYPQFQQFFRNSQIPALVVWGGRDEIFPTPGALAYKKDLPNAKVKIIEDGTHFLLET HVKQVAKEIREFF
I206_02035	MRFFSRNKNIDDNDGQKATRTALVRRLDLFLMSYVCVSYCMKYI DQQAHSNAYVSGMKEELHFKGNQYNWVGTYFSIGYTIGTIPSQFLTARFRPSVIVPMF EITWSILIMINAAAKNVNLIFGMRFLIGLFESIAYPGFVSVLASWYTPAELAKRVAFL QASSAAGSMFSGYLQAAIYKGLNGAHGLSGWRWLFIIDGIISLPIACSGFWLIPDTPK NSRAIWLKPHHLEEAEERMKEIGRAPKGKLTLAKVVAVFKEYPAWIIMLCYPPCIVAG QAISYFNLYLKSNPSGHKFSVYQVNLIPTGGQALQIVATIGSAALSDYFQARLAMIWI VAGIGMLGHILLSIWDIGFNGQFAGYMLIYCAVGAGALMLTWFSELCSADAEVRTLII GLANGIGYAWISGFPFLMYPASQAPHYKYGYKMGAGFYAIAISGCTIIALLVRKYGLP YQNVPRSKLEGGSDSSIESGVPENEEEKVEGEAIPAVDRLSSHANR
I206_02036	MTVIAAPHELDEPLYPAYMPTLTDEIFPEHEPFEHDDPALRADP SFPNLLGPKTIVKNVTPLFGTELDNVQLTELSSAGLDELGLLVAQRGFAVFRNQDWKD SGFKKQLDIARHFGPLHKHPVQPRPATETEIAVIYQSAAEVRRINYWGNRVSGVNWHA DQTHERQPPAVTFFGVLENQGWCGGDTIMSDSVQAFNHLSPVMQNMLIGLRAIHSSNA LTLKAKKEGDALRREAVNTPHPLITQHPVTGDKVLFVNEVFTTSIEGMKVEESDNLLK FLFAYIARGADFQARVKWEEGTVIVWDQRRAQHSATLDTPHDKRRHMIRITPLGGKPI PATRD
I206_02037	MPKPELEFRPTKNFPWERKVGFAQQILAHDPETGDITKIVSRDP GHEQFGPAQVHEDFWEEVYILSGSIYDKALKKWFTAGEYCCRPPGMIHGPYTSCPNEG VKMFVNVRYVRKSDLPENS
I206_02038	MSENEGITSSKLIRTNKKITRHRPFLSCTECIRRKVKCDRNNPC SSCKNRQKSSECTFPQTPPNSEKSYNRQSFQSNSRDITRSPSNSPIITKNTKRKHDQL NEGSSIRLDNLDNSNVDGIIVEREGSWISWSGREFTNRNDNNYTESAVKDMEDYLTAG AMSAKWNISTLNNEGNGRDISPISEGVIERLTYQNCIFTHKGLYENILNLLPKQKEAL HCLVARYFSNVAWHWHILHRQSFLEEYEAFGILFDRGQLNQVDPLWLANLFAVLTLAA NSFDEVDDITGMFFNATELAGLPDKYCRAAQSCLECGDWLGKARIRSIQALSLIGTYL LFNAAPDCVERIGMYCITSMRMCQELGIHTLSDDPIKMPLPDPSFPQQPSMLKREIVL RLFYNALTLDLLQHRHRPFMNLKDVTCGLPGNYNDEHLRFDTEDVPRPVPSLTETAID ILRYESARMQREWLDLVKGEKIPRNEKLLDIDHRLMQVHNRYALGQIDLHENRQRTWS KLISVYNLHVRRMRFHRPFIRPDDQSSTQVALRKAVEEAARGLMLAALELYRLGAPLV RGSFFLLHLQSAVVVLVQNAWHVSDLSINNSDDELVLNVLSIFQNYQSSLRFQVRRAA RIGARTIKLLVEAINDRRSFAGSHESFVHALKRISNTVKREERAQDQLESSLTIAQSQ VNDGNIVNANTDNLFSDPALEAWMFSFMNVSNGENQIWDPRPSYIEGST
I206_02039	MPRLRVTRSTIILGIIGLLFVSFFVFSPGPKYDLDFDDLDLDSS DSSLSGVALDKVRNQQKALNQWLSDSDPTLPQGLEYTKDGYVRGWEKIHALLKKGGLK KKDQKRLRALVDNHPIIELMKQGEKKWTDLLDRQSKTLPQAVTEYRRRYGRAPPKGFD QWWQFCKRNQVKIVDDYDQIFRDVEPFFALSPMMFNKRVNELTETQHVAHITLSPDGP SSLFGQRAHSARPRLLFQLLEPIAQYLPKELTFTLSDHDLGSWILGDDQKQAAFAAIK AGSYLSEDELKVLEKREGRKPVKGLVSACPENSPGWQRGIAVRDGLPLDEFNPSTDGE SSFIADPLQTYDFCYNPSLLKLHGSLSFDFCRETVLRPIFQLSKFVRNPEFLTTPLEA YDNFTSVEGRKKYVPWEQKSISKLFWRGSTTGDSYSKRKDYTWHQSHRPRLALMTQET KGLKDVWVKRGKDWDKESWSVSRLNSAYMDIGLTGGPHQCKKEDGTCDEMAEQIEFKE RVRPEDAAKYKYVFDIDGNGWSSRFHRLVMSGSVVVKATIYPEWMTDWMTPWVHYIPC KIDYSDLYDIMSFFAGPPDGRVGGHDDLAQQIAEQGKKFGEEHWRWEDMQAYMFRLML EYSRLLADDREDWSYNKSYD
I206_02040	MSGPAGAGQANSNFAGLVTNLVKKKKTEDASVDGDSSQVQDTKP SKGLPSTGLKKNTKEWKEINGNGNDHEEEDNLDQSKFRKRRDSASSSVSSSSSIPDNA NGEDETRTQEEQINDQEGPEGEGPGKHEKSLSNGDGPKYHNEENHNITESPTEEEPPT QFSRSSTGDEPVPKQNGHDHGNGEKKSDLTIHKDSHDIDPEERTDRRDSEREKKKTDE LGRRPDAMTGVKDEEGPSSRNEIVLDPRISHMHYHQRREPTVEGLKLGPILKVTADGE GEFPPGETPLPSRQVSREPSISSPSRQTSSHDIESYERGMGDTLDEGKWRNAMSGQRS RTNSIDRKGKRRSVNTRFSSFRDIHEYISNGEDGEGSSPDSPTHEMAQKRWSMLRNRV IPSKSTSTGSTAPTPGKVSALSPTVIASIPVTTELFAGQLPVMIMKTWLDRDEDGHRA VPVLLGNLRFRVGDSVGLRPGSETGKEMFKLECEYGDGAVKWVIYRELRDFLSLHAHY KAANFGTSVAGLRSSRRVEIPDFPKMSIPYLNKIAGNQQSQGKGQLNGNEKEREKPNK PVGKAEYAQASRDALQQYLVELIRAVIFRPESNRLCKFFELSALTLQLAPRGGFQGKA GFLKIPDWGASRRANQPGLAPTSWAAHRKPKWFIVRDSYFVATDGPESVDYYDVFLLD ADFSIERPKRYYRTGLHLFKSHGSGKTKSSNDARDEDNPNDIDLDNPFNRELIIASGE GKGSKGQSMHDDGEHHASQHVFTIANSQRRLKLVAKNARQMHQFIVSMERIAAQCVWT HRNRFDSFAPLRVNVAAQWLVDGRDYFWNLSRAINMAKDRIYIHDWWISPELYLRRPG DERYRLDNLLKRKAEDGVKVFIIIYNEVSDKTTPVDSLYTKRTLTGLHPNIMVQRSPS HFQTGTFYWSHHEKLCVIDETIAFMGGLDLCYGRWDTSQHILIDDEHTSPDGPDGPVW RGKDYANERVMEYSSLDKPFEDMFDRSKVPRMPWHDVGLQIIGQPARDLCRHFVQRWN LLIRTKNHKRQMPFLLPAADFTERDLQDLKLQGTCEVQICRSVGPWSMGTLTKIEHSI QNAYVKSIELSEHFVYIENQFFITSTVVDGVRIENQIGDALVNRIIRAYREETPWKAC IVIPLLPGYTYPIDAGEASSVRLILECQNRTISRGTNSIFSRLRKEGIDPDEYITFFS LRGWAKFKSGVLTTEQVYIHGKTMIVDDRLVLCGSANINERSQRGDRDSELLAVIRDT DMIDGTMAGKPFKVGRFAHTLRVRLMREHVGVDVDSIDEDQLMSREPVASADEVETWD PDHEQDSDDEATAGITKIKARTARDRLMTTVHSGVTSVTKGMSENAISNVRKAADKVI HPIAAVVGGDTVAHHMMDNGDPEERADYDPTQEGAQTAGFASSMVPTLEEKTIFERRP SGTHANGKPLFDVIEESEGGHGNGNGTISEGDHENGNGNGNSEGDKLEEAKVPEHAKK DTLISDDNPSAKKSGAPKILSKPNETELYGTTANTYLPNDISNDNKDNKEEEKIEKGQ PREKEIPEALKARKTLRKHLNAKVQVSPWNMPTPTPKINPNRFHDPLDETFWKDMWVA VAVHNTEIFRKVFRCIPDDLVTSWAQYKAFANHAEKFNKTPEDVAAPGHDEPVKVTHD GPGTHGAGGGGSGGGHVDDGGDGGGSTKGHKSDTRDSDVVDGKPKEKNRRESNGKSPT MDNTPNSPSTIRTTPEGVNVGGGSDRKPSSADEAWAEWERDEMEELLGEIRGHLVIYP TRFLEAEDLANNFLFNSDKILPLPIYD
I206_02041	MSSKHSLSAEKNREDDNQIPSSAVLNTLNQPGALNNELIPIVDT YIPPKKTWKSYIWSTLDVPKEEAKFLTKLDITLISSAALGVMIRYLDQVNITNAFNSG MKEDLELYGNELNFANALWSAAYVFGQIPSNLLLTRVNAARYIAFLELAWTAFTFGTS AVKTVNQLYAVRFFVGLFEAGHFPAVMYVCSSYYKPHELARRNSLIQIFVSVGPLFSG FLMAAVYEGLDGARGWPGWRWMYIVCGAISLPCALWTFFAMPQLPGRAKPNWVFSQAD IDLARARMPTERKFFTGLFKWKDIKRWHSTWHVWLFPFYFLCAGQIGQAGGSMIFWVK SYNVKGKPAVFSVAEINIIPLGINIITIVGALTSSWISDSLPGSARWPSMVFASLVGV VIPAALGATPVHPANRGTRWALFYLTSLAGTAAGVVWTFVNETSRHDPEKRAYVSAMM NAFAYIFTAWVPIFTFPTKKQPYVNKGMFATSGFAAAAGITALVIGYLDHRDKKRAKL LGESEHERKDSETGSAPETPFDEKAADLRG
I206_02042	MVVSVDGGCFCGSVRYHVELTSSDEAHGSLCHCRNCKKAYGGGF MTAVKIPISCFSYSKGNAKVHAMDNGSGVLVTREFCDMCGSSILEYPESTKETHRFIT LGTLDDPTKFEPVYEIFTKDRLNWVSPVPGARQRAKL
I206_02043	MTQLTENLKAKNAEYASSFVKGHLALPPAKKYLILTCMDARIDP AAAFGIDLGDAHIIRNAGASAKDALRSIVISQQLLATREIILIKHTGCGMLTFENKDA YSVVDNNLGGQASKELKDLKLDFLPFPDLEAAVGDDIDYLKRSSLVPEDVPVSGWVYE VETGKVRRVV
I206_02044	MSDVEKNLNDHSASGSLDTQTIPVPEGGKYDIDDADHDAVFGVR KEGAVNYRSMGWIQATIVMLKTIIALGVLAMPTVLSATGGVPGALIILVIGLITTWTG HVVGLFKKNHPEVYSMDGVGYILGGKWGREFFTIAYPMFMIFLSGSGFVAISIAFNAV TAYGTCTVAWVVVAMVGTFALASIQTLSKVSILGWVGFVSVMAAILIITIAVGIQDRP SAAPQTGPWDKDISAVNHQGTFLGGMGAVSTVVFSYSGTPAFFNVVGEMRKPRDYDRS LYCCQSIVTATYLTIGIVVYYFCGQYLANPALGSAGVIIKKVAYAVALPGLFVSVTIY THVGAKMIFVRFLRGSEHLTAHTFTHWAVWLGTVAGCVTLSFILAEAIPFFGDLVNLI GATLGTLMCMIACGWMWLHDNLPRRKTDKSLYYKMLVVLNVAIIIAGFFIVITGTWSA VVAIRKSYSTGLITSPFSCADNSNSS
I206_02045	MVNSTGSSSQTLIDKVEINVDCGEAFGSWEGGPDEALMPLIDAA NVACGGHAGDPVIMRRTVALAKRYGVKVGAHPGFPDKAGFGRRVLVMSAEQAYAEMLY QVGSLKVFLDEAGVPLNHIKPHGMWYIMMQDNEELNDASMRAISHFKVPVYGMPNTLH ESGAKKYGIPFIPEAFVDVNYDNKGVLLGVPGSRKMTTDDIYQAAASLAKKGLVPAVD YSLVDVGVKGQPFTICLHSDFKTCKENIAAARKAVDEVNNELYNSP
I206_02046	MLTIDSFQRIRKVLIANRGEIACRIIRSCKELGLVSIAIYSKAD RSSAHVRLADEAWLLPGNDQTAYITEEDVLDIARKSGANAVIPGYGFLSENDGFAEKV EAAGLTWVGPSSSVITQFGLKHTARELAVQAGVPVISGTDLLKSADEALAAANKIGYP IMLKATAGGGGMGLQICWNESEIEAAFASVTARGATLFKNTSMFMEKYVAKSRHVEVQ VFGNGLGGAVHFGERECSIQRRHQKVVEECPSPFVHKRPEMRDRLTSCAVSLASSVNY GSAGTVEFLVDDTDGSFYFLEMNTRLQVEHGITEMCYNVDLVSLMLQQAEMQARGNGG LDIKALQALQKESPTGFAIEARVYAEVPSRNFAPSPGLLQHVDWYEAPGVRVDTWIQS GTNISPFYDPMIAKVIVWDRNSHDQATEKMLSTLTKSKVQGCPTNFQYLAAIVGSEAF KIGDTTTAFLTSDHFKFSPTTVDVISGGAYTTVQDLPARKGVGNGVPESGPMDPISFR VANILVGNDENTEALEVTLVGPELFFHAPAIVAVTGGVVDVTIDGEKADMYSQLLVPA GKKFKLGMVSSGCRSYIAIKGGFPTIPVYLGSKSTTTTLKLGGVQGRHLLPNDSLDLD PSTEEWVKDHQLISIPQSARLDALWRNKWELYVMPGPHDEPEFTTEDDRKVLYETEWK ISHNATRSGYRLKGPRLTWAREDGGEGGSHPANVIDEPYSYGGLNWNGDDPVVLPIDA PMAGGLATTTTIVRADFWRLGQCRPGDSIRFKRISWDSALVLRKRSEEYISQIKEFVD GKSVNDLVPINTELPEDWEETILHQTPANAAKKTVEVKYRQAGDCHIHVTYGPMTAKA LTRAHIQHRLNNINSGEVEGIIAVIGCARSYCVQFDSLKTTQKEMLHRLVELEESLGS SLEPLPSRIFKFPILLDDPLSKKAVTDYMATVRDSAVYLPDNMEYIAKANGINDRETA AKSVVTCPQLVVEVSFLAGTPLMLPLDPRLVYVAQKYNPVRAFTAEGTFGLGGPLTVI YPLESPGGYQLWGRTLSTWDPHAAKPQFEQPWLLREFDQIQFYEVNQEEFDAIYEQFK TGRLTFDIENTSFDPVAYGKFIESIAEETEEFEKKRNSATKAATQEENRLIAAWREKQ AQNAAENGDSSEAEGESIPVIAPMTSSVWKVNVNVGDVVKDGQVVAILEAMKMEIAVR ADASMDGKKVKKIVSPPGTVLDPGQVVLSLAA
I206_02047	MPTGESSNPPVYNADTASRPKKKRTNVGKACEPCRRRRCKCDGV KPSCTTCAVYKDECYWEPREDYRKPLSRQQVQALTTRVQDLERLLREHGLDPGSAGPS DVKDGSDEEAGERKKDIVDEPSGDIREWSQDHIVEGETGELQVHGPTSAFRHLGKYSH DDVRLNHEMSPESPDPLPYGYARHLPQDVYITEEQHDQAINRFFQFYASWGQRSNPIL FRQDLHTALYTNSDVKTPHYSPMLHNAILAIALGFSDEAFLRTSEIRRVFAKKAKDFI DYEGMNPTVATVQAFAHLASYHSLSAEHNLGWLYIGQALRTGVALGLNMDDTRLLKKG NVTSAQARDRNVTFWTTFVQEGLWAPYIGRSISLPEFTASPPTVDEELDQLLWTPEQP PTGIDCSLKAQPGMISTTFVHTVKLMQIGERIMNTFNQRDQVRCGMKWAVLLLIFPNS LSLSTWLESLPPSLTFHNHAPKNGLPHILMMHLSHAWLVILLHRPFYRPLAPLPNGAN SDSAAASGTSTAAWAVKQCDKAALHMIGLLQTWHRFHNLRYCPPTAIQCCFIAGTTHL LSLASSQGPKKQSEALLRAQECIKLMKLMAVSWPAAKHQQMLLENLLSEYGLSMGSNR LTQQIDQMNLEPQRHPHTQNQMPMTAQNIAYEQIPSTAFKPSIPGPVVDPNMVLPAPV SVNSSNASPPPTANGMLEGSNAWMNMNAVGSGLGNNSYLYNPITSSFDASALPSIINT LMPNPNRTFDQPPHTVWDPNGFELDRDTQALLDNILRPHLDVEDPLQFDFNQGYH
I206_02048	MPTHATIEVPNFGKVEVPTGLFIDNEWVESLSKETFSTVNPATG KPFLDFAHAKKEDVDRAVKSSRKAFKTVWGNNVAATERAALLNKFADLIERDSAKIGA LESLNSGKGVRIAREFDVGDSVAALRYYAGLADKSHGQTLNHFGGEKFVYTLHQPIGV CGQIIPWNYPLMMWAWKVGPALAAGCTVVMKPSELTPLTALVMCDLAKEAGIPAGVIN TLPGLGATTGDAISRHMDIDKVAFTGSVITGRRISVAAAESNLKKVTLELGGKSPSII FDSADIEQAASWVAMGIWFNSGQDCTASSRLYVQEGIYDEFINALASKAKACAIGQPS DEATSFGPLISEGQRDKVLNYIESGRSEGARVVTGGQKWDKSNGGFWVEPTILADTTI NMKVVQEEIFGPVIVASKFKTEEEVIDLANNTSYGLAASVFTNDAKQQTKLAHSIDAG TIWLNQYGILHPSVPFGGFKTSGIGRELGTYGLEAYQQVKAVHQNLTQTM
I206_02049	MTDGVLDVPGTARIYQEEGETVQNAVTLIPAPSKSPEDPLNWTR KRKYLMLSCVVFYTITATILSSDLYSVFDPLSDSTGLSLDQLNVGTGYLYLFIGVSTM ISQPASLAFGKKPTYLVSAFGAALVNIWTAFAKGNSQWIASRLLLGFFISPSFTLVEV SIADVFFLHERSFPLGLYINFLFGGVSLGPILSGYIYEGLGWRAIIWLSSGLTVIMGF VLFFFLEETTFERDHIESSPNTVITSTGSLADQQDDEDPKNPDKADRTTTSVEHEVSH SRQVENMTITDVSPFTPSPWPGPRFWKFMKPHKYALGIMVRGITQPLMLYRLPLIWWS GLMYATYQICFNLIAAISSGILAAPPYNFSTSAVGLTFLSPFLSAIPGAVYGGYITDK FVLRQAKKHHGITEAEHKLKLYIIPAVLCPIGLLMMGLGPYYEAHWIVYVLGCAIVNL IGPLATILTVSYVFDCYHPIRPKNEHGVQACAQDMAPYLLSTILLAMVFTFGFNYVIT PWCFGWGLRNFAISSALIITVINATVLAVLKWGKRLRRIGEHPYRKIIDW
I206_02050	MTVVQSLVSAISTKLGFSNDRQQPYHPLNPLSAAEIASSVAAIR KDFGGDRLWFKSTQLVEPPKAELSPWLDDLADGKAVERLPRIAETLLGKRNAEGAKWF VYKTTILEDGSAEVRSKEEVTSHHVPPDMGEMIAAEKSLLDDPEFKAAIAKLQLPSNA KVVADGWIYGADNVEDTPRFIPFMVYLSFSENPDTCHYAAPLPIVPVVRADTFKLEKL EYTPIFGTGDKTLLDLEGPFPWEAYMPNEYDASIRDAAGLKARDDVKPYRVLQPEGAS FTLEGRVIKWQKWSFHIGFNYREGIVLSDVRYDNRKTFYRLSVSDMTVPYGDPRAPFH RKQAFDLGDVGAGLTANELALGCDCLGEIAYLNFDHFTQDGSPLLQRGVVCIHEQDDG IGWKHTNFRTNRPSVTRSRILIIQTIITVANYEYIFAWRFDQAAGISLEVRATGILST AAILPGETSPYGNVVSPGVLATNHQHLFSIRIDPSIDGDSNTIVQEDSVAMPFDKANP PADNKWGVGYTVEKTPITTSGWADAAPEKNRVFKITNPNKINPISGKPVAYKLVPAPS QLMLAHPDSIAYARAEFGEHHLYVTSYKDGELYSGGLYTNQSNGNANGIRSWIDRKDN VENTDIVLWHTFGLTHNPRVEDFPVMPCETHMIHLKPNDFFTASPAIDVPASTQAINQ SRLHQPGQHLQGSDELEKAAKSNGLNGKSCCK
I206_02051	MEGSSSILRNSRSDESGSKTPPKQALVSTNVNVLDTDQIIDHQI ITPEGLEKDAFSPFTAFGLAFSVINSWVVLVVGLGSGLISGGPSALVWGFLYASICNL ATVLSHGEIFAVYPTAAGQYHWAAVLSPHKWRNSISWITGMLNVIGLWLGAATAGYLS MILLMAAITVNRPDLALSVGQQYGIFAGILVFGPSVTLCLGMRANRWLDQGLMVISVL CALSIVIALPATAPTRASASFVFGGLNNMTGWNSIVIAWLLGLLQSAFAYLGFDLIYH ISEEMPNPRQDGPRATMWTIIISALSGMAVLLAMLFCITDITSVVSTPYILPFAQISM DTTGSKGATTIFLLIPSILFINSVRGIILTASRVLMALGRDNVLPHPKVWSITVRGEP IYGLVLSVIVPLVCGLVQLGSTSTFNSLTGAATIVFEISYAIPAILMLFGGRRKLNSV SPLRTSNLGRWGVPCNLLAVFFVFQSCIIYCFPASMPVDASNMSYVVVFVGGFAVLLS VLWFTLARRRYEAPSEQSVLSIIDRGNPLNGPVQHDSIEI
I206_02052	MTLSESEYPHTESSTALTLPPRRRITRTRTGCKVCRRRKIKCDL EKPECAKCVKYGVQCTYPGKQPEFIGPRSIRPAPSKGESQSAHKRQAPSSLAEPAPVS SKGRVSNHENDAPGPVSTIALADSPQIPLMSGTADQLLVPSILLTMPTPTMTAKRNTV PLGWTATLEELGPLDRLLAVCRNTRMGLFFIQPSIPPDFLRSFFPSSEDLQCFHHCIT YTLSIIVVNEERNPWSEHVAPLFMSNEGDQSGSQALRSALLYLGAVHLSYLQGRNGDT TSSLNTRNLALKYRTDCVRLLRSLHMNQMAILNPTFFSACALSLTADLLAANNRWREL MRMVKTSIKIGGGMDVILFSSGQVNPAMKCAIESLINLSLLGELVGSFWCADIQFQSP QLRRFDASCGISRSLVLQLSCLLSVLSSPQQLEATTIDRVEQEWVFWDISKDADIDQR TQFGSLAIWHAGRILIMRTLRGAARIDPKVQEAAVTILEICASVGDKVEYLNWPLIVA CSTLVDPEKREIARLRLKEFKFQCCYELEIVQMVCEEMWVRMDEGEDDIACGWVEILL ESGCPVLLG
I206_02053	MSDTGSIMIAPSYTPHIPLEIQEQIFQYIAQSGVKCVRTLVLLN RAAHETFQPILHKHVILKASTTGTLFKYAIPTPPLVKSKEEVDLYTRQHNRLLRVLQS IRYLRVEDMKSLERIVNICIVLGNHKPTQLWKGAKGMITGSSILADMLREIKEYKHSS WQWPTCDDPRFGPIVRKVWNFFRYFKMADTVIEIAFESETISAHLKIAPQTQTEDAEA KKSFVKVSTCEIAHHKIYSPNGNRHTIAEGL
I206_02054	MAGLSTTLPLTPESVRIAYSKIKDHVHRTPLLTSKTLNNIASTS SSNSNSAPKFNIYFKCENYQRIGAFKARGAFHAITHLIEVLGLDELRRRGVVTHSSGN HAQALALAASHFSIPAHIVMPTISTPSKIEGTRTYTDHIYFSGSTSDEREAVVKEVIN KTGAILIPPYDHPDIILGQGTTALELQEQYNEIKIEKNEKPKLKLVLTPLGGGGLTSG ICIHFSNQLNVKVIACEPNYQGGNDFEIGFKNTNPPKRIEKVNTLTIADGLRTPVGEI PWKIFTTTTTTTTTNKTSINNEKKNLENVFSVSENQIENSMKLVLERMKVFIEPSGAV SLAVVLYNQEFRQWVYEEQQKEGGEAWDIVVIFSGGNTTIDAILGIFGNSTDKEREEG IDGLDGKATAENVAG
I206_02055	MSLPTFSNPPSERILVVGAGIVGSHLASFLSTTFGSKVILLDKD IKGLPGSTGHAPGFVGQYNELSSLTELAKRSVSHYISKGEEGFQVVGGLEIGSDLEKR AEDAKSVGISAELINKEKILNLVPNFIDENYVEDNGISGLFFPKDGTANAIKLTHIAQ DEASSNGSVFINAEIQSYSKDSNEWKVNTRLGEFKVGKIIFCTGIWASQLLPHLEHSI VSVSHPYSYSLPHEKRQYKMPFIRWPHKHVYARDHGLKDGLGSYAHAPIKVSREDHGQ TAYGRWENDFDQVLNDGYDLLNQNIASTFKAEGSEKFNGLFSVTPDGLPLVGKVEDDL YCAVGVWVTHAAGSAKLLADELMGKSQDEDEWLRKALDPRRFQKYMSEEEKEVLEKRA LAKYNDIYNKEG
I206_02056	MYIPNIQSILAAGLALTGAVNEMSVISSGSIKDFAVEKCIDSDK NQRCSKPFPVTKSTCYNLKWSTEGAISHTTIEVKDAGSNEIVYYRDTDGEWTANKNEL VYVDFKPKIAGQGNKTVDYEITTCE
I206_02057	MCLIYLLLTCCLAVIAKADYSDEASNANSCASSSPESYNLGLHV ASVFVLLAASGIGVFLPVIMGNDQNGNKFFKRAFFVLKYFGTGIIIALAFCHLLQDSF ETFSNECIGELDYEPTAPAIAMGSILLIWLVDYFGARWVTSPRTECTPIEVANRDDLN SASSSPISNNDTKPIFQDLCCESGCRPIAELDPASKRAHWDVQLLESGIVFHSIMIGI ALGAQVDGFSATFAALVFHQLFEGLGLGARIGALVWMKSEGQVAWKKWLMCMAYTIIT PIGIAIGIGVHESFNENGRAELLAIGVLNSISAGILLYSGLCQLLFAEWVVGDMRDAS NVRVFSALIALFLGLFAMALIGKWT
I206_02058	MATERPPLYPIEDVDTRSLISIMQTWEKDCREEYFHDSSRDWAS LINPRKEAIGRCVTIAKEYAQVYRGTQTTPETLNSRQEKLWTALTDYIYTMFLEDPND SGAHAEFVQQDSEFKTLFEMEARKHERLLFQRSSTSAGAA
I206_02059	MLICITTVAPAAAAAASSAKSGAFDLATLFVADKAARDEAAVDL ATAAKKAGVEFFGQIGLNDALVKALTDKKSAAAREGAASAISTLLENGAGPLLEPYIV SSASNTPFPALLEAFADKAKEVQVASLAAVKSLVQTMNPWATFVILPALLEQIRTAGK WQIKAGALDVLQQLVSSAHDQMAQAMPDLIPVLAEAVWDTKSDVKKAAKATLEKACAL CENKDIEKFIPALIKSLLNPIEEVPKTITLLSATTFVSEVTAPTISLIAPLLIRGLDE RPTATKRKVCVIADNMSKLVDSEYTVRPFLPRLLPGLIKTAETIADPEARSVANRAIA TLRRIGKVPESSDGSDLPPLKIAEGPNLATNFVALIKKNGGVEVEQANPGIAYAGVLA ASLVNAHNYQQNVWEATLPQYIKLALPSYDSLPAVRELLQKKADEEETDELKFDDEEE GEDLCNIEQFNLAYGAKILLHHASMRLKRGHRYGLCGRNGTGKSTLMNAIINNQVEGF PPPTEVRTFYVQHDIDGSEAEISIIDWVLADKRLQASEEEIRTTLESVGFDPVKQKHS IGSLSGGWKMKLALARAILFKADILLLDEPTNHLDVLNVDWLINYLTSLTHCTSIIVS HDSDFLNRSITDVLHLNNFKLKRYPGNLEAFVSMVPEAKSYFQLDVAEEYQFKLPAPP LLDGVKTKEKSLLKMRNVNFQYVGSPVQQLYDISLQVSLSSRVAILGPNGSGKSTLVK LLTGETEPNKGGQVWKHPNLVIGYVAQHAFHHIDNHLDSTPLEYMLWRYQTGEDLEEM NKANRVMTEEEKLKMKEGAVVLKEGVKRLIDEIVARKKLKQSYEYEVSFKGLSSAENM WIARDELVARGFEKKVMELDTREAQRLGLMRPLVRREIEKHFEDFGLESEFVSHNSMR GLSGGQKVKVVLGAATWRRPHIICLDEPTNYLDRESLAALIAALKNFEGGVLIITHNR EFSESICSEVWAMRDGHLEASGHNWVEGQGSGERIDKKKDDDDEVEYDALGNPIAKAK KEKKVSAADKRKAKKDRMARRKRGEEVFSDEE
I206_02060	MVDPISFISRFEHLPNRIKSDEARPLLEKIASQVKPIMKKRGWK VGTLAEFLPSNPSLLGLNINSGQKINLRLRPPGNENTFYEFDQLILVMLHELTHNVHG PHDAKFYKLLEELEEEYYELKRKGFSGEGFHSEGNKLSGLKLNEYEGKAKGLAAAEKR LQQQRMIGRGGVLGGSRNTSGKSMKELIAEAAERRLRDDKTCAVGHGIEAENESNKAH KESIGVDAIDLVKADELKKENSASDIVEHRDKTLDQDGIIDLTEDSDEDIKDSKPSVQ STNQSIPPITCEINETRESIPNTEISPSNSTPNQTVNQTRQIPIKIEINQKNKWTCQS CTLINSSKAQTCEACLTPKPSDIEREGIKTDQGWYCGFCSSGPHDMERWSCMMCGQVR RWG
I206_02061	MSKVVGQVLVVTAVLALVHAAFSTYEYLSTLKALSKPTNSLPIS IIIEALISLALFIPGIAISSDSLEDVTYRGELAKRSIDDQDARMGFMIPSKRGRAIFG DQWDL
I206_02062	MPRYIPRSSLPPQLLSHVRQLNAIPITKPLSQLPSPSDHLLFRS DKPNNLRIQEWVDGRGRWNGISQRERLGGKKSVNFGVEREGRRWGGLKWALRER
I206_02063	MSQIDQKHIYIISTLVICTVLYNLDLSFTYKIPLYLGATILGAC WTITRFCTKWFFYLILPFLFCQYYFLLPWVIRRYVPQARAARLSIVGAFRGFEWHSSK ITARAENGAWRWGGRKDESAGYFIYRVEDVSLRMRNGSEKEFESNRPSQKYNSLPSSC RLPLLRFKQKLSAFIRSQKRIDGFLIRNCIWGIQVAIHYIPGFARLISLEFKNCRIIL EDFENIELVFDEFSFGAMINFQGVVEGELGNQQCLVSPSPSPQPAYQEVMRQNPLCFS PISERGRPTFSPPTSPPWSPIISPTVSPPMSPNFGSLAVPDPLASAPSTPNRQERSTS RLADARRRASVLSSSTSATAGYVWNRVTGRLYGSVTGTASIVNIRLVQPKSSDQPNIP QTPSHASSFRSIQALLRHNHSTQAPITDNGPYETLVGISGRTKAALGLGFGPKKGLWG EDTLDGQFETGEVKGNVAALQNLLDLMKQKRGAELEKPAIPRGKTPHWSARDWKRTIL RAIKSVNVDLQKLSLVHYLNSPSSLDNTEDCYNVSVDLTQLGIKVSAADASNNDRARN AFGTNSAPESKIRGVGFELNWESISLDCLAPNEKTDEKSQLFVIQNGVLDGFSSWRPA GWRREELLFSSDPNLALIVVRSEVGSVNTAVDLQLLHELGAAWQATHPHKEKAQKAVK QVQHQSQPDLPPRIRMAFDVGHISAHLADRLSENTTAIMVDSDGMHFGCFTTFSDLIG RRRDKASSRQACQDEETIRENREKYKDFNIALPPSELPPNTRRSTHRPQAVLYDDYSI ALKGDAQINIEPLRIKIKISDDNFTELATIGRLHGTVQGDVYGRQTPADKIGRYETAT FDWASLSSSIDLGIDEGIHIDLWKMEVIDTLIAMGKAHQQGSPVKSQPAAQAEKSILD RLPSGISARFSLGMINMFVGHEDINPHLRTQDGPPIRGTWIQTSAVFEYALYQHYAQA LPWRHQLTAPMRAKLQLPEDITVQALAFASRYRADGGDAALTSLVVEDFVIQPIYNGE KFDMKGGTRQKLIMKSIPQQQAVNDQCHWGTQRIWARLKAVRDEELRESHDHNGSQEN VPLRPLEQYIPKLGYSVPPIEVSGTDQAQRPCLRIRNSRLHLTIQRTRLNAPTESKIT SRLDNVEIIGNYSHVYCILHTALALKKLLNGWKRPKNVSDTASTETRKPLNISIGVLV PNFTAHIAFPLKEQLFFYSSNISFTKPPTKGILINADQALMYVPSPTTIGEWEELGRI KKLSFTLSDPGKALEISPSLESIRVRVPHRYQMNGLILDINVTIKALKLLLRNFFKSS CNEFSTRHVSIPEEPKRLPTINLLVGYISLEAKDDPADTNLNLIWRAGFLEQAKRNNL EDAFAKKITLMNSSNSSSEDSLNGFPNSNGTGRAEPKLTKKATVDVEDARYRLDVYLA SNWIRRMKAAKREQRRREALTLKPMHGCGPNIKLPINIVPSSQTAPLLRATMENVNLT VSNPGMSREEIIEYMGQVSSPFEPGAKFSLMVPLKINWTMSEAKFTLRDYPLPLLKIP PTDKETSIPSYSMKCDLIIAEEYANEDSTFYVPVEVLPDGCGGENYGGLTLDIAKTIM PVKMYGEPRFKINSTRTTEFTWGMSYQFAIQDFVKVIETFSHPPRDPSPKLGSFDKMR LICHLKPIVEFEGPVHLHLKGTFDPYLISGLGAGFALSWKGNTKFLINQPNEDREALQ VVADSLLIAIPDLTALNDSAATGSSIHSDDSASPQTPMANGVDTDEPESSLIDRRYTK PCAKFVGGTKVGFGFGRERTCRPWNCEQGCGDTDDPLHRKCRIFDFLPHQKIILKSPE VVRREEKRLGRTVDSYEGFRSDYTHFSVSVIAPTKASVENDPQNEDPAHVNSLHCTPK AMHHFIRWYNLFHHITWLPTREGPTEGGDPGSLFIGSRKKSKKESKPLATIKYRFDLR PLYVSHIYPQVTRELWAQGKSESLGIKVRAGRILFDAHSRIQERTIWHEELKVLRNTP HKPLYAADVVADDLTIKGIRAHFVERVRLDAQKFEKLPRSTELPKESKVWFDLTDYID ADRKPLDEDPQVEIIDFGDCPHVYFCRRTNSKDLNLNPNSAPKNRKGIESSKFGFEKT HHCYLDEAESRTEVDKRVTEKRIMELQNRLDSYPTTNNAEYENDLMITQNAINLLKRY LINVERDNPDQYDESGRRTSPERLFQDTIEIQSPRLFYNDASRPLLWSYAYSVSDRRK EEYHVSHKSLREYRDNFRRRKQRYLQAMEDHEERSDSNVPEDMVGELARSVTEKPLDS VFKILNVDNDREPIDAAKLGLPDDVMLKPKLQISIFKPQIALRSDASENAIVLLAVQE ATIKRFAVEDPESVDSVTTDVLTRSFATLKDVQAFYPTTEALNRERSSDSASRALDFV PLEIFLDARSQATDYDRILLRTDIAGSYDQFNRIRIPRQLKWPKAYNAAGDPIEHLRI HQDLMTIITPQIKLFATSKHYDALYTVITDLLSYSDPDHQHRAQAVKDFSRQFDSADR DANRLIIDIHTLQQTMRHLMELQRGYETNLERLEEAGKDELFKIRADLAEGYESLYTI NALIANTLAKDDARAFLKNALRLDVRLREVSWRMLKDDCISSLAQVSIKNTLCSYSNN KNGSTDCALVLGDVSVNSLAPDAKYDGMIVSQDDSSSKKKVKPPFAKVYWSSYPPIGG IPVFPEVDVALASVRFGIEEKVGHQFVDYIFSDRIRRRREKAKKQSTISSNGNATKGS KSDKAETSSIRSTSTRGITQNSSTSTEDLSIPTTGNRNELYPLSRSRSQVSINSHNDP DTLSGFNNNDDARLMRERASVNRYFGRISFHRMNLTLSYTSDDSRKHGTFTMPDCVNF HFKAPDLVYTGKYWVPEEIFEHVKRDTKSAAFNQWSDILPQLWKNTSIFKSKKTLRSL TERTSKAVGVSLPSPLKTITTHTSTTTTNDKEEDNTGKMKSSKSENIISPTNSSTSHT SPLIIKRDTLDSNYSHSSSPSNGNGNRSLRSIKSISPGMKSDESTDEDDNSISGSNGK HKEKGFKGLLGKLNIGNKHHHHNNNSSDELARSKSRGGSIERIVQQSTPSLISRIRSK DNPLS
I206_02064	MEITSLFDVKGKVVLVTGGGRGVGEMIAAGFVVNGAKVYISSRD AKACEETASRLTKEGSGECIALPADLSKYDECLRIVSELEKREKVLHVLVNNSGVTWG EELANYPDSAFTKLMNLNVQRVFTLTQKLVPLLSKSKDQGYVGRIINIGSINGVNPPG LETYAYSASKAALHQLSKHLSTRLGPEITVNTLALGPFRSKMMKFTLDNFENELAESL PMKRIGNSQDVAAACLWLSSKGGEWVTGTVIPIDGGSLVATQAKL
I206_02065	MPPKRKQNDSSSQEGSVQASSQSKRATHTSRACDNCRRRKIRCD GKYPLCGVCKERNCPCEYRDEDKRKTHQEHMEELNTRMDRFEKLIEDLLKNTIDQNQS IQPILSNPHPPYNGESSAIVQRNPPVFQDSTNNGGESNMVNAGAGPSWTITDTPVLPL QDIGTSSFREHSDPGVSPVASTRLKNLGGTNGYERFQRVEEAAGALLQYGPTSLWTCT SPRQTRNESPTNLELQSGDWIDWSHNLPSALNISKSTHDQAMEYFSAFYAPWGITIDM PAFLIDLNRCNLVRAATQSRSAQSRTASYSPLLHCCAMYLGLRMIKHEHPALMKSYEA IFIQHCMPLLLEECDYTALSSLRAYNLHATCVHFVRGSSMEQSMNQGQRQVATGYLHS GMAIAGVHAVSNSVRCADYVSRGLISEKERNLREYAFWSMYISDILRALAAGRQPMFE SPTEVPMPTVDLTMDDTLWISPSIPSSGVPTSYNPNGIGVRSMRSTTFHWMTRLACIC RSVLETLYTPAIRARQEESLDAVSRKLDDWYRQLPLRPVELTPLPHILLLHMYYHLTV IFIHRPFYRGHRPESAERCNQAAISILDLLHIFKRTHQIRFAHHNMINVIFGAATIFL LRIAEPSATHGNEEHKRNFDQCVDFMAQLSLTWTEAGTTRNILVNLQTEYELPGANTV NNAEITTSTVPWIDPFNDMQDIWGMMFNDNNFQWQDFQNS
I206_02066	MITKESKILVVGGAGTMGSSTALHLARRGYKDIRILDMFQPPSA NSAGNDMNKLISTQYIDGIWGRLALETWDAWKSDELFTPFLHPVGRLDLAKKDKRRVA SLKRQYDLNVSAGKGDNVEWLHNKEDILKKGAYLEDGEIEGWQGMWIRDAGWVAARDA LTAVGAELRRLGVKSAFGTSGTFKSLLLGTDGTTVLGVKAVDGKEWPADLVIMATGAW SPTLIDLEDQCISKCWQFGHIRLSAEEVDKLKGTPTLYNSELGFFMEPSPEGIMKFVN EFEGYTRMATCRPFGAKTDITMSVPRSHALNPTDTIPEEGERAIKDVINTCFPQFSNK LLFDKAICWCTDSYDGNWLLCDDPRYNGLILATGDCGHTFKMLPIVGKYVADLIEGRL SREDRDRWRWRPEGKKAAETGREGPQPEDLNDKKGWRHDDPAVSELASRTRAARL
I206_02067	MGNPTDEIEVDREKGDMLDHTVVAHHTPDEPVINTKHLDEIKDR NYDVGAEFFAEMARRPDAHELMAPWTEEEEKAILRKLDYIVLPLVTVSLLLGGVDKVI LGTSATFGLRTDLHLVGQQYSWSSSIIFYGAMLTVFPQSWLLQKFPTGKIFAINVFFF GILTFATIGCKNAGQLQAVRFILGMFEGMNTSGAGLVIGMWWRKPEQSFRTVLVFNTF SSVVNGLLSYAVQFYTPTKLLSRWQLLYLIVGCISVIFGVLDWIFFPANATKAWWLTD RQKYIAVARLAGNQTGMVNTHTKMHQVKEALLDIRTWLYFLISITLNIPNGGLSGFYS IVVAGLHFNVKQLTLMNMPTGVIGWVAAMIWVAIAKYTRQPLLCAMGSVLTCLVGTIV LKVVPHSNIGGSLAGLYIVYMYWAPYMVFSQLIMYANVGGTTKKVAVFGISYLGYAVG NLVGPQSFRANEDPTYPTAYTVMMVGYCACLGLMTLYGILCWRDNKKKVIQEQEWRTA TEGQEQDIGEEWKDLTDREVSALSFNSPR
I206_02068	MPAPVSDKVKICIDRGGTFCDVIAMSESKGDHLVKLLSVDPDNY PDAPAEGVRRVLEWFTGERIPRNQPIDTEKIEFLRMGTTVATNALLERKGERCALLIN KGHADALEIAFQTRPFLFQLAVKKPDVLYSKVVEIDERIIPEWYEFVEEGKTVTENGE RLIKTSSGVTVRELKPLDVEEVTVSLQGLYDEGYRSIAIVLAHSYLWPEDEQRIATIA EDMGFNNVSVSSEIEAKIGFIARGQSATADAYLTPEVKRYLNGFAKGFKGRLEDSKCR VSFMQSDGALADFKKFSGLRAILSGPAGGVVGFAKTSYDPLDGSPVVGFDMGGTSTDV SRFSGTYEHVFETTTAGISIQVPQLDINTVAAGGGSILTYSNQIFNVGPASAGAHPGP ACYRKGGPLTVTDANLFLGRLHIDSFPKIFGSTEDMPLDYEVVREKFQILTDQINREN GSDLTAAEVASGFLNVANSAMARPIRALTEQRGFRTSSHNLSCFGGAGGQHATALAAL LGMHYVIVHKYSSILSAYGMALADVAVDVSEPYVQEFSKEYLPAIDERFEGLKARATQ KLVDQGEIAESVIYDCYLNMQYQGSDTTLMIARPQDGDFARAFIEEHKREFAFVLDAP IMVAAVRVRATAKAPSNNLSETSPYVEELRQLESSQLVIPDPQPFATNSVYFEEVGKF TDIPLFKLQDLRPGMKVIGPAIILDNTQTIVLHPQNSARILKSHVFIDVGLGPKKEID LTSVDPIQLSIFNHRFASVAEMMCRALQKTAVSVSIKERLDFSCALFDPNGELVANAP NVPAHLGSMQYAVIYQAKRRKGELRPGDFLLSNEHTAGGGHRPDITVIQPVFDEAGTE IVFWVAARGHHTDIGGLEGNSHHPNQIDSREEGVRFESTFIVRDGIFNEKEIVDAFMA AGDFPNCKPTKRIDHNLSDLKAQVSACAVGTAQLHSLFEEYGKDVVHFYMRAIRKNAE ACTRDALKPYAGKSYTATDYFDDGTVVQVRIDVKEDGSATFDFTGTGPEVLANFNAPP AITRSGILYCLRTMSGTDIPMNAGVLAPLNIIIPEGSVLSASEDAAVSQGNGEVAQRV ADIVFTAFNVMSGSHGSMNGTHLMYKKYTWAETTCGGASAGPTWDGQSAVHTNMTNTK IGDLELLESRFPGILREFSIRRGTGGAGQHRGGDGIIRIYEARVDMDASHDGQRRVIA PHGTEGGEDGIRGASYLKKRKQNGGFRIVKLKPAAQISMLAGEQLIVHTAGAGGWGIP DGKSQLQNPTPYITSKPGSQPPPFTRANGSVSQWSQTQAECD
I206_02069	MARKAIVKYRSLRSNLVHLPLSIFAQLAQQQARPQSLIIHLSPL SASSSSLSARQPKAAYLGWSGLAASSSLTQAGEGIESIELDPEVAISLGWSEGTLVEI SIIHNPTKAKSISVTPLTSDDWEILEQHASFLETHLLSQLRAAQKGQEIDVWVMGKTK IRIRVDETSPSTSTKEAALLNMDTEVYVAPRPREMSKPKEQIISNPSQQNGSGNVDGK RKGKYISLRLIPYRVASTWGTPDNASINKSKLALCSSNTIERIRRKLGLKVDKNDVII QLDETDEDKVMPNDTSAVQVEAEKKSLEPEIKNTELQLVEWDEMPDGHVTIIGGAQAW ENQWSIVKILPKLPRNGKSARRTSTTSAIPASPTLPLPGQQQLINNAITYLSRSLLSG SNRPILIEGNRGSGKTIVAKIIAEQVEKDRSILAEPVYEDVAKLDPESRLSIIKETIS DWVSNAERRRPCCLILDGLDNLIGVETELNSSSNPAILADHFSRLFSSSSLPSGVLVI VTSTSSTTLHPSLSAKHVFGETLKIPPLTKELRQDILRILANEQASPPASKLINGVHE ESAADAIDYLTLSGLTEGYSISDLADLLGNAAQQSIIRSIKYGEIFRLTMEDFVLAQE AFTPLSLRGVSLQKSEVKWSDIGGLHEPRRMLRETLEWPTKYAQIFANCPLRLRSGLL LYGYPGCGKTLLASAVAKECGLNFISVKGPEILNKYIGASEKAVRDLFERASGAKPCV LFFDEFDSVAPKRGHDSTGVTDRVVNQLLTEMDGAQGLTGVYVLAATSRPDLIDPALL RPGRLDKSILCDMPTHEDRKEILLSVAKKLHFSLDVNWDEIAKRTQGMSGADLQALTY NAHLEVVHSTLDKLSLEEENNGKAKSQKNGYLDIGSQKSNYKQIQPIEEEVSAAVRSE LVNRMNVIGKNNTRQKGEERDLTNGKKENEKPIISYQYLLNSLESTRPSVSSADMKKL QDIYRLFASDRDGKMGDGDLGRSTGTRVSLM
I206_02070	MSQPPRPALKPGDRKDSKVRFSREELAPIRSDPSDFLPSTDPRA SIGSTYIDAQEGEYYDNEGSYPFNSEPVELSESVDNPSQHQEYYREYPVEEEPQYIQN HEPLNDTYTAPPRVVGGRRWSNAIHRPTLDTLHSTEEEYHQQQITSSPRNSVIQAQQY REDQPSPYRPPSALRSSLAHRGDSPRSGESPFREARSSAPNIGYTPPRRMLEQHRFSV PNGSPNIVPNGASNRQGGNQDLYSPGNRGTFGERERRYSPVPAYQEEVYAQNDQRRGS IINHQERENTNYVDGKDRLWVESQNQNQISTRYENHRSDSDETLYGVENEKAKYSPNP RNININRQRSLSDSSKMNLNIPKLNQSGNGMRRRTTRQLEEDNDDYDEDDSNSTYSVK GGVFSQLLKLTGRTNTLSMKRRFSSKSGNGGGGGGGLNTSGPGLLPTMKSLGLKRLSS STSTIVMNEEFDQDDPRVTGQKKKKNHRRNSLSDLPFMRTDTGDSNLTSGKRKRRASI QLHVADILTRQQFVLKLAKALMRFGAPSHRIESQLQATALVLEIDAQFIHFPMIVIAS FGDMDTRTSETHFVKVTDGGLELGKLHKVHNIYKNVVHDEMDASEGTKLIHKLMKSPQ EYNLWQRMLLAFLCSGLIAPVGFGGSLIDGLASGALGILLSFMQLHVASKSAMYSNIF EISIATVVSFTARGLSTTGIFCYQAVASAGVVLILPGYTILCGSLELASKNIMSGSVR MVYAIIYSLFLGFGITIGSDLFYVFDRNARIASQAATKAAQSYIEIQGSFFSNSDTFN STAITGLPIPLFNGTFTFSNKSTDQITSNLNQGSIICVRDPNWPWWRQGMPQIYLILF IPIFSVLLSMWNMQPLRSRQLPVMCFICCIGYLTNALANHYIFDRSDVVSALGAFVIG VMGNIYSRVFGGTAFTSMVPGVLFLVPSGIAAAGGLAMTTNPHHSDSYSQGLVIGFRM VQVAIGITVGLFGSGLLIYSFGRKKGAALFAF
I206_02071	MAGARQRTNAQRKQRDEDEEPLNTNEILDNDGQEEQIRLLREKN TSDNKQAHLALDFGVLISSIISILQFFDHLSSSNPIFSILSVFQFVLLPFSLTPGWIP FLPTISSENHLYSFSIQLTVSLCALFIRYHHSLPGGSDMISLELGEIARWIIPTLVVG AIDLQRRSEKQSEENLLELEKLKYDLKGA
I206_02072	MSRSYDRALTVFSPDGHLFQVEYALEAVRRGTVAVGVRGSSCVV LGVEKKSTLQLQDPRTVRKVAMLDDHVCVAFAGLTADGRILIDKARIECQSHRLTVED PVSIEYITKHIAGIQQKYTQSGGVRPFGISTLVVGFDPNDTIPRLYQTEPSGIYSAWK ACAIGRASKTVREFLEKNYTEELPRDEAIKLTVKSLLEVVQTGAKNIEISVMERYGVI SNLEQSEIEQIVATIESEKEAEAEKKRARVAATQAGQASMAMGSQAVSGTQTPAGPAD EEGHATVPGEETGVQ
I206_02073	MSSATASSSSNTKLDTALHVKYIQNLDKRQDLAYHLTSHLRLNG IYWGLTAAHIMGQPSTLDREGVIEYVLSCWDEDAGSFGPHPGHDGHILATLSGIQIML MEDAIHRINLERVTKFLLDRINDDGSVSGDIWGEIDTRFTYICLSSLSLLGKLDEISS KKKQNIIEYIKKCKNFDGGFGRVPGAESHSGQVWVCTAALSILNRQDLIDIPLLGSWL SERQLPNGGLNGRPEKLEDVCYSWWCLASISIIGKLNWINKDKLINFILSAQDLEDGG IADRPGDWVDVFHTIFGLAGLSLLGYPGLEDIDPVYCMPAKLINRLGLQKPYATLERM TSYP
I206_02074	MEITPLNTSTSTVIRSSLIIPSFTQILSELIQNSLDAGSDSIEV TLNLMKGNESIRVKDDGSGIGKEGLSKVGKRFRTSKTLNETNLGSVGSYGFRGEALSS IASLSLLTVTTKPKDIDKTYTKILKSSKTLYFGIDSSKTINADNGTIVNVKEMFHNIP VRQKELADSNEETLLRQCKRLIEVFALSRPGVKWLVWDEKGYGDRKLIMDIRGAKSSV QIFRFLYGNALVKRVQSIRVSAGNKRVDGFISLFGDIAKSHQHLYINNYPMGRSDLHL SIARRFANSRFGNLATSGEHDSGNRQHSASEKRQSPRRLVRHPIYVLNVTVPSDDVDV SYEPAKGILGYKDYGKIQALLHAVVDEYLKKNGYNRQDNGAAASPSPTKQSATTKRPH GKSPLAKSNTSHNNVNSNWDLTRPAPLSFSAIPPKDSAVSAVRIPPEQLRMNETAAIP PISPIPPISPISSIPQWITNLEKSVDNGLLPFRSSAYPRKKRTFGEMQQEDEHTTTNV YDRDPANVLAARPISLESITKTPKIDVQLSKSSLAQARVIGQVDQKYISTIMPTSSKE KAVVLIDQHAADERISVERVLHDLCEGFKNDTIPITKLKHIPIIVLISSEAKILALDG ILDVFGRWGIHLVLPETIQGDYAQLEVHAVPTVLASRLGKKEGVEMTRLIRGYLAILE EDIGGMKALIDSYQMNQPEINQMDGNSNLDRSHGGHENWGKEMRFMPREMLELVNSKA CRGAIMFQDTLTPDQQNRLVLQLAQTDFPFMCAHGRPSMIPLIAFKDSQSIQSKRKID WRSFKEKNKV
I206_02075	MLFELHRESGRMSAIVSLFLSASKNYRYNSTSSLFSPFTSFFNR TKAPQVASPQQVAPAFLNSISVQDTDALRSAYDTIITSPNPSELLSPNDIHAAMELLA SSSFLKDLETLRRIFEDLPNRFGYDTKDEHRNLLIKGLCNNGRVEEAFILTKSLDPFA VNWRVLLRTASNHSPSIVNHIIPFLQQYSSLEQTDIALILKSLRSSLHTSSSIIVRKK LDGLLQQVQMQSIVLEPVTEAELMRLYISLGDLEKANTIVESWDKANIWSPGLYNAII ELYIARADAHQVEYTINKMREKGWEAPQKAISFLSIQHLQQNIDSRSAVGFGEIVGSI EYAEKISDVSAQADVWADVIRVYLREVKSNDSLDIALEVYSESLSRGIEPSAELAKNL IIPLCNSKESSRLNHAIRVYDDYMSTQGALSTRREQARFSSVYQYLLIGCSRSNPPLI EKAINLIMDMRNHKMEINSNNLVSLLILLMKSSEDHHSAFNLYSHFYSLSPSSIDENG YQAILSTYLGLSWSKSPYPPPELFVTILKDMSKNGYQPSSNILSSLLKTYGSQATKLR RNFKSSSSMKLEDNLISLDEQLDNLGQSIRDIHTLIKLDPLIVIDIPLLSSLMDAYSR VGAYSECFQVWDELIQRRSREKNSKKLFSASLNVILDACGWSYSLKRGKKIWLWSKKY NLIYEKKHYDSYIEFLCRNSQFHEVIEIIFNEMEKEGFIIDKDIIRIVLKFGRREADK GNNVNDVFDFVNRLKKEKGELYNQLKDAGENERW
I206_02076	MRTKTLLQRNDRYLRRRLSALPLELQIIILDFFIFSSFDNLKLG TLLNDECHSRYGKTLYRYMKFEQRHPKAGYAYRKILFPHVKVSDEQGATILEETSSIN PSGRFCIKLSSGKLFRARKLDCRIREKMLKRWLTTLGYAHTITLQDEYSLFSLADTLS LVKDDLPLDFLFRKVKSVILGPPCLDALHRINWRPIYSDQYSPLPGHNKPIGDALKYC FMPEHLCLRLPVNSHCDLDYSSILGWYPIHQWPLKSLSIHGIKSHVILAPNIPTLRFF LANHHGPDNLNEYSSRRSVDFGRLDPKARSGLRRSKKSPYSTRVKVILVSAVVNDIVG EVVTPKGESELTAGKQTPKLD
I206_02077	MTLDIQLSHSDQILSGILSTLPEELNHMILSSFATQSFENLKCV TLLSTGNYTFFAPILFKSLELNKEQGCLSILWSIDAIGNQQDESDEQITNHGRECRKQ HERFGIIASSTKKLSITHEEVIKALGNILKSPINYRLFKNVDELIIRNLNDRNNHNMH LPNDQYIKTIFNSTLPKKVCLDLGIPFANTWKRIINDLSQGSLDSVEEFIQHGIPISN LEVKLIILNDIKIQRFFLEDYIVPSIGQTSLCIQYEMSSSQAIFNRILPEEIHVYANW NGIHGLPLKLVDSNIPYMTDLRKLTFLHDFEDHHVCEICGKD
I206_02078	MSSLNLNDLRIGLDASLQPPATTATEGSNPKLPEDILLMIFVIF TKDNFRNLKTTVRVNLFLYNQFSPRLYRFVSLCHYEVVECAQWVRGLANNRSTSDPES ATALDRTRQRLLSLCQSIEYLTIKDKTVGILIAKITSSANDLFKNVRYLSLGKGFMRF LRKIDNHGKQVRQSREVVTTLGRHLRPKHLCIDTLRPYAKKNVKPPEIWKLEIMKKDW DLDSITFHNDSGLDKVLFSNVTNQTIFHSPESHKRGYRHFNPFHPIIFTLISSTGKRF DLYPSFIKIVHDEDNYQLLLSPELENNIEREHIHSVRDGRNERCVCCNRGY
I206_02079	MSHLNQEYLLPHFNEIVVTNFFFLKPSSPKFPEDILDSIFDTFT SMNFDYLKTAVLINKSMYNRYSPRLYRHVSFNQYTISSFQLPELPKRFKTLCQTITHL SICDKEASQGIAQILSSIPKRISTTLFKNVEYLILKDEVMRFLHKTDRHIKDNNSEFD SKQIVEILGKFLKPKHLCIEKLNSCDDKECATLKECDKKDPNLPEIWKLEILKKNWNL NSITFHNDIGSKRVIFSIIINQRFFLTQIPPRTTWFPWMEDYPTNSILISSTHKPFNF YPKKY
I206_02080	MSVIAHVDHGKSTLTDSLVSKAGIIASAKAGEMRFTDTRQDEID RGITIKSTAISMYFPLAKEDVDDVAQKTDGNEFLINLIDSPGHVDFSSEVTAALRVTD GALVVVDCVEGVCVQTETVLRQSLGERVKPVLIINKVDRALLELQVSKEDLYQSFCRT IESVNVIISTYTDPVLGDTQVYPEKGTVAFGSGLHGWAFSLRQFAARYSKKFGVDKNK LMPKLWGDNYFNAKTKKWSTSAAGGGERAFNMFVLDPIFRLFDSCMNYKKDEIPTLLE KLEIKLVGDEKDLEGKQLLKTVMKKFLPAGDSLLEMIVINLPSPVTAQKYRVETLYEG PQDDESAIAIRDCDAKGPLMVYVSKMVPTSDKGRFYAFGRVFSGTVSSGPKVRIQGPN FVPGKKDDSVIKSIQRTVLMMGRSTEAIEDCPAGNIIGLVGVDQFLLKSGTLTTSETA HNMRVMKFSVSPVVQVAVECKNAADLPKLVEGLKRLSKSDPCVKTWMDENGSIIVAGA GELHLEICLNDLENDHAGVPLRKSDPVVGYRETVTAESSMVALSKSQNKHNRLYVKAE PLDEELTKDIEEGRIAPRDDPKIRARYLADTYGWDVTDARKIWAFGPDTTGPNIILDA SKGVQYMNEIKDSVVAAFQWATKEGGVCEEPMRGIRYNMIDCTLHADAIHRGGGQIIP TARRVCYAAQLLAKPGLQEPMFLVEIAVPESAQGGVYSCLNVRRGQVFSSEQRPGTPM YTMKAYLPVSESFGFNADLRAATGGQAFPQAVFDHYSLLNGDPTEVGTKINTLATSIR TRKGLKPDVPLYDHYYDKL
I206_02081	MSNNETVTTGRAKRTTAGNRMRELLEKAHQDDDDEIFKEVEDDE EFSAPQDVRDVFLEEFADTDEEVEEDEEAEERAVRREERQKAKGKGRAIYDPLSSLNK NRFKSKSDPTAKLLSDPNISLLDPTIDVNSMAPSTLVLALRKKRREVKRENRSEARRS NLRASTLKTEEEIRLREENEKFNKGKKGRRAQHETGEIRGLRPMTQFELIEAALEEEE RNKEALRDWLKKEDEKRELRKISRKRVRGPRLTWVSRTVGKLVEIVGEEEVVNEVSNQ SETGITKKVEKGVPLVEEEKKDDEKDASAKDVTNGDVEVVEPREDTTEMNKEDLKSTD RGNAEKVETVPATPAASIPEITASNVLPVSPQLTVDTTDLQPNEPVPDAQDLTNEVTP VQHTEPQQPDNTISLTDNAQPKDTPMPTDTSHPESASQPGDLSTTKPNESFPSLPAGS TIVAGAPDPSRTIDRSVEVEAEPAPKALDVGTDSKVVSEPASAMQPVTQTSDESQYMR NYLILSQIPGGLTEEIKLILGDHVEWDKVMYVPGRNRPLNRKKPICPFTGLPAKYRHP TTMIPYANSEGYKHIEGLLHNRYKYDEGGWWLGGEEDMHAEGMEAVEGWWEASNGGWL AGKEIPEPEEEPVEEVIEDEPVEEPVEEDTLKGKRKRGKTSQSETPVPVKKRGRGRPS LQKTVDTSIDSPRIKGKSKKK
I206_02082	MSTPPFLPLIDPSGSTLLPSLALLGPVLIPSTLVQAVLPTLPPQ ASYYVQAENSNDDIISYLDNGAQKIVVTSSQLEELGGQVPKERLILKLDEQEISIAQD FAQQISGIYVISSIAHTSKSLVIPNLEIYLQNPSPNPTELLELIKTSRPSSYVIPTEY LAASAKTTSTHLSISEAFLAPIISDRPDGLFPTIVSSSNHSIKPLGLVYSSKESVTEA ILTQKGVYQSRKHGLWRKGETSGAVQELISVKLDCDNDALTFEVVQHGSGFCHLPQST CFGNFNGISKLEDTLKSRLESAPEGSYTKRIFTDEKLLRSKIMEEAEELCDAKTSDHI AFEAADLLYFALARCISKGVSLKDVEKALDKKSLKITRRKGDAKPKWENKINGNGNGE SASRESQPVKEESKPTEPIPKSFPEVDESRIKMRNVTLSELNKEEQKKLLLRPVLNSL AMIDKVKPIVERVRKEGDAGLKAMTKQFDKADLSSNVLLPPFATPTEEELPVDVKKAI DVAYDNVKTFHQAQAETKPLIVETMPGVQCSRFVRPIARVGVYVPGGTAILPSTAIML GVPAQVAGCKTIVLATPPRPDGSISPEVLYVAKLVGVTCILKAGGAQAVGAMAYGTEE VPKVDKIFGPGNQWVTAAKMLVQNDTDALVAIDMPAGPSEVLVIADHTANPIFVASDL LSQAEHGTDSQVVLVGINLSNKLLQDIEDQIDIQAKALPRVAIAREAIKKSVIVLVKS EKEAIEFSNEYAPEHLILHLEKAEKVVENIENAGSVFVGAFSPESCGDYASGTNHTLP TNGFARQFSGVNTLSFQKHITSQFVSSDGLKLLGPSVVRLAEREGLEAHANAVRVRLA ELSK
I206_02083	MSAVNTPPSEDLEGDEKNYSMLDEFRQKQMADEARSKALDTTYE NLKEYLKRIPKTEKLIPRLDNAYEALKSSIGTEDEEEKYTDWTKVCTEISKSDAN
I206_02084	MSPSRIPRPGGIGSPTITTSPLSQSSVTTRSTPALGTVVHLSKN PFAQLHNIKQQQQQHQQQQIQNESPTPSVGSTNNTLGVGIKGQSINGKRRIKPPSSPD LDDSKDFSTNNIRRSSPLDVKGRIPSNGKSSIIPGQRIIMRQPSTPTLINNQKSPIPL GRQRALSSTLSNKPIIINKNNYNSNLQVKENLIVIIPKIKDKEKEKKSYESNSLENRE PTVPKHIKIRLKLLHQLGILLGIDSIEISEKIDIPGLLARVDQSYERDKERFENFNSF NNSNEIKNGIGIGNGNGNDQINLKGNLISGGGQSNKGVFGMFKRLGGSSNNRKGDENL GSDRLNVPQEGPAFGVPLCEAPLGSWCTSLIGGQKHELPLVVFTIVEEIYRRGMSQPG IFRLAGDGIRISHLTKVYNLPPLYGDSLPINQEPIHNLTGLVKRYVRDLPEPILDESL FPAFLAFCVDKKDDSSLTKDTEIQTENSISNDETKSNQQKSRSLINDIDQLPLETRII AAQILLKLLPPLQFSLFIYLLAFLAQLPLFSDNRLNIESISIIFGPAMCAARGKGISG LGPTVSSNSTSVNNSNTIGGGIRRGIYNHNTFDPDMISDLVSKSQNVLSWLLKNWSSI SEKVLEDDDSLISSLSNNITQPQDELKEKKNKKGKQIIDPRLLSPIDLRGSNDGMRAR KPDSTKDFNINNESPNSSPIISSTASQLDNEDRNKSPIEPDIKTPHTTIQLGLGLRKS SSSHTLRMNSSGGTKYSISTSSGFGGMKSSPSSGGLFARALSSMSISSQAGNEDKLGK GPKRSASFTSLSSLVKKVGKDNKHVPPLPGRSMSDDRDNARSTVNPQITTVLGSLHDL LVSKDKQIERDAKELALLRHTLLEMDEKLQKSTLSSFLPGPIGINGCVCSIHPNSNIS KQEIMPEIKITSTPSNSTIPTSISFKQNENEINELQIQLKTSLASLETCRLNLHKQNE KILIIESKNSRLESEKKLEISKLQVALALEQARCVGLIEERDLARDRLEKVKTTLFSV S
I206_02085	MSTNYPSLFRSEEMSLVQLYIPSEVAHDTISELAEMGDFQFKDL NPSLTSFQRPFTPRLRRLAEMARRLRLFRSQITGLSPTLGIPPLAAVPPFTTVGPRAQ NAYDELEEKLKEHERRLTDMNKSWEELGKRKSELEEKRWVLRETAGFFNEAEHRHTEI RTSFDESDNAPLLEHAAEYGNLPGEGGLSGFDLEFVSGTIERSRMPTFERILWRVLRG NLYMNYSEIEEPFVDPTSGKETHKDVFIIFAHGEELLAKIRKVAESMGGTLYNIDSSQ DKRSDALREVSARLEDVDTVLYNMGQTRRVELSKIAEQLEAWTDAVRREEEIFKTLNL LSYDQSRKTLVAEGWCPSRDITAIQLGLRRAMDTAGTSVPAILSELRTHQTPPTFHRT NKFTEGFQTLIDSYGIATYQEINPGLYAVITFPFLFAVMFGDIGHGILMFLTAAAMIF WEKQIAKGGVNENLETFFFGRYLIVLMGAFSIYTGFMYNDIFSKSLHIFNSAWEWPHN ATGLVEAIPTGHRYAMGMDPGWHGADNALIFNNSYKMKLSIILGVIHMTFAICLQVPN HLHFKKYLNIYAEFIPQMLFFHSIFGYLVICIIYKWSIDWSTASTAPPGLLNMLIYMF LSPGTIEPGTQLFAGQGFIQVVLLLIALVCVPWMLALKPYMLWKEHQRIKGQGYHGLT GQENGHNGGERHSTEHLDEEEEEVGMAVAESSDEDHPFDMGDIIVHQVIHTIEFCLGC ISNTASYLRLWALSLAHAQLSEVLWSMTLDLALEYEGGVIGGGLFVFFMFAVWFGGTV AILCVMEGLSAFLHALRLHWVEANGKHYMAGGYPFTPLTFANLGAEEEQ
I206_02086	MAKILFQLKSALYTILLLLVSFFALLIGLVCTLTGKRLNTNYYV ARTFYHVAGPILGWKYEVEGEEYLWKLEGEGGGTAGKKGRSMVMVGNHQSMIDILYLG RIFPKHAAIMAKKSIKWIPGLGWWMMMSGTVFINRSNNKSAVASMTQAGDDMKRKRIS LWIFPEGTRHMSAEPDLLQFKKGAFYLAVQSGVPVVPVVCENYHRLFDGKTHFKRGTL KIKVLPPIPTTGLTAADVPALMEKTREMMLSTLKEISKPVSTTPISALASPAPLLAHQ ESRTSYFGSTGTSDEQAVEDAVGEEEADSGKPIKSINQNTSSAKVDVKHKEIQEENEA KGKSKKQKKGIDRNKKLSIAMISDFFLPVIGGVEGHIYSLSVEMIKRGHKVIVITHSN SNRKGIRFISPGIKIYYLPILTIKSNATLPNYLLFLPFFRNIILIEKINLIHSHGSLS SLSNESLFHKDLLNLKCIFTDHSLFGFKDSVGVLTNKLLIGSLRNSNGVICVSNTGRE NTVLRAQLDPELVSVIPNALISEDFTPDPSKADPNYITIVVISRLVYRKGIDLLIASC PHICSLFPEVRFVVGGDGPKMVELEQMREKYQLQDRVELLGRVKPGDVRDVLNRGQIY LNNSLTEAFGISIIEAASTGLFVVATKVGGVPEILPEDMIEFARADEEDVIRALTHAI KTIKLKKHNPFKAHEKVKTMYSWFEVAKRTEIVYYNAMKIPNKDIGERLFRYLSLGII YGPILCCIVAIQHYFYWFLEWWYPRDKLEIVQDDWDLMKFKEVIKSERSKSYKKKDVK 
I206_02087	MTLDEINSTVSSDDEGELTLTRTVASSPTEDQIITRPESQDEST RRKNPYSGHNASTGHQINGRNVTKSAFEDWLSGGKIIGGSDNSITNVTSTEVELTKSQ SEDKSGSSKSARRRQRRRKATLALPSSAAGPSETTDSPEKDNSEVLTNCQSTEETKMS RSAKRRKRRAEDNQKLQSFSKNTHSNQSKVVSEKDDSDVDEDFGQAQTEQDNIGPNVK GNLAFDEARQKNYGDTDILVVSNEARGNSKQLNGGGMMIDGNAFFGN
I206_02088	MKLLTLLTFLPLAFSLSTIYWPISYPSIDNPWVLGEKNLVAWKT GGGTGIESFDIQLHNSNRTIMVGFLPIALRVPMEKLPGKKFYGGEIEVDLSSSLPTGD GFFLIFMNTYHGEVYAKSPKFSIYSSKPDNYTSPDLPTATVTATITTVPNPTQQWAMT LNGIDPDATATATNLAGNAGSGT
I206_02089	MFAKAIVPLALFAVTAQAIQITNPTNSTGWESSGSQLIEWDSVS TDPGNFSIYISQPGSSAKQVIQKDVQTSDHSFIYTPTKDIQPASGYQISFISNDNSNG ILAQSNQFEVKQGQSTLSATSTASLTTTDATTASPTAASTTQSGTQSTQSAASSSAAA QSSGASSAGEIVAVPSGILLFVAAFAALFA
I206_02090	MTSTSNIKQISLEEFDEKIKTSYVEVSSSSLGGKVISTSDDFFA SRHNLIKPGPSISMKGQFGPNGALYDGWESRRHNPSFDWVIIQLATSSTSISYVDIDT SHFSGNEAPQSQVFALSQSSVNNTGRKITQPSPNTQGWIEILPVVDLGPNSRHIFQVN DEGKKGDWSWLMVRMIPDGGMARFRAYGIPTSPFQPISLPDNYKSLEPTDLISPLIGG KIISCSDSNFSPPQNLILPGRGIDMSDGWETRRSQNERGKYHPFEGILKGQERKEWVI IKLGVEGVISYIEVDTAFHPGNYPVACTIEATLSTSETDLSSAEWTEIVGKKPLGPHR QHYFDIDRTIEDKRVWSHVRYTIYPDGGSKRLRVFGYPLSPKSVSALTKTESEITLPV LPLTYEAFKPYGQVIQGWSYPSSAPKGVAVTTANQGTATKFHRVGKIKESYPEGVGKQ GGVTVGSVKAANRLDIAQGMKIKVELLERHAYTTQAFIPLNRPANSSPPGSFIVVAAL NGTDDKPDLKTIKAFLATAAQGVSFDAGTWHHSLLTVGGNLEYAVIERGTPDPSIKAY VEKVQPSVTTYLQIPPFPPPNANIVSRLEGRSSPDRSNGTGILSSILNSTSLLSSEGS PIKPTIITPENFAPFGQVITTTPAASHTDSESSPDGLTTKNNALSSIISTYPAESGAV TSISVFRATEKIGLERGKTFDVKYMERHQFTSQTFLPMGKAEWSGKSEEALPIGGEFL VIVAENGADDKPDPKTIKSFILPSNIGVTYSPGIWHHPVLILDATVDLACIETQISTG VHDSDERDCELISWEDGEVFGRVDVPEYSTQ
I206_02091	MESAPVPPTGLPSSIVSPTDLKHDPTVVDPETAVTTTGALDYVN EGEEPTDEEFATLRKVPAKMKWVTVALCLVELAERASYLGCAGVVKNFVNRPLPKGGN GAGAVAHGEAGENQTAGALGKGTVTASAVYNAFIFLAYVLPIAGGIIADTKWGRFKTI AVGTGIGIISHILLVISAIPTVLSGGHAIGPFLLSLYILSFASGFIKPCLATLMCDQI PIKRPTLKTLPSGEKVILDPQTTVQRYLLVFYFCINVGGFFAIASSYSARFVGYWLAY LLPGIVYMVMPLVLLFVYKRLYHAPPQGSVTLEAFRVLGQCFKNGGWKHMFGGGDKFW NKAKPSNILAQNGSLDKSKITWDDLFVDEMRQSLAACVVFLLTPIFIMADGGIGAQEN ALSSSVTLNNAPNDVIDNLNPLAIIFFTPIITYLFYPFFEKIGWPLKPMTRLSIGFML ACINMIYGAVLQWKVYKTSPCGYYASTADVCDEVSSISIWALAPLQVIPAIGEIFVMV TGYELAYTRAPARMKGLVYALCLGASAISSAIGLACSNAIQDPYLIWPYVALAVACFI CAIIFPTWLRHLNEPTRVFADPARQAGALQPINGEKHLASNDGSSEKI
I206_02092	MSLENEYEDKKGADVYQQQVGGVDPNHHVPQSYDEEVVSHQGLK RSLKSRHLAMISIGGVIGTGLFLGTGSALAHGGPLGLFLGYALMGSICYSVMISLGEM ISFLPIPGGHIKLAERFVDPAFSFTMGWNYWYNWVIILPAELSAAAVLINLWNQTINN ALWISICLIVVVVINLFGAGVYGECEFWFASIKVLTITGLIILGIIISAGGGPDHKSI GFQYWRNPGPFVQYSGIEGSLGRFLGFWAVLTQAAFSYIGTEIVAIAAGEAKNPRRNL PRAIKKVYIRILVFYLGGTFIIGILVPSNDKGLALNSGTALASPFVIAIKRAGIPALP SIINACLLTSAWSAASSDLFTSSRAIYGLAITRQAPKIFARTTKSGIPYVAISFCALF GALAYMSLQSTAGQVFGYFANLTAAAGLMTWWGICFTYIRFSKGLKTQGISKSSLPYT TFLNKNAFAAKYAITMITIILFFSAWSVFLKHEGSFDTATFVTNYLPLWLFPILWIGF KLIKKTRFVRAEEMDFVSGLDVVEAESYEEEPPKTIGGKIWSAIM
I206_02093	MLSIALITLLSPLVAYGAYTPQGRSSGIESRANGGQTCTIQSGG SNTTDDSPAFRDAAKQCANGGTLLFKEGVDYYFNTPVNATLNNVDIQFKGNVHLPQNI SYVQGIVNGSTGGNTWWINLTGDNVNFTGTTNLSTGWIYGYGQQWWDANPKNGSGIAS RPHLWRFNLTNGNIKNIKIRKPIAWVTTLNGYNINVDNVFVDAATTPGGGFPFNTDGF DVAAKNVKINDFTIFNGDDAVTINNGGENVTVTNGFIAGPGCHGTSIGSLGQAQGVYQ TVRNILFDNIRVHGCVYGSRIKTYLGGQGIVQNVTFSNYDVSNTTFPIYLTQNYFNSQ TQNGAGVQDGGNYGGRVDNSSVVIDGVTYKNWKGDINTYQPGDGSCVTDPCWYDVPGS DGTQAIVIGCSNSTACSNFEFQNIQVIPQSYATTQINCTNLEAASNPNLGIVCTNGTL VTN
I206_02094	MLFLHSLAFLLLSLFVSAAPISSSPKRIPQAVAYEPVRFINHFG SSIQPDTSINLEWTGGSGKGFDVYYIPQWPQQKEYYPVELVSGSTSNHFTWHTPKADE FPKGTTFILGVNDAVTSLSSDWYDITGMMNFAH
I206_02095	MQQSTLLFCCILPFLLSYVAAKPILQPRQLERRGVAPLWNESGP SVRDVKQVKTLNCWWAASSLAVLMSSQKWVEDMVKYGNGSSMTGQSWPKDSTVQVTVW NPNSGSKETFTADQNYISQTEDHPNGNWWHEAIGQGAKAMGKTDSFIGVISGSNPDWD PKSGSATIGLKILTGFETDSSYQQFLTIDEFFELAKKASTGTPVIFNTLSKEQVGITI PQLGHSHDYAIYNGSINPNGERVIWARNSWGSTDAFKLEDVYNNSYQIIHLRDWNVLG SGPTDMTHQDAPDVSTNGTTSTNSTSIPSSTQTNTDDTSTTASTGSAGSVSDAASTSS AMPTGETTTTSDSIASPSSGTSQAAGSADVGTTSAPSTSDGANILPWTSTLPGSSWTL PASILTTYTFKN
I206_02096	MSSTSDILPDRINKLKIQDEPDRSSGTSTPGVEVGIAKSTFPKG MNPKLRYIKPYWWPYRTFVKQRWIGRQILEVITTEFRDRSMEYYKHALDSGVTRVNGV TARPDLVLRDGDRIDNTVHRHEPPVTNDPILVLHIDRQKQFVVISKPGSVPVHATGRY FRHSVLEMMELDYGIKCYSVNRLDRLTSGLMILALSGKAASKLAMEFAEGKVKKEYVA RVKGKFPEEEITVDQPLMTVDRQMGLVIITPEGKDAVTIFNRLSYDSQRDQSVVRCRP QTGRTHQIRVHLQYLGHPIANDPLYSTSEVWGHNLGKGGVDLTPDATGTSRAEALQAR VGTSTPTNKTVDRENDDMDLTSPILLSEQARKIISKLRRQKDEAEDWIKWNEVIFTVK DAQDKLENTPIPEIETSTSSTTPIRAPRLKNSSRKDIIKASIPDSDAPSPLKKPAYLP PGFCEDCFVPLPDDPDPETLFIYLHALRYTTPELGTWETPLPRWAGEHWDGDWRGWSD GAKIPDVLEDDKKQQKQDKEAKDSGD
I206_02097	MGPSRAGQVVKCQICKDQISKYKCPACPIRYCSVACYKQHKGIY LPITHEDPSSDPSSSSSQLTPAVVQDESAPLLDVTLKDDTLNELDESEQIIPKKRVET PLKPLTSLLWPPEPDPSIFTDPLQKEDPKPLKHEELLRIATSIKLRNLLSNSKLPLVL RTIDSLSFNKRHEIISKLLNLDNESLNKSNGITSSFLSSSSSRNSPPPLNDLIYSFTS NSENQTSQINNNNNEEEGWYLYSKDQKEKIWITENETKLFKLFSTIICFSIDENENEN QDEIPSWGKGGLEWEL
I206_02098	MSTIYGTQLQPFEEIQSPSPTETHDNPYETALATRSSSLPHRRG SGPPSPVNTVNTLHRQLSGDPESPPPAWKRRPTVSNRQRSSPSENNQRSPVSVKSDQG PGTQRSPSQSSIKSRDSLNSPNGIARRPPSGLMMTTAVTAAPSPKPALPLPNGMFLHE SPNGSSTDLTNADLALPNPAFRRRRNGSSSNRSSIASNQDLSSLTSEELWSMGQPEDD TPDMSNPMRQAAERPLDTVRRETRRFETRPQSYVHVGLPGDVIWPTAPPVEEILPNNL RSKSFTNVATLGMSGRRMSRRAKSRQSSIDVSPINTKDVDGMHMHNVSQPASPGVNGM LSSAHSSTTSLTSQAIPPNKSLSSLSGRPPSTYYSRDFLSSLAPREGGYAIAAQMGGG LGAVGTMTAEEKRRSISNFDDIRTRTVGSRAPPSKSAGMGRWSLDGGENFGRPYATPS AATTSSNLSAPPINSAEPSPPAEEYQSTLPAGAAPSMSSGPSLPVSTTPPNPSPLAQQ TSAEQVIPPSTSAPIRPAPPPIPASSAPIAPSLVSKKSRKELAKENKAAEKLEVQKVA RQRAEAHRAETLRKEAEREEIKRKEKEEKAKKKADKKANKKGRGIFGRSTNPSSTTGS GSSAPQLQSRPPIPPAAPIPVNTQQFAGPTTTAPQQPSSNNIPVASSPTIPAPEKTLT ADPAPLAQPSSSTGTPFPKPKQSSHSDYQVPVKDTIEPSRQTHRSMPLTSAIPTPAAN RSQTPTRPPIEGKRSLFGTIKKRFSYIGGSSESKVHQTKDTPPPVPPIPGSPVVAQKP QQQQSKPRIPAETPLPTSQASTDNPLPPRRDSLVAPTSAKIGSPASISSPTVGGVSST PTKSIAQEPATPVSNLVLGKSVSRESGSSPLSINRKRNSLHGPRPMPSSTTAGQSRPS SITTHESSSLEPTGLQQTISTLSSSGNHNGENHSGSGSGPESSMLGPVTPSTTGDSNS FFQNNITPITSPEEDVTSSEDERITGRASSGDSGKTVHAHNVPNGQPILI
I206_02099	MGDSIPSQPNGTTSTPPPLAPEVQVDPPSSSNGMETPSRDGQVG LGIQNGPPTPVKELPPAPSRTSPPTHVDNEIPDQQITPLSPSEIPLSPPPPPPKPAKG IPIVNDSKETLDDVELSRTGTPARPTSAVSSHPTPSISRDIEGPASSSRRTSNPASAS VTSLHSIISTPSRPRSTRPTTFSEASHSRRGSTMTLSMSQTMSLPPNTPGLHQLSTVI IMPPLQMLVDSKEAKKSASFRAASQKALDLCQVGRDGSSSAYLHPREIFEPLRLAISN PQTTSVPILITSLDLLSKLISHSFFSEPNGPPKGMSPLPDLITHTITLSYSENSPPQV ALQVVKALMAIVLSTDKGMLVHQSSLLKAVRTVYNVFLLSNDAANQVVAQGGLTQMVH HVFGRVVRPEIARSGSLSGRRSTIGENEARRLGLNANGRRDSGPGVEGSQSNTPTPND DSAQVNGKEEEKLTLESFAEPNPNDSIPTAPAVITSDAEPSLSTPSSPPKVPQHTVSI PVPNGDALDTPEPTSVPRSVPMQDGSAAGAAGGMDEQEGTLDAMGRPIPTEELFVKDA FLVFRALCKLTMKPLVSESEKDLRSHAMRSKLLSLHLVLTVLKSHADLFTNPLVCIPS NTSLEMTPFLQATKQYLCLSLSRNAVSSVNQVFELSVEIFWCMLKHMRAQMKKEIEVL LNEIFVPILEMRHSTIRQKSIILGVFIRLCQDPQALVEIYINYDCDRAATENIYEKLM NIVSKIGQTHFAPPSKEELNQGGSSKQASGSSGPAIPPSLSTSALAGDHGHNAPHYAG LSPEIKLRRQSLECLVAALKSLVAWSTSNTSTRAAQEDQPRPSEDGLGRHHASDSVSG STAQLAAPTPTWPGEATSRSPAPGISSNGFSTPDIGEDDVGRFESAKQRKNTLQDGIK KFNFKPKRGIASLIEHGFIRSKAPQDIARFLHANEGLSKAMIGEYLGEGDEEHVATMH AFVDMLDFSKMNFTDALRMYLQSFRLPGEAQKIDRFMLKFAERYIHGNPDTFFANADA AYIHAFAIIMLNTDAHNPNLKQKRMTKAEFVKNNRGINDGADLPQDYLESTYDEIQNN EIKMKDEIEVPTASTSAGGGLTSVGRDLQKEAYVAQSENMASKTESILKAMVRQQRRG QIRATDQFHTASRLEHVQFMFEVAWMPFLAGISAPLQETDDLDVVNLCLDGLKAAIRI VCLFDMELERNAFVTTLAKFTFLNNLGEMKPKNVEAIKTLLDVAVTDGNNLKASWKDV LVCVSQLERMQLISSGMDVPDLNRISSSDKRKSTSITRKKGPADEVAEESRSSQVTVA ADMVFSTSKNLSGSAIVDFVQALSEVSWEEIQSSGSSARPRMFSLQKLVEISYYNMGR IRLEWSNIWLILGEHFNQVCCHNNPNVSFFALDALRQLAMNFLEKEELSHFRFQKDFL RPFEYTIVNNKNSDAREMVLQCLQQMIQARVQNLRSGWRTMFGVFSASSKVLTERVAN YAFELVTLVYRDHFELVVKYGSFSDLTVCITDFCKVSKFQKISLQAIEMIRGLVPKML KCPECLLPPQQQLDENGIISTNILPSDDPMLKYWLPVLHSFYEIIMTGEDLEVRRLAL DCLFDTLKTHGSGFSIEFWNTVCQQILFPIFAILKAKSDVRFRSAEDMSVWLSTTLIS ALRDLINLYTVYFEVLQRYLDGLLDILVACICQENDTLARIGTSCFQQLLESNVRKLS PEKWMSIVSAFVQLFKTTTAFQLFDPVLHSEVEPSGTMDEADAPFQKFVAPAPLEPAT DTPKQLESLTYSEQRRIFKQIIVKCVLQLLLIETTHELLQNDEVYNTIPAEHLLRFMG VLDDSWKFARKFNANKDLRMKLWKVGFMKQLPNLLKQESSSAATLINVLLRMYRDPRD AHRATRNDVLKRLVPLATEIIKDFIALDSETQPRNITAWTPVVTDILRGCYGFEDEAF EKHIPTFYPLVIDILSKEVASEMRISVKDYLTRVGEVKGFITDNSI
I206_02100	MYMLSLFILLCQVFIPFTEAKLLQKPLTSKFQALIGKHEAVIDH PLFPPRINYAKLDDFNEDEDDTADFADLMDGMKTKIIYHSGGKDEKVNLMFFSDGYTI GEFDKFVKDVEYLKEDIISLNGSMGHVVDLLNIWATFIPSNQSGIGTHGQPLVGNTLG LYRPGSELRAVYVKHPKVARKACEWFREGHAGQAGCDQAILLGNDPLYGGLGGEFTII TSSKSNGPQVLRHELGHSLIPVGEEYDGGWVYSGVNADKTKNVDNLKWKRYLSNPDNT RIEDAKMAIQAYPWHDLDEAGYKITFESANNPQNTTHTYPTALLRTSLSSIPHPSHID FRLNNQSLNLAKYFPKSLAGSLDRRWLDISLPNGLKCGENSIKVQLTDIGKKAKAGQG GKMITSVEIIEYGGEGRFNHTLGNIGAYPTFSLKGHMTLRPTNEECLMRNVTHPRFCQ VCADGLRHSLEKKIKKKKIKD
I206_02101	MSDSSAQSIKQLKIKTGVVKRLHKEESVYVKEVVDQKKVVKKMK DENAEGADIRAAERVLRDSEMMVPRTRKQLEEAVQSLDDLVTALQGEESISSSQEYKN AAAQLKEVENALQAQSS
I206_02102	MTPPAKAYPLTRPPLRNYLFSPQLILRPSIRLKTSLYTLSITII ILVILALNAATSKSGTTNEVSGDINTVPSHDSPADSGEGVDVAKGKDAHLVDDEIQRS FEEPDFSLLSSNQPHEIGCDVPLEGKDKGVLMFLGIFSAADKKERRDLYRQVVIPDFP KEQFTIKFILGTPAFPENPISQEAVARSVLLNKVEAEMKEHGDMVMLPMIDNIDLGKT HEYFKWVAKEYSGPGRVKGRPRFVMKADDDTILVMPNIISGFKDLDCANNIYWGTSAG RSHYFGEYFRGLAYAMSWPLVSWIGNADMPLAHIIKIEDARTGQWLRHLNPVTDPVKR IDMGWTMGDWNQLDVGLETVALHWLKLDEWVIEQHKRLHELWDSSNRPYDIENGIPPI QSIFKGKQTPESAQKEHERQKELGWDVGGNLD
I206_02103	MHLMYTLDERGNRNYTLKKITSTGKPTKSAHPARFSPDDKFSRH RVTIKKRFGILPTQLPSKPL
I206_02104	MKLSILATLLLTALPSFSTPLEERSNEHFNHIENRATRSSQGWY AYGCYFDCYDGMNRRLPILAYVDDNNNPDMCIQWCKDNGHNYAGVQWTKECYCGDSLA GEPAPPTECTHQCADGKNKCGGPCRNNIWSAFQKPGH
I206_02105	MKAPLSSSHGQNGNSHFNHNIRSPESSDTSYTGVSEMETSDKHP DGDGWDEKFSKGEEKVKWSDMAVDTPVVTKQSPHGINNGQVTHENSQNTTAQDDGGWT SGYTDRPSPPSQPFHTDRGRRKVPISYRGRGAQSSFASHGEQYQHPTDTQISPHNPQR GFERRNNSNFQTTDRVRTTPFQFRYDNNVTFNRAIQHTNENEPVNISRQSGNSHPVRP RTNPRIEMTNYTAHITDTQRSAGAGMDNANRLPLQNARPWGRTASPESDGKYESAQSP MYPQMPMLYPDWNDGYVPHGVPPIPFCPIQPLSTYMPTTNESFIQVPIPATNVTDIIP LADPPIELPEGLATRNGLRPLRFENNNGMFTPIYDNEEIKQYCVQHNLQVPKRSNSSS TTPPQEVTLLPITSGGHDTPMTATINPIGTTDSNVPNDSHLPLFASISHPSPPPPGAG ETRIIPIPIPFSSPHAFQPLPPSPFVAYPNHIDNFCIGQAPTRSFILPSVLPLAQNGN MPPAYLAHLGGSFLNAVQPFVYAYPPQVPNYPQAYYTPRISQPTAFNIHHQPTSQAFA YLNQGHNTGQPNETGRRMSSGSFQQDGAGGPVTGVGREASAAKLEATEIADDTGGW
I206_02106	MTNANFSERTAESADSITMSERPDNTNNLESVRSAGSGIERAVR VTQRFLRRTGTETRDDACMFASWWERQREATTAPESGQASSSNANPSGGTRF
I206_02107	MNVNLTNEIAFAATVIQFKLYNDGSEPTEQVQSSPQWTTAYLGN QKYYSDYHLKHRSKYQRFIGKPDDNLNELLDYSMKVTKTGPYAQGNDLSYGERVAVDV DSQGRSRMECEQGVQPATSTRVASSVPYLQITPASPTLEEDENVPQSLVFWENTMSSS DNQQPAQFHNWGSHAHQNYTDIMASSLANSGIWNGEASPSTHDGYGYPAGDGHPQSVS GHPSGGESPYVTSNQGSRRGTPFQDREFPSDRW
I206_02108	MGNRKITKKAIKGKENLHPSSRKAAQLTRVNLRVDKLKGQTKAR KDYADAKLQRPLFFLHSLSSPRPLSLPSLKALITEVYLGRFDGRIDELASERRAGRPK PKEMLELEEIKRREWNEYESGMEVPDLTHAPTSRLMYSWLQSETAMNVSHIDLLRHIR VSPNGEVILTKKGRGEEMGMGVPLPGEVEGEGEDWTGLSKEKEKEGMDVEVKWALHS
I206_02109	MRVTRQIQRLASSSKSPARPSPILASSRHASTSSSSESPKSFAP DQPPHISTPETLSHSIPRPNASEGFFDSSKPSFQINSRPLTEASQKEQETYNYLQELV KLPSSSRTESGSAKIWQKYQSLSPAYRRTLSITFLQKIFQYVIPNSKHVAELSTLADY NADQAKNKVLRDRLKYHSSLGSKWERRLRSIANDMMSSSTANSKEVDPQILIKGISKL AIVGDKEGCESIINEIRVRYDDKLTYKQLKTMYGYGLRSISKWLKIHSYRLKVNNRLS SVNEKNQRDISEAAEIAKRLIRAMQERKVQPNSTTAENLLNISRLVISLSNDAKTIQS FNELSETILINGYSLDLDNIAFGSYDKVRELKPSVKLAIIGYYGRRNKLYQMVAAFEN LFPGDIDKLPFASRSAEEIIAEEEEDIPTLSRLMAAEQKERAERGWFGQRPAHHDEND LSASESSLTSQPRTFNDFLTFIPRPYDIMPLSTLTTVSYPMLGESLAITTQRSPDGEG AIVSSVLAMLSTAWQSKIKVSPNDTIYKDISIHVLRLAVRAAHVEQARYIDSIKSGAP IIQGPEMRIEANWFRAVWRTVRWTRSSSRRGTKYAKIVLGELEDSKIRLDQEKHILAS FLPQTNDAGEYSTEHVEKVDGLLKIIKEIESIQVELAEIQEQIQANLVVAIERKARSN SARIVKLEERRDALLAQNSRKKGLGGRLLSMSEVKDNEKRDIQKSWHPEGAVMA
I206_02110	MSLHRYFVPPPLALAVHPAPPPKPKATEKEKAEKDAKVAKDAKD AKDAKDAKDAKDAEQAKDVKEEGKDELAEAPKAEEAAEPGSPATPGSLISEPPTPIPG AVAQDNDEIKEPVKDIKVNKPEQKVDKDNDNTDMLKNAENDNGKPKDKSISIKEITPP PEELKSEPEPEPEPVLEPIRLLPPAPFKPIRTKLDLNPTKPYPPINTDPRGAYHAYAK AVGNEVIYIDVTKDGWLTEQWKERSEREALKRLTGEWERELEREMEKHRELNKIRQVP STAQGILLELWNILAETENHEIPVEDFWSKFDWTKESAKVHLSNAQRIMKDKNIKDLD NEKEIEEKDEKTDPTQINNDNVKSSDCSKSNDEDEIEWTKAGLEEILSSVGVQCIYNV DKPLKHWSLAPCAFLLLSHNYFLLRTDMYINFKPEEKAGKFEALVTSGHDRVFGDMVK AQREKEYRERKEREKKAKAEKEKNKAAEDEGDKSQKAENDKNNQDKSAEKADEKKDNQ DKREFDEPGTPTSNVKLINGEPVKAAMNIEADPNGQKEAENKAIIDIPVKEDQPEKAE KGKVEEKDQKNEIQPDMVPPPPGPKAAPMIAGVGEGKKVHMNMNDIANAFKALEDVAA SSTAAKTKEEVKLKWTWTERCEMWRWKNYEVGLHNIGPGGWEERDWIVFADDREVWDF DDDQAIVEIEERDIFDWSL
I206_02111	MNSPTPLSPLTDPAALSKIPILLIPVHQPSSPVPSNIYNSYQNL IKRYQTLRGDEISRPAQSRSRQTSSSYELPPNPRLRFFPQSTGSSISVSKASTTNHVH LTYTGQAPAKHTYPLSLLRLSGFPLIVLGIAVEMEEQEEDGPGYAVDQEGGDISENIS TPSAPTFQDKFLPNDNPEKSFDETISSIFPSTSPFPLVKRLLVVPNQSPRSPSSPRKQ SQNPSHIKKDKGYMRFAPIDGADHWVGRVLGEVVGELLGELGEIATALETPAGMRTLS STLLPSLTSTMPHPELNNIIASNRSTTPSDYPSRPSTSTPTGIRNSQSMDHMSGMGIS LTRALTPGGRPTSIQPPSLPPIQTSSISPSPQPQPAASSNPFRRSTALASPFTRTSSA TSATSSSSALPQISSTKYTNATLTGVAGGRLMKLLGDMYLLAGLYADAIKCYDDGAER CRAVGDVLWEGLTREGRAVAGIGEAWEGRDGSNLAQPFPTSPIPVEILSHYLSALACI SRSPLPYPPTILSPSPQAVSGSISFPAPSTSNPSSVGTGEGLLSYLHTSLSLKVSHFV LLIWAAGGWGSIALSSLMTHTLPRSFVQPLQSHEKSDHTLRRRRHRSLALLSSSSQIT RQSIFAYAEVALQPLHRAMTRTEQLTIHNECIWLSRWLDIPRKEITITREVIKRLAIL VVEGREESRKVNSVGFPSRARSPLPTSSGSNLAAENRSDEAAAVGLGLGLSVPNQTVA VMRKESTEGNSGIISLLERVAEIMGVDLLSFSPSDISTGKTNRRSSLDLHEEFKGEGR FGWPELQVEFLKEGIAVMESLPEHPTIIRLCLSALSGLNGYLNPQSQHTLNKLYPGSL ATTRRRGIDIGQLPWWIDDKIVLSVEIASLPSNKLPIQHSINEIAAQEGKKDPFLYNP RLRAAEAGKTVLVANEQVDIFVTVRNPFAFDLEVQDLSILTSGAPFITSPLPLTLPAN SVQTVRVTGQSPIPGEMSIKGVSIRLIDGSSTEILMPVFDGKQKDKHDKRRSKLLYDS HKIKRSGLDARYTSLEKSKSQFGHIEEEGKWLECKVVPELPLAWIKKTSLTHGTVMLY NGETSTVKITLENSSSTPIDFIKLTFDDSTSREAQAIISEGELTAEQAYEIEYDQLNR PVFIWSRDEKEDVVIPPGGRINLDVQVLGKVGCTDGTIRIDYGYLNRSTSQQDNVESS SDNTFYTRQITFPVLFTVYHTIESHSLDLTRLTTVSSHLPNGMNDGYDKLENGNGHKT RPTLIRISSTSQAEDQLKKVLQDENDDDHCLLSLSVRNVYGVPFEITLQRQADADDTV TCTRLIPPEATERMILPLPRRMIPQELLVRPIPSLAERQYIVDKEKKSPFQLKKDREL FWYREELLALIKATWMEPGSMRRGTLNLRDQYFGPDLLDIFKSDEIQIHLSLDSDDKN KVNVMDFVNLNVEVTNKFEYPFRPFIHISPLPTSHSDNSWTQTQSTIQRNSLQFPTNN DNNSISKNILFDGLSSTILPLLQNNEKYTYTLGMTFLSLGKFGIRVAVQQVESDFDDE KDQKIWFSEILDVLVK
I206_02112	MAGPSKRRIVGSDDEEESPRNVSISPTKKRRTIVEDSQGNNDSD LDDFMSDHDKEDDDLDGDLGNGNGDEPEEETEAQRGTVSFRPEYERGSDGYVAGSVVR IKMTNFMTYDHVEFRPGPHLNMILGPNGTGKSSIAAAIAIGLGFAPKVMGRSNELKAY VKQGADNAEIEIELKAKKGKRNTIIWRKFSRVHEKSDWKKNHSTCTRKEVLEHVQQYG IQANNLCSFLPQDKVAEFAKMAPEVVLKETMRAAGDPRLTKWHENLVEKGARSKEIAI TLEGHITQRDTWQTRADALAPDVEHVQEREARELEASLFEMCFAEILRHVVGVVQHER LKGKVQEARKEKNKAQQRFNNLQSRRQPLRELKEQWEKKAHKADVKLETEEGKFKDGM RDIRSKFNHATSIADKSSQVDTRLDQLKTVFSRRQDERRSLLEKIKRCQDILDEPREE VETDVKTKSKERAELLARRGRKSNDRDAIKDEYEDNQRMFRELDRELEDLTKKQRVLE NIEAQKENAARDLDPSIGWLLDWLQQNGHMLESTVHKPPMISVSVPDKNYAWQVEQCT TLAQRKTFICTSQSDFDKLLSFSGTATYPPRMLSNGRRAEGGKVSLSLAFEEVNERTV NPDRPCSSEKLRQLGMDGFAIDYVEAEPAVIAYLCNKARLHSTALTQKSSTQIDGDAL TANGLTRWATRDDVTTAVQSSYGRKDFVHRTNLKQPARAFNLAVDRDAVKQTIDEIAR KKKTKFEREQPHSALKVRWDEAEQELGDMKRSTEQLEVDIKALQAKAKRWQTAGTDLE TTKIKLRKLEAQPSQEEERNKLKAEKLKFAKARLRPLDQWLSSCENVLSHCEDMISAN FVKMQSDVNSQAVNNRMNEGTEALQAAKVEVDAASANLAKAQQTSAEKWTAITNGIKD ALAEVKDEVRNRIRDLSTLPPLEELQAALQTLRAQLELAVNIPGNVIARYNDHKNKLE KAQELVDREEAELNGLKRDLKKTLDMFDPALATLVQAVSKKFSAAFARVKCTGEVRIR RVEGDFAAWGIEILVSYRDEDTLAILTGSHQSGGERSLATVTYLMSLSEMSRTPFSLV DEINQGMDQRAERAVHNQLVEVTCEADAGQYFLITPKLLTGLTYHPKMKVLIINNGAY LPDSTKKNKKYGDLASCLRTYQDRQAISAN
I206_02113	MSPQSHLPFSSSSLVNSYQNGQLSNPKTVQKPIPFERIDTHSIK QQLHDVLGEDGLPYWKALNGYLLGQIGRGELEGMVKSWFKGDKLDLHNTLLLSLLNNA SIPPTLYTPITASSSKKRKRVGYDDVEFDIDEEHIEPKLRVQNWIMGINGRERARIRR SVLGKNANNAEEEVEIDENISNKRAGGWGTIQQNTHSTPLALPSRHLPSSTQLSLRLS QYAKIHGLTLAPDSSHEIGEFLAVGLDNHLEDMLHSVVHLTASNRPGIGTVHIPSKKS SQDSKDIKANEGNNQNDDIGKIPKADLNGINHLMILNPNLHPQISPALYKLQSGQIHP TESPTTLKDSNTSSTELNGKLSEEFTPLPTPNGLGYGQSPYENYKRIITDNSSSSITQ TEKQKMESSKITKSEIMQARLLESQLLKIDNTKTGDEASTKKDKKHTLHWKYEDPAVL LKDFLG
I206_02114	MIFIYIVIPSNPPHAHLHLQVLGRTGSRGGVTQVRVEFMDESNR SIIRNVKGPVRVNDILALLESEREARKVPKMSSNDQAQENAAKQEQVFWILPQNIQPI QVIPDRYTKSNEVSSENMKGKESDPSRMGVFVCLLDNKPHLHPSLQALHKHRLIIHKI PLPADPINDLPVSQSNISNITNPFIGQIEQDIIMSSTSDLPQESVASIPNKTEWFMWK FSEEEANRRKSYLPLA
I206_02115	MSDNSSSGLGLPLTMRDYTDLPVPINSHGEEATTVVNLSYIAES WRDYIKKYGIPESKSRNGQWYCDWSRYDPQLMTITKRLEDKFPNKRPDTIRNATLPYL TAISQIDGYLTLKPSEKASYVKMVNGKSGSKQLSQAYTDYQKSGEDILNIMTDRITKP DNLDLENPLFTLTTNINSNPCLAFWDEMKSKGEADRFINHRKVFQDLLSKATSAHGQS SGEQE
I206_02116	MSITDAETQTFLDQFFDDLKINFSEELTSAYERVKSRQTVEEYK SDKWSGTIDEELMVTLLTDEAKKELESEYKALQGESSKFKTEFTVYLSTLDTNADRQR ALHRKYATWKMREDVQVHDSRRRILTNKLTLPIQKFEK
I206_02117	MTAENEIELIAMTAQRSTYEGELRPRDQTPSMKDIPTVEADNQT ASGLGASRDQLNEEISIEVLPPVDGGKQAWLFLLGATYMEMLIWGLPFSIGILHVYWT NTLFEGEGASAITLAATLQTGLLYMSCAFFGPVFTALPRWTKTIQVIGLIIGSVSVIA SAFVTKPWHLIITIGILYPLSCASYLPCATLLFEWWHASRGFASGMMYGGTGAGGTIF PFLMQGLLKRFGYKAAMVSIGIGYIITGMIALIPIKRRIPLSRYDQDGISRIRPRIDW AFSKRKALYLGMMVIGVTSLGNFVPSLWLPSYVDDLGLNKPNGTALVAILNAATAIGN GLLGWLSDRTSLRTTILISCVGSAAACAFLWGFGKNSGVLVTFAIIFGLLGPSFSAVW SKIIHLVARDDPAVTALTFSIFAFTRGVGNMSSGPISESLLKYDALRGGIGAYGYKNY GILLIYTSVTILTGGAAGLFFNKIRDA
I206_02118	MSVMQTQPLLSRTSNGGPSKAPAPPLPPLAKIEKQYRQFLSKRY MSFAWRTGAWISVTLSTSIVLYTGALGKIPLAIVLSIPVFVALAILLRIRRSYITQPP KFAPRPTLLGSFVSALWNERSITLLFSYAAFSLVISNVWCILVGTDDFALFSPTTRHK FALNERRVVLCSSNLILFLIIGARDILNDSLKPVWPRKKIPFGRAVQNAILDALTFNT YNSLSLSLIWSIAGPFAYRIVLRGYVWKWINWRVWSLFLRPFIGSFARSSARVPSAWS LAPQLLILNIVALAILQLPVKAMMPYITQSPLTPERYLVTAMRSQEPYYLQFTLMELL RISHIPAHRAAFFSDISKSPNLTTELWQELLLQLGRVNSKLSAPPVTASSGTTPPPAK PAVPDPRAIPIKQGDIFRPIAKKQSTYGLKEILDGPVGQAPPEPVAKVAQVGTLAIKK AEEVQTQVVGRIEATQIGGTVLGEARGWRQGLYDWLGKEWAIRGLRGNAADWILAQRI IEIITTLTLASVEEDTYGYVQQVLPASLEAIVRVRTSIISLEGRLSYEAAVLGQGQEC AIAEIRKELGNAKNVCENSIRRIGEKFGPSLGAFRFPPTIAQTLGNICRS
I206_02119	MAAAVALSNYQLGDILGRGASGSVYRALNFLTGETVAIKSISLL SLPQSSLPDIMSEIDLLKNLNHPNIVKYKGFARDKENLFIVLEYCENGSLQSILKKFG KFPESLISVYISQVLEGLIYLHEQGVIHRDIKGANILTNKDGSVKLADFGVSSTSNSS SKNQLKQINNLIDDDQQSIFHNTQKEENGNQVVGSPYWMAPEVIEQNGASTASDIWSV GCVIVELLEGKPPYGDLAPMQALWRIVQDESMRIPEGASPIVKDFLYHCFQKDPNLRV SAKKLLRHPWMMSVKKSNEAPSLPAQIKSGATGRPQSRAGSVRVSSGGKDTVRSNEKK SSSSVGQTSIGSAGSGGGGTVRAKKPITVYDEAVQRVQEWNEALNASPKAIGTLKRLP LPQPRKQSVSKVRQRGESNGPLGPGLFALPSRSSGDAISSHVPPGSNLPIPIPQTGLI GKNLHVSDALNRAKESDGEEESWDDDFAADITLSKKLTHGREESAASEDVNQKTLRPT KSPALGLKPFPSLSDMQQSKAAAVRESSSAGYVEDYSDIGLGEDEGLESKLAKLKLRN QGRRGLMHPDDIHKVPLSPIPRTAPVRSSSTPSKPSNSPLLPVPATPSPRNQARSPPS SRQSSLRGKITPGEGVENSESLVELNKYMEDEEDYDDIFEGIQPSQASVSSLRSQSLQ LTRRSNASWASEEVDEDADPFAEIEDDFVTEDLEAILLRDKRATLCANVNKLVEGLTP NASHSALKEGCDELLALLENTPTEMGMEAHFVAQHGMLAILEVLESRLSRDVAVRILK IVNLVVTCDLEMLESFCLIGGIPVIIPYTSKRHSLETRLEASNFIQQLTGSALTLQMF ISCRGLRILVELLDEDYALNRTLVLSSLEGISSVFELQSPTPKPDFVRMFVREGILDP ISTALLSILKDDDAKEENKEETGPAIQRTVSTLLLFCQVAQSDARVRDAFGDRSVVTR ILKACNLLSGKTLVVAIKAIKHLSTSSQLIEVLQNANGLEILVGILSRNMKGAYASEI GSNLFQTIYSMTRLSKSRQEEAASSGIIPLLKKVVQSGSQLTQFALPLLCDLASAGKG SRRLLWRHDGMSLYLDLLSHPYWQVSALDAILTWMQDETARVEDVLLERSASDKLVQC FAKASGVSFEGILDPLIKILRLSTSLTSSISHPEFYVRLSDTLEKSNKAVIKLNLLRL TRIVCDQHPDKASLISRFGLMEIVEKLSKQDSAVLVRELAKEVLPSLLFGNDVPDPLT LENNKRSMFEEKKQIGIRRTYSENPILNQHQSNGPYSEKQSSSFVHSIQSDKLIQQRS ISRSITSNNILSKSQIDSTKMPPPPPPIPYSNSILSSKSITSEKERPKHKRKISRNQL KELKWQTDENGKIQSIKTPSKLVGYTVE
I206_02120	MSEPIPTEAQAAETQAVAPAATTTENTAPAPTAEGEAAGPTKGE LKRRAKEAEKAKKAAERAAREEEERKKREAKEAQDDAKQNYGKLPLHQSQERNNRKFL RFGDLSESSVGQRVIFRARMHNSRAQGAKIVFLNFRQQTHTLQGVLVVSGEKDENQVS KQMLKFSQLIPSESIVLVEGVIKSAEVKSCTITDYEVGIHKLFTAVEVGELPFSIDDA SRPEADFEKAEQDENLQYSRVALPTRLDNRVMDLRTPTNQAIFRIQSAVGQLFREYLN SQGFIEIHSPKLQGAATESGASVFKVQYFNGTAFLAQSPQLAKQMAIAGDMERVYEIG PVFRAEDSNTHRHMTEFMGLDLEMAFEEHYHEVLEVLDEMLKNIFKGLQKGFKHEIEV IKKQFPHEDFLFLDETLKLPFKEGIKMLKEAGAKASDGSELGELDDLSTENEKFLGRL VREKYKTDYFILDKFPLAIRPFYTMPDPVDSTLSNSYDFFMRGEEILSGAQRVHDPSF LIERMKSVGIDPSTMTGYLDAFKLGAPPHAGGGIGLERVVMLFLKLGNIRRASLFPRD PKRLTP
I206_02121	MAATAPEQAGTSAETQPRQPIWLRCEKKPFEHRSALTPTTAKTL IDNNFEVFVERDPQRIFDDEEFEAVGCKLVPNNEWPSAPVEVPIIGLKELPESTDPLP HTHIQFAHCYKQQGGWADVLRRFREGKGTLYDLEFLEDPKTKRRVAAFGFHAGFAGAA AGALALAAQQQDGGKGVLKGLKPYKNEDAMVAEVSEALEAYPGGKSNVKVLVIGALGR CGSGAVDLFRKAGLPEDNIVKWDMAETAKGGPFQEILDVDIFVNCIYLSQPIPKFITS DFIAQAGDSRKLAVVVDVSCDTTNPHNPIPIYDINTTFPEPTVEVDTKGVGKRCTVVS IDHLPTLLPREASEQFSKDLLPTILSLPQRATEPVWTNAEKLFKQKLEEARVEDEKNG ISA
I206_02122	MGAFEGAFDTLRGDQISSGFWGEHTSTIDWCENNYVHTPYIAET INTLTNLPSILLGFYGFFSILKNNLPIRFSFCYLGLSLIGIGSFGFHMSLKWEWQLMD ELPMIYVVSYAAFLILETSPGLKFRYGILGPLIMIIWDIFVTISYIYLPNPVYHQIAF ALILITTTTKTAYLAFKLPKNHPSKFKIGKTMSKGIITFAIGFGIWNIDNIFCKQLRI IREYLGPLGVFIEGHAYWHLMTGYGSFLIFTASILLHLCIKVSPDAYTFNEKAFFPIV YPVNPIERKKVKSNGHSK
I206_02123	MSKYHCKPLGTINQIILDAIRNIIFTLYSHNTYPSNSIRDRLKF LVFVSWWTVFFSAAYIAAFYTAFLSFVASIASHLAWLALTWIFWLSSTAAFTAALGGG QRCGSSDLTYCSQNVAAEAFGWIETILISFGFGAILFIGIGAVRRGDRLSGELA
I206_02124	MLSSTRQVCARRLAPSFPPALPAESSRQAILRAAARSSPSNSRC IQSDSRRSSSDVPKHKATRGISSGLTSSAALALAEDNRQYPAHHHRQTWQNQEGRSTS DQSPRSDDPAEEYTLDPPAEKLSPAWLDSWLHTYDLSSLPPSPLPPLETFRGLIFSQP LLALLTLTKLSQDDFRRIQHKELRNLMHGVVTVLRDRPILLNRLNEAEVSKALRILRA ILFSLPTGEKGYKDAYKGNYFQGQILSHFLRLCSIMKQPRLFRSVFHERMREQMSRSS SSPNSLVQGKYKAILQFDVIARNLALQQDWKLLSDLFDPSTFPHQYYTSDLLAFYLQS HFGTFQSATVPRGFELYAQLNLKPTAVAYNHLIQAYLEMGDLPMAREIVREANSNGIS DHATQQLAILRGYRALGYDVDLEKRVLYDVERLGIPLSARLLNALIRLRLEAEDYAAA KQLLAKFDLADWAKTSDKEASQLVQPNLATAGLVFDLYSTTGDLQDIRILWTDMKAGH TVINDQTIHTLLRALNSLGLLDEAISILQPSSDSEWSLPKGVKPGIASLNYLIGQLGR QRGLQGLEYGLSLLHQTGVPPDDLTLKTVVDFVKASVQHKPIELAYLVDHVTRSSNLK PTQSLLDSILQSAINAVARSQSRALEPSSLKNTFSPTAGMNLSPKFHKSLEGILESLK SVDSKSGSRSLVNRLRYDAMTSARIQNLPSARIVWNSMIQRGYKPKENHFNALIQGYS SAGLLYQAQDLFLLANQIGYEPTKSMYLSLLVGWGRMNRPSNSRKIYEKIKSTFSVNS TQKQDLEILTAMVQAYNNSKMYHEAALLCYTDLKELNVMLDRKAINVACQALRGIGDL KGCLELLSVYGPALDPISRRIIRGIKNYQKKILGLIIPSEVHSDINKTLKETEQLVNL IEDPKQLERNSEKIKKDQEILRMAEELLEKDDLARPVETRRWIRLNKGVRKRFKRAVI GPSEVKPNEKVLMRKIVYDEKLISPHLKGKRRARCIRSIKRQHIRKEKSTNFGEIKVG EDASKMRQDRLRLQRNKSSIEQTNA
I206_02125	MPDILSLPSEEVEIPFSILDTDLYKLTMQNAVLHHFYDAEVVIR FTNRSPHMLFNRECFDWVKRRVLRLSEVQLQPEERIKLKEAYPWFPDTYLDYLQHMQL NPIKQVELSFHPKSDDGSSGEIGIIIKGPWRDTILYEVPIMSILSEGYFKFVDTDWND DSQFELAKQKALDVFSPPSPTTPLIFSEFGTRRRRSFKTQDTVIRGLIAGFQEYKANG GKGGLLAGTSNVYLALKYGLKPAGTIAHEWIMAIGATYGYKGANGRAMDMWEEVYPPG PNGAPLTMLTDTYTAQAFFVDFTSDLERAMRWSTIRQDSGDPFEFVKLAKANWKIIED KSGVTRHNENEVAKGKRVIFSDGLDIDRAIALQKGCDEIGMSASFGIGTFLTNDFKKA SNPSQISKPLNIVIKLSQINGKNCVKLSDDKGKYTGDVDEVQRAQQELGLPHEHEDKR RG
I206_02126	MITYAQKTFTVSRLTSLCRQSIPFPWPYQWPLPDGSPGSSSDQL PLNPLPPPYPNLPHPKPKTIDGPIDPFPKEQIYDPLPPLPEVLFPDMKERANGI
I206_02127	MVYEATATAPVNIACIKYWGKRDTKLILPTNSSLSVTLDQDHLR STTTSRADESFEKGDRLWLNGKEEVVKPDGRLGVCIKELRNWRSVEEEKDSSLPKLSQ WPLRIASYNNFPTAAGLASSASGLAALVASLARLYQLPQNASQLSLIARQGSGSACRS LFGGFVAWREGEKSDGSDSLAEEIEPQSHWPEMHAIICVVSDAKKGTSSTSGMQRTVE TSTLLKERLKIVPERMKLISKAIKDKNFEEFAKITMKDSNSFHSVCLDTFPPIFYLND VSKSIISVIEELNKSNGKIIAAYTFDAGPNAVIYSLKENIPKVLGAINKFFPTSEESK DPFKLTPVDLPENFDYKVVREGGWEKGSVKTLIHTRVGDGPRTLGKEDSLLNEKGEPK TLA
I206_02128	MSTSSNIIPSCASAYGGQASSSIAEHNAERTRVMSSHSVTRQDI AKAFKRKSGLLEVDPHFCEFDISPLLTMYHQPSTTPAARTATIAAMFHTAMTNGLLLN TRRFRAKEALSKWNQEEEYRSSHQWQAVKHHFGLSGVNDEKALKVLRWQFKESANPKD PGSPSDYCKEMFTIDLTQHVCDTIYQGFPNSRAPSVLPKEALEECPETIHPWVRARWK SRSGIYHPDAYPKLRSNLLLVDSLTRGTLFSDWDHARDQDPDNWRRDDDQKNDAISPG GAQLPPHGNLPSANMSDMTISDGGLAHREEPETSNYLGSGEDWSPKDNKHNNFGPNDL NLDIKPSAPSHPARYPDPTTESTVTDREEIQNAAKSRLKRTKSPHATCFTSCCPS
I206_02129	MSASSFSDMTRNELRQKNKVTSEEIRTTYAFREDRHLTQEQKES LYYPLDQQALTRDYCKHDASFSFRTAATEAMIHTLLDSKIKKDVALFWGIAKTRWEGL TEVERSSEQSRTEFKEFLPVFADQNIDYCKLENGMETISASIRKSPESYDLTELGERI YKVEMLRKVSHDIYDHAPPDLSVFRLGLGFDWPDEIQTTIEKLGERLLVEHQFPRTKG LDTTEEEWCANQYWQWVVESSNMTERPTPEATGAQRQPAAEPPMSTRPSSLASLASLA SENTALLPHESEQTGTPGWDCFPCCPKGDNRS
I206_02130	MSSTKKALKSIKSHLEERDSESALYEATTLLKSIGEEAPEAAQV LIFRGLALTQLQRNDEAEKSYIHAYRLQPSNPLASVGLKKLYEKNQDWDKLGSLLEVL VQSAYDDGDAEKCAATLQELVELRAKHGTADKLYRSLDQLLPSSPLVPLLQSASPPSG SYVPFPAPIYPPASAAIAPTLPSPLPHVSHLVASLPLLTNLLVRAESLVYTTVEGKVK VGRQRLGAGPEKEVRRKVESEVLGGELGMRTVELLKEVGSHPNVSEEVRREVEIREFK FWRKLGASLPSKQGEKPITNTSSSKGPKTAQPVKTGTADLPTASLFEPHIYPTPNKEE ALRRTDDLANGFVLLGITGKGAEEGWSWVLEGKDEPTLYYDIELLHKYAKAFPESRMT DFIDDYCRWFKLPLPEPEEEEESIASANGDETAAQKVSKKPKNRKGRSGMNARERRKA RRAAGKEGLLAEDLDQEEREELVSGMTKLVDQLPRSIFAHRVMARISLQDEDWPNAIS FGDKGKALVKELENERGIALPSVRASLDTILGLACVPYFAPKHHPRAIRLLEGVLKFE PNNKEARFARAQIHQAAGKWSHARKEFKSLIDAGGDEKDVVAAKEELAWCLVNDGDLE KGREILEEVVEIRDARWEKDGKDDEAYSRARAWWRLGRAEWSIGDDESRQHAEEWFMA SIRALPTFPSAYTSLGVCYESVNPPDEERASKCFQKAFELDATETEAAHKLAIGYAND DEWALVRTIAMRVMEGEGGLDGVAGGEIMNPKGRFAPQNGWAWKALGSTEVHYKNYAK AAQAYQIALRGDPDDVSMWVMLGESYVKCGRHIAGLKTLNHALELQPDNWRALFNIGE TQAQLGVFDKAIEAYEKVIESSGKKEIGIIAALADAHLSLGRQSAAGGFRQRSRNALH SAVTLVTDVLKDGRSHRAWAWKTIGDAAFELSNQESSLQEALASSEILGPVLELLAAD DIDRRSQVEGLGHAANLLQTSPDLEYTLKASIFAFAYRAYLLKNEPRVADPALYDFAS SLHSLALRLPDGDIKTSCLKAAISAIRLALERDAGDERLWNALGVISETAGPQVAQHA FVISLELYSKDPIVWANLGYLYLRLDDRELANQCFLKAQTTDPDYAPAWFGQGILADR NGDKQNAKALYAHAVTLSAGSLLEADLALAITTFERFLLPGAQIDINLLHQPAFALRH YCHQRPNDFAAAHLYGLICERLGLADEAAMSLEKAAAVLEEEFERTESASIESRYAVA LVNLGRIQLAAKKYQASLDTLDNGWELISGSTDGDVASLKPQCRLLQGLARYWLGQID ESLEAFENALEEASTSQDLKSKEEIAVLLSRTLWGLGGDDAKETAKTNLMECLSQESP SLKVISTLAAIAMTSSDSDLVEAAMSEMQSRPLEARIKEDPAGQSTLVLYLHALSDGR SEEAHTILENAVQASPANVKARNKLAEAFIQAGKAKEAIEVLESMDRVENVKDKAQLD SLIGTAQILDGEQSGISKLQKSVMIAPWEEEAWNSLAWGRKLIAEAEVEVVEE
I206_02131	MTRSHAKNNTTQSTLSYYERSLLRKDGAARRLGKDSFKPLDSCY LCLSKVKNPVACSLGHIYCKECFLSDLINQKSLIELKKKEIEKWDEIEKLEREQVKLK ARERVISDFEKNMKLSGSNSNFSSGSLTKRISIKEEEEEEEKDKNQNKFLNKFELNNG DVEKVAKEAEEKALKLIEFEQIENRKAKLAAFWLPSLTPQAKLGPLKDVKLQTLCHVG DSPHPISRKTLLPVILTYPPSSNSKPICPTCTKELSNSTNNILLSSRQPLISQSELIP DEEGSKKKKQKKDKEDPFVCGHVICQTCSDTIVKPQGRCCVCEAKINNDGIILLGKEG TGFAAAGGAEVKREGIAFRV
I206_02132	MVKEDIINPGAGTLYVYGNARTSSPVGRNRKARSRGSKERFGKI EPNSKELPLIRWDEFVKHHEDPINNPNPDSLLKYGITEYTYLPAASGGVLGKGKFSTV YKVLGADGFYYALKHTPLFPHHPLISARLLREPTLLAELPSHPCLIGVEGWVRTKGHF YLIEQYASSHVPLPAHPLPLKPSRAAYILDQLVSVIRDTLHGKGRVCHRDLKGDNVLI DVETGEILILDLGLATRFSASEPKLTTCCGSPAFHSPEIVNALARPPGEVTYYGPELD IWCIALTLLSLLLQVKFPLGPKHTSPYVMGQRVRDRLQELDEMYPPHAPWRPPPSRTS ESSDVDYNLEKKEWSRVRKAMRDFLEIDGRVRMHKFGLYELGGKIKQRVADWDEKEQS RRFKSTSFIESEIKYTLPIYLDDHQPIGTKGKGKQEVLVLRNPMSESERRCISYIKYL LRSAGILYHLLPNSQIPSAISAPENPAGPSTQKEGTIFQLVVNIPKDSQKEQPEVAAN DPQIGWFPSLFSFTKKPPPLTSSPASPPQRSASLPPAKRSETPAVPTTPSAPRDKSPG RSLRCYMRLEFVHDDQMSPMTDKRSGSVDTLASRKEGKANSLSTLQPATGMNGSIPNA HPHHTFPARSASVTRPSTIKPLTRRSASQAAVPHARQPLTRIASTSSYCAPLSPLSRQ VSHSDSPPGANGTMETLSRAQSRTSNVSRQSSGRHTFPSSHHHQQYTATNQSNIQMTE SKIIIHLSDQRSYTTLKNAFDVKPSAYNTPIAADLTLLSPMTVRRPSLAPSLTKSVPD PESSEDEQHRSRFTRRKTNSPPREDEIVEVEEERGRQRSKEGSSLSSSTSSILPLQKV KSRNSTHSINIKQLPFISSETGEQLAMDIVKHVEVNENNENDKNDNSSNVNVKSKEER KRDKSVKGRKSNRGLLDVIFGGNNNNDSSDNDGLGMSLGTGYGRAMRARSVPPYRGIE DLQ
I206_02133	MPIIALGVDEGISTLDSALNEKSITSFNLFDSPPQSPPKPPQPN SEKVSSPAANYPTPTSPLRRSTSQNDQDEFDHSSAHAGPSQHRAIPPPPNPRRHRSGV LMSRVRATSNGSNVKLSVNPGGSKSHGDLASFGGFTSLNDEIEDTYDDGMEEMEQMEI EEGEDINETNPRRRFRSTDRDSWKRHVSEGSKKAGADEGGEDSFWDNSARYYHRLHSS RPPSGNASIANSPERSPQPRSRSITSPSTSPIYLRRNLTLPANGPGPPSNSGISASLS PNQHFLQTHLPPTFHHTGTSPENSMLEHHHSANMVFTPTTQEWRDLQTTPEWQELHKS NNTNLEMEPSLSGSSSEDESKGWIDSEKRNSSLSPQRSGHGRPTLHTSVSAEYLGSEK QHTPDPGSPLLSPADENQEFALSPSRSTIPVFNPVIIAPSPIMPVTDKVDIGADDSPT KPSSTSSAPVTPLHSNHGHETFDDDDIILADPDVDGPARFASIGRRDSLRVIKKPGDP PPLPRTKTKRELEREKLLSMVDEELETDKRSPPLEDSNGWGGGVQEIGSGLGLGSRPP ALSEVRIDPTDVAFTNVRSTSADPILNGKTEPTNPFENTFAQAKSISLPGKPKGPTNI LSATFKPSPLQASPVTASTALESPSSNVTSPAETPNNVTTPTPPNGQAVHGPATLASI RDYAKSISRSRHPSVERSLGKDASPPMSPRSPRRRDTNRVSLVAGRVVQPFAIPPSTA LPPSHPQSRPQMDRNPSLQSFSPFRSPALSETKPSPHLPQFPRLDSSISIAPSTLAPS ECPTPTDETAGGIGGRGIDDYVILSEAGKGAYGLVMRAKVKGPKGEPVGEEVIIKYII KARILADCWKKHKSLGPIPVELHVMDQLRHLLYHKPNQPLPWDPARVRPDASPSLPTA DSPSSQSSASFRTPESNWSSAHSDKSADSFVSTQKYIAAEIKTSPERGHPNIGKLLDF FEDREFYYLVMPRFGTGLDLFDRVESSPTGLEPFEIRSLLGQLCDAVRFLHANGIVHR DIKDENVILDGKGRCQLIDFGSAAHWRPGKRWDTFSGTLHYASPEILRGEMYGGKEQD IWALGVVGYVLLVGETPFSELPDEVLEGLSDENSRANQVLNERCMYGHQEEGLESDGG GRLEDASDLVKRCLELEMSDRPTAEILVQHRYLKGSGGWVGKKGWLKMDTST
I206_02134	MAEPPSLPYARDEGSTSQPHSAYPAFPPEAYEPHEGFLDEVEEE HIEMSAALQYWAAIRHRGKGKGKEEDEESSTKTPWRLRSKLKTVTAGLFICLNIGVDP PDIVKTNPCAKTECWIDPTQLPSNKAIEAIGRNLHQQFETLNPKVKYKPFLDPSIEET KKQCVNMRRSAKDERVVFYYNGHGVPKPTPSGEIWVFNKNYTQYIPVSLYDLQEWLGS PCIYVWECSGAGNILNNFAKSAERRDTEARAAQAQAGHSDGLPGSPYSEALHLAACQA NQILPMSPDLPADLFTCCLTSPIETALRHFVLQDPLRRNGGLDPNDPRSRITVDMVMR IPGDLKDRRTPLGELSWIFTAVTDTIAWLSFPRDVFNRLFRQDLLVAGLFRNFLLAQR IMSAYHCTPTSIPEIPSAHNHPLWHSWDLAVDACLAQLPELLDLEAAREAGQSNIPPL SVYRPSTFFAQHLQAFEVWLQHGGAVPNRLAPRPKKGVTIPRSPPEQLPIVLQVLLSQ SHRLRALILISRFVDLGPWAVHLSLSIGIFPYVQKLLASPAVELKPVLIYIWARILAI DKTCQVDLLRDSGFTYFTQILAPYPQAGALVIPNANEHRAMSAFILSILCRNFKPGQT ACLGVQVFDSCVARLGEDDWLLKTWCLLCIAQLWADYDDAKALFMQSQRQNELLSALR STAVEVRAAALYAFGTLLGASSAPIGSEGAKGGGGTGAQLGLSDIQQLEIEAGLAFAC MMSVKEDASPMVRKELVVVISCVVREWRGWLVAAAWVYYEQEAALAKAESSNQSNGSI GKNAGQDIVSEALQEWTSNEEKSPEEHQHNLTLLSSFKVLFETLLDLSVDPHTEVALM ASTVVDYVIALLVDSAFTRVKGSAIRDLLKKHAQSSASKRPQTLSRQVSTAFSEGGKV NGSGQLNSPTMQRSNTNASTTSNSGSGPSTLKRNSSVANALRSLATMTGLVPSESPDS NSSTNKIDAEFTKITQPITPDSGGSGYKSPYPDGSHERILPDSNELGHSNGSIRSGPT GGSNNQGLARSKSAYGTANLSQSGLSALSGLNAVNVKASEVLEALTKEDMERLSFRRS KSTEVNTDLDGKFGNNGLARNNDLGLGMVAKEVKDDVLPLKSGFFDWAMEYFREPQMK APDGDEPGSTVYNQQAWKHLRNEKMVEDSRSAEGYAATHVWNVDAGTLHNDSWPLQLA FHSYDPVLAVTDDADNVCIWDWQTKRRLNKFSNQNIPGSSISSVHFINEMASSLMLTA STEGSIRVFRDYETPNETALASTFRAVSEIHPVGHSSGVLTAWEQQKGHLLVGGDMKV VRLWDATVERHLRDIATQAGANLTAISSDEPEGNVFVAGFGDGVVRLFDKRAEDAGEV VLRTWRQHKIWIQSVHLQRGSMRELVTGSMDGEVRVWDVRRPDEPLYTIPRRDEGLMA LAVHTGASVLARTTALTSHSTKQELEITGFNDPIHPIRLAKINIPLSPTYNNPINSHR INGFMPSASSLVFHPVEMIIAAGGFDASGTVKLYKCPTPRTAVTTWEQMNGNASASAF T
I206_02135	MSDDEFMMEDAVDDEEYDFDYDDDEDEEVMDGAGDVENQYYKAK SLNEDDPEGSLKAFRTIVDDQPEKGEWGFKALKQMTKMNYLHLHRPEKAIETYKELLG YTKTSVTRNYAEKSINNILDYVGGEGKHAALSPKVPLNTLEEFYEATRVACEEAKNER LSTKSNLKLAKLWLDRKEYERLTPILKSLHDTCAPTNGSSSSDDQSKGSLLLELYAIE IQMYSDLKENRKLKEIYNAAMQVKNAIPHPRVMGAIKECGGKMWMMEKAWAKASTDLF ESFRQYDESGSVQRIQVLKYLVLTYMLMGSEINPFDSQETKPYKNDPQIIAMTSLVSA YQRRDVKEAEKILKANRATITGDPFINYFVDDLLRSLRTQYIIDIIKPYTRMELDSLA KTLNIPRSDVEELVVSLILDSKIKGKIDQVNGLLILDRFNTSSRERYIALHNMSSQLE FLTNKIEAEKLTREGGRAGGWGGGLTAFG
I206_02136	MSNEHENRQLGGFKATLHNPNTSPEAKAHAASVLAEHGLDVSND NSTTKASIKTENHVGDSHNGHSDQEGPHYDGKDSEHQHRVLGGYKATLHNPNTSSEAK EHAKEVLKEGEKHEHHVIGGYKATLHNPNTSESAKEHAKEVLKEHHAEVA
I206_02137	MSDNSALMPVLWACVSSWYYGYHLSELNFPVNSLTCITPAHPPP SRLPICLDLYATRYSIVTAIFTIGGLVGSLGSSWVVEKEGIKGGLSWTGYLNLIGVLG MSIAPHWYLLALGRFVVGMASGLAICLVPPYLSIISKSSAELSNKSGQIGTMNQLAIV LGICSAQIAGLLLTGEKGDVPGSWRYVVAVSGFVAAIQIITSHSISSPNDETHQTETT ESTTLFDEGQGDLEEGDLPREGITNEVASPLLSSSSPSNNSSKPIEQLNLRSIMGNPT LRGPAILVAIFMAIQQLSGVNAVMFYSTPVLRPLLPTSAGLVGVGITIVNAIMTLPAI FLMDKLGRKKLLLISIIGMSITSTLLAIGLNNQKQNLSAFSIIIFIAFFSIGLGPVPF LLISELVPSQAIPAVSSLSLSINWITNFFIAILFLPLRDFLSTPIDKNDPLSDRKGEG RIFYVFTGILIFGSLLVWKGLRSGK
I206_02138	MFKLYRAEEGGATQNQGNNLHVSGLQRSIDEAKLKDFFATALGK PPVKAQIMLDPHSQESRGFGFVMVETAEDALTAIEKLNGQQLEGKTVTVAQARRGRAR TPTPGRYHGVKVETAPRSYGGSYDRPYQPRSYDARYSDRGPPRYDDRERRYDDRRFDD RRYDDRRYEERRSYRDDPRDRRDDYYARDAAPRDA
I206_02139	MRLPWSKKDVDLEPKTGDDPKDSNVLERKMGLSKKGAIFAAGAS LFSDGYANASIGPAGTIIKTYIYPETFKNRPVNAKLLPAIAFAGIIVGQLSFGWISDK IGRKFGMLLCTGIVFVFSALQAASKGPGPQGTINALLAYRFLVGVGIGGEYPTGSVAA AENTEDPDIPKKSQQRLFVLATNTMIDFAFVISYFVCLVCLWIFGMNHLNAVWRLTLG LGVVPPLFLFYFRLKMREPEAYAKHSMKNTKIPYWLIIKRYWVKLAAVSATWFLYDWI TYPFGLYATPITDVADPNGTLYTSIGWGCLINFFYVPGTLIGAFVVDWFGPKYCMIFG LCCQALVGFILSGTYNLLTEPGRIAGFAILYGVFLGFGELGPGNCLGLLASKAIGPTA ARGQLYGIAAAVGKIGAFIGTYTFPQIQASFGPPGSYGNNTGIFWLGSGLAVASALIT LIFIPNIKPDAMHNEDILFREYLEANGFDTSSMGLKDTVSDVEGNQIAHHGKADKEGL KTTTAPVL
I206_02140	MDPRRRNNHARPPAPSPSAIPPPPNSYSSPSNAQYGSIPVQDPR QTQQTYSTPTIQAQQSFPTFPPNQSIPPFPQGNLGSSAPPFPPSPPIAVSGSGGSDPR MRPQDPRNRYRPQQISTPTPPNTSFPTPPIPANSPIPPTPHSGDIKPNGVASVATDGE LQNGGETYKGKRRPLFCVVCASNNNRSMEAHMVLNKNSFKVISAGTGSAVRLPGPSID KPNVYKFGTPYDEIYKDLESKNLNLYSKNGILKMLDRNRKVKKSPEKWQELKNVNADI VITCEERCYDAVCDDLLTRGGEFNKPIHIINFEIKDNPEEAFIAGQSILELAKSIEAA NDIDSEIDEILLAHADKHPHVLLHTVAFY
I206_02141	MPSTYIPHHLIHAHLPPPPSIPNAIPELRIHSDQAVPLTDEDYA EPLHPDHAISTIHHQSSNLLARSIYNGHVLELRSLNSTISKVRPRGLDGSEIIRIFFP EQLRPLAQGGILVSKRDKRLFVTVVSQANIVYRLNFPLGTFRPGTEDRFVFTTKGNDD WYEEWEIPEDVIVSCSGISAWTALDENTIILGGGDGGIIRVTRNGYWTPDSGHWSATH HRATSRLRLPSLFSRSANTDEQIISFAEYQKNDHIPVLYTLSRDRKLRTWNASTGACL RTMDVRSTSQDLVVRGSQEGSSSSVTENGSVNMLRVIPHPSSASRYSHIVIAFASTPY SSSSAGSFSIYRAAISSHSVSDLAHAGEKACSIVSAGAELRGFEILPPVKTEGIDNGW RLWATWDKKGFTYCETIALDDVLQFATYIKTNDAILMSEWQQVSSPTSVESFDAAYFD NILSSDPPNLADPEDNGDIPAAFIQHLFHPGRFSILTLTTALEDYIHQLSRKNQAQQT ANSFASLSKRFGGVVGSQIEMEYSPQTGAPVVDAFRNKLKVDWLGVWSNVRELDKQAR WPIGTAVVEKDIFILTREGFSTPIPNDTAALVDRLGKSNIDPNQFLHLSEGALRRFYP ALAPPKARASAIAISMSGSYISNILKGQDATDNTGTALDDFVNVTGDRLASITHEPSE VIAGAIWDDQVEGLLSEEDRSSVRRILSESASVSRALDESLKILEESVYPSPSAQNAE LSWSGSGNALLTSAISSIIEARYSLAQSVLLVTFFHTFESRDSSYEDDEGEELIEILA RALVIFHRYKVLKWVSDQTGEEARERSKVKKSSKRKTNGGDDVLAEGLGSLRMREGED DSGLDSDSFDLGYSLIHSLIARQTPQPVSNGSINEYLQVASSFVSDLQVIESGQTDIG ARNPDLDLSYKILVDGHAQLAGAFTDMYPLSAGISYVKGRAYLECGMVEEAVKFLEKA AAGCKDGSLSAILSSTNGSNGLSEYYRHICRVFDDQGADQPVVYFGQLAIQSNKGDVA MTKDLWTKVFLGSIALGRYEDAYSTLTSLPFLDLRRDFLGQLISVMCENNEVGRLNSL GFIGFQKDVEEMLRFKARNSDPLRFPNYYKVLYSWHIARGDYRSAGEIMYLQGRRLAE GSSSKFPAFEQSAMQARSYLAAINALALVDKRNAWVSVPGAPSKALRGIKRRKVSSYI PEEEFAKDKRPIDIISLADIEMEYTLVLSQLRLSSHIPDMHEHGVTVSPQEVVGLFIQ RGMFDIAQSSAAALQVDMTDLFQALAARCIELSRLSEHNGDFSAATFLQSSPVTARLR GSPSALSIKYLQSALTRHDSAKTNWKYRQAVADTLFEMNKDKKQKWQMPVWLVTWEME RDPESWINKALKHGWVEEAIGWTIEMIRKATPPELLPSGKSDSAYIPYNLIDRVIAAS EEGDEKEEKNVQQKVKVLKEEVERRLKGLEKIRG
I206_02142	MTPTVEHSNHIQHINTMFNSQLRDEGASLLTRIASNSNMSLAEQ NQFINSVGEISKYGNTKFSENDKSYFISKITEKWDTEKFGEIPDTLSNTNYDENKISQ DAINLVNSVESIFEQFGNKLSMSKINDSENGKLKEDLSNWFTKACIDQFGDTDYSSRS VDGSNCDGYPFGDIRQSESDGSDSFLSSTSSNSNKVYNGGSSSGFTGRLDSYITPSTV VAVAA
I206_02143	MALVSTHAPTSFVPSSMPDTLPYTDTDDDSRHVGEMLNPDGTVT AQGARFFDEQRRRITDRIKSCATDHDSKKFIKYMTEALDRTIEDGMEEETAPGVHQYI RTYLDSWQERAPVAAKKAEDKYNAIMQHREVYRDAHQSVTAQDTAASGSGQLLPASWA TNPRPKYQLTQYPSEEESGSDSDYGSGSSGSTAISLCTGEQNTINKVPDTQIVANDPK MHHLRVIGKTAHPSLLPADSQFADSELVSGTHDNAQKPYNQALIKPMNDWLASRHGQP SFTQGNATGGDPVRSISPFVRQYNQSNSTNGRYPTSTFSHGNKINTGTLAKAMSNVES LFESLAASMNPDQSDVVRYKKSNPDGTYQQMGAAQEDGEDGSRTEITFHSGKRGNTQF SSFSSLTTFGGQPLIASAA
I206_02144	MASVVLPGDSIPLPSSSKPVILGPGLAASSSRIRTPISDDAPAI ISTRLGLLSSFKGKEKSEQYWVEGNSKRYIPAQKDMVLGTIIARHAEGYRVDLGSSQM ASLEGLAFEGATKRSKPNLKVGTLVYARVSLANRDMEPEIECFDPNTGKAEGFGELKG GLMVSCSLQLCRHLLNSKFPVLPALASTIPFEIAVGLNGRVWLKTETVSESIALKRVI EGVDSGEIKPEKSDIDMAVKQFLA
I206_02145	MLPLTFASISLLTISALLYAQTVLADNTFAGCYSDDGVTFVTQL GTGGGEGSPANAAECSTTCGSSDYTYAAWRASDGACFCSNNFPTGPQMTSGQDYSGTC TTSSDFSVRVVTTSFNLLECTDSVSYDNDGFMPFVVVNPQDCFNNCRGAYIGTFIEAP QNGYYTCQCAADKLDSIGNEVTCSPSSYFIFYHTAAAKASGLSRRKSLPTDIVSQRYT QYCPSGLTACRIQGQEESYECLNTSTELESCGGCMFGQYGNTTSDAGEDCTTIGAALG ASTCIRDVKRLAIEGNCK
I206_02146	MSAKQVAGGHKAAINNDDVPEESKEHSKQVVDEIEGSGETEVES AAEDRPKNDGNVIGGHKATLKNPNVGEEAKEHSRQVLSENGVEVDA
I206_02147	MGGGDLNMKKSWHPVLLVNQERVWKAEKSANEEKKMLAQLRKER EEERQLAELQRLQEASTGKKRVEKMDWMYAAPGNEGGALGGQKLGDREMEEYLLGKKR VDEVLARGDKDVGATHKDFIALQNANSARDTASKIREDPLLAIKKQEQAALAALMNRP DIRKQLKAAKKEKSGKEYKEERRARKKAEKEDRRSKRHDKRDRSPLSDYSDNRDRRHS RSSYDRDRDDRRRREYERNRSRSVSPKRERDEKDYGRSERRYRDDSPRQSRNRDDRDR SRSRDRYRDERDRHRDRDDNLIGSGSRGGSDRNGGSHRHDHRNGNGHVREREERDDPR IPPPRQYSDSSRDIKPHPSRPSALDMADRPTTSSSHSRHTPSSQSNGNHSSTNGNGQS LDEMRAARLAAMQSSATQLYDQRTKSLAERAEAERRESEKDEKMRAKYGQEQANAAFF KQQSGMNLSETLSRRGGKGLLKDI
I206_02148	MSLFKRKQSKEKDVAPASDMGPGPSPPVNNPSQLAGMPNQPQRP SVYAGPQPGVGPQGQNTPPMVNGRRMTSGPMAPGQAPMMNGPSFSQHDHSSPQTAQTP QQRYPNPGPNAPHPQAPQQRIPSNSSPVVSSSSQYPSAMVNNTSYPWMVRPLVPYHPQ TSPPSAPQSPFPRYGLSVPAFPSHSGHMLIFGGLVHERVRNDLWSMDIRGCETLPVKT KGDAPIPRVGHASAMADRIMIVWGGDTKVNVDDPQDEGLYILDLRSQEWTSLPVQKGP VGRYGHAVCLVEGRFYVFGGQADGAFMNDLWMYDIKQLSGTTHKWEQIQYTTPAPPRR TGHIFVAGNNGKLYLFGGTDGNYHYNDTWSFDVNTGAWTELSCIGYIPLPREGHAAAI VDDTIYVFGGRDVKGKDLGDLAAFKLSNQRWYMFQNMGPSPTPRSGHAMVAAAGKIFI VGGEANQALTEVRDDPSMIHILDTSKIKYPPDTQPPRNPSSLQNHSTNSSTSQQPQKD QEASQGQRVSPRDETPPKQQRVNDTPQSVKRGLPTSGSIDSLARAASPTTGGERNLPN IQSLGAALPRSESQDLAQHAQATPRANGGPPQRPKREGDEEYRRAMSPTNGPVSPSNG TYNGARVTSPTHNGPSSPPSNVKTGFNPSILGTRSPSPRLRMLDGERPAPPPDAFYYG RSPTSANGFANNRPSSLSGAQDLLRELKQKEGEIDQGKKRELALKVILSRAVQQGFVF SEEEDLSLPEKSEESEGRDVAVIHRLTDALVRLKQEKANIQNDMIAQIRSASDRAMEA ERLRRGALQEAAFYRAKIATLESNSPIDLARVEKERIVELEKQIGMISVAHAASQKEL ESVTESVNNVRSLHSASTERESETLKRAEEAEEAHREAVEEIEQLHEKLTEHEGNLRE HTEKLITLSSTVQQREAEKDNLQSQLEEANRGRDDNIVLIQQTQAALTAAGVRTTEME NLYAKANTRVASLEEELAELRTEVEVKTRDVELSEEKFREVENAWTQSREEADSLRAV TTSRLGEILDSHKEMRADESRHTRGYQDQIKALEQETESLRKMLKEAGQRVDSAESGV SSHRTKNRELESKLQSVRGELRMSKTKLLNLQSENSKYKEIHSSKDKELKEKEFHLTD LETRSTVLRNLLADHGIAVSDNDLDNAAQASTPSSAQLETQLRDRGRANEAAQREIED LRMRCEEAEDKVESLGRLIERLKDARSPTASSMRSPTPTGDNDRRVGDLEKRLMDMEA QHREKVKAVENDYQTAVRYVKGTEKMLKRMKDELNKQKATNTTLQTELDGLRGQPGSR TRDLSGRSTPSNMGMNENVIELNKKLNQLQNQHNKLQEDYKASQDVLNARNREVELLR MRLEESDRELEILREDLDQSKNRIQTLLEVGIHNNKDDDIEEDEEGSEEASMAFDKFT KELKQWERSRSPGGTIEDESDDDDTAHLSINQQNQINHEIGHANVNGIGKNGHKRHSS EYSGDWVQ
I206_02149	MSSSSHTVTSGWYTNAYSGPFRSTRLRAPSLLPGSARRPVIIPV QQTLVVDVAHNHTHTTTGNSEDNNKNVKRRETIFGPDVGEDDEPGWTESKNQEIGVEK VKEWVERSKNEQGLHATTTLQALVNLKRPTLLLQQIESHSSIEETITPNRSSIEKTDE EDITTISTHPVTSSPQRNSTTIHKKRDSTQIIQTTPLHTLNFKYDATTPMVRIQLEIY PTPKDPLSRLSLSEEEESEGAKEVVEDHEPKIIYSGLHPGGFDQGFTLPYSSALDLSD AIIPIEEQQAQEQAQQDAAAAASAGAGANLNESPNAENASVPEPVVTPPEEGGSRWRR GLFRRNREEDIENAAAIEMTNRANAAAAANNATTGQAGEGEGAGEIPKKKEIEKGMRL LIRIDGLGPEGQALPRKNAQLTHILISGTWVSDHNASTTDTTQLQPQPWAGKRVWVVK VARREAVIGSHTFLLKEIYGLSSTSTSTSNNTQYPPTADDPYASTPNECIVCLTSPRD VVLLPCRHLVVCRDCAVGMVEYGAGGKVGRREDGENTTAETTAGGASGAAAGTSGGTA NPTPQVAGGTTTAGRERRKKKVKGWYCPVCRQPYTSLLRLALPESSKPSTGEGEESNE LSRVPSRALSVRTTRTTRSILAPSIAPTLPDGAERMLDELRPDDVKARDSDNIEDDQD DFIYESNQQEERPQFVINNDNDNDDNKKIELEHKENIYNSKQEQGDEQISIGNSNAFD LGQPLNDVRKSQEGKGWKEV
I206_02150	MTSEISDGTYPIQFAPSITSQWGAKKRRRDEDDLIAFRYNFKPA SITPNTPGSYNVSSGIGGSGQLVFDTNTGIQQVFDVREENSKARECVLIFNEETKSFT LHALPSTLHLTLNRSSRPKAPSVASISSSTSSRSIPLSKAQQNSKAEIVPDDEELGGE IEETPKVKRSRPSEIRQMKSGKSLPRKQPLATAPIPSFSTSNTTTTTKKATKAKTKGK TTTKKGSSVKGKKKLETIEPETPTKFKSSEFIEDSDEEIANSEANNPTEDQEFDEFAN LLGQSLAQGDEDDSEEEEDEDDEDDEDLGGARLVVGGSSAALDDDGSEWI
I206_02151	MPPRATSSAPGMASKRIKKEITDLSKENLGSIILYPIESNIFQW KSILPGPIGSPYEGGSFEIEITVPEDYPFSPPKLHFITKVYHCNIASTGAICLDLLKH AWSPALSLYKVILSLSSLLTDPNPSDPLVPAIAQEYKRDRKKHDATAREWVKKYALPK SIPPADESKPKSTTSISRPKMINRPSSHTSNSSNNPIPISLPTPPISGIRRPISSRDP IDLASDSENENELNGNSRNGELLNNNNNGNQVESGNGSGNSNPTNGERRVKRSRLNNN GPGSGSGPGGASAGDAIVIDE
I206_02152	MKFLILITALSLASFAQAAITGQQYCNRYMCITGQHDSDKSLDT YTLAPPSGTAIPLNQFGWIAIGFGNSMINSPMVIVWPNSDGSITLSQRKANNHVTPAG KKSYNITDIFSSWITDSSSAFSVDSNPPRKATLLTSSSFSNSSSTSIAFTLPSSSNTN STNIIWAYGNKNPSSSDSGTSNIAQHLASGNTQIALLASQLPSSTSTNGTTSTSGKPL TSASRKPNSIAGNGSNSGNISNGVSKNILIAHVVCGASATMFILPIGILVPRLARGFT LKRWWFPVHGALNGLIAFGLIVAAFAIALANFSGGFSSTHRKLGLTLFILAIIQTLLG ILTHWWQPKHKFQTSSGRGPVNFLHILLGITIVGVGFGTVWWGIDEEWENWSGTGKPN VGWKVGWGLVVAITALTYLGGLYFIPRQLKMEKERREWASNLSSSNNSKMIQNDSTLT TFVPPISPNHPITNTNINTNTNQQYNQGSIGRVIRDPNYIPSISTSQTNRKVIKDSNF VTQHPPPKRRLPPPL
I206_02153	MSFPTSLKPAARAAYRNMLRASRITFNGDPDRHVQMLHVLRQTF SSPSLKTPASGSAELSSPSDATFKPIIEEEKIPIDELTKRIEEWNEAAKFLRQNVVQG VQDEDGTWKLRVTEETELGDNATIKEPPKLPTTPFPNRNKRKCTE
I206_02154	MEPSYQERQPQASSGMTLQPSIEKTQKQSDKEAEPMRLRGGGIC GLLTCGLCTWCCCEVCD
I206_02155	MPLFTGLATFLTYIYYNQISILPKSFNFKIINWDTNLSLSNVKT ITLIRNISAWGKGNENHITNHILLSNEECQDKLIKNQKTYKVNRFKNPIIKIETNSIE ANFKSEDEISIDFLTKSNIENLRKDGHGGGQTSSLLKKTLHGTLAWGLSGLKEWNSKE IYQKIKETYLALDNDICRAGLSVAQSKSTSQDTILPTPNPSLLSLIPNAGAVTCTAFI DVEKEKLYLINVGDSRAVAGWYDEKTCKWRVDTLSQDQECGNPAEIERLQNMHSPPLK DVVLDEGFTPRLLGNLQPSRAFGDDSSKLNRAEKKSIAEAGQLTPMPEESLFTKKPIS YDDPPYMDACPEILIRNLRNNENPNEKLKFLIIATDGLWDKISSEESILLLSAHLSNS IQNPIPKSKLPNMFSQIDNFDERPYPSEELPGTIEKNSKGNWLFEDKNSATHLIRNGL DGNGDKEIHNMILSLTGSGAREVRDDTSVIVVHFDTDKLDDKGSSGTD
I206_02156	MRDVIRDSYFGQLVRLVHRPKWLRYGEESNDFTVPRFDRSGVEG YSEHGINVNSTTSVQAVDVNLVTWYEGHDSDNPRNWSLGKKCYVTFLIMILTITVYIG SAIYAPAVGPASEYFGVSTLVSSLGISMFVAGYGIGPLFLSGITEVPAIGRTSVYIIT LLIFFILQILTALVNNFAGFLVLRFIAGFMGSPALATAGASLDDMYPSNKLAYSMGFW GLAAEAAPAMAPIISGFVIQSDGWRWAFWEMSILSGICLIILFFTLPETSPDTILLRR AQRIRHKTSNFQYQSQSEITHALLPFTQIIFDSLLRPIALTFTEPIIIAINLYTGLVY ATLYSFFESFPIVYEQGYGWDLGVSTLPFAALFMGSLIGWSIYSLWNWKWVDQTFDPK GSARSPETRLPCALIGAFCLPTCILWFSWSAVRTHWIVPVLSSIPFGMASTLIFNPFL TYLPYAYPQYAASALASNDFFRSMMGAGMPIAAHPLFHNLGIDWGNTIIGLLSVAMIP IP
I206_02157	MSTEDYDEFGNYIGGDLDSDEESDVEIAPSAPSQPGPSVPSQAY APLEGLEDEDEDMDEDTGMEMTLHGVDGTAGNQVVLHEDKKYYATAAETYGEDVETMV QEEDAQLLSEPIVAPIKVKNFTVQEKGLPETRFDRNFMIDLMNYPDMIRNVMVAGHIH HGKTSLLDMLVFETHQMTYDVDKPVRYTDTHVLTRSREISIKSGPMSLVLQNSKGKSS LVNIIDTPGHVNFVDEVASIGRLVDGVVLVVDVVEGVMHGTEQIIKHALQEKLKLVLV VNKMDRLMLELRLPPKDAFYKIKHTIEEVNTIIASIDPDESLRLSPELGNVAFASTQM GWCFTLRSFAAMYSDTFGSFDVDEFAMRLWGNIYFDSERRKFTRKQSDIDQKRSFEHF ILEPLYKLYSQVLSEDSETLKETLADLNITLKPAVYKMDVRPLLKVVLEAFFGPSVGL VDMITEHVPSPKANAEQKIRHTYTGPLTSNLTDSMISCDSQGPTVVHVAKLYHTTDAE TFRAYGRVMSGTVRVGQSVKVLGEGYSLEDEEDMVNAVVEGVLIDESRYTVDVPNAGA GNLVLLAGVDASISKTATIVGEDIEDDLYIFKPIKHITASVLKVAVEPISPSELPKML EGLRKINKSYPLVTTKVEESGEHIILGTGELYVDCILHDLRKLFSEIEIKVSDPVTKF CETVVETSALKCYAETPNKKNKLTMISEPLENGIAQDIESGKVTMRMTNKERGKYFES KYQWDLLASRNIWAFGPEENGANVLINDTLPSEVDTKLLGSVKESVKQGFQWGTREGP LCDEPIRGVKFRILDANIAQEPIYRGGGQIIPTARRVCYSSFLLATPRLLEPVYYVEV QAPADCVAAVYTVLSRRRGHVTKDIPKPGSPLYTVKAHIPVLDANGFETDLRTATMGQ AFVQMSFDHWSTVPGDPTDSSIQLRPLEPATGQSLARDLVLKTRRRKGLSDSIAVAKY LEDETIIAISASGNADLLG
I206_02158	MSRPATFLLRSANASIRTFHSSIRACNVDLPPTPKSAEALGFKT QPGRQRNLPKDLDLKIKISDSGKKDILTSQDKGGKQYKNSNKPKININPKSQNSSINT VQEEPSSDFFDNSGLASSSSSSSGSSSKHGSRKDVQGISMDLLDQPSSSSTINSNVNS KKLPPDVLRRQRRENRSNNNNNNNNKGRQTQGRRDGSSRKVRDSVNVSSREKRVMLPK RQITFDKLDHSEQGLFGKGTLVTQSNTNASASNGLGHPRKTSSLRQITSTPAFPTTPI PVLSTIPSKSTDQAIQVASWTAALNGSIPLRFKDQLNQTVKSQLGR
I206_02159	MTTSITDARYNVIHHTPSYTSSLLFDEPPETGWSVMPGFDESLD IPQEYEPPRFDHDETLPNQLHPSYPYGRPISLKNKQRIRNKGVGNLLSTIEGSIGSNS RINMNMNMNLRGGEWNSREWQEWGMENLKDQRGRREIPPGMAGAKRPPSPPSPSLHPS NIPSPRSIHIRRLIERQQSSPAIPNEGFDAPVPNETYDEPSSPHVNSNTQQQGGQQME EPIQIGQIGGSLGQLRRGNAHANEDSEGENGEESDEEAIIEEEEEE
I206_02160	MASTKTRRQVPNVIMSTPPAQRSKSAYNEVEKQGLLANFDIEVA DKTLYFRSILSRTLASFRMREESEILSIPRELRGMTLGELESKWGGGWTGTLQRIRRE SFEKKEKVREEKEEKERDEVVKGKRKRNGTVSTNNSPERGGKNPRRDASTPASQGKAV STSNTRSKASVASKKGKNVTTPAIASSSKGPSFLPQNHIFNPTLPPTPFFSSNSHSPL SQPSKSKSYPSSSSSNIHPLSKSNPVSDNEKEEEKDDDDENENSEEDEDENDLPNPEL LEAKLLKNSKNHSDLNSKSNRNKKKRGPSLIFRQSLISNSILPSQEKEEEEEEENNVN INLSDGRIITFNPFNLTPGRVDKELNQNQSKLSQNEKKKVQEQVHDLVVKSLRERMER WKV
I206_02161	MSSPLSAGFCELVFNSAEAPEGVTPILQVLSVKKINAPGAAGQD RYRLILSDGKHFIQAMIATQLNHLVDSKELDKNVIIKLTGYVTNAVQGRKLVIILNLE TVQWNGEKIGNPTNLEQQQSAGGGASTSAPAQAAPKQEVGGFQARQQLTAGRGGPQAK AGGVKGKQDVGPLYPIEGLSPYQNKWTIKARVTQKSDIKHYSNQRGEGKLFSVTFMDE TGEIRATGFNEAVDNFYNMLEEGKVFFVSRARINIAKKQFNNVNNEYEIMFENQTEIS PCDDDSVPQVKYNFKGIDALGETQKDELCDVIGVVREVGEIGSVTSKATNKPFAKRDI QLVDSSGHSVRLTLWGKQAETFQADDQPVIAFKGVKVGDFGGRSLSMFSSATMSVNPD IPEAHQLRGWFDAEGRNTQFQQYTNAMNNGAAGAGAAAGGVRPSELKTIGEAKDEGLG MSDKPDFFTTSATIAFVKQETFSYPACANPEGCNKKVVDTNDGYLCEKCDRKWDAPIH RYILSMNVMDHTGSFWITAFNDQAEQIMEISANELNKLKETGEDINTHFQKTTGKTLT FQMLAKQDSFNDQPRVRYTCRKVSAPDYVGDSAHLSQLISQMSV
I206_02162	MPVRVESQESQVSQEAGPSRKTVPVRASSIVVDSEDDDDMEEEE GWTTDTFQNQVIDKDIPSAAPQLRQTIDKLKDFIRRLEEGMELALDTAKALEDSKQDE PSIREVEKAFFRILDQRELVRIKIGVLEDMVNQLRSSHQYTDIESTYEELAAPKEKAY LAKSERAKYKTSKEYSEFRSAIWEINHDSACPPVSQWLEKGDDDESDDDDFDIGGATQ SYRCPITLMPFVEATTSSKCGHNYSRAAIVDLIETSRKAKRAPKCPVTGCQAVLDKTD LKPNPSLQKRCDEYQKRLQRREDERDEEDDTIAIDEDEED
I206_02163	MVSDTKKALCDFAFPGELSSDIIMSMRKNKIHGCHYILVKDDMD VHGSSTVGTIDGKEVLKVEDVFPLVANAQIIRLMALHKALQSNGYDFSTPIKQIIPEL VMVEEGVADEINCSDLAAHMSGLHRISALQDKNIRRDNIITQLQSIQPVHSFRSTYHQ SDIAEPIFELIIERLSKKDYVAFIREEIFGSLKLKYSTISEDDEQRTIIKSNGRDMLK LLMCLPESPIYEHLFKIYKSNIEYPLVDSTQQASGCLSLIKISNNGIDSYENFSIGNG YADLYVDLKEHKARLAVLCKYPEAGGKERCQEFVSWMRGRLINHLVGLSERAEELVSD TSD
I206_02164	MCCGRRNAKIDHPPANVDPPPPVHQYDYGDMPSPALPPGYTFDP YNSSLKPDEVYLVGKFLSQIVNASTRPQSRNPFIPMLIISSLIFPEWVPDQIRLGVHF ALPPDLLKNDGVPLILQCPVEFAEQLRERQIQEPNPAERDILYFGWGPMRHPTSSGW
I206_02165	MSTQSSRNPRDEALDQDPRTFLGDSSEDFDVFDRRKVESTGETI FALRPKEDSFLFFEMNSVKSAASWLRYEPTEDKVEWVRNGVALTLEWPSKRTEGSTCT CPNRGTQEECKWPYNHAAGATTLAWNWETKTGGVQVCDGPRIYYKILNED
I206_02166	MPNLQFGFQSWGNPASPKRALLLHGLMGTGGVWFKVAEILVAEV DAPDLLGHGWAPHVPEYSVKTVTQHLADNLKDQDIPYEVIGGVSWGSSFAASLFSLLP ENQKPKRLIMAEPIMDYGPRNDSDLERMLNTTKDIPTEESILKANPSWIRAEAILRRL SLSLIDPQVIEQLSNAMGSGDFSHHNLIPTQSSSKSTEIIILAADPDVKTVYPLSNAK RLEQDYPYVKFGWVKGATHDMHKTDSGVLANVILHGFEGAEKAGVTVLRS
I206_02167	MTSSIDPVILVPMNNLGTPTATNGSTPTPADGNASSPNLVMQSA QLQNSAPCTGSSASTALGNDHLTENIHSTASRSVTSSLSSQAIVSSEPAAIPKMDPKK PISSAELFGSKTKPKQPGWIGKKWTKCKLGCSGGWQKCKERCSDCCSDCQCEVSFSMG VDF
I206_02168	MSGQDDKPKVVTAYKEMTEIKKQEQNLPGKDTAMDPLAEFTKLE KWNDNGEPYLEEYRGNGKLKGKTAIITGGDSGIGRAAAQQFAREGADVTIVYLPQEEE DAQRTKKAIEQDGQRCLTLALDLMDENNVKKIIEEHLKAYGKLDILVNNASKQIMCED LADIKMENVESTFRSNILGMFALTKYALPHLKRGASIINSSSVTAFKGSQAMVDYSST KGAIVTFTRSLAMQLAPKGIRVNAVCPGPVYTPLQPASRPAESMEDFQIGSLPLHGRA NQPAEMGPAYVFLASADSNAMSGQMMHLNNLQYMA
I206_02169	MAPTSSLAALMASPATSGGDATILAQGQTLHDISLGSLGSSFRS EDEEREEAERVAKVNARQNAGPSRAQRFSNRSPEPSSSSPPRLPSSALLSLSPPMTVR KSSSHSVLPESQAPRRPRVLKRTTSVSSASSSSDIDGPLKARYGDGGETDDDAILSSL SLAASPQKANGVPKRAPYHGGISKRGGRHSVAAGDISNNGPMTLRDQEKQLEESKKEV FNLQLENHFLKERLSNMAPEHIEAALKENVKLKLEILNLSKELKKLKKLVLQQDRDLA AASRSGGVSTTSGELRELEKMWKDEKERRKIAEEQLKNTDENLNLKLEDTEASEKVWR ERAEQLEGELDDTNEQLARVQDLADRTNDQVENLKDEIERMKGLSESVGISKGREGRL ALKVQELESENASLQADLSMAKKGALSEADAELLEEKLNELQDQLASAQLDVESRDRE IEELNNELDAKLRDHEKELQQVEEEWRDEVLEARAQVDELKDALDSREQDSKEVHETL RDREEELTAALNKIEDLQSVQTETHDRLEETLRNIENDNREKDGELLAANREVEELGQ RVYELEEALEDYRIKESDLNADLKSADEAFENAKSHYENLVTALKEARRKLQNERDEA LSQVQKSEDERAVESQKIERERRGDEERWKRTLAEKDNALSRLHNELESARERLSQRE KDLNDVEATLRSLENERKKLGDEHTSDRFGLELELERIKRDLNRTEEELEIMRRELGD RDENLRERDMELARMMDKQRELENRLASERQGRLNMSDKLDQTNKAAKQHEREALHLR ERIEELEPLLTETQQEQFALQKQSESQRQERSELLLRVFRDVNKFLGKDDTTTPAQFT AFRDTLVSRLKSMIQVRLDFERKIRDTESSVDQRMAALKKQVEQKWRTLDNFEAAVKK LELTKMQWKSKYAVKEGELEAAKARNHELSSQLSSSRTGGLTSSSSEIRSLTQRAESA EKRAINASNQLSMLEARLAELQSKSGQAENKWEARVKEYENRLRIAGEKIKTEKQGGK ERALQLEAQVRDLERQISEAKKRNQRVEDVVASANHLLPANTNQEFRKGGDIGYAFGG RMSR
I206_02170	MSASSSKLSPSTFFLPFDPNSPLLVAKGVLTTGTLGAITGASIG VFQSKNPFALSINMTINLSIAGLTFFSIREYIVSPLLLSIEITPSHTKRLKALKLENL SDVQIGKLPESDLENLSEVRWNRLLDSSIAGGLTGGILSAAFRGKATFLKAGITSSLI TSIIQLSINQIRIIRLKTLAKQSIQLENKDKDKNSIIFSKSHKEYNSSFNESQSQIIK SFEDNLRPSDEEITKSNIEKPSFPEKMMNSLTKFLPVRKLTNEEYIQTLEKKKDDINK RLKEIDEEEKRMYDWAQSQSGSPS
I206_02171	MANSEILHSKAVAVITGAGSGIGLAAALKYAKYGMSIYLADIDE ISLKEAIEKVKNIEGVGEVFGSKIDVSKIQEIIELRDKVLEEFGEIHILMANAGISKP TPAFSLTTPLKDLQDNWNSILNTNFFGVLNVCQAFAPIMARQENSSAIIVTGSKQGIT CPPGNAGYNVSKAGVKTYTEQLAHELRNVPDSRCSAHLFVPGWVHTGLTGAKNGGAKP AGAWTPEQTVDYMVEKVFDLGDFYVICPDNESSSVLDKARIQWNLDDIIQNRPALSRW HPHYQARFDDFIAAKQGLSAGTRSRSRGRREPGRQDGGFPTEADISRF
I206_02172	MPKATKKKKEKQADFRKAKLKLGKGKKQASNATDTSFKARSIAL PGQQSISRAILNADGLGPTEPTTANGLTLDDLFIRFRHPNAGVRKESIGGVKDILSID AGRDVGKVLRALGSLVSDDDAAVRKALLGLLAWYLPQMPVASLAPHLPLLVLQTSSSL SHIFPEIRLDACKLVHLLLNHVPSHVVGNWPRESSNILEGLRLAVGLGGEKGVNSQIG RLTGGAKLVTLKAMMEFVKRGLNLRTGENDQAWSDGWIEKKVKGKGVEKVVKAEPTYE DLAENGWLIGSSFDLREDIGNAWEVGRLGGQGKEDEDGIGSVLSQLYISLHPLLLSTF LENAPTAFSPSSTSSGSAEDIPLALCTTTASLTELLARAVLTRSTQSSSEIKEVRSCI SDFLKRMAAWFPFSSTRLNVVTPSGLTAGFELSLVYSNLAVLLAPRPVELIWPKDLRK EPGWRERIKAVEAAWSQMRARQNVKGKGKAKEKGADNWAMEEVASWVIEVLGPKKDIL SPSLTPAAYSAILPIIFSLIVQPPSLSDEEEDIPSSVGEAFLSHLLSTSSTSSSRSTG DMFMISLIEIHEQRFPVYPFFIPTSSSVIRTKTQQWFESIPKVIWELGNRDQESTRVL LDFLLHLGLKGKTAVDETYSILKGDSFSSVSSKLSPFFHLQHPSKGSLPGSWTRLSDD RVKRLALNVASVWSEWDDGQLKESATLAVRGSSLEAYWNR
I206_02173	MFSISSSTKLAALLAMLGNQVTANSAHAKYEIYPAQLSKLVDLT TNAPLAVPPAAYKFDAMNDRGSMTSKTFKWVALDENDDQKELWSIELTASPGYPWDEN KAARYELKLDSPWATISDDYQAAHLASNGSSYICYFPPCADAPVFDL
I206_02174	MNSSSDLSNPSSLVPTYLKSKGGGDWSSSSNPFRRRVSYADAKS SSVSNGWPTSHAIATKSKPPYNEQDSNSPLKSFPCGRNRSCRGRKQKLRRDKRQNRRK KPTQAEVEFKNLLEKFTTEDDKTNDSTKERWLNSLNESKYDDAYLNKIWESSINWTEG LYNQGKKFKINRGKLISTSNINLDAFKLSIATLLLESDDKRSAIRSNFTRRVTTNIQS NDDIIERRDRGNAWGLKRVYKVDSD
I206_02175	MITLTPLSSSASASSSSEPICYLLELDEARILLDLGQRDYRSSA SQKSWEYEEKVRELAPTLSLVLLSHSPTTYLSLYPYARARWGLTCPVYATQPTVEMGR VVCLAEVESWRSERPFVPTIEEVHEAFDWIKAIRYNQPLHLGGDLSHLLLTPFPSGHT LGGTLFKIRSPTSGTILYAVGINHTSERHLDGMVGEGVLRPDLLIVEGGRSEVVNPKR RDRETAILDVVTSTLESNHSVLLPSDPSPRLLELLVLLDQHWTFKLNPANKRRQTNQN DPWPYPLCLVSRTGQDMVAFARSLIEWMGGRNAGAALGSEYGALDFRHVQFFLTPSDL LQAYPLMRPKLVLAIPPTMSHGPSRFLFTTMASTEGNVILLTSPGEDTTLARELYDTW EAQQDETGKWAHGKIGKLGAAKAQLKLEMDSKVPLVGAELEAHLEAERLAKEREAAHQ AALDRSKRMLEADDLDSESESEESGDEDDADGIIVAKRLRDENANAFAGDGEDVNRTM SFDIYVKGQQTRINRGYQKDGEMTRFRMFPFLERRGRKIDAYGEGLDIGQWVRKGREI EEEGETEEVREKKRLKEEEEEKQKVKPEPPSKYISETLQLDLKASIFFVDMEGLHDGQ SIKTIISDLQPRKLIIVRSQPDITSSLVKYLNSTAGVTQDIYIPGTDEQIRIGEHVQS YSITLGDSISAGLAKKWSKFEGYEVAMIDGKIAFAPGSTVPVLEASELVKPLPIEATI EEEPENKAASGEVSEGDVEMTTEPAKEATDDTVQDEQVNVKIEPETEVIKEDIKPDLE QLESLRKTKTKGYEIPKLSIPSSLLIGTLRLNSLKNHLTNLKPLSIPCEFAGEGILIC GPGLQTPENEKVKSGSIISIKKMGENGIVIEGTIGKIYDLVVKAVKSELASVNSA
I206_02176	MPSSPTKQHFYASRPSSRSGSRPTSPPTPAEVRSQAVAKLKRAA SLPRRPDGRRPSLAQAAHHSVDAQATNDDGDDLNDPQQRCAGLSTLTTSPNPSDTQEV LSPSPVNTSFDHANIYPSPSPGSAMQMQRSLSAASSCHPMTTPYYPNTPPAADWAAMQ LAQSYLPTLTPTGLSPNPYPHSVPIGAGRNTPSPLPTLGEIASLQRSNSNAARAKAMS KLTGGRDTPQQEEDFTLSTPTRVNLTRAGTLGGPKMLDLAITNRPHGNEAPVETLTPA AAMHEARPRLQRSFTVSSSNMGEERRSAVGRRMVERLAERKVARQKEEEEVRQLWEER KRAQAEVEAIESGKQYQPQEGNQDSGYREEEDQNEFENMDGFDDDQDEYYHSHQEESL DDIPTFARHSPQEPAQQTFAASSDTGNLLAAADRPTSRGTMVSSQEPFEYEDHLRRSL SSRTARGAMGTAEPLPSTSGSETAHPDIYVSDEVLLPPKPFYATPTRSGHVAHSSTST ESTIHGSQSPGGSTLSGLDSMMFVMGSSSMPGSAGVRHQGSGQYWPQEVHEGSEWGTP AKDMHREYLEFISYFRPLLLLDEALTTASPYTEATFESPLLHSTHEVQQPEAPYVDES ADDEVASNLSPPSRATTRTNSMMSWEEVGGKDDQEVKVPTDKTYHQKSGSFSAKLKGS VRSAMKKRSQSRTSITSLTQSPPTSPHIAQPMNFSRRGSESSTSPAYQGEHSPRHQPS SSSLSPSLAPDSHSAMLLQHQLSNDPSQVSFLPRATLDDPRVMSPKLSPFPGVLRLEK SISVGASSSTLSEPPKLIHQVSDSAVPSQQRATTPAIPDSIYALPLPTHPDERRASGD SATKRNWLSKAFGHSTSPRSSGGISRKSSSTDMHGEGRTLGQGAQIISSDVDPFAPPP PPHSLGVKAAKHRSASPSVSVVPEVSEEGSRYTRFISMRGDNTTPAVPEIQEEHLDQR SKDVLNRMDAVLALGPDDPARPEILDDPPRKLLLSTQILQVVNTHTVKDRYLFLFNDI LVIAKPIISHGIHATLDMKYIVKSIVSLDKLAISGFGEGTQSEPQRHPVVTNFIDRFA QDPVKACSYLVERSNPKVDTATLASLIFKTPELDKTQVGYLLAHNEKLLLHFVDRFNF QNIRIDEALRMFLLSIRLPTALNSCEKLLRGFGHRYFEANQSSIPYDKKIAAELVLAT IQLNDSIYGTFGFSLPDPAITQEDFITHFKNKDPENLVPIQLLSDIYTSVKQIAMVQS LTSRQEAELSRKITVHPRTPSKLSYNSWSDQITISIPSPDADFKIKLLGESLEFEPPL LDFSLGSEQSFRVKGTSLGIRHLLFSKIGSNSALYGNLGNTRLFTIERAFMKNTFHVS FISHLGIKRKYCFSLNDIETKRRWGKSLSEQIQQSKQFKSSIKETVTNDEGDIRNRIR QTAENVSIQVLRDALIPPENKIVVPPVHKDIQEEITKNNRSSISSTRQRSGSVSIAYT QSLREEQDLGPLIPTKSTNLNTQSKQSGLMEIQTGKALVLLCRQNSLLPGLLELLHSG KENTSSFNSKEVLVRGDSTKNKGVRV
I206_02177	MSERSAELAQWSRGPILTPNTAKSLITAFAAGVPSSTNSPDSSY SATSPDCISPTFPSDSAFEFDNSHEEIQTSEYDDMRERTAEDGEEAEGNEWNVSSFRR PSWALSATGAAGGSVISMSPRGSLSTRAGSFSGSIDGRRESIPKAPKLPYQARRQSNP SLLGFDLSEIRRRSSARSLLNGTRRRSSGLSYISNSFSVSGGQSRSSSIFDPIEDSRL RNFASLELLRRRFSEVVEVTPYDGMSDGEENLDKAWDYQGWSPYSGSEDGDYGDRYES DYDYDEDESEIHTESYVPSPEMRNGSFVPALYSNFPLESVAVVPTALPEDGSSEISSL AGSPLLRAPRFIQSTSQMIGTPPAPPHPSDILRNRTRPALNRAVTSYGAPRTAGVPPG AALPRPGLARSISNPLISSALSTKEATKASEIRAAGSFPLARTTPLGTSPLRESLRRQ SVVSESEASRQSSLAERRRSSLAPGMGMRRETRGSMNSEQEATRRASLAERRMSLVKE SVFRRMSGEPRRTSKGISGRKSSEVSLRNNIKGEARRRSSKDSPSRQSSVVSIGEYGY LAPQIVIDAPKITPPTDSAPTVPSPPKEARRPQTAHSGSEHCQIHRLRSNAPPSIVLP AYTFPALSTSGPLSPTNVISPTSTTPTFNPLSYFLNNDPSPTSTSPYDPMNTPTAIKS FFPMNSGVSSPRTPKIVNVIDRGRPIASPEYDLPESSQALPFKAFQPPSPKSSTIPLP PTTPTTEDVPEDNITPRTVMGRKAVPKYSDEDLRTKRNEEAKFRIHKEIDMIKQLNSR RGGTSPIIPQGEEFSNGIEKARIVGEQNAKKTISIEDMHLVLVNATESSGEIGTLKKI DRPIVRRYGTDELENVRGYNNKRPSTSRGLSRLNSNSRPMFSRGISAPVLINSTNSMI ESANYTFPPNFDSSSSSSSTKIIDNSTNQIKDERMYQFPQYQNQSNKGKEKMTTSTTA LNLPIIENEKTINKRRSITFIEPASPRSSRPKFDRTISFTKFFQSKSKNKQENNSNSS SSTSSSSGSTLIGKSTIMPLRKPI
I206_02178	MWWPFQWLVIYVLGGVTFVPLVIAVAIWYVIKYGSVPIGDSDLF KAEKAELQNEEERDQQIEKSKITISPVEKPLSGWLTVRRQFKPLGTGTKNAIATSSTT GTAEDGEHGESEGEKDREKGDTESLNTNGSTSSNPTTYSARLAQTYRSVMDARAAKKE PVPKEFFFCVLKGSVLFLYEDESQSNCVAALGVDQYTVRIEREDGKRFKGKDAEMFAK RNAVVLRVAKGVEKKGLPILSKGTQAENEAGKEIEMENKPIFLFTKSNMKMEDWYLAL LEASSQSTHTKTTDVFQAKDMQDLVNTIDTEPDPIPMRWLNAMFGRIFFSLYKTEALE QFIINRIMKKLTRVNRPSFLGPIVVREVNVGTSSPLLSKPMLKDLTAEGTAAFEAHIQ YRSNPSRPNSQIRITIATTATIPTGFKPYVVDLVLAVELKSLEGNLVMQIKKPPSNRI WYGFTAMPKMEIQIIPVVSERKIQLGMVLKAIEKQIREVFAESVVLPNMDDLAFFDTS RLNVRGGIFNEASKVSQDTQEESTEGDGALPDVEIQTSNEDPASAVPSTANLRKRIPH KSKTADVGHLDNSENPTGLGISRTDTAPPTLSNGTTAKTAAAIQNTKKWFAQTGSSRP PSLTAQTVTGGSNSNLDESMAPRTSNDIDKEAPSFVENPILEANYTNSPSISAVQVSS STAPLSEEEKQALERTQPRDPSPAKRPHGEINMHSLRGIPAPSDASIASTSSSKYGAD LASAAPQSSTTSLISSLRSRDKQALQAQVGTARDSLKKWGVGLAAKRKAFKEGQPQRE EIRAPALYRPPEEDLREDERAHLSTSPNRSLQDRLNAAAHANASSIPMPIPARNRSAS TSSRPSLFASPKSTTSPASTSPPKWAPSLSGPTTGMVKDGSSSVPPSMAQTRRSSNST PVLTQPTSGRSMVVPRVPKRPGQVTGIGHDASETMVRKVSAEDGFRQERVEDVKRGVS PSPSRRSVEAFGQSSVNSSVGITDIPSPAKPSSPETESVPPPLPPRQSSPELANDKTL NQHDLKHDTTEAVVPIPPMRSNSVGALPSVDPARDKDNIPDIDVTSPTTTESDLLLSR SPGSSSVAENALRNLVARNEEALKAKARNSVPPSPNPYEIPIDQVIEPEVGDDSKAQS EIPPNPAKD
I206_02179	MTYIQSSPRATTSTPISTSFSSSYNSSPIRHSPLSQPPIVAGPS RTPSPSTSYNGSKPIPTLTKRASNRSSFSPLTSLISPSSPTYISSTKKSPITLQQDDS NDNPPTPSPPLSRSQPLLGSYHLSLLHSRMSHAHQPHELVNAFSLTLMGVGKGKNCPR ELKFPKAVEIPFSATYYDLFNPESSSYPDPHTQSNKSSPWTSTVKIEDYYYRQFSSYY HRFQTGTRNAQPPSFPGYQVASVGQLQILIKSSSSPVKVFLLPYDLRKVPLGGRLLVR EKTYTGNQSHHLKYAIQLQFVCVPSSKESSSEDENEIDEEDNPYTTRKKRISTSSNKL IEKSYYVSKSLKLVFVTTPPDQNEMLNVDRRHEIIDPPAISDEDITRNGNKRRKNSFA FSPGSLGKTSEDWNIHRSKWYSKRIMVDQGGSSGEDDMQDENKSERHNVAIKTDLKLG IGHANDNGSRASLLSSTSPSPLLPLSPTSSSNANAITKKPILSPIPILSPLPMRPGGL NHSRPIRSTSPRPTSPHVLDEHGPALIWSPRSQRRMRREDGLEEVELSEKLRKMRTNE YQK
I206_02180	MSYFLNTVIETVQSHIPLTRNNSFVPIINSNSNQEQEQIGTEII MSNSERQPLLSGASLPSGREVQNSLPSKSQRIKVAQVSGALAAGKFPSQSQLSKLIQV LLDSDTLKATGGPNSRTARLGQEGTRVLENLKDVLRSAKAWGEAKNDDDLLQNFFYNA ATADVDVDVSTASSPSQKELSKDGQRAIESFRTIASLIVTNDTFRQLGSDLILLTRDI FADAASLAADNAKAAAEKTRPSQKERQQGVDFNNLQQKGKSGLKGAKTGKLQGQVRES LWDEIENAKQYFDEKLPESDEKKDELINNLQKVITQAQQNPQYKRSLTTIINLFKKYA HKAGDALDETKQKSDLNDEDEKVQQAGRDLKAFVEKVSNKSLDDVISASQKAAEDVRD DEKLSAYFEELGNYFDRLLYQPGYVTSQRAARKAQSLYDDGQSLITENPKWKEDAKAL QDELEAIVNGISNDKSTNKLVESIENLGESLSHAGKVGVGALRAEGQGLYRDFVDVIL PRIIGLVKEIPVPRVEYKSEDVDLVIDDIRLESLSFIPDSIRFVQHNDLRFTQGYATY ASEYDASVRLRVEGLHFEASNIAFWLNLKSGFMPFEDAGLLDIKFGPQGISFDVTLEN ASEEDQETFFVVKDVHVWISGFDFEIRKNTKWLAAWFARPVIKAFVKRNLTHALEAQI AEYLRQADFRLYGVQQRAIAATNAKPTPANFINAVFSDSIFPSRSATDTVTVGSKGVV KYGRRGEYVLHIGVDEDLFPNKPPSYVSNSQRQKLKAAASSSTNRAVGSADAFKAKGK QATDQAKKEGDDLTAKAKEQKKREEKSEGWRSDAFDV
I206_02181	MSPSSSSLQLNLPPIIERMIDNSSSSSSSSSSSSNKFPSKPFKF NHNQESSTSLGVSTPSELDLSKTPPPRSFAPSPPILSRTTSEIDLELISSNETEIEKK KNGNSNSINNLENGKINGNKNNRKFKYKDENDLSKLMRTVDFAARKHSCQRRKDVDQT PYINHPIAVSNYLSSTGITDVKVLQAAVLHDTVEDTHTTIEEIAHLFGTDVARIVEEC TDNNTLSGQQRKIEQLRSAPYKSKEAQQVKLADKIHNLESIRRCPPVGWGIKRIQAYF IWAKQVTDVCAPAHPPLAEKLQELYETAYTRVDGVYYPCHPGVCGPLTEDEKDLIDSR LRALKKGDKVCPAPIFF
I206_02182	MELDPLYHVKQLFYQASYKAAIEEATSQLSSDSDETLSQRRSIY IARSYLSLSPPNTLLAKKILSNYSIESSISIKILNSFISFLEGDKEKLEEIRDFVLEI EGNENEDSFEENIVRVISGICFIISGENEEAVATLNEGRGKEDLECLALLVQLLLTLD RRDLAQTTYNTAKKIGNDSILVQAMEAWIGLKTGSRPLHQSYYFYEELYQLPSGRTPP VLASHAASHLLLGHIDEAKADIVEASQKENGDKDGNILAVGTTLGIEGYSEKLASNAP QHPFAIDLAEKSRLFDEAASKFAIPA
I206_02183	MANCHPESFVEEVKFVIIDLSFIPAHSKAPKRRWSDCISTFDEL KNLFQNWVDEVHPGDDIYQFQFRLGFDGQILIGNERPYDLGLHTPTKITVTQLFR
I206_02184	MSESVIGSTWEEPVFLFFIDKTPKPSNLKWEGFISDDSPLIIIF QKWVNENHPGSPVGQYSNIGIDPITYPLILRFTLDNEQGRPLNGTETCKELDIHSGRK IYANV
I206_02185	MLVKYPVNFVAATRDEAKTEPDFEVTIDVDSDQKLEKAFETVIK KLHPEDSEKHKYTFLLQSPNFLPIVINGKSKPSDFPNFSRDWKIIVISAKAKSA
I206_02186	MSTITSNLEGTSLPSIPPQGLAPPSPSPDNQSSGPSSPTDSSFS NLTLSVNATKAIFRNHL
I206_02187	MVLSSLWIRVKKYQPTFLGCYKTTTSSHYTVWGEDASLYVLLVE DPGELFANMDYKIGGPNSGPESEPFRRRIAGLYEYLHSRQFSYGIELLAGNVFYDKEK DEIKLVNLNPAMHIGDPCLRAEIHERIIEREKKHVSWYLGFSSHPF
I206_02188	MGIDLRYHHVKKGNRQAPKSEDPYLLLLVKLYRFLARRTDSKFN RVILRRLFMSKINRPPISLSRIVKETKNSNPDNSKTIVTVATILDDERLPELPKLSIA ALKFSTAAKERIVAAGGEAITLDQLALRAPTGSNTVLLRGKRNVREAVKHFGGPLKGG KPYIASKGRKFEQARGRRKSRGFKIKSTHK
I206_02189	MTLRNATKIEKIIQRRSKIALLVLLSASQAVQASDNSSVTPRWG HASVYIPSPPTLIIQGGKTDSSSSYTYTSSPNTGEMLILPLSSGFTTSSAPFLSLDPS SAPTSSWHTLSAFTPDNETWEILSFGGDGGTLEPVQTGSNSAWVLSTDAKVSMVYYTR LPIGGGQPMRRIHHSVSSSSDGKVYITGGMKDDGSGSTFSDVYSYDSATSIFSAMPGL PIGLYHHTSILLPNGTLLAMGGAFTSSSTGGAALQDFSILYSLDTASPSSTWSEVYSN GEIPLGKRGASLVIDEEGSKAFLFGGADATLTEVYGDGWELNLANCAWKKLDTDPSSI EARFDHTAVSIGGSQIAMFGGYGADGPADSAMHIWDTSSNLWISDFTPTTSRTVSTTA TSSASESAIPYGTLSAPHGVNTRTKSASGLGPTPAGATDHPSSTSASVSGTPTPTDAG AHSHALTTPIKVGLILGSLALVGILFALCCWRYRSRRTAVPSNLAAWPSSGPRGRTPS RPYGSRENGGPGLMEELSPEKPEGGYEAWGVREKGVSIGLGMGAIGATLHSISSKFSG ADRGDPYAELHDVTSPPEEILGGPLRKTGRKIGDGIRLIGPRPERQKSLYYSPSPEKP VRQASIIRNSRIDMLREEDTRPYGSGPSKPEDEEQLDWAMNSDESGRNWRSAKSILDN RHSDDDDDGNSNQDPFHDRADSFDDDKPILPPLRGGPVPTPHESRSDLGTFDEIASMS NPYSDVSRNRLSTISHDPSLEYHLPTLSPSDPLDLAGLLVPPVIGGANRYSQSSIPTS ARSGLSNRSAHSNALSDAEEGIIHQARYVHSQSPTLVSPSEVAYEPIKRSESFFKRMA AGGITSLLTSVSSNKSTPQKKELDVKDPNPLPTLWPVISKDNLISPISPENSSATHPP TSWKGDLLPINNDHGKGPSLSSLASARSMRDMILVQRDATSSSMESEAVIERSTSPAQ HMDEIFTNSSPTIEEGYSADRPDVASHSRHPNGLRMTSPDFREGDETPGEIVFNGADF ASPPILPSTYLSSMTTTGSRPESERRKSSDLSLPSTPKHVESKSHKSSITDESHLPPS RSPVNTPLVQHRRPVRDVVNSINRRGGSTPFSLLSPLSNYSPALDRKVSTNTTGQSSS GPNTTTPRKNKVDSIAEEDDPFSTPKAKRPITIHGVGSEGRDTLASDRARMSTRNISG AGNADKRPQTMWEVIKREQLRVANPDHSRN
I206_02190	MLRRKLSAGLLRPTLPSPQATPICPPSPVRPRSRSLASAVLLSS QRNWKSETVVTLKSELKKRGLSQQGNKATLVTRLESSETSNLLGPLPPFPSSTNNTNG NSIPNGIRSISTSATLSQPPKSSKKGTTSSPANSSSTDTKEDPQVLTTGPQISSQRTE AIKVDPIEPEQITVAPGLPQSDVASSSSGERLDLRFPGSQSEQEVDQVIPLTPDNFSS GVSSDSAPSLPAPKVLTVASASTHLEGGPVHGTHESTDAHEFEKDNEPSIKDLPGLGE ALRNVLTSPARAWTNSGIKLPEINLPKSSESKNEYKSEKRGLNDDERRGVWVLAGVLT LGLALGGGGGSTKSKKSLKEKANEAVEANGIPGAKVVKGDAKWEKASGAGVVGHGARK D
I206_02191	MLAVFLGASKGIGYFTLLNLLQTTKDWNTILLLRKPDCFDKNEL IKPFIENGRIQIIKGDATNENDVENLFKIGKVDLVISTIGATPSFGITGIKIDQPDLC TKGSIALLHVLQELNYQPRVIVTSSMGIGESHKDMPLAMRLLYSWLLEKPHQDKMSLQ YLFHKSSKFLPNLNSSKDKPPKSLLSQSNIESIKSNFLNEVIIIRPAFMPTEEPFDAL KNPSKGYKVKEDLSCYTILRSDTGRFISEKCLPGQNEWVNKCPVIGY
I206_02192	MPPPEQAESSRSYRNPTVEEDDDDDLDDLDDVLASFNNTSKPSA STAVPTQPSASHNRAAPPSDLNNDNEEDFEASLMEGMDALLKQLAGDHPPGPMIGKST ESIPKSSNTPGGIGLSKEAEEEAWQKALEMVLSGEGLKAMGLDESSNINSNKSTETSS VKVEPSINKKSNYEETLAKTLESLNIAGKKPNGEKDNDLSNLLASLGGDSDLLKDLNL NGIDGEGEDGDFADVLEGMMRQLMNKEVLEEPMNELASKYPAYLASPPSEISKEDLLK YQKQNLIVQKIVNTFKKLDYTDEKDGKEIANLVGEMQDLGGPPKEIMGDLPEGFDLGA LGGLGNEDGCTIM
I206_02193	MDIAHSINSEITSLSFSFLSTDDVKAVSVKKIENPVLLDNLNLP TRGGLYDPKLGPMSARDVCETCHLSYFACPGHFGHIELPTPVFHPLFMNQCYGLLRTV CLFCHHFKMPELILASYVARIRLLDAGLLTESHEVASIFAKAMGSPVSKDDEPDLEEE IAGGGGHKAISRENAAELIVRVDAYVSATLEAAKKVSNGSTRDAYKDGLVFEERKRLL SEFAKKNWAKCSRCSAYAYTFRKEKAIKIIEYDLSLKHKQANKMAGLKKKDVLAFGSS KFSKHRRSADPQDIDEGIEMDSDKSSAEEDNAMEVDEEDEEAQDEEEDEDIARTVAKS ASGQVKGARGRNERVMSASEVRAHLRLLFHREPEICRLLYGRHGSPSSRSNQPTSGPL ADMFFMDVVPVTPTRFRPPAKMGEELFENSQNSLLSAVITTSHRIQELNGRLIEQAKA ERGEAVLDAVAKIDGARAFELLLEALIKLQHDVNSFMDSTKNPAVMRQGQLPPQGVKQ LLEKKEGLFRKHMMGKRVNYAARSVISPDINIETNEIGIPPVFAKKLTYPEPVTEANV HWLRQLVINGPKHHPGAALVQNEDGSQISLDRTTPEQRVAIANQLLTPQGDFHGIGSS SGPPKRNKKVYRHIQDGDVVILNRQPTLHKPSMMVHKVKVLLGEKTIRMHYANCNSYN ADFDGDEMNIHFPQNEVARAEAKFIANTDNQYLVPTSGNPLRGLIQDHVVAGVWMCNK SSFFTREQYFQLIYGALRTEDNYTGRDKIITLPPAIFKPRPLWTGKQIFSTIMANLTP RNARGLNLTSKNKVQNKLWRRDDSSDPAMSEENVIFLDGHLICGVLDKSQYGASAYGL VHSVHELYGPYIANRLLGVLSKLLTKFLQHNAFSCRMDDLILTAEGEKMRKDILDKAS GDGATTAMKYVGLPEGSKIEDPETAKNLAIRLEEILRNDSLMAGLDAAMQSSFNKTTS KINNDVLPDHLVRPFPDNNMQMMTISGAKGSKVNASQISTLLGQQALEGRRVPTMVSG KTLPAFKPFDTSARAGGYVANRFLTGIRPQEYYFHCMAGREGLIDTAVKTSRSGYLQR CLIKHLEGVRVHYDHTVRDSDSSILQFMYGEDSLDVTKQKHIEHFDFAARNHTSLVNK IRPGDTQGKVNDDAISHMKKALKKPHKYEPALAIYSPSRFIGAMSEEYAKKLDKYIDD NKFGYISKKGVNKSSPYASERVPDKEFMRLARARYMRSLVEPGEAVGLLASQGVGEPS TQMTLNTFHLAGHGAANVTLGIPRLREIVMTASSKPMTPTMKLPLREAVTDKDTDTFV KQVSKLTLSQVVERVTVTERLSSKTTESGGTRQRKYTVLLEFYPSDEYCKEYEITPEQ LHESLAFSFAPRLKKEIVAEMRDVAKSAQQDLQVGKGIKVRGGNGADELVEDHDGDLD GEGNANRRGKDDELAEADDEDSYALKRTAQARQHEYEEDSNADSGIADLEDFVEQQID HQDDDEDQVEDAAEKAKQNQKSDDLAELFKLASKYATTFSFDLHKGKSAQFDLEFPAN APKLLLVDLIERSCRAAVVHEITNIGACHKIFNDKGIFTRTLMTEGSNLRGMWALADE QVDLEKLASNDIYAILTTYGVEAARRAIIDEVSSVFGAYGIAVDYRHLTIIADYMTHA GGYRPFNRTGIAAKSSPLLKASFETTVAFLSEATLHGDFDDLTSPAAKIVLGKPSSSG TGAFDIRAPTRIA
I206_02194	MSLFAEIDRQFHRAVDIVQSLPKGGPVHTSYEEKLWLYSLYKQA TEGDISISRPGILDILGKAKWDAWNKQKGIDKQEAKRLYVDALLKILRKHGDQEGSQS HILELESYNSLQGTREEYPPRPASPASSSSSYHSSQASPIAQPSPPKYNMLPPDPLQA PPDIAEGIVPPSALTSSHRSLLNLSQAHSQSPTTHANEGNYLPNISHVPSRTHSLAGE RITGGSIHSFRQTRKPIDSDYPSHLSRNPNTSQSQIYAHSPNLGVKDFVNQKYTPDVG YIPSPYLGVNQPHQGISGQTPIAAYPTRFPGPGSTSTFTQAPLNLSINLQNIQTSLTA LHERLSVLERNQSIILRSNTKKRQSWFWNNTEEDELDALEDDLQRNQEHQRIDTTASQ ITVTRIKRKKGKLSIRLMWYILTAIRRALVDVSVGLIIMIGCIVLLGGGWRRARWTLG VLQVRFQRYLTDGL
I206_02195	MVRSTTIFRVHDGLPLAASVDDESTEKALTEYKQQSKLIFRRLN ANSEPACSIESGQYTLHYLIVDKVIYMCICDSSYPRKLAFSYLDELSKEFQRSYEGKI EGVTRPYAFMGFDTFISKTTRLYRDSRSLTQGTGISSSSTSNSNSSTQNPNQLDQLNE NLKDVTRIMTKNMEDLLWRGDSLDRMSHLSTSLRSESAKYRKAARNINLQALIRKWAP IGGIGFFFILFMWYRFF
I206_02196	MSTVFYRWGAGRNEQRVTFDGTHISVFDLKREIILGNKMGNGKD FDIGVYDNVTGEEFKDDNHQIPRSSSLIARRLPSSAKGRGNAQNYIIGSSAADSLTGD HRIESHARQAMQDKQNRIAGVRGSGGTFSSLSKRFDGKDELKPGEPSVPISTGNAEED AKIAAVLAQGAENWEQMQEDMSAGYRAPAARAARTNKPSGSAAGAAATSKYGFGTEHD KEPPTGYICYRCGKKGHWIESCPDNEDPAANERKRFVRVTGIPRSFLKTVETPGGVEG SSGGAMLTADGGFVMAMPDQRQWQKQAAVKPRALTGSDLRESKPLDISITCPICKKLI WEATKTPCCKTSFCEECITSHLLENDFECYECESKIQSLDKLSPNVELREKVSKYVEG EVDRSNKEKEESDEADAKEKEENQEADKGEGVKEGKQNEQDKGEEELEEGAISTNDRN PRPNLDNTFSGNRPQQDENTIIGANGQSINLDTLNPQIINTYLMGAKKMLLNPLILPP ARTILNQQIQLLQAQLLKMQMMNINNGGIGMDFNLGQIGMNGNGNNHQNNLNGMMGMM DNGIGMNPMMNEAGSMNGMGMGMNMNMNMNIPMNNNMGMGMNIQNNQFSQQQQQQFPP PPQQFQTHHHQHHQQFNRGRGGFGNRGGFRGGRGGGGGGVGGNLPFAPALTGRLPPSG PNFNNNNNNNNTRGSNIGIKRSAEDEFGSGNGDIKQQKVL
I206_02197	MNPPPDKTPQPGTSSSPHTRPSKILGPVPSTSNGVSNAKAISAS DKPAIYLPRIVRPLNRQPTPSNSTIRLNGLSTKRSHPLPLANAGKARKVTENGHIIPR VPPKFSNQEAVLEVMATEECTKTPQIRSESRSLSNNASRRAVLPREYRQIPGKGSHLS SAVKNSTSSITRNGMTVQNASATPSFSLTSGLAQPTMRSANAAIPAQRSTPLVQANSA FQHPSLTATTSQPPNPSHESSSISKTDPPVPTLSQGSIRSDSIASHGFSRTELSIPLV NPRKRRPATVSSAEGASKRAKTTRTKDAAKSISRMETTVIDMTMIDSDDEMTIQNVDL VERVKTEPTSPGKSPAQSVDPKTHLPTDDSPHGSKPGHLSLKLRDNGRDVSLEMQVRD KYPTEPLSPDEIWQVACNETDAFWDTSPSSILHRQEYVGGEEWKRRLVNLRKTRKGGA ESTTRHRSACDARLPFLVRNIRSGVKGIARTRFPYLKATHTNYRPTKSSFQQFWETWT FRESNIHTCRQDLFLMVKFGHFLQIDSGPTIQTSPDSAKIEIFCNNVESSIFSMNMSL DRNMAIRPSEFKIPVHYLTELNRHHQLGIPSDVELPGTRLRITAKFLSDGKPCLDPFE SPWTVFTSRNGNVMPRPRGWFRLSNKAEQRLEMRPPPAWAFDPAQILPPLPQKLSQIP TNRIVLVCRDLTYTNKVNYGLTSKHLSGFSNEEEIATYLAVIHKGNFHVEVTRELLAG TPCVRIRLRDRKMINADTQISTPSMAAPTYFPVISTLVESVTPTQEVQINKQTNSLYV STHNSPTFEIRVDNVSDEAPANQELYDQSKAGAAIMANDVDLLMTDLSPMNVNDSMGD SLCINDKDEQPPPVTSPTRRINPNWTLLRNGLTMVPPTSVDKRKNESISLGKPTSLPS SAVGTPVLVPTSNGLVGPIMSNRINKYTNWSTRIDPGTLADLFPELDKIWDGGTSRYL IRDHEESVTWTRYHLTEKQRFMACCWNRWVYQKGPIPTSHRSDYYISFIRAYGEVMIK AGLTREIGDTLHVYWRDNHISLKEMGDTLKVWNEISGFHMKLRKAREDAENPNRLDVS VKIEK
I206_02198	MNLPTSFPSSSSSADPEVSSKPLKTTKETHPNLPPGLILDENGK VCKVCNSWADISKIKKRVGATKDTSENQNKKSLVGMGGFATMMNNSNTNTNQGNKIIN EEGKEKKEDRLNCPPDNIILGRSTWNFLHTTAAYYPLKANENQKIQMKNLLESLSIFY PCNWCSLDFQKDFKKNPPQVEGRENLMKWLCERHNEVNKKLGKNEFNCEIKNLDNRWK DGPEDGSCD
I206_02199	MSITNQSFSPVKIKKSTILNEIVHFSFSIITSFLLYLISLGPIP QHIGFVMDGNRRYAKYLGKQVSEGHGEGFTALKRTLEICLRLRIRVVSVYAFAIDNFN RSEKEVSTLMRLAKDRLTELCQHGELLEEYGVKIKFIGQISLFPLDVQEAIKDMEEMT KNHKNGILNVCSPYSSRDEITSSIRQTIESINNNNNNDYNFDEELIIKKEKIKSNLLF NNLENGKLDILVRTSNVKRLSDFMMWQASNDTQLHFVNTFWPEFGLSDMIPILLGWQQ KQWMRQLGRS
I206_02200	MNIVLSILLFVSIRSYALPQIIIKRQLSPTPLDNTSPSSNSNSN SNSNSNSGGNGIAIEVIIPIVIILVAAILLVVILRFRTKISRWFQSSSSSHTPLRNIS TRDSAPRTITADQLSGQKSRVDLNNANEQNTSTNLSNPTQAQTRAARRIRRDRNVRRT ESGRSVKTLPVYSKEAGDEELVLVRQRSESSFSSESYSDEEQNPHEGPEGDIGRGLLT THRRSTSLRSSHVEYDNDISNRRQEDNDLSPLAESREVSEEIELVTRTPSPALPADTT ESPSTVPDTPPPQRSESLARRESLARRSWGLAPTYLEAMSSPSIHLNSSHEDFIADAV PPPRNIRAMTSSTFRGLLSRAGFSQQSTPPPSSSSSSLTARQMRENRNSSTSLLLQPT TSRASSSFMRTRSRSPSVSTTNTPWESTNSLSLMISPPVPNTAIRASFDSATIPKAGL SEEQMKFLASKDVLNVAGVMLDKVPEYKKSRRSRAGTVTGEDLTVGGEGEASGTSSRR LSDASSPNPQEEVVLENEALPSWEMSEHIRRKNEAYERRNLSRHVSVENEDDNIFEVA PPNPDHSKSPSSGTSSDQSRSTHLKESSSPNKSILAPIQVDSDSDPLDSPRMVDSLSS PITYVTVPTTPLSTITTMTITTPMTLDTSTNLVDTPRLEIEPPTPIISTPPAI
I206_02201	MAQIASTSRPFPTIPTFLISTILAFVPTPIMGGSIASSSIPQVD FGKMGTVGLGGSFSGLDWYSSDSSFSSSSSSSSNSASFSKTGDTLFYRTEDGTFRPLG STNDGGVINSICWSSTSSGNANGTLYIGGTFSSLSGTSAKNVGAFSLSTNTFQALGNG LSGNVNTLYCDNDNSEVWFGGSFDAPTGQGGHVALWSTSSSTWQVPSFGGLNGEVETI TPSSSGSSLYFGGGFTTSYISTSSMNSTTRTNITSQPYAPANTTTVGQSGYLTPLTIS ASASESADYQIQAGPTSNTASGGYGDTNSLLCPGTGTWLAQEDTISNVNLVGTEYLPA TGVRMVNGHEDGRSTTYFCFTSLPDYQELNMTYTDPKTGKNQTCTNHCPLYTDSSIAA QDFIFTQGTHNLTGFEIQLKEWTGDGAALGSVSLLTDGSYSSATGTGTSSSCSTGKNS TIQQVGDWSKKTAATDQATESYLSSSVSTRDPVNTQVTFYPYVGSAGQYDIYVFIPGC KNIGDCDGRTSVDIEVFPLQGGLGWTSTISEQVDYDTKTLVYSGPVDATSDAFSPTLS LALAANPAAPARGSNYVVVADRIQLVLTGITGSDGSTISTSSSSSNGTAIGTTISNST STSNSTYNIAFGVYEYARSFNSSLDAATSYLTNDTETPLTRLGFALDAALNASGSAAS SWVVNTIVAANNTVFVGGDFSASNNYTNVISIDTSSGEASALASQGLSGVVNTAAIVG GYVFFGGDFTSTASSGGASLNYIARYDPSSKAWSALGGGVDGYVTDLLALSSATNQLI VMGNFTHIVFSNGTTAQSGGFAIYDVSLSDWLTTGVVFGNVSAGAAPSSSSSSGISTE SFFAGKVYGSAANSVGGVATLTTDDNGNAVISSLSGVNFGTTGPSSSTSLPSRRSIIS RTIHPYTRSWLSRYTDAIVERAHTVLSTRASPPTIPQQTSEAPAVLAGAFWTNSSASG KPSITILGGNFTSNNNGIQGLAFYDSKNGGLTGPSTPVEGVVKTLNVIGNNVYIGGEA LNVQGVGSGLMVYDLKASNWITGGMPSLNPPSGSSNVTVNAIQTRGNTNTVVVAGNFG TAGSLGCAGVCLWDSKDAQWSTPGSGLSGGEVRAIDFAGESSDILFAAGSFSLSSGDV AYVASYSFSNSSWTPLGTLPGPALAIAADDKNSSNIFAAGYSTSDGSSYLQQWDGHTW TTQSTSLAPGSLVSQLAFVPMKSEHTPTGSIESDRMLMLSGDLYLEDSGNVTSALYDG STFYPYLVGTSANGNLGAGGALFWSESDFSFKVRHYLARGLVVLVAIAIATGLILLFI LIALLLAFCFRRKECKDQSLYEKQEDVDSEGDVNSIHQHVFNNVQAALEASLIGGGLA GAAGAGGRKENRISDPSSYNSGAYPIGGESDEELYEEEEGYRESTMKYPFEGPEIQEG ELPMKAGQRILIIDDEQSTEWWFCKDPITGNEGVVPASHIW
I206_02202	MSLYSRQSSAFSLIDMSSTHTTPAYTDKTLTPRLIILPDDVIVQ IRSILILNAQKATAGKLMRCSKAFYGLFLPVLNYPTLGLRAGTKSHGVFEGLMKVDLS DHRLDENSTIPTHTFFSKYPFPTPSTHARKLSLLQQCTQLTIHDLSSAKIIVDYQSAI GKDESQTIFPNVRKLNLGSDLIFALISGIYNQEWFQNIQDLAQSISPREICLTLPSPT MQDNMSKIPKVLLTPQDSHDIYPHFDNVVPDFGCGSSNRSNVRTIDETTLNAIMSDIW INEQQMRSLNSLLKSFKPSVATFHNVTIQSLPLISSVKKYSVYFADLGCSFRCKDKEV NFWSEVLLERVSLKRANRIMECIDRTAIEEGAEYNFIDAELHDCEVCEAARNLEMDRD SDEDNEEGNYWAFDEEKNEQSEGKVEKIVRGLISMANQDKEIADKLNKAISFCRRADL ETCKCCDSKY
I206_02203	MPAIPQASSSKRATHFTPPNPNLNHDESTHYSKNPTLQPSSKII QTSSHIEEHFLPRRFLGLIPEKIINSNEIKEKKRKFKELKKNAFNHLPILKDHYNKNQ KDNFFQSSNSSSSDDDVENKRIRNEIKSKFKIIKRNKHGEEYTEDLNLNLNFENDDDD SNEDLNKLGRKVKVKSKAKKDIWLGESFDIGREFLGNIIHNQQEEEEPPPSSSSLING KEIKSPIRPQVSTRTTQETFVTARTDLSSSLSSSYHANSSKSTLEVNEVESGGYELTP QPSLDARDSEETERNNKLRNSQSSSTQPLVSDSIINDEPDEIRSENTIKADRIHLSQD GITQKEKGKSISKLKSALRKPSKVNNQISQSTYYPSSTSITPAILDKGKSKSVQFPLD PISSKSRWNKTNKLKGDKEPVHPMEVLERSGEDAIGTSHEAVEEAQEEEEDWEEVKRP GEVILRDRMIAKIGYHREDKLSGFDEAAQRRNPCARLDPLEEYIVVYRKGQIELYDNH TYPLQEKIVGHKHLAFVIPLLPNRTSLSIFNSEDATICLTTSVHKLQDDVSYLMRSNT TRAGAMKDKVKQSKQIRWLRGKQKGSQVFIFKLGERSRSLDWYWEIWRELNGELPDRF DISIPSLSTSIRLFINSSNQEDEENLYEMVGNSEQCKKFEKNKVIENCYNMLIDSSIN VKELQDQFESRPNVKKEKLNLQLAWKNSDGNLEWVAYSDTVQGTKRDWNLLSGLARSQ GERKPRELQLRPAKHQPSNIKLEDGTLLEEPPGVEGYLTRHKDGSTKAQVYISSHDGN IFVGNFKDAKPPLLPSKESSTPSEIFPDLFKSFIEGEHRRMSSFLEHCSGCIDFRDIT SIKFISNSDNTQSKSKAKSKAKTESPQHQNTNQDGFERKTFEVQVNTGGNVRLEAASS EIAKEWVNRLEDLKKYWDRRHRVDARQRMDAITLHSHENPFTGTELSNESDNFISEIW DWCVIKGCRSTCITGKLFMKKDKWDKFRSKYIVLTGGTLISFKIQKKNAFHTRKKKYP LFGAYVYSGMLALDEIASTTSKNDDIFQSQSKSRVYQDGLQSSDGLEDTTFCIRLTTS PYSNKNSNSKVWKLNNSKKKITQPWENEDLNNDQIFLPPNLSKKPNQLLIFRARSKLE RDRWVWAINAEMERQVRSHIQQENILRNYGNVPNRW
I206_02204	MSPNKEIQVTLYEIKRVENGRPVCDNKPYPSTIRMNEKLEMLFN KWQKEREPETPLREFEFLLYQRRHDEPETGMTSGGGQSPNKGAIRLKGDQTPEQVHMQ DGARIFVKREDLQCSTEQEPQVA
I206_02205	MGEVHMEVQVEIHDLPEDLTVLHHGNKPSTYSTVMAWDQEFIVI FKRWHEHHHHKEPLAEFEFIFYSLGSPNPIPDGFGPGPVNNPIVVKPEDSPESLGFSN SVPLWVKRKHTHQEKDAETDA
I206_02206	MADSAIGIDASYAKYPFNHPTPYPPPRTGENEPQQLNAEDTPYS MKIKDGTIIFARTLIILPRPIIEHHSSSSYYQRHAFVQVYTDGSDDSSGTSRSRWDDK PSVLTHLDQRRLLADGD
I206_02207	MSQPQSQEPTLVDDGFSYDPNYDYSQTASGGITQDVKDEVKYDI NEDLEEEEEEPISQEDYWTVISAFFDEKGLVRQQLESFNEFIENTMQEIVDDHSKLTM DQFTQYTGVSGDETKRYEISFGQIYLARVNHTEMDGRTNMLFPQEARLRNLTYSAPLY VDIKKRLLTASGVDDPIEADWQPAVGEDGLPEGLEEDKASIGKVPVMVRSNFCLLHNL PDDQCHDIGECHYDQGGYFIISGSEKVLIAQERMATNHVFVFLKAAPSRWTYFAEINS QKEKGGKVAAHTEVRLYQKIQGQNGGVIRVSLPYTKVDIPLVIVFRALGIVPDRDVLN HICFGPNDEALLEYLQPSIEESFAIQDREAALDFIGRRGQHEKAPRAQRQRAAFDILH KEFLPHVSTAEGFESKKAYFLGYMVHRLISAAMGRKELDDRDHFGNKRLDLAGPLMAE MFGHMFSKLREDMYRYLKKCVETNKPFQLNTAIRPNSITDGLKYALATGNWGKRGNTR AGVSQVLNRYTFASTLSHLRRTNTPIGRDSKAAKPRQLHNTHWGMVCPAETPEGAACG LVKNLALMSYISVGSYSAPVMEFLEEWGLEELNEYQHAPQATKIFVNGVWMGIHRDAP TLHSNLLQMRRGGQLKHEVSIVRDIRERELRLYTDAGRCCRPLFIVDHPTQTLRLRRE HINRLEDAGEQGALASAWEQLLSEGIIEYVDAAEEETILIAMTSEDLENARRKNSREE LVKDRSAHDLESFDPTARIKSTVFSKQYTHMEIHPSMILGVCASIVPFPDHNQSPRNT YQSAMGKQAMGVFLTNYQLRMDTMANILYYPQKPLATTRSMEYLKFSELPAGQNAIVA IMCYSGYNQEDSVIMNQSSIDRGLFRSLYYRSYTDTEKMKGMTKAETIEKPDRNETLR MKHGSSDRYAKLDVDGLVSPATNINGDDILIGKTAPLPEDTEELGQRTQMHQKRDIST PLKSTEQGVVDQVMLTTNGEGHKFVKIRVRSTRVPQIGDKFASRHGQKGTIGITYRQE DMPFSAEGLVPDIIINPHAIPSRMTIGHLVEALLSKVSTLTGSEGDATPFTELTVEAV SKVLRSKGYHSRGFEVLYHGHTGRKMQAQVYFGPTYYQRLKHMVDDKIHARARGPLQI LTRQPVEGRSRDGGLRFGEMERDCMISHGIAGFLKERMYDSSDAFRIHVCDICGLMAV ANLKKQEFYCSVCRNSTQISQVYIPYAAKLLFQELQAMNIA
I206_02208	MVTLSKKDARKLKVSKGKGKEEAIKSTKIPKQAEREQEDDDEDS SENEDDYGISEEGMKRLMELVDPEDLDEFEKALLGGDEDGEDAEEEEDDEDEDDEDEE LLSGEEALSDEDSEDDDEDEDEDGEELDNTIVNEKPDDDAISLDGLNSDVSVDEDAVP MRKVTINNKPAMRTIIDTIKVTNMPWPEHLVLNSKEIVDVDPSDDLQREMAFYKIALE CIPQAKKLATKHDIPFTRPNDYYAEMVKSDEHMERVRTKLVEESQGIKKSEAAKKQRD LKKFGKQIQHEKLKQREQDKKSFEDRVSGLKRKRKEGMELGEDGDDDQFGISVEDAIE GRPERGGSGRGGRGGRDKAKMPRHVRDNKYSLGGSSRRDKQNTRESTNDFSFGGGKPG RGRGGGKFGGGGGRGGGSGGRGGGGGGGGRGGGRPGKSRRQAGRV
I206_02209	MFCETTSRPQSPFLNPSPHIHLPQSHNHLHQSYNTPQQSNTRQF FTSPTTSNNFNSSQSTPIPNLPCIQQIGQSISMPSTPYASSSSSTRPNIQHRITSIGG GGGQSSKHNKKLIGSTNQKQKNVQMSLELKVEKAKGFHSFFVPLCKNLPPAPPCSPVN GQNHLQPKKQQQQQQQQHQQQNDNLPINPNLNMMEIDYFGSWNKSKIHDTWVEDITNT FNQPPEKNWDNGIEEGMEVDFHR
I206_02210	MPPSLPSATSVSRVAASTLPSLARNVTTSAGTAVTPNKPPPSKD HHIHSYSADAFPLGFSVSSTHAGIKKKAGVLDLGILISTAKTSCSAAACMTRNVFQAA PVTVTSELLLNSNGKARGFIINSGCANAVTGKKGLEDAWSMSNKTTSLLSPSSNSSNS SNENQGTLVMSTGVIGQNLPISKILENIPKLVKNLDNSPKSWLELSKAFMTTDTFPKL RCKSFRLGDREIKFVGIDKGAGMIAPSMGPPQPPHATLLGVIATDAAVNPKDLQNALN YAVDRSFNNITVDGDMSTNDTILCLANGAATSISSENPMEELSEKTNPKEFGIFKEQL REFAEELAQLVVRDGEGATKFVTIRVKGAPTYEIANAVAKSVANSSLFKTAMYGEDAN WGRILCAVGYTPLSPNPISPTSVSVSFLPPSSSSNTTPLRLLTNGEPESDIDEERASE ILKEEDLEIEIDLGDGKEESKVWTCDFSHEYVTINGSVSAAFFSIFIKT
I206_02211	MSIHDDLDIEIELISSSLLPAENLESSPSGIWPRIIDITSQDSE LALHVIVDEQYKSRNDLIIEVKSPSMGRDEASKWKDIVKGKMEKWNEDDDYPLYQILT THFLSILAPSSLSTSSSNANPLTSIPTERRPSKPHHCLLISHHLLSSTKRKNLISLSS KLSLVGFSKVGHPGIMYSIGREDDLEEWIREVKSWNWLALRIRISPETIEDESSLIEE SKGVENGARGGKGRGDWTELEKINEALDWLRSRGEGRDKILIDAGVGGG
I206_02212	MDIPSPQHRRPINGSSSRSTEIPCVEDDHRTVTKRETIESLERL QSVPLEEEDNSLKSFRQSLEEASKIYTPEKVISKPQTVPKSVIDFCNAAQDRRKQAMI ESPAEKILEKPIENPCHHFIQPMETMLTAKAIKKSHNLSQGKKVVPSKLSQLNGRGKF AIFEKLDIPPVPLKEMDTFKILKWQTTCTNDERQGILKMNSERTAQLEPYIEAAKGDT ERLPILEAQYERAANLFKNMSQSVDPPMLPAWEYRSHCTAAIKPLHPETTVAPEEAAI TVAEASDQIVEDIRATGDSLCSPSISKSRRREPDDSDEVLIPTPISSPTTGQLRTRWS IENDRISSSPQTVNLPQLMKINRDQEWDESTESVFKEYLNPAAFCSSIHDMQQPQVND EAARSLAWSPIPAGHLPVINPFARSNHGSQSGPMSSPIAVSKVQPIRRRAVPAIKGEI EPPIVGHPPHVSEQPPSRRLNNTGAHLHDRPPLSSAETDVPITSKLPSSVPNKRPLDA TQEARATRPRSAYTTSQSLQDLLIKPAAQIRDTGSATPKLKVVTANETKAIKGEKVSR DSRPFDLFSLEGLFSLAERDDLNKTTEELYGLRPRSQEEYRRQIDWTANSLVRNPVWY EDACNLSIPYDSKLPPILIAISVLQKLPLFRALKKEGFTTVEKEKKMHSADMVISPAT AIILQDLKSLPQHSMDLLQELKVTACKFERTIIIIECINYTACEKDPNAKNKSNPLSE DAMKAITQFKYFMPRALGSADGSTGEVEVIFSYNGAVEVARIIRWLIEDDRKRLKDID SEGHQAVYEDRQWLTNEPNEEELELLTAQFGLNIFCAWYALNYSPSIHQLIEGMSDSE RFKAFSGIFGTNVLDRFNEAIKQWKATSRQRR
I206_02213	MMSQNYTIDDSEWSTSVLSLSEGWNMLRKNGSNEYITTQDKVDE MEDIIDNFWNSSISWTEQKGSWIELNFIGNEIWIYGINGPSYSNISFNLDEKDLGNFS QEIDEIDYHHLIFELHNLNNTNRILKLTNLDQGKRMSFDYAIINNSEEIISSSKTKVN SEISTLTNTKELAAQITTSLSISSTSTITNSTITSSANDLNFTTNRNSTSLENSTQTQ AQAQAAAAAASSAALAALLPTQYSIKQLSSLKEIYEFKWNSAAYFVIIFSSCVIGIFI LFIIHISLKSYIKKNKPSQGYLERDEQHKVEDQSGMRIGNPINVTKNGQVDF
I206_02214	MPWEDIKLNDGRKIPGISFGTSRHSGDTVSTVDEAIHAGFNSLD TAQIYKSENAVGQAIKESGIPRKELWITTKWSGLKNAKESIKDSLNHLGVNHVDLYLI HFPAVAKDDIPGSWAHLEEFKKLGYTKSIGVSNFEISHLKELLHHAKIKPSVNQIPFH PNILAKQEPLIKYLQENEIAIEGYSPLAPLWQDGAGAPVLKAVKSLASKYNVPEERIL LAWTRSKGVIPVTSSSTKSRIESFIAAGDLTLSDEDIKSIDKAGYKAFLLTELKKSLI NGVKWFTVAGLVTYFAVRRYS
I206_02215	MADPPPSRGISNTALPSAISQSRPTAPDTFHPIPISDDQKNVKG TVPTPPQQEEDEGPSTYDYTENAPDAVVQAVAIERERERKEGPQEMMVGSWNSWDGGE RDSYMKPSSVTRSHLPGSRRASIASRSSFVQDRPSDSFRRQIAGSQVDLLQSPSSGVF AVESDDEDHVSIAGQRIGRSYSRKTTRRHSRAQSNQASGPSGSYFSYKPDNHVQSPGS MDGAEYETGSPLQSPAKPTTALGRIASYIGFSRNEIEDEEAVSPRERRKSYSRSRSRR GSGSSGSDRSARRGRSRSPSTSEEDDWYGNEDDDSYMSERDHEEGYTSSLADDTSLPP QSRPHSPSMPLVPSATDGIFGEPNSRPYDMVEPKDFVSVAVPSRQTVVLPDEDLSIRF TCYRTDPFRNALWWFACIISFGSLGLLGRWVPSIWVKFLGKETAFDEAKEGSWLVVET PYGDLHIIPLQVIPYPYPLSTVFPQHSQIIPATAGSSTAPSLRGQNGTTTWEETMGFL KIMEYRYTKFALDPANGRWAMIRDWRDPKWTSARAVAHGLENYLREQRMVLMGENIID IASKSIFGLLVDEVLHPFYVFQIASIVLWSLDDYYYYAFAIALISITSILSTLVETKR TIERMREMSKFHTDVRVLVDGEWTIMDCSKMVPGDIFDASDANLPVFPCDALLLSGDA IVNESMLTGESVPVSKVPVKDESLRSMSKEFKAGSSEIDPDLAKHYLFSGTKIIRVRA GARPPWAPRSEEPVALAMVTRTGFNTTKGALVRSMLFPKPMGFKFYRDSMNFIGVLTI IAGLGFAVSAVQFIRIGIHWHTIALRALDLITIVVPPALPATLTIGTTFAIERLRKSG IFCISPNRVNIGGKVNVVCFDKTGTLTEDGLDVLGVRTIDREDHRFSELHSEISDVPI EGGLNGKTPLLYALATCHALKLIDGEVIGDPLDIKMFEYTGWTLDEGQSRPIPNKSAT ASTSVSTTKPQSLIQTVVRPPGTDRWKMEDALKAGNKHAHFLELGVIRTYDFVSALRR MSVIVKRLKSNSMEVYVKGAPEVMPDICDPSTFPLDYDDMLSYYTRNGFRVIAIAGKS IEGLTWLKAQRMRREVAESDLHFLGFIVFENKLKPNTAPNIHTLRAAHLACRMVTGDN VRTAISVARECGLVSHSASVYIPTFIPGTGTSEGAQLDWSSVDDEKHKLDEYTLKPQV TQMGVLLDGQDPESHDYQLALTGDVFKWMLEYAEFETMERMLVKGVIFARMSPDEKAE LVERLQALGYTVAFCGDGANDCGALKAADVGVSLSEAEASVAAPFTSRIPDIGCMVEI IKEGRAALVTSFSCFKYMALYSMIQFTTVTLLYSFASSLGDFQFLYIDLFVIIPIAVA MGRTLPYPKIHPKRPTASLVSRRVLISIIGQIILNSAVQIFVFIWVRKQSWYTAPDTN VDKLETFNYENSALFLVSCFQYILVAGVFSVGPPYRKPLYTNPSLVICLLGLGAFSTY VLMSPAQSIALILDIIKLPLDFKLELLAVAIINIAAAFAFEKFGERPIGRMMVAIKRW RFRKRGRGYRALEREVR
I206_02216	MPDLNELLRWSIANSTQPQSQGEQASSQSQSGEQVSSSEGGLTI RYNPPSSTSNRQNGGTAVLHSSDSAPADLSPASTPGPGTPTGENPLTFSLPTTSTNES SVTKRSDLTTEMLDLILGKSDSITMKEKMAFAIDESNPLEERVEALDDFEMLIELIDN ANNMPILKLWQPLLDLLSSSETEIVSNALWIIGTAVQNNIKAQAALFINNAFPLILKT YNESTSSSVKAKSIYALSASLKHWPLASKALSKKYIEINDKTGYEILLKGLKDNEKNV KKKVSFLISTLIMQSNQEYNQNELDNEVKNVIEELNKSYSNDNNNDNQKIIKGLRLSN IIKELLNNLENLNLEDNLEFEENLIKSLINALKIDELTLDEKLKFKNIWNGLNDKQKE DRGISLEEDKEVITLLD
I206_02217	MFLPISLLLLSSSVSAQTCMNYGTSSTTGSSCICPPGFNVPSSD CNLPTCGGNLYNPQQAAPGGTGGFGNVSECACDDGWTGPGCTVCQSSQACTSSLNRYL NSSNSLSSSGGLNTSLTCSNTPAVYSSSQLSCSVIQATLQALFPGSSTATITRSLNSS LTPGGINTLTSSGLLSGGGQVEMQLWYEGVEQFYCQASECTQNINPDSGTTTNGNSST WNCPKMECQCRTNTLMCGGGTSPSQNLTGAINTLAGPLIIDCFASNTCNFKQSFLVAL FGSNGLELSSCSFGECVQQYVIDQALGITSNANSGNGLSGGVIAGLAVVGAILLAIIG VIIWGLLARQRARRDLNATGDIKKSGRIGLEWKDVGYSVKSTISWTTNLHNFLKGSGG KTSETTNKGNSIENANNNLHANGTKTVLVSSSGNVPPGGFACILGPSGAGKSTLVDIL AGKRKEGIAKGHVGFLKEEGRQGRVRVGYVDQADILSPTSTVLETLIFAAQLRLPESI PSSMKTERANTVLSQLHLDHIAHTRIGSSEYRGISGGEARRVSIGIELVSSPDILVLD EPTSGLDSVSASRLIRLLKHLAEEGTTIIASIHQPSSALYQAFDQVILLSQGKQLYFG KGGNEPKVFFEKKGRICPEGYNVADYLLEIASSEMNELSSSHNDDRSIIRNESGENSN SSIENTRHTLDQDENSLSPLTEKNITYPPALQTDILLKQNDEAQNDVMNNKRIKENWW RWPNSHCATTFLTQVEILSGREWKNLKRDKTLLVAHLFISCLVGVFAGGLYYKVGITI AGFQNRIGSLFFLGSLIAFSSLSALYNLVEVRGLFLRERAGEFYSPQAWLLTRVLFDV IPLRLIPTILVAIIVYFMVGLSHDAARFFKFLLIIVEFSMGMTLFNFLLACVFRNGGV AILLSSLCNLFLMTYAGFFVNIGQIPPVLRWLRYFSTLGYTLEALSVNEVGSGLQIVD SLNGVRVEIGATIIMQTLFGFGMDNYYRDVLVLFAFIAGFAILLVMTVVYLLRERR
I206_02218	MQRKALKQLGKVTQWTNEKVFSGEKTNLSSEFTDFEKEIDVRRI GIERLHATSLPFYNQLIKVKPTTDPFPPPGSGKDKITYTEALGLVMIDYGDEIGEEYG DGLSKYGRARCRLAAAQEDFSAKLGDGYIAGMEAALSAVNEYKALRKKLDSRRLALDA AISKAQNSKKDQYALEEEINIAKARFEEIEEETQQRMIGIQETEERQISELKLFLEAE LEYHSACHDILNDLHGSWGGSSRASATRARSNTATSSKSLGRTAVTRSHTTRHGLPQS SDDEGTLTANRSRSHSNASASSAGKTKEKRSILPSFGSFGKKSGLSAATSSHKKKSSY GKEKFNDSKAALNSEDSEDDDFSSSYGRTRSTSQLSASSSLRQDTYQPPVMRRALTSP PNPTARYADPNTQYVKALYDYSGKAADELTLKVGQVIEVTTQVSDDWWIGECDGKSGL FPKAYTEEYVPTPQTAVPPPMPNRRLPPSSSPNTTHKTILPLPAATNKHNNLPPSPEV GYGGSEFDFESELESDVAHGFSDGDHNATASLSAEAHPVATTGTRSRSNTLNKKAAPP PPPSRRSASSNNLLTISSFGSSPSNSGSALNLAPPVPVFGRNRSNTATKVASPFAGSE DDESDHEVTHNNNTASNGSTLSSGLSSMHLSQSAGGVNTGQCGICGCHDFTQNVFKSK GVCSTCFHEH
I206_02219	MTHPQSQITTNHATRKSSFGSVFVESPTIVSADQIRLHDPTTEI LSNGDLKGKSKAKDLSYLIISGGTGANSIASAFGNSPAFVLPVSDDGGSSSEILRCFG GPSIGDIRSRLIRLIPLVDNPTSKDDIERLAIYNLLAYRFPSSSSEKVVRELWHEIVE GRSDLWDGIGEDKKECIRAFLVHFQTLCLKRAHKRFSFRNFSLGNGFLTGARDLFGSL PSAIFLFKSIAGVNSGVQVIPVINTNQTVTIAAQLLNSTVLVGQCNISHPTTPITQGS STSTMSTSNVPSAAITPIPAKANIPVNIRNHFRRDSRTFDTPETAFTSPRNTYIETFG QINEGSEGWSKSNPINNNNESGGNLGYRKGEEETPLESKIERVFYINLYGQEIYPEPN SEFIESLNQRDILVYSCGSLWTSIIPCLSLRNLSNHISSSKSLKAKVLLLNSSNDRET PNYNASEYLITIFKMLNHFNQSQLINLNQQYNQSNFNNNEIKISNLISHIVYLEGSKI QIDKEIISNFGIKLIQVSRDVHKFNFDQGQIPLFTPESVEWAMEKVLEDP
I206_02220	MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMAKKRKKKNYTTPKKIKHKRKKVKMA ILKYYKVGSDGKIQRLRRECPAPQCGAGVFMAWHKDRQSCGKCGLTYTFEPGTKPTAA 
I206_02221	MSDIAPDQVSPKTSQEATAPPAASPPTTGATAHTSGENGEHPLS LRSLVSTKEAGIIIGKAGATIASIRNLTGVKAGVSKVVPGVQDRVFSVSGDLESVSSA YAEIARLLLETPLSDSSLPPPPVGSFTSIRLLISHNLMGTVIGRSGLKIKQIQDLSGA RMVASKEMLPQSTERVVEVQGSVDAIKTAVAEIAKCLQEDFDRGAGTVLYHPGAAGDA GVLAGGLGAQAVTGPTGGIRRTSVAAGFGNPFPTGERRGSGVPRASISGVSGGLGGLA GIGGERRQSENPIINLNDPNLRTQNISIPSDMVGCIIGRGGSKITEIRRLSGSRISIA KVPHDETGERMFTIQGTPESTERALMLLYSQLESEKERRVNQNQDGQETA
I206_02222	MAQSQMNTLSRLDSYVFSFFTFPSRSPSSSKIPLPRNVTPPPIP SSSTSSSSSSSIPLLNPNSSPRLSNLPPTPRPKSRRRPTPSIHRRKKGIITTYSSIKV PPPTTPILLRIALVLWSILLSFWTSLVGETRSGRSRTRKIRKNKSTSNGVGVLRELGE SLMVSAGISESNNQLQLQQKQQQQQKHKRLSTISNEIEGSGSEIERELENSLNETSDE DEEDENWIDPLVTRAPSNQDSLEQSPPSDNDFTSTITTTIEDDEKRNKDHANFSFRLK SAQSKTSDLHIIDPSPPDTIRRKSSTLTSFRRQPSPPSILSNPIPKLSIPTITQVEEE NEDSLTPVSSKTPTSTVGKKSSILANPISTSILDPTVPAPIKKNDSSIFRKTNLMSST LSTTTTTTTGSINTRIPRSISTTPFHLQKTLILDLDETLIHSTSRPLKSLNGNGFSSS GGGGILGLSLNGFNSGNKFNRSNREGHCVEVVLNGRSTMYHVYKRPYVDHFLKKVAQW YTLVIFTASMPEYADPVIDWLDSGKNLFSKRFYRESCHLQLNGSYIKDLSLVEKDLSR ICFMDNSPISYNWNKANALPIEGWTSDPNDEALLQSIPVLDSLRFVNDVRRVLGIRGF T
I206_02223	MSSPPNLFSSLDLIPGLNSPATIASPQPTPLNSPPLSTHHHRSK TAPPPNYSPSPHHLHQSKASPLETSTAVDAHEEEQTSSNESSPTSSSNHTSCLRRTPS TLSTGPTGSTNGNSREKKRLRFTSLDHSPSQYAGPSRSAAGDIIFPGRSLEEGSPIQS KGTRGIPKDQVDYLRSDPGTPNLSETADQIRRTLSLASLDSLLLISANHSGERDKLLA EVSKVGKDLVWRAQDEKKLLPRDPERAGILALKRGLRSFLLAFSVRAGVNVLLTLFRN IRNKRLKLALFRHAIFGQEPFRFGAMLGTFTFLNTLTLHILRLAPPLGYYKRRLKNGI FNKPTFGPPEREGDEGERRWQAAVAGAVGSLGLLWESQSRRTGVAQQMFVRGLQASYN QYTPRLGIHIPHGDLLVFGACCGQIMFAWLCSPETIPKEYSAWILQASRVPSFAVNAN RTITRQSIIEPLQVEKAMAHQSITPRNMKVLQELMTKIKGGLHPAAVPCEMVHPWVDS CTETNLRRFFAVFRFMLPVYSALHLIPMLVLRRHHVQRDPLRMLARAIWGITRSCSFL GVFVAIYQSLFCLRIQSIEQGWGTKTLTNLLKRKESFWLMGFSTCLSLLVEEKKRRAE LAMYVLPRALESAWSSARRRAWVPIVPFGETILGAVAMGMVMDAYKHQPDAMSGIVRR LLFQLVGPV
I206_02224	MSRSLDDTRRHVQVLPERIGAVAGSEFPGHYPGEDHSWNLQKFK ENLITSVQRLTPSTVEFDLVGVDASIANALRRVMIAEVPTIAIEEIYVWNNTSIMQDE VLCHRVGLVPLKIDPRSLKYRPSPHSAPHETDTIVFDLSVRCDRKPGVDKSEKDPKKL YYDSNVFTGMMKWSPSGDQSKKYKGKNPKPVDKDILLCKLRPGQQIDLHCFARKGVGM DHAKFSPVATASYRLLPHIILREPIPIEHQEKFKNCFPEGVVEIENDQVVIKNPRKDT VSREVLRHPEFSDKVSLNRIRDHFIFNVESTGQYNPEELVPEAIKILLNKITAVEEGL DKLFATEGQVA
I206_02225	MSEFPLNLPIKPDSSTIESHIKALDLLSLQLKIQYLDFDIYNNN DIKIPLGTKASLKGKLLLNNKNKLKVNINKEWFIEMNLEETLNYIERRKKRLLEEHCL ILEGNSNLEIIKKLNQIDFFQKESSSSSSTKEEIIGFHPILNNNNNSSNNDTIRIGDE KNQNELSSLINNNSINENESKDQMINIDKNIKGIEKIQSNDNEIPNLPNQPKSPHASL VDLLDDEKSNYNKRKTDNSSGDDTTLNEEGLPIHEIRETLSGETIGPPPPPSQSEIGF SSLSAEEEDDYFSVEAVARRAALRRKLFNEDTSSDEEFENETSTSKMKLEPIKVKGGI IRSKPSQSASASTNPTVQPEGLLSSDQIISSSKQERRSPSSSQNRPAKSILKPPHSPT RKKSVTFDPSLPSPPASPAIGQNSISSLNKFGFPLPLAESDDLTNEFTPNPVPVIIPT PQNKSKDEGFAGFKRGFLTSSSTRQSTSTELTVQNSSSDKNPINASALTPKKKSLFSQ RLKQPEIDASAPNAHNISSSSKNTIPNLPKVSESKGTNTIKSGVIEKSPQTAVQSVVK ERSGTTSIPIDGLKIIERITKSAQQKSSDTLASTSNALDSHEDFENGDNDDYDDDDDN DEDYSDYSTGEEDEYDLDEALLAREIALEYHKKQAYKSLNQNLQEEEEEQINMGNILL GLPSVSTDEQEQEGFKNTGIPMIINPKPNDLKRFIRIGKLENGNLVLAPGEENLDSDD DDDDDDDSNNNDKEERKKNRNKIKKQLMGLEISSNFDSPSKYEKNKLNEKLKRKEKQD EEWLNSLPPSLSTNQSESQSNFHFKMNEEEDIKDEIKNNDKKIIPIIPLVPESPPSII TNLLPSSSKFDITKQEKPKKISKFKAARMANNQ
I206_02226	MCGIFGYCSFLVEKDRKYVCDVLINGLARQEYRGYDSAGIGVDG DTPTSPMILFKTVGKVASLRDKIAEAAATPSPADDPQNEPHKVDMNKVFLSQTSMAHT RWATHGVPSNTNCHPHVSNALTEFSLVHTDLFCSDKELKLVLLKRGYTFHTDTDTEAV AVLCKYVWDSQPHKRLNFTELIKTVVKELEGSFAFVFKSTHFPDEIVAARRGSPLLIG VKTDRKLKVDFVDVELPNEERVDGVDAAGLLAVPNTVADGHSTAGPKLRRSQSRAFLS EDGMPQPIEFFVASDASAVIEHTKRVLYLEDDDIAHIAEGELHIHRLRRDDTISSVRA IEHLEIELAEIMKGQYDHFMQKEIYEQPESVVNTMRGRVNFDTRQVLLGGLKAYLPVI RRGRRLLFVACGTSYHSCIAVRGVFEELTDIPVAVELASDFLDRRTPVFRDDVAIFVS QSGETADTILAMRYCLERGALCLGVVNTVGSTLSRETHAGIHINAGPEIGVASTKAYT SQYVALVMMAVQLSDDSIIKTARRQQIIDGLHDIPAQIRKVLAMDKALQEMAKTMLAK EKSLLIMGRGYQYATCLEGALKIKEVSYMHSEGILAGELKHGPLALVDEHLPVIFIMT RDSLYPKVQSALAQVTARKGRPIIICNEDDETVSDAAKCIRVPQTVDCLQGLINVIPL QLLSYHLAIMNGVDVDFPRNLAKSVTTE
I206_02227	MLRKAPSTSRSGDPPVTSANGAGFGIVTFKAFKPPSALASKRES ALPARKRKAVSYKGQGGGNDSDSDEDGKPSKKGRFAMGNKEYGEDGVLGDMAKWCNRK FPVFAPKEKTLVFTKNFSIPVMLNPKSSEPIIHALSHASLGARRHPTLTPRPLHDPMA DTAIVLFDPTIDDKPPPEEEDAAKEEEEKRKKEEEARGPHKSLKSILGIVDVKKDKKD VKVPVVIDPRLSKVLRPHQIEGVKFLYRCTTGLLANNAWGCIMADEMGLGKTLQCIAL LWTLLKQSPIAGKPTCEKVIIACPTSLVGNWANELVKWLGPGAINPMVVDGKGGKAEL IPAVRRWAQAHGRNVTLPVMIVSYETLRSLQEELANCEIGLLLADEGHRLKNADTLTF QALTALKVQRRVILTGTPIQNDLSEYFALLNFANPEYLGSKGDFKKNFELKILRGRDA DASDKDKTESDAKLKELGGLVSKFIIRRTNDLLSKYLPVKYEHVVFCRPSPLQQKLYH LFVTSKDVQRLLRGKDSQPLKAIGLLRKLVNHPDLLNLPEDLPGSENVLPDDYHGKGR DRTVDCSLSGKFVVLERMLAHIRNFTNDKIVLISNATQTLDLMEKMCRNNRYGYLRLD GSMSVPKRSKIVSRFNDPEGKEFVFLLSSKAGGCGINLIGANRLILFDPDWNPASDQQ ALARVWRDGQKKECFVYRFQTTGTIEEKIFQRQCQKQNLSACVVDEAEDTARHFTSDD LKQLFKYNAETACDTHDTYKCKRCRDGKQFVKAPALLYGDSSTWNHFPNQELGKMHDD LLRAELGLPEVSFVFQYISH
I206_02228	MTKLSSTFSYKFLKKWDNFLRIISIKRIEIWSDASGKGYGGHLG PQSKPLDLWKDTHNYFLKNNNNVNNNSIELIEAKALFLSLKKWSNQLKGKKVWCYIDN FQVYKILNLKYSTFSSPPISLFLNNNNNNKRKKYLFFNSNLILNLPFIIKKKSYFNEI SKKNSKSPQIKKIFEEIDELIKEYEITIKARWVWGKDNFLADKLSRIGNGNFDLNRNG NNNLTPHVLELLKIASSANSTKTDTELGVGVGVGLNNSGEDARVV
I206_02229	MSSSSQINANSTIPSTSFSTTSTKPTPSPSNTLTTFPNPFEDEP EQGILPTLLSKVKQTFASSSNTSSANSPTENVRGKGPDKGSSDLTGGSSSGQSWNDYK VKPHQTEAQAIAEAVRKSAQETTLAASSRAQNASQQNQHHISTSHSSDVSQIHGRRKS SGTSSVPNIQPIKTMTETVRPSASSSTVNAATHIISPRKAPTIGNSKNLRPPLSTVNS VGSATLSLQAPSILSSSHSTTNSHAPSKRIQAPNERQWKPTLAAPAQVTISPVTSVTT TVQAANSSKRPQTPEMTTPFPAGPGRHRAHFNIQPPTIGNGTSGGAIASSRSITPLQH HAHVNSQNLRVRRSSIATLPDSPSSISISTMLASNAELSRNFNYVPGFPLNQDDSRSV RSVALGGGGFGAFVKKQNSVSKIIRRMRGEGLSKHYWMADDSCKECYDCKSIFTAWRR KHHCRICGQIFCSRCASNIIGARRFGQEGAVRVCNLCLKIMEEYREDDEDDRRSITSV STSVHRFPSISDRAFLDASLSPEISYAKSPFAASQLFSSHPNESLTAIDESAVPTRWG GQEEYDRPYTPAEMGDDSQLSDSEDAHIWASSRPQTAAPFRRPMEDDQKSDHVHDDEE QAVELSPGSEPGDPESLAGRADSRPKTTRKGNMGPPALPLPPRVEFPRTDTMSTDGGS ETRVPLDRMDSNAPLIGLRTRLSSRASQGGLTALLDSEKTEGLWRARSHSFAQRPEVI SGASLGHFYLMLQQAIARADLPNPQQWHQTLSHLLLKISTNLQPNVKAGDDIDVRAYV KIKKVPGGKISDSEYVDGIVISKNVAHKAMPRRLVNPRIMVVTFPLDYHRVENQFMSL DPILAQEKDYLRLLTKRIIDVRPHIVLVQPSASRIALEYLLEANIAVARSVKVSAIHQ VARCTQADVVASMDRLALEPRLGRCAEFRIQSFEHELIPGRRKTLMRFEGGHREYGCT IILRGGDLTTLRKVKVVTDFMALVVYHLKNEIILYNDEHNIFPPHPHLPAEYQELLDV LNEEEPIIHEKSTSETTVIQVTDEDGNEEPLTPKPIQIDDEEEDEKQDERRAALQTTK QIAESLQPYLTTVLSASAAIRFPPPATLAKMAELDRTLANLRQSRDDEEAAQILQEET KVSEPVKIAGPTAETDSSLSTSLSTSLIDTAESHSSELSSSAAMSAIPLVAAPVKETL RDPYRVLRKPEEVSRESALSQVTHEHSEQLKLWQWYSRRFTDPLRAEDYQGIVYLYSL GCESTDKPCVEPSLQTINFYQPGDRTLGQFLEELAINAAQRCTSKTCERLLLFHFQLL VHGERRLQIAMDQFPCPSPGHEDQIITWSYCRLCASPSPTTILREETWKMSWGSYLEH CFYPSETRAGFTCPHDAFRDQIRYFAHRNLAIRIHNEPVDIYEPQRPSIRLLVKAESK VVLKNQEYESALLKNTAFFDSVLFRLRSLDTEICHPEKIGSLKTALESMLSRAVADRE EMVNLLNRTYKLTPMTDVLALNMVLRTLQDKVVQWDSDFAEMEKTFMPSEKDLRRMTA THLKRLFANQDVFNSLDRNVASLTVSEADEKEVKSVSNDTTPAPSEPATPGFPPADVG ATSLLPAEPLTIDLSDEPEDANTPIGGIIREQPNPLAQPPTPAGEREYDSDSTISAIR RDSPGRSSMARVESSGIDSDAHQFVSRLPRRSRPAPSIADLVQRFNDASKLAPFETTE SPERPESSVSIRRKGRRSPLPEASDSDHSHKARPRLRRGRTEQPPVRYREVSKPSGLL SDGDRSYAANASRIPSTFGRKRSIAGPSTDLLRPGLRSRTPSFTGRISPHSPRSTRVS PERSQQKAPPAGPEPKPRMAGKGKTPRIPEPSSGRTSPSLIRSAARRTLASSSRVTSI ARHFDRLSREAERERQKRISMVRGKRARPVGVTKAKVQVFDNLRDAFRDESDTDSSEA DNEEDELGSDDTQGKRSSPTKSRSRKSSPMKATTPLEPIPVKSSFSAESIPEETSGTL EEIPISASGASLATQSVLSDARSEMSFTDRLQIELPSFETSAPLPSHPVTPQLSTDTA DELPKGPQHPTMSQMSQMSESELSSGGVERSSILKTLTGLWAFRAGDFTPLEYPLSAS EHLFADSRVIIRENEPTSIIAFTLSSKTYRDKMRAESNYSKGGAGSGKTEAFMPEDLH QGQDRASTWDIVSMDEAMDNDGDLRREGGTHLKYDFESGASTIFCRIFFAEQFAALRS ACQCEDSFVESLARCVQFDASGGKSGSAFLKTKDDRFIAKEISRLEMDALTKFAPAYF EYTRKAFQGQRPTVLAKIYGFFKIGYRNAITGRYMRMNVLVMENLFYERRFSKIYDLK GSTRNRLIQATGRINEVLLDENLMEIVYKHPLYLKDHSKKILRTALFNDTLFLSNLNV MDYSLVVGVDSEKHELVVGIVDYIRTFTWDKKLESWVKDSAFLGGAGKGEPTIVTPKQ YKIRFRTAMERFYFPSVPDRWTKVGLDEISVEEDGQSSINANV
I206_02230	MTSRSSFSQSRRHSKSNSIAISTSSAIRSNSPSPVASPSSPTNE GLSRRQSWNRSGENNLFLQTQPNTAGLGIGLTSQPSPSKTYLLDPHSAETTTTTFTEE RDIIYANSNSNSNLWRGTHPLQFQSEENLINEEIPLSPLIPTNLPFSNSSRKYDSDSS QSDTDLPLPEHNDSFNEDRERLTPSQTYDIPSGSSLSNNKKSSPLSRNSPGGQKKRKP YDDNGLARNSTLRKVSKTIRSASIRVVNIMGVEKKEETRGVERLGSDDDEIDESKLPK GENIGLGIRGAQIPDSIRPDPRPPEIGLRGKTLGVFGKNSKVRIAMNDLMKYPWTEPA ILILIITNVVVLAIQSAPAQNEPRVDDGYFQTWADYALLVLFCIFTLEMFARIVVTGF ILDPDRSLRDFLFSSSGVIPTVQRRVGRAQNSLQRNLSTRSKNTHRAAWRFHNDSNGT ANHRGQNGISISKMKEEPKRVIPILPEAPFQKAVAKQKNLALQGRPYLRHSWHRIDMI AVIAYWITFFLAISGHEATANRHVYIFRALSVLRAGRLLVITSGTTTILHSLKRAGPM LITVAYFLIFAAGIFSIIGVQSFKGSFRRVCTFIDPNNSTNEIVLNQQCGGSLDSQTL LNVPYLNLDGSPASISPKGYICPLGQICKTSDDNPNNGVSGFDNIFQSLVQVIIICSI NTWAPVMYQAMDSDFFSSAFYFLAGVIVLNFWLLNLLVAVVVNTFSDIRAETKRSAFG GDESLLGTDPQWAAENKKRKMHNKVLSLYGRTEIFWVVLVLADMVAQGTKTSGSSDST LQLLKNLEIAFTLAFDLEILIRIIGHLPDWRSFFLSGRNCFDLFLAVACSIIQIPVIA RGGIYPWLTIFQLLRWYRVILAFPRMKPLLNTFFGSFAGLLNMVVFLFLMIFLSALMA LQLFRGDIEGDPIDFSQTFNAFLGMYQISSSENWTDVLYNVMGSEDIFKQDWIAAIFL CGWMLFSFFILVQLFIAVINENFAIAEEQKRKQQVEAFIRRAEPQSAHISWIDRLNPY RLMSARHNAVKVGTLPPNLVLPLKQNIGADVGSLPNSDGAWGDTAGAKGAMRRLLGRD KEESPIPLRNLRRHTTPKFENLDGDLDDDRGLTDLLPPLNAGPSSDEHMDALRERRNQ QADFIAAHPSFDQSLWIFRQSNPVRKFCQACVQPAYGDRIFGRPAHPILQLVVKTIVF SAVVASIVVAAVASPAYRRNYYGRHGYVRGTWFDLTEVALGTVFIAEAGIKIIADGFV FAPNAYLLSLWNVLDFIILITLLVNTTTSLIFIGGLSRVTRALKSFRALRLITLFSRL RDTLHAVLFAGALKILDASILMVLYLIPFAVWGLNIFSGLLFYCNDDSVSGKSTCIGE YSASPVDDSISFLVPRVWANPSLDASKWSFDSFRESILILFESVSLEGWIDVMASLMN IVGRGYQPQNMASQWNAIYMVIFNLFGGVIILTLFVSIIIQNFSTRSGNALLTTEQRQ WVDLSKFIKAQTPSQLPKGRPTLPLRAWCYDRATTKDGFWAVSFTWIYYLHILLLMMQ DFSDNILTEIQLDIIFLCLTVLYAIDLLIRFYGLGLKSFRSNGWNIFDVVVITGSFAT TIPALQASSAGLPGNQVNIQLQKLFLVSISLKLVQRISSLNQLFKTSVASLPAIGNLF LLWATLFIWFAIMYLEVFGLTKMGNNAGTRFQNYYSFGNALIMLAFMSTGEGWNGYMH DYTISPPRCTENSNFLESDCGSAPGAYTLFISWNIVSMYIFLNMFTGVVVESFAYVYQ MPGGSSLNREEMRAFKRLWAEFDTQRTGYIKRKDFVRFFSRLTGAFEVRTYPIEHSIP NMIRNALPDPVDNASGRSFVVTGVKRAVDLRRLEDQISQIDYRKVRERRLLFARLYSE AKISEENGRGISFTSMLMMLSHYKLIDDEKALQLDDLLVRRAKTERVTDLVNLDRVRG LLRTIYWRRRFLASRDERRRTLNAEAEGIPAIVLEPMPATPPLEEPDRNPFHNIAQDR THRDITPSPPQSRASSPTRSPEMHVNTGSNPLSPDTTFNNNITMNRHSPALSVSSTGN NRPSHQSRPSFGRQGSNGSMLSSEDAHYRRESPTEEIVPDDLFDNMATSVWGDMMREA VDKEEN
I206_02231	MRFLYTFISALAITLTSAQNPSSSSSRLVPASSSRTTNSSSTST SSTSTLNITTFTTTLTTFPTTTTLSESIQPPATTLTLTFTTNSSDYSHINESIWQGNY TNGTIPFDGTNKVWEEGDNFLPFKIKIDPAYGVIGALLIISGIPVAVLGGKNRWSSNA ISSGYALMLFTLVMVLRFGVEPNLQPPSPNPPNTTLRGLYLLACIISAFFGGAIGIFL YSFAKYWVSAIGGFTFGWFLLATRQGGLITSVLGRWGLLGGLAVGGLVASLPKQTNEW MMLISTAWIGATAFTLGVDCYTRAGLKEFYIYNLGFKDLFPKLYDLKYPLTQTMMIEL GILAAMVLIGAAIQFRVLNILTKRYKKMREEEDAKVEAEEIEKAAERFKNVGAELNEW EEKHGNASPTSGPGSSGPSDPYGSLNGSAMRATRESILLPQLGFDSENSNKRSSSALS LLRDQEFKGDYEPLQTRSPTLPGLETPQSLFVGLQDLKPDDGRPIPPTPLDPALAERE KLLEEVRKAREGIKSELERLSSSTPDLLGRTTPTPTLGGISDKRDRHTSMASSRLLDF SDGRSNSPNDARSRHFSTGSSSRLLELPDLESSTPENIPSSTSPPAPLSEWDKYLAER KVVSPDSSDAHRQPQKQQYPHRSSTYTPLALGLDDRRERTTSMLEPRVSDFGPTENSR NNGTYPTDRQKPMQRTRSDGNAFERPSTYHDNLGAPMIIGSAADKRGTGSGSGSGSGN RNSQAQRAMTYDELAERHRKRLSKLQDPVTSKMKEEIQIEEAKQRWKTQRLLEKQEMK RKERERFYKESANEPGLGAVNKGKGREEGIKQASEWRKSVMTLSPTGGNQPCTPGSSG NGKTNENNGKKRASKQFAT
I206_02232	MTTSQSSEEVEIDSQEIAMEDDIDKPVIAIPDIESTENNKKKKL YHSELNEEIKEDDKLNFKENSNEEKDSQNELSSISNDLQIDVEPPTPESSSSSFQIRN NDNDNDNESSSSSSTSLIPLEEELNEQEQEEEENSKILEAVPLSETLEQQEEWWELKM TWSGKVFELKVAGNDMVYDFRDRISQLTGVPPDGQKLINLLPGSKGKLSAEHDATRFG TLGIKKGTKFIMIGTRKEDRFLGKGEFANELTDNFDVKYSKSAPGLHHADDPRNKRKI SEICEKIPITVMNEPRKGKKLLVLDLDYTIVDTKPLISGALPSLECARPGLHEFLEAV YPHYDIVIWSQTHWRWLESKLVELDMIGGSRNYKVSFVSDKTTMFPVWSQRNGKPYQH EVKPLAYFWNTFSHWSAKNTIHIDDLSRNFALNPGEGLKIRAFNKAGQAEGMADKELI KLGKYLLDIAKTVEDFTTLDHNKWKLKIQSAKSGNPSVNPNPSNPANPPQ
I206_02233	MLSSITITPIQPSILPSPRLISFPDDPTEIPSLMDVEEYDPPPS TQTPTATVHPDPTETDQSGGHPSTPTQLDPRARAYFPSTPQPIFLSSSENLGELFRLR SPVTSPSLPNTPFSAGLERRLPGTPTSPGSTRQSRRRLSVSSLGFAANSRQLSPYKRR TSARPAKYPIKLFYPPSPVLDTPDLTSGSTDHSFISSRGQTYISDQAIILTKPSELEN LFKYHGEAYPFPGVNLPKKISNTKTSTEQKIEVLIDFDDSESPLPTNSLVSDPEHSQE VENSEETLLEGIDGYLDVFYEVEGGLSSPIDYKFGSEFQSDELDDIEDSIEVAQGYRS DIELLEMLNGNNSNAVTQIQETDFYKQVHAAAEALKINPISSKVPNTSARVQNVSPYD LLLSLPSPRKNVPRQIANPSTPDKFQSEIIQAWVKTEPTEQSKQFVKTLLSSLTKIIN AKYGDSSGENRFLVDVFGSVSWGGETGKSGDLDLVILDRAQLRGYEPSLWRQPPNSNI PSKKPDTYGRRSVPPQITALPRCYHTYDLANSLRASGMREVQPIAAASTPIVKFKDTQ GVIECDINMNDLGGWYNSSLILHYCLISPHLLRPMIYILKRWLSAQDLNDASGSKGSA TMSSYCLTLMVIAYLQARGCLPNLQKNINVPLLNSASDTNDKDVIWVSWSRDQGVPAH VAFDRGPPEGWKSADPNLTVSQAVRGFFRFFSRSQPSALASNEEKARFDHRKMILSIL QGGIANRVMGVGQGRVEDEKVKDQLRHKGSTEREVEEAMIILRDGRIKGEEKMGKGDR GIQPRNWSERRLVVQDPFLWQKNCAGSMSRVGLDRFFDCVDRAHHMLQSKGTSATIEE LLFNPSPIPARIPTPGRGRGSRGSSPSWRGRGNGRTLLNS
I206_02234	MNETETIVSASHTYKDESVSESSDPSFATSKSAGNPSEQAPMKL VKNESGDGGEPYRLIPFLDEEGRPTAGQHISHLGPKEFSWLKSKGMYMMPSYPGAPGS IRPFGLPAASRRAKKAPNAAVMTKKLGGLNKPKAPKAPKAPKAPKAPKAPKAPKADPI AKLENRFFVPSEKVNQSWIAMLTLLPAECWKTSSFQLLIAKHEVIHGDVPRVEHAQKM LAKLGAQHDRYVAEATRRKEAQDDASDASFYTEPYRAELYSMSCQSMEATTASEEDDV LPNHLPPWVCCFLEEWLEHIPSIMAKEFNKVLEAHRMLAQQWQWEDREVIKRIEDVQL PQYPETIYRDLAFHTFEIYMDEDSIKPSSKWAEGTPDIHGDASVLDINDKEEMQHTET INPELSVSNEVMPTYGVLNEDGRTPQNQRSYKDRSIRAMKACVESLETKQLAEFRSIV AKDKYFENEWECLYNQYYSKDENKINLPYEIVWDKVATLFWEYFEYTDIVTDLPPWVK ALSEAFEGHIIKYSQPSEERSYLTERPSNLLEDYLRDHKVRMEERIEMNDDELETWSL LDYMDLPLVSPDDAKRMSMMKLPFQPSI
I206_02235	MAHQNKRQKNGVATTVAEQPAAGPSKPTPRLFAPFRALGHVTDH VPYAMFVHTPQGALATPTVNITTSVGRSWLMWDAARMTLVFAGPDTGAQINSLAMTGT EIFASAGARVIKYHRGKETGSYISPDQSNLGKILIFGDELLVLKEDGTGMVIYDLKGG QLKNQITFHSSFTATHLMHPSTYLNKILIGSQQGELQLWNVRTCSLIHTFPHPTPASS SPVTAIVQSPAIDVVGVGYLDGVVRILDIRQGDLVMQMKIEDGAVAGLSFRMDGPPIL ATASSTGSLATWDLSKGGRVLHVVRGAHDQSVTGLQWVPGQPLLVSSSVDNSVKQWLC DDPTGMPRLLKFRGGHHAPPTCIRYYGEDGKQILTAGRDRSLRYTSVVRDSRSFELSQ GSLIKKAIGLGVTVDHLKYPQITAISSSSIRSKDWEDVVTAHADDAVARTWRVQEKRL GPWTFEMDSGVAQAVTVTACGNFSLVGSSTGEIRMYNMQSGKERRSFSLSGSAPGDSK PKIIAQSKTAKAKATQQQAAEGVKKTLEAITGLVSDALNRIVVASTLEGKLYFFDFHT TQLLHSAQLSTSITSMSLNRDSGLLSVICDDLTVRLIDIDTRRVVRELRGFKGRILDT VFSPDSRWLIATSLDSTIRTFDIPTGRLVDAFKTSSIATSLTFSPTGDFLATAHVDSV GIHLWANKAQFSEVALRHIPEEEDVPEIGLPSVQGLAEDAAIEGVEAIGAPEFTDIYT TPEQLSEGLITLSLIPRSRWQTLLNLETIKQRNKPKEAPKAPEAAPFFLPTVSGLETR FDLSAAEDQNDAQQNGKNGQRLDLASGWLESEFTKRLTREDESGDYNTFYEYIKSLPP STLDLEIRSLSSLEHLLAFLNSLINRLKSNKDYEAVQTILSIFLSVHNDLLIQNPEEF KIKLIELKEQQNKHTKRIRELVGYNLGTLGFLRGT
I206_02236	MSNASAALQGGKISREEFRRQKDLDAARKAGTAPAAVDEEGNAI NPHIPEYITKAPWYADTGKPSLAHQRIGRSDGPALKMDEWYERGQTAGPAAKKYRKGA CENCGAMTHKKKDCLERPRKKGAKFTNTNIAPDEVIQNFASDYDSKRDRWNGYDPSSY KNVVDEYEATEAARKKFREEEIDNQTSNADLSTAKKLAKKEKQDNDDDFGSSDEDEDD EDKYAEAADQIGQKLDTKTRITVRNLRIREDTAKYLHNLDADSAYYDPKTRSMRDAPV QGMAPEDMKFAGDNFQRFSGDATNIQKLQMFAWQSAQRGNNVHMLANPTAGELLHKEF QEKKEVLKDTNKSSILARYGGEEHLERLPRELLNGQTEDYVEYSRSGQIVKGREKAVT RSKYDEDVYINNHTAIWGSFYDLSTGQWGFACCHSVLSGSYCTGEAGKTANSSSSVQA LLESAAKVKAIEEAGEKERKSLKDEHVENLLKLNGKNKEKDGPIYGNRPDDMLNQDKE IELNRDKLKKALAEEKKRKDLNENEAWEQNKKSKTDVSQEELEAYRLSRQGYDDPMAN YKDTEDY
I206_02237	MWRPAARTTGTNDVPIGNKRRFGLPEEAPPPSNAPSHAPRPPAV DIQFFNGRQERDRPERDDYGRRDDYDRPRGDFRRDERDSYGGGGGDRFGGGDRGARYD EPDRGRDREREVAAEDGPRKRRSRWGDAKVDVPGLPVAITGKVSQHELDNYAIHVRLE EINRKLRTGDVVPPENQRSPSPPPSYDAYGRRTNTREIRYKKKLEDEKARLVDRAMKS DPNFRPPAEMQNRRGGRPQDKVYIPVKEFPEINFFGLLVGPRGNSLKRMERESGAKIS IRGKGSVKEGKGRPGDFPEDEQDELHCLIQAETEEKVKGCVALINRVIETAASTPEGQ NDHKRNQLRELASLNGTLRDDENQLCQNCGEKGHRRWECPQQRVYSANVICRLCGGAG HMARDCRGRGDPSLAQNKETAFDSEYTALMAELGEGGSGGPASGRPAGAITGAPPAQD RLPPWRIPENWISHGPGGRGPPGGGGFGGPPQGYGGQQGYGGGGGGGGQGYGYGGGYG GQDGGYGQQQPQAGAADPYAAYYASMGQQAPTAA
I206_02238	MKSIIPIQILFSILIFFIFEINALHIPKTSYNKINKVQSKRQLL STSKRLLPIKRFGYFSFSRNPKTLPFWNPLEGIEGILIHHSIKGYKPKKRDLKLGFEL KSIQDESFEKEESNGGIEEEYEIEERDDDNNELNCEDQQQDHLTTGLSSQFSDSIPSK EVKMAQTQLQLINYPDQLNNISNINITNIVDKQSNVNITDFSNRKYSDKILWSGFDNY QQLEERNLYKRHSDKSGSQEGYDDDSGGGRENNGGKKGSIESEGGNDNSDSNKHGGYG NKGNEDQWQDEGSKGDSGGKDNQGWKDTHNSHNGNGANHQSGYTNGKGTPGRHKSMSD DTSTSDDNYHEKFQQDWDASSSSSTNDKWDHEWDHEANSSGNSGDHDQDDNSNDDRGD PKHKYDDGQYHSANNDSESKGNNNWDDGQYHHSSTNEDDTNENGNWDQGGYHHWSDSQ SQSQPNSQSTYDSKNDHQSDCSNLAKFYKSFEQSWIDDKGWSNDEILDCCQWSGVTCG SITKRVMGLNLRNNGLKGDLDNSLFDINGLMRIDLSGNDFKEVPDKFDGFTKLTHLNI SNSNIIGRFPSSLRISPNLVNLDLSNNKLNGFIQFHHSTSLKSINLSNNQLTSFSIDI DSMDNNLNKIDFRNNQMSGPLPDLSGLKSLTSFDISNNNTGPLFDISNLTNLTRFDVR NNQLTGSFPILPSSIQSIFLSSNQFTGQIPSTIQSPENLINCYILPNNFICPTKDQIN NINNNNSLFSKCHLHSCFSTNTKTIINNDQHNQNGTGTGTTTIINASQITSLNVPNGQ KGIPVNPLPGENLTVPQNQLGQSKDQVVVAGQDYPGLSPQSQSQTQRLGSKTQLSSDG LQTMDVISSVVRIPGLIVFCGFIFWNF
I206_02239	MTDQNTRPRPRLPGRSKSNIKDDPPFDAAKPDEKAVDDVYKQVN STGSLELAAKALEKYTNNQKVLMNENDQDSEELGYLNKHNLQEDVIMSSDDDDDFITS PLKQNNKSDGGSGVIHIQEVVDGLWIGDLVSAMDIEGLQKRGITNILSLLRPALEFSS EFAVYPLEIDDSSDTDILTHLPSCVAWISSILELLGGVLVHCQAGMSRSATVVAAYLM REYDLDPVQAIVALRDKRPVVDPSETFWHQLGLFYNADGRVSLKDKSTRRFYMDRTAS QFMNGDGGAPSIETMAKYPMTPTASNPPTPGGAHGRRKIRCKMCRRHLAVREHMMDHI LDQQPVSRPRTPSIVTLPSPKLSNPPSFPTVTGERERRASVVSDVINPLTGLPGRRAS HASISSMTGITTQYENSVNSKDQQQSSPGAGIPLPKRSTTTPGLSLTASSPDPNSSSG SPIPNILGRMDNNASPKPISPTIEIGSPAGGRVFQSAEQLASSLPPHLLALRMAGSGS SGLPSPIGSSPNSSPEKDIVSTSQTFPPSQQSQNVSNAARRMSMLTMTPNTPEGERRN SISENLNNGGYPILVNNKCSGYFVEPLTWMEPVLQKGEIAGKLICPNEKCGVKIGNFD WAGVQCGCKQWVTPGFCIHRSKVDEVW
I206_02240	MASAMRSVLSRGYASAASTVKAPIQLNSLTGTYATSTYLAALKK SPKDLESLAKDIEAFDKKIKEDAKVNAFIQNPTLSASQRSSALSSILPSGSSPILLNL LTVLSENGRLSSAPRVFQDFGSLISAYRGELEVTVTSADALDNKALNRIEKALKDTEI AKGKTLKVVNRVNPSVLGGLLVDFGDKSIDLSASSKVNRFNAALTQGV
I206_02241	MSTTLPLFSLLHNGGGSYPPSPTFSDPSFSTGYDGAFDLKNGGL GNYNFPTPPQTSNTPSTSSYSSRHLSNYPQLIPPNFSHSSFPTTPDGDDPTSSFLDLD LSQPGPSTYHQISNGHHRYDDALSHHQSTSNGGSFILDGEDYLQHDDDDDDEEDDLVK IENGESDEAQPQDEGGDVDVDNEEPLYVNAKQYHRILKRRLARARLEELNRLVRSRKP YLHESRHRHACSRPRGKGGRFLTAEEIEQMKKEELEKVENGESVEILDGVAIPA
I206_02242	MVLSLAEGQSRLLSNTIKILYRHGQIQRQSNLSFRHFTVSNIIK EERPISQDGSSSTTEPMSSSSGEISRDMSSTAESSRSASSNVTDLNDKMDEDKQYAEI FATLNENWPKSNTELKPHKPKPKFPPRPESIASKLTFDGFSTPSPRHKGVHSRIKRSS GQTPKEAETFNEILAGIFADLNHPSSNNRNNLGKSMKSNLGIKDPYLTIKSGSENLNY GMINSNNNSTLKNNAKNLRKWLGESEESEENLQFLEEFEMLKEEMEVISSDIELIEWA KSRIFKPLTEINKDKSIQDTNTLTPIITYPPTYPKILAHLLRTLRVNYNSPHLVLSLF QHAKTCSLESYLSGCLTEVYNEILTVRWESFRDLIGVEQGIREMEIMGVNWDQITSKL ISKIVEEVSKDLLSSSENQPIDITSILSNSSTSINENSQGFENLANITKNSIKQNFIF NQYGENVLIRLNRLDERVSKDVKKQEKYYELTKKRKRQIREERERKAQRERRQNEEFM GVREKDDKQKLGWIGEPKDGERAFI
I206_02243	MGPKRKRIDSSPTAMERRTRAAAAAERRAAEAAYKASAPSESTL ASPITSVPAAAFDKQGSEHTLPAPSTPFKPAFSAAQGQSAIPTSSSIGTTSTTASRPQ KDVTFEVNSADEEEDIAKPKGSNANRTAKIASKKTKNSQGKRPPSPSSGPARLGGSMT GGAHATYHASSLGGNGSENEDLNSVLNQDASKRKVLEVSDEFCTHYRLVKTRTGAGRS QSLTHSLQRVTAADMKPVLDKALQKGITNMEKRTAQKAVNVLDDDAGSDSEEEDIGYV ATEIKLSRQVQNLLKSHGRNLLPFNPNAQGNLRSLARSEKFKKRSETKVGPSITDLKN NFFTHAKGNSHRWGAMLILLPNQWWESPEVETLVTQLEVVYGALPRIEDVRAKLAVLS TQHRLYCAESDRRRAKKLQDAKDEANRIKTLKAAGAIPSPKKSEARGGKSEFWSEPHK PEYYSIPFRYLEVPRPSSESDEVSQPSSQSNLSPPCKTTPSPPPSPPFSPQSSQDQSS EVLPYDPDLRYGVWEKDLPPWGFAFLQIWFNHIHRIMEQHFDLVLESHRLLARQWKWA DGRKRLRGEDKPSGGVDKPKEYPEEVYLDLAYRVFGEHLVEIWPDQTMRWASKPPIPS SLHNDHITQDSHTENQGGEETSDADTSEAGTGSSDDINISSAENNRQIHHEKRCRAAI KACVDVFRRTQQNRYKELIKKDKSFHQKWSDTYNKHFDCKTRKVQIPFATAWDICGEF FPDHAEYRKMSDDIPHWATRLYNAMLKKSRHYSGPHSDEPIDPERPLEVLAGFLQDEE IRILDSGNREKETRLIKSAIAEPSATIFEDPQGAEAHAVGEGIMQEFGTERLGKMDTL ELIQYLGIEECQEQDVWNQAVQVSKEEAYDYKRDENNASLRDPVTGEVQWVNPTAHKL RTSIRWPYLTRYDAVPHDIQLWQEMQRKNPKALATEATHTPIAPMWHQIMAVTNIIER GYIDNNLSENYFGTLLLDDVGLGKTWVPYLVILLLRYYRKRQQADPNFHPPIMKYFKA FYASEAGSESGSQPSEHTFGTWIGNRRLDGKDVPRVHIMCSNNTIINAHLDEGHRLTT PNQVLIKNINEVAFTNIREHRRLWAEVDTAIIGGKEVVLCTTYQHLASDAKRAPFNPE VGQFVADYLERSVYNPRRTYGMVFVDEAAEIRNPQTENAVAIARLMEKSGIVIAATAT PMVNGFKDYINICRVLRLPAVLKRGATDSDAPLGRSELLTSPANGLDLIQKHERYDFR TCTRDIKQAITAFDKLEKVAKDIQRSLRAAQGKNRKDHNLDKVDAAIQRLGQKGPQYA NELDFGDHVDLENRWRQYQMEIGEQCVSLIRRATQHWCIKRDHHSAGPDGLPLTDISD VDLQAIWIDLTDDQRKKYDQALRQTPDSDRNFVVKARMLLRNVHAVCNYYWDPQEPPT ASIQALIKHIKERLEEDKDKPDEEKRKFVVYVKWRCLVDFIQTCMAREGVYSSTMHGD CNTHQRSQLVKEFQHDQDHTATRLTEALDDNNYRVYPPEDASGRPLKGARVMIITDVG GTGVTLHRASVIYLFDPSWSDAEQRQIVGRVKRLGQTRPVEAFAFYVRDTCEERLYDA AIHKGEQSKAFLGQRIIDDLESTGYKDDSEMSDVSENDVSLLIEKDEAKRREKVDKAC WLPLTPEEMEAKKLAADQKKDRARKARVENRKAKALSRKEEIERRKENLKTRHKPKKV DKSGQVDELSDDDDVSKDPFEPPQYLQDLFDEYAENSESIEDAIEDLDWKEVERCIIT HSLNIFRTRFLKMIIGSPEEKAQINDELRVLEAIVADENELWDKVATPHTWLAGILGI NGEVNVPRLIHPPCRAIAQSISLDDAQFTEPVDDADWCGSLEKWCEQLLPHPASLVKK THLDQLFGFRLSPTLSNILISTLGAHPVLDDDGDGKDTFVSQEELHFNLYCRRLRALT KLALQHCRFDLRGKKGRIPDWLALCTFCIESIPEDNIGYFNLVPSATLGKYLSDQGQI CLPSIFNDSALTDNRNYPTEVVQRLMKGGDDKYVITSADSVKN
I206_02244	MTSLESKIHSSIGSPSSPIEILLINPNSTKAFTLDLVPVLKKSI PSDVLIDFLTAPESAPRSINDNHDCALSTTVCEEYLNLNNPALTFLEGYSCIIVACFS QHPLVDSLKRAVKGQSRPAVILGILDAAIYSAMGLGGKFGIVTTGQQWEPLFDEAIAS MGLSSRYSGTKGTGFDAVSLHGSEVSDIMIKASIELVKQGAEVIILGCGGMSNMRSTL ERDLCEKVGRRIPVIDGVQAAVDLGIGYARMGIAPAF
I206_02245	MIIDYTPPTLSKSMRDDLRKYGEDIWAFRERTDGHPTARAVNRY QGQTRGFEYLTKPLLLTPRSLLDTPFGDSLPSTIHFISYPAPRADMILSEILNLQKQL DWDPIIVWEPEEESLEIMERLANDIDIIGPNHNEVLRLFKPAIPSTPTETDLKSIYHD LCIRIANLRPKIGVVIRCGHLGCCYSPTAPKGSVPEVRWIPAYWNVHREGWNQEKVVD PTGAGNAFMGGLAAALDSGKGLDEAVIWGSVAASFTIEQDGLPILTVKNDKELWNGQD PLERVMIMKKD
I206_02246	MSDSGGPTGDDEIGLPKATVFKLIQEMLPEDIACTKEAKDIIVD CCVEWVKLISTQSNTVCDESSKKTISPEHVIEALKQLGFEDYIPEVEESNKDFKQSQK ERTRTQPDTNGMTQEELLALQERLFASSQARYEAGQ
I206_02247	MANSTLRGTKSIHGGNPQYLIEKVIRARIYDSLYWKEQCFALTA ESIIDKAIALKSIGGVTDRNTPTPFISLTLKLLQLQPEKEILIEYLLAEEFKYLRALS AFYIRLTFRSLEVYEILEPLMKDYRKLRLAHSGGYTLTYFDEFIDELLTQERVCDIIL PRLTGRSVLEETEGLEPRKSLLEEEEEENQREIYSNNSSPRSRYKSRSPSKTPISSPD LRNGSSRGRSKSISSIGSNQSRYISRSPSRSIGSGSDGEEGDTRQRYISRSPSLSPDR MVIDDDDDEKLDGDV
I206_02248	MSGFAGFGQNNNTGSSSGAFSFGAKPAGSNPPAGGSLFGQPAAT TSQPATSLFGGNSTQPSSGGAFGGGTTAPPGGSLFGGGVGGSTTPAPAAGGSLFGAKP ASSTPGTSTPSFSFGGTTNTSTAPAPAASATGGSLFGGFGNSAPASGSTTPATKPAFG GFGSTTPAAAPPASTSTGGGLFGSKPAAPGGSLFGGASGSTTQAASTPSAPASSGLFG AKPAEPTAPGTPATGGLFGAKPAETTTPAAAPPAGGFSFGNKPAAPASTTTPSSSLFG SAPASTSTDANKPAAPAGGSLFSGFGSKPAEPAKTDSTAPSSTAPGSLFGGFGAAKPT EPPKPAEAPKPAGGFSFGNLGAPKTDSPTTTPSAAPEAPKTGGFSFGNLGGGSTTPAA PAADKGKEPAKEAPKTGGFSFGNLGGSSTPAAPATTDKPAEAPKTGGFSFGNLGAGST ASKPADSTAAPAAAPATAGSGSSLFGSAPKPADSTSSTTAPASTTLFGAKPAATAAST STPAAGTSTTTAPAPATTTSSEPAPNLLRGKTLEDIVNGWNKDLDAQVKEFEKQAGEV REWDKVLVRNGNQITALHRQVHEAQQNQSAVDQALDYIEAQQKQLESILAVYEKEIGK LSNDSTRPLAAKLPADRERDKSYTLAEDLNKQLDDLSRNLGQMIEEVNKLSHSSNGSS GSGGLNVSINGNSPSASSVEAASQIPDDPINQLSAILGAHLRALNSIDSNAGKLEGKV GELENRMGGSGSQLNERRGWGLARR
I206_02249	MSGIAPVNPKPFLQDLTGKTVYVKLKWGLEYKGYLVSTDGYMNL QLANTEEIENGKSNGALGEVFIRCNNVLYIREAKDKVRDD
I206_02250	MSKSFSILGKNLKANTADDLQPYLSELEAMEDVEEVHFGANSLG VEACEAIAKVLKNKKNLKIVDLADIFTGRLISEIPQALSALCNALSDSTSLVELDLSD NAFGGRCADAMVPFLENNTNFSIFKLNNNGLGPIGGSIIAKALIKNGEKCKLENKKES NLQILVCGRNRLENGSSKDWKLAFENHLNLKEIKMPQNGIRMEGIKNLVEGLSNCKKL ELLDLQDNTATKKGTRAIVKSLKNWKNLKHLNLSDCLLGKTGGISLTTCLSNGSNPNL QILKLQYNEMDKKSIEILSIAITQHLKDLKELELNGNRFNEDDECVEELKKALEIIGQ EDALDELDDMEEPESGDEEDESAEEDEDEDEEEEKDEDAVDKGADGSNALPPVTEKQT DE
I206_02251	MDEDEVASILGESSLNLATSARPTVPQRGENRAFDRYFDESTRH HDPSEVDFQELRRAAWATWKINPPAALSGNTRENDNDDDELARVLALSVQEHFSRPTS RQPSVGIVDDEEDEDLKRAIAMSQEEARAPKRRKREETPEEERKMLAEAMAASLAETG STSAVSSTNPTESSSLSTNEQSEVAKSATPMQETESVPTPGLRIGGQIIDRAQLERER RERQAARQAASTPASDTPTPNASSANTRPQAGPSRIAGMSSISSGPTAGPSTPRANSS PKHPLQSEGSFPSDAAGEYYPYGELRHVALTIAEPTTERTFSPKQVIGTHSQISLIIM SSFVIDDQWIMDKGILPPPEDVPTIVVRPHPKDKQEYNGKIQSYPNGEIWVYPKMINY HGSAHMKYFWIFYKTGRLRIVISTANMVDYDWAMIENTIFVQDFLPLPTPRPLRPDND THDFPLQFAQLFKHTRIHTALRSMLHTHPKASEINFKPDDDFAAMGKYDWSKVKVRLV LSIPGTYSGHDEIAKYGIARLGKVLSEEGWVPKSGEKLDVEYQGSSLGEFKIDWFDKF NSFLHGKTSRDLMNRPKPVEWPNIRILFPTLANVEQTILGKAGGGTMFCGKAFKEHIR HLFRDSRSKRGGVLMHTKMLVATFEPQENRLGVEKSTPMKSVKRKADEMKDDVGGWVY VGSHNFSIAAWGTVDVKKNPPTCTIKNYEIGIVFPLERGTARAIADKIAPYKRPAVRY TAGDVPWDQYAHRE
I206_02252	MNLAKGRQSPGHNVVVGRNGSGKSNFFSAIRFVLSDQYTKMNRE ERQRLLHEGTSKTTTLSAYVEIIFDNSDARFPTGRNELVLRRTIGLKKDEYSLDRKSA SRSEVDQLLEAAGFSKSNPYYIVPQGRITHLTTMNDKERLRLLKDVAGTEVYEQKRAE STRLMEETDAKRDKIAEILGTIEERLNELDEEKQDLKEYQEKDRERRCLEYALHSRDL EDVTAALDEVETERRNDIHNSNTKRKEFNEREEEVQKHEESLTTAKHALSTTQLALRQ YEAEMADLVRARTEIECVIADFTTAGEAGEQRRAEVAEELRTLEERIEEASERLDGLI TDANSKIADERQARETLESTQSKLAVLFAKQGRAQQFPTKAARDQYLRGEINSLTEYE TDQQRRVDSLREVVENAKEQLSEAVARSAQRGREEDERRGNLRRMAEEVSALKGNLDG MQERRKELWREDGKLGQTVSNARSELDSAERAILGMMDKDTSNGLRAVRSIAKRLKLD GVYGPLYELFEVSDRYKTAVEVTAGNSLFHVVVDNDDTVTRLLDVMNREKSGRVTFMP LNRLKSQSFNYPKANDALPMIQKLQFDRQYVMAFEQVFGRTIICEDLQTAAQYTRSHN LNAVTIEGDRVDRKGALTGGYHDVRRSRLDAIKASKKWRTTYENDSTRHAEVKEGLTK LEQDISRTMGQIQVIEAKRKQSLDARGVSSTQSRDVTVRDEEGAKSRVSKLEQNLEDA EGELRDAKSKRASYEEELRSPMRQRLTDAEVTQLQGLTQDAEVQKKELLEATQARTKV IGERNGLEIELTESLRRRREELRSRLDQMEGDAGSGVLQSGEVELRNTELRNLIRSIE DLSAQVTGIENRIEELTTEIAQITEDLDKVQSEQLENTRAIMKVQKNAERYLTKRQTL INRREECNNKIRDLGVLPEEAFSKYTDQRSDKIVKRLHKVQESLKKYAHVNKKAFEQY NSFTKQRDDLLARREELDESAEKIQELIVTLDQRKDEAIERTFKQVSKYFEEVFEKLV PAGQGQLVMQKRIAGYVDEESEESGMTGREKSEIDNYTGVSIRVSFNSKADEGQRIQQ LSGGQKSLVALALVFSIQKCDPAPFYLFDEIDANLDAQYRTAVASMIHDLSSNAQFIT TTFKPEMLVQADKFYGVVFDSQKVSSITVIDREAASDFVETAAQVGQI
I206_02253	MSSHSINTRSNSSDPTTKSYFNSPKIKKLSPGSGLVHLSSSSSS SSQSSNEIKAKFSTLLSSYPLKLLTPKLLPSQPLNLGILYTLSYGGGLVSGDLISLKI EIDKGCGLVLLTQGSTKIFKKRRSKKLYNYNNTNKEDQNDNKEEEEDITKQRMFINLN SNSFLLLLPDSISPFKFSKYSQIQRFKLSSDNSSSLLILDWINSGRGGGGGGNDKNEE IWEMDFYNSINEIFLGNKIIMREKMLLDNSNSNSKIKQEEGLSKIANSLKPYNVYGTV LFTGPHLIKLMNLLKVRSDEFRQFQIKQPQDLIWSFSEINSEFGAGIIRVAAKEIESA RDWLRETFTQGGVGDLVGEAIWPRCI
I206_02254	MASRHQDLPVILHNDKRGLLTVEDDKLDVLQLSKDGRPPKRLLS CPLRNFLFAKLAASSTSSPDKLGDNRRLDLHSLGGSGGLKNDTSSLKLVKLHVLVEPV NAPEAEEWVETIMSSSYRGIKRSKRVLLLVNPVGGKGKAKSIVHDTVLPILQSAGCQV DLRETTHRLHAEEIAASVELKYDVIATASGDGLVYEVLNGLASRPDARKALKIPIAPI PTGSANAVCTNLFGVKDTFNIPLATLNIIKGQILPIDLCSVLLLPSLTRRFCFLSTAL GLMVDLDIGTENLRWMGDTRFMYGFIKGIATMKTCKAKIQLNVVEDDKVSMARKARDK VRERKGSKILGAGINPLVNKMNGINIAEESVEGNNSVVITDHETTSVGSQDGEQQPSK VTNGNGTTTRKDDYVPDHGPIPESKPLQPDPNWVTLDTSGASSGSAPKRSSVGSLRSN RTMTLENGHSSEIQQVPKSGQWVDGEGMLYFYAGMMPWVARDLMQWPVSISGDGLIDV VVQSVVPRMMMANAITGAEKGEAYWMDCQHYYKVSEFIVQNLDKAGQPIFTIDGESFQ WDEFHVQVHPRIANLQSLNGGFYVSDFLQKHDDKV
I206_02255	MGILDSLKKKSSSFQPTTHLSEVEPTSYSSRYSSAPTSRCTSPI GRTRSNELSSIISRSIIYPTPTPLSSETNRSVRGYLEINSFGIGTQSKDEEAKINKTV PEGFKAFLEEVKMKNPNYREKSLDILKPISTDDKFDIFMRRKRNSFIDK
I206_02256	MSTQQSHAVDPQGQSVVPQAVQQKVPEGVERSLPDSLHNTDPAK DESNVSHATGPSKVPEGIQKIAPQALENALPESIHPTNCE
I206_02257	MSTENTTIKSPFLVPPIPPRKQVPSQNPRLNTDNLSTTYNNFDR PHSADSRPTPPPLQLHRRAISSISSLPVIPSASLEPSFMSYQWPPPGYDSQPYSHAVA RPISNSDEKDPLSLGVGGGQGVLDLMGMHQAGLSASAPPSVTHNNAGSGYSDWQYPGS FTYRPSLNVDTTNSSFVTPRTTGQFPLNSAYSSNPPSGLPTDSASTDGEIITPGLSIP FQNGYTNSLPSNGDMGNYYHINDSSSMPQNNFHYLPSQSSYQPAPQLYQPQSQGTISP SQLGTTQNLKPTKSFSDLLMGSRASSSSSSSAEGQHDWSGNVLDDWTRPLGKALNNND PQSSIPTMDYSNLAGPSRSAMNPSPGVQLSAPSAGALDEAMRQYVHTTNRLAYGERKI VVMSPKVGQKSYGTEKRFLCPHPQATLIGGAWWNKAQDGCPVSPLVAPRVNISLTGEQ PVKDAAVSWTGVGGNNLDEKINTTAINVNDQPFVGNVAGKNLHISDNDGKRREVKALV TIKAPLKVFAGPNGWGLTKNTLKDINDERTLGVFESKEIKVISKPSKKKSSAKAGEMI IAHGSTIALFNRVKSQTTSTRYLSVVPDFTRIRGSDGLPVTGAQTPVYPNRRSAFSGF TADANNWESFIIWLVDPNRPGGPSHAPPPHPDWPSPPANVISPSMLVPPIRYNSTVVL QSLQTGVISPVLIVRRIESDADAVGMDGHNVDAPTSLPMGEYAGDLVSQLQKVAFELY RIDTIERLTNDPRYGGLWLACTQEAVSEQYVVQDRKWANVPTPHRGGSRPNSVPNTPQ QRFGVLPMTPHTNNTNLPSTPSSPVSSNSSSNVDYFGAHSRKSSSHSIMSPNHSELPL PPPTSTDGGPVRRHRTGSIPKNGHAPFARPLQKKRGSSSGSLEYLPSPTMTASPENHR MQWTMDVGDICIWSIVSTEQTTYTFYVPPYATDPVEPYAPFPIANRMLPSHLSADHTL SRLNQQYTSSTEAPLMTLYGKNFVRAPDGHAHHVIYYGTSPANYNEVRCQEVMAAAEP NLPPGTRTPIFIVREDGGVIIPTNLTYPPM
I206_02258	MLLSTLILILLPILTSAQVDKKPQSIRNCLSSGNEEPINQAFKK GGQGTTVTLCSGSVHRLNSSILFTAEKQILTTENDEKGLNRALLIVEGVDQAAAIRAD CQQCSHAIIKSLIIDGNRPQLLRIPKGDALIEIGNAEYQIVKDCRLYEPRGWSALHFR EGDRKQCRYGKVINNEIGPCGEEWDDDYDGENELEPPFGNPRSDGISLACKDSIVERN IVYDTTDGAIVLFGSAGSEVRNNHIYSRTRVVLGGINLVDYDPWQGDYMLVNVHHNHL HAFGRYFKVGIVIGPSSWSDDTESTVHSASVTDNFLEGEHFGYGIVVSSATSFTVLRN TIGENSKFRGVNGVRCPKAPENGKPTAFLINRGSAKGTFQDDFVNGEVQHIICLDMPD ENGQSYRPWRFRDSSAAIAAKAASGDASSDPMVQAAFDARIAEALVSYQWALTSAMDV INDKIERFTNPINEDDFKLPTYKHEHEIVEKPKKIASSGNRDIDELSNKIELLEQSGR KLKKNFDGLKVDFEGLNGRLKKSADDNKPLIESIFTQIQSFLSGSTPLTSSKQILSQR ENNNNINSTFGSNGFGLGFIDYIPFGIYGLIFGSAIILFIVSNWIKKLKKGNIKLNKV F
I206_02259	MAVSNEAGPSKGSNNIPNVVIPVQPSKIAKNSRLPATMVNPSGE ITKSQKKNSKNKQISKKQKARSDKGKERAVELSEKLGNRVKEREEKKAKRQRAKKAWE 
I206_02260	MNIGMALHRSNLNGRVRTKTVKRASRVLIEKYYPRLTLDFHTNK RLLDEVASVPSKRLRNKVAGFTTHLMKRIQKGPVRGISFKLQEEERERKDQYVPDVSA LAANADAPLEVDNETKDLLKSLGFDDLNVNVISVTANAPRERKTRFVPGAGRA
I206_02261	MKVPPSPPQDATKFCEFVTASPTPFQAVENLTSKLEKNGFKRIS EKNIDLNSFKSGSKLFYTRNQSSLVAFTLPNKISSENKKKNNTSISFAVGHLDSPCLK IRPISKKSKLGYLQVGCELYGGGIWSTWFDRDLSIAGRVIISNNTNNNQGESKYISKL VKIDRPLLRIPTLAIHLDRTINEAFKFNKETEFLPILGLVSDQLNNSNSTGNGNGNGI SRSGTPQAFRSGTNTPSKSENENDISKMESKHHPLLLAVLADELGCEVSDIQDFELSL YDTQPSTVGGLSNEFVFSPRCDNLMTSFCTIEGLIEAADNGGNEDTIRCVILFDNEEV GSVSHHGAESNLLPSFVERITQLPEYQNIGYHNLLANSFLVSADMGHAVNPNYENKYE PNHSPYINGGIVIKTNANQRYTSNAQTTFLLRRVAKKAGVPVQEFEIRNDSTCGSTVG PHLSTHVRTVDIGLAQLSMHSIRETAGSHDVRYYIDFFKTYFDSLREIDLDLTVD
I206_02262	MASLTDGQVTTLQQSEDYAIKSEAVTPKLDTSQWPLLLKNYDKL LVRSSHFTPIPTGVSPLKRDLQTYVKSGVINLDKPSNPSSHEVVAWLKRILRVEKTGH SGTLDPKVTGCLIVCIDRATRLVKSQQGAGKEYVCVVRFHDKVVNGEKAVSRALETLT GALFQRPPLISAVKRQLRVRTIYESKLIEYDEKRNMGVFWVSCEAGTYIRTLCVHLGL LLGVGAHMQELRRVRSGITGENDDIVSMHDVLDAQWLFDNTRDESYLRRVIRPLESLL TNFKRIVVKDSAVNAVCYGAKLMIPGLLRYESDIEVNEEVVLMTTKGEAIAIGISMMS TVDLASCDHGVVAKVKRCIMNRDLYPRRWGLGPKAQEKKKMIKKGELDKYGKSIEGVT PQNWKKDYIDYNATNEENVPSTLPTQPQTQATITPIVETEDKKRKRDGESEIAATPSK GDGDKEKKKKKVKTEDGAEREETAEERAARKAAKKEKKEKKAAA
I206_02263	MNEQKISNTNANENTYINSEPITTEPIKGIKKPVIKPFIDKPPN GEGTRNKPLGSEELGNPIGCGPDHFGKWLMACSRC
I206_02264	MSRQPPVDLQMSSMKNPSPLATTPVSSSGVGGGFGQSGGFTPVF ETSPQVSPANSRRPSISGIQAPVPRRLSEVASGRPSFSSQQATPQTQQPGMQRQVSYF SGGDGNPPPGTGGSVVGGYGGASLSRRGSTASHMSSGPRPIQRQDYSGPTTPSLLSRA GSPTLPLGNDHTQAPRAYFEGSGGFSGLDGNRELRQGQFIGSLDCGTTSTRFIIFDKR AKIIAEHQTEFEQILPHAGWHEHDPEALVDAMTECINKSVEKLEWMGWSRKSIKGIGI TNQRETTVCWSRKTGKPLCNAIVWDDSRTLGVVREYEKKLEEEGLDIDDEEEDLHGVP EDVEIGTGGEEAATGIPLSTYFSAIKLRWMLDHNRDVHEAHEDDDLMFGTVDTWLVYA LTGREQGGLHIMDVTNASRTLLISLKTLQWHPPLLRFFGIKPSVLPKIVSSSEIYGTI SERCETSLTSVPIAGIVGDQQAALVGNKCLRKGEAKCTYGTGAFVLFNTGEECVRSNY GLISTVAFQAGPDAKPVYALEGSIAVAGSAIKWLRDQINMIEESSDMDILAGSVSDTG GVYFVTAFSGLLAPYWDREASGTIIGLTSYTTSAHIARATLEAVCFQSRAVLDVIEKE SNTKCETLKVDGGVTNSDLAMQLQANIGGFNVARPAMRESTALGSALLAASALKLFGW DLSKPETLADVNTAGVHIFEPELPEKERVRAIKGWEKAVNRARKWHEEGDEEEEEERY EEERGLSRLPSREH
I206_02265	MAPRTSRSPAPYGVSPKHKWSKSLPAHNNHSSLPTSFPLSSVGM IPHSVPRPIRRPKKILYLMVIFFLLYWFGIRHGLGIERIPPPPLGFAVKGGRRGRRSS LFWGDKGTATLLPSREGTKSEHPIYELMERAETRWTNLLSSQSKTLQQAVSEYRKRYR ISPPAGFEAWFEFCQKNNIKIIDEYDQLMRDLLPHHALSPATFIARSKALEGTGHTYT LDVSKQGVALSGERASAARPKHLQGLIGGFVDALPEGFYLRITGSDHDTGSVVLGKDQ RDRAMSLTREGNYFDETELKALEDPNRTPAWGWFKACPLDSPANIRPGAENATDAVLP KSFIFNHLPTMDFCDYPELKRLHGAMALDYAHRSPSILKPVLVLSKFPGDSSFQTTPM EAYMNITENDKAFLGSWEDKTDNRLFWRGSTTGGYGGQRDWKESHRMRLHLMINGPKG GDTWWNQQLREVMVPDGEGSYKVVRRWERVLSKAYADVKLSGQPVQCPNAEICQEVSN TIEFGEKVWPDQAAAFKYNLDVDGNGWSSRFHRLLSSGSPVIKFTMFPEWHMDWLTPW YHYIPLKPDYSDLYDIMAFFVGPVDEAGNIDNSKGHDYLAKKIGEAGQKFALEHWSWV DMQAYTFRLLLELQRLHSLDREVMTYKDPEPSKQG
I206_02266	MQDQTLRLRGGGCFGWLGRRRRNKVTQKPIPPSPSLRSTTSLAP DLTTKPAYVNSATTKRDVTDSSTGTYNGNPAMIPIIIGPPDVGNAGHHGAHGHGSDIG AGATSSSGLGGHGGHHSAGASACGGGSSGGGGGTSGCGGGSSGGGGGGGGCGGGGGGG GGGD
I206_02267	MQWRDIIAKLKSRPTYPLSGNGKAEPDDTLRLRGGCMSSKHKRR RGRSGGQAASGGNCGGGGGGGGGGGCGGGGGGC
I206_02268	MTSSKLISKVFGHKDKQNIKAKAGSQSQAKPSRFRGGWGIVLTR SALASLVIDPTSKHYNPNYGSGFEMWGNTTGNRLDTKNRSTSTTRAPQVQPQVIHHHH HYHPEPAHSPPQYTYRGYGGGASQGFEHYGSDRKAQGQR
I206_02270	MSNLFQAKLAQTLNNPMPYQQHRPIPPPAVSTASNSNNGGPFVP PPAPASSWNTPPPGTQFLFSSSPQPKSFQKGFLSSSSSNNNNNTSNGGLKKDSGFLPT TIPERDERSSNYQYENDEVNRPYHASVLPVKASPKETNGFVDDAFRPIWDGREKDQWS GFKQKGT
I206_02271	MLLFDGPLLALGNILFLGGLPLIIGPTKTFYFFSRKEKWRGTLC FFGGILLVFFKRPIIGIIIEFVGFIGLFGSFFPVILQALRQTPFIGTFLSLPYIRGAA DKLAGVRQSAV
I206_02272	MSIPDNPFQAPTTPQAPVLQIHTDNASPTSPSPDAEHVLSSPYS HTSSPGPIDGSPHSGHISPPGQTTTSTPGGLAPPDSGIKNRRRVQWTSDSHIVQLHPI QPVPSSPHQLDEGNIDQFRDALEAHRNGSIKRTRPPSQLSRQSSADGSETRGGTEDED YDYRLDVDPPLDRVTSAGSNPEEIEDNGMNEHVPTYIDPGERDGLPNIPQMSTQDEDH RDAAKDLVRAHTGKWGVLRRRVKTSGNINRAFGAGRLGATSSTTADPEKQDQDSRASQ EAFAARYPEPRRHSLAASAAANGRGGAPAMPGGASVLSSLLALYGQQDGMQSGTTSAA SSRPNSDDEGSSEDEAARRRSGEHHKGGKGFLGLGKKSASSSNSNGTPANEVAIHDEP HRASISAEATGEPLAPQPSRYSNGERPPPSPGLSGLFQRTKEQLQYKRPEAAKSGAGV FGALIQNTANLSGAATPAASALAPAAKRPGYQLNRYSAPNLEAEEGIKNWRPPSRPQS RAGSRGGSRPASVHSSTAVSQNGDSPSDDFSFKKKAISSDDLVGMRERERERQHTDDS SLTLNSKFNSSTMTLGDVKQNKRPKSGVGHLKLDSLAAFPVKTFKEGGKQIKSAEKWL MSAAKTPLRTPPEKGGMDYFTRPLTEDERRRKEWEAEKKRRKKAREARKKQEIFIIQH VAAILARQQFLMKLARALMMFGSPSHRLETQIQATAKVLEINAQVVYMPGTMLISFGD DATHTSETKFLKQATGLDLGKLLATHHLYWNVVHDKMSVEQASKDLDVLMTTPVYYNW WQSLLIGAMCSAFITVISFYGSFVDALMAMPLGALLVGVQMLAARNDMFSNVFEIAIA TVISFVSAALASTEVFCYTALVSGGVVLILPGYIVLCGALELASRNITAGAVRIGYSV IYSLFLGFGISIGAVLYEKITHNDVLNASDYTCANTHGNAHWYQVTPSPYWYFLCCPG YAFFLSLRNQQPLWAKELPIMVVVAAAGWSSNHFSSLAFPGRSDMTSAIGSFVVGTLG NLYGRISNGSSFPVTVTGILFQLPSGLSNGGIFNFAAESSDGSSTAYSSGFSVAQQLV SVAIGLTVGLFVSAAVTHPFGGGRRRGAGIFSF
I206_02273	MILLSSIDNQPLTPPLASPRSHFVSGTERLRRYISFDSSAASQL YFPPPVPNLELHSAAASGNVGLVHYALTHGQPVNSVLHGVLPLHAACSGGSVSVVRML IENGADVNAPRLPRRYSDGKRGTAPSVGTAGSTPLHFAAANGHAPVVQMLLASGADAN KPDKNGNTPEDLATINGHEDVVHVLHVYHHMQHQDALNDPAHSDRAEPSSPSGSTSHL PEDEDSSIHTRHTWLGSRKGKERAFSLASTTSDNAFNVKKSLEGLIRRGSKLSVGDTA SEHRSIYESPRSEGSGSRPDVIPRTSTISDISSINDSDQLQSPVDLNLPGSPSPQKGN HKPLISKDDDTSGQGSPVSLSRVTSGQSSQSNGTSIVLGSPPNSAGLPPPPPRSRLNS TSSHRPTLPSILEKAVHPGQAFRAAMRYHHDKDQKGRSSPDLSNDGHQNEHESISHGG GFFRGRRKSHDTDNNSTKKHKHGLKGLFRRGAQSPPSRSPSPPMKSDNSRPLGAEEIE EGIERLKRASLDLERQNQQPDTASQPLRPPYSAPVTKTKFFPDSPGLPPRLQQRASDT SSSSTSTPGQSYFNRPRTGSEVIAPSPLANEWAHDDDSDSSAPRAGIRRVKTEIIKSA ISSSPLSPDPEASSSRASSPGHSRRRSATHSGGLPSPIFSTMTKSLNPPRIAGLGWED EVDLRKVAASGLIKRESQRRQAEVDSEFDAEDDVYHDALSPEVELDDTRVDIQKSAED EVENDTTTTLPSGDIANDSAPTAATGNGREDIGRQRGASIGSFTTDSSRLSTPSASFR MSTISDNPDQRNINNTTTGGTMISDSDDGRPRGKSVSSIASTTSGIGYSYTQSTSTPP TSLTTPSALSLALIGGNSGFPPVPEDEVASVTSAPMNRRTISSHAEAKEAVKRNENDI LQLAQLPASLDSSRSLAEQLAAYGQNYAIERQFAEIERRSSGLTPRSEDGESFFSAQS SNRSGGSSKSSDRGARQLGTAGAMQSRALSNHLVPASSVDAPRSSLPSINNIYDKRAD AYRKHMAALSAAQQPLLPPSSTRHAHKRARQRAVSAQEMWLTAGPSNQQSQDNSRPTS FTANSIMSYKTARDDEEGDLHPHISGPLPIISNPVTGQRPRKSSFGSINSATTHNHGR SHMAPSRKYHPGGSTSNNPIMDNLSARYQGLQQPSMGPPSVPRSLSNPTTSMGVSPYV SIFSNRFQPKVPLNDDDSDDENDNNREYTVIENDWRGGHIIRPDELGLSTAGGNNEKK KWSLKKLSRK
I206_02274	MIDELTETWTEKPLTENEYSPSSPASSSSSNGGYQYDHKPITKE KRQRKEMKRLTSKSPYPTEFRERQGSESEQSIGPYDNQQSTKPRMGFFSKLFSCSCFE FDDSDPVARYRPKHEAIFGKNPVYKNHQIQPQNENQAKMIFSEKQAPNSFAGGTTGGS GTGGGYS
I206_02275	MSEQRVEVQPPASNGMRLVRQSTDDRTPDDVSIFSFSEDTKAGW CGCFGSSNKEEKPPPRPSPREKQNMQHIQNISQSQYRTVEKPSPTYHNTSQSPPTNQQ RYANSAFQPYAGTTSHNPQQQRTDTY
I206_02276	MKKKNEIQWDQYDLTLLFNLFADLDNTGPNDDTEYNGDSGTGYP QCHGHSGGCYDSSGGVSASENCGGSGGTSGCSSSACGGGGCGGGG
I206_02277	MSTQAGLRQRAPSPAAGQVEKDQLLREAEDAEITEGQKFVVPNF TVKQLLDAIPAHCFHRSAIHSSLYVVQDFVLLGLLAYGAYSIDPFLAKYNLSPAAYHA ARISLNSLYVFAAGLVGTGVWIIAHECGHQGYSSSKTINNTVGWFLHSALLVPYHSWR ISHGRHHAATGHLTRDEVFVPPTRKERGVPEMKEEGEVLGLNVSEERQSELAELLEDA PIAVFFNLFIRQLVGWPAYLAVNASGQRHYPKWTNHFTPSSIIFKASHFGQIVWSDIG VVLTLAALSYWTYMRGFSEVLIMYILPYLFVNNWLVFITYLQHTDPALPHYSSNEWTF PRGALATIDRTFMGPIGGWILHGICETHVAHHISSKIPHYNAWEATEALKKFLGPHYQ RSEENMFVSCYKSYKECIYIEDNQDIVFYKNARGLAQKVAVNESGNISDSGVDMTESK 
I206_02278	MLNSSVRLCKCCTKVFAQKPATFISHLPAIRSTPLSSLGPTSTN RNLRFSSSSSASPKRPSPYRLIQPHSFLSEFAPLHISGWRLDSVVEQNRLINPISNID DAPRGGSEGGDLQDRRLIRVFLMGDGKEGWRDTMKFVAQVGKIVEQEDHHPTIRIMPS SDYIPSSTSLDISTQKIGYIVEISTHTHTPLPPYPISTKDKSQKMRPGITGKDIKLAE RLEKAWKEVMGGKETIEMKKE
I206_02279	MSGYWRYEIHWVDDKFETTFISTTRKFESIPSSFFFFPEVVHDF IRAGAHHQAVTALHYGYCYFKDGTTRGLYKNWEEYRSSFPPDMVNPDPNSTLINVYLG KEVNLRKLYERDNKIGGKAEPSELLASNSIERPAKPMEEEENSSDTQDNSPIEPISYT NLEDDGDRCTSKVPITQKLGSDTTDAHSPQTEIKPPCARQRPIKVDDHRGLYRRAPFL EMFKTDDVKVSKNDGNQVGELKQIQKSSVFEHLEKSTIDSTLGVEKSSLPNISRVARV SAGDTPEASPELAQISLPNIWSMSPEEHRWNFYADSHGKAAEEEQLNVKQVKDRLEAR KHKPSGGIRSSPDPHTAPVVPEVAKVIATVNDDHLVEQSCSPTDTTNKPIGILLSPEH VNTYRISTYGFKLEETFDSQSATPSHDTVKADSESDLESRGIKALPPIPTKQLPTPPF VSNADDDVPSAEVEVLARPQLERVTSRDYLDDLVDGSGNTTASNRLLRIMQAENVSPA SSLGLKLLDLAQALRVDGELAPSKPEPQIDNTTSKKVDVIENRLNDFADMLNHIAETV GVNFERSSTAPSSPSFSASSGDNAEEVDSTAATPGESPPLLDLSQLAVSSRQVNEKLD AITSPRDSLAESSSSSTHQSPVISDLAGLVKKAQKLEEEEDELSQTSTVENDINIQAA SAHVVEGRALPDSAKSGPHLRSPQDRSASTHPLYPFPPQEKRWIRPISPFAIPPQFGY SYKPFNDRTAAVSTQTYTENLHNHMMNPMPMPPSTGYATYYPNNMNQWRHLPGTQQSE LSGGFSQYSQANPYNGIQYQNSIFNHHTNEPGPAGGMFGNFPTTQARVQQIGNIWERF QGSSKWPIDR
I206_02280	MSLNQRKQERDFSAEVKALQPEVEQLAKNGKLQEAVDKISLLEK QTRNAADMTSTANLLTLLTRLVWEQSDLDLLNSQLTILSKKHGQLKEAVVRMVDEAMN WLPTLKTQKDQGKFKNGTDRWLELVKTLRDITEGKIYLELPRARLTVMLASYHEQLSE NAPKEASYHLDVAADLMSDIQVETYSSMDKREKTEFILEQMRLESLRGNWVKVRVGSR KINRVYLKEKDSQDIKLRYYDLMVQLALQDDEYLEACSAYQEVWDTEEVKADPAKELN VLENIMIYVVLAPYNNEQSDMLHKLYADPALQKAPQHFDLVKCFVTKELMRWSGIEQL YGPTLRQSPIFASGKKLEEIENPGQIRWEELHKRVTEHNIRVIATYYSRITLKRLEQL LDLNSTQTEKTLCKLVTDKTIYARIDRPKGIINFKIKNFKNSNEILNDWSSDINKMLG LVEKTSHLISKEYAMHEASRGKKVIA
I206_02281	MRRIPSQVPQAVSRLLQGNVIQQPPTWYIPVLSNPPPVLPARQT VQRNRPINDSIEERDSSDLAYIPKGELERRDKLRKFKTRKNKPERIVYLRDKIRRQFF KDFAFEALRPISLIENQEITENNKIDGENWIKLEQRGLYPTVEDTISFVINIQKTRSI PISDAYAIATREFIKLRARHEQSTIAAEIEARYYGAEFKPDAFERQFNLEEKSLSTLI NSSNRQSFSNSNSENSSKSKFKKLPRWQWSNSLSPSTLGNLNEFTNGLNYIENWKLPL PPKESILNQSELLSSIPIQSDQSTITTNSSSTSEESENDLAFLTSVLGKSRT
I206_02282	MDKQWKYHNVKQASRGVLLVEFNRPPVNAFNDSMWKELRSIIDE IATSPEIRVIVLSSTLDKVFTAGLDLNAQSELNSSALDPARKAIQLRDHVLDFQDAIS SLERCQIPVICAMYGTSVGLAIDLACACDIRIASQNTTFGIFEVNVGLAADIGTLQRL PKIVGNESKVKELALTGRKFDAPEAKEIGFLSDVINGGRGEVIAAALEMAKVIASKSP IAVIGTKHLLNHARDHTVEEGLKYTATWNASMLQSVDTITAMKAVMSKQIPNFPPIGE SKSPNSKAKL
I206_02283	MSSLLSERQKDELHKSLLSYLHSQGLHDSFEVLRRETDNEDFQT DDPKARWVGLLEKKWTSVIRLQKKIMDLESRNAALLAELASPNRASSSSSSSSAPFLP RAPARHTLTSHRAPITKVTFHPTWTVVASASEDSSVKIWDWESGEMERTLKGHTKSVN DVDFDSKGGFMVTCSSDLTIKIWDTSNEYTNVKTLHGHDHSVSSVRFTHDGEKLVSAS RDKTIKVWEVASGYCVKTFSGHSEWVRGVVPSDDGKWLVSCSNDQTSRIWDFSTGETK VELRGHEHVLECAIFAPINCYPAIRELAGLGNAPPGESRAKAPGAFVVTGSRDKTIKL WDASSGQCLRTFVGHDNWIRALVFHPTGKYLLSASDDKTIKIWDLTNGRCIKTIDAHG HFITSMTWGRALIGGSGTNLTNGDSVVKKKEGEDDGPRRINVLATGSVDQTVKVR
I206_02284	MASTSTRRIQKELSDLMNSPLPGIQVQPDEDNLQIWRIIINGPS NTPYHKGKFQLTADFSKEYPFKPPTLLFKTKMYHPNVDSDGNLCIGLLKTENWKPATK MSGVIQAIYDLIENPNPDDPLVSSIAEQYTSDKKGFEKKAAEYTSKYAT
I206_02285	MKVNFANPATGAQKLIDFEDERKTRVFLEKRMGQEVPIDSLGEE FAGYVVRVTGGNDKQGFPMKQGVLLQNRTRLLLADGHSCYRSRRDGERKRKSVRGCIV GNDIGVLAVAIVKQGEKDIPGLTDTVLPKRLGPKRATKIRKFFNLSKDDDVRKFVVRR EVTKKNGKTTTKAPKIQRLVTPLRLQRKRHLRSLKKRRTEAQKETVSDYKAALAKHAE EKKVHNAAVKAAKKARRSA
I206_02286	MHSKGKGMSASALPYRRSQPSWSKATPEEVSDQIFKLARRGLSP SQIGVVLRDSHGIPQVKNVTGNKILRILKTNGLAPSIPEDMYHLIKKAVSVRKHLERN RADKDGKFRMILIESRIHRLARYYIKTQQLPATFKYEAATASTLVA
I206_02287	MSINSHTSLPPPTPSSRSNFTTQTTERDFDSDLTQDLAPDLAFE LDGSGNVMNEEEAVHFCLLAEFDIDAGATLAHQYPYPTGTDEHRLAELMLPDGAHLRA EDWTIFYLGQTPSSAVAPVLSHESSMRISTESSATTENNRNSVLPLDRATRGVAGSGG GLLYVLNCVRMKEDKKMRRGAMVKAMAICTPNPYIGIYKPLLLLALEEYFMSPSPEIL ARLFDSANAISTAGMPRLTRYERILLRSSERKDLFEEKFGISEPMSGTQEMFEDLSSE PGHETIPDGNENSKFRDGSASGGTSSSATTTSLKSNHRKTLSSSSGVARMIRKGSASS QTHSPFHLATPPSKEGRLTPEIDKGRRKGVPRDTHFFETEARFKKITVPVRIPMTVFD EDVGDYSLIELVQTFSHNITPFPPPYHPHLHTNGSMTHPIILLLNAMLAHKRVMFLGH GLPANQVARMVLAACALGSGCGQVLRGVTSSAFPYANLASLDILEEFSGFVAGVTNPR FEELPTTWDVLCNLETGKITVSKNLQSSNPLTASSIGSTGGTINSSNVGSLRSGRSSE TSLGNSSMIKVEDDPSTTSGTPQAKMNSIAKSDCIDNQFMDEILSATTSHYGESNIRL RFIDYLNRFVRIASHQEYSQTGSTKIGYPSISFRDSNPNTLGSGVVFADEQGKQREMW ANVHRIEAWRKTRSYKLFAKDWQSRLKRRSVEFDIQHQIARLRLAKNMSDTEAEAIFS ALNNGIRNYDQVIELLTHLPTHWGGLMPIANGLFHRWIGVRETALELLITLQQYPVGK HAVYSMNYFHRKAFISLLEKREIKIKRQREKEIERIKQQEEDLLRHHHQYQKDSPSFN QNEWTSTINTESNHESSPNGTVLGDRKDITPQR
I206_02288	MANSSTEDLFAYRVNGNNASAGSSRSASSLNLYPPNNTSRPSSR KGLQKAYKDEEIIIVDGEDPSLNERGDVLFKAPDDDQEQDHDENNASIPLLSTSTTRR ELSEEEHELFLAEGPNSSARGTLIDAITNMANSIIGAGIIGLPYAVSQAGFFTGIFLL ISLAVISDWTIRLVILTSKLSGRDSYTETMYHCFGPAGAAAVSFFQFAFAFGGTAAFH VIIGDTIPRVISYIFPSLAQHAVMSLLVDRRIVIVICTLAVSFPLSLHRDIVKLSKSS SFALVSMTVIVLSVLFRSVAVDPSLRGSSLDTFTLIKPGVFQAIGVISFAYACHHNSN YIYKSIHVPTLDRFNKVTHISTGISLIACLLVAVSGYVVFTDKTEGNILNNFSSDDWV INIARFCFGANMSTTIPLEVYVCREVVEEYFFKNKPFSQKRHVIITCLVVFSTMGIAL TTCDLGIVLELAGGLSASALAFIFPASAYYVLSSGKWYSTNRLPAALVGSFGGIVLLL SCGQSIIDAFDSEKKGKVCT
I206_02289	MNPPISQICPKYQQRISKEYENNNEYPVSSPLENITSFFSSSPS TSSSSSKSRSTSTSQSIKEWIPKDPVVVGIISAAGATCITLGSITGYRRYWRRIRNAN SVTTGMLDRKTWIRGVVTSVGDGDNLRLYHTPGPFFRYPLKIRTIPSTTKELKDETIH IRIAGVDAPENAHFGQPAQPHAKESLDWLRTTIIGKKMKCQLLAKDQYNRIVAVPYIN RLLWFDKPLPLLMLKEGMAVVYEAGGAEYGPWGIEKMKSLEAQAKSSKKGLWALKKFE HPSDFKARMKRAEEVRVPSTKSTKSRSLLGRLWGMVRGK
I206_02290	MEVTNFFTRLLQTSKEAKTVNSPDDLEEFDDAWQGIKETLEQPD ERQLVRGISSTQVPNQLRHIVDALAYESNRTDEDTTGACLEYFLKNDLLGQLERLCEP DRPYGIKAEVLRAINNLIVSLSERFLVHNAVHRPLRRLLRSCIGEEPEEKVDGKARVV GAAGMDPDLNRRGSNEDIEEDLVGLMCTLASRMVAYPPLLLIFFHDKGWLQPHPMSTT TMVERALSPAPSGQTGISGPSSKVSTHHFEFLLFSYLLRFAHREGQIGDPARAGLLFL FDIAFLPSSEIGTGSPQIDNTNVSDPLQDARNALGEFILDGDFAEVMAAGLGAIYSLL PSKLYVPTLAELAELDKENVGTTNGGMFLGTSSRRQDTQVPYSTDSEVRDQLDLILQL FGFLQDIIHRCTSAIYNTDNDLQGVSATHLLGSAIAESTIDAIHSSFLDNVLYPSILE CSNHDGSSVAVLTYLDVLLSNLDEGPLLSRLMSFLMDNEPNDNHQIFQNRGKTKKKTG AMEFIFQTDYTATYYADEGRFTLKDLILDNLHSSNPPSVTAALCLLRALFSNHCFLSV KDLLSVIPDPTATELAKCAIPSNTGATSNTFLPNTANSSDVHLQEVDLYSSLLAGLDP SISSAEVTAGYAIYLTDMTALIEADRCFRSATTPVSFLNEMEKNILRSEMLEGVSHHR LNPSDKLIQTILYMLSNFLGNDPDENVALTGVITIIALCPTRSLAGWILYDKKPEEDP WKQQNKKSYESLSNFDSDSDDESESDMNPLSNTFIEQDPYNSRRSTTLPAIYQILQKI IKTISHYRSTIDSFDDLLAERRQGLLFTDRLDEAMNIMLDVETSSVFGMPETSKSEGK KKRPSAADLVGGIKSFLTPKRKPPTPLGTPNKSSGLTPTLGNLFNTSSNIKSNLDSDN ISTPPRRTLSLNSNVDITPNQNLKTPIKVDELDMLYPSPFKSHYEQSDIEIIVESIDV PIMNGPWSITDHTVESNIPALDQEISYTDSPFRTNHFEDSQHTDGAEGRQGKGKGPKK VSLNVILDNCIILEEFIKEIVAIITARRAMGIDQVALI
I206_02291	MPSDRNQNRMRDPIPAPQVDLYEPPDATPYLMPERQYSSTELRE RHPPHRVDHMQNLSSSTHLLAPPVQGHAGRASPSSASDLRVLNQQGLPSSHTGRGSSP TSSQQRLNNHSNPPHISREIPTSIADCQDGLEPRDRSNASSNHATAIEQGSRQRSATS NTPVDSSQLTQPSSRTTINLSNNTVTRAAHPSRVRATNSSSNFHVNNPDQATTQASRH SNNNQARVPSSNTNASSGNMLTPPPGSPPPSYSQVGRDIIAQSSDHHHQNTVGIEQTL FSAPDREASDDEDFITNYDLTCSQCNYIYKCVGSSWDSVGNCPTHRWTRAIPHGCTSI QGAKPQGETDYRYACESCGRISNRLQNNTFYKMRCKECRNRVKSLILNPKHSATKKAR LYCCSKPKCRAQTQGPIQHYCKKLNEWRKKYESYCRVDAKMVLIIPVEFKRDPIYVCA HCKVGFGAQRIGKLCPSCIAELTEQEARRLNCKIVQSTFI
I206_02292	MKKSAQPYIKLTNCRRRFFEAYSPSAGCRLFLKRSATREEATKK LNRAGAPADALVQRSYTSLQNEALAIHWIGQNTNIPVPKVFAAYEDRGCFYLIQEYVE DCIPAFRAAEHLHPYIVQQLEGILEELHRCRSSVIHSFTKQLHLPARMANTKTYLSHL QYPEDPGKERYVLCHGDLGWQNIMVDPESGQIKAIIDWEFAGFWPIEIEGEYWRRRGT ASAYASEVDDVDAITDLLYNLSKKGHFEESYKSPHVEDMERSPFTREKLLRPRSSHSS ISLHTAKTRSSNSLSHKVLDDVDQHFNDSKSRTSHNSPLADNHMQQTDSRIAGSSGAV QPASDPAAPNPPVQSQEPSLPTPKKKWTLAKSVKRLLKPFLYRNKATNRYIHKSNQES TGAIEAAAQHSAVVTQLPQAEHLPEHIGNVEGRTSPPRPEMPNLTPIEEVEQWGFDEL SFADKNLNKEIVHWTNDEPKGDLEGDGSVAKTLESCDVPEWKSLQAVLPDDRPVTSRT LAVEVAMAARRQLSKQAATMQRTIQMDTHATKDWLAAVVSQAPEYYIYQQYSVKPRLL YQESLHLVELNTLLEKSLKDLSQALLESSQALGKFQNQQLIDIAHDQERIKLDPNFAN KAHKFVPPSVFKKAKWTSSLEQEGDIIKRDIHGDRDEAFRESETLKWKAILKAAEEII LVAHAADCLLPYLPDREKNQKSRFRDPARGVTEDKARDLLLGGTGGWAVKVEDVSDWV PIDHNALGVPEDDTQHRDQQVSYNEKMIGQMSLPSTQSHISMPSNGNEDDHA
I206_02293	MRLISLALVPLLATAASANLAADALGWAGELVSGGGKVSTAKDG DVRTMDSWSYVDCGLATDAVQIKSIHVTPDPPVPGKNLTVEVEADVIQPIKEGAYADV TVKLGLIKLLQKQFDVCEEARDANATVQCPVAPGPYKVKQTVELPKEIPKAKFSVQVR GYTDEEEDMVCLDLFVDFMRRPGGGN
I206_02294	MTVSRQLFTNGRIFTSNKDDSTLHEAFVINGNTVEYVGSTQEAK RICSNSEQATDLGGRVVLPGIIDAHTHLIQFGSSFTKVNMLGLDAVAIQKALVQAREA RPDSDYLLGKAFLFDAVGKPPHRKILDEVIPDIPVFIESADLHSCWLNSKAIEVMGIT PDTPNPVGGEFVKDDQGELTGLFLETAVADYVWPYTASLLTLEDRLNLLDIAFEAYLA TGVTALADMAMEEQDLKALEEYHRVKPGGIPIPVHAYWIVSPKGSPKDHLEIVRNAAS HRDRLAKLNIPLIINGIKIISDGVVDSCTAYLSKPYADGSLPGPIWTLEQLKPVIALA DSLELQTAVHAIGDAASSSALDAFEYALAQNQSRPAPRFRIEHLEVVSKESIKRLTNL GIVASLQPVHADPIYVPNWRKQLGEDERCDRAFPWTEYHHSHVAFGSDAPTAPHHPFP NLYTATTRRSGVDPKIPPPTDERILSLDKFNLKLDTAIRYYTAGSAYSLRVGDSKGQL KPGSSADFCVLSIDPFADGVETLREAQAGVLQTWVGGKSMWTKA
I206_02295	MMSPRVTDTTTREIPGTVRLFAENGELLQNTVELIPKPSDDPED PLNWSPIRKSVNLACIIFYTFAVAVSATSLYSIYGPLSEATGLTLDELNVGAGYSYWA IGFSSCIFQPCAIAFGKRPVLILASLSGGLIFIWTIYVSGNGLWIFSRLLFGFTGGPS FCLVEIAITDVFFLHQRAFPLGLYVFVLYVGALVGPLLSGYVYEGLGWKAVIWFTTGL SLLATLIMLLAFEETNFTREEPSIALEVVAESHHSLRRTNVDEISSAAPVYPDDSKSK DINQDVMAVHPVVQRWVWPRVWQKPKVSPHALGIIKRGIIQPFALMRLPIILWCGVMY GVYQVFFNLFGFLSSGVLTAPPYNFGTGATGLTFLSPLLGTIPSAIWGGWLCDTYALR QARKNHGVSEAEHKLKLYIIPTILAPIGLLMMGLGPFYGTHWIVYVAGECILNIAGPL ATLLIIAYAFDVFHSIDPEDNQGPKAAAQDCAPYLTAIVFIGMCVTFAFGYAITPWSF LWSFKLFGITAAIGVTALNATVLLILWYGRGMRYRGEGYYRKVINW
I206_02296	MDYYIASPDGHTSPDLSANDRTNNPLPQEDQQPHSRDEVNGEED NQKHTSNQPFSLSPLTMSDPHTIFSHPQPNTEISTASYQYPPYAMTYESISSPSTSHG VNNTMQHPAFFHPYRSSATGDFARNPRSPPRSPALSSSPHGSSLSHRLSFGVATSVSP SLVSPHSLVGSNLSDASPNHPYMYQLPLTYGTPLTNVSNLANISPTGPGLSMVAGFPV PQQPQQYQSSPAAKGRPITRPRPGKNTTKKAIKHEEDLSDVEDENSIGGGLGLSNNGD RVPVSNKREDVRKARIESEQRRRDELREGFKRLKDALPQSNQRASKVSLLDRSVAHIQ SIESANRYLLAQLEDSNRECAKLRE
I206_02297	MSNDYFCAVAPAHNATDLWEDSPPNGTRSIEAGPSGKGYIINTL NTSNTTGTTTTNSATSPATTSSGKTSARYQKVGITDRNFMFGLVVLTWLTKKFW
I206_02298	MQWFSKSKYAKGVVESDQHLTANEGSRPRLAIAHFMLGNTYPFV EKDWLRTFDLVQDTGLDALALNIGPEEWQFAQACTAYRLISTSTYDIKLFLSLDLMVL PKDPKLIFDMVVQIIQSGPSAQLRWDDKLLLSTFGGHELGDEGWKEVLRGIEKTLSEK VFFIPSFFLPPEEILKKDYVDGAYHWNGAWPMDNSTINLDSDQPFLNHEKPYMASVSP LFFTHYGTEGDWAFNKNWIYRSDDLLLPTRFSQLLSLPINKSPEMIQVISWNDFGESH YIGPILGAQPGSENWTNGMIHEGFRLITKYYIKQWKDQIIVKGKNGDGDKAKHEEKAK LIIWYRIQSKEMSLTEDKVAKPNHSEWAQDLLNFFIILPESKYSLKDQYNLYIKNDDQ TSKAYSLNVGKPNLINQYFKLGKVEFKIMKNNQEIIIEGKGIDIIQGGNWNYNMWTGI FDAI
I206_02299	MGFKKKFSSLIPADARNHLQNALTVEWEGQGKTVLVDLPETNKH QNNEVEMAGLTSNSAAYGRLIDDDDDIPYSRPSRSDYHNYSKSYQSTTHKHRKSPSYS SKNLPPIPPNQSESVYTYNQNPFETEYESPQTSSSSSMFSNNSPYTTYSPSLGNQASF GINEGYNNGSLNRKMINGGGERKMPNPWSKHREDDIDFLGDLGGVRNGGLGSPTSSNG TRDSQGSGNYNSLDNPFR
I206_02300	MSLSLKTSRQFAKVFRRQLSTTPSTKMKVIPIQARSDNWMYLVV DSSNQAAVVDPYDASKISQAAKEQGVEVTSLITTHHHNDHSGGNSKFLSLHPGLKAYG GSNQSPGTNVIVKEGDTFKIGQDIDVKCYHTPCHTQDSICFYIEDRKTGEKGVFTGDT LFLAGCGRFFEGTPEEMHAALTKLSKLPEDTIVYNGHEYTKGSAKFGLTVEPDNEALK GLFKKAQSDSCTTGKSTIADEKKWNVFMRLDRPEAQKATGESDPVKIMGKLREMKNAA 
I206_02301	MEFQFPQTDLTGWRLKVGEDSHGQQKWVYLRDEQQRKEWPQNVT EKYWIGLRTESPELPKVNTPLESARNGFRFYKQLQSHDGHFSTEYGGPLFLLPGLIIA LNVCGQKLLKEQEIEISRYLLNKRRPEGGWGLHTAAPPTVFGTVMNYVALRILGMGPD EGPMTEIRALIHKQGGATGIPSWGKVWLSVLGAYEWDGVGSIPPELWLLPDWLPFSPW RWWIHVRQTFTPMSYLYGTRFVGPFTPLVFALRQEIYTQPYESINWSAQRSNISPLDI YSPHHPVLDFAHGFLAYYEACPDIPCISSSFPLRKLAIKRAYEMLRYEDENTGYQTIG PVSKAFNMVCRYAKEGPDSKAFKAHLSRIDDFLWLGKDGLMMMGTNGSQLWDLAFMAQ ALSETGLAEEEEFKESTIGMLDWLDKAQIRDNPKWYHESYRHRTKGAWAFSTPEQSYV VSDCISEGLKAVMAIQALPYAPKAVSLERMRDAVDTILSMQNPSGGFASYELMRGSTR MECLNAAEVFGNIMVDYMYPECTTSALSALKSFSKLDPNYRQEDIQRTINKAIEYIHD EQKPDGSWYGSWGICFTYATMFALESLSISGETCANSERVRRACNFLVDRQMKDGGWG ETYMSCVTMKYAQHEKSQVVQTAWAVLALIYANYDDKSVIERACRLMMGRQKPDGRWE QEDTEGIFNKNCAIDYPAFKFIFCIWALGRADKYLNGERH
I206_02302	MAIEPSSASQVETTTNVQQLKAEQQGSLQENGNGHAQEEQASNG DVEGLEGQMNSVTLGELHAACAEGRLEDVRAILSRGLENLETLDHTMCTPIVLAIRGN HYDVVRELLSAGAIVPPPQVTNDPAMLSILYPQPMYGMPPQFMGMPPQEFYQQQSGFY PVDPQQQRGMFIPPPPPSGPRKDGGIPTSPNGGNSNNLPPAEVSKTIPCRNFPNCKYG ASCVFFHPGSQPFFPGPGRSNGFAPQGYENVEYPVPYPSAPAPYFVPNDQGFIPSQQP ASEIPQQSESQQQIDSSTSLPVSVPQVQHVPSAIAPVFVPGFQPPAPTTEMMSPPPPS QFGMSPLSPSMLGTSLPSIPPAEAFFAAASPPPNSFMPPPSVGINGAAAHARRQSFNQ QQQFGMQGKPFGHGKKASFSGGPKPWLGRPAGGAAGVKMGSWKDGNPPPCVFFAQGNC RNGDYCKFPHLDAEGTDSRHPDIVRGTIAPVQSYPRAPRRMMGGAGFNGPVDPSFRQQ HYQQQQQILQQQRMAAAQAQQGLNSATAPATEGEGEAQPETAPAAEANASTSQSTEQV ESAVPAVKVNDESSAEASTTLPAKPATAAPVPNIIRSASQPGVQRVHVNGNNSRSHSP APSNVSFHGNGHPRRAGSRVPGGPQANGHFVNGRSSSAGEKKLPSAPLQRVPLAHEFP ALGLGSGSKPDTPTTEKKEHSWNGKTAAQVLSAPAPLKPEPVVKVTDTEGSESGKSGK ESVTMDSDAESDAVIISTKPSSAAPSGNVSPAPAPSAPAPIQSEVKKAPVSFASALGT SAIPSLESAPVALKA
I206_02303	MSSTLTKKQQKLAAFRNKQKAKKAGGNDVEQPDLPEQDLIDENE NDNIPEENATVTVKSKEKKTEKTNQKEVTIDVQGEGAANVEAEGSKKLDKGKKRKTAW DDEEEGDKKKTKKDIKQRFILFVGNLSFKTTKEEVQEHFKEALGQLPSVRLLTTKATP ENPKTKSRGIAFLELPNSTLMQAALKLHHSNLKGRTINVELTAGGGGKSEDRKRKINE RNERVGVQREKRAEREKEEGGGEEPQAGEGVGGEGGEQNDGKTRVRGGRRVKSKAKSG DESAPPNKRPRSDPSDPNAPLSGWASRQAGTVSAAPSRSFNSARPPNGRNAGGRDQSR NSGNGSNPKFQKKKWTPTGANAQPVS
I206_02304	MIESTQCPINHTTSRRRVLETFDPLKGSRLFIKRSSTREEATKD LNSAGTPRDRVEQSFSSLDNEAKAIHFVKTHTSIPVPTIIAVFEDRGCLYMIQEYIEN AIPAFDAPDHVHSHIVRQLEHYMSELHNLRSHKFHCFSRDLHLPARLVSTEAYLSTLQ YPEDPQCRYVLCHGDLGWQNIMVDPRTGDIKSIIDWEYAGFYPVEVEGEYWKRFGTAS PNSSERSDNDKICQLLHSLNTDGRFSSDYHLSPKIDYTNHPEGKHQLDRRKHSKVRYL SSRSRLSSNFKRIFSVIGQKTVIPTSGPQGLEKNEKSLDAGPVNSQDVSDRAQSAVFH DTNQDSLREPNLNLLPSHDEQSSGIQGIIDAPEWHDLKVSHPRDKTITAQSITVQVAI ASREHISAQLTSLKRSVDIDYRAGQDWTLATSRDAPGYYIRPLKSKTLVQETLHSQEL CRLLSGVLDQLSSKIRLSIDPLKHFQQRQLLAQKYTEEIVKMRSEFDDMSGDPSSLSL DPYWIHADNIRRHIHADRDQAFRESETLKWNAIKAFAHALLVISHAAETLLPFTPDRV RNQPSGTDDPARRAAEDRVRDLLLGGSGGWTSQVTDMQDWVPLNAIEKGVPEEKEEKT EPIPDRILQYYYDSDARYLKSLEQESSGDGLAHPSSSFAI
I206_02305	MPNPLNYFSADSRRDRQIQRLERLQQRVASVEAEQQRRYQTMTG NDASYSPYHSESYSQYGQAGYIQQAYDAHRVDPFIPTLATPHTTPGYTFNASQSTAAD EIYKTCSRCLDPRPWNASSVVRCIVDGWTRTVRRNRISNLTILDGPTTYRWKCRICGR LANILGYGNRSWVKKCRTCNVPTPLVWNERHPETPYQPFTYCCQNCRYQVEGPDPINT ECGPVRIFNTTCPCCEESQPIVPVEFRRDRIYACRVCHFEADMSRMGKVCQWCTRASG SKRRAEAAGSYIQAISDI
I206_02306	MSSRFLVKGAQSLSSRSSMLSRSMATVQSSIGDKQVPMSNLEKG KFVNYARIESNLQIVRQRLNRPLTLAEKIVYGHLDNPHEQDIERGVSYLKLRPDRVAC QDATAQMAILQFMSAGLPQTAVPTSVHCDHLIQAQVGGPKDLARAIDINREVYDFLAT ACAKYGIGFWKPGSGIIHQIILENYALPGLMMIGTDSHTPNAGGLGMVACGVGGADAV DVMAGIPWELKAPKVIGVYLDGKMSGWTTPKDIILKVAGILTVKGGTGAIIEYHGPGV ESLSCTGMATICNMGAEIGATTSLFPYNKRMAAYLEATGRSQQAAYAQEFNHNLQPDE GSEYDRRIEINLNELEPHINGPFTPDLATPISKFAEEVKKNNWPEELKVGLIGSCTNS SYEDMSRSAHIAKEAADHGLKTKSIFTITPGSEQVRATIARDGFVDTFEDVGGVVLAN ACGPCIGQWDRQDVKKGEVNSIISSYNRNFTGRNDANPATHAFVASPDLVTAMTFAGS LTFNPLTDSLKGADGKEFKFSDPAGFELPAKGYDAGENTFQAPPEDGTTVNVAVSPTS DRLQLLKPFKPWDGKDIVDAPVLIKAKGKCTTDHISAGGPWLKYRGHLENISQNCLIG AINADSGEANSVLNQETGEFGPVPTVGAYYRDRDIPWVVVGDENYGEGSSREHAALEP RFLGGRAVICRSFARIHETNLKKQGMLPLWFKNTADYDKISGTDKLSIVGLNEFKPGQ DIKVEITHKDGSKDSFLTTSSINEGQWEWFVAGSALNKMAAAAKARGA
I206_02307	MSLRTPMLRTACARRAGQSVNLRTQVIKRRFASGGPEITPPPPP RSSSVPYLLAGVGLAAAGAAYLFYGTDGTPRETAKEIGSEARGLAAAAEGKLGLRHKQ EDYQKVYDKIADTLEKEGYDDGSLAPVLIRLAWHASGTYNKEDNSGGSNYATMRFKPE SEHGANNGLNVARDHMQKIKEEFPWISYGDLWTLGGVAAVQESGGPTVPWRPGRIDGF EHHVTPDGRLPDAAQAQDHLRFIFYRMGFNDQEIVALSGAHAMGRCHTDRSGFDGPWT FSPVTFSNQYFTLLQDEPWQWRKWKGPAQYEDKKTKTLMMLPTDMALVKDKSFKKFVD VYAKDEEAFFKDFSQAFAKLLELGVPTAQFAGDAWKMGSQ
I206_02308	MTSFFNLASSVVKELRHEADSEAHQLLRKYIDDKKDNNEETIPG ILHPGATGVTYCTDRAIANGYSYNDKEWANLGQGAPEVGLLPEGPDRPKTIDLTLWGD EVNEYGPTTGIKELREAVAKLYNEEYRKGKESQYTYENVCITPGGRAGMARLAAVIGD VYCGYQIPEYTTYSEVLSVFKRLIPIPTALDAKDKYKLNLDQLKREIQNLNLSVIIAS NPRNPTGQCISGDELQDLVQLAREKTTVILDEFYSWYQYPDNPNDLGTSLSGARYVDD VNEDPVILINGLTKGFRLPGWRVCWVVGPKSVVSAISQSGSFLDGGASHVLQKAAIPL LDYDRVQQDKVSLQKAFRIKRDHVLARLEKMGLKVSIPPTATFYIWLDLKGLNSPLSN GLTFFEELLKEKTICVPGIFFDINPSHRRNLFHSPCHHFVRLSFGPKLMELDRGLDAI ERLLKKHNETPHLLGTK
I206_02309	MSFVRPATASLRLTAPRAVTRPRALPKRVTPLSSASTSRAFRRT YATEIPSKPEPSTTHNTLLIAVLASVLGVGIGGYFYLKPIVNVAHMAHSSVESAKENA SQLSTGLSTYAQAVLPPGAFALYKALDSQEGGITGFVSKLHGKDLQGALDELKNVGGD DAKKIIETIQSKVKDAKGKIENVDWKSLATELKDDLPASAQGLIAVIAGNMPSNLKDL ADFDFDSLIKKAKEAGGDQLKQVEETASKIYKEVEKQRKEGKDTADAFLKGLKDAAPA DVDSLIKQIKEAANKAGLPADTVEAWLKSKAKDGKVDAEALAKQVESKLKDAAQYIPG EPKDLIKQVDQLSPSLAKLLQQALRQADIIDEKGNKKQ
I206_02310	MPATDALQPPLLPAEKEIVKSYGGWTNFMHCFGLKPWEFDDIDE ALSIVKQLARNDDKQQS
I206_02311	MTTPEAPVGAKVSVTAGIGYVRWTGFNPAFAAGKWVGVELLEAG GKNDGSVKGERYFDCQPNHGVFVRPSQVKILELPTRTLATPASIKPPPTPNSRLSSAG PSRASSPQKPATRQATIPETPPRAVSQPVSSPAQPSLARRASSTATPRTNVTSGTSKR PPSVVGRALTSLTSQVEIAEFTQDIPKKSGNMSPPPLPRQVISPSPSTSGKRVVSPTP STSSMVGISPRPIPTRSISSTLSPAFEFPPTPEVVSTTTPYQAASGELPDNDGGVFTQ KRELEELRIKIRILENKKHEDQERIKVLESRVGEADTLRAARVKLQAKFQELQSSLIT AQKSSRDLQSENNLLEVKAAEAMDQLEMAALDREVAEEKAESAEMEKEKLNERIQELE LEVAVLKEENEEYEKPVSGLEGERTSLAFIQLEKHNERLKEALIRLRDVSTEAERDHK TKVAEMEKELTVQENLFSEYQSRKPKLANAEAQVEDLKLQLDDALGAEDMLEQLTERN LQMGERIEEMRVTIEDLEALKEVNDELEENHVEAEKTLNEEIHSLTLQLKDEQARAVD VENVVLDMEATINQFRDLVSSLQNEIDELRMQQANVVSETASTSKEAQALMNLNLKLQ STAVKAQSKTVDLELKKLEAAQLAEHLKIVISYLPDAYNETEVDSTTIYLFFGRLSAK IDMLISIISHMHGLPASVHSASSEALVGVCELKGKLRHFSGLNKRFYAILKRCTPDDW LTLGKMLSELGGVENKVDGWLMSVKNDEFNESDCARELASLIAQFDHLSETTFNKSQL DIGEQQLALAWNFDSDLDNFAAAVGFARQAIVGLTKEDDIVIDVGESNLEEGIYEPVQ RILDLVRSVKVPSGKLVAQIEEITSSSCALLPDLALALGDLAHSVSNAVDLAVQLAQR IGAHVTTLRATKQPLRLLDIERFLVEITAESSADSDSHPWELIAMFVTRLGSGLGNVL PRIKEAVKGAHLISMDVSPPWLGRVAVIKEAATFNADTERKVVMLSEELKDMLREVKI RDQNLQEAGVKVETLERRLEASRKQGDTIIELENDVAKAKKQEKVYEEAIEQLQAEQD ALEAENARLRKSHGQAQGDRQGHATSTPGGTFEPISIGSSGLGSETSTSSEQIENLRN CIRFLRHENALLKSKEFYNDFYSLSPIKYTSSIPNLTLTTPEKSPKSIRSDSSSEDED ENGPITPTDKSILKHNIQVESKLLYNSLINFKTSSKVIDISNIKNDNKWKRRNNLPEY QILEWKNKEKKLERRLEGLKERFNGLNKRS
I206_02312	MTVAVPKKNLADWHSPETRPEVIHELIHGVDRYNPTNLPYMEEY LLSQIKEGQYDLFANLAILKLYQFNPQHSNPDIIIHILIKSLSSTVHGPDFNLSLGLL REPTAILHDIESDDESLSILVPYLTNLHDLIRTCQFTKFWTEFNGDSEAANILKSRFL PSHAHPIDDLRLQFSLSIASCFSSITLTQLSRWLNLNGSEVNEWIEKVGWTFEGEKAI IPKNGDNDVKAGVVKENVQLNQLTKLVAAAAY
I206_02313	MRTPILSSPKTPTPHSRNSYQLAKSAPLVLYPTPQSSVDIASPR KSISSPSSGRSSPSSKNRDTSSDGSDSDDEIAEYLRAHDELRKLYPHDDKVPHSRICV LGAQSAGKSSFLSSLTGIDLYCAVRKATSCRTLISSRRGGIAFKAEIFILVLNIETNE ARSVPFATSISDVAEMGEICHWAGEEARRTDNDLRDVRPWSELVTMDNEGKKDGEADW PEFTRNVVVINVIGPDRPNFDIEDLPGLNGHHIPFKMVSDCISQPQNLIVLCLSAGSK DASLADPEIKLARKFDPTGARTIGVITRADQLIPTLEVGSTFIDYLLDLRDHLGEFRP QGLWWPLRLRNHQERSKKASLQHVRDLERELFSEEDWIKIQNQIGREFGIGKVAVKLE EMFGSKVRENVILLKKSLRDSMQIQNEWMINNPSIEDPIGTLHDIIIYRFSDLLDTKI RRSNQSGQLVDLQTKLEADIQKAVPEFMPFLVDEGVPEGYQSFWKSQGFHVDHQDRVF VDTLLGSIHEYTSRRNPDEIDSRAIMRSYQEKYVNKWDTITKHHVISLWAEVDKLLLE VAKEVCGENVVLRDTLLERLDNLTYTNKSETSNFIQEMINLFSSSPEDLICPSKFRLK NLKDEAYRHYHKHLNQSWQTITTNTGSSGSSSPASSVPRLLHDIISPEDREKCARFQA NIGLNMMSWAIEFSSIVGKQAQSRITERFTKNVAPALRKGMQLDQVTENVRKRAGDLF ESDKKKKKDRVMRMKEMTKLSEIQQHLDRISEF
I206_02314	MSFVAHSASSGSSGSEEDKRALRLASARKKLQTFRASRTSDNSD TSPMGSSSASSSKPPSPTKAMPLTTEASINSPFTFPSSHSRSSSTGKAKDFDFETPLK PSNSGHRHKRSDSQAHRRQRSSISVSTNMLSGQGLSRPSIMGVFDAPKEILAISATPS LDPPSLQLQPSILPDKNHEEVAARLTSFSFGSKPPMSTFPPKRRHQQPLLPSQSLFNE DLPSASPLASPTSSASVPNLNRLSTPLSRPPSFLVTQATPLAFESPTTAASAKTNLAS LPSSPPTPARKRHSHTRSNSISLPNLKLSGARPNSLGIPPSNSIPSSPCSPTSIGDIA SRSRLSSPITGQRLKFEPSGRGAEAEKEREVSRRQALEKLTGGPSQIRSPEVEPQVAE ISLPDLDDEDSSSVASSNRPLSGAFGSGSGSGFFSRPSSLTLPPLTASAISTSSGFSS SPFSWGSPSEQQSPVEKWSGFGFGLAKDFGKDDVPNFGMDLPTAVAKRPSINRQLSAL AEVDESEEDDADLADTDTPTDMTRTCSSELEGILSPVTVEPTPHRLRELRLGSSMNFS TSTPPQGSLDSVQSFSSPRQSNASPSSPTKGYGSIGRGRPKPLNIGSTCSTPITSSTP KSAGFSSRRRPAPGLGSRGSSISYKKDDSSSSSHDLSLTMGKNVLSPESVSSPLPTRS GSPKSSAFHGWGNASKSSIRPCPRPKTLVGLGIDNSGSGRILGGLDEVDEEGSPLPAH SMWTSPIPEPNESEDEFHFKGRRDSFAEESAWRDVQLEMEMEREALKEDVDTWKMRCS NLEEKLEIERKESTILRERVRKLGDRLSSVSSMPTERSPESHTAESRLIVEMRAQLFN LTTSLEAERKAKEHALSQLAEISRPHMQSYEVDVNDEPLTATPTFGFPQQIPETASTN FTPIVTPASLTQAVSPRIEAEDLFTPASQDPTLTRMKGWGFPKDPSPSKSAQSQSKKR ESFFGLSTVLKRSVSAEHAEECNGMDLPCFVVPTNDIEHTSTYDLTPRSFSEPVKQHL SKELDNERDIHSNSGFNSAVSFLSSYLPTSSIDDQSRKSEKKHKVYIPKFVNKENQQR KVSLDISRGLCMGKLDFSEGCKCCSGPVIEL
I206_02315	MSSTTLVSNHSEYQFDNDNHYDFIEFTTPIGSKGWKSTSNEIWG FYDISGFRISKGINNKIYIKNYQSVNPKTKKQKISNKDIKISLKDGSINFTHPEYPFN KSFIFISKDGNFLTLCEYGVTSEKTDNILRFTGSPINFLLNKVIPYQNIKNKVKFEPK TLWNLVGQNKSLDRQSSHDDKQPLRGSSGRREIPYYNQRKSWGWEDHNKPRNC
I206_02316	MTSYNPIISSYIVDVNGTIHFCLPSGSRGWKNNSTGTWEIIDIS GFSISKIDENLISISGNISETNFFYNIEDKTFKFFHPNYPENKSFLVVLFNGVNFFQD SMGNWVNIRPGNKISFIDQYVEAPSYSESAIQQESENIHDTAELQAYDGDDEGDDYVN VSAETDEGDLFIEADAKVHTEEVKPDTSALSRFRLFVQQYMLPSFRSTPGNPVEGKPE HVETTQIPSV
I206_02317	MVFTFHKERPSSSPSDIKIKPDHDLETATISTDDVFGQISEDGP NYRNVGWLGTTVLLIKSQIGVGVLSVPSTLAVLGIVPGIICLWVISAIICWSDYVVGQ FKRNHPSIYGIDDVGYLLFRGKIGRDVIAVMYWLFMTCVAASGLLGISIGLNAISSHG TCTAVFVAVATVVAFFMSCIQTLGKISWLGWVGLVSIMSALLTLTIAVGLEDRPATAP QGGDTWDKELVIFGNPSFTEAITAVSALVFAFTGTPAFFGIVSEMRDQRKYTQSMLLS QGFVTAVDTVVGVVVYYYCGQFVASPALGSAGGTLKKICYGLALPGLFVTAMMFTHLP AKFLFVRLLRGSKHLTSNSPKHYIIWYSCVAGCVLFSYIIASAIPVFDGIASLVGALF GTVLCIQLMAGMWLYDNWHRDPAGRNTLWKCLICWNIFVIGLGSFLMVAGTYGAVIDI KDSYAANQGAGAWSCRDNST
I206_02318	MSLFPSSFEGSAAGPSKSITTAFSNKSTKNNNNKRKRPSVGAHH DEGLLKSTQANLEKLMNKLEKGELTEKAGTENMGLSSKKKKAKSNNHELPKAKAGVDT PNKQHNRMKESTPNLNKNNKNKLTPKKAENSPQERKKDKSKPVELPIQTIPTSEGKLK VGGEGLTDMQSKMQAKLEGARFRWINEQLYSTPSTEAVEMMKKDPKIFADYHMTHRAL TAGWPAPPLQYITKALSPLPSGTVIADLGCGDAGLARALVPQGKVVLSYDLVGDSGVP GSGSEDAKKVSEGWVIQADFLEQIPLPGRPGGLNTQDTIQSDNKKGKRKGGKRDDKAS EIVDVAVCCLSLMGKNWVGGIAEVCRILKQGGTFHVAEVTSRFTSTEEFVEKVESFGF KLEEQDSPSTHFTLFRFTKETAVPLGPARGEEGWEDRVYEGEEILKACVYKKR
I206_02319	MDYQNRAGANKGSGGVAGASETAVDRRERLRKLALETIDLAKDP YILRTHLGTLECRLCLTLHVNEGSYLAHTQGKKHQTNLARRAARDNKDASLMIQAAPA AQQVKKKVFVKIGRPGYKIIKIREPVSQRMGLLFTVSLPEIKEGERPRKRFMSAFEQR REIPNRAFQYLVLAAEPYETIAFAIPSKEMVDQEEDPESVWEHWDQDEKVYSCQFLFK 
I206_02320	MFSSNLLATVSLLVMAVQATPLDESWLQPRDSPVHALFAKRAPS PDDPNFASNYPSPGATPGTDKLPQAWVDKLNSITLPNVSVSNPNNGYPTYADGETPSD QHICSFTYECTTDEDLYNAPSGIFALSFDDGPTDASPDLYSFLATNNISTAATHFMIG GNIVYDPKGMQAAVNAGGHIAVHTWSHQYTTTLSNEGVLGELGWTMQIISDLNGGRIP RFWRPPYGDVDNRVRTIAKQVFGLETVVWNTDSADWAIGSDPAYTHDGVVAQVNQWLS GSKDNGLILLEHESKDTTVAVFKSILPSILSNGWTIKNVADAFNMDWYVNSGKTNTEA ITTMSVGSGPNTLAVMNSTSSQQSSSSSASGSASASTTSAAASASASTTSAGASRSSS TGTNTAAAAAQSSGKASSGSQLVIPGYIVAGLGLFTAILL
I206_02321	MRRTPVKLSTLPPTIRTILSNVQSQSASSPNSSSNQSSSSNSNN DKINVNGWIKSIRTHKNVSFLEINDGTSGTSLQAVLKGKGKSDGLTNGTSVSLIGELK KSRGQGQDYELSVEDVKVLGECDSEAYPIQKKSLPSSILRENAHLRFRTSQTAAIMRI RDALMRDWHDWFEENQFTHIHTPILTESDCEGAGEVFTLLDKCQASGESSSSSSLSKS SSSSSIISGSDFFPHPVHLTVSSQLHLEAPTHSLLRTYTLSPSFRAEPSLTSRHLSEF YMLEGELGFLNNLNELLNLIENGIQFTIGNILNKNSKRGERIRKDLKIIQQQINNEKE ELNSINSLNDNDNLNYLNEIISKPFKRITYKEALNLIKENYKKDKLIITNWGEGISTE NEKWLTNYFNGPLFVTHYPKSIKPFYMLPTTTTTITTNSTTTNEEIKEETVECFDLLF PNIGEMAGGSLREYRLKNLINSLEKNKMNLKDYEWYIDLRKFGSIPHGGWGMGWERWI SFITGISNIKDVVAYPRWKGHCKY
I206_02322	MSIVLYDVKKSTATADPPSHKLNSVVLTHVSAYSILTQSYKFPF DPAFQTSNHPKLLQESKISSIDELSTNEITPDTMTSKSTQNQEPQQSKDPKGNTGRKT PTNTQASQSQNAAQGANASPLQQQPTWTTDPQIKPSGKNANGPQPNGNNSTHFAPEFG PGPASAKPHDIIGKAMNEQKPSQGDINGDKKPHSRNEPPFQAPEGHRNGKNPFAQAQK QPNKKVAIPTTEQRPVDPRMTARHNQQSNHPIRDTFMDVRPHAAVGEHFDHLEDDFDQ FSDSITQDPGSDPLYEDPSYQDVLDEKRQAGPTIASRSAQVSDTNQLSYGKKQREALA QPLQRSSTFQIPGYGNIAQQQTQSKPTTNNAFASRELGKAPQDPRRLAHVGRGPTEPG HYQAFLSQDSITGGNGYFNDHLDRHGGAVPKRSAAPQTLQKTRQYQPFESTFNFTPST DHSRVEELPEPYLEPRFKIPAQLQDHYGIIANTDREGIFEKVLQGTDGKGWQPNWSDV KKGYTAIRALMNESNEHYLQVEAALRQLIDLKDIELKRKTGLTERYAGAGAHLSDQCQ SMQQATRNSQNKRRRIGGGVE
I206_02323	MSNRVKVVTYLSTTIKSRPTNAIWSIAHSRPVCKASRNIFVRPD PNQPGCSKWFSSATTHYTIPRRTFFNSNGSNAPYTDEGTDMNVDESKEIGNGTIYPLV QSQRPKSPHLPLPPSLPYSPNSFPFKRHLEILSLSIYSSTPDESWSIYQSLHPSLRQF IPDDVFKSLLLHQADHTQQPRAWNRIKILLKLAKKCRMSLEEIGSEIVTKIIRLGARR FRNTKDNEEKRDEIYKIIRRLYLTLEGILPTRQIPHEIRRGWLGIHLMNLQRLRKTSR RDGSNQIVAIEELTLNMVNKGGAVGLGHYIGEILILSSERSKEGLEQSFENLVWCLAR EVDVKSEHLLKVMRRLCYSYSKNEEDGSEILRSKIPTTLKSLNIEPISNTAQTLYRAL DLASRQARSRVEKALELIETGQMGIGGLIGRGISIAKSSEPDMIAILDTAIRLLELAI QHKESHCGALVSSLTIALQKAKDSNTNTDEIDLSIIRFSRLLQEAQIISQLPSESIIP LFRLIISALPSSEAYIMSRKVYQYARSASPPYLWSRKNLSSWQQLFRFSITSPNLHLH FASRLYTDLMADGVPIRRTDALMLIRAIGSKASPTRAVLLERHIKDYLWSKYGSISPL ISALVRGLTQGGVRDTQLALDLAHRLSEGKQLDSNVVEIIIRQLSQSTNPQDRIKIFH LLAGTDKDENAIKNYNTVLSYLVTSSRRQADLENNKLSHIESLGFAIHLYKEMISKHI KPNSRTISNMIRSLIDSGYLESSISTFRACIQEKVLIKSNVVGRLMVNLAMNDRIIEA YQIELSWRNLMEEKYKNHQVWDKGVVGAKVLIDIKNGKDVDLNEIAKRTGWIGKEGFM NFLETLKPPSPPRNEKQEITVDEGLNKYVEHVSFDEEDNRKPLFWDNRNPRIMKSKKD NIDLCMGSGFNVVLH
I206_02324	MSHLQPPYDSNPYASSSSSYNMGPSSSSSRLTPHDAVQAPAQSY LNVDDSDDDVFDPRSIDPELRLRTVRTAHSVLAESIKSEAMAEKRAKRRRLFRSMRRK VSSSTGSSKKASKKRDTLAEEHDELGSEVGGDKSRRGTMTTVHTQPDSEFGSTSTQTS PRKISDASTVTPSETSSAHPKISLSDRLQGKGKSKTPIPRRTIYVNINLPKNLINNKG DPIIRYVRNKVKTTKYTIITFIPKNLFEQFRRVANIYFLFLVIIQLFSVFGAPNAQIG MLPLLAILGMTAIKDGIEDWRRSKLDDQVNNSATTKLAGSWRNWNQPKDPRNWFERLL NIGLAPDKTSKGVKKLREREGSAGNQIMMEDSQKSFDQDPQEELDVVVVDKESYPLST MPSTVIPTLNITDATPNLPDGEGFRRSLMLRKTSSLPSMASRRSVGVVDWNRPTSGSA QWERTLWKKLEVGDLVLLRDNEQVPADIIVLSTSNAENLCFVETKNLDGETNLKIRKP LKATSSIQSEEDLQHARFAIDSEPPHANLYTYNGVLRYTPADPYGHAEEQAEGITINE LLLRGCSLRNTKWVIGMVAYTGADTKIMLNGGDTPSKRSKIEKETNFNVIMNFIVLLI LCLTTALLHGWYRSLTKTSAESYEQGAEASDNIYLDSVIIFFSCLIVFQNIVPISLYI TVEIVKTIQAYFIFQDVEMYYEPYDTPCVPKTWNISDDLGQIEYVFSDKTGTLTQNIM EFKKCSIQGVVFGEGMTEAMMGAAKRDGQDTGPAMEDQEIELQELKAKMLKMMKHTIR NRYLREDKLTLIAPNLVDHMANPSDPLQHHIIDFFRALAICHSVLSDAPDPAKPYELD YKAESPDEAALVAAARDAGFPFINKNNNSLDIEVLGTPERWTPLRLLEFNSSRKRMSV VARSPDGRIILYCKGADSVIYSRLDPNHDEALKETTLKDLETFANGGLRTLCIAYREM SEAEFADWSKKYDAAAAATVDREGEIDKACELVEHSLTILGATALEDKLQEGVPDAIA MLHRAGIKLWILTGDKLQTAIEIGYSCNLLTNDMEVMIISADSEEGARAQIEAGLNKI ASVIGPPPTTPASGKIFNPGMNRTATFAVVIDGDSLRYALQPSLKGLFLSLGTQCSAV ICCRVSPSQKALTVRLVKEGCNAMTLSIGDGANDVAMIQEANIGIGLYGLEGSQAAMS ADYAFGQFRFLTRLLLVHGRWSYVRVANMHANFFYKNAIFTVSMFWFLIFSSFDATYL FEYTFLLMYNLFYTSLPVAVMGAFDQDVNAKAAMAFPQLYKRGIAGIEYTRTRFWLYM ADGLYQSAVIFFIPFLAYGAGETWSSSGRDTNCLYDLGTAIAAAGVLSANSYVGINSR YWTVITWVIIIASTLLIYIWIPIYSYLAVLPYAGVVEVIYSTFTFWATIGITWAIAVG PRWFVSAFKQSYMPKDSEIIREAWINGNLKHELGVKRRRDRKKKDQKDLGLGIPVPLP QASKGKSSRQTSLIDHFSKSEDHTDGYADERGDYQAALTFSPQKDFSRSPNMSLSDGQ DTGTHTPRSVFSYPPSPNPPQQSNLINISSPTLTNRTFGSLRDNNNGNANRPNSMLLR QFSDPGRAQHNQTQEQLSPTFGDYNGYPPPLHSGSGESSFSNPSSPNIEQEVQKEIRR LSKDSIQVKRASLSGENSPNALSPSGSGSRSPKKSTTSRTNRRSSLPLLSYGNRNPNQ SMMNDLSPVRGSFDTFQEEPDVFAIPSKNGSSMRHNDDIEQIKNQWETNENQPHISPN GRNFGYAI
I206_02325	MSVAISQPRPLPNSSSSLATRPSNNTMLPKQPIQRVQPRPSQSS SNTSNQRPMVARPTGSQVAARPAVISNTSSSSSSRVALPPMSKGISAPSPSSSSTPTS HPVMASRASSTASTPATTPTANSTAPLSVSGKDTSSSAGKIFAKPSKEWVLPERAKPG RKVSIEEPDNKRQSQNRLSQRAHRARRTDYIQTLEERLRQYEANEIHSNVRLQEVARA LKNDNERLKNELNSVKMQLMEFNGEKDVWELERRSFKDSITQLLSEVEVLRANGMRKN DTTIVRMEVDQDTIDSLVPGLSPSIARRQSLTHRHSYTRSNSTQQNIALINTAVPLPQ RQQQQNERKDLVDCPICPNPDPDCPCQQPSTSPSTSNLNQGIKRDITLLQHQHQSSTC GLCHSTDECLCRVVVDNIRQEELEDVKPIIISSPHISTSSPTKSFKSIDDGCGLCAGG GFCACRAASESSSEKAISIGNSSTSGISPHTTNVVRATSSATALPLRLKSKSSNNLGK QSIWSLNNVSTSTATVVATPSPKMEAVCTGDPDNCDACKNDSFGREFCQELFGETHPD ATEGTVGPALEQSVKKGCGNCNGPGGCMSIKSLLSPASTNQASTSTFNGGPSTKIVTP PQPIHGMYDDIPQSLAPLQMACCGNPELCGGHHGTGCTGEIVLGGLEEDNHIGLNLQM DKPMVHLHVDHSQNDEDDRRKLRPDQAWKQLKAHPNAKFASLALLADVVARRTNVLDS MNSPSPSVSPAPSQQQEIHEFSHKRFNKSSESITNHAHAQALVMGKKRGFDIETSAVR EALKYLDKATPSASPIPESLEEREGKRRKL
I206_02326	MTESVQEHGLIEATQADNATNEVNGSPKKPRARLGPTEIVHLPA SDSEPEDDEEPPRMPGEPGSVGDDGDFLKDYPEDTEDLQLQHLRLKSSSLAPLNIPRF SKHLKRLCLRQNELTSPLPEGVFEGLSGLEELDLYDNRLGSRIGDEEVKGCENLTSLD LSFNNIRHAPNLPSLNKLNVLYLVQNKISHIEEGELDWCKETMTSIELGGNRIRSIEN LDNLVKLEELWLGKNKIRALENLSTFSSLRILSLQSNRITKIENLEGLVSLEELYLSH NGLTKLEGLDKNTKLKTLDIGNNMIEEIEGISHLSELEEFWASYNKIPNLHALDSQLA PLKKLETVYLEGNPCQKNDMTGYRRKIILALPQIKQIDATFVKLS
I206_02327	MSVIDITGMPVEQVEAIAKMAFGDDVGWHIGPFLLSQQYMTWWT YSRLTERKINVYVTHFLVTAAVAYTACEISYALHNFVYNFGKFRVFLEIRYPQVFPIL GWITSAPIQLFYTERTFRLNGNNWLLCILLMGLILGTFGMTVWVLVFCQTLSSELQAL LIVRQVQAWQCLTLATDSIITISIAWGLYRSRTGWSKTDALVKRLMIITLETQLGPTI LPKTETRLNTYLLGSGQMQQATVNIETGTYFETHHMQKSQIGLNRLPLQDNSQSGNQE DLDEKFIWSNTQSTHSLHDVAELM
I206_02328	MDMDAIASMTPEQMEALASSAFSVDLGMQLGPILMGCMFDAILW GVMLQQFQGWWEYCRASERSTARQLTYYIMIASTAWTAMVISYAMHSFVYMFGQYIVF LEMPYFTSFPIVGLAMSAPIQAFYAERSYRLNNRNIFLLMILIACILGELAAVTVLVI KCTNVPNLLFAAEAVPQVRAWQCMTLATDILITISIGWGLWSAQTGWSHTDALVKKLL LITLETQLAPTLLMLGFVIEMSISPSSTIGVFFDLCIPKAYTVGYLATLNVRVQLKRA QTSQSGDEQTRSNAYHLGSGRSQQATVQIETDTYTESFQMQNAKAGLNRIKEDSYDES IENLDYSNNLSKQNLNKQS
I206_02329	MDHRRRTSMTSTSSRSVRESSIHVKNLRSPSTSSATPKLEEDED GKPRRTRGRNPLPPSSGPLFPPLPPKQPKNSPKNSPQAPSRSPAIRTPSAQQQPTSSL AAPPEIVPSDEIPDIPLDSSALEEDAISARSVSPEKTHVPSASNASLTPPPPTSEDTT EGENKTDAVAEPPEEGEEDWDSYRRHRTVKGFGNAANVEIKAEPDLDEQDQEETPVKT RSSKLNGNGLSTAGSGENTPLATTPLSNSERAGSASRARKRRGEDELLLDDHLLPAEI RRTSFSVSKKQKKEEIIEPPEEENKEDDDIAIADDDEEEDEEEEEVKDVTRCVCQKED IDVMMIQCDECNVWQHGECMGIWGDEEAPDEYFCEECKPERHQPLMKWIRRQGRKSGN SFIPPSPINLRNLHNDRDDYPPSQSKRWAQEEEAEEAPEPTPPPKPLSRSHHKREITS PTAESHDGRRSTRGRQPASSLSRDKPPSSSSKVDPSKSLPKAGTLNRKVRGVSSISPD RDSQSPQPNSAREPKRRSTMNSRDAAFEEEVKAALEASRIEMMSPTHELEDSVIIEDK EKDRGEKRRRDDEEEGEDKERAKKGRRKRDDDEGSVEPGVNKNKHPNQYTYRPKPPSA LPQIPAAAQSPARRVAASTPVPIAPPAHHEHGTRRAGALAAAPVVYHPLTPESANHLS WFLPDHLSAFSDLLPSSHPDALEVPAPRILSYLPRNHYHNQQYGPFNEERDDNGKLVL PDEPSGRDVINDGNHRTQLDPPARPRYPVKRITTAEMKKRVRNVLEYVGRVQVEEGKR QERARLLGIKTVKSTGQTGKDEDGDVEMAETSEETTNSNSNSTPPLPDQTKSMQLMDD LTRDLINFQESFNNNGSLNGYPSPALPTTGTFSGNGNGISLPPTPVLPSDPIVPAMSQ SQLAPASKIESAEDLIIQSDLKTMMSDSAEMIPRENTIKEVIEGEGLDVYRQGLVNTV ITAEEEKEVTEKVDEIIMESTL
I206_02330	MLRLTDEFKDADLVRTRDPAKLEPLDIVVDVGGVYSPEKHRYDH HQREFTEVFGHGGFDKIKLSSAGLVYKHFGKEIIANRLNTTVDDEKVETIWLTLYSEM IESVDGIDNGVNISSTPLAYTQRTDLSSRVKRLNPNWNEEATDAIYDEKFSQASKITG EEFLSQLDYFAFAWLPARDIVKQSLNKRFEVDKSGQIVVFDKSCPWKDHLFLLEPELS PNSKILYILYPESSELLNSKWRIQCVPESSDSFKNRKSLPEPWCGLRDETLSEKSGIQ GGIFVHTSGFIGGNLTFEGCLEMAKKALEYNA
I206_02331	MTEEPIDTGYLAAVLAADYPLIDFPLILAILSDYQPSTLSSNLS EIRDQLGILEATLVPDPDQPDEPSEPAESLTGSTSLSGIDDLTNRLDGLNTSHTSGSG TSLTSIRNSSEGSDKSDEIEESVDESELLKSLFPSIPEEELSSALQTYPTLQDAIDYL LSLELIKSAEEEGQWPEEDIKVTSDFENDLPSWQPSKSKKSKPKSKSKATSRASSKAP SIESSPLMNTSSRLEDTVIPPLQPRSFTAVGSTPANTKKKKKKDHVTIALIDTLQRKP SPAPRSPRPMSRGTSATASRSNSPARPVGRAGPTNNPWQAASSLASHLSELIPAQPQA YFLTFIHSPEYHSMYSAVLASLAKLPKTATQNSDSRQILEDIYGISLLDDIDIKSRRD LERDLEICVHASGEDISIVMDLMDLLADISEWPNDTDHDMFETKQPDYTINSNSIAHP AKPHTISLHLPQGAVDMARTTSAGSTMSTISTKSVDDNSTTSKLPGKITRPEKKNKHA VISEEPHLSGGAIREAKIRQQVPGSKESHGPITHPTVLDHFGIQSPIPSAVRYNPDRN KQIHPQNWRTIQYSKPTTKGLGVVEKKLTVEQCMANAQLERARRETAIRAAGRSFRPH TSGIAGGGRAVKGAIAGHYAQQASEAALRAKEWELKATRIVVSSHLNSYESDRNGIGS NGVGGGGGGGGITTRDRTIDLHHLTIEQAKIIVNETINQWWKNEKELRTQIGIGKNNV IIGKFNIVTGVGRHSLNGKGVLGPAIAKNLENQNWLIDKGDSERGFLVVKGRR
I206_02332	MPRMVKPSKPRHDPLHVQIDADESLRKFGRPSKPSKKKSQNEDD DDEPKAEDARMSRKILDLAREQQEEVNRELGEDGDEWEDEDEEVAEPSRRPREIAQIP SDDEDEEEFEEGDVSGEEYAELEIDPADHATLDALNAGNNARGSDEPGEPPKTLADLI FSKMEGGAVSRGIEDEDEGPPDPKKGLNPKVIEVYTKVGFLLSRYKSGPLPKALKILP SLPHWAQLLALTKPTEWTPHATFACTKIFVSNLKPTEVRVFLEGVLLDKCREDMRLNG GKLNAHLYEALKKGLYKPAAFFKGILFPLCETSCSLKEAAIVASVLSKVSVPVLHSAA ALLRLASMDYSGPNSLFIRTLLDKKYALPYKVVDALVFHFIRLANSQRSRTGEDKLPV LWHQSMLVFVQRYGSDLTPDQKDALLDVIRARPHPTISQEIRREILNSVERGAPRPED GEDVMMK
I206_02333	MSSNDKIPFSKIAPSSDAEWAEALKCYARDREYQKEESSSNVSK HKIKDIHRSANTHWSASQSPPTQDVTFQPLKSDETNSKPPLVHHGHSDDSMDAGIGGI PYKKPENIPGPFKCIEAATEDVPSIHQYDNQPSNTHWHNRQYSTNGEQSINFSKNMAA IEPIPLAFDTARTIPQERLESDFNSDDFRSADKERKRMQQFYEKNGWLPGPVPNKLNR LKRRRAIRRLGLIGEEEDGRKSVLSKYAEMAELVFDVPRALVSVIHDEVEFAYSADIN KKPISRPTQQAACSHVIDIHDGDCWIIADCTNDWRTRDHPLFQDKLYKFFAAAPLRYH GKDGSIIDFGSLNIYDTEPRYTFSQRERSLLLKLSNMLVYQLATLQSEYMAKRSTAMY EASISFLRRSFVSNPSETQSRRGSRRVSSSHASPSPPQRLPSPRKKMQPPNVSTSTAV ASKLGPWDDKDARRLAIQSDRTLFNDAAETLKGLLNADAVVVLNMEDYQLFIKKSSSV SSHALEGKKGKDRIRSKEKIIIDYMNGDPWPEDIEPVINYIGKQSSQAEILGSAFSKG DIELSDQFNFDVPDVEDTLGEFLKTYLSKRQFWWDREENTDSLSGKIMQLMPQQAQTA LGTTFLGHDGKIKFVMFATWNTPPSSLVDSSMIALPFVWILGGCLMAALAMKKIRALE QSQISYSNLQAHELRTPLHQILAITQLLRSTMSDLAETNSSSTPSKLTSTEQIRDMMP FLDAIDTGGKTLHGIVDNILSFLDLKGRESSRSFGDAALLAAPSGTQTSLETMLEEIL ENVMLEDQKSRKANGQPDVEVETIFEIIPPLLGEQVSEDAGGALRRALTKILANAYKF INNEGCVEIYVDDVVDLLPPEGCEDIALTRLISIIIKDDGRGMDPAFVNDKLGQPWAK EDSYITGSGLSVHLAYRIIDLMGGCMEITSAQGAGTTVQIDVPLPLRSIPFTDLHNSE PGSRRESTDSIRQLTVNQDSINVGRKVYLLGWEGSRINVVGKALNRQYTKLGCEIVND ISQAQLIIAFGKVEEDLELFKRKIYSQNLKTDNLVYLTSENHKANSEVLKLQDEKQYK VRRFKRPTNPSILRETLFPNHSKRLQDTVKEENNNHDNNDFKRSDSHVPSPTNEQADL EMDSGTINTPHLMSPNGDDMGEPFDSKGIKGLYHHNGSRESNQSTANRENSLAQISEA SRDKLDKDATSTKSIEDVIADLSLGIYSPVDVNPQQLTADRDVDANEGVTKEQSTHNL QINNLQQGRSQVKTGDSSNSNLLPNNNTSVASGKDTQTDTVGQPSNPSICPTKSVNKN NHISLASNPNKVKVLVVEDNKINRTILVKILSSTLSSIIEVYEAEDGNYAIEIFKKLI SNNNNNQPIIVLLDINMPKKDGFQTCLEMRLIEKELKIKSQIIAVTALGSEEEKRKGL VECDMNKWFIKPCSKSTIIEIVKQAYNEISLKN
I206_02334	MSAGAWIRWALISTSIIGGGVLMMRATVPTEEEFYNKLSPELKR EMDKIIRQREGSQTMKERLDAAGEKDEIVWGDSISSSTSSTSTSKSNSSGFGSSGFGG TRRSF
I206_02335	MPPQPPAPPVFYSFPTTDILVDSLANFVVKAQRDAVDKRGKFTI ALSRGSLAANLKGLVGQENVQWDKWEVFFCDEAAVPLDSEDSNYHSNILSFLSDVPIP PNQIHTVDASQLQDLEELADQYEKQLIDHFAKSNAARYPTFDLMLLGIGPDGETASLF PGHELLTEKDAWVAYLDDAPRGPKRRITMTLPVLTHCYRAVFVVSGNEKAEMLHAVLD EPEKGLPSSKVRPASPGLCFFFADKDASTLTKYPPTAFRWIDNEKEAEEAVAAAKKKA ARHAEEGGE
I206_02336	MSYPFIRYSKPLVLIFLLTVICILLPSLYKSRSISSMNDVCSIE DTNSCLDEDTGDLALHGIQIDLSRIVYNIRKSIRDSAKSYDEDHYTKDLGFKIKYQSL SEYQQHLQSTYNHYFTSSKSNTDLFNGIASYLSIIPSSSAKFLPKNVYTTSLEDSNAL PDQFNSWTSNNEDWNIRFVNDDEIDSWLNGIIPFSKLVEAMKWLKDDGRWGVVRSDLF RYLVLLLDGGVYTDTDTACIRPISQWGSNALNFHFNNPLIEALPQLLSLSKDNENSKY PNELENIEDSPSLIIALENDSPASGSDWKADTFVRGLQVVQWTIAAKKGHPILLDVIG HALNKVEELRKAQEGDVEVNDEQEILEWSGPGAFTDAVFRYLLIRYGFHPNQASGLDR PLRIGDVLIMPVHSFRADASEGYQGDNQVVWHGNKLNHAGPSDSANDKRSDISP
I206_02337	MSLDISGMPAEQVEAIAKMAFGDNLGLKLGPVLLGPIFDAILYG IMIIQFQNWWTYCRPTERRVINYLTYYIMLAASSWTFMVIAYSMHNFVYNFGFYTTFL KMPYPTAFPSVGFGMSAAVQAFYVERTFRLNNRNIPLVILLIACILGELTAVIIIVVK CTKVSSELQAGDVIMEIRAWQCLTLATDFMITVSLAWGLWRAKTGWSHTDALVKRLIL ITLETQLAPTLVMLGFVIEMSIFPASTMGIFFDIMIPKAYTVGYLATLNTRVHLRRDQ TASASGGKGDQTRSNAYHLGSGRLQQATVQVDTDTYVESYQLQAPKQGVNRDLMYEEE SIENLDYAKNLSKRDLNQPNDKF
I206_02338	MGYSYMKLIFLLAGTCNVLAAHRDYSDFKLIKREEDIVPNLWDA DGPKPIDIGQKPHSDWLLCGAAKIAKSNESMIRDLFEPSDKGTGANQPKSTTVKLYND GETKTQLVNFNFDYMDDNSVNTKGHKNWWPAALEVAAGLIRDSDYDDKKIKADVGLTM LTGKLAKTTQIKKRNDQVHSALWDTLMAASKSPTCIEKDGRWYGVMSTQDRTSDSEGQ ITLFDPDKHEEVVEGWNDLYKATDYYSRLEETL
I206_02339	MTFFLLCLVPLLGLGFTIAPLSTASPVDLGVSATEQIVKRGDHP LWRPQPQYIDIKADKFEDDWLLAGAAALANTDYRKIQDLFSNVTDPKSNVDEVTVKIW NNGEWQKWNKGKEGNGPPSKEHKVVYTDITADYSGANQNWWIAALENAFIQEGGYNGI TPNGFAKGDPHIALEMMTGSSAKLYNVNEMSRDTYEKDLWEALGKAQQQPICIKTNDK TDAIALEKKRWYAVLQVQGESHARQVYIWDFKTGLKVAIEYELLADDIGYYVHQEGPN 
I206_02340	MARNQEKAQSMLYRFREQQAIDMGMGNRIKGDRRPRMASSVSSL RECERWRGDIMRDINRKVGKIQDVSLSNFEIRDLNDEINALFREKRHWENQIVNLGGA NYKRGNVAMTDDQGREVPGTRGYKYFGRAKELPGVKELFERGATQATEESARNASFQM FRHQGPSYYGDEDEMDATLAEEEDELAQQEWEAAALASAKLLDVSDSTDIPPYPIAST SSTIAAPQASSSSSIKRKAPLNTEETDDVDANDDVEDDSSKKAKTTEVVNGNGESSVI PPKSIDEAMKSVQSTLSSFLSVLDPQSLQFPTLPNASEMEGVLLEVRKKALRDEYGV
I206_02341	MSHTPTSTAPAGNADKKPSLTGVRIKQRKGQAKATAKFEPETFR DALLLHLALIPTPYTTDSLVTKLVQAGTTLEFLKYSEQLFEILFIGGLLQPGGSYLDD KRSPIYILKENSEEDPTWEQDVGVKGMIEVLKRVIQRYKYLQKPLEENFLPDLLGYLP KWNNLESRNKLSEAIALLVIDLQISPKCLISLTKEHVNKDNSALNFFTHFAKVILSKQ TIDQFSSTIKRSGLKDILSVFPLQIRDKKHLDDHFKKEGLQPIVDWYARLALGEVKEE TINSVERMISDEDSNEQIIETLKTQQAEKPVPESDLVDWVWQGLMRTIDMSARADQID AFVVQYVAKYAPVLEPFTNTAKAQVNLINSVQVACHTDTRIIKSFVQILKTLYNADVI SDQAIIYWHQKGAKPNGKQHFLKATEPLVKFLEEQDSDEEE
I206_02342	MAIRNSPPTSAQSESIVKPYPFFAQTLKTPTTLNSNDELVKRLK DIVLGHVASGSRQPVVLGRNDDGFVESSTIFNGAVKTNALALVKPITAQDVSRTVVFC RQHQLELSVKGGGNGVHGWSVGGHVILDLSLMTDVTISLPNPSPPTLQESFERLQLRR DSSEPETTEEPRRPSLASTHAGSSDTLATKRSASDDFITDGTDDDGARRKGKFDGDRS GPYSPMERIDETPQSCDKPRSSSAMEEDKNGSFSGSRSGSGTRSGSGSRSDSYGGGQE STPATSFSGASEYTRSPKSPLDDGYILAGQSSGFSFSSSTSSNPSPEAGPSSRAGPRV TYVNPSQIPTTSFPFVPTTFGANSSTSSSYSTSFHPTFASGPSTQLTLNTHPDPPPYT LVTFGAGVNSKALDAATAASPYGAFHVPTSAFPVGAGQFISGGFGFIGRKHGLAMDNL VEVEMVLADGRIVWLGQGGQKGGDWKDDEDPEEVWWAVRGAGAILGVVTRFRAKAYYL PSVYAGNLIYLFDREKTPSLLRHVRDCIKGSPRTLYTNIIMTAGPPGAPAIVVFQLCF SGARAEGEMYVQAISAWEGGRSLFQDFSERKFERQQLAVEEILKGGQGRKWFIKSDML KSLSDEVIDETCSRFHSVPDGCTWLFEYTGGGAIADVKDSCYPLSHRESAFTVAALHQ WSHNETPIEDTRCVTTAEEWINEVIHPNSPGGPLPCFLQSSTSSAVAAVYGESFPRLR SLKKKIDPENFFCHAMWPQNLHDEDGIDGFGEDIKEGKIDSIDENDIDKDGFMKEEDL PERITSTNDGKGKGKAI
I206_02343	MAPSPSPSARPPPTGPRIPPTGPKALRNVNGGPPSAPAKQPPAG PSALLNGKHDKISFAFPQRATALPEPSSQAGPSRSKINSLNIPTSTGSPSSTLTDVAK VINLNGIDESGKSVKSNSTSSLTPFRINIPSGPSPNRSVGLKNFPSTTSIASTSTSSS SKLPLKTEQQDSRRIPTSPKKRHSKKLSSDTGKSEEDTSYRANFLPTDGTSRAKVSIS FVGLPIGATASSSFLKRPQPPHLANLPFERSSPNGSPPPLPPSSPPPPPQNGSRPLTP PLPPDDNRPPTPPPPPEYEDGLPPPPPSPPTQAPSPLPPPPPLAPKSFYNSASSSRPH SSPIPLPPSSSRPPSPPPIEPPSPPPPAPPSPGPPALEPTAPPSFHFRDLSMRRLKSP SEDAEHEDQDVDMESGQAPQTPPSPPTSPTPPYIPPPYIPPACVKPRPGLGNFLVVGK EKRLDGMLYDQPVEVFDPRLKLTKEQLHKGRGVMKNRAGFYEVEYEWDEYSTGPKPPP PPAAVLITGLSPLTTTDQISKFLRPYGRIKDIDAKMDNKSGMQLGICWVKFDGPPHGK KGTAHEVACQVVKACDAQRISLNTNEKIKVVLDGRGFRTKKAVEEEMLRRYPPPKPKV LPKPPTPALIPPTIAGTSTPSSAGAQTPRAGADSSISSRPLPTIPKPSSGSLPTRPAF RPPTAPANFHTSLPSRPGALPSRPTTSSTYLPSPSSHLSNRPTGLPSRPNAPVQHLAS SFTAAPFSRHASDSYDYNDRGGKRYDTANSYTPLSRRPISRSRSRTPYSDYSSDYTSD SEDDIRPTYRSREKSPYGRRRAPPQPSKVDEQAVEKMKAALASNGLAHVFIDSKFLPS TENYSNYVKDHFKMFKPAQILHNHIGWYILFSDNFSAYRAQRVLDTTAIQGHKMTLVV KTPPSARKLDEDRSTATAGDVEVDKGSGGTWKYLTITKKNRPAPKTIDIPTGPKADRT KADTLRRKIIDSDQSSDEEDIPIVKAKKRLPSFSSASSLSDDELVPSKTTKDNMAMDI DEIAEPAKETIKAVVQEHKEETPIAKGKKRPGKAVKVTKKSKKARLDSPVPTVEIPPP AEPEVAEITIDKPAEIEEVIKETKKVVSGKKKGPKSEFDKFIGSAVLDEEDAYWLGKA LESAKTGIEPDFPSAEAEKDEGLLDEDHPLYHKAGSWRAEGFKKIPSLAKSSYLPQRN KATKAASTSDDAVMNAGVTTGRTARLAGRDQNRQVTTSTITDSELFAFNQLRIRKKQL RFARSAIEGYGLYAMETIVKGEMVCEYVGELCRAAIADVREQKYLKQGIGSSYLFRID NDIVCDATFRGSVSRLINHSCDPSANAKIIKVNGQSKIVIYAERTLHPGEEILYDYKF PLESDPSLRVPCLCGAATCRGWLN
I206_02344	MSNFPHSSSIAASLSTSPYAVQSNLENLINRARVHPHPRRGSTA TYPDHEPNEDSSPFEESAPTITPGRRRPSTAINPANPVGPQIGTIQEASYEDAIIFHD DDDIEDSNERSKLLDKDWSSSSRRESRTSRKSYGSTSRRNSRKSRSIEGLSGNGMKMT SSSSSNHTGGNSRSRSKARTPPRKDNLNHHHHHHHQYDKDHDISRDSSNVPSEEDVEI EEDGRRGRGKNSRPLSRTSSPYISDVGLPGPGRRQSVTVRMADDSSGDEGGDVVRGLV QSAGGAMFGGRSGLGMNTGIQGGAGPMDLDPVEELDAVDLELPVNEEGVEIRIWQDAL RAELPIILRSSIPIFFSQLAEWSLNLASVVSIGHLGTTELAASSLASMTAAVSSFSIL QGLCTAMDTLLPAAWTSSDPSRVGLWTQRMAVVLTVSMIPMFMIWWNIEGVLIALGQE PNVAKYASSYLRWLSLGIPGYGGNVLMKKYLQAQNLMHVPTYVLFFVAPTNLLMNYLF VWGPDFCRLGFVGGALATGMSYNLAFIVSLTWAILYGPREAFHPVKFQHCFSKLGTVT SLGLAGTIMLSSEWWAWEACALAASLLGPVHLAAQSVLLSTASTFYQVPAALGIAAAV RVGNLLGAGRGWEAKWASRASLLWSLIFAVINSSICVIFRKNWGYLFNNDSEVVELVA EIMPYIALFQLSDGIVSTAGAVLRSLGLHTTGALINLTSYYIIGLPFGLWLTFTPRFH LGLIGIWVGLSIALAYASILEFWMVWKANWTRAVERIRERLGLPAHGQIGEDGKWDLN DNDDNQNHNGRYRDEEEEGII
I206_02345	MSYPYLAKTILKYKSPHATDLSFAKDETIRVTGPSDDDEDWLVG ENLDGSKSGGFPKDFIIAIEESQQSKVDSDKPVPTVTEPKEPLKEGLKNEQYEEVPPS KPPVSILEPAPPSPQLDPSSRLPTPSIAPSPAPPSPSEPPTSTLPPNPSALTTNTPVK SSQPKATNENAEDSPPKPQSMKDRLAFFAAAQNKPAPPPIKPKPATGGFTWSQRQKLR QEQEAKEQKSNPPPTTTSSTTSELSKPSIAEASAPALVVSIDEKEGEGKGMSAADAVS SITKGQSLKERMAALQNQGAFGAGNGEEKKAGPVPVSSGKVWKRPPAPPAPEPEFEGE AEEGSGVAEQAKSPVTERGDSLDQAGVQTKRDDEEKEQVEEEEEEEDEEEKEKARRAA IAARMAKLGARGPMGMMPPAKPVRKPTKEVQSPTEEKSEPMPTAFAAAAPAGGSEEDS GSKETIAVPVPVSIPEPTTSESGLPKSIPIAAMPRRTAPPRRRGPTATSTSQANTAVS AEEARANPKEPENRFEGTDENGLPVPPPQVMVAGEEDPLPKTERQLEEEKQAEEAGRG QGGLEGAQAAGIALAPIHSSASQDNVDAPIEQHDEVAESESGSPEEKHVPLVGAIGGA DAPSRGAGIASMEGEGEIIDKSARASHEIEEALVEGGDEKDDILKEAQGGRLHLKQSS EDPLYEDESTPLSPDPIGMIPLHPPANDGSIGEGDETPPLPPPRSKPRGLSMDIPLDE LELRHEHDHTHDQQADEDDEDDMPPPLPSARRASLDKPAGPRPLPSPRREGRALPPPP VGSAMIPPREDSDEDQEEEDEEEEEDVPPPPPARPHQTPGSINPDAEDEEDEIPPPPP HARPFPPPVQAAADEEEDSEDEPPPLPSARVLPPPPAREPQDDSGKAYPAVEDEEDEA PPPPPPARQPSMPPPIQTSVTASAAPPKSPAAPSPVTASSPTMARALPSQEMPASPKA EDEEATRRSGIAARMAKLGGIKFGMPPPTFKKSSRDVTSPPATEAVLPQTEELQVDSP IDKPPPIPSPGAEQPASNATSALAEPAGGGEETPEQEAARRRATLARLRAGGALGGFG LFNHGSSAPSTEAEDTRGLEAEKLDAAEAASDDAPSPPARSPVTGGRLSLGPPANVNE AETTEEEAPPPPPPGRPQPTISTSIKNEVPVSSTPGPQTPTSPMPASPVRTPSGRRPP VPTEKRFSQSYKRSSTTSSAKAIIPEDRPTSIASDWQISDEPAVIMSQQQEGTQEPSE DAPPPPPPVRPPPPPQAQSQQVSQSPPRRSSSFLSRRSRSSIDIPPTTPSKASQHDIP VSPAQPSPARQSSISRQPPAPPAHTASAIGSQGGRPGFDQLKEASATYGAQLARSAHQ IFSGGRKAQVGDGSPAGFVLLAMDQAQLPRPSQGWGQVVFEQEAGSILRRYDDPRPGD IAAFHDAKLKGKKGLSTYNQHVGSVQEPLIGIVAEFEDRKHKLRILQVERGVPEEVSY RCEDLKSGKIIIYRPGL
I206_02346	MSSAELQEKISAARREADALKDKIRAVKDQTADTSLRAMANDTP PLPRMTLKVRRTLKGHLAKIYALHWAADKRHLVSASQDGKLIVWDAYTTNKVHAIPLR SSWVMTCSYAPSGNFVACGGLDNICSIYSLRGAAPGGPGGQVKVARELSAHTGYLSCC RFINDRQIVTSSGDMTCMLWDIEQGVRTMEFNDHTGDVMSISLAPNANLFVSGACDAT AKVWDIRTGKAVQTFTGHESDINAVQFFPSGDAFATGSDDATCKLFDLRADRELNTYA HDNILCGITSVAFSISGRVLFAGYDDYNCNVWDTLKGERIGVLAGHENRISCMGVSGD GVALCTGSWDSLLKVSTCFFCFGTFVFINLL
I206_02347	MKFGRRIKDSRYAEWADQYIDYGGLKKQIKSDLPWNDTAEADFI QSLKNELAKCEKFQREKSEELMSKISTLEKEVLGLVEKAGLQEDEDDVEEIGSGRTPG DVERHVDSHRDDDGGSDDDDDDDDASSDISIDAIEERFRELEEEVAVLVADVHDLALF TKLNFTGFIKIVKKHDKLTGFSLKPTFNKEFLERHPFYRMNYDPLIVKLSKLFDLVRT RGHPIEGDSSAGGNQNAFVRSTTKYWVHDENIVPLKLAIMKHLPVLVFDSNKEFSKAD AAITSIYFDNEELELYLGRLEKTEGAEAIRMRWYGDVTGITIFVERKTHREDWTGEKS VKERFTIKEDKMNAYLAGHYTMDDEFDALVKKGKKTEKEVEGMKQLANEIQYAIITRK LRPVMRTFYNRTAFQLPGNASVRISLDTELTMVREDNFDGNDRTNGNWRRTDIGIDHP FSNIANSEKELFPYGVLEVKLATKVGEEPPQWIRDLINSHLVEAVPKFSKFIHGCASL LPERVDLVPFWLPQMDQDIRKPVSAKSKVLIERPHSNTHSTASNTNSAIHSPARSSQP SYHEPVSEGEEDEEFLIATAKNEDEHLRLPPGAAAEARAARDFREKKLRDEANLQASS VNGRSSSTSQKPNGEIERRNSSNKYDPSLRIDPLASSDRFDKNLSLLDAKSLKKLNEA AAQSGKDGKLNGKSNGQAPIQEDSEDEHEHEIDDEEDIQGDQVIYVDQFRAPPGKRIA IPVRVEPKVVFAAERTFLKWSHFAILLSGVSIGLLNFIDPRDSVGMLSALLFTITALL AIVYSGGMYVYRILKLRKRMAIDYHDKYGPTLLCAALIGSVLVNLVLRLREL
I206_02348	MASAVKRTALKLGMIPADGIGKEVLPAAQRVLEALGSSIPKTTF IPLKAGWEEFNKNGKALPEETIKILKEECDGAMFGSVSSPSHKVEGYSSPIVALRKHL DLYSNVRPVSSIKIPNQKSYHQCDMVIVRENTECLYIKKEELEIDSKDGSKKAIAYRQ ITSKASSRIGKMAFEIALRRGKEREIAKKSGKSVWWNGEPKVTIVHKSNVLSVTDGLF RESVRAIKEGTDRYNGVKMEEQLVDSMVYRMFREPEIFDVAVAPNLYGDIISDGAAAL VGSLGLVPSINAGDDFVMGEPVHGSAPDIEGQNIANPIASIRSAALLLSSLGYVEPAA RINEAVDAVLSEGQYLTPDLGGKSSTTDVTDAILKRL
I206_02349	MEDEEPPQLLDVSSPEAGPSNVDQYDRPKIPLTLLTGYLGAGKS TLLDYILKEEHGYKIAVCMNDFGDTTDIEAKSLTLSNPDSQSTSTEFLSLPNGCLCCS FKDMGIAAIEEMVANAPGGIDWVMVELTGVADPGPIVRSFWANEEMGDLILDGVVCVV DSRNVMKQLAEQREGDEINECQKQIACSDVILLNKLDLVSSDQMKEVESTIRTINPTL RIHHTTHSKMPLSDLFNLRAFSDPTALTSDIKPTVQEDQHQHKNKHDHDHEHFNEEEC NHPSHLNGISTITIHLPCLNQKQYFLLNEFLENLLWESKLPKPKTNNKISFEILRSKG YIILKDERKFVLQGVADLFELKELPLNSDNKKIDVGEKEIEGKIVFIGKGVGEDIKNA LIEFLGI
I206_02350	MKFIASIVSTVALLGAAIAQQTSGDITVNSPASLVQCQPAALSW SGGTAPYIVAAIPGGQPSAAALTTISQSEQGNSLTWTVDLPANTQITIKLTDAKGSIQ YSSPVTIQSGSDSCLNGASSSGSSGNSTSASTSSAASGAVAGTSASGASGAASSAVTS AASGAASKTTATSTAPTSTVTGSSPASGSAKPSGSPSGSASGSLAPSASSTGANSGAL PNGIVTIPAVVFAALGAIFALF
I206_02351	MRPTSSGQRGGAAAVPGSRQGCFKCGNLGHIAENCQAPERLCYN CREPGHESTACPQPRSTDGKQCYACGGVGHVKSDCPSLRGNFGGAIQKCYKCGRGGHI ARDCRSNFTGGFRGRGGFNGGFGGRPRPPPVNADGTPVKCYRCNGENHLARDCLAPRD EAAILAAKKCYKCQETGHIAKDCPKAEELPVAE
I206_02352	MGCTSSKSIDPRYISGPIPIPSTSYNNHSYPPPHNHHDTYSQSN PTPQFNSPFESHLRPYQFPTYSFAPRDLPPDHPFANPYQNYMP
I206_02353	MSSPRPRPTARSPAPMNYPPIYTDDMPALPTPLPPTPTPSIHSP TRQSSYQDLKNMMVEQPIAGPSHGWRPYPNPPRHELPPTPPIHDDDDRESHDRRAGSA QSSSINFNEPQLPTRRVQPTRRPASAYPALQVGQKSHPPQRKYTDPTAHSTRYDQPAH QLDVDLDAYSATEFDTEEGMEEREPTLSFVTSSTLDSTASTPSMGVTYGYRTDSNDED GKVKIRQTAGRAHAYSSAESSMASGAYSGYGYSDQIYHPHPPPLPHLPSNFASPVEHV GLGISADFPQRSPPIESPSSNPPLSPSHSFTHRPWKRDMVNRLRSDSTSSSVTTASCS TTESGPSSSRIPPLDNAFTIAFDSFNSPWQAPARAEAIAMVDEGRENILDVEKIEALG GFASLTIEMIGSFAGVTHLLLPSCGSHIISFLPSLLEILAPSLVALDISDNDLSFLPE TLQSCSSLEELNVSGNPLRQIPMWVGDLIAMRVLAVDNCGLQSLPVELAQLGGLHTLC ARGNKLVSLPSWLCLLAHLEMLRVDNNPFAPEWLPIVNPILAGPSRPSAGSRKNSHHR HLSINNGIRSPPSMASLTSSLTANSLRDVAESSTSISDQAWATTPNSAAQSVYQLDSI AEDHPHSAPPTEQSTQSKALRKMRSAGALLGSKNNSPTQQTFANLPLPATNTLAPTTS ASRFASLGSNDGRRAASAMGNYQDEKSSSSGSRLAAPGMTASSSTKSGKWGFLRKMSM HRLKGDKEKAATITASASANLNSLPPPPPPQLQHMNTDPIPSLPPRPAMNATRSAMTL PTRSIFSQEASEFGQISLDSPVSSATVPISSGLPTSSSMHGSIYSTAPSRGKRRSFLP LDLGPPSIEITIPATSPFIPPLGGFDSLDRLPSATSEATIATMTASNSRQLVESPSAS QENRYTQGLESIKSYLRDLFDLSRPPIEPYGGFEVVGSHDGSYAASSTPSENPGSPMS GVTGQGTFKRGRRPTLDDQSSRATSLVESEQDSSEQSSLSGKKFKNDKSKRAKIIREI YETERTYVRGLGELVSIYVRPSSQPVNPNKSNETVVPASERKIVFGGVESILTIHRDN FLPALEKAIKPLLEGSDDEDGSLSSATAHHVGEVFRTYIAYMKQYSTYINNFDNALSR MKTWVAPSSTPNTPAFSSKSSPSPGISAAAVSVGISAISSLSIGPDSVPISGSQMSSS QKKRVKTFLKRCKENPRHSQINLESYLLLPIQRVPRYKLLLEDLAMSTPPRSDGVRDT LDDALNEIASLASLMNEEKREADSRLRLLSWQQRISKSGPSPLVQPHRRLILEGALSL IRLVKKASTFVETESNSSYFNMAADNDQTLTSSTSSKVVVPVEYIKPELVDRQVMLVL CSDLMVLATQRNEGWEGTVDLFNVLRMATLREPASIVHGNVLRVVDNKSIYYFNGTSH ENTLQWCRAINSARKR
I206_02354	MALVRRGLHSSAINRVPLLITPKEYQDLPKRTTLPLDVSWHMPN STRSALAEFLSGPRLPNAKRFDLDEVAELDLNENPLSLTHMLPKPERFAEECRKLGIR NDDHIVLYDTIGIFSSPRALYTFKVFGHENVSVLDGGLPRWIEEGYETENGEVKPTLR ESQYKIPESWKKDWVRSYEQIVSNSELSNSDPISELILDHRPLLRFTGESEEPRQGLS SGHIPNSISLPFSNYLNSPNDNDKIPYYSYKSINELKKVFEIALGGKEELNKFILNQK GVIFSCGSGMTAAIGWLANELIKQNEGGKGLKTSLYDESWTGYALRKESKIVKGKD
I206_02355	MSADKHPESIPALLASLRTLRDTNPKAIRANVHIYPSSLYANNG ESSKSGAADANSRESKLRDRKITSWKMTEHMYFNAQNPFPTLARGLFTEEVQDGDPLP NEVASGGEGRQRPNDRIVARGYDKFFNIDEVEWTIWSAMQKYTEPPYHLTLKSNGCLI LISALSPTHLIVASKHSLGTTTESQEKEAEAKAHAAVGREWVKKTLVATGKTEAQLAR KLWDNNTTAVLELCDDSFEEHVIATPAHWTGLHLHGLNHNTPHFSTSSPAEVTSFAKE FGFIQTKYVELQTLDEVKSFTDEVAKTGSWEGDMIEGFVVRCKVKSSINDAKEEGKPP YKAGAPFFFKVKFDEPYLLYRQWREITRVMLPLLNKYEPEDISAIWKKVRTKTKRPEI GVYAEWVGNMIKSEPELFNDYDKGVVRVRERFLEWIERPEGKKLWEDARSGKSKKVLG QTEKQVSKEGLPKKYLIVPIAVPGCGKTLMGVALSKLFGFGHTQSDDVTTKRTAPTFI KNITALLQKEDIVYADRNNHIPKHYEELAAITSSKAMQKYDVRLIGIVWDISSQPYHR LLRICSERVVSRGDNHQTLRPDPTVDAEHEAVVGQFLRNFTSPDPALFDNLIDVQVLH SPKEALVKIANGLVPLVDLPKPSEEDLENALDYAVEYKINTPYHAIAKVGKPIRYFGL APEIDLNEIVENIIQVIQDDSIKTSAQSIFEEIKNQNRITAKPHITLSHEKNVSIEAE ELANNNKNQNQIGTQKKLWDRCRKLAEMKFSILFKFDIKHLIWDNRIMTLSIKNLQPI LNENNSIDQQKEKKENENEDELGLNNVLPEDVKNLLHITVGTKNEEISAFESRGLMNV LNSFNGGDKGEIDEIVEGGGKVRWIKIEGVQGEGRVKGMY
I206_02356	MNDKENNNVSQSEQYLGPTFHTQQNITTISNPDNNQNIGESSNL QSTEDSIITPCTTRKKPKKQDEQLKSDSEPVLTRRSARLSISSKTAPELPVSGPSSGG KGKKRVHIDETPQEGASSKKKPRSSFSALPVPSTSIDSSNTPTQIDRKGKNRAVQSLS PSTLALPSAENRFAPTKPLTQSANTPTASGRYDLRHKSEEVQTATLGDSDKGKGKANV SSRGKGKKAAYKMPKKANTKQKRPTIGSSSDRTKKGDNSARRLDDDTLDDDDTHWVDE PSYSRRTGGLLDDDDNEDENMDRSDHDDEDEDEDEDDEEANEGGETNPSGRPAGNSYD RLARLANDTGLHLDEATAAAIFGGGFRAFGGMMSGLSNRFKQLRTNLKSRRITTRIAA LRECSELLLVSTENTLGASFSPSSFATEFIAILNGKPNIDENQDSGDENQGLDEMDED AQLAAALAMSSGGMMPALGGSEDEMECQLLACRCLAHLMEALPGSGHTLVNLGAVPVL CSKLAEITYIELAEQTLSTLEKISAEYPAAIVREGGLGALLNYLPFFSTNVQRTAVTA AANCCRNISSDHYAQIKEVFPIIRDTLSLGDQRLVEQATLAVVRTIESYRHNAQHLEG LLDVPTVTAINALLMPSGGSPLVSPSTYTHLLKALTTSARGSAKVSLAFLDAGMTSTI YQILTGVLPPEHDEDEQGGSSGGQGLAGGVADMAVLQNLAHRPKDQVEEALGLICELL PPVPRDGVFDPKLYTEKSLSRVKKGRKADRPSAPRRSSRVADATTSGASTAPPTPSGT DVPLPGEASTPTPASTSAAREAALLKAMKDANAQMDQRLELLKSNPEIIGKFIKAVVP VLVDVYAASVVFRIRTKVLTGLVKAVAFANPDQLKNTLRSVPMASFLCAIVSSKDNPI FVQHALQLVELLATKIPEVYQASFLREGVVFEIDALAEQEMAKEKTAREAALVKTEPE EAEEPSADASTSTPSAIPPIPDDLKPLLTLAGFAGGISLLGEPASGPSTPKRSSSSYL EPSDANIVRARMLQAKKVFESGGEHHQAASLVLDEIKRMVQKLCRHEANEAELRDILR DIALRFSNVDQALSSFELLKSGLVDGILEFVDIDGEVTSATRRTMLFEIFSDTTLSTP SPLTMLVKRLHESLGRLENFDVEIAFGGGNDAPRPSTSTLSRTMRVRLQAEEGEDIPK QVQTLSVTIQAIASMQALNDYLRPRVADGNYGSSLSKFFAAYAVGMSEPGGAGGNPTS RFLSALAGAPGPGEGSSSSRAPLLGTSNPAASGSAENKAKSADVKDTTDKAEEEKENK GESSSSKPQRRRSARLSAQGTGETSASAVPLGEPSTPAATAAVTNSEPSILPSMPLDM DFDDFSDEEDDYDHEVFEDEMEEELTRPTESVVNMNVVADGTRVEAKTPEGTRIATPQ QNPPAVAASGSNADTGGASTPRGSSYAGAVKTAPSDWHLEFNINGNKLSLDDTLYGAV HRNQNAISGGNSAAYGGIYNLPVTIKFRKVAGPAKNDGAAEAPSPATVTSTFPIGLEP STPTSKILRLLRVVHNLSVEGRDAIGHGLNDGGILDEKLFVNNKLTAKLTRQLEETMI IASDCLPDWAIELPKHFSFLFPFDTRYNFLQSTSFGYGRLITRSQQAAHSNNRNGRRD DVSHLARLVRQKVRISRSQLLESCAKVLEIYGTSQGILEIEYFDEIGTGLGPTLEFYS LASKEFARKNLGIWRDEDESKESDYVFHPKGLYPAPLDEKQSGFESKLSWFKTLGLFA GRALLDTRIIDVNLNRVFLKAILGQPIKKNIPTLKAIDAHLARSLERLQTYLFARKEI EALKLPASSRRTKLAALTVGGARLADLSLDFTLPGYSIELVPGGSLIDVDDSNLEDYL DKVLEMTLGSGVERQVKAFQEGFSSVFSVRDMRIFSPDELGLLFGNADEDWSRETLEQ SLKADHGYNLDSRAVQNLLEVMVGYDREQRRQFLQFITGAPKLPIGGFKGLTPQFTVV RKPHEPPFKADDYLPSVMTCAQYLKMPDYSTKEILAAQIERAMKDGGGSFHLS
I206_02357	MPFQQGPRKAEKDEQGNTVYPCLWIGCKKAFGTAGHVRRHEKTH VGITPYACPHCDKSFNRSDVRAKHVSTMHPERESQGGSSSSGILDGDDERPSKIRRLS IDEDSPNQREINLKSDEEHLRRYSTSSDHRRPSISEIIHPQNQSYNPFISPLSNTVPI PIPVQVSIPTSNQSIQDQTNQSPTINLEQLWSTFNNDPSSSNSNTNLPDSYSNTGLTP MTNALTNGSSPNVSMSGSGPAGMSMMPPPPQQQVNTGTPFSVPSVGSSNSGFIDPAHL SVGTSAGLNGDFGTDLFGAPLPPGPPLDPFDPSWEWFGHVFGWGSDENIDLDIGLQSS MFDKAGVGPISSTDSLSAAWLLCSTPRGGSPVNGEDSTNWTKAAMGMPDPFGRKDDTL WPSVFKPKVPDRPLTLAGVKATSSKLSNKFNKDPVDRNAIQSNFSNGVNETSRNAMLS LIYLSHQPHWMIPDVEDFPDAETMSDFVDLYFENFHPLFPIVHKPTFFNGDTPAVLLL SVAAIGATYANKEFGPLAVALCELVRRMIAWMRGSDQRAKFDRNTLLAFLLQTALGIA CGSREMYYHAEIFRCSIVTTCRRLHLLRGIGSAMNDLYAKEEFPTDDQRYKAYMEDET KRRLGWGVYYLDSQMVALLHIPAVFAVNEAGIHLPCDDALWEAPDAASWASLIANGEA TDPYKTRPKFLKVLAKSLAGEDIGLKMDDLGCAIISLTVWRMLLDQQMLQKALGVGLT DNGMDKPSYTHEAHVLETKPAYLLLRLAQTTYLSPSPSHLRLTPAALYHSAYIQFTRP GLMDRIRHVSGKYEPDMTTKGSLGWLKAWMKDGKEVRKILWHAGVLNGLLAEFSRGSF SELFWTFDCALVVWAIVKYAPHQITNKGLRSALFAANWFDTIPPNLWIAHGGEIVFPY LGSSSNWTVSNLLELFMNRLESMKWGLAVQYKLVLNTLLEAEKVGKTLAVDGGRPNKA SGEGKEDYTDSDGK
I206_02358	MAATSVPSFKLNTGATIPAIGLGTWQAKPGEVRAAVAHALKSGY RHIDGALCYQNEKEVGEGIKDSGIPRSEIFLTSKVWSSYHDRVEECLDQTLSDLGTDY LDLYLIHWPVRLAPNGTHKLFPVKEDGSRNIDWEWDQSKTWEQMESVLSKGKVKAIGI SNAGIPIIEKLLKTAKIVPAANQIELHPYNPEHELIKYCQDKGILVQAYSPLGSTSSP LHKDETLTSISEKHNESTATILLSYLVSKNIVVLPKSVTPSRIESNLKTIKLDDSEIK QLDDLAESGKQTRVNSPPWGSDFGFPHWYGPNNKNAPEGARILYGKN
I206_02359	MTTILSSSMICPTKTAELHYSHCIEVTIVEAPSSPSTSTSSSDY TPSTCIKATRHLAIPQLSDEELWEAWFAYPSKLGMTLHRWAEGNKSALDMFIYNLPST PPSSLYPLFLTKCRSTLSSLKILVLGSILLIRGVNHGPQMGRTLFGRILGDRYNDLMA FASKFPTGERVRLMENENVIKRSVNEAKGLQTRGNEFFAQGKYEAALEHYGMAIIKLI PWDSASLSPEISNRTGFNDVDQSLMLSIALTSIRIAQTIPGNCKSHLTIPGSRLYRLS KASCDYILHSPLSSNNNDFEGEINLGKEEELALKLNKLAKDIAEISSEESLTPNRSVR EVREIFDNSRI
I206_02360	MDQSILRGLNDKIYERRKAAALELEKLVLSSDIPRISSIIDQLC GMFSSSNSALHTRNGGLIGLAATAIALGQDVAPFLGVIIPPVLACFQDPESRVRYHAC ESLYNIAKVSKGEILIHFNEIFDALSKLSSDSEMSVKNGAELLDRLMKDIVAEAAPHY VSIYPGNYNPNLPPNHRSDNDIYNKLSGLGITNSQQESKEGRLDDNRRAFSLARFIPL LAERIYVISPYTRMHLVSWLMVLDSVPDLELVAWLPEFLDGLLKYLADGNVDVRLATE NVLAEFLREIKYIAQVQDKQAEADRLKKETRSVRKRGSRHTLESAIEEDEDAAGDESM TMATQSGYDDQDAEHENDWEGEGSGNWVPGQGVYVDHAAIMDIIIQHLSYPDELVQST AMEWILTFLEFAQNTVVAFTPRIVPAILPNLASPHRHIKLAAHETNGSLYRVIQSLPL QVQPTPSLSSTTALPPVTGGSVPPPISAIASSPPSGIALSGTSPNPIKKDFATVEPPD SAKSASQVPVNDPLDVTAPSTKQPTPSGITQTLSATNLPSHVQPVVGKSKSLASEPVT PAQAEFPTSSKTKITPSNRPDSPPSHSHTTGSQGPLSPTVEQVEDVDPFDVRETVNVL TLQFLSDHAETRIAALEWLLMLHLKAPNKILSRDSGTFPALLKTLSDPSEDVVKHDLQ LLAQISASSEDSYFTSFMVKVLELFSTDRRLLETRGSLIIRQLCLHLNAERIFRTIAE ILEKDDDLEFASMMVVKLNMILITSPELADFRRRLKNLESKDGQMLFSSLYRSWCHNA VAAFALCLLAQAYEHASNLLQIFAELELTVPLLVQIDKLVMLIESPVFTNLRLQLLEP EKYPYLPKCLYGLLMILPQSSAFISLRARLSVVHSSGYVPIASSKSSTGSTFSSAAAA TKSRLGNKEEIKWQELLSHFRSVQARHEKARRALHSNDLPSLSSTHYSSPSQSGLPAP VPPMISNQGTIKGSSTIKKKSITNSNSINGSRQNSIEVNNRYNNNNMSPLNPKRITSI SSTNGPTPSVSIGGAVASMNANTSLGIRASSPTTSRKKILGGLRKSTGGGVVKD
I206_02361	MSAIPTQQATQPEASSSSASGSVPPKHLATIVFDSSLARSKYKK LIVADGVVHKLIQRLMEKHGVERNLRLCLIITASTDSKRSKVYRKEYTSPSTFLTSLP TLAEYFPKVGREPFIEKKWPSFSDFPDEDVNFTSMKDRKRKRRIEARLLEGVKNGVDT LNRPHVEVHHSPSRTYISLTPASALPLLDTIRTPVSPADIAPGSPARRYLLVVASDSD AASRADSKSKEHNGETEEEAWNEQGWQDVTDTVKGRDMKCSCVVIGPADSEQTAAKRL KVLCKESSNGSSDAPWFSVPTEVDLTLSGYSIETGSTPALDMASTVATEQIETPITNP QNQIPIQLQGNTDGKTLQQLQGQAMQARMAQFAKAVSSASLASGGSGKIDPAMMQSMI QVMKNGGAGGVNVDMNDPKWQQIRQLLQLQQQRQSISAMTNNNGNSNAPAQNTSNSAG NQDPNIVAAIQLQRAQQQQQLQQQMQQQQQQQQQAQSQTVQQAQPQQIPQPQSQPPQQ TSQQPNINVQQQQQLLQQQIQQQQLQQQQQQLQQQQQQQQLQQQQQQQLQQQQQQQQS ARPAQQQVWSGTLSSASQPGNYTHLLNLEASRFSGSTEGILAQHWPKDLQLRNVVVLE VQTLTAYAQAKNCPIVVFSPSMTGSDNNNTHKYTQLANSLHAKGNMVIIPFGQQDRGI VLFSAPLPTVPATPSGSRSYKLMGVVCLHVPFPPLNAVNVKSTPTQVPQQPEPISQQQ QQLQQQALQSRQAQAPQVQQAQQFQQQPPPQQNQILSQPQQILQQQQFRPSPSGQGVS NNASMGNNNIYQQQQMMLQAQALNQVQPQNNLQQQQQQQQPQQQFLPPPPITQPQQQQ QQFVPQQPVEKKGITYQQYQQLMIHAQRFGLNVQQFDYNNIPSGQVQALINGIKMAEA KLRVQQAQQAQQQQQQQQQQQQQQVPQQQQIVQNNPNSQFQLQQQQQAQQMVQLQQML AQQGQFGNIDPQSFQQ
I206_02362	MSFKKDEEAGGMSFYHDKSTILQEARCFNESPISPRKCRALLTR IVYLLYVGETFSTQEATTLFFGVTKLFQHKDAALRQMVYLVIKELSTIAEDVIMVTSS IMKDMQPNLEVVYRPNAIRALARIIDSQSVQSVERFFKSALVDKSSSISSASLVSSYH LFPLSPSIIKRWSNEAQEAVNAKSVSSFSSNASAYLSGGQSGGYQAVASSSYIMQYHA LGLLYLIREKDRMAITKMVQQLGSGSKGSGIIKNPMAMCMLIRFARKVMDEDPNVRKQ MHEYLETLLRHKSEMVNIEAARAICEMRDVQPGDLYRTVAVLQLFMNSPKPVIKFAAV KTLNKLAQILPQSVATVNVELENLITDSNRSIATYAITTLLKTGNEASVDRLMKQISS FMADITDEFKIIVVDAIRSLCLKFPAKQAIMLSFLSGVLRDEGGYDFKHAVVEAIFDM IKFIKDCRETALAHLCEFIEDCEFTKLSVRILHLLGIEGPKTRNPTKFIRYIYNRVVL ENAVVRAAAVSSLAKFGVCIDDKTVMKSVNVLLRRCLDDVDDEVRDRAAMYIKVLEEK SLADVFVREEATFSLAQLEEQLVSYVKDDTKHSAAFDVSSIPRVSKEAAAAEIAHNRP SALDIAGPSSSRAAEPTPQASSSAEGQASSYAAQLAAVPELESYGPVLRSSSKPVELT ESETEYVVSAVKHIYKEHIVFQFNVSNTIPDTVLEQVAVIMTPSPDSGLTEDFIVPID SLNAASSGSGAIYVSFTRDDPSTYAAGTFGCTLKFVSKEIDPNSGEPEEEGYDDEYQV EELDLGAGDYITPTYVTFSSEWDKLSNSANLTETFALSSSESLKDACKSLIEVLNMLP LGGTETPSSNSVHTLNLSGLVIPTNNSEKSTKVLARCRMTYSPGNGVTLELSVRAENE QGAKLVMAAI
I206_02363	MRLINASILTSAILAITASAACTPNTVDTAGLQKLITDGGAGYT LQLCSSQIYELSEQLNYTAANQEISTEGYPTDDTRATLKMVGFNKTTSVMAQDAGLNG AKLKNIQIDGNRLDSEDIYTAGGANIEFGGQNQNQIVEYVKSYDPRGWSCLHLAEGTF NCLNITVQNNDIGPCGRDYFQNWADGISLSCADSLVQNNQIVDATDGGIVVFGAPSSI IRNNTISVKTRTMLGGINMVDVLPWLPEGNFSNTLVEENNIFGGFSTELGNQTFGFNN FSSIIKIGIAIGPNVWFSDERYGTNKSTGGIIKNNKLSGGFSFGIGLSSSKDFQILNN SFIGNVSFFGEYGPNCTTNDKTPNQPVPLLIDYNSVENLNLSLPDNSKFQFKNGTALG LTCFLPPTSGNFWPYGEGFNSVPSPTDQVSTNDGGASQTSGSGTSTSPATTSSQSSGA SRFAERSQKMIIGIGLGLLGVLLIII
I206_02364	MARAVKRRSPSPQSGDEEDSENVRAREMKRVKREKGIGRPEGDE DGEEAGLSDISDSTDSIPDLQGADLSDDDESGDDFEESKWKDDVLVQAYEESKQRQKG YIGATSEAGILKSINLVDFMCHRHLTVEFGPKMNFLVGHNGSGKSAVLTAIAVALGGK AMTTGRGQGLKDLIRKGADKAIITIVMANSGPQAFKPDTYDPHIVIERTIASNGSSGY KFKASRDGKILANKRSELTAICDNFSVNIDSPLTILTQDQARSFLQNSDAHKLYKFFL SGTQLATLLETYESSMENIRQIETHIKRQCEAVPVLEEKAKTLGRKLAASEAVVKQRK RYKDTLNLMAWAYVADKEKERAEGQKQVEEYDSRLDQAQDQVNNLEKKSIRLADEIKN TEDDITNFQTARLPLLQAVEDARDKVKRAKREVAEIEIDINAIKDDIEADNGGLRMLQ AKIDAKLRLNADDQKNDHAKLLSDRGKFEDLIKKFKAEKPKKQQQYMTKKSDLKFANN EVQDIQYQIDQQNNNARHIQEKISNLEGQSLNRLSAFGQGLDNVMKEIGRTRWRHSAP LGPLGMYVKLQDHYYRDIIQTILGTTLCSFAVRDPQDRSTLIAILQKHLRTGYRPGTG QNQVPSVLLHHGDVFDYSRGDLSRVGPTILSKLDVEDDNVLRLLITLHRVERTFLART VQEANNEMKRLHQSRTLDHVTYYSADHQQISGTLSSKQSGPTGQWRGFTLFTKDLKDE VQRARGDLAQCEIKIHALADQKRQALQKVKDIDAEIKEITAGLNKLVNSLPTAEARLD EIRGKLAEMSSTEMENWEADRERFLDEKQRKLDDVARYGQSVLDRQKDLDNHDPQKDK QTKLLTTLVHQRADVTAKRDHYLGSQRMYQQKRDHAAAQVRDFDNQVKEWTDKARNFC PVRVTTDRAVSELTQERNTLEASIKDAERQLGVDTSHLAAEHKKARKTLKEAVNNIIQ MRHLNRAFNSAIRIRRKWWAETRANTAIRAKTAFLHFESLRDMEGRLDFDHANEKLQL VIHSTTRRENDDGELTQRSHYKTAKVLSGGERSFSTVSLLLALWSTVPCPIRALDEWD VFLDAANRKVAAKSLMDGARESDGKQFILITPQDMAGIEVGGPDTKVIRMADPNRDQ
I206_02365	MSLLPYNYNPSFSPYPSYPYPLAQSLSTPAPVYTLLIIGMVLFM AANVMPNLIPQADRVWHVAPMVLQWGFIIIIFALMVQMLGIVPGVTQLHITYVHMAIA SLLFILMWNHIVSDTPVQEEKTSSSSSSSREKPSERKKEAKNKEEEKEPSPWDDLRSH PSAFLTTRLGKLMPWPFPMGQANRKGNELWWEKGMPSHVGHFNKPDPELIKKEKEEEV KRKKEKEKAKLREEEKVKEAEKVKEKEKAKKEIEMKNKMKEEEIKKAKEDAKRKKEAA ERKVIEDKHKQKLWRTKYLAMIIGISLLNKTLGFLLLLFFSLQMVSQELNTASPLTIS DSTTSERSSTTSRTGSSSSSTSRSSQEEKEEMAKLAALKQKEKERAAEASSGSSSSSS KDKDKPISSDAIRKASGSTTVTYVDPHAGGIGVPYSVGFGMHYIHTPDALKKEDLTLP SMTIPSTGMSHPLMTSTYSQYAN
I206_02366	MSAYVNKYSGPAPPPRDIHLNTSPEAYDLNYVFEPKNLKSDKVE LRPIIPSLHAQLILDSYTKSPEVLRYLGFAPFKDLGDVLVWIENTCRRAPDTLMHAIF TQPLSTPAGTNVDPKDYVFAGIIGMIAANYQAMICEPGYIMILPEFHRTHVQTHATGL VMHRILDHPSQGGLGLRRCQWITTTLNIPSQNAAKRLGYQHEGVLRCMRVLPPGKEGA RDGRPDVRQSDGKVRDDWYASVTWYEWEESVRDHIDKLMNRQ
I206_02367	MFLGTTTFVICTLASTILAGPIAKPVGILPGQEEASKDLIAEPL WSKDGPVLTDILQGPDGECWFQAGLISLVKCNQHAITNIVKDTGIGKGENGADTDKAE VKLYTKDYELKVFEVKHQSSIGGTVGELPTWWPAAMKRAAMKMGGYKGLHENSIGAGF PSDALKMLTGKKTMILDSGSFDKVQIWSWIQHSNDTPMIITTKSKTSKLVKGHAYVIM SYGGDGPENATLRLRNPWEGITWHDLDEIYKDISQISTLDEFRSIP
I206_02368	MLPIRVVVRSSTCKQCRPTHLTRSLATTSASHLPNSSRNLSHYV AEARSRNLLSLSMHQRGIHSSSTNLDKKRWVNPASENENSEGEKEEKEGESKKVEVKK DQSTEQKEEVKKDSSSEAESSKTAEERAKNLAENQSKKSENNNTIRSSSSSSSSSSTG KSSNPFSIPSSSGNGGSNTPKEIAKPIIPEIYPQVLAVPITHRPLFPGFYKAVTVRSP PVIKAIRELQAKGQPYVGAFLLKDSTSDSDVVESLDQVNPVGVFCQITSCFTSNEGEG KPESLTAVLFPHRRIRIDNLVKPGDTGLNPQAPFVNVQEVPDDQANTPTIENERGEGE VESFETDVPSVEAVREELGTVSRGRDDPEPELLTENQKSPSDNAQSKPHSPINFIHNL LPEVSITNVTNVALEPYDKDSQVIRAIMSELISVFKEIAQLQPMFREQVTSFAMSNTS SQVFDEPDKLADLAAVVSTADVSDLQAVLESTSVEDRLQRALVLLKKELINAQLQFKI ARDVDTKIQKRQREYYLMEQLKGIKKELGMESDGKDKLVEGFKEKASKLAMPEGVRKV FDEELNKLVHLEPSASEFNVTRNYIDWLTQVPWGVHSPENYDITHAVKVLDEDHYGLK DVKDRILEFMAVGKLRGSVEGKILCLAGPPGVGKTSIGKSIARALGRQFFRFSVGGLT DVAEIKGHRRTYIGAMPGKPVQALKKVATENPLILIDEVDKISKAYNGDPASALLEML DPEQNKSFLDHYLDVPIDLSRVLFVCTANVLETIPGPLLDRMEVLEVSGYVSAEKMNI AEKYLSPQAKEASGLKDVDINLEPGAIEALIRYYCRESGVRNLKKHIDKIYRKAAFKI VTDLGEEALPEPKEPASSETVESAEPDVKPASEHLPGDKSPSPGDAGSLKNVTTVPRE PLKLPDNVHVRINQENLRDYVGPPIYHKDRLYTSAPPAGVSTGLGYLGNGSGAVMPIE VTAMPGKGNLQLTGKLGEVIRESAQIALSYVKSNAYSLGITKSESEVTLNDRDVHLHM PEGGIGKEGPSAGTAILTAFVSLFTKTKVDPDVAMTGEISLLGQVLPVGGLKEKILAA HRAGIKKLIVPIACKPDIDENVPLSVKSGIEFVFVEDIRQVLYEVFKGTENEKRWKET LPLEKEPERDMP
I206_02369	MSKQLPGPSLIQVPNLFSIVEPGVYRCASPTPAQIPFLASLNLK SIVSLTPEHPIKPLLTFIRSSNIEFVHLGLTLWRPPTTDWKPVRDEVIKTALEKYVLD TRSHPVLLIDPIGVHQTGCLVGALRIMQNWNFASILVEYRSHAGSKHRYADEQYIELF DPDLINLPPPQNRPSWWLPISSVDDDDSEDEEIETTETADREVNGVVPGQEGVKEHML NGNLAGDVVVQ
I206_02370	MHITSAVLLLLPLTLARQGTPAHPARRHHARAIVDANRPIPRAG GVIDNGTLASISQHDAEISATQSSVISSIASTTQDDNSAITSAVTSASNSGKYGDGLP STSSSTSVASVGSLD
I206_02371	MTNHWMYSSSTGSSVISIAPSTVISTEAAPSTIVPVTTSAVPST TVHSTVVLVTTASRSQTTHKASSTAESAVSEKKSSGLSKTALIAIIVVASVVGAAGIG WTLFRKWKLRPSNRFDRKLNPIDFSPNNGDMNDDFFEKTLQRSASNSSASRQRRELVA ELDDPNNVAGVPQHDFTAGTAGVGAGYAIYNQDPYAHAEQYDYEAAYQHQQPLQGYDQ HQYPPQVMTQDHQGYAGYQGAPTQDDGYADLQRGNSIGSGSGHGHQQQYQHGQDHQQV YPGELQFPSADQYGLGRPTGGAEGPYAQAATYRGY
I206_02372	MATLKIRLANSASTSTLSPNLPKIYKIKDNQSYEISLVKSKEIS EKGKKAIYKLFDENMSKLQQISSFPYTEESKFEELFNIDSRYILILKKSNKLIIEKEK EKEKGKDEKKENDEIEELINFNYNDLIGFCGFRFDTEETIESRDAEVIYCYEVQLENK SRRMGLGKILLNILEEIGKKRELDKIMLTCLKSNETALAFYTKQGYIPDEIDPTGMEE ESSDIEEGQDEDGQDEDEDEDTFEWKVDYRILSKSLKDINT
I206_02373	MSSHELIPLFPSATHPAIPISVQILSKLRHLTAPGKGNDLKGEE RTALVGVAALLGCERIQSKDLLLSSAQKASSVSPSHFRSTLSKCRILLESIPSPNNSS LHKSPSKNSSTSTSTSISNDGGNDQIEDDLNSTHTPCLNIRSENLINPLQTPKKKYKF SSGIDISSLVKSPNNQNQNNNKTPKKSYDSVIASPLRQSIIRQTSNQIQSSSSLPESP IKEVILKEIDIDIEKTPSKKNKYNNGIDLENPPSIIKSVKNQRNRNNENSNSFFALRP GNSITPSKSIINQNQEQEKEEESWMHRRPEETHKKSKRFEVEKHQKTKKKNEIRKVDW TYKEDIWGKSIKDDIDFENIWKDLDLCLEKYELPSIANTEINGNDIENILLNALMET
I206_02374	MAQTISIPSSHLSNFYCPSSPDPTPLRLLAFSVAEAALQPDPNS PSFSMIMGKLPTPLEMDVWAQPSVRAPWATNTVSWHQRANGNAAPQAQVQNQNRKPLW DVVQRAKERRVQERCSPASTESTLPQSPPISPSSYNFVLPPNSPLAASVAAGTSPSKN AAMVPRNEYFPLFTQSAQPSPIESTFSGLDQSRPPPEFVPRLSASDFSAKARQTSSVA AKHGRTKSDFGSPASESKEITPEEKARAKSKLPSVPSPSRLPSLAQIQARVSTERQRC SSVGSPTKSVPRIRTVLRSDSSESFEVIKTPTDETPRREARIVLANILNRRPATPPSP PLTTAKHAEPRLAPFLRERTSGRLSGGKARPMSMPPMSLGELPSFEAICKANSGNKPS LKVTPPKERSSSFASTDSAGNVPSPTKGSFPWAMRSGMVTPTESRFSPFSLSTPPSLN HSTKSSPRKSFTSPASPTESVRSTFSSPSLGVPTITCTPAPQTIFKNGVEQNSDEEDV VLFEGDSFDVESIDSESEIDSVVEEDFKEEREKRAEAMKKRLMLRRTSE
I206_02375	MHCTSCCETIDQLLSSITSIKSISTNLLLHSVTFAIDTNTSASS SGKPKTIGKVSEEVLKVLRIEGGFIVTDKSANGYYLPYSGNTQYSTEDENEYGLIGKL FFSESRKVRKQKKSLEERKRKHLEHCKICQDDLKKYGQVRIDQNDQSDEVASREKKPA ESAQGGKDNVTKTTLSISGMTCASCVNSITTSLKSDPAILEVNINLLGSSGIIQHKST ISATNLVELIKDIGFEATIVKTEVQSFETSGETFKSIFSIEGMTCSSCSQAITRALQD QEYIESINIDVLNNSGTIIHTSQITVDDIREIIEEIGYEAELSSSTLLNGPSGIKGKS KESGPVSRTIRLKIDGMFCHECVRKINSFLDTLPIETYTPITLQQPVTTISYVPYNPL NIRQLIDGISGVAPEFEVEFVKTQSVNEKSQKIQKMEVKILASHCAVAIIFAVPTFII AIVSMILLPSHSKFKMEMMKPVWGAANLGTIILWPLATIVQFGVGRLFYKRTFASLWP HLRRLTPADCKFTALDLLVVLSISVSYFASLAMLIIDVRSSTNTESVGTYFDSCVFLI MFILLGRTLEAYAKSRTSDAISLLGKMRPDTALLVERSSTQDNTSKIPVEHLEIGDLI LLPPGSLPPTDGIIASGHTTFDESSLTGESKPISKNIDDQVYTGTVNLTSAIIIKVTG LEEDTMIEKIIRAVSDASSKKATLELIAEKLTGYFVPIIVYFSLLVLIIWLSLTLTGK VNSENEKGGGGRIFFALEFAIATLVVACPCGIGLAIPCANSVGNGLMAKKGILSSGGG QAFLAATKISKVVFDKTGTLTLGKCVVIDEEWTTSSIQSTDNKELIKRAIMEVEKGST HPLAVGLVEYLQKHSQDEEEKLNGDFDVEVIETQEIAGRGLEANVKINENNIKLIIGN VAHLSDHGVLLEPIHQEQVDAWSNQGKSVILIASYISSNTHETSSFAYTLSTIYSLSD PPREESIPLIQSLKNKGIQVSMLSGDNSTTAQAVGSMIGLNSDEIIGGVGPEGKADII RKMQFDLKQKDCNKGQNGLIMFVGDGLNDSVALAAADVSCAMGHGSQATLASADFVLL SSSLNSIPTLITISRKIIQRQKINLLWALLFNTVCLPFAAGIFYAANHIRLTPVWSAV LMALSSLSVVGSSLAMRWGI
I206_02376	MFGSSLQDRLNAAVKTLEATGSSLQARALSVNANPNAPINETLP KETPSRTGSPSTLITKSPIPPSSPLSPSTVEQKFENISPTKTNGSPAATAGGYVGSTA HLAENALSGLRKSFHFGRQSQDITRPSASSPTTGQQELKNINTPSSPSAGPSRPSSPN PKLLPTSTFALGSDSSSRAISPIPKSPSGKRNSKLAVDTTTNFPPPNPLDPATYPLPP SPTLPTTDNLTTPSTVFTDPLGASPLLEPSADQEVPKLGLQEATPDTEKKESVFGLDM NGIDAETVEVQIKNNDAEREANSEIEKPVIELSGEEIKKLADSERRYEDLSNRFTTLL TQSHKANQVLKDLTPLEGGIGDHEALEGWIRMVTGKVDMITAEMKRLQDKLTLQDSRM EELRDTHRLEGSSQTELITKLRSELSEAQEKAKAASTDNTTITQLKADLSKAQTQAKE EEEKRTKAISLLKTVRLKLVKVEKEKEEIEKDRAEERAERARASEEVEKIKGEKEREV TQLRKSFERESSAQKERFEKDLSTKKAAWELDMITTKASHAKTLSERTTKVNGLEAIV KELNINKQKTFEEYQAKVAEAELAKSEMESLSTRTKELEFQLRESNERCALLEDSLRE SGNRGRNKNGFGLLSDGLNQSQSPSASPSGSRRNSFNKDSVDVKKLLAEAEAKFESKL SDLKYKIKSLEDERNDIEEEWSLKLQERLRESEKLRRTIQEKDMEFNDSLRGLKEREM KIENSEERVRSLEKELVRLKSKIEEGKSDIQVALDAERLAKDDISNHQTQIQTLQSQL EDSKSHITQLKSTNKTLREEMRKLQSSVQLIEKQRNPGVGYWSGNSQNGIGIPNRSSV SSPIPTTSSVSPIPGSIENEEEVNLEYLRNVILQFLEHKEMRPNLIRVMSVILRFTPQ ELRRLNAKLQT
I206_02377	MGYFQAAMVRMGMAQPGPKITAQDRAILDLKLQRDKMKQYQKRL QVVLNREQEIAKEALKAGNKTRALTALRQRKYQEQLLAKTDAQLMTLQELVSTIEFTQ IQNTVMHGLEMGADVLKQLHAEMSLEKVERLMDQTREGVEYQREIDEALMSQMSPEEE EAVQAELEQLQREALPTIPAVPDQQPVSLPDVPVEEPTTAQPATEGKLSSNWSHNVEP NLSVFVYTERRAERKEERVAMAA
I206_02378	MSFLFGGGNRSVEGSVDPAKIEMAVAELDMITDVFNRLVNSCHT KCISQNPNNHRYVEGDLLKGESVCIDRCTAKFFETLCTSQ
I206_02379	MPDRTYQLAERLREVFVDPEYHHHVNTDMPSAEQSDIRESSQET FEGYQDELNPETVTGQLGTFVYSKKDKPMNVNLYTYLDRSKQSYSAKGLLQGPTAIIG NSCNVSETIYDDKGKTKLEDFKFFQTEWYTNAIQGQFRSTKDKSI
I206_02380	MKFNGLAKVSIRSHDLSDIKKFDPEDHSEMLTFDSILNYLEAND KSKMIHSGLSKEVLYEKRTNEDGETVYDPSKDSSVTIRLIPAWFRWKDRITETRYKTA TFVDVTISPARLHIVE
I206_02381	MPRSYSTSLFLTEGQAGLDYEKLEHVGDALLEAVAVTLAHELFP NFRQGSAAIMRDKLVSNSTLAQIARQYGMHDRIRCAQDARHALKKNEKVAASVFEAYI AGVYYSYLQSTEESAPRSPTDSTNTFESSSRTSVDFIGGDKMNNPCDEGEAISSDEEY CDAEIYSPTNTDSDDRADFDEDDDFATSLNLLFGDSSSDDEVEKPENVLDRKARSSVS CETSNQADRGISNSFLPTDPSFRTTRTHPRRTRAQAYDYLYEWLEKVLTSIAHFALAH LKVEDMRIKKEHGKSDEEPFIIPLHWKEEDIKAQGGKAILNTHFFELESPVYTESSSN EGRPPTQLWTIHCRVKDKEGTVWTAEGTRTTKQAASNLAAWKICVAMGLIKETD
I206_02382	MAIPSNIMVSNDPSNSAGPSRSSSLAGRKRPRESESEGKNRTPS RRTKSTGDARTSHSRDREAFQRGLISVFVPKALQESKQGNLSHYNDLLAHFLPSPTAP IPALPPLLPLLRAISAHVSLLSPEIHSPLVTAIINLPWATGDEKFVKTFVGWAAVLVS AQPGWAKEVVGMAVKGLTWQLPFTSSAAAPISRRMFHARHHLLLSHLISLVPTLPNVL QPLLIRNFPHKREPEISQTTWIRNCCELIGYCPELGGRMWGEIVDRMLRIDVEITNSV DDDDDEESDADSDDEEDLPMANFTRMSSFTSSPDPLDLLISQQLPRPRTASLSPEIGV DDDASDGDPDPDELSSEDGGDSDDEDATNAAKLAEARAKKRANVKAMREKLDGMLVHF FEHLEEYMGAKSSHIPAAEMAAQNIAGSSGASTPTSEYPLPNSSTIASLLVKRSTPTP AQSLAHFQTLLNLFSRQILPTSATQHIPFLLFHTASFSPAHTDLFLGLLVSQALYAQT TTAPHTSQPVSMNQRIAATVYIGSAVCRARFVSDDQARTVLTYLLAYIDGKLHQSRTN NKRETIDELPLFYAVCQAVMLIFCFRWRAFTSSASKEHDNLLGDLELEGDESIDGDEG GDENKWIGDLDILQRAITSELNPLLGCNPTIVSTFAKVAHHTNFLYCFSIIEANQQSS HPPRSTSAQNLSTITSNGNTKINPPRINARSNSSLVGSQTLPRQARQMNVEAGLDSYF PFDPYDLPKSKKFVERLYRTWSEVAIESNNDDEDSDSNSEEEEEEEDDDDDNMSEDSN LGNNLINYKSKSIPLKMKIGSYGEHRRARLLNSDNKDNGLSSSLEGMSISPNLGSNGI GILSK
I206_02383	MSNVPPPPDANGNPLIALAVAVIYAILYVLQGIRNVVGVLTIGL PSAIVRILHYSLTISLGFPHFLALFAGALLGLFFLVRYRYLTRYTKLKEPALPPPSPP SLANELLPLDTPGIGLSDKRSRSGSFHNYLDDFLSAIRIFGYLEKPVFHELSRHLQTR RLAAGDTLDIVNGDFWCVVEGKVQVFARDSPIESTPGSPDPHNSGSAFNGYHLLNEVS TGGTLSSLFSILSLFTEDIKLSWTPPNEEDDQEAVGENSISREDTLVPPRNKSRANSD VSQLDHEVLNQRIASPDSSAEPTARPSKNRSSSLGEEDVTLRHEESVIDASALLPTTT SPSLLDGRSASNSLHPSPTMRPQPRPFASAASSYRDSPYTRSQIPKRQDAGANALKGT IARATVDTTLAVIPASAFRKLTRKFPKASGTIVQVVLERFSRVTFMTAHKYLGLTKEI LRSESSLNSMVSHPLPRSFYTGGGMQALRNRFQPEAQANDKTRTNFSSIGSSPNGRVT SKDYFNYVPASPTVKAPSLPSTTPKQVPSTPSSKPTALSTKGLQALSKIEGDVTAAAQ AENPPKQEPSVEAPTSAILSPDTAARHGSDFVRRASAFRKQVAAGDLAMAQRNVPDEK GGAYYRPSVQTPGLPRMDTWLGRFSQSSTDLAHHNGVSVSPSTEHGTPQEESFDLKEA VLMSIARSIGLAQPTESNIDSIGRNSMAPSVSALSTPNSPMFPPNGKPGKSPFGNVLD MMAASTHEGVIGGMLREAALKAKVDDEASSISASMHDSQFGTANGDKKILKDLEGNVD ILFFKKGSVLVKEGERSPGMYYVIDGFLETSLPVNPSGIETPRSSTSINPTNASPPPS TFTNVNGRPFGAALGLDNSHNNGYSSPFGQPNGEETLYTVKPGGIAGYLSSLCSTDSY VNITAKTDCFVGFLPHHTLDKIIERRPIVLLTLAKRLLSLLSPLVLHIDAGLDWQQLG AGQVSDKATDFYIVINGRLRSFQEKDGSMQVLREYGQNDSIGELDVITAVNRSDTVNA IRDSELVRIPAALFDAISIKHPATTVQFMRLIAGRVRKAMGHQMTIGHSPLNVPNTDV NLKTVCILGSNRNVPVAHFAGKLKTSLEELGASTSYLDQGTVMRHLGRHAFSRIGKLK VAGWLADQEQHFRSVLYVADSPPASQWTLTCIRQADLVLVLTMGDDPSLGEYEKLLLA TKTTARKELILLHDERAVAPGSTRPWLVNRPWAHTHHHVELPGVVTPHKTNPTIHDAA AVAAFKHLRERVEGRIRKYRGLRPIARPRRPAHMNDFARIARRLCGKQIGLVLGGGGA RGISHIGMLQALEEFGIPIDAIGGCSIGSFVGGLYAKETDLLETTGRTKQFSGRMGSM LRILSDVTYPFAAYTTGHEFNKAFYNTHIEDMWIPFFANSTNITHSRMEIHRTGYAWR YVRASMTLAGLLPPLSDNGNLLVDGGYIDNTPIGPLRSNGIRDVIVVDVGSIDDTNPR NYGDSVSGWWIFINRFNPFYERKVLSMTEVSSRLTYVSSVKTLEEVKNAPGCLYIAMP VQQFDTLGGFKRFSEVLSIGLQAGREVLKKWKDEGKLPNGLVDTSKGTKSIQRGNRLR 
I206_02384	MVSILSFFQNKKKAKKPKSRSPSPNSPRGTSGSSNGGTAPKSSN RPIRFLSLRQKTTSSSSKPYDRSIKRGSSELIRKRSTGKSSSSPKSDLKSVFKPLDLG FDVRKSDTDQLGIHGVGAIVDLRQDERDILEKLELSSDEVKLAWKVIGEALRQSDLTS HNLMLPLRPRANSTSQLYILALYTLFIRSELLPRLPTISAQYAQPISDPSAIWKERLI SVLKDINSNSDIAETLKYTLRRHRPRQRGPMLETILYIEFTQAEINSSYPDNAYESLL QPRLRAGVADCLHEIFEVWSAIIVHAEENGMTPSKLSALLGWWVWGLDEKVDSWQNLY EGWKLARRRVEHLFYVWIRYQSSKTQLPLRLRQIAESYPFASSSTSSEFPPSSLPSTS RTRPTLHIILTSPQAISGYLTPDEIFNAALSSRVADNVSFPVWSAVSRNNAQGSSSLL SDDSVKFLRSSTRCDNITSIASPAREPHENVVQTESTPLYQPFLSTQTESQSRRRYRS HPDDSVPIKTTLSTSSTTPNLSTVMKNADDAGPPNSLKKQASLGILSQNGGSAWDDFQ KSGFGDSPVTEGKLDLAFSPSTHVATDSTIATQPKVGKSSDIVRGNLPKQTTFDISTT LKNDYTISEEDVIGLDDNFISFVQDAQMDPSSIPSWPSFALVRLASSIAFSETANAIE QLLITVQHVPAPPSPQPRARPSENMPNRSTSPVSSRAGTPRGFKNLTETFKRSTSFQN GMNLRKSFFDTSSFSLSRHTSNKLANLPEGENRELRAPLSAQSLTPTEYTITEMGEMI KIPSSDQAEQAVSNSPQIAEGNFVTSTEREADVVTIKGKQMHEGTESGPSADTLASQW QYVGEGAEHIVFSYRGTMSIYNGQVLRLKKSQFFGNSPTSPEYRQTQTDWITTFLPKL VSPELLIKTNEVTLSENWTTDLFALADAIRPEARKLSGDLKTLVVGKSKGVIMEDTTT NEKKDGIVNLAFEIKPKWGFLPDAATIIPTEAAKIKSQNCRFCMHRHYKGHDSSAEGK FCPLDLFSSDEVRMRSAIKGLWGMWTESDGQENNWRVFIDGERVNPNQIEQLEHILGK ETMIEQIADRIIPILENSGVFDTLKTLQSTLDPTDISDLASRFSSVHPNNELFDPFLI LFPTTEEFAEFIELYLSTPLAGKEDSKWTLRQRMIAFSLSAIFKDCSVFVKFSLSSDS SYAATSSNPEAKGEWKYVKDSGKVKLIDLDLKPIKNLKKWKDTDDNIWKHWQKTHMTS SAIDVEVQENSVSKDSLIEQNVIQKDNDRDIKIPITFENTVPSLTINAINTQNTDASS IRALYAPTPDRTPLLTPTPSSIPTSHHQAGFAPDYVEERDDSSQMPKVVAEEQVDDAG VDGTEQIEPRRTKRSLAPSPSPPPRLADLPQEDIRGYTEEVEDTSTAVIGLPSSDGPV QSNKEINSSSKREGSNENPAPASQPSDVAPAEIRSRNDQSHNIVQAGLAGLGSVIGVA ANAVHDITENIKHLQPGTHNEEVRARQPSSSPEGGNKEKPIDEEQPHETLPLTSAALP DTSMLEVNSDRPVDSLANEQASMTTIPPIHDQEQKPMPRDVDGELPTKNQADLPSDEN IEGKRMPEIETPQTEFEGFFTPAETPLISQSSDVEQPMFSLPGAIMQSAVVQKQDEGS VLANKDQVDPMEQVVFTKAIDKADDPSEKEEIASQSKLYSVL
I206_02385	MQPIAPSAIVMQTPSQKTLGSETEHAILLPSSAHDVTEKILRRR ADTIEDPPHVSIDTPMEPHTSAPIVDDRQLSQVVPDSLAHAGRTELDQAERKEEEEGL ETPGDSIQKNEAVQSQKSIGTASPFTQPTTTNEVLLGSPISSLSQAKILTSLFKDEAE SSVVTQPIASPNKTQNSNHTQPVGVDPNNSQVN
I206_02386	MFTLVLPVIGSKPLSIPLNTEISYQSLELSSNKSSSSSLHEFAT RNQGEGGIGIGAIIGIIISILSIILLILFLIIKNKRKFYSNDLIYNTSSPPRYNIKAT NKSYFPSQMNHPILPRYNGNLNSIIQQSNYNQNQNQNNQIQFPSTVYNSGGYYSKQNK VRFGGVGIRHY
I206_02387	MSIFSSPRSHVSFDTDVDTHIGSSSSALDQNEGIAASSSSPTKS GILPLGPSKTIPALNRRGVIFSPRTDTKYFHSDDLVLASPTSHSTPKPPTSIRSYSDP VTPYDSPSHSKAKQARILSDTPLLVRSILKSRLAQQIRLASTVEEGTLNNKEAARLLV SGKRPKLLARDSFQLALMRNAASDGSNGNGKGKGKMSDEIPSSDDSNGQATNIPVEGG NGVHESMLIDGENSEEEEETVEEDGALSTASLVNIILDGAEDLLTLEEAYSTLTLRLR TRISTETDTTEPPTPSYLDEIRISTQPIRDEAPAMVRAIQRDLQRLLGKLPTTDVGSS DSDLSPFRQLMPLRDTTPTNQRGRLTPSPTPGTSSSPTKSARQGYTEAEVRYRREASG VGAAVLRLLAFTFHTSHLFSCFSEADLTSLLDQVMMIPRTPKLPTPNPKRTYYLSIII LTQMNLPSTCVQPVKEKIVRAVEGAMGDTLGAMGGVNVSGKEGPSPVRKEGFNAVTNL LSNYPTILFPYYPDLLGPCLKGLSNGNNLMRYKAGSAISAFARAKFAILASAQDNLTT NPTNTTYRETYTRVKGLLLKSEYFVVSHLKGALKMPGKSTVIYGKDGEKKLEWHSLEQ NFKDTVGSVSDVHWACAAWSVVVTLMGSAYASSGLASSFDHIMDRSLQPSTNVVRPLL ARVAWNHAIHAYLATGFASSVSEDGRVVKSYKPFAASSQQSIEQRTANIQFPVNLALA KATDKASYARALTSAKQEPESHYIWQRSEKSKKLGWVITCGLGATAVVYAHTGMALYH EDQPAKEFSQITGMPSSDGVVPDTPPSAEEARLPRLDAAWENVVNPILRTFFNICGVD KLTTHAWQILESITLSPSPETSSWDLDRLLVPRYMSGEMFAKDKEPDFSELLLDVQED ELNTSDIPSWGHFWTAKRLGRLLILFDEALSSIHGINDQTPEIEWVRSTDDIPLLPAT LSNIWKNLMLALKSAKIPSAPPTPLFLVGLQSVAQHLIGILNRDPKTYVPITRINEKG LCTLDEDQLKIGLFSHLFEVMLDVLGEDVLGSMRLRADASLSDQSQISTTSFVGAFGA DANGNPTVCGTLLGQLLRLRVTPSSSQPPLQENLRNIVSRILNIGCVPGYAGKLLGDI TNAMPFLFEDCEQIQLDIWRLLGALLVSLLSGPFRGRSIASYWHQAADLSDLEIWQSL LKVTVLRFRAKRFGSNCGVLEALAAHLEDFTSDTANEKTKSTTITLSCLASAISYMSF TPSDLGGGSHNNNSEWVISDEDFVPIDFLSFVISALIEAYPSTNEIASQDTTTKMEYS SAAFDLLENVISVLKDVPVHLVGKVLKPLHGGLVTWLEDQEGVVNGEDTQRLDNLYVP LLSILSDSIPSEDLPLNSATLNGLAELYIPRISKASSSAVPKAFQSFWDKTFAKSSSP LEYSDDLADFLRDLLSAVPGMIICPGLEGDSQISQEEGLNKFPHIQNTFAQPPAQIGE IDLQIEIEQSNPSYDADVSQSLEHSQLPDQINIQVEKDDAIVDIAQDDILVDATKDDI LVEILETDVLVDATKDEITPPSPLPENPVEDEDDTAEDVFGPAALTKTVKSKVKRIVT KKTKAKGKGKGRKRSSIDSESEQSIEIHSLPEPEAKRSKIEFPTASHSLPDLAKSSIY GSDDDDNGSCIVVAPSPGFYKRKGLQMPNESTLEDADQVVLDTPENEIDSSGADGDQQ EPEETQNVYVDPAAGMEDTQQVEDQKVISEEHHAEVQSRLVETPTIAVQSPSRPSMFK GWLSKVPSFPFFSPSNSNPSTPDVILPPATQAEPIEMHPVSPPAVAEDEESPAVPVQV AQNKNARNGRGRRGGKKRNAVNRSTSIAPTEQNSQEENKALEEQTAINIETEPVDSIP AGTLTRTRSKGKRKAAEIEPVSINQPDPEPIPELVEESEPRRSKRRRSKATVFSPEPT QPVTKAAPIADNPKSFEKDKSPAPIEIDEEDEDELLLSPESARRRKREEEDEIRASQI PPPTQQFIESISGRFDDAEGDLSIQPSLPTKPLLNRNHSSPSLKSRRAKSKSSSNSSL ELPSKPFSSSSSSSITTSGKGKLSITSPIKRTTQQNNLIQMIDEAAKSRQVIDNLDYN GVKSLLKNLNTLREAAEERIITRMEEYRNAR
I206_02388	MVKSHLTEKERQSRQETASSDPLAFSSSPERPKRASKVNYNEDE QIDEDDEEEEEEEEEEEEDVIPIAAPKDVSNSTKVDSNLRRSPRNSFLPITKSAPNSS SNSKVQTIVDDTPIKRKQGRPRKVPIASISTVSSYVDSRESSITAFFDKLNGNRATRS RGNGNSMARHGKDDEPIEIDSSSESENRAVTNQSSSRSSKFVDNRQNAVVEIPLMPLE DVYGYPHLRKDTANPTKSSRNKLPGIASESSYQPSEASFSRFQSNGKRRRGSSPSYSE ENDDGDVSDEGSESYSGSESDKVALRRSGRAGVKGNGIRKGEEDNEIAFRTRGKRPAR NSPQTISSSSASDFDSSPSDGHRNKGKSKMVLSTKTKVKALSSEDEFNIISDTSDLLD SEESDLSEFAKPKKNKQKGKGRGSTKFTITEDQLYTHRPFCELCLREPADEMLFRARN RQKRKKRNDDVITDDELADKLGGWLECEKCVVSSHWGCLAAPIKKDVLSVLREREGTP AEGEKPRRSVEVDESATFVCAKCSLNPNCFVCHSDKIKKADKEPMDPQHDDSKLNEME VDQNEPVAESQKAKEEVDAEEEDEVPKFRCFRCRQCVHYKHLSIPKSLGEDPPLPEVA YHYQNRTSDGDAWICHQCRKWIWTVDLVVAWRPSPPGAVEPELAADEAANWRNPLPRE YLVKWNTRGFRHVTWAPHAWLQVVALLKLKHFLEKGPNLDLITDETLAAKGDEMAQPT IANLTAEDDQVASKRGHGAEHGSNQDGKKEWIGLGPGPEVDAESSLPIEWSTIDRVLD VMLLPPTSTKSAPSGKKRGKRVMSTSLSPSASVNGSTAPPNNLQVNGNATLSPADKMR QEYGLIDGEEPPEDMQVEIEEWEQLTGRSLDEDDVDEVAGLVTWCFVKWDDLQYDQST WDTPPPTDSPLYSAFKHALKRYLRARKVDVPVLTAKQCKIRDEGAEQAYVPPSNQPDC VVGGSLMPFQMEGFQWLLYKHFRRESCILADDMGLGKTIQIASVLGYLGSNQYKIYPC LVVVPNSTITNWVREFEKWVPHMRVVPYYGEAASRKVISRYELYHKGHQGRAEGLKAH VVLTTYDMITGHEFNRVFGKIPRWEVLCIDEGQRLKSDDSLIFRKLRTLNSVHRILLT GTPLNNNLRELFNLLNFLDPTKFQELGDLEKRFENLNETLISELHEMIKPYILRRIKA DVLKLPPKIEIIVPISLTPLQKQVYKGVFEKNSELIQAILRARKKRIRMGR
I206_02389	MFRPTVLKSLRAPAQQTRSFISKAQLVGRLGAAPEKGTTASGQD YYRYSLATTKPAKRDAEGKPILDEQGYPVRDSSWFTVFNFRENAAAAVERLQAGSLLY VEAQIDTVTTPPAAEGQPGNKQYVFRELSHKVLSKPKSD
I206_02390	MSISNTNILLNPLPEIQAALSSNSFGLKSSEIIKDNSFPITAED VESVKKELTSTGVTSIRVVGRTSINLLGEEGKIGIKLDRSGWTLEFIERSDTLINDKI NKTYESLETLLIDLSPAYVKAMNDEIWKRFGIEKNDIDNHVEDVRDSRQNRGL
I206_02391	MIRSRAITRSLPIASASTSTAAKKLPIRIAIRSLATVSDPPVRH YGGLKDQDRIFTNLYCKHDHGLKGAKQRGDWHKTKEIITKGDSWIIQTIKDSGLRGRG GAGFPSGLKWSFMNKPGWEKDPRPRYLVVNADEGEPGTCKDREIMRGDPHKLVEGCLV AGRAMNANAAYIYIRGEFYQEASHVQQAIDEAYKAGLIGKNACNSGYDFDVYLHRGAG AYICGEETALIESIEGKQGKPRLKPPFPADVGLFGCPTTVANVETVAVAPTIARRGGS WFAGFGRERNSGTKVYCISGHVNNPCVIEEEMSIPLQELLEKHCGGIRGGWQNLKGII PGGCSVPVIPRSDCEKVLMDYDSLKDAQTSLGTGAVIVMDQTTDMISAIARFSKFYKH ESCGQCTPCREGTTWMMNMMDRMVEGRAQEREIDMLLELTKQVEGHTICALGDAAAWP IQGLMRHFRPEVEARLANFHAKNGQTLFGGHLLSETNSKYAIPDNLGGDAIRNISQQI SSP
I206_02392	MDRAQPSPRVPYRATSTPPRTPLSLPSVPGYRGPISPPPINNLQ REYPESPTSGISFNSRSKESYIKPSNFSLTGQPPPPPWKKRYLPRSFRSPKRALISLL FLGILILTTRTLFHYLKDKKIIHSLRRNGKFNWNNIVSEGINNQEEEVVEEEEYDDGL CKFVSPVEAYHRDLNRLRNIFPNNNELNSKHQHQHQKHNISHNHYTYSPTGHLIINLN SDDEREDGSRLHPIPLLLNLGEKRWEELLSRQSRTLEEAVREYIRRYGRKPPKGFDKW WEFASKNNLVLPDEYDRINLDLAPFFALPKSEMKRRMEWVENMEKTFTLIIEDGEIEI EIRDPGGLNWGGTLPRAHETSALLKGFSKYLPNMKATFSIFDQPQIYLSWARRGSLID LGLRGEVTTHLKETDNSEVKLSRSCAPGTNFRKNKEFIEGKSFIYDSLEAGDPCQNPY LIPVHGLTLEPHGHDSLPKPHTQLLPLFSLAKTSINSDILVTPTDQFDFAIGKDPVWE EKDNDKLVWRGSSTGISMMNSSIPWRQAHRIRLHHFAANTSTDSMSYLIPDLGQPSRS SSRRLEDDGQLGDNKQYHASSQSGAKRNINDPLTFTQDEVATDEAMDFFYDIKLSGGP IQCDTEDGTCDDMQKEIQWAGHQSADELNRHKFLLDIDGNGWSGRFRRLMSTNSMVIK MTMFTEWFQPHLIPWFMYVPAKLDFSDLTDIMAFFRGTPKYPELGFDETAAALARNGQ CFVQRMFRMEDLQAYMMRLFLEYARIAADEGIDMDFSIEEIENISSEWNESDKYEKEE EDRNLEEYPTDSSSPSPEEEAKDEYGTQVEEFSQVDQEGIEVNREESEI
I206_02393	MSRRDVGRVVMDVAFFAASQMALYYALRYVLSSLDGGPSNSASS KKAKEKGKLLLSETGLSEKQLSELELDEYESTIASEIVPPSKIDVTFDSIGGLDEIIN SLKETVIYPLTFPELFAAASGGGLLSAPKGVLLYGHPGCGKTMLAKALAKESGATFIN LPLSSLTNKWFGESNKLVAGLFSLAKKVQPSIIFIDEIDSLFRERSAGDHEVTAMMKA EFMTLWDGLTSGKDTRILVLGATNRPNDIDPAILRRMPKRFPIRLPNYEQRIKILTLM LAHTTLESPFIPQLALKTDGLSGSDLRETCRNAAMVPVREVMREKGKAGKEGLEQARK EGFKLRPLSLDDFNIHDSHAYAHVESSRKGPPGGYGDTLD
I206_02394	MSQPLSSMMGGLSLNGGGPASPSPVGRSTNNHSQNRLPPVLKKY MNPGLVRPPNTGLMSTSSNGYGESQRGPLLKLAGINVPIPPKSTTSSPAGKIKQHTAH GLHGPVHSTSSRALSSSINPNVPKHPITSHNHTSHTSQSQSKGLDLGRYDGGLEADLE GKEIVTGESAKMLEMDSGSSGIPLSLPAFQIGRPLGKGKFGRVYLARTKAPPHFIVAL KCLHKAEIVQGKVEKQVRREIEIQQNLRHPNILRLYGYFHDSKRIFLVLEFAMKGELY KQLSKLGKFDEKRSSRYIAQMADALSYLHKKHVMHRDIKPENLLIGLKGELKIADFGW SVHAPSNRRNTLCGTLDYLPPEMIEGKEHTAQVDLWALGVLCYEFIVGGPPFEDMSGH AATYKRIRNVDLHIPDSVSPEAADLIRRLLRYVPEDRLALSEVLVHPWILKYQKKRST GGIRES
I206_02395	MTPQISPETELNALNSFILPALPDLILPPLPPISNESLQSILFD HMPSCKMTTKLWAKKGNASVIVLGDSKISFDDLVYIGATLLGTVVVVFLQDEYPAFSE GIASIIKKHLRCSQTISQISVGYNLYTEFKFDHDPSLEFDMKCLQMTQASIFKGYIGA LYQSIVQTNLSSQPLLLHSPVSVRSSNNPSYISATDSPSTNRGEAFEYVYEFLKPLLF QLTKFTIDQLKFEALRIQAIYPKYFPRVYPISPEWALEDLKSNLGKFNLHTSGKIVGS KAKYESTKIEGNWAIIPWKVICEAKDLDGKIWSAEAIRFNKRLAANVAARKVCVAIGI IKEGEQV
I206_02396	MRYQVSENGNNSLFSFVLPPLPKFPLPPLPNIKDQHLYRQVITH VSVQQLNRRSVMALAKPDDDHEKAVDYEKLEHVGDGLLESIASGLINDMYPWLRQGGA AIIRDHLVSNATLAQISVFYNLPQLINAREESLEYVRSSEKIQASVLEAWIAGVFYSY LSHGPGSHLFIDEEGVIEYQEGVPPNNEDLESTSQDDTTLNKDEELVEGIEEANFDDN APKGLQPAIEPKIFTPQVDKSSFIDLTGSNKIGSVPKVSHITDLEDMISVMMTTAITT ATSKTSLTSAVVKPLSGDAKSMEDSQQIHSESSSSAIQRSNSHTPAATIGSKISTQLK ETTNHLTVTSSKSNMPAKRTKGQAYDYLISWLVPLLTPCCEWIYATLLEEQTKILIEL PAGNVKLIIPEEWKDEDRKSVGMPQALSQHPWIRGSGSRPSYVKQPMPGQRWKVVCTA IDLDGEKWQVPYLCSSALMREKANVFVGLAKVSGIPLKARRT
I206_02397	MTSSILTSPFLSLNTIDTILKSPNPIPAEQKIQLLEAKIHLLVS TLPSFITKASDKQPDDIVTERKSHDERTGKVIAIDEIRIELGQTYLEQKIPDYIKAEV EFGIVDTDCKNVLKRMKRKKDIHMTSQDENLINPDLTNKNIWREIDETWIMRIKTLRT SALEGMIGVEEGLGRIARAERWKKAIAELR
I206_02398	MVETNRIEILENALEQLLDDYRDLQIHGVTNYDVPPSSLEALRM IHRAHPSVIHGFSPLSEAAQGNDWTKTEIYESISGNNEVTVAITDDGLADSVRELGDG STTFVKALDVKMTMSNLISKLSNRSNDFKEAYYLQSQDGNIYRSTPRPYGPPELDSFQ KYIKKDIGWMKDATGSEAEAVNLWIGDKRSTTSLHHDPYENIYHVLAGKKIFTLISPI EGLWLDQQFHKPSTLQRSPSGHLTPVPDEDSAYPIPWVSSTEFPSRIQPLRVELCEGE TLYLPANWWHRVEQEEGDSGIVVAVNYWYPAEIHPQIYAYERLSRRIARLTGREGVIP VPGDQVPDDIWGEGDSGEEWDPAEWGR
I206_02399	MKVPSDRHSDSSYAPYSVTSPRSFQQPALPNRPSSSKKSQAPPP IKTEDSTSQYKVARAISSCTRCRSRKQKCDGKLPACSACERAKVECIGFDAISKTNIS RNYLHSLEQEVTSLRAQVAALQQNDPIGRTKKDIAANASSAVSSFRSEFPIDPALMHE DGTASVMRSPLESPSLYGPGSSVGGYTHQRRHSDYPFPSTPLSSDTPRSPYQRHIPGH GHGHHQHHHHATGQTVGIQSTSLTRMVHDAAYRTGHASNSIATSINPSVAGSNSDKGS SIHGGSTDSPIMPTNLDSQLNNHSNDGSKSSPETVLTPRSSAIPIPNSRRQPSASPLA NPAQLLSSSLSSVGKPKRRTFSIPPLPPQPAVERLVAAYVDFVGVTGPIIHIPSLGKQ LMKIREGTDVEESDIFVVMMVLALSTMASSRFVEPPDDLRACSEAFHSEAMKHLDAVF EEQSYVGLQAILLLVWYSLLNPDKGSIWFLVGLATRTCVDLGYHNEHNTQVEQIDSLE LDMRRRLFWCTYKMDRLLSQSLGRPPSIPDGFINVPLPSSMHDIDIHPNHYGPLEGET CSYKAVFLHTTKMRQLQSEILFNTYGVHGSTGRLPSEEWKQDCFDRLKTWLAEAPEPR GTVSTEGYAISFHNSCLLLFRPSPGSPRPGRSALSTVLTSSSYVIRIYRRMQLNNRIS WLWMTSHFSFMGGLSFLYAYFNLYSMGGGSDIPSIEDALMVIDSCLSVLEFLSPRVPS ASECHNTMQALSQAIFEQLSKLDPPPTMNSSSSSPGRGLLRGAPISSSREDPLPNEAF PTPLPPVALPYELSLLDNLFRNPMASHNKASEYTSNQKCRNKKKKEHEQVNVDYGGVG NYGPPAASSHSHSHGHAHSQGGNKGYPPNAFHHTTGEQIRRSYQGNDNPNGVEGRRNS DLGDYSTAASVLGPYTLAMGLGQSGFNGVSSTTNGSSISMNQNQNQNQNQNQGISQQQ QQEINSNQTPTFAQPSIAQSLNANANINERDLPMINGSTENSDQNGFDLFNFLMDEDG LGGNGFTALDVPSDFSLWS
I206_02400	MIQLNIPSLSEIAAAKDNTMSSASPQPEEAAAPAGSPSEFLKNI VGKRVKVKIGSGVDYHGLLTCLDGYMNVALEDTEEWANGRKTAEYGDCFLRGNNVLYI SALEDL
I206_02401	MANQSNSNPNMTATLAALSPFNLQQLQLKFPQLLTDAERQMPDD KRNEVFRQKMSTLMRNNAVALNSNTTNTPNQQPQQQQIQQRVQNNVPIQQSQQQQQPM QQHQQVQTIPSQPNQPAPNTMLNQNMLNQQQQQQPQMQQQQMQQQSQQMPMPMQQPQN PQMQQQMQSQQQQQGQQMNPQNQAQQHLAAMLEKTQRLQQQAVQAQQQQQQQQQQQPA QLQTPQQTMPPLMSHPTPVSIATASPQNHPHSSPQMVRQSPSSNPNNRNTGIAGSSIK TLMDNFPKLLELKRTGKLGAEQEKLFDNFINSPDGRKHLQQYQAHHARSLIESGLANP VGLPPGVQQQQQQQQHTPIMNGIQLPLSTQQQMAALQQQQQQQGFPQQTLQAQFQQPY PANMMPQQQQQQQQAQQMQSPQVSQQQMHQIQLQRLAAAQAQVAQQAQIQGQGRTPMQ NQAQLLNGVNLNQAQVLARAQSQSQPQILQQGSQMNGQNMQDLQQQQQQQMPQQGQQP QLQPNQQPPNFGSPRPPAPQFSVMQQLQYNIQAATAKMPPEKQTNIRNVLMRLAQMND QTREATFQNNAPYRQLWNQVAGFTQAAAVQQIAQQAQVAQNQAQAMQSVQAGQVQGMN QQLPQGISSPHMGMQQSMPVQGAGPSTPVMQSRPTNGPGTPNYQNQPNVPNLHIPANK QRNIAQAQDVSTQMQGQGQTPQATSSPQIRPPLPQPQANQLQMQAQQAQAQAAAQAQA AQAQAQLVQRMIQSGIPVNQAMQNVARQTLQQQQQQQQLGQQPNVPFPSQTQLPQGVA PGAQGQMQIPNGNVNASNITPGMLIAQIDQNGINRPSMRMGDQIRQSSDMAIPQLDKS QSLYAKARYDPTPENDALLRAKLDTFTNTVRASGRPTMGINRVLGEVTLERMPDSLRL VLDEAAQDAQAEIEDPDGLIGEIGFPGSKKRKIQDLAESVDKALIVDNDVETLMLQLA EEHNDLVSQVSCSLAKHRKSDTIDRKDVQFAYETIFGRSIPGFSSDLIRLDQARSSRV RPTNPQRSMKLKLVNEAKANWRKEKEKQTSEAQEKVEQRNINNIEIPHFGNGNGNGDL RSISLNDGDSSLSQSREGAKTVALPSMSSGGLGVSGIGIGNTSANVPVIV
I206_02402	MSSNKSPKESEPLLPIHHIPIPNSSSSSSSNTSQTPTRSRLSTR KYIPISSSINSKDKGKGKLKEEDLESGLSEIELKNEINEINLNNKSIKEKGRNIIIIF SNESELTGNGNLQCWIENYENVGNLKEQIKTLRPSLENYNLRLIHSGRLLTDGILLLP WLKSLEERVKRQAAGVGGDVENILKDVGLTEDNFNSNDDLNKSKENGFKEKEQDKVWL HCIVGSKEEKTPSNEEEEPTVPRRRGFDVLLDAGLSADEVAAMRRQFYESRGEEVPEG MEGGDVNDEHARALEEQWIEGDLTAETATTTTEGLYTSILHGLLTGFLFPIIPWFFFR EPPLPNFFDSEAEALIISRRTTNTSGEGSDANANLNESTRNEMSTTPTSPPSTTTTTN TNTNINTNTNSNSNLNSAQERFIRAGGIGIGLGEIVASQVFGKRMQMGILLGTILNIA FGALRFLN
I206_02403	MFASTASYLLIAAAALQPVLAGVYITAPVSGTPATGGQVLEVKW ADDGKTPTVASVGPCSVDIYTGSVNNQVKLQNLAASVDVSKTSSISATIDPSIGQDDS HYFIRFTSLSLKSETNSQYPYEAFSAMFTINSMTGKFDSATLAAIDAGNSTSSSSSAS SGSSAVSNSSSKTTAGLAASASSKSSASASTSSKSTSAALPINEILPAGLLLVTAGFV SYLVL
I206_02404	MSARALSSSLRGRLPSLGNAVRWSSSTAPAQAKGPAAPKSIDDS TSALDYKIHKTGRRLPHLVNPNPRTPSAEEAVTNILYNTPPPSNEPYKRHLLNCLVQN EPGVLSRVSGILAGRGFNIDSLVVCQTEIRDLSRMCIVLKGQDGVIEQARRQLEDLVP VWAVLDYTKTSVIERELLLAKVSILGPEFAENQLNQSSIPDQSFENALENQSTPSFVP ADGTSDKLQREQALARSFEDSEHSNSSSSNSSLYPNRRSEISASEALIAKNLHLSAIK TLSDQFHGKVVDVSENSCIVELTAKSSRIDAFLSLMRPFGVLEAARSGVMVLPRTPIP RYGEEEEEVVADKEEVDLSMLPPG
I206_02405	MSSITAKGKEKENPIASLLAGAVAGGTEAFVTFPLESLKTQLQF GALEGGKQLTPYQALKGTIQQRGFLGLYAGVTPVIIGNAVKAGVRFTTYDQFKSLLKD DQGKLTAPRSMLAGLGAGMSEAIIAVTPSETIKTKMIEDSKLAQPKFKGTSHAVQTII KEEGWLGIYRGVGPVMLRQGANSAVRFSSYSTLKQLAQGSVVPGTQMPGWMTFGIGAT AGVITVYCTMPFDVIKTRMQSLRAKTEYKNSLHCAYRVVTEEGFFKLWKGTVPRLGRL IMSGGIIFTVYEKTYPALSSIV
I206_02406	MPVDKKNGKSRSSPYKKPKAKIESSEAGPSRPRPTHKIPTTEQR STDALPGLSKLKGQIRQTKRLLAKDTLEPGLRVQTQRRLTSLEADLANALKRDVEKKN GAKYHMVKFFERQKLVRIIKRIQKKLKDEDKSDKKRAKLEEELNDSRIMLNYVLNFPN TEKYISLFPPSSSEQINKDEESEDKIKLKLPPLLHPIPTSKQLEEEYDKPSKRRYEIL IEIQNLMKDGKLSNKPEDDIKKEKNVSLSHNEISIKGNKKEKEVEEEDDFFENEE
I206_02407	MKLVAALSLLQVLPAVLANRHGNSYERRSRAVRHEDLAERQVIE TTFETETIWVDALPTDTSSTDAPATTQVQIAALAASDEDSTVTTTSSATATVQTDSSI YGLSQSNSQSSGSIGSFVSSSESLTSTNTASSVSATGNASSTISSQGLNISTDLNVTV SSQHWGFGHGSGSKNSDKKVFAHFMIGIVYGYTLDSWLEDIALAKSKGIDGFALNIGL DFYTQPQLDLAYKAAEISGDFVCFISFDFNWYTVDNTTGVTEMMRRYNNLPSQFKVDN KPFVSSFIGDGFDWEAVGKSLNQDIYAVPFWQPTQENANNKGLSGLFSWTAWASKDNG PIDQPLTTVQDKAYIDVVSKVNKVYMAPVSSWFFTHFGKEVPWSKNWLFKSENLWKYR WDQILELSENLNYVEIVTWNDYGESHNIRNWGGLHSDDGSSKWSDGLEHFSMLDLSLP YIKAFKQGKKKPIIEKDQIIYWYRPHLKSAECDETDNFGSKPTGWDIVSDTVFVTTFT KLGGVIKVTSGKNKPVLKTVKPGVQSIEIPMGVGKQVFEFFTLTGGYKKGESKLDISD KCWTGIYNYNYHAGVLDC
I206_02408	MSRIATSLLRPALSVAGPSRLIPSRAFGFLPSLNDYTSQISSDP ASNPVVRDSLVPIVVEQTARGERSYDIYSRLLKERVIFLGPVNSVDSTLLTAQLLFLE AEDPARPIKLYINSPGGVVTSGLAIYDTMQYISPPVHTFCLGQAASMGSLLLAGGEKG HRYALKNSSVMIHQPSGGAQGQATDIALHAKEILRIRSALTDIYADHCTKSDEARENA RERFEKALERDYYMTADEAVNFGIVDKIVTRRGDGQITEEEKK
I206_02409	MSMLLRSAPLRAARALPKANQVRNVHFENVVDHTIPTNVTNKPW LAAKMIVFTVLGFGTPFYAARWQIQKSSGGA
I206_02410	MSDKITQPNNTAGLDGICRWDSNVNLTSDKLTLDAGFQLLGPED RWPDDNKSAMTDALYTALDVIAHSTLTEWATRHDLSSISNNPVAWKSRQDEWKDGVTA VLDDAIHYLDDCYSYQIGKQNCQIHLNESQNSRRIKLSSSDEPRFTFVDLERIYRLGK RLENGNFEEDWDLMQEAYNLKLKRLGRSEDQQHDGPDSFDNYCLSSKLCNRVLSDLHL SQTAYEEDSIEDLVIPMQSLGLHQTDPRPLPSPLRIKHLSDWQFRIDSTQHSSGSCRK YNPRTCDEWNIMRAVKGAVEASAVIRRFQAGYNEDLDQISLGTDDFQEYPVKTTDIAK ILRRLTSLNATFKQDGIHYQYFQKQNNRTLKLIDELDDQMQGCSMPNGTTSYPTYGSI VKTSKILPNSSTLRTKQDFTNLMKTLAKTKGSFESRCPPDPDVKNRSEGINDIAQYVS DYYPKLERHPDTGQEVGHRDDSDYLDLNVLLCSDIIEEIDSDLRTRQLATGFHSWRES RIASDPQSAQPSKRRRHK
I206_02411	MADLKEFDKLLAATINAPRLSGSKVQKLASLSSELVKEDHHIVT TFFKLNASLAPASQSRISSLYVFDAIAREAKTQINKGVGTQVTKERGKGTQAGLLLKL EGVVDSWIEGLLDDGKGSVWTDGKEKSKKIVDIWSKASTFPQNCLERLLKKIQNAGSQ AGPSTMPTLLKSTGRSSSSGSAGKGSTTPPHPPPTAPAATTQLGGLPPEVAKLLGISQ SAIPSPPPNTILSPNANGSSSATPIIPNLDLAAILASVNKPQVSAQTHGQISPAPAKQ TFNIPNLSNLVSLLPQNAISPTPTHNNHPDLEPQGGPALNASQSAALAKFASLAEAGP PMPIQNQQQIPSQNGFGQGSSVPPRTDFMGMGMSIPRRSPQKPYSDLPEPMRAEPMRA NIPPPHIRDQGHVRRESDDRGNNSWGRRSSYENDGPNRRDRQRSRSPIRDRNRGFERP SDNGWGNRAGRSREVGYQQQNNQHASGPGSGSGPGFGPMQGFNDFQHSLPPNLPSQNS YNNQDQQSRHANSHSLNGIQSAQQTLPQNPSLPPRPGTNEQSQLSTTTTASTGRAPPP AWMEDRDESKGHQPISQVQNAEEEGEEDMALDEGSDDENTSKPSNNTVANPTNQEFQN NLPTSSTSNAQLQFQPNNPAQQGNHDQLQNNANPQPTENSIGLTLDTFPIAQFNPSSP DSWANLAQAWKNSMGKEPNQMELMAFLSGGMGMGMGTGN
I206_02412	MVCPMPFALRKKLFHFLSENPIIARVQYGLKITFIFVAVLFVDA VQRMVRIAQEGAAAKVKPDMIDARTETNYAARRFYAQRNLYLTGATLFLSLLLARVFY IVLDFIQVQESYTTLQTKVAKQSGASGENEELRKQIKELEAQLKLSQGKDRDFDTLKK QASQQNAEYNRLADEHNKSTGAVSNKKAD
I206_02413	MNENNEDNNHNRSLSSHSPNQNNLNIVEEEQSPRSISNSRFSSE QETRQTNSNSIQTDSQPPFSNKSKAEEYSPTSSPSPPEVQLPIMSSYESGSSPEEPSS PREIGNLHDKEQLELAATSASRLGLPLPQRPSLGSTPSTEPLIGSNQQDLSPNSTIIN NPFNNQQQNLLAVSSGNPFSSGEGGSSTSSLNSSIGQTSGTGTGIGTGAPKIRINDMP NSPPSEDNSQVPSPPIIPDNQSINQGNNTTFTSPFSGGSSSSQNTKSPKSNLRNSINS INTPSSPTGGGGKRFSAGSNLASGNGVITASGIELNSSTTTKNNIAARRAQRLKQAQS MDGKNSGNRYSNYTAPNKNAALNRKAFQSTRLKGEIYKPWLEQKDPALRWARWITIAS IILGFAIAAVICWDGYRSVPKLGKVCSLINEDFSGGSIDSSIFQHEVRLDGYGYGSFQ WTTNDAKNSYVKDGTLYIVPTLTSDDIGADAITNGYTVNLTADGTCTSTNVSNCVAVS NSSQLTIINPVKTARLITKNSHSIKYGKIEVKAKFPTGDWLWPRISMLPVNDTYGSWP RSGQINILGGRGNNASYEERGVDFAQSDLHWGPTVDLDRQYLTWGYREQRRTYFSQKY HTFGLEWNEDFMWTYIDSRVAQVMSFRFAKEPFWSRGKFPTTYTNNTEVIKLINPWLS SSENNAPFDQSFYLMIDLAVGSQDGWFPDGVGGKPWIDQSGSAMSDFWLAKTKWWDAS WTSKSATAEDRAFAIDSVKMWSTC
I206_02414	MRGEHCIMGATIMSAIAIILLVFAHIGQIASGALVNSIHMMEVN VASYGNGYQGANNKSAGGLYDSKNDKLGSGKGLRQYYRYGLYNACAYQKDGSGTCNSS TFAYPAEPFNQILADTPSKFQTETRDIITNIKPEPTFGQNGYNHAMTRGGSALIFVGS VLAAAALILGVIKLRISFLAAAICSGSGAFLLMIGAALWTAVIAKDNFVNSIKVRSGA SLGINVYAGPSLYLTWVAFALVTLSCAPYVIACCTYRK
I206_02415	MAPAKTIRNILMELRKVCQHPYISQPELESFEISEKEQHQQLIE ASGKLKFLKLLLPKLKERGHRVLLFSQFKIALDRIEDFLYGENVNFLRLDGDVQQAQR QKSMDLFNAPNSEYDVFLLTTRAGGVGINLATADTVIIYDPDFNPHQDLQAIARSHRY GQKKKVLVFKLMIKGSVEENIINKGKKKMVLDHLVVQQMGKESEEGDVDDLLLRGAEA VYSNDGVINAPDIVFNSKNVDELIDKVEADAEQEAKEMEERRKAIEEGKVDNATSKAS QQFGFAKIWQADQNQLLNIAEENEEDDDAGRRSSNWESILEAMEKERQDKLNQMLSIS QPKRIRNSINQPIVLDKDIMESDGDGDTPEKRKKKRKKSKASSVSGSDYEFDAKHLPQ DEDSDEISDSGIPDELLREQKKLRRTHSQQQLAADIPSVPGPSTHPLPQSVSGPSNHL LYAEQPHHVPHTIQQESINPLDQALPKPINHVSATVSTQGEAPRKRGRPSLHKEEKQA RKRRRFEEKAALANAQVQALTHSSGHNFPNGTSTIRSPNNLPPPSTDQADFGEARQIL NLLYSVLKEFGNDKNIRRWGFIALPELPPEERTDRYRILAADTDNHLTQLGQPKYFSL PEQLRIVSGLFQARGDVITSGQLGVPLIPHDVGTLIRPSIIAAPAALNPAPKIKKRIE LSQSSVNGHVTPPPNVNAILGPSTMAGLSSRHNSSPHPPGPYTGRGPPHDSSVPGSYI TDASSNVPHGVRDDAGPSTFTELTNIHEPPTTTQPLRPADSSETLFNSLMDSAPPTCQ FCQQDHSLKDCNELSSVEDLQSIKQAILEGNEPEYGKMIALKNVEKTQQWLIKAGRLT SEGQSKSPFTKSVLTPINSLALSQSTNGTFNTPSKNGQRLNSTKTPNSISKGKNKENS TNENVVNDSIEIIDSPNPNSNSNDNSLNLDKRIISWSTNSTKSTKSRIICSFCEKNCD KQLKTCINLNGGRKSLKMKIRECDNRILSSLNGISKKNLTFMQAELYKVYKEWPRE
I206_02416	MSGRNIRQVDGLLMNIHDPSSGPVLPKDGERNVLITSALPYVNN VPHLGNIIGSTLSADVFARYSRTLNVPTLYICGTDEYGTATETKALEEGVSPLELCTK FHKLHTEIYEWFELSFDKWGRTSTPEHTKITQDVYLDLHKNGFFKLETSDQTYCEDDN LFLADRFVEGTCPQCKYDDARGDQCDKCGLTYSSPTELINPRCKRNKNHKVSVRPSTH ACMRLDLLQPKLEEWMQKARVKAKWGSNAVITDKGEIVEPRMLGDGLRPSAVTRDLKW GVEVPKTGDVEEDKAMEGKVICEHWFDAPIGYPSITATYTDEWEKWWKNPDNVELYQF MGKDNVYFHTVLFPSMLIGTAQPWTMLHNISSTQYLNYEDTKFSKSRNIGVFGNNARE TGQPPSVWRYYLLSQRPENSDSSFLWSNFIAANNNELLANLGNFVNRVIKFVNAKYDS VVPGPEGFAGGDAVPQSDTTTTAAQLDTDFFNDINARLAEYRASMDDTKLRNGLATAM SLSARGNQYLQDNSLDNALLANQPERCAQVLLNAINLIYLLSVVFHPFMPTTSEGILR QLNAPARSLPEKFSIDILPGHKLGKAEYLFKKIENLNGAQEKAWQKQFGGDSVVAEKV TPAGPDGHPEGGKVPHAKDIVVDKKAAHLAKQAEIAKIKRAAAKEAEKNKSPEEKELE SKVEQQGKLVALIKKGVQEGNADEELAKAKELKAELADLRKKLKEASLSK
I206_02417	MSAIAARRAAALLASQNASPSSSALSTPVKAQSPILESEVDLEE SESESSTSSATPPPSPPKSTSKRRKLHRSSQSGAYDDAPQRALEYNHPITVPISKRTR RFSPSAPASILEPESESDSSVGNIDADIAEDEDDDAINEVEEGKAQWTLPTTSVQSMP GPSRAKSKSGPQDHTSNTSIFSPVEGVNMYRVSESDLRIAGLDNDYAGDGIILSLSED ETMLIAGTYILTPLAGIITISSCKLSADGTSYPVFAPTSHPIPVIEPYHTSTKRPSAP FLNALKLPVGFRKNGSMIMIRENQCGIDGLRYGAVPGFANIWLEEVGSWGLRGIHPII GSISTPIYPHIAPPTWSQALSSLPSTASPDEYGDVQEPYVGLVKGPKRSGKSTFARAL TNNLLERYERVAWLECDLGQGEFSPGGVVGLWVLEKQVIGPPFTHPLNPYRAHYLGTY TPLTCPDQYIASLRHLMEIYKFDVQHSSDFLQSKTGKLSDTIPLVINTQGWIKGLGEE LLKSIENICQPNYTYFFNSPFEEEKEIKEIVKVGGGWTNSPTFEFSNLPNDNDNFLFN SNKQIKLNSINSTALQLKYSSTDFRILSIISYFHISSFFNENENEKIKKIKWNFNKPL INFNPWEIEFGLNKIIKKIYIIGESSEGILKEDLNLSLNGSIVGLIEILNNNSFDEQE QEQEKEKNEKIFENENELKSLNSINFLGLGLIRFIETTTKTNENENQIKGKLHLITPL SNNLLLKCNGLIKNGSIELPTPALLNWFGSKAGFEINKNEFNFNFNEFEQNQNQIQNQ IENVPFFDFSGIQVIGGERRKFRKNLMRKGM
I206_02418	MDEEASFSALLSSTTPARPSWSSPNVQADDPWANPFSDSTSTSS INPYASPFASTASPIINDPAIQPFGLAETDLPRIETSPYIQKIKENDDNINQRLPDPP SVIAAREQNNYSPPKTNEGIYRNPYSEDDPFSNVGQNKMVDPAIKPFEPPSHSPIEEK KEQQKPKGLPSSLIDEDLMAESDPEQSLKKAFVKSTPQPKSSTSNSNQVKATVEKKTY VFTPNAKNVKEEKRFEEVKKESEKDNKGSGVDVNGKQDKEDVDLVDKQDEPSVKVEKK ESEETLQIPTKASDNDITPTQEQPPSSGHTTPTVRSPKSPTSIPLPQSNLATPIISRI PTPLPPANNVKTDNAESSSVLATPSTDRVSVSPLEAPSASTLEEDYGFKSLSIGGSAP PVPDKEWNAASTSSSNAISPSGPRFGGKGWGALDDETEGDSLFGRGGPSVSSWSNEHS SGGWGETSMEDALTSAGPSASSYRINGSPTTTTRSTSGDRPSSPEDTVSTPTTSSPRK KLSNTPVFQITVSDPAKVGDPVRGYTVYTVRTQTTSPHYRKGTFSVLRRFSDFLWLLE ILTFNNPGIVLPPMPGKHTFGRFQDQFIETRRNALQKFITKITSHPVLQLDPDLRIFL ESDNFSIDSKNRKNEILILEKSQALSADRIWNTNKFIEFDDWFESRNGFLNSLENQLK NLSKSIEQSSKLKLELSNSILEFSENILILSESDLNFELIENLKKLNLILKEEKELNE NQAKFQVINLLNLTDEYIKIINQVKIAFNGRIKSYNQWQQSEKELTRLKNNKSKNNNN GKLINNNFNDIIEAERTVRENHINFENLTKLTKNEFIRFERGRIEEFKLTLEIFLNDL IEKQKSLIEMWEEFHKSLLSVIVDKVKE
I206_02419	MEVDNYDDYEYTDDGQNPYYNAGGGGGGFVAGGSPYGSQDSPGG KKGKGGNQTIRPVTIKQILAAEQVHADADFVIDGVDVAQVLLIGSVHNSSTSATNVSY EIGDGTGYIDVRLWLDSADDEAGKAKGIEQDHYVGIMGSIKMFGGKRHISATHIRPIT DFNEIHHHLLKALYVSLTLRGASTGGGGGAQAVSSHADYSAGIQNQSNDSAFNGLPVL QRRIMEFVSKDETDDGVHVSLISRQVGSGNGEDVM
I206_02420	MSLAEPQTRSPENSTSFLDQPTLNSLSNEGGEPSSSSSNPLNEF AQQVLGSESDAHNLLDLGRGGMGYSIISEEQTFNDLLQNEERREQALNDNNNDDNNHN HDHEHQGVHEIDPGLESELSRHIEESDQNQQQIILDENGNQITLNLSSVDENGHPIQN NNLNIVYGGRIGKRKRISHSNLDGQNTTDNEPMLDADGNVVEPQEYARLKKDSHKEVE RRRRENINDGINEIAQLIPGGTDKQGKGMLLKRAAAYLVDLVDKIRVGQEELSKRQGE KIDLEAQLAHIQIQLQEERDRSMRFETSWREAEDRSASSNFELERLRAEIEELKGAQA 
I206_02421	MSSGGTTPILNPPAEVINPADEEFYASWGLCILCLLLIGALITS YYLQIKRIRAVHETVVSIFAGMVVGLIIRLSPGHMIREMLSFKHTFFFNALLPPIILN SGYELKQENFFRNFGVILTFAFLGTFITAVGIGVLCYIWSFLGLEGLKFTLLECLIFG STLSATDPVTILAIFNTAKVDPKLYSIIFGESILNDAVSIVMYETLSQFHGEDIYLSS LFHGVGIFLFSFLVSMALGVAFGLACSLGLKHSHLATYPHIESCIVALVAYTSYFFSN GIAMSGIVSLLFCGITLKHYAYHTMSKRTQKTTKYMFAVLAQLSENFIFIYLGLNLFT QDVQVFKPLFILVSAIAVMASRYAAVFPLSELINWVYHTRGQRYEELPHSYQMMLFWA GLRGAVGVALAAGITGDNADALRTTILVVVVLTVIIFGGTTARMLEVLGIRVGVEDED ASSDDEAEGWTSYNGNLALSMGPGSRRYAGSNGRLSYAAGEYDDGEIDLHSPDESPYI HGQGQKSFNLKRPSQSRSRRVSMGGRSTFGTNNSRSGFSTNSDSDEYNEEVLPSANDL NPNSQTIGNSYDPENLEEGITSSGIGSIRPGMIFKDGQWFTALDERYLLPLFSNSVTA RRHHAKKQTRKVSSGLLDRERERISGNESGNGTPRGGSLDINRERIGEEDFAYDGESE NGKLKSGLPRTFSGSVTDFFFAKADPSSSSTNQSSSNLPLPLEERNRLIGSNNSNNNN GNGSNSASRRGSNDLRDNK
I206_02422	MALPASLQVHAHFQPEEAAHAASELISSLDNLPGEVVFLLEEIR EKDVRINQLIQRINTRHIGLTKQAKTIPNSTPSNAQFNLPISQGINNLPTSHLNQKEL QTLNKIQSEWLKVEILQDEKIKLSERIERILNRAKERAKFEWIKVGGKEILPENLLSS SSIIINESNLLSNNGLNELGHNNLILPSTGLGTGSDGRPQKKRKPNHMSFPSPSASIS SSLSMPPPPAPTRPKMYHNNKNKLSSSVTALTDQDADGEEEEDFDMISEIDQDVDAEG EEVNLINNHNNHNSNNNNGFNNNIDGSETETDDTLYCICQQKSYGEMIGCDNDRCQLE WFHVKCVNISGQLPDTWYCPDCVKKLGLLSSDGKMAGNTRKGRKK
I206_02423	MNPSFIFRSGALLTATGISFGAFGSHGLRTIKPPLGENKISSWN TASSYLIYNGLALLTISFHPGLLGSSKKYKLASGLIISGALIFSGSIFTLVLAREKFK WLGPITPLGGVSMIAG
I206_02424	MDYLTIITTRSLILFPSTQSLPSDVPAHRPLPDSPLSSPISSTQ FILSDFDPSPREVSLPISSLIYNERNCAYFLIQHVLQTSSMNHSVLKQTEKNARESEV KVMVYKELNRAEMFIRGLGRWFNKNSSRIDEDSLKQLANKFGLSLEPIMNMKNNKQFE EHGIGEVEIMETREEVNNDMSQEKNMVLV
I206_02425	MSLTPQALRLLSREIISFRTDPPEGVRIIVDEDDLTHMEGWVQG PGGTPYEGGYFRIRFSFGPEYPNLPPKCTMITKIFHPNISKNGEICVDTLKKGWNKTY GVGHVLVTIKCLLIYPNPESALDEEAGKQLLADYDGYCKYAKLMTSIHATPKLPPVEF RNTPNPTSITTTKPVSSGKPAPLGTNVKQDPSPLIEQSPTVGEDINLLKSIVNVPVAA AKVPVAGTKPSAASKAKRGAKRL
I206_02426	MSIKSIIIALPLLLSVSISAQPTTTSSQNHNLFLGCTDPLSTPI RPLAVNADSITSCIEACGSSNSRNTFAYWLGGEESSCVCSIEGPAEEYDIAYPREGKS HCDDHEVAVFVTKSGHSFTHQPKRAGRNKGKRSLTSPIIERDNVSCPEPLKACQITGD ANAYQCIDPQFALTSCGGCIHGDFGGSASSTAIDCTKIEGVAEGGVICSSGQCKAYRC LDGYKLSGNTCKKA
I206_02427	MPPKRLTPTKRPYQSSDESSLRKKTKTSIKVQRSIWNSKRDLDQ LVSDSNLSTSSISIRNPKLRELPTLVKFTINSISRGFKRLWEIDEGYSFKIAWNYLPL HLKIEIRQMIFKWWGSFLTLKILSEVFLIPPHLYLPGELLSSVSSADHIKQFIPPSDT RSAYTVLTLKHASKASDIGIAGIIHHLPNLESINLKGCNLCSSRTVKTILNKCENLRK INLKGTKIIEKDIKALLDKFGNQLEVFKIDNVSFDNINETFSSLPFSKITHLCLPGTI LNLSNQNSKYSSSLSSSTLIGYYPQPKPNNLENIIKWSNFNEIFPNLIQLNLKGLLIP ENTIINLNKGLRKLILGSNGPPIPIETLIKILKNQKDTINYLSIGNIKSKITNSGSSN ENDFLRLGEILKECKNLEIFKFITDQNGSKDSICDSGLNKYSNLIYSFGLKDNWRKTL KTLSLCLPQTIESSIFFPSFNIDEMTEENISPLEQLELPSTNIDNTKDFAVALRGFPK LRSLDLSGTTITGMAFSLNFEYEIYF
I206_02428	MSRNDKSVNPIPTPHPYTNLQPAQQYTSNSSSNQYSQEYNHDLH DEATSNHWQNHSINQVDPHSNTLKPYQNGNENNIPTRTKSRHSIMKETEEELTEDEQI EYEKGLITWEKAKNWRFWFRKEWFWWYLIFILIVVIVALMAFFHHSIINWLSPFVRKL RVLKAGFLIPAAILFVLSFPPLFGNEIVIVLVGLVWGLGKGFAIVVVGIGLGETVLFL LFKHWCRHRAERMTMKSLNYACLSESIRQGGFLMAWVVRLSVIPTHYTTAVLAICGLP TWKFILALLLSTPKQLISVYVGVVLGQTNASTNSHVISDCVFGACAIITLGALYFIYN RMTKVRKSVLINMRKDLHKRHVEVPAPPEN
I206_02429	MDKLLINHTDRLITAQDHASIQIQVADVDADGKAIKGQGTTIAI CGRIRAQGDSDDSINRIATKEGRQLVVWANRLCERDHSLK
I206_02430	MSDKADNPHNTLSSSSIPQNKKKERTGFSTIGNSMTLPLTTLSS LLALQDVEDHKPKLSKISWLPDIFVFRSSILPIVLGPVLAVTLFSSGVAAASVLWGQE VGLTNNVVPLLSVVVGLLLVFRNSSAYERYAEGRKDFTALISIARNLSRNIWISVNLP PTPIDAVGPGMTKHRLTAEKRKVVRLVVAFVVATKHHLRAEGGVHHDDLKGRLKSAIS NSSLRGTERYGGIVTNSPGTMTPALTTALGEGEDEESILGLSDPTTPFSPSPSMNNLV HSVNSSSYQNDKLHNEIRPNYPRNISSRLKRRPTAVRVLTPDEYNDDQETPKIYQVKS AKSSASKLDERTPLIKKGVKPDTGLIKNAELELGKMVESGLPLIIAHEISRSIFRFRK MGCLEAVGPAGMNALQAAVSGMTDQLGSMERIGILERLPEGDHEDDLISFERKNQGMD DWGADGDDE
I206_02431	MIESDYIQPEAGPSNSPYVIGNRYLNSKTNYPLTLRYIGPLPPP SSSSSSTTNEQIWLGAEYDNLKNGKGHNGIYKDIQVFITKEKGSASFLKYSKESLKKG NSLIDSIENRYGKIINNIINEQEDDLDLDSNSKLLISIKKEEEENLILGSSENSIIVK VPNLLSVKKKISKLEKIKNIGFEEEYINSLGGNYEIKLILKQRMKNLKWLNLSKNLLS NWEQLLEIVDHFDGLETLTLNHSRIQSISPILPSEQKLKYISTFRRIKELHLSDCLLS WEEISALLPLFPNLEVLHLDANRRLSTLSILEDGLSSLRELRLAGCPLTRWEDIIPAL VVFPKLEILDLSLTPLDSIPSSQIKLDSLKSLVLIELSIAKWSDLDNLSNQLPNLNNL RFSVSPRPASNENSLDDQIKNDFTAIDDKSLRSICIAKFPNLIYFNSNIITKTERRDS ELFYISFINKYISKNEGNGKDWGKWEELIKLYNITQDEEGIEKKLKIGLKGKMLNLKV YTSLEDYKEFKEISILPLAKISLLQKKLIKLFGLPINQWKIIQIWNTKKVNEQQQLEE FKLINVNKITNLWEDKECGWWFEDGDDIFVEFISLDD
I206_02432	MKHGISQRKLGRMPAHRIALLRNLVSALLHHETIKTTLPKAKEA ARMAEKIITLGKKGTNPAKSKAMAYLMPPHNSPTPSSSASSSSTFDPETFVAPKSLLP KLFNHLSARYVDRPGGYTRIHKFGRRQGDNAPHAIVTLVDGPRDLKLEMLARTVGKEG LDLIELKGNLDEISNDWEGLRDKTKQQVEKVLKYKNQDEIKEFKSKAKEFADYLQAEE SAYGGIRKPNIDSEIPKYRRPGLTTPKSGKILRAGERLSGVSTHTTGLGLARGALVRT PRGKLSIDKTPRFWGQARLPEGVSAEIA
I206_02433	MYRTLPLLRTTLPSLRLRATSTISKQIKSKPVTFQYRNYAAAAG LSKDDITSRVLDVLKSFEKVDGTKLSPGASFTSDLGLDSLDAVEVVMAIEEEFAIEIP DAEADEITTVQKGELIFSHLRPPEMVNLKVLRYFKSLIKTKRIEYNAIEGYQSIL
I206_02434	MSKIILKAGSSSSSTPNPIMLDGSSDNAFSNGDGYTSQDENEYV PLNREEQRIRDSKARYDEDVRKHQAIIEPKADRMLDDWQVAYVWSFIIKFNLRNQIQK LESIEDFERCLIEPVANRPDDILESILICFLANLKNLRSGSKSITPENVQSQLSNYID IKLSQTSEWTVWDRGWPINEEDRGSCCTSDPFRSELGRLRYYGEPSNARAAKNPIRQV EERGGGIFELDWTEKVAILRQMVDWQLTHSESIRDKINKEFPANARDSKAKKAPPPEL NDKDTITIKELGLTRDRARVWSFDDSWRLYKSGNPYKRPCQLTSITTNRESYHDLIED MGIFSQSVDTVNNVTKGSKQASEQRKVIQARKNEGALVEKLKERIESIEKEEARIQRV RKRIAQAVEMQQRAELRSTRTRRQSRKVDYVYDNVEDIDLDGEPSRKRNQRSPEFNGL DAKGRPIIPGERRSARQEAKYQEELINIESNDFDQEDKSVIEGEHGDENGYGNGTEES IEMERTKSDSKSITGSTSDMEMNGNWIGLTPEDIEREKKKRKRMKGYAWVDT
I206_02435	MLEIQKHDMKAEIRVGTYKVPLNSPKIVGVYASRGSREYQEDAA TVGSLQLPPDELQTSLRKLKKPYEWDPISSGSDFLAGQVAAFGIFDGHGGTEVSTYLK NNLFKEIEDAKLSDIEELVDWTKDRHGGYFRRWRGGALSRWTKYASNGSKPPEGENMT IEERLNIAFLKADKHILENIEKSRRCGSTSSVVLLHSLDSPGQPYWSAKRLSLTVAHC GDTRALLCHQPTGEVIPLTEKHHAEARVEASRLRRMGAELLVSDSFGESRWMGVVENT RGFGDGEWKPSGVTVEPEVTTRIIDGQEHSYLILLTDGLTSLLSDQELVDLIRKSFDP TRAAKTIVHFAEDLGASDNCTAIVVPLGGWGNVGGEDTTKERREWRRRRFGEMNTRMQ RM
I206_02436	MLQSSDEALQFLAEGQTLLGEGWTHVNDFDNLKENEYEEEEEEI YVTMDLGTTIDSKSLQNESQYQLVGLDTPLTFLKLGNQIFQGQITPLIGDEVILGLIR DSDNPHEPTHPPIYSTNHRLTFRAIAFESRTISNSSIQPTTSIPSVLWNSDKEKTYKE DEAGPSSHHFLSKSNNEKLGYSSEEPRFNSSVGGVSNKPRTQKARLIIERTEDLENFN LESIKTSQKVELGPEILKSLDIPPSTHGEPLMLSKTELSKVISGFPSNQNQSDNIQSS NKSKKSKKVWIVGEDGKMKLQKPTFTGDSSTVDSIVNDKDKDDIEMIEQGNSEIIDST SSREDSIQQIQAQKQDQDQDQEMIDVENDPPWTELNDGNSTST
I206_02437	MSSAPQKTAKGYGISDTKNYTDFSVKEFKLKTPCKDSVTLDIEF CGVCGSDVHTITGGWGELGVPWVVAGHEIVGKVTHVGEDVKEFKVGQRVGVGAQVLSC LKCDRCNDDNEQYCPEQVDTYNAKFPDEVEAQGGYSTAIRTHQRFVFAIPEAIKSEDA APMLCAGLTVYSPLIRNGTGPGKTVGIVGIGGLGHFAIQFAHHLGAKVIVFSHSPNKK EDALSLGADEFVSTSEEGFAKKYFDKIDYILSAADANSIPLSDLLSTLKVDGRLTSVG LPDQAWEGLQPQMMASNASAIACSHIGSKKEANAMLKLAAEKGIKPIIDEVLPMSQAG KAIEAVKNNKVRYRFVLKQDLA
I206_02438	MGLSLSRIYGSLSSLAFWGKDKEVRILMVGLDSAGKTTILYRLQ IGEVVSTIPTIGFNVETVSYKNINFQVWDLGGQSSIRPYWRCYYANTQAIIYVIDSSD VSRLPTSRSELLTMLSEDELKSVPVLVFANKQDVEGSLSPGEISDKLGLAGQEKGREW SVRGSCATKGEGLEEGLDWLVPIPFIAFLFIRAWRHDGEVESERRVEKGGARKVTKDD EMGKARGRGI
I206_02439	MSNDILAKKEGESSSKTELQKLEQKLKKAEEKMKKAEEKMKLIR EKFEKAQSEVQVQTSDSSRGKDTVQDKKDKKDKKRKRDIEEEIKLDEKVIDDANESEE KIKEVENEENKNIENIQKEEIENKKLKKEKKEKKKSKIENEFKVINNSIIKGDLNAKQ IFQDEELSDQSKKNIYYAQLYSNRSTNDNNNNNISNENEKENEKEIINWKFNKAKQNW LIRNIFSNEEIPIKYLDIVLSYLKTVQGLSRNNLIESAKKIITPSSEDTTSSIETDVI IDKTDVEKKEVDGVEESTTTKETEMKINKEKEEEKIKLTLLKKERAQKLLQAMDIEV
I206_02440	MAYGVPATHPPNRMTSMDLRVGGKYRIGKKIGSGSFGDIYLGVN IVSGEEVAIKLESVKAKHPQLEYESKVYKTLAGGVGVPFVRWYGTECDYNAMVLDLLG PSLEDLFNFCNRKFSLKTVLLLADQLISRVEYIHSRNFIHRDIKPDNFLMGIGKRGNQ VNVIDFGLAKKYRDPKTHLHIPYRENKNLTGTARYTSINTHLGVEQSRRDDLESLGYV LMYFLRGQLPWQGLKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYCRSLRF DDKPDYSYLRKLFRDLFVREGFQYDYVFDWSVQPSQKVQQQQQDHSDMAAQQAMQQKR RVMPEDQLGGIGENQRQLRSQTRNNAREQGGW
I206_02441	MVSCSSLRLVPATAQSSSDAHVISAQSTSHPVTGTHDAFRHGLK SAAQSVAPGNVHPLQTRLEKWSQTQNQLEQHMQSGTFGLAVPLRKAMEIKLVSENLHN PLIESSTITGVPLGGSSNLSLEILKGQDESLDAGDFMGGSNSLAEVLDVNSAMERSRG I
I206_02442	MSLQDRLASQESLSLITTATGLSLITAGSTTGFGYNFPLMLFGI ISHEMHSTTIPFRQFISLILFTALFDIYSLISHKYSFLILIFTILLFLIKIPIFLSCL LQLRERGSDLSFGNWNLPNNVNLPGGGNWSVPSMPGGFTSSTNQSQNQSQSQGNQQSQ QNASFPSSGGFRLGGEEDEDVSTGNQPPLPPPGRNGYSTIA
I206_02443	MSYIPLLLLLASVLGARASIELPEPTRQLEWKDVNFLSTSDIHG WLLGHQHDTWPEPNYSGDYGSLASFATHMRRIASEKGVDLLLVDAGDHHDGSGLVSSS ADASSKAEDIFSMLPYDIITTGNHELYIYEDALEEYRNKDRWNGRYINSNVNITIRAD DGSFQSVPLGEQYVKFETEQGRKVTAFGVIFSFKAHASGISIQKPSKLAKEPWFLEAI KEKPDYFVLVGHMPARGETGEFGPIFDAIRKVHPRVPIYIFGGHTHVRDCVQYDDRSI AVVPGRYLETIAFTSSSLPQDDHEPLDVARSYKWHTNTSSQEFDLPIGRNISLALHDL AADLNISEPLGIAPHDYFLARHPYGHPRSVLTAFSDLVLPHTIIDKERNGTRVIIGNA GSLRFDLFEGRFDRNDELTISPFTTAFVYVQLPAGLARNITEQMNKSGPSKLVPSQPS TTQEEEARVESIYDDWMAEQWKDHLLSEEQDHLFDQDQMVIVNGRIPKKPRTMGFVTK DACPGKGDDIEHYPVPHYDNQPDFIQTPFPDVDDGEIIDVVSMDFALDDFLAAVNVLD PTIGLKEKHMKTYAQGIQINTVFGTYAKDMWQDGL
I206_02444	MATFTRGLISSTRAASVSSRSIHICKVRPIARKVIAGPSTLSRR KYATSSGEASTVDPSLTKALDLLESGTRALEDGDLSQAAKLYRESVEVKETSEGWHNL ANCEYHLKNKIKAVEAWGKSINIQPSADAHTNLASAYVLDNPPKPALAIKHLTSALEL SPDDPEIAFNLAAVLESTGQLEHALTLYKKAQSGGIDRASQNVRNVSAKIIGERAKQA SDEQK
I206_02445	MCFRLSRVLSSSIRTRHCSPLLWHEQHTNIPARRSIKAIAAFRT ICSPPTLRKTQDSTPEGQLNAINPHRDSRQLLDDTSIPLRSLLDHYDLSIPIPKDQLP DLLSHHSTATDILALMPSVIAYMEDSKINIDDAVSLIQKLLAHLGKHQMYALLHPVVQ LFTQRIEREITVYGPTMKIHDMLGRYKGFIQFLRFFSSKPSGLQHPLPLPVRREVFIL VSHLIDMLESFPSSSDYRSQPHLSDQFLYYIFRRRFLTPELRKLLLKHTYRHDIELTP FQWQQCTFSAMDEEDTQSAEKYRLKWREMLNKKRQEGETGYFENTKESIPFSPEITVD SSVERGKLITSLIKDMVISRWNQSIKEIVRILGPHLTPIRRNAHLEVPNRLSPSLVKR EQEFERANFDSRTLLQFSWSILLDRCSKDEKITTEALLEMAETLPGEAIIGHTLTPIM YGLIKRGEPLKAWEIWRDLIEREKTSSNSSKGLFVDRVTLAVATEACHAASNLDASVV LVDTWAKKTIPIEEEDDEWAGSIKLDNHNVNILLNLCRLGENPSIAFRLWEAALPRYG VFMDDISLNLLLDLARYSNEEPEDGALSNSQQSEMFRQRLRAIADEFSFRRRRKRSIE NFSDNSELMEENSIDGVVREDYWAKGPTSILLDDLPNDVPERPWQKARSIFRQVVLGN WPFLKDVQSPLELAHQGMFDSIVSFFCSSSNEILDPTKQESKFKDTRNRIKIIESEIQ LPDLNAKYTHIIPTSNTFKSYIALLGYYNLYSEIPTVLAWMKKLNIKPNWSTMCLALL HICENEGPRRWIKGFGKDGESDLVRDEEIIRSWLIDWLGSGDEWDNQKGKKRKIVPTE QDIAKSRRWLAERRRRMNA
I206_02446	MNAMAPSMSPTSSSTGLATGPSMTSTAQHRLSLSGRQRRPSPLL HEIQPPSRRLSAHQMLLLTPFGGPLPAGALAGAGGSGMSRGSSSMGHTMSTAPAKMGN SSNWPGKDSSSSVTGTNPVQLGREIPIAGRQPSISGSGQSMGRETPPANRFPPRSRHS LGHSMAGPSPLASAPMTTIFSQGSSEGQSSRSQNSSGQETETNQMFISREEIGIEVRS QRTDGGDVEEDVEMMSPPKTRLRLLSATVAMTRSNSLPVLTLRELEALKEKDGELGIQ RGGDWAWVSRDTDDLDDPDLETPSMDTETSTNASTSSTSLVTPFEQPLSASSSSSSSY RNPFTSFNDPFAPRQPGISPVSAYIPTATSSDYHYSPSGVAGELRRMSDAPPQASASV TPSPTHRTGRRSDIDSRRPSAPHAYNQAYGSLTPKAFQRRTPPQASQSIFQPSYQPLA PPEETSPHGSPIADFPPASRPRLLRYKSSPARTTGLGLNIVVRPTGSGRNSGSEKSPG DTGSAGTHRDSRGSLGEQSIRSGPSRSSFSSGRWAEVDFIDSLAATADAVLTSTSESN FNVDTNSYSQSSNISDVSRPSSSIGSTTFVNRDLTNSRISNSSVNTSISPTRRERRFS SNNRIGIKSEPQTAIKSGFKIEQISSRSHIIDFQIESTIVFGENQSPIFKGRSRFESV DSAFPLMPGGKGERLSVPNQTSSSSNFINDLSSFNNVNIGFGNNQNILNEGFPRRGSL GMGTFAKLRNKMINKNNDKINDNHNININHDGIDELGQRLNVNLQPSAGSNSTHWSER RGSWAEGWSSGR
I206_02447	MLTRPLRSVCRVQLSHSPKAALRALSTSSVRLGVQEITRPAIDT PLSLWNWTEEENMLRETVRRFAQDVIAPKVSKMDEEEKMDPEIIQGLFDNGLMGIETS ADMSGSEMSFTGAIIAVEELARVDPSVAVLCDVHNTLCNTVLRLYGSKEIQEKWLPDL ATKKVGSFCLSEPASGSDAFALQTTAKLDKSGDFYTLNGSKMWISNSAEAETFLVFAN VDPSKGYKGITCFVMSKDMGVEIAKKEKKLGIKASSTCLLNFDDVKIPKENIVGEVGK GYKIAIEILNEGRIGIAAQMIGLAQGAFDKSLTYAYQRKQFGKPVGEFQGMGFQFADV ATEIEAARLLTYNAARLKEEGRPFTKEAAMAKYFASVVAQKASGSAIEWAGGQGFVRE VGLEKFWRDSKIGAIYEGTSNIQLETIAKFLRKSASCVAVNVRR
I206_02448	MTYLLAINCGSSSIKGKLFGIPKSKSDPLEPQSALEVVNIGSKD EKVQIKITWEEGKGDNLTEQGKNGDEVDYESLIPFLLDHLTSSANNLKKEDIKYVTHR IVHGGAHTKGITVTKEHEEALEEMDKLSEFAPLHNHHAVVAVRSVLDALPHHTSLMVF DTLFHSTIPEEVYTYALPPPDREQVMPLRKYGFHGLSYASIVQSMAQKLGKKEGEVNI IVAHLGSGASACCIKGGQSIDTTMGLTPLEGLIGGTRSGTVDPTAIFHLTANPAEGVD FKDYTVSKAEILLNKKSGLAALAGTTNFGTIISRLSPSNCSKEEHEKAVLAYKVYIDR LLNYVSQYLFKLLSQLPLDQIDGIVFSGGIGEKGSKLREDALAKFNWLGAEVDKSKND GKHTGTVTEITTERSKLKGWVVETDEEGWSAKLARDEFGF
I206_02449	MTRPLFNLVQPIASSSKIKLYPSISISKRYQSNIKSPQLPLPNG YTFYPNHNDTPFEEMSKSIMKSEPRPDQIYQSKIDSPHLPRMSVYHYLFPFKKYKSKH RTRFYYYKEQNLEKPTFINGLNGKFLTRKEIEEKSKSLSTGLKLKKIEKDEIGCLFGM NSLEWVISCFAIQALGGIVSPANYAYQPAELLHQLKDSTASYAFVQPSLLPVFLKALE LDSEIKIPDNKIFLLCDQSEKDILKNKGGIEEEWSNRFLCLDELYEKPGTPKRFQDGM EERTAYLCYSSGTTGKGKGVETSHHNITSQVQALNCCYEPIKYNDVILAMLPFSHIYG LTVSIHQPLTVNGTVVILPRFEEIAFLEAVQRFKVTWALVVPPILIALLNSPNVEKYD ISSIRGLMSGAAPLSSDLVEAFEKKFPNIKVTQGYGLTETSPVSNVMNIEESKVKGRN GKIGKILPTYQARLVDQLTGKDVEPFDRGELWLRGPSVMKGYWKNQKATSEVFAPGGW YKTGDIATIDKEGYFSIVDRVKELIKYKGFQVPPAELEALLLTHPEITDVGVIGVYSK EQATELPRAYVVPKGGISSLPTEKSKNEFSLKIQEWVASKVSNQKKLRGGVILIDIIP KSPSGKILRKDLRALSIREEEERVQKGRIAKL
I206_02450	MPVGSNLPQKSVILRYPPTSTNVSNLLNFEREGEESYQLLELPP EIIKAVEAKRSNNEANDKDNFFPLTIKGKPSDDAVLCTPNSTFLLRTVGISNSILVCR TPSSSSSATSSSSTLQIRDTCHEIIECLPISPNLDRIQMILKKSSWKGLGINSSFNLG KRKREEEKVKKWTRDQLNSVIQASDEELEIGLKERNVIEFQGKMLLLPPKELKELLSI ILSLLTIHNFGPGKLNLSPSKPIIECLENDHEISNEIIKEVLKLFGELSKKDEEEEIW KANIKKIIKEIGNGLLIGVKDKKLIEFEKEWKEEIGEEWKDEIDIKLLEGEYLISNGP INSITFNSLNDNKFITHFPLSSLPIQPLQRFTDLFLTKSKWNPEEILPFLKGLTCEGD IKSRDKLIVKFVRVVKEKDGIWWYPRRS
I206_02451	MSINSIKLGQGQNIPLKLGEELLTPGNTVEVELKVPATIQGPKR IETAKGKIWITDQRVIFIANSIDNPGPSTITSSQPNPPKYDSPPILSSIEIPYLVMKF VNYNLPTFSANHILIKFIPIENNNSLPNPGIGQFIELKLWIGEGLGHSIWKRIEGEKN KQEEKFKNLQEETLR
I206_02452	MAFTAPDNLSRSLPPTSNLVLSSPPRPSRTTTPNRNSIQRRYDH FAPSSNLHNRSNSASMNLLNSNHSGTSSRWWDPETIQWPVHGLNELNEHIENESDLVS ADLPEALGKSVMTSTGNFQLDLVPRHQVSPTKPNITTTPRTLSLYITSRNINTYTSQE TSSSAGIFVGITILNPNIGEKYVGTKYIWSNSSEFEFSIDAEYASIELPILSTLIKNY KSLEEEDGFMLCVRIGPKWNIQPGFKIPDLISSTTLNALEKLLDKSTGDIIFICLEHS IIKGNEDDVSIQSRKRTIYTHREILEEKSEYFKDLLNSGFKESDGQARIVVNDIGYNT LYWVLRFLYTNSLIFSENYNVRSSALLDSLNSEETNKLVNSTSITYLENGEWAWYNIP FVYDGKEDDDEIRTMVSASSSSSGTVLSRRSEGVPIPVKQDLQKPRSSRSSDRGQSTQ SESTVRRIPLKISLPPIQPRRPTINEESNLKTSPTSKINSNSTKQISPKTLHRSHQGY PLPIQRNELDPDPNPHPTPILEPADPLEIYIAADKYRLDILKGLAKEHLLGKLNQGYC IPLAFATYPYDELHSDILDYIVDHWNQVKSSPEFLKCIHEVRQDVWGENGPLVLHNIY MRL
I206_02453	MASLTYQQLRPIPSSSPFVSSAPSALNTNRLTTPLAPPPPPPLY MSAHDHLSGPERQVRYAGADHTLGPTFSEEEDGDDSAFIAAKMAALGLDPSGRPYNQN GFSPNQIRDNRSRTPLTQAQLQAQVQAQRQAQMSYLTQQAQQQQALLNLLASQSPSQS QIQNWNSEQLNSQFNEAMALLEVQQIQQSATDRHPRQAQRVYNYPQRQTGWEQTRLLE RQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRQIREMQYLQDLH LQQQLTALHSQTHAQNELQARSEESLSRTALSAQIQARTAGRVAQARGIFMSLDDTEL RARFESASNTEASHAEKKLDNPSTSPSRSPVFATTGKVNGSPTSPTNSWRSSGSPSPT KTSILTPTEYSTPVQVTTIRSPKGGRFSQARQAMAAEGTDKPYGTLTATLSGKSLALS SFEPIYTQPTIVEAKKQPEEIEAGSTVDQRPLKYTMGALGNGRPKALKSSTDTSRSLT LPANIINAELPPNQSERAVSLPIPPQVKIVVVRQPLGPPCEASELGDKNFQSRLRRQA GLNLTMLGRRIESPVLA
I206_02454	MSCSGNPSNCSFCGNTETNCAKVEVNGCTTNPKECTVCQGNGCV AKECTGNTATCNACQEGNYKSCRKVQIQSSEAEGIEPARPAPIA
I206_02456	MYSTLQRLNHISSLATTYVMILLGFISIASFLSLPSVDVGNVEV KDLIIQKGRLRRWGARQEELASLKFDIRTDLNPLLNSYNTKQLFVYLTASYEEQSTGN SHEVVLWDRIIERADLKDIRAVGNKNKYQWRNPSGTFKDIEYANMTLHYSLMPYVGVL SSGVAATANGPVTIPPLIKR
I206_02457	MAYPRRTIRPTRRTTSSSLMSKITLFAFVLIAVICFLPVGHQVR AEDKEVDVGTVIGIDLGTTYSCVAVHKGGKVEIIANDQGNRITPSWVAFTEEERLIGD AAKNQASNNPENTVFDAKRLIGRTADDSDVKRDQKHWPFKIVNKGGKPMIAVNHKGDL KEFTPEEISAMVLTKMKETAEAYLGHKVTHAVVTVPAYFNDAQRSATKDAGTIAGLTV LRIVNEPTAAAIAYGLDRTGKAESQIIVYDLGGGTFDVSLLSIEDGVFEVLATAGDTH LGGEDFDNRVIDYLVKQYKRKTDVDVSKNKRAMGKLKREVEKAKRTLSSQMSTKIEIE AFEGGNDFAETLTRAKFEELNMDLFRKTMKPVEQVLKDAGVKKDEIDDIVLVGGSTRI PKVQQLLKEYFNGKEPSKGINPDEAVAYGAAVQGGILSGEEGSSGVLLIDVCPLTLGI ETTGGVMTKLIGRNSVVPTKKSQIFSTAVDNQPTVRIQVYEGERSMTKDNNVLGEFDL NDIPPAPRGVPQIEVTFEIDANGILKVSASDKGTGKSKSITITNDQRRLSPEDIERMV QEAEEFADEDAAVKKKIESQNALQNFVYSMKSQVADKEGLGGKLDEDDKETILAALKE KTEWLEENPAAEAEDYEEQLSELQAAVAPITAKLYGGAGGSSYDDDQQPFSHDEL
I206_02458	MPGANINTSSKQATSGKLNPIWYTYACATLVAAVVLGNLLRYTF LDWSDPYHCSALLNSGTGKWLDPGTWKNWQPEGCFQQPLPAAKFQKCLSIPGANTPKS PFASSYDQKRTAIFVGDSNVRQLYFGVARTIGGVSKAWEKDGEKHTDRTLSLADPQGN GQLELEFWWDPFLNTSKTASLLSGGRSEPASLLVMGSGLWYLAKIPTGGLASWGGMIH ETQLQSRATDFSIADSIIFLPIPNPVHEKLNAVRAEKILHTDVEAMNADLYARLTHPN PPPVIIPSVLNDILVEEETEDGLHYSDKIMNKQAELILSWRCNDILRKDGATGTCCKR YDWTTPIQTLILFLLVVWAPVGMLVASRLPPSSPVLNYLPSSSIAPALSTFGLAMGYL FVADRTTIFVKEQKDFEPLIFGGITFAALVAGLATMKNGGKDLGFLNRDITDEWKGWM QIAILIYHFFGASKISGIYNPIRVLVASYLFMTGYGHFFFYYKKGDFGFQRVAMVLVR LNLLSVVLPYTMNTDYAFYYFAPLVSWWYMIIYFTMAIGAKHNERPAFLLAKLFACAG LVTLFMHYTVLMAHIFKILNIIFRIQWSAKEWSFRVTLDLYIVWAGMFCAYGYIKLKE YQITEKPWFNTARTTTLVSSVLGMIWYFWFQLHLENKFIYNNYHSIVCIIPIMSFVFL RNANPLLRSTTSKLFCFIGQCSLETFILQFHGWLASDTKAILLVIPTTKWRPLNLIIS TICFIWLSYRVSGATSEITEYLIGKSKKLPLPLPNTSNLNGQTLSSTSLNNSTHTNMK TSSIVGSVIEGLKDGAEGGIPESIPLMNQTKKDIESLNLPQSENMERRESWPAWMAAT AASMTSRPTAAGYQVTDRQWKDQTLLNIISNIRTLASKYNSVKLTLILIGLWILNWLY 
I206_02459	MLTLQGVSTINFDPESTTVDDLKVLIFSSTEIPPSEQELKYSYP PKLLPNTEGFLSSIPITKGEQIIVTSVPSTSTSNSNASTSNIHSDETRANSLARSAAT PTPAPSIKAINETISAPSPLPPRRPESPLSQNDIPLEAQDSIALPGRDAGYLQLRVVP DDNSCLFSAIGVIFEGGIEAAQKLRTVVANAIRDDPYTYSDVMLGQPANQYITKIQKA DTWGGAIELSIFAKHYRTEISSFDVATGRCDRFGQDEYDSRCLLVYSGIHYDAITLSP IPSAPPSFHTAVFPITDSTILSTAEKLVKQLKAKHYYTDTSNFDLRCQICGEGLIGEK GAREHATKTGHVQFGEY
I206_02460	MTFLTNTISKLNTNTLKQPKQSPTLSHLVSSASLSPTSSISSYQ SYFDTRFVGTSFDGGERRESACSNTSWGSMGETTSSKQPDKEDIPMEEDENCIDNVAE ETLNLTQPIHSRILSNKSVDSIASSHSSSSSSSEPKITTPEFPNSPGSVNPFFPAQNG QPQISPSSSTTSKATSKDEYTPRAELPALHWPHASASSPIRKSDHHTDGQSVMQDQEQ AFSTVRKPSIRRNGSWRRKHAR
I206_02461	MSFLLFSPTLPPPRSTHHRRHSLDTPPTAPHLAPSFPGFTALKH TPPLVPAVSASKQHLEGLPAQPEGRLAPPAQGQGCGMDASSPDVRRLSGESFRSIGSG NSIIAQSPTTGCEISAPKAPPAGYPLPSLGIQRRSSVPGQFRSPVSSTSSTSATSSSS SSCKSNRLATPPPLPPRWACPPAKTNAVSGRALSFGSSQDRPDVSFDDFDPELFEGKE NEWIEMIKGVEGRIAIKSTAQFYDIMVWLPGFSLDNITIATRGTRTVHIIADQWDEGD HAQWDIKLGEDANLKSVNAKFSGGELRVTVAREQRFTNPKLTRMLSSRSSIGPTFSSP SISATTGHNPLERATVTR
I206_02462	MSSSNRNSQIFKLVDLDSPPPSPPTLQRVKSISLLPKFAFDQVS LIPEASTPRSKSASRATAFPQETIREVDTPEHESQEILANPSAEKASRSPMTTKRDRT TSSSTNSSGTSKTSLSATATPFKLVKNPRQSSIVRNCPPLSFNFGRQQADTFTSSAIP HSSQVPPSDALNSATTLPIYPYDSSRYPQVHPYDSTTPTQVQPPVASPRASKAIRIIS PLESMNKKANIVSQEEASGQHDSQEQAAVPAKPPSTVPKLSQAVPTLKLRRGKAEILS LYPVTDEGAAKRHNGNKDSSISTNFDHFLFSFSFPSQHLDVLKLAAETPLPRTPATAS TLEEEKSPLTSDVNEQRTVLPENVPLPLTPRTPVQMSSEHGQAVYLNNNHLAAINCLI STLAEDFKQFHLDEQKVVRTFDDKLIEALEQIQDMVNSGSGFNPVTHNETQPVASTYG SRTRSLQVQVDTLTETVRQLNHAREAAIERGEANDSALETKREELTEAFTQFGHYKAE ADLLRSKLQDTITEYRQVEIEKLHITHKCYKLAKALKRSHADLEESRQVARTISRPAQ NETPFVAILLEGHARMFSHDLVKAGASGGKVLAARLIEAARAICKENIPDTPIERFTI ELFLDVHRITTQLKVVNVVKNIGHMHSFLDGFINDYSSYYCDTEDPAGPAGRVKERIN LYSSLDACKMILIGTSQARNCVRYLTKLKESGTHDKFHTIQSTMNSQNDPLFQSGMER NHKIDGFFTIDPDDWQLRYDRAKSTTDVTNPPSLASRTPSILSRPSSRASVSTLRPLS PHTEDNQSVRGPSLPPRRSFSSNFDPSEAPPWKHIGAHRESETVQDDASIVERKYNPS PVSTHQPTSVRHSSVTTGRSSKKQGKIVIPINPDSETEIGLSPPESDEDEPSVHLPHS AVKRIQRPTIMRGSGSEDADDIDSGLPPGFRARRPAVGRSDRSAISVTEYQSHTSPPW HQTQPKAPSTTYTSWKDIIGKQMDSNRRSSSISSFSEANTIPVSGRRSSVSTQPEEMV RSYQSCAGWRYLADLIMSLSTLPFDAVASEVTSGKAMSPSLFRRSLS
I206_02463	MPPRAGPSNGPARKKKAIPTFIPSTQFANTSSPRSPREESEDPL ALSPIKVTPIVKNRTRRGTRSSNARQSSPVQLYSDLPSSTQRVTRGSKRRDREVEELD EDDEDLVPPSEDDEYEVWREARRIRLNQVEEKGTGRGFEAERRGMDVGKIEDKRMKRP KKPDKTIQERTFESLLPTPSPPSHSPSISSSMSDTRSRNTSPTQPLSYSQQDDPFYIL HEGQQYTPYLAIEAGNQHDEMELLNKVQAQQKLPAEVGNTMSDSDKSRSPTPQTMLLL PLQDQAFETLAPAPSNVKIPPTSSQMNSGISPAQNTSQDIAELLSSPYRSPTPQTIPF LPLGDQGFETMLSEAGFSAVEDSEATRPTAMQFLMPPHMSLPPQQDEEFDTRSPIAMM DVEESVAKTLSPHAPFDGDITITLSPGRNEMLLSPPKHPEPIQQSSPARSLSQMFAAV AETNDSHAFAMPNLPTPPPIDPLLQQFRGARTFRTRTVLQLQPYTKEKQIYEAALRKG GLKKGKKAIAPSREITQEEDHDDEAQVSESSEASAAEESPERIVIGDTPPLKKTREPK KLVDTDFDEFFFEHGTAADEDNSDHVESLQKIARKRLKAAKEEKKRLKEAEKERERFE SLMRDMRGEQDSSENEAHDKIQDDARPFIAKKRSAVRTPNGVKTYGNRTKLNRVAVLS PPDAADNALPSITHSMLSPSKSQGNTPTASFRTAFSGFNDIDMDVGYGQPQDESFLME DESVQRQTPSLARENSFFINDLARSPSPSRLRSNSDSDSSTNSGIVQDRRKKIAGRMM PAAMLKRLEAEAAAKERQRQEKKSRRQAVTESPIRPGRAVKRRGGGHGGLEDIDALFE DDDPENVSSHTPSISYNRSPPNSFDQPIVVSDGDSSSEAEEDNQTEHTLARLHRGDFE SIVSGVRWKQNTAKRKDRKFRVKSRSDHGRPALGLAKRVRAPISETNRAMLQSRLDFP VMDKSPITSTKKTRKRPFHQGRQQRPAIRLDDHVIFTAADFEFDDVEESPRPVLKQKT IPRQFARTTSANLNQTKSLDTDMGKARSWANFDKFSIDFDITPLPSGLYCNSASIPGT GQLARLVKDLQGLDCDTDLAACFDYGIELRQDMTPSAIQAVVPLLFDAAFRQMMTILN EDEAESLPLGCFIFLGRYITVHQRDLVETTLPMVSDVQTSIRQLNLKLEGIDIGRNKQ GRNSLLEFRWAMLELSCRVQSKRKITEDSLVRLCAVKLFRQLLSYGFDRTIRPLKQIM RGESESAEISDITVTMWISMITTLNAWDNAKTESTENTFMICLNEAFDSIFEHDRSGP IAAERIWFLVFGLCALSQFNMNGKIDSLFITTPRWLLVRRAVGLIKVAFDEDAEKRAQ LDQLRGRDRYIKVMMARCVRLSAIWKWSFDRESFSVATRDLGIIFKDRQYRNLPTEPP VDYPDFITRFDMSLTAAADTKRETAFELYLRLVCVAASDIISSAQTLTEAQQAEKDVQ RLVMSIIPVSAVKFNRLFPPSPKDLGQLINRYSTMIAGCYFSPSLLSYLLANSKSWSS FESADFDSRQIAIRGLMYLCVATRHHNQPLKPIINRLNELMKILQGELEYLVGPSASV NQSLNNGPTKMEIERTMVLIVSCFKQMIKYHSFAVEEQEKAVYPDPSLLHESWTVRIF DLELSKDLKCGLQVIATIQSFLDTRASALPKLAKQRREAKESHNESFDEFGSLGIDFT DADVLALGGELGEEDQEVEQKDVEFAAIIENVISPKIYRLLSDMLPPVPDDEAGKRDK DMDRQPFISRLTKCWSDCAAVLVVEHQKLDWSTFISPFGRQSWARLGDEKGRVQVGLH FMLNVAQLDLGAFVYHEEDFVALFFQVIGTDRLTVEHHYTSALLAMRGSLEHPLLAPL RNIEAFERELSRDGFMGIRGEALRAIFETIPDLLRSSRTAASIKSFTYKCINLFVSSL ISYEKQIDSNKIIHKESYRAFTDTIIRDLRRIAGDFITPLSVPGLKHFKI
I206_02464	MGNIISAIANGLSAVVSAIASVFIAIFRGIGAVLIAIWNFITCG MCSGGRRGGRGTV
I206_02465	MTRKRQALVSTAEPLSDDDFVTDARASAKAYAEVQKVQSERERM REDNKMTKRRGEQALALPRLPGGKRTSNGASGGQNQAGSSSLDDEDEESYIEHDGKRV RKRKRNDDNLTYEGQVILPFNPLYSLAGLPDPKGKAAEAESARQKSISRLAGGSSASS PSRSSPLLSANPGDPSEDMNEGYKEERPHELAEDNDEAPEGDYDDETEEVVVPWGQPI ADDNAEEDLGEEVLYSEDEGLFKQSKTEIKSNTMPFGERLEFDDLPPYRPTFPFSLEQ AQIGSLSLDHESLEIAVPASINRFLKAYQQVGVKFLYDHYKGGIGGVLGDDMGLGKTI QVISFLSAIMRKTGTSADHIRRKNMIRHSADTVNPRHWPTALIVCPKSLVANWSRELD TWGYFEYATWRSDNWSDIRTSFQQGFVDILLTSYDTARNTIEHLKSLPLSVVIVDEAH RMKEPRAQATLALKSIDCKICFALTGTLVQNRMDEMWSILDFSHRGWAGTLKEWKEFA VNPIKRGHRHEGSTADVITAIMRLGVMTQKILPHFYLRRDKKLIAHELPEKRDMVVFC PLAYMQIMAYQNLIASDDIQFLLRRNDKCECGSGQIRRLKCHHPKTENGETVSEVLLR NLSACKKVANHFGLLYHAKDDSAHTREINRHFFKVCVSDPLGIQSLTNIGPSDPGNCG KWTLLEQMLLQWRNDPDDNKVLIFSNSVRLLKMISEFISTSSTLAGFAFDMLTGEVGN TERMDMVDRFQDRSKDHYVLLISTLAGGVGLNLTAANKVVIFDPDWNPANDLQAMDRA FRIGQKRTVDVYRLIGQGTVEELMYERQIHKQQRSRQLNDGTFESRIHQGFDGAKTAE DQGELFGIQNIFRFDAKGFVSQNVSQGILLQAYLGMLMIQQIERVRQAEDRFVQDLIE AEYDESDGEDEESEDEAGKYMRNERKARDLHRAHLNAMSKRQGENDGLSRRNNEGVVK DILGDGSGLKKEVNEDILQRLGVNTRIHEQAFRDSPEERAIYEIGVQILRSNPDMAKK IKANDLGKLGRSVAKRKQVTDVNEEPWAKRLQEKSERAKSEGSRERKRVLAELSD
I206_02466	MSEDLLSVTNQTLQSSLQAISIPTSSSQATFTNWAKTFKCQPQR VFAPTTSLQCRQIIELARREGARVHPVGVGHSPSDLACTNGWLIKMEGLKGVVKINHE KNSATFMAGTTLHQVHASLAATSPALALPNIGSISDQTIGGLISTASHGSGVTFPVLS QHVRSLVLVLPLPGAPIVKVSPNDDPDLFKASLCGLGATGLMLEVEVEVEQAFRLKET KEPKSVDEVLDNLDEIKKSAEHVRVWWYPDGKGMIVGRANRTYQPAQPTASLLAHILG FHVTQFFLFVSRIFPSLTPLVGRWAWWLSKEESEVVDDGYKILNFDCLFPQYALEWAI DASLAKQCLEEMRVWLNQEAADHNGLRVHFPIEIRWSAQDDIWLSPSYGRETCWIGVV TYRPYGLAVPYRKFHEKFASLLVSHGGRPHWAKQHTLRPKDLEVVYPRFNDFKAVLQR VDPNAIMRSENVRRHIDGENVPDRMFKSR
I206_02467	MPPNIIIPGLIAEHTSENVSVQVLAKRAANITPNSFQTNTVIAA CVMFLAILIAWNLPILRDAISGLKLLTVGIHELCHLVVGLLCGGQVVSICIDPNDGGA THVMGLMRTTPRVPRNPYAMPTFAQTFWSPSAIATLASGYIGSSVIGFLFIFCGFDIV ASKAAALVIHFALLVPILRADHWIAYASIIGCEAILIGLWFGDHGNAIRFYVLFVGVM NLFYVVWDYVDERLFDKRNTSDCAQFSELLGWPTSSWFMFWFVYDSLVFASAIFAGIC VFRRTDDQMYQEA
I206_02468	MSTPVAIPTPPAPIAAPIPSEDQIARKFDNCVADLLVNAGLGFG VGVVASVLLFRTIRSSFLSNIGAMISICDIKGYSLNAFLPTTGRGWPVALSTGFGAGV AYSNCNYSLNPYVLPGTKVLPASKS
I206_02469	MAPPMNADAKRLRTIIVSFPILVVTTAILYRRTMLGEEQRKIPR DITDLATAQERIGQVGGVPWEVQGMEHEARGTR
I206_02470	MSHFPPFPPTQSGRYSPNRAYRDRPPFPPPIPPFPTRDFPPYPY PSSAPPPPSSAYRPYDAYPPEPSRPSYPPYEQPRGPYEDHYDPYQPSVSTYNSVPPPM RVLPPTYAPTPMQEPHRRQVRPISPPPRRPVPPHPRPDNRHQNNGPPDLKLSTHPTTV NIGGFTAAVHSLPPSHLPITKYVSLNRDDAIDLHRQLCSSRSSVWYADGSSRAGEAWC AAVEWRLDLNLGGSKMRGHLSNGDALDAELGGLYKAAEGFRELLQQSIKDGHPVPHEL TVFCDSQAAIVGIDTSSREEAIKFESLWRDICSEYLYAHMTLVWIPKDSGVEGHILAD RIATVGASNSYTKRRKDRTLPDIYMRPGGGEHEPSASSEPGPWQMGDADPTRLKISFI RPQPVAVSPPIITRDIESDTNDLKLDLGAVEQVEQVSSEGPDGEDEGIQPQAGAIFVT HVAVDIFHISPSLPRYANVTFSDPASGVAAINDLHRKPIKLDSPFARENEADLGLWKA WDGQLTVVLHEPPRIVPSAVEADFPDLPDWARGGEKDAHKNEEEETMEVEGQIKEERS PSPESDGKKRDREDPTANGTLNLESTSSRSPKRLRQENYDEHGGIPQGGTEEDSARKS SIADHIHALPLTATKQAISDLTESLYPAKVQQIQAVVASTAAIPQSPALEVILPPFPP TLKTEAVVRSESPPSSFDNVLPVNGGVPDHLSTRTLPPTPLTAVPFTNGALTSSTITH QSPAQSNTSSPTKPTVMTSASEPQEHPIKMSVKTLRAHLTLVRTSLAKHEPDNWIAHT CLIAHDIDHARRSLQADLYATDESFISGREWEKQLTAKGFTSARIDAFVTKVLKVLDG IAAAEEPESDQPDSVEDVERLQAELTELLDIFPNETKEAMASAARMMEFLVRGKDLQE KKRIEVERRVKVLEGMVKVGEVVSGVVRYLLTEDK
I206_02471	MSTFINLPLSNPGPLTGRELHDHQKIGLSKLLFMENDYRSLFES MVRHDPSIKDLTYLDLSSFPHLWKHEGNKEWSNPFSERLGEEESRPLQGKGMILADEM GTGKSLTILALIEASWLAAREWRQGPLNGSEEPSYRLPLEYDLEHICIDKPPTSNPTK LTEAPQTVKQPSCTNTKSSSSNIKSAATLIICPKSVIGVWENLILKHWKGRKCIGGMW GTLDESDIQQKDLRVYKHYDNQLDEDPEPLSQAGIVLTTYEAIKISENKSNVIRDMTF YRVILDEGHRVRNVHTNLHEQVHTIKKRHIHIVSGTPVQISLTELHAYARLFDLPCGL ASLEYMENEIIEPAMHEYPGPTRLRQFCDIWCLRRLKSDIKEIDLPPKTIKVFFLSNQ LLSSGLQGIEDRKTEGWDSEAAHEDWYEDVITKKGWWEKRFTPLTSKGSIKMKWFKFF LQNYRGDKLVVFHHWKVSAKVAKKVLEEEQYCVQYLTADMTTKERNHYVHKFNTSTGT KICLLASMKVGGEGLSMVGANTCIFADLWWNPAVHDQAMDRLHRAGQKNSVTVLMPVT DKTYEEGIWIRQDYRRGSTNLMFPRDPEAKLPISRYPQELREWLKNNDNHDEDEESE
I206_02472	MSTLVASRGDLSLHNPNSSTTFPPGSGLNQSTQLVPAKVYAVQT NMEATKSKSFSHQDAGHHFDVFKAWKKGIPATGTPNTLGSINRDVLGSLNVNTASRSR SSASATIPRPSATPDVFAPEKITTTQRSADVFLNSAKRSRDLTQTAFTSGSAKRVKLE REAESASRTARAEEEKWRAKWVKVFPTLVFHFEIGSEEGAGKYLKQRVTRMGAKIDQF FSTRVTHLIVKGGVSPQKAKTLAPSRRDANRDSSKNPFLDGTGVTDLAQKAEALNIKV WTVKKLADILSRISPVENSNKDSLSTLLEDEKINGTRERDLTAPRPDYYYFKPGSKYL LIEDATGKHRTIMVKEYAYSQKDGPEWPTLYDGFLRVSSSRQSDVPVGKIRERAWNLY VERKPFEGEQPPQDLKRSTSLRAFPTTPKLPEAQPYHHASGNSVTLTSTIASTSTAGT PVFGAFNALPGLGANKDRAIMQLSKRVQVLKGNARLAAAKQEEPAQNMTSLLLNRRAS MGQPQPVKTFMTQDQLVKMLQQAREPIHETGITVQMRMRNREKVDMGLKGREQDTAAG YCENCRLRYTDLSVHIASKKHRRFATNDENFEDLDRLLYALQRPLHPATVELRYPPCN DRHTKDAECYKCNTEMASQEGSEEETSSEAETRCFSDDNEQYLAVRNTYESFDGMGNF EADSVIEAF
I206_02473	MTWLARVPVVPLRLIPYHLAASTSCIHQVRHASTASSTAIDKKK AYSHTLLLPKTDFPLKHKDIVAAEKRYRYKTSDQLYKEQITRENNPLFVLHDGPPYAN GNLHMGHALNKVLKDIINRYNLIRGKRVHYVPGWDCHGLPIEHKALAAIGKSHMSMQP TQVREEARRVALEAINIQKNEIKDLGVMADWEGEKGTYRTLDHDFEIRQLNLFKTMVE RGFITHRLRPTYYSPSSRTALAEAELSYKDGHKSRSVYVAFPVEEADMSESLREVYQR NCGVEEKLELAIWTTTPWTLPANMGVAVHNDMEYAIVRMEGGRNLVIGVDRLEPMQEV LGNLEVIGRLPGSQLVGTRYTSLFHSPTLSQSKPSIMAAKHVTAQAGTGLVHSAPAHG HEDYEAFMVAGMLPDELRCPVDDDGRFTSDLMKWTDGDVASSLVGKEVLGDGPSAVIE LLKHRDVLLAEQKMEHRYPYDWKSKKPIIVRATPQWFADVEAIKGSAVEALEGVHFHP AISQKRLESFITSRSEWCISRQRSWGVPIPALFGSEGPIMDQKTLEHVISVLNREGVD YWWKGQIEDFIPPHLQGQTLTRSNDTLDVWFDSGSSWTMLEHLRRDPLADVYLEGSDQ HRGWFQSSILTKLISSSGGSPPYGTVITHGFVMDEKGDKMSKSAGNGLSPMDIIHGKK DFTPRGADILRLWVASVDYTNDASIGPTSISNATENMRKLRNTLRFLLANTTNAESQE LGDVTLRPVDRYILHELSSLENLAQGAYDSHTFNKVLNSATTFASTTLSAFYFDVIKD TMYCSAVNDPTRQAIIAVLHHTLHRLTRILAPITPHLAEELYEYMKGKESSVFLDPWS PNLSWLNPNLKAEFSQILSVRAEVQKLAEQARSEKHIKTSNQAHVNLSHFMGSDVTTS AQSLSALLGVSSVSLQEPAESQAEEWQYESSLELETLIITVQLVPAKNSQCPRCWLYT AEVAESLCERPANGNHAGMLTSIVGANIRMTNPTKRGSAMKFKAIIEEQTH
I206_02474	MSTAKHEQGSRSPSHSTKRRAASPSSSHASDHSPTQWSPLSPEN DYHPNHSNQYSTGLPTGTPSVRRREANRLAAQRFRSRKKGYQDSLEERIRILESEKEV LIRQLDESLSHSGRSSSSLQSRSSNVRRNQIDGEVIDSPLWPASSSKRRSHSPDGGKP LDADVRIASLESANRRLQDDVRNLFEENEQLKDELRKWQRWSRDNGREDPPNYPEYSD QRHTTPRSREIVNGGPLPHQRMPEIVGDQRRNNSHHLDADHDHHSKSANGDRLRPSLP PLERPIGHLNGPPLSSPFIRPPFDHSESFSRSTQPNGHSINILLAPLRLPPIRTALSP NTMTTTLPSPRNHSGGSLVDRPLQQEKNR
I206_02475	MDLAVKENLQRAARWEKGIKVGEGTFANVYKGTEKGTGRKIAIK KIKVGEMKDGLDMTALREVKFLQELHHPNIIALLDVFSVKQNINLVLEFLDTDLEAVI RDKALIFQNADIKSWVAMSLRGLEYIHRNGVLHRDLKPNNLLIAANGELKIADFGLAR EFGEAGSKMTCQVITRWYRPPELLFGSRFYSTAVDIWSIGTIVVELILRVPFLSGETD IDQLKKTYHAMGTPTEQDWPGHTKLPDYHDVGSYPKNPWWNMISSIGKEGQDLIREML RYDPPTRITARKALEHRFFTSYPRPTPPISLPKPLAELRPRAMAPDEVQGKPVLANSG SGAIKRKAESPQAGRSVARKLVFA
I206_02476	MAIFNRKNKDRRTDTDGDAAPTEKEKVKWSKRPANTAFKQQRLK AWQPILTPKAVLPTLFILGLIFAPIGALIVWGSGKVTTITLDYTQCDVDAPTDGSFAA MPSSSYDYAFKSGSSDTKSSISAPTWSFSNDSTRTVGQEARCEIEFEVPYNLGPGVFL YYKLTNYYQNHRRYVMSLDTSQLKGDRRSASQIDSGDCKPITSSGDKPYYPCGLIANS VFNDTYGPVVLLNSQNGAQNQTYNFTENGIAWGGIKKNYVVTPGYDSPSDVLPPPNWA RRYPDGYTEFPNLYEDEHFQIWMRIAALPTFRKLWARNDDEVMTQGRYRVSAYMNYPV KQFSGTKSVVISTVSWIGGKQPFLGWAYIAAAILCVVLAVAGLIRHLVKPRKLGDMSC KFASP
I206_02477	MPTSSRKPPPSPQPFLTSSNYPHSASGHPQSYAPAHSDSSRGPS PAPPYDNRHPSPVNPFVNTRVLAYGPTGPAHAPASNLDYNPPYTSPPSQPVSNRLPFF EAALARSRGQVVPEHTVHDALGYSQPLPSYLPPPDPNHPNLAIGFTQSNTVRFAASQN GRYERELSRSPSPGFDESFDQGYGRYEYGGENDVEKALLEKDDVVNHHPGQYEETGWS EKFMLHAAGDDGDISIAPLRKNPAEADLAASSTQHFGPAPTGRVGRRTHNAAGHRRIK QSATLDENGFFAVDMPIPTRLAQFLPVKGVEEQKSTRYTAVTTDPDDFPTSGLRLRQN MFDPPRQTELFIVITMYNEDAELFCRTLYGVMKNVAHLCGRKNSRVWGKDGWQKVVVC IVADGRKAVNPRVLDCLAALGVYQEGVMTNKVKDRPVTAHVFEYTTSFALDADLHFKY PDKGIVPCQIIFCLKEKNAKKINSHRWFFNAFAPLLSPNVCILLDVGTRPAPKSLYYL WKAFDLNSNVGGACGEIATFKGKTWRSLLNPLVAAQCFEYKMSNILDKPMESLFGYCS VLPGAFSAYRYLALQNNPDGTGPLASYFQGENLHTGKADTFTANMYLAEDRILCFEIV AKKKANWVLKFVKSAVGETDCPDTIPEFIAQRRRWLNGSFFAAVYSLIHVAQIWRSDH SFLRKSALMLEFAYNALNLLFSWFSLANFYIFFVILTRALEGEAFNIPRIDILNSIAQ YGYLGALVACFIFGMGNRPQGSPLKYKATIYFFAVLTTYMLVAAVLCTVQAIKNFDSP IFSRMIVSLISTYGIFIASSVLALDPWHLVTCFIQYILFSPTYVNVVSSFYAYSNLHD LSWGTKGSDTVQESDLGAVQGVGKHVEVELVSAQQDIDIAYQDALDNIRLKGARVDED KKKDNSEQAQKDIYANFRTNLLLCWSLSNALLASVILSGSTTGTFDAAGNSRTGIYML IILVFVAGMALFRFICSTLYLIIRLFAGYCKQTLSFSKTVGILTASTGFSAYSSQADI LKCGPAVLQLMVQSANQLVQHARVSERAISDNSEDALHTVDFTLSTIAALAQEMINTI PFNIVPKYWLRLYTDVAILRSIGDLVMSCDGAEQSSHSLWMESIRRLDMAIIVAGALG DQRKKWILELINIIQEKVPTIGESKSSPKGERNTKRPRTEESSLDTTRILFAPRPIPV LPDPPTVDEYLQDHLDTPFIIRGYLKGTSSAPPWPACQRWSSLDYLVGVVGQGRVVPV EVGRAYDDDAWTQKIIPFIDFLHRAGFRDGEHSPQSNSDSSGSPLYLAQYGLLDQFPE LEKDMSFPDYVWSNPPISPDYPSYSAPQNADGLIVNVWVGSGDSEIISPAHTDPYYNC YAQVLGHKRVWLAPPASGPHMYAYGGKAEDGVQDKFDGHEEVEIGGEALAEQYMSNTS RVPILRPVDEFADLRSDYPRFFEEVWPQSMEAVLGPGDIMIMPPGWWHAMRGEGKGPA WSVSMWY
I206_02478	MPNNLKVDDFRSEQISYPPFLVVPPELHVKSYKDWTHYGLWITP RSQSDRSDRTSANLTPHDLQGNALVTVGHPFAGVDITFDDGQEIRRAKNRAAEHQKKD AAGRQTERLRQEDCWKIGNDPTWQEPEGTSRADYDQSIPPFQRLLSAVSDFEFSRQAG LLPTRDRRLFGLLRDQLGLRRRYPGRHWPGSGEHGLPYIGLASSANDKAEAVKSSFEA EGSISPDDHESDPVEEVCVLLSKTELSVRGLLALARKETESGWNFEPAHRFTVIAAAF LSYLVHYNVLSEPQLNACLQRATCIARSAPQALLDAKALEDWIGLAIGWNRASWTLFG GTWGGAERGGLEKEAVLWGEQNQYNCTANETESGDDGGWLVEPIVDPRPQPLTQAQAR PHLPHTLQPLVMEDVSLVGYLPFSRRRIVSILSPPNTTSDSPSYTRACHRIVTVPAPW TMAERWRNQRPTSNGEVSGIGIEDDFDERGDAAPLPLDQETPTIAEPAELVIWVESKI FDDDPNLANRLVGAGLRGRWGLMGDRDGSLESYTQWWTFKAKDYILPSFWLDLAEPV
I206_02479	MAENKLQLTVKQIRSDPGGEFMSNLAKRYFLDKGIIDTTVAPGV HAQNGRVEQVHLTNLTKLNAFSRNCAPTGKNRMFPFGKWFGKKLKFDRLRSFDIKKEK SWGYANDHSTSDYRIFDIQNKGNYNERWIKKIFKGRAKLVRSRQVDGETDWLTLIQEA RWVIKTFVQSADLE
I206_02480	MWTLPALDGADPAERLTKIRCAHAQIDSDRENLFNRWLTYAMTL ALPDIYSTPKQLLLLREPQTSASVQAAVQAEWTRRGFEEATAK
I206_02481	MADDLPQNALVTDGSLNNINTNDDILSDLSQILADLEEKPDNVP LIRKQIYLMLRLGMSTEVLDAYNKLSSLIMLDENTWISYIDLKLSTSLRPLSLDAFVD ILERFDQAEHDYFSIPLLHRHIEFILSCFRSKPISSETQKHVPYADSDVVEFLTEDNT RDMIRAIYQRGSQALADSDQLWQIWIGWEMELLGSAPDKNAAMEAIHMMYADRIKTAH TSLDQTSNAYSSFCSEHCPQQYEARMVDATEASKIAKGKLTEKRYGRIRNDFEEQLKY APDLNAQLQVFLEYISWESDSRAKNNARGKGPQLDNLMIQSVYERAISHYTRASGPMQ TSLDTTEETLRLSRHRPKETRKKKREEEVVEREAVQQQIQIESEALRALKDAEAAVWL RYGAWAVESLPPEAARKVWSRAIRACAQNGDVWIEFLLNEETHGTSLEGMTLVFERSL AIGLLGTPNGRTTDLVSMFVYRAAFENRLEPREEVEGKLWSRGMALTLRATLHPVIAT IVRGIEYVAEVNKSGDSSLQLEKFLLAWAETRAPDYLEQALLIVDKPNKARLSAYQMV LLHTDILSRHSRIEQARDIFHKAIQRSDLDWPEAVYEALIRFENTHGTLGSLLDAKKR ISREQEKLTKRREKAALEIQQYPAPTVSTIPEVIMDITASETAPEQAPDTIALDPSDG QDRTQKRDREHTTILLGGLPKGIAQDRVESLFTDCGVIRETTMIPNDDNVHDTALVEF SGVEAVPLALQKDRRKIDGNAISISMLWRSTLFVTNFAQEVDDATLRQLFSQYGRILQ TRWPSRKYASNRRFCYITMESPSAAQSALILHGYKTGNENFGMNVLVSDPSARTQRSD ASNTTLFIGGLDNKTTETDVRGILQGRGTIRHIKLGWDPVKRICKGFAFVDMASEAEA NACLPLDGTPYLKKKLKVQISDPNFANKKAKDRKPEQLVERRDRLVTLSNLPDNTQEG LLQQALEKVVPVKRLELFSRVNEAVAELETAQDVGKLLLGSEPFVFEGNEIHFTDRKN RPAPPTRAAADTITTTSFAPRAARKAKVIAKPRPTAIAAVGSASSSNPSSTPATQGQD DFRALVAAKNKQREDNLTTARDGTAGEKRKSQHEEHDAAKRTRI
I206_02482	MSRSTYDPHSNYPPSPPPSRSRRAPPLRVNTQSTAPSSSSIRSA GHSPVKPGFSYNLPANIDSSSHAQSRARPGGLAALNGDVPNRVKSPPITPGSRSQSRS GHWSRRGSNESTIPPAVLRQGSDQSAFRTRKDNGQRSPPLPSVYSGREGMSQHRVEGI RSPNPALFAHHCRLFYFSPSPPEDSASYISTTLASLPPSHRAAYTRLQSSLRSLAHLH HLRLRISSFHALISSTIASASLTPLARQDLVSPQAKAERTERAQKFISTWCTSKTGGV EPFFRGLWGVLRAQSRGESSRGGAGSTRVVWEIDDAVFLESGGTEFMHEAVSFLKGVL GFEEQPLTSPPKLRRIRTLPRSYSDARSRQFARPSPKKMPSTADSQEELAPSPPDKSR KADSRSRAISDPFTDIRSNRRGPAPPPPPSRRHPSTIPNPLQRGSSDDIMQSSNPDSP LLGETVDATLADPHFRPAHVTARISEDRIHLLGRRTLSETSEYGSGHVASGSIYPEPV IEDDEEEPTEADIAAEEADLNKPRFRLWVFPAHISDQEAERLKNLFPRFISSKGDVRF PFVRPGRGVTAMEEARWDAIAVGTVEDSEPKLIRIPKVEIEDEEGVVRCGTGRMWVGI EFRRAGWQGSGWYRFKRWWRRLFGMA
I206_02483	MSPLPEVLFDVARNPYLAVGLPIGLGIASGFATGQASRSNWFKT LTPPPGNPPKEVFGPVWTILYGLMGYASHLAVRAFDSAVTPSGTADADQALQLYYGQL ALNLAWSPIYFYFKQKELAFGNILALFGTVTAMTVKMHNLYTPFSTTWFLAPYCAWLG YATYLNGGYVFLNRDRK
I206_02484	MARRNNEGYIDQRHEDEVAPAARPEIHQFDDADSSGSSISEESE GLAFEDDEQIYSGVLVDAAVTYDSQSEDDEGDWDVDDEDWELANGDFTKQYNRVRQQH AATTGSAPLPARNAPSQLSSSKTIKVNPLAHVGVAMNPKVAHEKQDKDKSDRATQDQV LDSRTRLVLAGLVNRNIIGKIERCVSTGKEANVYFAHPGVAVKIYRTSILNFRSRSNY IVGEQRFRGEYTSSKNPRKMIRVWAEKELRNLRRLAQGGIRAPKVHDCKENVLVMEFL GDGDNASPRLKDVEIEADRLDSLYAELVIAVRRMYQHCHLVHADLSEYNILFHQGHLW IIDVSQSVEHDHPKAFDFLRADLQNVEDFFGRRNVKCLGLRKAWEFVVTENIGLSHEE ELELEGDEKLAAIVTEWLRKPSDKTDDAVFLSSYIPRTLAEVYDPERDVDLLKRGGGD DLIYAGITGLKLADKTESQAVKTVKFEDEVHSEDDPGSDQESEEEEEPHRSRGFRHED KDAKKERKKALKEENREKRKNKMPKAEKQRLIKKSQK
I206_02485	MSDDFETTQTNIPETAQNVELEDRRQEESEATGKISQEEVKGLK DSIGGGEVLDDSEGYTRSSNKDVSALKQEDEVDAAVADLE
I206_02486	MSTASGSVRPGRPSNLPTKRAPLPKLGRKATNGEPLSHLLERLS TLKAENRRPKPEAYVEILKAAGDFSLSRSIPGDESENLGWHVAQAAWEDARAGNVDLG EEGMEAFLRFTVMNPDLLQSFLLYTDSTIPGSYNALARAASASGNLEHLIYVLNQMFS KNIPPPLYIIKSAIRLACEWDCPRLALQLAEKVENESAVGARIDQSAWTEILIASADA HFLSGVEIAWRRVKDNYTPDEGLILSMLNAAGRWGRPDFASSILEYLPIPPQEQHLAP LLEAFCNAGEVPNAFHVLVSIREAGITPTMATVQPIVSVLSNAEVIDQAFYALEDMQK AGQPIDITALNALIDASARLGDLQRARATQMAAPDLGLSPNIDTFNLVIACCITAKHR PLGDTILNEINTLALSPNATTYENMISLCLTQPKYEDAFYYLEKAKSDGFKPSYSAYN SLVRKCITANDSRWRLVVDEMKTVGYRVDNELHEFINSGGRSRSRTDQGRAGSHSESR IPRRPASNGRREVKGE
I206_02487	MAPPSVTLTAPPRSPTRSRSVSQPIPEDAALNELDTLSPLKPSR STVFRSHSSNNVHRHNPSSNGNNASSPNFRKPQGRARSSSLVTVTEVGGDDPDNVVDR LGVGNNENAEWVNAPGSWVMHPLLILLAKMLIDAIPSMTQDVSWTIVNLGYMAVSFLM FHHSTGVPFESTMTSAGAYDDLTLWEQIDSGAQYTPAKKFLTSVPIGLFLISTHYTKY DYTLFGLNFAALVFVLFPKLPVLHRLRFHFAVPDTDSAPTPLASRPPSPFLDKGSRMS S
I206_02488	MNPHNSDETPSNFPSNQQTDRTVSEGDLSRGSGAQYTGQTMQQP LLTHNEEVDSDLQRQLQNLDIDPSQAAYHSFQPPPEEQPYQPFAQNFYPSPRNLQYPT YSYSAYPGSSPVNHSPVQPYGLWTSPPMSPVLSSSQFHPSQQPALPRHGSFGEYGAFY GTTRGSYSNGPTSTWTSPSMPSTYGFYTPYQQQQPTMEPRMNEWGPSSQNYRGQPFRR DARQSWSGPSKNPKESAVPLEKEKERKAYHPQAPARRSDWVMWVGNVPSNTSHEELWR YFNNTIPQTRSESSTEPWRGPSSIFLISRSSCAFVNLSSQTDLDRAVSFFNGKPLRPW DPRCPRMLCRVRRKDDDLRSGVGAQRGTGMHREWVKEQGVTLPRQTSTASVSSANSVP LSPAVLEHAPEGEGRRRESIIKEGQDMIKHHQSSGSFASTNSSFLIKHFPKRVFILKS LTTAELEESVQTGTWKTQGHNEPILDQAFRTSQEVFLIFGANRSGEFFGYAKMIEPID KEKAKKTQSSSVTKARPPLPAMEAETRPPIFLTPSQSHIASSSPGELTPGEEGILERA LGSRRTDPSDIRNRATNNKSIQSAPEYRAQTLDPKALKDDYFPPVPIAANNSGEKEVE HQERLGASDRHPSQDESGVIRKDTLLSPDEKAEREEEEGQDEFMEESRGHVFRIQWIK VGPVSFNKTRHLRNPWNADREVKVSRDGTEVEPTIASALMAEWDKL
I206_02489	MAAATDCNMNVAFILSSSALGFTLHHTYKLDKCKCLLPKRKEWF RVLLTWMLISSEMMIIGWALGWTVIKYHLGWMWIPDIGAMPYPSMLFDQKYVDLNIPL MIIFNLAFSIQASLNAEEGLYWYHLMRAVRQPKSSRSWLTSAFFYAWIIISIVCTACQ SGISWLHRGALNLDKQITVTMTVHGFIEFAVMLTSSIVIWKFPAFLADVKASGAGPEV RSRLHFYHEANKIRTFFRALFAICMIIIGIDGMTEAKRINMNRLANDLLTQIIFGSFF FVLLISTLLYVPRNWTPESELHRNRIMVGDPKARMIDGQGLEPANLASGIALMSLLRE GGQWDNDDDLRGNHRVSNIDLKKDDQHAPFHLEVPDAQDEWRNHQLHRQGSWDSDPSY LGMPMALENFTSPIAVQKDEAPLPSEIRIRIEQEKQITRNDDMV
I206_02490	MAPLSRSYSLPVSLSNSVSRLKALSGAAGRDEVSEKSRRSSVKS PSDTPLLAAVIEDGASSSTFQRPSPDSSISDLAASEEASKDSAVKSPLVTPNKRWSLS NKIKKTLSIDTSPKSSKDGLGLEGIETAPVEEERGRRMFQKSKNVKIKHNEETLTLAP PNQNYPVTTTTTSPIAFDDQASHLILGAIDRGRPSAQISPSSSATDLNKRSSGAFAAL GLKAASIGLATQSRPSEEQLSEPAPQITTSTPKASFLQPSKPVGGGSSKGPRAPSPFF RARKSREKARERERSPEVEALKTDNYGAESEVEPETEAESVGGGPRKYRPQASAYEDD SASDSASQSESDPESDDDYADIIDEDGEVIFDEETAHNTEANAVFFEGDAAGLGGRSA NDAGEGKSEVTEEPATLPRDEEDNRSQLDYYGEEVEQDPLGEGPNVVVPPQTLFQTSS LHQPKRKKSLRSGIELITGRPSFARDRCTITLTHGEPDEALELSGKRLRRYVVLSDLS EESRYAVEWAIGTVARDGDELFLISVKEDESKGKSNYTVTWSVDPKAWSNSDRAQKLR IQKERQTSALMLVKQVTGLLQRTRLNITVTCQFVHAKNARHMLLDLIDFLEPTMCIVG SRGLGKLQGILLGSTSHYLVQKSSVPVMVARRRLQRPMRQTNPANLRHSPRVSLASAS IEKTASSRQEDEIMDVAESEKEEGKTGGADAAS
I206_02491	MAFHPFEVSAAHLAYTLLGAFVVIFGMLSLFIKEKLYLGEAPLA TVIGIIIGPHCLGWFNPAGWGGGHGEVVDEITLEVTRVVIAISVFAVGVELPKAYMKR HWRSLFFLLGPCMVYGWMVSALLMWALIPGINFLGALVIAAGTSPTDPILAQSVIGGR FADKHVPTHVRHLLSAESGSNDGAAFPFLYIALYLMLDKSPGHAVGEWFYMTWAYEII LGSVIGVCLGFTARKFMKFAERKRLIDRQSYVAQYVSLAVLSMGMTTLLGSDDLLCAF ATGCAFAWDGYFNKATEDAVFSNVIDLLFNCAAFIYIGAIIPFGEWNTPDVSLSRLQD SLLVLMMSQLRVWRLVVLAILILLVRRLPVIVALYKFIPDIKTFREAVFTGWFGPMGV GAIFISTLARTSIPEGHVDKDTVHVERVREVIGPITAFLVLSSIVTHGLSIPFFSLGR RVHSITYTRSRGLSMDPNADEPAWTTHARRILPGQQITINRDDDPEEGDLGVRRRDTL NDTYNGEKLGSQDSGGTSSSRTMPPGDDIEMQQAYKPSHHTHREETEEGEAAEADRRN SSDSGRSGRSHGSDEERGEEEAEGDREIRDKEGGSRTPPLAEYREGHHLIIERKKKGS EEVEVEVIRNHFAPDKPSEKDSFDHPHRLKTKEVDKLISMLPKSLEHATSHVRDQGQE AIDRLGLGLMGGISTPSVVDSQLPMDEGSPSQRAVDPEEEAVSETEDDDPTSRRTPYS KVPAINVHRGSGSGTKTKMKSIKDRLFGRKSNENDHSPSKTEEGLAPPNPSLLGPPSK YNISRPIPIVNEPERTDSSESRGIPLTRTLSASRSPAIRFAPDVENSSETAPTISNYG VNAPGFKRNPNLAMSRSASIQSNGEGPSVSFAEPEKKR
I206_02492	MTDTSQIPLAPRKAEEDVWKYPRPPALQRTPNRLRVIWTTNDGT ETVIADTTEGFRVLETTHPPTYYLPPSSIKVPLEKTSRQTFCEWKGKASYHTFNPPSA SNPITNRIWSYPKPTGNFTPIKDYLSFYASPGIDKTKAGGNWKCYVDDEEVGVQEGDF YGGWITSNIKGKMKGGPGTWGW
I206_02493	MKSSIRRANLPRQLVSSTIRSPLVRCSAILPPRSIGSSTAQIQT RNFFGLFKKKSSPVKFEDAPPLLAQDDLFHPLSQSPFPALKEKADRIKSVSLCPTSFE KHHERVRPAFDCPDCGWPTHKNQERWEEGKEEHKEYCGRLREVNEDEHDLRSGRQMTE FENMPEEQPYESAINFASWDTLFFTRNFLSIDSERSVRHVSKILTYPMTIAGVLHQNG PFTSGNGRITREGRRSMAALHSVLHLPPGATEGTVPEKPQPPVRLFLLGARAESTLPP HLWAQLCWLFPRTNFTIYFIGPEVGLPLVNAEQRQKKDYAFSEEGGWGVPSYTLNYNQ RLSLTSIRAPYEQIHDQFGPFDPYTDVFFAFSPGFGFPHQPLLEKITKGGKGQMTFDR AEEVPKSEAGATAEDAANAYSPAEVDGGNSRDVPYAPPETLVQAQTTWRRPLQQILGT KCPFFFTAFSPLDLQRDVSALFGTNPPSASSPGSPVREFPDYVALPTGPIEPIEGVTD EFELVLTPGVNPFGSLKWEIAEWDVRVGVKTNWGTWGIRGKKYDVVNNRS
I206_02494	MPSTLTDLPAELLYQIYFLAQNPFLPRTNRYLYSTFHCPSAYYA ATYLLGLYSTYGPNEILVRSLRHPICDVQVAKEMQRLWDQRRGYKEPSYTKSTPTKKP RSSSIAERENQHRSRSRSRSNSPTPQTQLLESPLTCSELPRRLFRDSLNPSRPIHPLI KYLFEIYSPSPNSHKGYPLFRAILTSNYELVSYLLSKGADPSIKDSFALDIAISMKDL KMVKLLVERDIIIDQIPKSPSPTKDESKKGKKIKLGDRLEIGTKMVEKAIEKGAKEII NYFVYEKKVMPPLHSIMKIGKSEFTNVKSVKTQKRKKPPRSSLSMKA
I206_02495	MAAPRRGGGQNNFTSYSANSANNKQAQLTNAYQELAKELGTDKL KVVGGYTLGRVIGTYGSVHLATHRLSGTRCAIKKIPKSFTPHLTREIHHHRRLHHPSI VHLHEIIATENNIWLVTELCSGGELFDYLVERGRMLEGEARKLFGELVVAVGWMHRQG VVHRDLKLENVLLDGELRIKLGDLGFVREWQRGRLMETFCGTTGYASPEMLAGRKYLG VETDIWSMGIILYTLLCGGLPFDDDDERVMKELIMKGEYDEPEWLSEEARSLIRSMLQ QEPSQRITVEGIFEHPWFKMTLVDRIQGHAGDSHSIPPSPLPDGPASGDELFSEPFNK SATTGSLHLTPHLAQPSPLSTNVPTVPNNDSEPSEPSVAGSETGKAESTDTTPPTTAE EDDGEEMGPPFHRVNSSEFSATEKALELLHPNSSQSTIRRPASISPKSSSQYKSKVAR RSTLEGQKEEDEEGSDVAASLPTPDDHSLHLPLAQHSRTPSRTKRRSVSSTMSVERRH SHHSMSGQWQRYLPEDYLAKLNETPSPPFTTSSEKYLLNQLNDMGMDIGQLKHSVEND ACDSSAAMWWILRAKQADRGETNEVIEARETSAAKKREKLAHYAREERRKAREAAKEQ SQGITEDSSDSRFPAAVTFKDGAASIPVTPSFTIMDLGAPISGTGQPVFASPDVLPVS SDTSAIDALNAVKTPQFELRPLPGTLAPNTAPPTTPPRDPPLRDLLSSPDASPARADD DRTTKRRSPSMSMLQRATSAWVGVSGKKNEEKERAETDSPASHKDEKRSTSPSKLLKP PPRPKHLPRPDGDHDAASSSHPTPASTPPASGTPLTTPQREKQSTLGKSAGQNTGGAV DLTEEMTASTSGNSITADQLVAGSSKQPKGNKRDSLWTTFRHLFNEDKRRRKRDIPGS PLAGSETKVPPSVVLTRGPNGRVPHINRTPVSLPPGSRRTSLDGRPAMHSRRSSSISN SRRSSFDGHVMHETHDLGGLYRRTSQRSHGSQTPTSDREYIDFPSRPGSAHSVQRGNS RRSSMSMRSPSLVSDHASGRFKSGQPASPLHNYRRRAPGGSDSSRVRHYRVIHENQAL RPSSVTSSIKSNASSRASSVERKRDTDREDDSGRDDTASLRSQRRKRSEGGSGKNSSL AQQIHRTRSPLSASQPAKKGPTRDVFQKKQDDDWISEEEDEFAGGLGQIGNTSSSNSG QRWLNGTRASAYPGLKFSNPSTKRKERGRRTSLEEKHDKLEEDGRTGLGIGMEGPPGS TNSGRARRGLPPGRSAATVIEEEEEDEE
I206_02496	MTAQDVERRDLSLHERFSLTRRNTGFPAILVFVVVYQNSSDLPS IEFLQKRIKQIQEHFPLLYAKVKGDKTSKPYFESRSEIWKSSDILFSSRFNIKENENE IENIFKKEVSELKNEKDFFNKPSWRITLHENFNQPKKNKAYLTLSIDHIYNDGKGTLN LLQNLLTIKEENDISLLPFEKLSNIPILENTINIKPNLFFLLPIIFNKLFLPKLPLFI QSFFNNSSLSSWPPSTIIRSNPINCNSNQSLMYLSNNLINSLKIISKEKGIKTLHGIF KTIYMISIWSIYKYTLNPFLIKASTPRSERSLNLNHSICTSNYVTTSKIEYILNGKQD FWKLSKLISDELNSIKNLNQGRMDMGMLNYIPDGELNSSKENEPTGAWENFFLNELNS NEPFNESLSISNLGKINLPPKAIDLIWSQEASPFAAPFSANIISHQAGFRMMTVWKEG SAVIEEEVKQLEKVFQGILQKLVNGQEDTSLEALCKI
I206_02497	MKGSSGSALKALKANTVIRPTTRNLVTSSWTQNEAESSFKPGRG EAYPGESAEQAWKRNLNEAREWRRNRDSQRSKLPLFIPQSGNPPPRPRPTPSPTEATL STLLAAGAALGHSNSLTSTAYTPYIYGKRAGLSIIDLDQTLPILRRTAALVRDVVKAD GIVLIVGTREGHQKMINRAKERLEDNGFAVNDWMPGVLTNSETFFGIEPMLNKSYKPD LVIFLNPSENTAAIRECTARHIPTIGIVDTDTDPRIVTYPIPANVESMRTAELVIGTL SIAGQEGRRLRLKEADRRALEQRNRGRRDRR
I206_02498	MREVAAALTGLNIKKAYTYLADVQDHKQIIPFRRFAGGIGRASQ AKQFKTTKGRWPEKSVRFILRLLKNAESNADAKDLEVEDLIIKNIVVQQAPKTRRRTY RAHGRINPYQGHPCHIEIILSTPSSEVPRAKDLDVTSSSKKGKTVAAIEA
I206_02499	MSQSGPPADAKQAQAAAMAELEAAQRKKRAIDSTLANLENSIYA FEGSYLDETAASGGNIIKGFDNYLKPPTTNLNKKKIEVTEGDRLFSTSSGTYQQSLVA KRQYDIEAAALNNKNSSK
I206_02500	MSSKMGVGTLVAGMFITGCANSLLSKYQDMECVENCGPDATGPR VDFEQPVWQTLNMFIGEFFCWIPLLIGYYNRPNKAYENNQASFLTKLLAPPNHHAQED EMEDENQPLIPGHGPHNAVLSGWKICWMWFPAFFDICGTTLMNTGLILTPVSIFQMSR GALVLWVGVLSVIFLRRHLWLYQWSALVIVTLGVCLVGLSGSLVKQKLSDPIDLIITL AERPQDDPARVAVGVLLILFAQIFTAGQYVVEEKIMSHYKVEALAAVTLEGFFGLITT SLAMIFLQIFARNQSTYFDIPRGWNQIISTPTVWGSCIAIMFSIGAFNFFGLSVTHRV SATTRSTVDTCRTLGIWVVSLGLGWEKLIWPFSLLQVSGFAMLVYGTFVFNGLVKPII FPPPPNIHLPHEPELEETGDLPAAGAQSRAGYDVVPEDERD
I206_02501	MPRHDHFSTRSIHVGSEPDPSTGAVVPSLSVATTFRQEGVSKPL RGFDYSRSSNPTRSDLESLLTSLETCPSATVANDARHDASGGESLVFASGSAATAAIA HWVTLNKEEGGAGGKDGKGFGGHILAVNDVYGGTARYLSRASRPTGLEVTYLNMEKAG EKGIREAIRPDTKLIWLELPTNPLLLVPPVRLISDIVHSLPSETRPLILVDSTFLSPY YFTPLIPTNGEHPLADITMSSLSKYSSGHSDIILGSLTISPTTNKLRPELIKGLRFLQ NSMGASPSPRDCHLMIRSIKTLSVRMIKHGLNALRISNWLSTKTNLIEKVRYPGLKTD NAFEYVQDLISKNAKRELEFLGWKFPFNKENKDFDIDVDETNLEFTRNLGIPFGGMIT FTIKNANSKQVEKFCTELRISILAESLGGVESLIEVPYGMTHAHLPQETLNELNITPN LIRLSVGIEDYEDLIEDLEQALEIAMGTEKATVD
I206_02502	MASTDATTSKDYGSFKLLQSFPLKYAPITLSKWRSEKTGLTVVL GSHQAPITNGYFAIASEIFDDTGRPHTLEHLIFLGSKQYPYKGVLDQLANRAGSNGTN AWTANDHTAYTIATAGSEGFLKMLPVYVDHILHPTIDDSGFVTEVYHINGAGEDSGVV YSEMQGRENTSGDLMALETQRTLYPPSSAYRSETGGLLHKLRVLTAQQIRDYHGKYYQ PYNLCLVIDGAVPIPELFEILNNKVDPLILEQRKDQTVVIPPADWQRPFVETTTSHKL GIPESVTKVVEFMEEDESVGEVSLTYLGPSPVDYFTNTALKILSNYLSVSATSPLSKE FIEIAKPYCTSISFYSSDRVNHNELQVDISDVPAKHLTTIGDKFKQKIAKTVTKEGID MERMGRVLRRDKRKLLDYMESRATDVLADAVIGDFLYGDKDGKDLPTAFEDLHDYEIL ESWKAEDWTSLLDQYYASASSITVIGKPSAALSEKIEKDEKERVEKRKAELGEEKLKE LERKVEEAKKQSDVPPPSEMISNFPITDPAGISWVPVDTAINNAKVQNLKVDPGLLQK HVDADAAELPYQAHFSHVKSNFVVVTALFDTFGLPTHLAPYLNLFTSALFSLGVKRAD GTVLSHEEAVNQLNDLTVSQQIHFEFRGNFAEVLCISLKVQTHQYEEAVKWIRDLLTG AIFTKDRLSVIVARLLQELPQEKRDGATIAVAWANKLTYDDSKSTAQHCDTLQKLEFI PKAADLLEKEPETVLTALEDLRAHLLDASKMRVSVMGDIMSLEKPRSVLQRSFLPIKD AQPLAPLSTSLQTLSELGQNPSKKCIVVPMPAIEGSYSQHFAKGPAGHDHPDLPALRL AASILNAMESYLWKSIRGSGLAYGAHVSVYPEPGLVGFTVYRSPNAMLAYEAAGKIMQ GLVDGSIKLDKDIIDGSRSSMTYDYARKSETVLSAASTTYLNEILKGIGKKNDENFLK KLPLIKIENLKNVIEKYFLPLFNSNTSIASISVSSSKLKEIQDGFLKLGFKVELKELP ILNSDQDESDIEMENVEEIESEEDSEIEVEK
I206_02503	MSASRPKSKKVIPKAGPSTHSKLTPKANKVPPKATTNGSRRHAP PPPSEEVIFLMEKGKGKAKAQRPTAPRSITSVRSTDEEDEDDEFEEVAIPSIAGPSSP YPGTPNTGGNITAGTTPGTNTTAPSIDYDLDGYGEGSGDESAEEEEDGVIHLEIGGET AEEKAKRIALALRKKPITSKDRAIRLEIHKMHVISLLASAGIRNRWCSNTLLKARLLS LLPNPLQTAFSIPPSRFPDKAQRSRLFFDALQDLVTWWSQSFFDISDPTLGLRTRPWD DIQEIIDQLPKLTRADLTPSHYLAQSKEKGKEREDALEKMSIGSGSEKLRSVNSLMKK ALQQEGSRDVSAQLFVALARACGLGARLVTSLQVVPWRAEKVVQKKKPGAGRGGRTVA SRQGMGPNSDDEEDEEDEFEEVPIPGEEGPKERKNNVRAAGTRRLQDPTDLYRLRAPK PPPQIVGKPSKPKSKAKQDLSEQPPVFWAEIFSRSDQRWIPVDPVAGTIRKKTHYEPT SDSGPIRMVYVVAFEEDGYARDVTLRYTKNFGAKTSKLRVPAKKDEPDWWEAVMGFLT RPYRLNRDELEDAELETSQYSEGMPLHMSGFKDHPIYVLERHLKREEIIQPKREIGRF RGEAVYRRANVVQCKTAETWMRVGRRVKDKQEPLKWVKQRAVTLQKRRAQELAVQETG EAIQQGLYAEYQTELYTPPPIKDGIIPQNSFGNIDLYAPTMLPPGAVHLPYKGIAKVA KGLEISYAEACTGFEFKKQRAIPTINGIVVAKENEEMVMDAYAESAAAAEEKERMKKE EKALKRWAKLINGLRVRLRLQAEYGTGEQLDESSALNPLADPATASEVQSKPKKSAAS VLADAHQQGTANWTDRMREKSLSPKSDEEEEMQDVHPSTSGEEVQPINADVVEVQATD EETDFKPPKEDFELDSEVAQPPVSTGRPTRITLKLNKLNGTTTPKSQPTRSSTRSVKK RKVQDTVEDDVDLIDEEEVESIPKSLTRGRVPTAPRLKKAKSQVPSVAAQNMTRSLRS RAPKSAQQIEEEEDKRRKLQEALEGDSDVDFEME
I206_02504	MSATGKDTTTASTSSFVTALVTAGITVGVLTSVWLLLHKRAKLQ RVFQPRSEFAPDGKKPPILPSGIFAFWKTVLITTPDSDVLVSNGPDAYFFLRFIKVFG LKMLLPYFLLTFIICIPAAAVKPNNKMDGLNLLSFGNVPANHQNRHIAHFFCALILMS WTCYLIWNEYNHFVQVRQAWLTSPQHLALARTRTVAVTNVPDSVNSESGMKEIASIVA RIDSTTPGSSVPSASAGKHEGGRISTATEGTAVNPSNDAEGGIRQVWLTRKCKDIEKV WQERDKECARLEGGASKLIKLANKNQAKGKTPEAKGQYDAERSSGDIVSRYVLDKKRP TWKQGLLGLIGQKQDLESSPLYIAERNAKLSDVRKTIDDLPQGNTVFIRFASQHEAHS FARLVAETDKSNKLMKGGVEVVPEDVEWSNISMNPYQRKVRTAISWALTIGLIIIWAI PVAFVGIVSNIDALCANTSWLAWICSNGPVVKGIIKGVLPPVLLSVLFMLLPIVLRLL VKLQGEVRKTDIELGLFSRFWLFQVIHGFLIVTVASGLISALSNISGMVDQLPTLLAE KLPTASIFFLTFILTATFSSAAKSYSRAVPWVMYLLQGVLAGNTPRKVYLKKFKMDSF TWATVFPPTCLLICITIVYTVIQPVMTLLALVAFCLLYAAYKYLLHWCADQPDYSETG GMFYIKALRTVFVSLYIEGICLAGLFFLSTDQDGNRAKSGLGCGAVMIVIIIAIALFQ TYIDWVRFKKPFLYYVHSTTSHSRSIGIDPKTGVSQTTPEEEFSNENAGPQYGNTSGF HYRAFDHPALWKKQPIIWLANDDLGVGNHLTEKINAKNVEASTEFTSMDAKQKIQVER SPPDEAWWGGMTK
I206_02505	MEEEILLTLQAKWEARLLETRVADFARAPGSSSSPEPGQAGEDG NVGVEGPSPNLTLPINPLSSPPGGNIFTPSNQGLALPGRLHNSDVQIKAEPSDDPLRL RGGASEDVKPNLDPNRPEPNAAGLLPGDDIIDSDLDDSDDELRDDAEGGDDDGDTDIV FCVYDKVQRVKNKWKTVFKDGMIHVNGRDYLFSKCTGEFEW
I206_02506	MRKQLDPRIPALINNGVKANHRSFFVMVGDRGRDQVVNLHFLLS QARVSSRPNVLWCYKKDLGFTTHRKKREAKIKRDVKRGVREANEQDPFELFVAVTDIR YTYYKDSAKILGQTFGMLVLQDYEAITPNLLARTIETVQGGGIVVLLLKTMSSLKQLY AMAMDVHSRYRTDAHQFVQPRFNERFILSLGSCSDCLVLDDELNVLPLSKGKDITVGQ NSEDDRGRKRKAEELKEMKESLDGVDIIGSLAKLAKTVDQAKALLTFVEAISEKTLSS TVALTAARGRGKSAALGLAIGAALAHDYSNIFVTSPDPENLKTLFEFVFKALDALGYE EHIDYDIVQSTNPDFKKAIVRVNVFRGHRQTIQYISPEDSHVLGQAELVIIDEAAAIP LPLVRKLIGPYLVFMASTINGYEGTGRSLSIKLIQQLREQTRPSISKEPAPSAVSSSA GPSKTSSAGRSGAGLVRSLREIKLDEPIRYSPGDQVEKWLNNLLCLDATVVSKNVQGC PHPSKCELYYVNRDTLFSYHPASEVFLQRMMGLYVASHYKNSPNDLQMLSDAPAHHLF VLLPPIKEDDNSLPDPLVVLQVALEGNISRDVILKELSQSGMRSSGDMIPWLISTQFQ DNDFAMLSGARVVRIATHPDYARMGYGSRAVEALNSFYSGELYNFDDAPADMGESFED AAKVGPNATLQNDTVSIRDAAKMPPLLQRLSERKPEQLDYLGVSFGLTRDLLRFWKRA GFIPLYASQRENALTGEYTFVMLRSLLNNVEQTEGWLTAFAQDFRQRFMNLLSYSSFQ KFESSVALSIIEAASPRLPLALTTPKKTVTAEELNALITPFDMKRLESYSDSMVDYHV VLDLVPILANLFFSKRLGEDCTLAAAQQAILLALGLQRKSVELLENELGITSTQCLAL FGKILRKLTKHLQDIQKAEISNNLPPNQQILGLGGGKKFEALDKTIEEELKEKGKEKD LNINSDENKIIQKELLNEINLDEFTLPKSGDWKNAEEQVKKLYENGNSNGLSTTMTVK YTKEDDKKKDNNNNKKDIKKDGKGKRRVSEGEKGGKGKKIKV
I206_02507	MDDLTNPFRTTSSEASTSQLRPKNQLITKIGQSSTSTPKTEANN RRRFGGLFATFGLSNGNGSPLRVPLGTPLKGKTSLKPTEGEIDENEEASNVNGGIWSF AERMKELTIGSPAKGKASQQDVVTGTLELGDGLMSLPDELLLQILLQLPPTIIQLNLI STISTRFYDLSRTPILWIKLFHEAGFELNEKAIKNNIAIEYPPKGQWKGSQWINSDNS SSKDDQLSESLGKLKDDDEHEDRITIHYPTLLRSRMNLQKLIQNPNFLPETTILSGHS DIVYCLYRWDNYLFTGSRDKSIRIYNLLTNNCIWLEKNVHSRSVLCINLEIDEKGKGI LISGSSDSEIVIYSIDLTSQEDTMIRKKTIKETSSILSVLISSEYYISSNKDKFINIY SRRTNELIKSLKGHTQPVNSLAFSNDKMKFVSGSGDGMWKIWNINSGLVEIEGGGGRG IACVEWIDNYILTGDGDNLVKLYSSITGNLIHTFKGHTDLVRSVTINKSAKVVISSGY DKTIRMWDIHSGNLIKIINEDRSSLIFDLNMTPGKIIAAKQDGTIHVFDFDNELPYID YFA
I206_02508	MSWLVPSLPTWGRSTPASSSTSLIPDEEDIDAPPPFPLLNSHQR SSAPTPSSTPSFSIAPPSPPKANGNDEEEPSDLNIAIVDAPLGDMLPPVSTTRKPNFG IQKGGLDPASNGLNGAEKKPDPVKKKKGKVALTPGHSALDWARLTSSGQDLRGVHGFQ RVTLAELKEHNTPDDAWSVFNGMVYNITPYLPYHPGGEDEMMRVAGRDGTKLFSDAHP FLGKLRFHAQGVYGRSAGKGLNETQKWCRFWVCLGQVLWATSIHLRI
I206_02509	METIIECLKYATTGDMPPNTKGGAFVHDPKMANEKEVKAQVRLR FWNVNRQRMTATRNLQVTTKKTGALTMKTLEGILAKTDPDGGEGKRNTISTKCSEMDE EVPLLLGVSKSILENVIFCHQEESNWPLSEPAALKKKFDDIFEATKYTKALDNIKALR KERTQELKVDKERLKFLKSDKDKAERMRKELQEAINEENRKQTQLENLKERLESVKGR NADFYNEAREFREIFERSESLKDKKKMYEENRQHALSTMSVMNETSEELQHMLNNFDS HLRSIEGKKASQESLKEKEEGVLEDLRTKERTLALRQGELQGNRKTYQKNLREREAVI REVAKTHNFAGYDYSPLEDHKVLEFVEKMHELVRKAENDLKKLQADNSRRERELQAEL DRLSNAKASTQATKRSKQDQIAKLNDKIRSTESSFDSISSLDAEISILQGKLAENEEQ RSRLDKEIRDARYDEQIREKAMLVRQKEAERDKINSELSALNRQADSRAQLTIKRNEV GAKSAQVDSSIATHAARFKELVGSDIDAETMEDKIALASGRKDRELHDAEASAAAANR NVSQLQTSLNIAKSNLKSKKDELSRLEKSVRDGLAAAETEKASVDEAVEEAADEVEYR KGQIANAQQNKAIWEKFAQVAKTKHKCHGCDREILADQERTIHRYVQSRIESYSPEGL KEYREEQEQWNTILQSLRRLQPAVSQCADLKENVMPGLERQIEEESAALDKVQEEVEE AKTKVQKAKIATRDLQNLRSAATLITRTLTELKELQSDIDRLERDLESTGSLKTVEEV QREVDQVTNEIKTLARDQQSLSAEKELKTNALRSAADDISRKTLKMGELRSQQDRRMR EEEALKEMKDALTGLQGELKDLDTTAQNAEAPWREKNEALNRYRNERESAENDASLQV GLYQSSMAEIESKHRACQAYVAEGNDRQIREVEASLSDVKREISIASDARSTIESVIS QLSSELSKAESLKGNISNNLKYREDGKRVQDVQRELDTLDLESAAKSRKEFSEKYRDM MDEEEEVGGKVHLYSGQLLAMTEGRKKTEKTLKSDYKDIDKHFKDQLIKTKVSEHANN DLEKYGKALDNAILKYHSIKMDEINDTIGHLWNKTYQGTDIDGIRIVSDHDETSSTST RKSYNYRVVMVKNDVELDMRGRCSAGQKVLASIIIRLALAESFGQGCGVLALDEPTTN LDQENINALAEALAEIIRERRRQANFQLIVITHDEGFLQRLAAYDVVDYYWRVSRDAS QKSILERQRVA
I206_02510	MPHADSSYIPSTITTKSEFYDHVVSHLEALLEGERYWVTNLAQT SAILYHSYLASSLYGGESINPVINWCGFYLHPPSSKTTSSNSPLLLGPYQGRPACLKI KPIKGKGVCADSFLSNQTLIVNNVEEYPGHIACDGETKSEIVIPLRNDKNLIIGVLDL DSTLFKTFDQDDKNGLERIVDILKKGCDWS
I206_02511	MSDSNPTKSTVNPVDMLWQKMSLNNGDAVWYCTIGIILQAMIIS SILGKTVEYFDYFKKRDNPYFLYGIALGNLIAFGSLGITCAENYVLVHQKSLNTASAI RFIILGDITNLFLGTLFNSSACIYYSWRVYKMSGSRKWIIPIFVLFLTAQFVAAMVAA GDGYTCPHITPETLKDLQTFMKRNVKEFEIWGAVTTAVDGSLCLSMTILLFKSRDGVF HRETRIFNKLISIIYETMLPPVICLLVNLTSTRVGDNPLSDFNKIITCILPVLYFHSL LHTLVGRKRIREILDEKLLKEGVNLISDGRDNYKGSNSGKFNFTVTSSENSISKSTGI TSGGGRVIDQAEFRGYHNDGEIPLTSQKSFIWDGRQL
I206_02512	MITTIIATSFGFSTPVFDDKFLSGFSTYMKKGHNLYKIWGGITL TMDLVLSIVLAFLIFWSKDGIYHYDHRKYHKYTSVTYETMLPPTVCVLILVSLGNLSG SPFTDMRRIFTVILPLLYWNSFLQTLVGRRHIRNIKESRRNDEITNGLINENNNGEIL NESKGFSFKSNRDGPRIFVSPPRKL
I206_02513	MSNITTHIFTVDDLTPQLWKMMKAVPQDTIWYSLIGTMLQAMLV TSVLGLTIKYFTYFTNRDSPYLLWGIGIGTTIQIGELALTCAEDFRLVYCGEDHFQDI FRFLVICDMVRLLSAAIFNIAAGGYYTWRVWMMCGRKIYMIPPFAIGGMVQMMMTVMG VVHGCKLPEVSVDTIGELPKLMPTILKFFKIWGAITLVVDGALCIVMTVLLFKTQDSL FSKETKIFRKLISLVYETMLPPVVCLLILETASGSKGTPLTDMRRIITCILPVLYYHS ALHTLCGRQDLRDLLEDKLASGGIHAISSGSGKGSGGRVYAAFPPGLRSMNHEEAGIE LQSPISSSSTRKGPQMPMIKVEQSTVVSRSDEYILSTSEPVSMPIDMSNSDGVDSDDE KSVITAKSAPIRHA
I206_02514	MTDKQQPTDTKQENATAGPSNGNGEGIQPTEKKTLPKLGALEDD DEFEDFPATDYGGNVLDALKKAGDGPNDNLWEDNWDDDDIEDDFTKQLRTAIQEKQGV PDETMKE
I206_02515	MSISRPLLASNYSSIEKRGEKIDIVKKELNHIINALPTQIHPIE RELYLEAILNDLNDEDDAPWKIWSQDVYLLALTAIKSLGRNPVGSENLLSSNNFIILL YHSGLPFTSSFSSNHSTPALAPEPYSTTARETLKILANLLVLHEQGRNIFASSGGAKA ISRALAGKDINEEEINHEKEEDYIERLFLLSRLGFLITINRPRAVSVMVDTEDVIDSL VNHLITIQPIPSNHMALSELLKLSNNIFRFYPYSQSSDHDSSKGDTDQWDQRFDPIPF IDLSPPLTHTIHALLSIPFLPRLLPIWHSIPIPSSPRVSSPNSSVKNLLNKLSSMSTH SNYNNKKTSTPSSSCEGLSPPNHRKTPSPLSSRRSSGSSSTNKPIIPPSHTQDPSALP TRLLRIFDHFFETYLPWPKKPDDTLPQGLVLDEMLPPLLLFLTQAATGSEHIRSYLKE TLIPSTLDRSPEAGPLENRKGTLGNILRLMGCAGHLQSKNTAGELMWAICKGDAADLC VEIGYGNAAGLLFQKGLTSPPEAKIEEIPNNMNLSINSNFKNSINIDPATSTKFTQSN KIENERNPITGLSNLNLNSNEMKLNEMTEEEKEKEAEKLFILFERLEKNPIISIKSND NNNNNENDENKKLNMMNLMKDKLNSGQFQEEDEKNEKIENKQRQEQDEKDEKDAIKEI ENYKKRIGKK
I206_02516	MSQASQDVPTFDHYAFNLRDGLRKAAITTLSELEGSINNIGYGW LDDYMDKIMDKQNRAPITEIMKTPSRTQTVKKTRAATTAAKERTDKVKGLNARLALSP SSKQNQTRTALSPLQPRSLNVSTISPIPIASPKAKSVPVRLKPEKEKAKAKPKAKKGK SKKATENDENSPPASANTSGNSNDSSLSTDKSGSISSKSSKEKVEKKSKSTRSKTKKE KEKEDMGETIEQPMQVEDTVANIDNVFQGETPIELDEVDSQPIAETTESVTSILVPAT QNLVSIETGKQISASSQNEQQDEEMAVETTPMDVETSMGDKHAAMVPETQRTEDGSKS SRHFPGASQEPDTIPTQAESDRKIDPSMPTSFAVPPVTSTSQPTRQVRSSWLSKALGA NAIPLNGVPAGDANAALRKSYAASQRPSTAVDFAGLRKSLVPINGLKRKSDHGMDEEE EEEEVERPEKTIKVDYSDALPARTPASTRPGLPNKTPSFGSAIITSQPSSLNRDVVEN DGRSDIHKVTRALDELREKTAAKELAKQKAAFAASTAPRVPQAKSTGTGFLRGLGSIG AGLLGLGGSGAEEEAQRLARELEEERLAELELERLMKEATKPEETAKERGMEVDNQPQ IERSTTPDIGSPVQQLPKSPEEEEEEMYKERSVIEELLPEDQRESMDTQRQPSAPAES TTPTGTPTKSTAKEPVPGIQDKDVRHGNQSTSAMQQEEGRVSAAKAKERQVVKAVQNV KEAKKDARRIREIDLDEDDYMEDGEIDEEEEQIENNELTRDLQTHRKNPSASGNAPTP LNMSTSSVAATGSVLSQAQNMAAKALGVKPTTGPIKSVQLAAAAAKKEQAAAERKATL KEAEAKRAAAAAKKAEEERIRADEERIRADEERKAKVAELEEKRRLRAEFEKRQKERA EKVAQAAKEKADKEKAERDAQAAKLRAAEEEAARKRKMAAQTAALNKSQNKTTSKPAS TSGLSSSQMGGKGKDPFRPSKQSTATLGSSASHSNLNGMAKMAPSVFRTAETSATTSS TITLVTQNQQQNGERKALGPPSRPSNMQQQPLRQSTHHATTLQQSRVALQSQLDEKAA MIQSEDIVLPDIASEYSDSDDEDRTKDFIPPTWAESPQLRAALEAQASRNPDELFGPI KPLNMEELFKVRTGKFRARTSSANWSKTGDGLTKAEEVEYAKRMGFKAIPSNLSNGQ
I206_02517	MAALGGRVTTYGKKKTQIISVHTDIVNQAQTEISLSPLPKLANT KRPVLRTKLSNDITNLPLTPLPSSRVKGKSKAIIEDSPNSSPEIIFKARKVPRPGKII IPKSPSPSKSVRKIPEVIIHTKSNTKKGKNLDGLTSQFKKIITIEDSPEPEETPLIPE EDPQIALNELLNICSSINVSPFSDFLAQGQLPEYDTIKKVGEASYSEVFGLACENEVK HVLKVIPLLSSTLSSASAGENRVLPDCSRVQDVKREIEVTKRMSQVPGGGFVDYIGAY VVEGPYPERLLAEWDLYKGTEGSSSIRPSALPESQKYCLLVLSNAGTDLETFKFSQST GWAQATGIFWQLVSSLSRAEQWTQFEHRDLHEGQILIQPYTCSSNDSNIAGEDIENYL NHSTSGLTVTIIDFGLSRLTLPNQIESIWTELPKEVYEGKGSQWDLYRSLKIKIESEN EGKGWKGFNPITNVMWLHYILKYMISKLRQPKQPTRRSSRLNLIYQKEEKAFLLLNSI EKLLSFSVGFDNGIIKRKGLRGEIEYEKEDSLNSSQDVFRFGEKQGWIKSSL
I206_02518	MAQYASSSKHLPRESIYPNPPSSDFLNALEDCVQATEGCSNILN NGLNKLNPGTKDLPRLTKIMNHKHHFLVLPEPTILAHKSALSTSLAPQIDQLIIKAEN MVENEKLKLNTMEERFKILESVKLPKQIDNSKSLNLSLSSTTTTTTTTTNDELNKSQD ISCKINDLNLKDLSILQRKKIMMLKNKRERLEKELERLKVVI
I206_02519	MSTSTDGALLNGSLGDDENDNNQSKSIIQSGTSSKGVGTSNKNE DLGNERTSINDNIHSTSIFTSQNIQSTSITSETVLSNSISKGSLTNQLELTSTGSQIS TTTNTISSSSNTISTSSIDSKLDQSSSSSTRSNGQSILPSSTFSSSASTAIHTTSSLT SARATSQPLSSSTSLSVITSSNSSFSISSSASTNSIISNTLNSTSTSFVSTSSEPTST DETSTETSPPVIVTISAGKDVTLTRSITSTPPISASKTNNENTKESSNENDNTSILNT SNKLFPLGVILIVVGGIIALVTILWFLIKVFGITKRRKRLRSAIPSFIPPERIEFQDD DDDDKFNPNPPSFSSSSNQFNRNLNEYIEYPINNQIIGINNNKSRNENEFSREYLNNL NERSITPLNYNLAGVGVGVGGYNQSNDEDGVIGYNQQQYNSFMINQQQSRNLMNQRND LNDHDYEYEYGDKNERDPNERILRRQESLNKNDADAYGGMEQNLQRSMTDIVENQGSL DKNQNWGNRVGGFV
I206_02520	MFARFIIGLSIIISALGLTLPSDQLTNRETLVEAAHNARMLVRD VNTGTMASVYPNTSDLAGRPFAMMEYHAPCYSNGSLTLILMPISRSTQNIFQDPGHHI AYTVNMPTEGIKSPMSRSRVALMGNVTTLRDISLEESEKLSKCYTKFHPDSKFWLPGN SDSPHFSLWARLDLDTIYYVGGFGNIHYIGSIPVDLYAKSQYKVQKDYKLKENDILQN VWENDLDLDLNQIVF
I206_02521	MVMERIISLYGKLFGSELVEIRRRELGTTKEIPSIALSCHSADP QGPVTIRTTQFITNRLLNRRQFIVTIFHPTRANISRSELGEKLAGLYKTEAARVSVFG LKTKFGGGVSTGFGLIYDDEESQKKFEPKHRLVRSGLADKVVKASRKLRKERKNRSKK VRGKAKTKAAEPAKKK
I206_02522	MSRNRLGSSKATAAENEQMDTLRLLPSIPTNPSFLKNGLTLETI EKEKEKDLRILINERKHLDEALDKLNVLLALRKGGLSSLNNNEIIKNENGFSTSIGIT TLNPNNGGQIKRKRKNSNSISPSPNNFDYNFNNNNKNSNLNLNSKLRKELYFDQLPLQ HGRKVAFKLPKSKSNDFDIDSNNNNNNELNSIGGGGGGEDWILAIIKQCIGQDKMRYE VQDVDDGGTYNTTLRSIIPLPDSNSPLNLSSNPINLEDFPKNSQVLALYPDTTSFYRA TVISPPLPGTGNGLGLLNSKNNSNGNNGKDYIGSKKGVYRLMFVDDDDNVQEVAKDDV VAVSNLLFC
I206_02523	MAVGSRMVTSSRRAGRVTNKTKLLIYKGTDKIEENAAETIVWES NDSSASGSNQHSDQTKHQHVGAKGVESGELLEHHLQAALSSASLLHSSRSTSVKPASP NDKGSSSNAAVPTTTTSSLNYHIPTPDATGLVKDDQFAPLYQATKYVEPVNYIRFSDT VEESSGGWGGLGYCMDDKDYKWLNEFNSKQEGSSGSTATATSPQTPFKETNGNAPNHT PSAGRGMRAKGKEKEKDKSDGVPTSLFISEDTFEYIMGVLEKYAEDSVPMLHTNISLL PPFSSVEPLFASPIPTSFLPSNEIPKGLPDLKVLSRMARNVYPHWKTRREERDGKSIM PALNYDETNDNDPYVCFRRRDIRATRKTRRTDNYSIEQFQKIQNELLLAHNLSTMVVK RETMKKKMFKSEKEVWEAKWKLFEIKRKWPSLGMTREEEEIITGRSLSGVAPIVIPSS TMPLQSSSINIQTSRKKNHHEKEREDRDKRDKILDSRSLTDKVPVGSTGRSNNPDAIK ERIALLQSRLDEFLLKKKESDLAWDDCTDNSYQPLPPSAADRTFRPRTALDPHYARTL NRGRADSDDDEDESEAIYPSSFRLRRGRGGVLRLDRRTPLFNHRKGSLPSSSPEYSDW LFPDTVPPPMSKRRPKSIDEVDDENDDQDVSSKRRKLNELSRYDTSRGGALGVGMGME EDLDRVIVDDLDSKYIRNRISLLRDDDWEKLRPDVSVLDQAINALNAPIELPPTPVFV RPQIQPPDPQLVAAHLQQQQLLAQQQQMEQFQRFQLLAQQQALVAQQQQQRQQAAMAA AQGGPQTQGQGQAATSAQGVPSQQRAPSADATSQASPIVNGQAMLPPNGRPANTKRVS TSGQMAPPQQRPSASLSPTNSIHPSTNGSPQLVNGVPAKQFNGSPIVNGNVANANNGI DPALQQRILAARAMAAQAQVQAQQAQQGGNGVALPAQLNLENMTPEQIAEVGKLAAQN GFGDNLQGYVETSNKRFLLKMARMAQQQQAQQVQAQQAAAQQAQQQQQQQNGTNGNGS PALPPGGLNLKLPAHAAARLGAANGTTNGNQSPTPAPASQRV
I206_02524	MSTPRRSSNTSTPSSSRPTPLRTPATHHKTADELAAEEAAEILH TPTTRLRSAGISNSSLAGGELETGSARALRHRRLNEVREATQESSGKEDSKSTSSKNS EEEEDDASHLLDPSVTTASVHDEDNQDHTMSPSAGLSTTQAFVTVQADAGSDSTSNSE DDSDDGTSLATSSSTESESEEDDEDDSEDDSDDERMNKLLVAAKAAAIAKQSTTISQG KQSTAHIEDDENVLDFDEDQKEKRKDAPIPDLSVPKLPKAHLSFTQDGKAQAFTKLPE LGQAGPSRRPMDDRKKVDAPELDDRPYEKELSKREKRAQPRKATTSELWASIPTPRSD LLPQMKRDYQALALANSLDPKRFMKGGSRSDKVPETFAIGTMIDAPRHMRDTTLTKER KYRAGQVVKSLIQDDSTGEYAKRKYGDLQWNRMENGRGKGWKKTKKW
I206_02525	MDARRDSRLEGNKDARKALGVRPGGQPPPRLHIERPSVPSAPPS PTLRAPSSPKSPPGSRNVSIAIPPIAIPPSPTSDQPKRFRRPTISSKNKPLRITSNRG LYETQKLLSHLLDKIEARDAAPDLLERAAISAKEISGKGKSKSKGKVKRLGHAIAAAA QHATIPSTPGSSSLLSPPSSAALGAGLGSEDFEDIYLVEGEMDTEAIYNLVEQTRGLL VLAEKQGLDLFHDEGGRGSLAGVPILDSTPVKNKRKTGRFSSIAPVNSIKPSFSFQHG QSEKQEEEEVKPRQSTSISISGSALLSRILGILHTLLTVDCIHRTHLFRPFCPPYALQ AACLDIANYIYMKSDTETKIKVVGMVVDGLYGMGLMVERVCQWLEGKMGELLNRLARD RGGPKKGTETVENMSWTDPFSTKEAPGKAVPTFAISTDSQDSLPPPPAANTPGWLRFS PTSPSFPFFPAGDVAGLLSTHAAKEVTTTTIQIASLVPRILLGITTIVDLSISKLTTI HRIHRLLALILTAKPDSALDLLEIIAYAPPVPRRTALEILSTFYPMVLGHNTISRRLA NTTYAAQRAKWESGQEKALGEDDVVHYFIPWRCSSKDDPSNQVNKCDICDGEIHGFAL KCSMCKEYRHLHCYHTSVQTYDVITITSEDSAHQMVHIKYSPIPPRLDEQVLFGSTAK GNSSSTRRRVGQHDLILVNLFNLALCEECHLPLWGDMSQAYGCMNGCQRFFHPKCLDH MERHGRGQCRFGRDVIIDEISSQGSDPFLISLERFKQSFDTIFKQLCLNVDELARKTY DEIAVLWGGLWIQYQLLKNGISSGSIRITGYNPKIEPDLLNVKSTLKVYEEQLKARYT EASPASSDFTHISGSEIPLGCSYLFSDRYLTYVIALLRAPTSNNPLASGTQTPSDGLL TPSGLPAPDDIQPNEESFEMLPLSIISSSLSSDLGISDPTISTIFLNQLRSIGLITTN NKSFISQEDLNSPNIWAGFNLPLLMDNSTTINLLILSIENLLDTLDLSMNEQGLRLLS ERCWPSLLCSSYSLDRLGKILINWIMNEDDCLLGIVRSYASKHKKLPGIRSTPSSSNA NSSSKLNINTNQNQNSVNIYKESRLKVMNKFGKPWLKALHDLDPISYAAMVYEECKNP LAAGKLNFETDESDDQMASKMAGIALERMSSVVDADVIFSTLMELVTAWLEDLGALAD QDVVYRSLPRLLRHSSSIPSSSFEQPDLFSLSLSVSQTGPEGLIRVCRWLRVLSFSGV DVPWELLMDLVELQSTTRNEIDARLDLVIAIGANSTSLNPEAFAGLCAKMSNGIFQDI AESKDVPGRLDLIRQFMLLCLRAYGVPIEEVSQTAFSNGDLQTKQPASMSKKRRAVSG RANLSLEKEMILSAATMLDCTDVPCELILDFLWLLFTRAMMVDKVDGFINRACSRLYQ LIWPLVDLSTVDRRSRTRILLKMLSVNSNALEKIVREQLDSGSGGRAQVRERLLTFIL DLADSSILFEVTAWESSAVGLILLLFDVLTDTSEMISDNLVILHALLPTHLQAMSECF EDYLLRHNDEKRLVLLTRLRKLRLNLPIWPIISWTKIEELLAEQVASIAQTRPSRTSQ TVSALTDSQSVRCSLISLGLDMLSAGVPIPWIIAQRFQQHVASCLALPWTNPVESLTS LVLPSLRGVLDSSVRITISGQTFESKAKKTASVGTLFVPIIIDLGGELGKFEYLTQRV LLDILMVTFFKQNVRTVELAALSSLQTLAQFVATSECAENRLLALQILQIAPVRMDRE SVIRAVPSVFATIAGVLVKENEAEHADSAVIEQSRVFLRDIIKSFGRSGLFVQLFRID DTATTSPKEISTLGKALQLLHASEKSIDGRPTMFDNAFNNLSDVLKRGRQTIEQVLAS LCRFAESLHVELSEEVAQSKHVAEWDSQEFDPNPALRTCAAVLDLVQPAASITLLHQT STFLHLTLARFSVSQETLSKLLDISERIARGQSTEDTVRTVTFELAGSIVHGLNVTPT TLLTLLNFLAISAFPERALDSRSFTQQKRVLADSAPGCIQILLRTHPTFTIGNMEPDV TLAIMLKTATVLCRAEMIVEGIISQSLANITNEAASTQVNLLIFILLSSLDLQMGPAR KRIISLYPLLSRATSLCLRASADLLTLQDMSGDGAELLSVVFAVFRLALLALRDQTNT GDRIRGNIEEDTMDGFWCRIWPDWYRLITISLDSTCVNGPLKAVSHSVFLDIIIFLGI THSTILSKHSGTLSHALTVLVKYQESIGTGNTSGKLQKAAQVLDKISIGIGIGNLGEN DRLGIINTIRKDLSATERLKALRNT
I206_02526	MSSADAFTAQVNIPSEGDIIEAIRRNRLKARVRNSDGFKSLFTT EFGPVTLQTNGSITHNTNNSSTEYLTHFSIDFWLVKRCEGLMKGSLSPEDMQSMTRSP DVFDRNNNTINRTAKISPDRIVGHPFVDPLGSGSLYCTYWKNITFHYSHMEESQTSRR ANDARIEMGTITISAIEDFPIEQYSPLESSGSFERTRSKGPRVSWADPILIIADDQQE QSILETPLDFHPSSSANDYYCAPEEPRGEIGSVESLEIKSKGTEDL
I206_02527	MSDFIDFGSATQSNPRFPPTSSSILDNLFCLPTRSYYLSWKGRS EFIETLFFDDNYSITPHMTTDGNRTNEHYCTVKATFDDDVTLLETTLPNQEAKTASLP DALSNDSRKGKLVVELSFRFKGEAEMCKSLFVRGDVVDGIAENRKALEEWVKRTHYTH ATEDNLCEKTTQPYIVDKLDVTSIRHSNSARCRPDGTISTVECTDCSLELDDRCADCY DLGELVIDGSINLSAYTVILPVEEDRQVSDLLS
I206_02528	MAQPVEQWITDIPPVTRVWVAASIATSVLVECQVVAPLQLYFSW KAAVGNMQIWRFVTTFLYFGQLSLDLAFHMFFIMRYSRLLEENSFSNRRADYVWLLFL TSIFLLIISPLLTMPFLSSSLAFALVYIWSRRNPSIKMSLFGVITITAPYLPLCLVGF SWLLQGGFNAAIGDIVGILAGHTYVFLQDYWPREMWSTTGKGEIQTPALVTNAS
I206_02529	MAKKGKGKGISSGSKASAAPGARINKIDKYEDTLEPGSVDDFMF KRDQISFNPQDDSDQDINADEGEEVLALKLPKKSRKEQEAEAEDDYEEEEEEVPVKKQ RKIKSKPDLNGKGRFGKPIESSDDEDEDDESGSGSNSDSEDENWGRQYYSKPSNRREK EDGRVDDEKREEEREMEEREVRRLQKKARENLHSEDFGFVDEEILSEAVEKIADAEPQ AKVVPAPPQSDDPITLLRHLESHEPVKLALARDFPLIVEKLEKTSRGIKKMEEAKGDG ELHKGLGWLHYQTLITYATTLAFYIHLSSLPPSRRGEGEINIIPRLLQLKEGLAMLED LDFAAGSVSDGAFALHPRVGLGEDETDEELKEGKLELLKRMQGLDGDEDEWEDEDDGD DLWGKEGLEEGELEALLEDAEDDEEADELREMLNLSKKAKKKGKKPKVSKEAAEDDYL DLGMDLDEDVPKKEKSKKKEKKTKKSKKEAIIEDDVPKTTYQPLAEPEFFASSSKSTK PTSSNRYDDSDVLGDPTSLGVADYEDKQSRKRSLAFHTSKINATLARREAGRANRMGG DEDLPYRDKRKARDDALKRSQPSQDAGEDLDDLDDNVPPKKSKRSRDEEEVDGDDDDG DDYYELVKRRRKEEKDAKEAEHQAYEDEKIAAMSSLDDPTHEGGPRALTRAIEKNRGL TPHRSKGGRNPRVKKRQQYEKAKKKVASQRSVYKGGQSAYGGDYKGEKTGISKVIKSR KF
I206_02530	MTEYWVSKKQYYCKYCSIYIRDDAPSRKQHETGLKHIGNVERYI RDLYKSGSVAKKEKAAEAAEMARIEASAAAAYANDRASGLASSSKSPLPSTSTPPPPI ASSSRPKAPTDRFSNYSTAEQLGFHETKTAYEIAQEIKNDIGQPSAWETVEIPSSVEG GNLIGEKRKFGVNGEEEDEENEGWKFEYDKPSLQHKKVKDPYEDDWDPSYLKKLKVKK KEDKIIVNPEQVKKELEEKGLNRQKWTGKLELNAPATTSKPGKEGLEYIEGGGWVKKD DEDDNGVITTEDQTTEDVKPDLSEKNGVADGEIEQPSMGDTKSAVAAEEPPTTGASMF KKRRPPPSSRKK
I206_02531	MHGIKRSRITPQAAEAKRVKEQSKIEAYLALQSDVLGRKRSKDL TTDSLGQTTKLLDLNPEFYTIWNFRRNILLTLFPTLSPDEVVAHLTSDLRLTTSYLLV HPKVYWIWNHRKWCLESVPDGPGESVKWKEEFWKGELKLVEKMLDADSRNFHAWDYRR YVLASLPTQRPLLDELKYTQSKIESNFSNFSAWHCRTKTLAAIWEKEHTSEIEIRKTK DQEFELVTQALWTDPNDQSGWLYHRWLVGSEPSLDILQREMKNIKDLYEVEPDSKWCI NALAHYTLLLAKNSSTTSDDEEKLKSEAKNLYRRLEDVDPDRKNRYKDMGMSTPGSPI QLDQG
I206_02532	MSEGSLPSSSLSRWKYKVIYSDKARRAAYDSIIGFPQKDSDRLN RKVLKESQTKEGKVSARYVIESKGKNTDVDATLLLLERPGSGNANTEGSSLDLKHERN CTQGITVMFDIKHDSNLHFDGIEVVDIKKAFDNDPLCQKWLDSNESTLIVDKNLSLDN DMWDHARGFYFEEPQLDPGSFSHTFEEMTVLLLQDNEAILSIPDVAVTVTNNRRSLLN TIIKRTKEENYKTYDETYDETYDETYDETYDETYDETYDETYDEPEFMAFAGGKDESN IAYLKLIDKTLSNGKEELIKSASNHITRRVYWDWFEITLKVKTDKSFDGIGFSGSELR KIIESDKSCQDWFNSNRFTLFAPFDLSKDLDEYKDGPKLFRDKSVMPDASLGPSELKG LNITLYDQGEEVDSFPNVTFKVTGHTTIDGGSGGYTPPVFGK
I206_02533	MAAISSSAGPSAPQWRSFTFFDADDVKDNDDLAQSPRSIRTLTQ PIILTPTSPNSPLPPSLIVSSSNNISIFDRHFNVERTFKAWENNGRATALLEAGGLLL AIGEEEGIRWPVLKIWDLTREEKRKITSKDSNGISEIERGPVLVRNTRIQHVQRPHPV SSIALTSNLSHLAIGLGDGTVLLYRHLLQSLTTSPTSLNALPKARVIHESNEPITGLG FRESQENSSSSGISLFIVTTNRILSAPVSGKGGETRTIDELGCGLGCAVMDWNRKEMI VARDEAIYLYNGEGRGACYAYEGPKSSIAIYQHNLIIISPPFYPSANSASATVRHYVS KTSTTTTNSDGVTLPTTASDISKVTIFDLQNKLVSYSGTYRDGVREVFCQWGGIFVYG GNSKLTRLSEHTTLAKLDVLYRRNLFTLAISLARSQGIGKSGVADIHKRYGDYLYSKG DFDGAMGQFVKTLGELQPSYVIRKFLDAQRIHNLTTYLQELHSRGLANPDHTTLLLNC YTKTSDRARLDSFIKTEARRSDISGDEELPFDLDTAIRVCRQAGFYEHATYLAKKFGR HEEYLRIQIEDAGEIGEALRYLRNLGPEAAKNMVRYGRTLLNAEPEATTSLLIDLCSG DLGKKKAVTPTLDVKSNGAAASSAPAMLSYLGYNRVTGLFTSDSPTTAPAAEANGRQT SHDGEKGDAPNGGLDAALITDDEPSYIPPSPRQYFPHFVDHRDLFITFLESVAMALWN QSLTSPRATINAITPSKRRDVDSAPPEDAEGIDQRAVWNTLLELYLASTNSIDEKKSK SSTEKALAVIQDDSLPYDPMHALILCSTSGFEDGMIKLWEQMGMYEDIIRFYIQRPFS SNSSEKVLRHLDLYGSQDLNLYPLVLRYLTSSPAILSKHPKDLIKIISKIDEERIMPP LAIVQLLSRNGVASIGNIKDWLRSKVEENKDQIESDKHLVESYRSETSTKKKAIQDLS NVDQPEVFQVTRCAACGGQLDLPSVHFMCKHSYHQRCLSDSEPECILCARQHSVIREL RRNQTRLADRHDLFIDEVKDSEDGFGVVAGAFGRGLMGKERELNDVV
I206_02534	MKATFFAIIPLLATVVAATAPTAAPFAKIRRQADGSADGEDPTA GEEAGSAIDDSLSGATSVFDEATSGLDSIVDQATSAAGEGASDATSAFADATSAIASV ATNAPSNIDSAIGGAQTKITSVAASASAAVASKLSSASGAVASATNNSASHASSAASA ASSAASATASGANSAGYILGVDNKLVALGFGAAFAVAAL
I206_02535	MKFTSTTLLALIPAVLAIAEPEPIANPEATPAPPTFQIGKAGAE RDVELNARQLSSILSEATGGAASIVSEATGGAGSVIGQATSAVGAGTSAVGSVAGQAT SGAGSVVGDATSAAGAGTSGAASVAGQATSAVGGATSAAGSAAAGATSVAGSAAGGAT SAAGSAAAGATSAAASAKGSSAGQLTYVPGMWTAFLGGAAAIGVARVLA
I206_02536	MNQSRPLTLGEPSNPSYTVLRIKRKATEAPLSSLVIQGERRIST KRRRDVSGRPRGVFRLAETVPDTWEGKGEEGEVLKTRIQDLISSSSQPESPVSLPRSP LTPQQIPESKPQPQPSATTNSIPLENDSTPSSLKLPELNNHRRQSQSQNQTQYRVIPP LSPRTKSMLPPRIMTIAETENESSLVFVDAQAVSSTIQNSSKPGNAEDEKEMANFLPM LEEYLKLEEASRKPTPISTPVEDEWVYDLYYRDNNSISLGLGVGDGVTIGELLGFDDI SPPSSISGSEPEDEADEDSNDEDYYRNDYPEDEDADEDMEGFKGGGGESDWSDEFDDE DDEDDRGEWGYR
I206_02537	MSSSLSSYSKLFVLRNIFQRILPITQKLNIRLFSSSQFFYQKIN SNDEIKLSMEDINDKSNIKKINREQLLKLHNLSALNPPLKNSKEEKELMDELSDLINF MNQLKDVKLPSSFDERSELLTYGMSEVIISKESLNQLDQLKSNSTSQDVIKEEGKEKS GKELLNWSTNRLGDYYASRIKKS
I206_02538	MSSSTPTPSAPEGSLEISVQSLATFTPTRILSESTLTGSVYILG TLHNLPTIIHLQKTILDIEKAGDLIKDLEKIDLFLENQPYFSSHGWIKNKIDKSPELQ IKIICPATDIHIKKYSKQERFIIIETPELYEKVVLNYINGFDESRLGWVYAILNGQKE SERILYRKENEKDGFVILPDLKWDQTSMNALYLTVLVQTRTIRSLRDLDKSHIPLLNS IKQAVFQTVKQKYQVGAGKLRLFVHYQPSYYHFHVHVVHIQSEAFSGMLVGQAHMLDD LITLLELSPSTGPSLLAQKTFTYPLGVEHGLYEGMKAAGAILD
I206_02539	MAATVAQAFSSLTNGTLTPPLTPNVNESKEISRSTSPHPNITSD QNLLRLKAHLQNLPTDLLKHVHLSKIRREDPALYFSAIRDDLTGLAPIVYTPTVGEAC QKYSHIYQGPEGLYLSIDDKDRIPEILAEYAANLSTTPQILVVTDGSRILGLGDLGIG GMGISVGKLNLYVAGGGVNPHGTLPVVLDMGTNTESIRNDPLYIGLRRPRASLEEATE FMDKFMAAASSAFPKAVIQHEDFYSEAAFAFLEKYKNQYRMFNDDIEGTGSVILGGFM AAAKQASAASGKALKDHRVVFLGGGSAAVGVAKEMMNFFRMQGLTEDEARERFWLIDT KGLITSTRPDVVSGKIASHKKYFIRNDTEGKEYPSLADVIEFVKPTALVGLSTTFGAF EEAVVRRMAELNETPIIFPLSNPTSKCELSFSDALNWTDGKVLFASGSPYAPIERDGL LREPGQGNNFLVFPGIGFGALQAGATQVSEGMITASAISLSEALNEEEKRAGLLYPRL DRIREVSAKVAAGVVKKAQEEGLDTNERLRGLDHEALVKEMNDAQWWP
I206_02540	MATTAQQPGLSYKDIADAIISFLEISLHTILYLRSVYPATTFSR RRAHGVPIYQSRHPQVRAYITTVITALAPEIHNGKLRRMTVVIKGVEDGLPRERMIFD MGYLAELEKLREGRGTEVGLIGAPNADELGLMLRGFLIKLNALEGQLLDNKGETTFAV VIETNDSLEPSTNINEDGSVPPWIPALAADTLRPPASQDLEGCTEKHEPLLNVKAVET GVIDIRLMVQECVAKTGVDRLDP
I206_02541	MTSHSNHDEAAAANAKPSIGQKIGGGLEEIVGKVTNNPGKVAEG EAKKHGYAGPPGGPQGYNETLHKGQNPGGPTGEHSIHPTGQHGDHNQGNTGSHGHPGT GAGLAGHGNEQQRQEGSTFGGNHTNTHSGLGGNNTHSGIGETHNTHSGIGGNHSNVGH SGTEPFAPGQGQPGFGGHPQQHSSIGGSHNNGIIGVGGTSGHSLEGQHSGVGTGPTGH SSGLPLGEERLGGGGPGGLDGRHGQSHNQHGGITGGPHVGGPGLTGTGHHGVGSNDQG QPPFGGPGNTTSERY
I206_02542	MAFAIHPLYSTYPGASFFPNENLNGPHLSIQSGGNSLGYWGNVP LNLPEFPLPEWNLPPALIALNEGRGTGIYGLPLQPDGGIGGNQQQKFNYGWPKYGPMP LRPDLGGFVGKTNPFGRSWPHGFYKTTY
I206_02543	MQYYNNPMMGGGGGGMMDPRMQQQQQQMQGMNGMNPMGMGMGGM PGMNGMPGMPGMPGMGNYGQAYRQLGYDYTLPISGYKPEAGWGAWDLATAQYDGGRLE RSFFDNIVRILREYQFLKNKYKTQQGKEGSYEIDPNFPDKQISTLTNFFASRHLSEES ARQAHYRVYHKLDGVDAGNKTLGGAAAYQSYLIWDRDHYTAFHSMPSQENRERLVGLA VAELFNIWDKVQPRSSRANIEEAAQYAAATAKHLYDRHYDLSHNHSHRRGGSRYYGYG ADNDSDSDDDRRGRRRSFYGTEQPYGMGGMQNPMMQGPMQGGMMNGGPMMGHTGLGMP GMGMAQPGMGALGMPGAMSGGGGMMNPMMMNQMAMNGGMNPGMMQPGMMGGMGNMGMM GGGPLGGMPGNHGQMGEAQAGPGMHPYTYHSQSGVAYNNQPIDQMGNPTFPGGPGRSW YGYGQQRYF
I206_02544	MSNGIINKLLESRDEKIHLTHDQDSKDEDWFQVHSTKVLLIFGS IGIVIILICFYFLLSKEGNGNNKKKSNFIKLFDINRFNKGKSENKNLDSNLSSSSFKK RKLWRKTTYLINLKKQQELQFQNSKNNLNFNLNSDFRLKEFPKGWEIINQNNLYQNSS LLSSSSSLSHLRQFKNIQNCNNQNSIQNFNFDFQPKLFYDNSSTYFPCDNLRLSKDSY MIYNNRPKSSYIPFNPINELIYPPNIKSPITNYRRSYINQMSNDPIYLEDLKSTHKLK SKNSIHSIDSGLRKSYYPKSPASTYIPHKESRYEYKDDENKYNHYDQRNQYNRNSQHQ YQYQNSPNDYQYDQHRINHDHSSRTSSINQVEEYEKPHQEDYSNPKSSSISIHHLSPI ISHMQSPYTPPLERNSEFERGPPAIGSIEFPQPKHSPAPYTLSSTYSFPPEFGNSSPT INFRSSAQPTLSSIQTFDNQDNTRGGNSLDIDEDEGDLSDPYSPSRIEVNTKLNSGNI YSPSLYSKSSRISNMPNSNDILTIEEGKSKNRNSGPSLSQSSNDNPSPNFPPPISSVS TTLTNYNDAQDNQSQDSHSSNSSSTILHRISRVKPPSLLKSTLPLKSPILKSPSPLRY SSTFDGIDDLPSWMKADSPPLPVGDVSK
I206_02545	MPSKTSVPVFHPDHPHNRQPYPLYESQTPNQYHNIPGGETRGML SQHLQRGKYREDGLSDSESSLSPSPGNKSRCFCGNSTQDGGIYCSVSCARSDAFSSLC YKPGTQSTESLIHTGPAHPQHPSIVSAISASLSRNPSSASSTSIASSSSNQDGGDWNA SHYRRLAQADLRRQERKEERRKRRAEGSLASSISTSRSTMMSISSSASRSIPDLIGGH SRNPSIASSITSMSSSTWGLGGSLSRNPSSASTSSRKGGAGYGGNVNIGAAIMEDEDE EEWLQSEFAQPSIPAVPLSSAKRPTHSRSGSKTTSSRKGRKHTPDPLPFGMGKDMRDV LEEIIQMEKSFLVSDNETEDNVDDDDDKMSQNGTSQPAGLFTSQFGGPPRTPSPVSTS KRRTSIAPGAPIRGHRSALSHSALPPPSPNVRNPKPQRPPSLMGLHQSSLSESHTALY LATASPVAPGPGRRSASPKLEYRKSITFTPDAAGPSISFDLPAPKRMFDSPSGTITPM GGRRKLNLTPQAVHPSMDGWRFPAGAGGNGGSNMATPTRPSQSQFPNEPQVDVDTTIE PTLLWPSQPPNLQPALFPCSPAVETPEFQGMSRLANQLEKRDSQISAPGSGMRLGELL GSGDESMEMEVEQDEEDESTEQGHSELGARMMGYLPVFLEAEGFRVGNGNVRW
I206_02546	MTAITITTLSNPVSIIHIPISLIEIYITKIYWTIDKSLEKSFEF FNLTCNQIEMAIFGSLDLINNEWSLISFKEEEKGEKIIISNNWRVFEISSGDQDEIGN FDSPHLRHVSAPLAKAGISILYQSSYFTDFLLVKESDFEKAHSIFANQGCKKFSNTSF SPSLINEPDFICSHLGQVDPCAAPSTRRRSLLSPLTPSHSSFSPLRPASTPTPEITVL SSPLACIGYSRSAENRFAEKVKKFLVWPERCCPSSKHTHHLMYDEDDGTESEISTSLY IEKPRSRPFISYTRNEDGSSLVTEVKALRIIFNDENDDEYSKASELIRFGGELFHENY QEGDENEGDYHSHSEGESIYSVSNNVKEFSGQLITPPPERNKLISRKEKLEDHNVQHV DYSLPPTPSDRHFDPFEEDTRSEYSVGEVPIKWSGKDVSGRSENDGSIGKKRCLQLDL RGFNDWNEDEGGAYHLDKSGLVTRFSDLLTSSSKPIRMLYSSTFHTANILVEARDVKK AKSLLERKRRSVEWN
I206_02547	MSGIGIDPPGAPRDAAITIGPFKPSRPGYGPVLSYLQAAQLDTP LKIHPLLQLRTWDAKPYAKYLAWNVIEHPMFAAIWIDYDGIKERGQPATSPIVEELNI GHVETGWSIRVRNRMGITCEDVLFAIYDFFASPRKYPVEAASIRDANNLVAVYVDELG EMHPRAVRIMEQQYFEKRRMGFFDLMEGYRKSDALVSLSAMLLAKMQKE
I206_02548	MGLLALGTPLNWEETKPLAEHIRDHGITQFLKTWDRWKDNTGKG LLWGDEIEYMVASFHDEEKKVLLSLRQTEILTKLQSVTLDPALDKYKPEGCTAIPTFH PEYGRYMLESTPGYPFSGNPTALVSVEADMRFRRQIIRSHLKKHELPITLTAWPRLGV SNANFTDPETSPDVENSSSRSEYVGELLTNPHARFPTLTANIRSRRGSKVDIRVPLFI DENTVIPDGMKSSISNGHATPAKPLPGTPYIHMDAMAFGMGCCCLQITFQAWNVDEAR NVYDALVPIAPIMLALTAASPAFRGQLADVDARWNVIAASVDDRTEEERGVKPLKNDK YRIPKSRYDSVSLYLSNDERNKPEYHDVDAPLNEAIRRRLLDHGLDDKLSSHIAHLFI RDPLVQFSETIDQDDESSMDHFENIQSTNWQTIRFKPPPVNSPIGWRVEFRSMEVQLT DFENAAFSIFIVLLTRAILSFNVNFYMPISKVDENMQRAQERSASTSQSFYFRKHVFP EDKPLSRFDLNSRPVSPPNSSTPISVSPRHSVHLPNDSNGNYSKQSTSRSSSTCSSPI EPEEIDEAPEMSLDEIINGDGRSFPGLMGLVNAYLNSLNVDVCTKCDLRRYLDLIKYR AKGQLVTPATWIRNFITSHPAYKSDSNVTDEINYDLVKAIDEIERGVRPAPELLGRDY VGSGPTGCL
I206_02549	MFKLFKDLTRPPESLTPPPVTISAPPTPVPYTARAKSSSRARSK SPAPAGLGRSKSPGPAGSSHSHRPSQEAAGDSGWSISTDIAGKDVESEDEDEVDPDAI KVVEYLREIRSLEDGADVMRYVESFSQILSIPCHFLSRRALRRHTGFRTILLCLSDGL VYTSQEDTKPPLSEEEWQVREVQRTEGIRLAFEVIAWALGDRQGELQFEKLGGYMLLL PTLSNLCPHSTSSNSAHSQIIALLLAHICNNNYALLSLFQSPPTTTPEQSLIPAIWQR SGDLNIRPSTSGALRLLWAYLRGEKANGKGRQRTSGLSSSFEGEAGSEQAGTIEFTFQ IILTIASASTLNLFSMVTQLPELSGFLVVRLYGIPEKRTYEVTFPARDDWVQEVENGD EKEKQEKQENWNPPSESLRKVYLALFRRLLEAGVTQNLVWRLFGLVKINAPVIPDQDL SARPTNGDGLTASPSDITSNSEQIASPEPMLDTPKAPSRVRPDLHIDVARLPPDEERL NLEVLDLLKHAMKSRWPDVFVFRGGNGDTLGGLQLKETGRSWMGGQKGFNFSCWLHVT KLNQPLTLFHMSQKGSKLPLFQVRILESSQIGITSSVHSTENNSAPTTPSTENPPSPS DAEMVCGANDALIPHFQWVHFAVGCRKPKGADVGEVRIFVNGLRVGAMRIPFPVPSTT SVTQAPQLGVRAGAPADAIRIAIGRKYRPEEEDTTPRKSGSVGREEENEWMLGRTLLL EEAVPEDLVRLLHHLGPRYTGNLQEPLGKFLTYEGATSINIYLSNLARDSKDRKIFTH SSNSILVRALRSGPAIPEDIIMLSLAARDYDSTLRACINAGIPHPLRAKQLQHGTARL VGNVSPFSATSLDESASAVGGGLVLLKLVDLSQTKEELVSTLGMFKDLIKDSWSASEE MERIHGFDLLAAILRPKMSQLFDEQCAKILLSMLGINMDKPSNATVHNSVAYRALGLE FDLWSYASDDVVRFYLKHFEYLLSTSKHKRFNVLRTFQKSAMVKKMLYALRSGLFDLE VVPNVIDALRLALEARWSGEDSIKPVFSYLVSALCQNNMSLTLNPINEPPPYQIPAAL TLNMISSLCADKTRLKKLNKSLALHRLLVIFISSNSSYYVVKPCLEILEHCTILSSSD SFSRSFENEGGFALLATSLAPIWTSDIQELVVRTMIGEHTKDKDIKTLQSPHMVTCLL AALDNLLQQAGDNDDAGYIPTLQGRRKSVASIRSINNNNNGDDNRLELLLKEMTSIYR SSSGFRKQMTSKKVENLLPNMADFAAVSGGSSGQPEIVKAQREAAAALLSTLIELAKL PVALMNQIKLLIEQLQTAPSTKTPLSSSTMMSPTSPRIGGGSSYFGQSFPSRFGSSPA GTPGIGGSSRRRPSMDMPGFTKSRSIMEQRIPLKRVITGESILEGGRDKNAAWKMIII QTDSQSHAKMTLDRKEHWQKISDIDWPRQAAALRAENGLWPEKEDKVNWRLDGSEGPL RMRARLERITNLPESGVSRTRHKLRDAIPSVDELSSAVSKINVAPWEDPVASDDNGDI ESYVEVEDDKNDKMRRIAKTLQAGDVVEEAHNIVRIVGVDACPGLLILGKKNLYLVDG LVQKADGEVIDAKDAQKDVLSIPSGTLAGLDAGDQQSHRWSYNEIVENNRRAFLFRDV ALELYFMDKRNFLIVFQDKKERQAVVQKIGSKNDHRDAISRSVIGNFVVDTVTKAMDR SEQHLEALMRKWQNREISNFAYLQLLNQYANRTPNDVTQYPVFPWVLADYTSETLDLQ SDSSFRDFRWPMGALTPARREDATERYIATEGVGEVPFHYGTHYSSSMIVCGFMIRMS PFTEIFLALQGGNFDLADRLFSSIPRAWESASADNRGDVRELIPEFYYTPAFLWNLNH HDFGRKQSSGDQVDNVALPPWALGDPLLFVHKHREALESDYVSRHLSHWIDLTFGYKQ RDPSALNCFHPLSYRGAIDLENIEDEGEKAASTAIIHNFGQTPLQIFKQPHPHRYLGG RLSLPISSRFGVAEHWQLLFRSILPISEASVPIDDIVPPHGVDTRPKSTQKFRLSVPA YPHLSLQYGFTDGSIRIYYQESAVKLIHLVEGIFVDDAIFASPSLLITVSGQGVLTAW RITIKSGGYRRGDATLQREATLRGHTGKITCLAANTSWSLLVSGSEDGTAMVWDTNRL RYTRTLQTGNDEPIKLCAINEADGQIALASSRHIYLFSLNGHPIAAVALENTTPSGSS STLEEPDDRIHFTGGISFLNREFLSGGVLFAIGVGAKVVLYRCVPGQKEYVSYDDEPT PPWSLVEQGDVPRSDDHLGGDCCMVKFMGETLYAAFASSDEKAKYALYQWSLPDGPAR HVSDMVSHQCMAQNCSRHFGLLEPKRHCGGCGGAFCGTHALHVETFTMRYCDTCRIQL SIASAQGILRSGRNTLTTPSRAVSRRNSLVGQGGHSRRPSAEIRNIGIIQSSSRRESG DKNAGSIIIQ
I206_02550	MFNNAGWFLMTIGIISCIHTIQKAMKDCTHQQAYKSPKETTEDT LFVPRYIPRIFISAYLSFVISYICQIVKSQISSNDLEDQAILTTITMILLGLPIYNQQ SREIIKYSFTNVPTEQSFSCHAENGKVEEEMKRDLRAMMATTTHVRS
I206_02551	MVQPSFSHPRNDSSSSSTSPSPTAALDTFLDNQHQSYFEELYKS EAVCLCILRSLPPVCRHIILHHLWSHQPLRTTEVKSFVQMDVKASLEEVDEVMKPALK RKILHPMILKKGKNIWSSNDAFKRGLRNALTGLGTSNSFGVPYDRDDDAEIPSQEELV AYGEEAFEGILKYMVSSGLGIGFSVARPQPEVLELLHISGLMTDPTDETGQRPNINRM TITSKGFQFLLEDRQTQLWEILMYYLNAKEANSERSAEVLSMFFSLGCMQLGQDYSAS KSFPHALSALNDLAQYGFIYRPSPDADQFFPTHLATALCSGDAATTKGQDVDEKRFLI LETNYKIYAYTSNELEIAILNLFVDIRIRYPNLVVGKLDRKNVKSAMEKGISANQIIS YLSSHAHPQMYNSPPPLLHPTIVDQLHLWDRERNRLKTEDTVMLEFFSKELFEDTVNE ATTNAALQLSIPTQKFMFIEPQTKAAITDFVKERQNQLRSGF
I206_02552	MPISELSTRQSIFLTAAATAIATTSVILSYQALRREHRTTRLKK QVGEDVEEWERSRAGSGLGTPDEKIERVVRQDREWKKGEFDESLIREQLTRNYNFLGE ESMQKVRNSYVVVVGCGGVGSWAALMLLRSGVGRILLIDFDLTTLSSLNRHACATLED VGTPKVIATQKFFRKIAPWAEVDVQVGLWRKGSEAEQWLEGADWVVDAIDNLETKADL LSHCHKKGIKVFASMGAGAKKDPTRVQISDISTTQEDPLARSVRRKLRINGITGGIPV VYSTEVPSEVKLLPLPEEEFQKGAVKELQAFDDFRVRILPVLGPLPAIFGLEIATYIL LDIAGKTLTDYAEIKNRKKVYQSLEKGLLRSEKQFKNLEHMERLPISWEDIGFVFDEL YNGRTSLPPYEIVPKFAIVRWDKDQILDIGNIVVMSSKDAMKHEKICLKDGQNLVEVY GKEVVDKIRRKSEEARRVLSWRRD
I206_02553	MSNLNEKVGHTEEAPVKESSIENKVAGDAPALDAAPEKKKREYK EMEHETHGDLHAKVDMNTIQFTATDLYDKDKVDIEHVVMEEVFQLLQCDEGGLTEAEA TERIGIFGPNKLEEKKENVLLQFLSFMWNPLSWVMEGAAIVAIALSNGGGDPPDWQDF VGIILLLLINSTIGFVEERNAGNAVKALMDSLAPKAKVKRDGKWRDIESADLVPGDCI AFKHGDICPADCRLTEAIDVSMDQAALTGESLPVSKKLGDECFSGSTCKQGEVEATVI STGPNTFFGRAATLVGQDNDQTGHLQMVLARIGSFCLVSIGIFVLLEILILYPRFHYS YRRGLNSILVLLIGGIPIAMPTVLSVTLAVGAQQLAKHKAIVTRITAIEELAGVTILC SDKTGTLTTNKLTIDKENVKCYSKWDVEGVCLLAAYASRTENQDAIDGCVVGTLPDPA SARSGIELLDFKPFNPVDKRTEITYRDNMDGGKLKRATKGMTGIIIEICSRNKTSELE DQLEADVEEFARRGLRALAVAYEDVTGDAHDGQGNGFELVGLLSIFDPPRSDTKQTID DAMALGVKVKMVTGDQLAIAKETGRRLGLGDHMYPAKVIKDGPEAGGKHANLDEMIMD ADGFAGVFPEHKFEIVKRIQALGHLCAMTGDGANDAPALSRANVGIAVEGATDAARGA ADIVLTEPGLSTIVHAIYGSRVIFQRMRNYAIYACAVTIRIVVCFAIMSFIWQFDFPS FMVLIIAVLNDGTIMTLSLDRVLPSTTPDSWDLAEVFAYGIGYGLYLSASTIALFAIM HSTNFFENKFSVEAIKETNDPRGHMVIYLQVAIISQALIFVTRSHGPSWTERPSIALM LAFCLAQLISSIIAAFGNWGFTQVHSISGGWIGIVWVWNIVWYFPLDAVKFAMKKTII AALQRRKAKKAAVASVDSNGERLQRTASRHESLYSNRTSFLSRAANRLKGGAKISMSQ NELQRFSSIQAQQSGAALTRAHSRPAA
I206_02554	MQGKFNGFLGKAQAALKDGQTRAASGSSTLMQSFSLPGESQKAA KILKSFLANPETPHTALNSIFKAVLQRAKGLAVFTILKAGFVFSGKAGSGLVIARLPD GSWSAPSCIATAGVGWGLQIGADMTEVVIVLNSDEAVKAFSRGGNVTVGGGISAAAGP IGTGGQVSASLANPAPMFSYSRSKGLFAGLTLDGTILVERKDANKDFYGSSISSTDIL TGRVPAPEIAGEMYDVIEAAEGLDESGLPAEAYVPGQAGETLPIPNPSTGYPAPATAP PSTTAPATAPGAGNKTVFDSAAA
I206_02555	MSNSTGLTAGMGGVTMDVNAILWAQMGSSVNSTTWYAIIGTTIQ SVIVSSILSNTFSYFEYFTQSDSIWLLEAVGLGAIISVGTLALTCAQAYKLVYENEHN IASHFRFLMFGDMSHLLIGAIFNATGATYYAYRAWRMSGNKWYIIPPFAIGILAQFIV AIIAVSNGMQIPHLTLAIVRDLPTFMESTITWLRAWGAITCVIDGILCLFMTFMLFKS KQGIFHNQDKLFKRLISLIYETMLPPVLCLLILEACSSISGSPLTDFRRIFTSIIPVL YYHSVLSTLVGRKTIANILQRKLIAEGLTSFSASGSGSGSGTGSGGHVSKSGGRFYIS KPKRSEEKDLELGVRSPFNLTPNSKISDGPFIHVETELHTSTTGPDEMKIQIPTLGRN RLKSDSTNTHHNSDKWTSTENLSNGQNRI
I206_02556	MELYIPPPTNFFRILPPKPVVPAVPVVPVGDLPDKLHAPEGTYH LNPPEGFSNIGNPAHPSAAELARQQQNTSTFLAGASGFMVAGPGMLPGSFPGGPPAST AMSYGSSIVNGQAQPSNPVKMSFVNIWFPPKQINDQRSFGGLLSKQQTNSNLNSGIIN DLQPPKEESYLYEPSINSELDEISSSPSTDFPTSNVLLNDFSIPPGGQNTSSSTTKRI PFTKNNQNQITSSTTNNNGINNINLPRPKNNLRSSSSTFVTRAQIQENLLKTLAEKGR NGGEMARYGFWNLGRTFGWGEENPKTKEPLTRITFSQLPSCHAVNLHTLGPDRVDVVI GFTSGDLIWVDFAIGKYSRLNKGGLLNNTTVLGVHFDPRQPHHLIAHFADSTILRFHL FADDPPTSIMAFVLPWNNYFDSMRRGSNTSTSSIEAKAEPGEEDWEEGLIKWKNEDWN LLNTLPAKSKKEDLGSWAGKNPIAAYKIDKVKISAMAYSPDGRFLAIAAEDGNLRMVD VAEEQLTDTFAGYFGSLTCVAWSPDSRFVAVGGQDDLITIFSARESRIVARCQGHSAF VTSIAFDQSRGEARAYRFASVGEDAKLILWDFSAAALHRPRHHHPTTSHHKLGAGGSS LSLSAGHSKSNLPVNVQSSIFHPAPPRSEVALLQPVMTRVVEGNLLTGVHMIQTSIVT VSRAAQVKFWSRPPRNNHSHHNTKSRAASRGANSNGRRERETGITA
I206_02557	MSTPSPFLNDNPPTMVMQDTGSISAYHASISQQMYSLLQAHNPS ISSKSTTRSVSVSSSILPELPNGFSSRKSHKKSKDKEVKSLLDPNIQVEVLVNGEIKP DLLKKKNIKKIDNSSSDCVVSTTTLSDSSKLKDNISSIATGRSIKTNSIITNSQAESN SLHEESSFRGRSKLARPRRHVSGATIQANKGRYEYERSASYDYKRQLRFAKHRAELVN KVDNWWKEVQESLPEESALTDIPPEIPESIPSPSIPHAIIRRSSDKDQDKTSSISSSP SSSSNCKREKLPPLKLYDNFPSKSDQMTSPRLNSNSNSDSRPVDFLPSPSLGLGIGFA FNIEDPNVTKSITHSHSHTQQQPLTFSNKACKATFKCMNSKSLSAGLPITNKFEEGKE WIIDVLGKSADWDIPFDPNATNTKMNSRKNIGEERSRSPIKVLVTGEMPTPKPIAANS CMWGG
I206_02558	MLKGVKQLWPSSHHNNNNNSGERETPSPTLSPSASFASLGGPAD QATPRASAHPTANPFDSAVPTIQHPQYQTQSGVGAVGGGTTTPGGGLSRSTASLTLDP STRRPVSPAIGGNTALPSSIGSGGGPRTPTNYGFMPLPLGTPGAGQPTTGVTVGDSVG MNTGMGFGLHEPPKMRKAMSKLADGSSPPSQHIQAPDSIPAPQDTDQPMNSTTTTTST NTGGYSTPQHREGGGPRGTLNVKVISARGLAVTNQPDGSSPQPYVVIQFEQNEYVSCP PHQTTSHSAVPFTHSTPQPLGTPGNLTRSTSGLGVGAISRAFADAVGRSKKREGGDGS GAMTPRAEEPSSGGSWLGKPGPGDPIWKEEVTFDLTSNRSALHVSVYDKGRAGEGFLG MLDIKPVLQDGYTLDNWYKLATRGEEHVTGEIWIQMTYKVVRKSLSLKPSDFEFLKLI GRGTFGRVFQVRKKDTRRIYAMKVLSKKEIVAKKEVAHTIGERKILQRSLECPFLVGL KFSFQTDRDLYFVTDYKCGGELFWHLQKEGRFSEDRARFYIAELILALEHLHKYDIVY RDLKPENILLDATGHVALCDFGLSKPDLSDDKLTNTFCGTTEYLAPEVLLDEKGYGKH VDFWSLGVLLFEMCCGWSPFYAEQTQEMYRLICYGKIRFPKHVIDDDGKQFVKGLLNR NPQNRLGARRGTEELKEHPFFKSIDWDLLYKKQITPPFKPIVDSDESVANFDPEFTNS SLIEAGIIPWEENESTQQPPGKHSYLGPGGSLSSASHMNNAAPGMAINKTQRPPLPGA SGSPLTSSVQENFRGFTYTGESLMPQSMLAEQQMDSDSDPENAVDDEDDEEDDDEDEY EEDEGDTDGLRTRRQSDVDMD
I206_02559	MIALRLVRPALQLKVAKPAFRFSSIRFISTRYTTDHEWVSFDST TNIGTVGITDYAQKALGDVVFVELPGQGAEVAQGDSIGAVESVKAASDIYAPVSGIVE EINETLADQPGLLNKSPEEKGWLAKIKLSAPAEFEALLNDEAYKAHCEGQ
I206_02560	MYSSHHLSPRLDHWKRDNCVSCDGATPQCNCSTGEKCILTSRTC NECPKIQCIQSASSSSKGVNPGAIAGPVVAVLIIASLGLFWWLRRKKRRDLARLEALA ARARKAEPAGFHLSSSPPSPQPGSVHSGNSLPQPPPSAARARSPLPPAPVNAEYYDDH GATIRVYNSSRGTIHVNENGDGNDPFSDRQSISTMGSGGTANIIPIQYIPPSKSDEAL SKIPIGAEGSSSNQSAAARALDAARQNFFHPKRPARAPDLDLRLNAPAQSKQGQEGLN PPSAYSFLNERSPGGQSSQYRDSYLSGNSAAPSYWSGQSDVHLDAPKIVTSKQVQIGR LQQAEVVQFGKTQFLNEPNRISPTGGSFGSSSATTRTLTPISNKFDGLEEEMEEGLRS AEPSSAGSGDLRFSMGSLAYDRNSVSTMGTSRYLASAISTGQQIPQTPSSNSQRPQFT QRNSGGSSKSFADSVLGSFPMIPPSGRPPLPSANSGLLPTSTSTNTLEHLSFSPRPPT ISASQRSTPKKQSLIESTNSKKARPETQASVADSFLGSFPFVAPSREDLADLPTASVP TNASTRGVSTTSEGLGGFDFRLNSEDVPPLPFRKE
I206_02561	MIGPTFKLFKLKPTPEHYTENFIQATLSAIPGGPEGKTLVVGGD GRYFSPEAVQIILRISAANGIKHIILGQDAILSTPAVSALIRSLKTDGGILLTASHNP GGPENDFGIKFNISNGGPAPEEVTNAIHKITETIKEYKQINLPDLDLSKTGEFTHGPI KVTIVDPVTNYIKLLKDIFDFEMIKNWLHTTSPKPTVLFDALNGVTGPYGRAIFVEEL GLDENSIQNCVPKPDFGGSHPDPNLTYAHELVERVEKENIEFGAASDGDGDRNMIYGK GAFVTPSDSVAIIADWAEKAIPYFKSGVKGLARSMPTSGAIDIVAKSKGLEVFEVPTG WKFFGNLMDAGRLSICGEESFGTGSDHIREKDGVWAIVAWLSILAAANKEKPGSGIND VLLQHWKKYGRSFFSRYDYEECESEGAEALMDHLRKLFASSDFVGSSLKATSSDASFK VKEADDFSYTDPIDGSVSKKQGLYIKFEDGSRIIFRLSGTGSSGATVRLYVEKYSKNE SEYPLDAQEGLKPLIEVALATSKLKEFTKRDKPDVIT
I206_02562	MSEITAFPSPSTDLSILLLGAGGREHALAYKLSQSKRVSKIYVC PGNGGTALMGGKVSNLSIPYGAPPAFEGIIDFAKKEKVDLVVPGPEQPLVDGVEGAFK KIGIPVFGPSPIASLLEGSKSLSKEFMKRHNIPTANFTSFTSSQYEQAVEYINSNPFS SGRCVIKASGLAAGKGVLIPETNQEALEALKSVMIDKEFGNAGDEVVIEEYLTGPEIS VLAFCDGYTVIPMPAAQDHKRIGEGDTGPNTGGMGAYAPAPIATKEIMERVLKESLEP TIKGMREDGYPFVGMLFTGFMLTDNGPKVLEYNVRFGDPETQALMLLLDDETDLAEVM LAAVERRLDSVKLGYRDGYAVSVVLASEGYPGKYPKGVPMTINPDMPAGVHVFHAGTT IKDDTGVTDGGRVLAVCASGSTLREAVNLAYNGVDQISWSGKTYRRDIAYRALSSEEP SIASTSTSVGLTYAAAGVSITAGNDLVDAIKPVVKATRRPGADSNIGGFGGAFDLAAA GYQDPILVSGTDGVGTKLRVALDYGKHSTVGIDLVAMSVNDLIVQGAEPLYFLDYYAC SKLDVPVAADVITGIAEGCLQAGCALIGGETAEMPGMYLGDDYDLAGFAVGAVERKQL LPSNDIKSGDALIALSSSGPHSNGYSLIRKIISLSKLSLNDKSPWNENLTVGESLLTP TKIYIKSLLPGIKNELFKGMSHITGGGFTENIPRIFESSKLYENLGVEINLKSYKLNS IWKWLMKNGNVESKEMVRTFNCGVGMIIVVDQNKVNDALNSLKENGEEGWVIGKVIEG KGVQYIGLEEFGQ
I206_02563	MSQAHRPTWNPTQGRETKAGSQQISKLSLASHTKLKFRKPGQTN SLEVSKRDLKSELLLAERNALEKKRKLKGLPPLQPLLSSSSLSIQENNLRIENGNDEK QQQQQNDDDDESKAKRRKVLEEAVELDKDESSEEEELKVKENDNNDNDDNDDDDDDSD DSDDEDDTAALMAELAKIKQERAEEKAKQDAEAASSAAVDREAEIALGNPLLNLQAAL GQSPSTPSTPASSTIGGGSFTVKRRWDDDLIFKNQASGLNDKSKKGEFVNDLLRSEFH KKFMNK
I206_02564	MEQIFGKIMKNPNDIRKGESNETEQGHPTSSNTLGQINEDNPDR TNVEPINPESDGNVNFSVGRDIIDEKEDVDCSIPSSAPDEQEGAKNIPLPPSHQNSFA YTPLSPHEKGDRSSGYFVHGGLPHGRQGLGDTVLDEGATMDRVIVQPPTGLAHKD
I206_02565	MSPYRPNPFFSTANQFFVPSVGDKVDNAVAQAKSFGQDAQAKAN ELAGKADNKFQDAKEAIKVTAQDAVNPPPTGADLYARFALAGALGCAITHGALTPVDV VKTRIQLEPEVYNKGMVGGFRQIIAKEGAGALLTGFGPTAVGYAIQGAFKFGGYEFWK KKAIDVLGVDKARENRQLVYLGASGIAEFFADIALCPLEATRIRLVSQPSFATGLASG FLRILREEGPGAFYAGFGPILFKQVPYTMAKFGVFEVASEKILSFTGKSKGSLTSGQQ TGLNLSAGLIAGMAAAVISQPADTLLSKINKSKGAPGQSTTSRLVQMAGQLGVGGLFT GMGARLVMIGTLTAGQFFIYGDIKKALNATGGVEIAAIPK
I206_02566	MNTRIFNSRLTNNFYSSSSFSLNRNRNQIIKIQKRKILTFPHPN LDLENGSTKFIIRCLNGNFKSQLHLYEILKQLEKKLNFEIFNFNLQKNFDNLQKPNST IFLTTLKPLHLNSSNSLNSLNSENSDSKIIEIKFNNNFTKFKESNFLGGISFNDIQNI LNSKENSLISSSSSSSLKEKEKENIEILQIKIEVQKNISIKKKYQPKNLNKRQRYSQN GFEASEIVKSLKKFKGGFYGGFEGLAEKFDHLIIKPKVEEEIKV
I206_02567	MPPVASGSTSSTWVEPTKDDKPPKDADLKTAWAFLQVGVEHMMS RMHLGMSYSYYILLFTAVYDFCTQPGKGGNTQFSTSRGGASLQGAELYRSLHNWLSDH CKKLREQSEHLSDLELLKFYATQWDRYTTGARYVNKLFNYLNKHWVKREKDEGRKEVY TVYTLALVAWKQNFFRHFTAQSGMSRLTQAVLRQIEQQRDGEDIDSGLLKKVIDSYVS LGLDEADAQRQNLDVYKEYFQNAFISTTEHYYRAESSAFVSSNSVSDYMKKAEARLQE EADRVNLYLNDSTRNDLKSKCETVLIAEHNSMMWGEFQSLLDADRVDDLARMYGLLAR IIGALDPLRERFGEHVKKAGQAAVEKVLPAPGATTETGKAETLDPKAYIEALLEVHTK YTEVVEGPFRAEMGFNKALDQACRDFCNQNAAATTSTKSPELLASYCDQLLKKSNKDL DAESLEAALNQTMIIFKFIDDKDVFQKFYQKKLAQRLVGSTSASDDSESSMITKLKEV SGFDYTNKLSRMFTDVNLSKDLNERFKEKERAQGVSADIDFQPLVLGTNFWPLVPPQT DFDIPREIRSTYDRFTAFHNEVHQGRKLTWLWHVSKNELRTTYLPQKYIFMTSSYQMA ILTQFNENDSLTYNNILNGTKISEQILKPQLALLVKAKVLLQDGDNYDLNLNFKSKKI RVQLNQVVKSEQKAEAKEVLQAVDEDRKFIYQATIVRLMKGRKTMQHQALIQEVTAQI SSKFTPKIPEIKKAIDYLIDKEYLERSAESNNT
I206_02568	MTSQLFVGTFVDTPSPGELRIRQNHLLGVDEKGFINHISSINSE SSQILLNNLSLLNLNPIELKKFSFFLPTFNDLHLHTAQYLFCGLGLNLSLIEWLNKYA YKAEERLDNDLNLTEKVYEILIKKLLKNGTGFISAFGTIGIESNLILARKMQEIGLRG FIGKLSMDQSPRPTYGESSVNDSISSLIEFLDKLEDYLSKFQSHERLVQPIITPRYVP VCSDELLLKLKKLGDERGIRVQSHMCEGRDQMDLVYKQRGKKDQDIWNDLGFLGPNTL QAHVTYLDEEMIPLLKDRQITIAHCPLSNAYLSEKQFPLREALDHSLNVGLGTDIAGG YTLSIQNQMRQAVVISRLREGARCEKLNCSFAESTNKGEKNLSIDWVESIYLATRGGK KGMGLGGCLEVGMEFDVQLIELTDEESPSGIGALDLFDIESKGIDSEEEWKRYIERWW CNGDERNRKNMWIQGRKVL
I206_02569	MSRPTRERKPAQIYGNMTSSDQAYGSDDDLEDFVVEEEQDSEEE YGNSAQGDEEEDVEVDQDEVENDENDEEDEEKNKKKSKKQLSKESSAKAKSNIKSKVA PISTSSSKNINGKAASAGQKKESKNIEIKPTVKKKNKKIVESDSDTEDNDDAIEEEQA EEAKDDATPVLKGKPQTKKAIEGKIVASPDQSENEEDVATSDEVHDDQLDDNNSASDG DDSSEVVVKKNAIPTKEATVKTSNKKGKAPLVKPATKAGTPEGKIKNALPVSKATGKR IELKPSSNPSTLGARPTLLKTVKGKKFVPLAPASSSVAPSSSSSLTAPSGMAVGKKTM SKSAKKAEDETLPSTATPKPTIQKAEKAKNTAINAKQKKNISNKKADRGKQESSKTHD EAGGETDDAEANEEAESIDGEEAEKAVSDDEKEAVNEVLNEASPKSKSNKPSKRSREE ANDQDKMETDDKPKEVTSKVDPRTPGNRKTSDSSGSTPAKKTKITKNTGVASDVEAMA HHEHEAEGNDASPQPSFTHERHSSDGTKSAKGRKSEDTSSEKKKSVKKVKQSHETKKE EMSDSANDEDQPFASSKVATGDSSMIWTEGAYPTPATPPKRKADSPATPCPTPKKSPS TNISPSVRAAIIAFMITPEYLKNVPFTSIQTTDINVQKLQQHWKQVLGTELEKHFKGE SPKKGKTTIDKSIRLKMWELICKSYEKVNWKDIEKEAEDGIDTTKLKRHFREVMMKAG KQYIESCK
I206_02570	MSRYTPLRSSITRLTSRQSPYSSIQNVARPSAAFTQQIRGYKRN PQPMRDVMTGEIIQTPDLDPSLLKISKTTTPKTPLPPSKLVFGKTFTDHMLTINWNSA NGWATPEIKPYAPLELDPSSTVFHYAFTLFEGMKAYRQEDGTVRLFRPDMNMARMNRS ATRIALPTFDGEALTELIKKLVVLDSEWIPKEKGYSLYIRPTLIGTQNALGVGPSSDA MLFVICSPVGPYYASGFKPVQLLATTKFVRAAPGGTGGYKLGANYAPGVVPQAEAAKE GYSQNLWLLGDEHALTEVGTMNLFVAFKNPDGTVELVTPPLDDVVLPGVTRDSALQLA RQHAKGELVVPGLPEKLVVSERKLIMADLVEAEKNGTLVEVFGTGTAAIVSAVDKIGY EGRDIQIPTGPEGLGNIAKGLLDRIIAIQTGEIEHPWSVIANPKSEV
I206_02571	MSSSNTVPETMEAVIFKEPYKVSIEKVPRPKLQNDGDVILKVHL AGLCGSDLHLYRGHEDAGKDYIMGHEVVGTIIEKGKAVKKFEIGDIVAVPFTVSCGSC WYCSSGHTARCNQSQLFGTPSLQGCQAEYVRIPLADGCVFKKPDNLPDELMLLMADIL PTGYSAASNARSLLDGPEGKNRKDGVCVVIGCGPVGLCAISSAKTLFSKVFATDLATS RLALAEKHGAIALPSSELKQAVLNATEGRGADAVLEVVGHEGALLTALDLVRPYGAVS SVGVHSKNINLNGGGLYDKNVKLQFGRCSVRTFYQPALKVLVDNQEIFKSFIENKVGF SQAAEYYELFEKNKVAKTVFVPGQ
I206_02572	MVTSPSTISAWLFNFFNEGRSQQGSGVGPGYELVASSELRENDE QCGRGPVPTSAQLSTLRHSPGQVPWAAYAIALVELAERASYYGVGGLFPNFVQRPLPP GGSGTGAPPPNTQLTPGALGMGLQVSTALSVIFSLLAYTMPLLGGILSDLKWGKFKTI AVGTGIAGVAHILFVYAAVPSLLHNGGAFIPFLIGLILLGGSAGLIKANIAPLMAEQY LPPSDYLSSLSNGEKVIVDREATIQKIMSVYYGSINIGGFIAITSTFAEKYVGFWLAF LMPGVIFLIMPFVLNHVYPKLVKSSNPNSSVLLETYHQARSYFSSGEHTKVNDIELDS NETDQANEFENILGACKFFAFFIIYNIADGGLNALLISQAGSMTTNGIPNDFLSHANP LIIVISIPLLNRYLYPYLSSNRIKLGPVRRVIVGFILSTLGMLWASIIQYLVYQTSPC GYNATTCEEVSPISAWLVLPAFLLSGLSESFAVVSAMEIGYMMSPPSLRSIINSIFLF MQALSAIVILIFLPIMKDPYLIWPFAISTIITLIATLGVWKLFSHLDDRH
I206_02573	MEHNYRQYPEISHPYPPSYRSHLSSSQNTSSLPQANYQKRREDR YNSNQLSVNDNPYAADQPLYNSERPVTRLQRSESESSTHPVLSDELGKGYPTGWTKED EEAEREFLSKGMIDWKKLSGWRFWIRKEWWYWYILAIVGVVLVILMSLYHDQIVDWMT PFANWMKKLPGGWAIPIGIFFVISFPPLFGHEILAVLVGAVWGLWIGFGITAAGTLLG EIGNFFAFEYCLRSRAEKHEKNNLNYACMAHVVRDGGFWMIFIIRLSAIPGHFSTAVF ATCGTGFWVFTIACILTLPKQLIVVYLGVIFATDEDTKSSEKWISHSILIFGVLVTIW AAWYIWKKMKQARIQVWRKRRIEAASRGMILNEVRSKEWQQSNSNHLDRPTINADDEE ATSPILHRHQHQSYQNPYDIRYESQDDMSIYHVDGINSNQSLGRKVEPEQDIGYAYKP SQLVDSFQSQPRHSQIQFPQAKSTGNQTNNLKRNQTTNTNYSINVNEQNSSEFPIPLP SSQVYGHVDHTKDHTRY
I206_02574	MSAPSKEPYTEDFATELTDNSDFAGVHFVLKSASPPLTSHHVFS NSIVSWQQPFPAPAFYLKWLSYGSPLYITSVRTSSGSKISISRQELSRAWVIGLNALR FHADLLEVVEDLAEGGANEERTKQAEIILHHGREWYGCYLELDWALSKLHQLETSGQP PQSWLIAENYDLHDLISTSQSGIDDRSSRSRKAISDLFIYAASHLLQLHEQGYSRQLI ELGDSVGFEPDELQYCYVPNLGLPHKQNRNEFHNEFPHWNFD
I206_02575	MATPSFVEQLDARESFQVDDSRNGSSLSWLYNLAGGVGIHHGTF YEIVRIRNTYSDRVINGKADPPIVPWPGARVIADRVDRMDENQIRISMEGCEVDEDGE LIPTDDRTSEIEREEKEIQDTINSDIFKSLPIIQPDNNLHFTKSPRTIQEIENLLSVK GSNHFVQLLGKTLNDKLVFIKYGKSLTDWMDDKKSKFLIKLKLIDLSSNNILYKNDKV IICDLESRWTTSGTRAPEVCQGKEYDKKSEIYAIGTLLWAIENKNMPRAHASLECTGI FKDIMEKCLAVDPENRPTIEEVLVELLELKEIGNVESGLA
I206_02576	MLVANHDDPLCNAWSYTQKSGILNHNGVFYEIYKVAGTLTERLF NTEDWNMLPQVSAPGVTIFGDEIRLMTLEEVQAREKEVDEEPEDLIEQDDGSWWTKEE SENAQKVLASETFKSLPLVKYDQSIHHAKVIRSMDELQNLIALKGCTNVIQIIGRTEA GQVLTRRFGQGLSEWIIDRSLDVPEEWKYQWILDVVTGLSQLHGKNILHKDLSINNVL YDKNHAVICDIEAGPDTIFQYPPECILGKPYTAKSDIFEFGQLLWSIENRNMPRAFRT LECSGVFADLMQRCLADDPDVRPTIDQVLQEVQQRQETASHSPLNDLHPAVSQDLVPK VDSSDFHSTSEQDA
I206_02577	MSAVTHTTAKDQVKATACEQITVANDPVEFYHSLPDLDHALLSI SKINFETLLDKVSTLLAPYGDKYGLSLLHRHFSLEEGEIMVESGNIMKPIIPAKEVKL YEKRWDRNGKPYEYSTEQQEPVPSELLKDFRKIVPEFTSLGIYSRKGDDETIQWMEYT DVDDRTHINRPRNKDSEDAPQTAWYSKDGAPLTRGCGGTCVKNTLRSGKVRSHTKIAH KKK
I206_02578	MSRIRACESKINETELEHPIEANKQGYENSDDCHVDLRDSWSYT EHGGYLDHNHIYYEVRRVPGTLSDRLMNEQEWELLPLVTSAGCLIKGDQIENMTLEQV EARKSELDKIYDDVFQDEDGRWWDDKDFAIAQSVKSSEIFLSLPLVQVDPALHYCKTA KTTDEVKNLLAVQDLPHIVQLVGRSDEGKLVTLRFGDDLGSWVGGPFVNGRRINLPES WKSQWTIDIVKGLKSLHKIGILHKDLTVNNILFKEDHAIICDLECGPHTYLTIPPELA QEINTEWDEKMDIYALGTLIWSIENRNMPRAHRNLKSEGQFAQIMAKCLANDPKDRPS IDEILSELENMTVREVMLEDSKVLTHSDIPTECPSEGISDQDDEELWRCWNDTKQGGY LTYNGIFYEVRRIPDTLSDMLINGSEFTILPQTTSSGLLIIGDRITTLNDEEVMSRRM ELEDDESDMDLVERDDGTWATEQDIEATRVAVESSIFQSLPLIHCDDDIHYAKVPRSL KEIENLFAVKGLSNLVQVVGRTADGKVVMERFGQNLTQWIIDGVTDGKINASEKDKVQ WILDIATGLVNLHDRGIVHKDLDLNNILFQGNHAIICDMESGETSVEIRPPEFVQGIE TVFNKKMDVYGFGTILWSIENRNQVRPHRILRPTGLFKDIMSKCLATNPQDRPTIHEV LTALKKFRDE
I206_02579	MPSAPPPFHLLRSHHSPISSVYFNPSNTLLYSGDQDGCITILDL KVRRVIAYWKAHQNGILGLEEWQGSLISHGRDNIIHFYEPLKKPYISSSLNENLKKGL QVIKSLPTNALNFCRFSLLPFSLKESDENDNGKGKSKEKEALLAVPSLMDSELVDIYH LPSMRRIHASVNYTPKPSSLTKDSIIPEESRSGLVMSIHLRQIPSSSALTLSMGYEDG RVELFNSSIDKLADLYDARMAKSDKDNPWKLLWKGKGHNEAIMGMDVDAQSIYGWTVS ADHRLVRYDFGKVIQDRMSKDDKTIIRDYPMKQIGNSCVAVNASGKIVAVGGWDGKIR LFSASSLKPLGTLSSHRETVHTLSFAHNQEQHNEEGGVITEEASTIDIEDESEEEEVD VDNLPPKERWLASGGKDTKVALWGLMDFEESSSN
I206_02580	MSTSSPTTKLLLNGEYFPQQPKRQNYSTFSEQNQNQNQNQDQNH SDDYFGNHFEDNEGINDNNSNSISKPFSHRRIGRGMTFEQVQAGGIISEHESSLNFEG IPISEDEIKKLPKKVRSYYEYLSTIHDHYVEVDNLLSGTLPHDIAISFSPQRNYMQRI GDLEEEISGTPGRRHSAWKLKTSRIIQDNQQEDEEHTGLLNGFSGEGGGGSKEEKRER LAKLALNVNTIVNVLLVAAKIIAVLYSASISLTASLVDSALDLLSTLIILGTSWAIGV KTDKHKYPAGKRRFEPLGVLIFSVAMIASFVQVFIESFQRAIGPADESPVELSWLGIG VMLATIGIKSILWIWCSRIPSSGVQALAQDAENDVFFNIMSLAFPWIGSFFAWRLLDP IGGMVLSTYIIFEWIKTLLQNFANLSGKSASRDQITRVLYLVSRFNPVLEIADVECYH IGDDLIVEIDVILPRSSSLHFAHDVGETIQCVLESLDGVIRAYVHCDYSSQNPSQHTS RPAPLPADQLRSSSNGSSDSGTPTPRPTNHILTAIKEGKVRSGGMNINQDVGKGDIPV ESPGEIEG
I206_02581	MVNTQPKLYKPKSKIRLRLGLGSPSQPQTKPHQQHLSPNPSPHN ELASSTSTNTSSISRTDSRSAFTYDFTLTPSPPNKEFDTISYNTISPESQLYQNSSSN VQSSSTLLTTSESNDDDGEYHVYPNQEDQYEEKEVEDTLHPAISSITSGTDDIFCLTD QQLTDGFTFIREIGFGNWGSVWLCKPKHHKHLLNQGRLSTNERAIVKLGKKSILTAGS GAGGKVAIKLVHRSKTPTTAARVRALWGEMKIIRSLRHEPHPSIIQFEAFVITPGYAL VIMPHLAELIPVCLPPWRATPYFRQLASAVGYLHERGITHNDIKPANVLLSHNDIPVL VDFGFAQQWDVGARGSFLSSISWGTPEYLDPIRAKGMPHDERASDVWSLGITMFEILI GRTPFEENEAEQFQTPKELLVYYERSKRGRWVGEWSMPEGLENLLRYMICPDPAYRIS AMQAYHHPALQPEAPSVIITPHFVRAAATSEGYENEPIPAPPVEYTEAVTAANEKKKK RKVKKDGHNVHKEKDATRHSHRATTPALGESIKQHTSIPKNYHAKGGDEDKENVDEKE RLSKLIIRKRESEIKDKDDENDDPTPTKVGKPAQPLRIKELSLIADKKVVPRTSNSNL TNLSRPASATSHNTRQAVLSSSTSTSTLKENRISVLSASSTVPKSKEEAVLRTMRSLE GTRKIVHTHGNDKKEKAAEAIAAIKRHAPEPPRSKSLASADDLTHQKEDERRILGLER AVLVDVREEEVDIKHDQARPMRTAEPMSPPRDKRSSANRQPTPSPQKTRRTGRKAEAE MDTLRGKVFPASDDESPLSELRDKILVSDKEKAVRYRQVSGGDQMEILAPPVAAPEGN EETAHATATQKDVRNSVDSYASTSASQGGLTRARSVEALQMDDRLDKMSSWIKSVESI IEDARRAIAEGREPPLPLLSLPKEIQVAAEDQDIQKHFGLTPDKAIPVHLRTSSTQVE PSTPSKWETGVRAMDIKEAQEKVLAANEWLEEQSRKSKKARPTVSHVLKLFGTEKERP LSGSRSNTPDIAQLVPLKPPATTPSHALKGAPSTPALRSSTTRGLTKVPQRKSESNLR NFNTMPTIPSPSFTAGPEYDPLSMSDEDDLSPSSPRRVMFENLIANQPGVVRQGDGWG SLSSGKGFMMGVKPSSSMASLREKARALLGDGSATKGERNITDLSKVGGHKVEKRSSK LNLQTAVEKDSQPPRPNTPGAASVLTLKNAEHKKGWLKSLKGAMGMSKKTGQVDQGL
I206_02582	MPIPMPRQTTFELFPTLTKDQIDAARTSAWYDTFEDITFPSTVI DLAELGEDEDFLHWFEADSIFLPEGSEGRLASITPTSQAGPSRQRSNSTSSSTSSSSS SSEAPIYQLPKINSAIRDVIEKYDGSVFPKLNWTAPKDAAFILPQTASGPLYCTSPSD VYLLLKSSDFIPHDLDYKRAYLGCNQEEELIKNEKVKLELVLKKFENIIPSREIRCFV RNNILIGISQRDTVYYDHFQNEEIRNEIIQTIREFWEDEIRENYQGGDNYIFDLYISS NFKSAKIIDFQPFRSSVDSLLFNYEEILNILQKQNELSFIKLPIFKFIDSKSHPESNR NVPIYQSNMMPIELIEFSQGRNVQEFKEAWNEALAQGMTD
I206_02583	MSLPSALQPNNLSDREDASIMTNLSISRTAYSGISENLHETIDK PGIPPDIPPFGDQEERYDWITSILRVVAHGITRQEEDSLHAHKSSGEMEDEKNGPGSQ ELDTSKSALAIETWNSSRFRRNRNRRRRSHP
I206_02584	MQQHPTILPPPILPDGTQPIRSEEKEINLIRFQAELEFIQCLSN PQYIHSLAIQGYFSKKTFINYLNYLEYWRKPNYIKFIIYPTCLIYLTLLQSEIFRIRC SDIGFINELIRVGIKHHETWRVEKPPSITNGIKDEKTEIHVDNNQVHEEDEG
I206_02585	MTQLPNDTQPEASTSASAQHQQNGHITEDEASLYDRQIRLWGLE AQNRMRSSTVLILSLRGLAHETIKNLVLAGIGRLIVADDSTVTQEDLGSGFLFREEEN AVGQNRTKAALPQISSLNPLVSLTALETLQPFIDGSESEIVDFLKREKVDVIVACDLP KSQLEAIDEAARKAGSMFYAAGTYGFYGYVFADLGESYEHVYNQKATPENSTPGLAKK ILSYPSFNQALSSTNWGKPAIEADQGGSPYRGLTKNQTRDAAPGIVLGLLALWEFENI NSALPSGEEIQFAQIKEIAERLRVDLGINARSLPSVDEQMIQHLANHATQFFPPTLAV LGGLLAQDVLRALSRKDRPIVNLLSVDTMGGVGVVGRWNMGDGVDA
I206_02586	MVVIAKKPKFDPAAHLHKHGWKGKGTALKHGHSIKPLSVVQKKT LSGIGKDRDEAVPFWDHIFAATAASLFSSTSSSSPSNLQTSSKWAPPPIIADEKGKII SNQQPIKIKPKLSINATARAGRELARRGLYSRFLRGKVLHIKEEDVTDQSGINTPKEV EEVEEIIAGPSKLPKIDRELIESSKEQKDKGTGKGKGKEEESKEERRARKAEKKRLKD EKIKSKQSSDYENVNINEEIKSNLKSNKKDKKRKKKDNENENENENDVENEEKSDKKR KIKSISQVELNVNNDDIDLAKHKEGKRIKKAKN
I206_02587	MSPSSPAGSSSSSISVDYTNVLLALPDGSIPQNHEDLKSYTISS IGGYPTFPPLDNLPNEINCGICHQPIPLLSQVYCPLENGENDRILYIFACARLKCQKR DGSVRAFRASKRNEEYVKDVEEKRKIAEAAAEAEREKARKNPFTLSSDSQPSGTLFGS QPLFGSSIPAPNPFAPPTNASVRATTNDTTPDISKLSISATSSTTLGPPVPAYQPAQY LSTIDEYIPPAEDVDFESDSEIDGDEDMKATMLDDTWERMLPKHLDEVFQHFVRKLEA SDGGSKQVLRYEFDGIPLPYSSASPITKKLFPGCEKPLKKDEELNLNELYKGNSDKGL EGIIPPCSNCKGPRTFEIQLVPYLISLLTPNTISTTGEISVDAKKKLTEEERKKELND LAKKIKEGKRDDVINEMEWGTIMVFACKRDCIGFTEEFVGVEWEMGLSI
I206_02588	MTNNNTPRLLPQLQPGRQNLKRKREASPCHFGVPRPSRGPEKDN SSISPYHHHSTQIPSVLEDPSASIPHLDKGKKRKSQSKGWSEGEKKERSNRRRGECRY RRTVEKPRPPPSWLIASSPTYHPALPTLPIPSTSFGIYRRPTSHSETSQTTVQLPLLS TNPHTSSTTYMDLSPEARAYSTIDPCQIATAPLSQAALSQQASLSPSDLLHPSSLVGK PYLSDQYQIDPISQGPWAHCPSLTPSLGWNKGKGSIDDNTFLGIAVTDPRPPMLQPIS LRNVIPVISPHPHPSTQVQSRLADITNTAIYGNWLENPYS
I206_02589	MSQQQRYSAVPGFGPNGTYTDNIMENGVTGNGNLAGNRRNSDFA QVSLLMSTKPKFGQSQSHISSYKDIPSPPHRSHSSFTSNTVGGATAVGAVNMPLMDQS TSRPFASDYARQNIPSEEYFPNTNGNNEKYTGFTNSSTRPNAGRKNKKWLIIGCILFG IAALIGIIVGVVVSQVKKNNDNSSSTDKSNSNNTSVLNDSNDPSDFQKNSNLHQSFWG FAYTPQDALLPWCGATQTNVTRDIQLLSQVTTRLRLYGANCNQSALVLQAIQDTKVNM TVWLGIYVDSNETAYDNQVTAVTDVLKTYGTDHVSGITVGNEYILNTAGTDSTTSSIY LSATKTITDKIQEVNTTIQGLGLSKTLPIGTSDAGSIMSKTLGEGIDYFMANVHPWFG SVSIDDAAEWTNTFFQEFDVTPAALASNNPAVYIAETGWPTQSMNASEANDGAASPGG DASIANLQTFLDTFVCQANNNGTGYFYFEAFDEPWKRQYGGVEPYWGLWDSDRNLKNI TIPTCS
I206_02590	MAQSIYSLPSITSSLIGQKAIKYTIDVNTNYNVVRSEKGFPYIY NFTKFNLDEKSQINSKGISSFNKFKTFFSDYKNNIHFSRGFFCKLKSSQDQDQDEKDN TKRWEGYISEKGLRKDQIEPLTLFIFNQNNNNDNEYTIHHLNLNKEIRVKLCELMRNS RFSRDSKEKSIKTNLKIDLPFNDDDDFNDINDISVDINFKIPILYKPEKNENSVLLIN TLSQHQGGFPGFQYQDSSNWSGRLSWFEVQDSTIPVESSQVNKEESFDSDKI
I206_02591	MDDEMDNYSSDSYGSSYYSYYHRSVTPPTPVRAPPVTNYDIKFV QGPGSSRGKCIDLAFILDCTGSMQKYINSVRDHITGICEMIRGEEGLNGPDDVKVAVV NYRDHPPQDSTYVYRHHPFTSDIPKVHEYLKGLTASGGGDGPEAVTAGMAATLTELEW RREAAKMVVLIADAPPHGIGEGGDQIKGGDPDGHDPLVIARTMAQNGITFFMVACEDT LSGYSHAVDFFQAICNMTSGVMLPLTTADLLATTIVGSVLENMDMERLIAEIGVEVAQ RIRQKGETMESVEEVAQELHERLLLRNEQTKQVYLPEVYAPHENAKKNVSTWMNSPYI ADAVPQILHVPGKRLTEKFRRQHYSAGTGYGYGRLPPRKPVPVPTIKSEKDIEVPPTP ASMESSKPTSPASPARKIISDFKAFGATSPDKSAGSPLSVFGAPMLPTGPGGGMFGTA GKTPLVNGGMRGNFGDDDDDDEDDDESGTRLKRDNISLDQARRIATQAVFRAGRF
I206_02592	MEGMFLSPTAELSSSIVAEPSNGVEAVPKKKRSREEKEARREAK RLKKEKKEKAAEVNQPTAQLNDVFTDNGAQAAHVIEEQEKKEDKEEKAKRKAEKAERK IEKQKVKADLESSDTQKINGKIPKQATSKKIVSSKQSVPFVEIPTTQVKKRNRSQTTP LVSLSQAGPSNPSTPKRSIITSRAVRPPSTVKSRASSSSQTPSKRRKVENEVDDATLR ATLTDQSSMEDWLANNWVSDKELGRLERLGILRYKKGKLTEDEKIAIRKVLETYQKVH RLNTEQLVDTIMAGATTFPSGREGWQAFWLELSAAVPGRPGRYVLKMVQRMYNPNGHK GHFTPEEDAMLIRAYELHPNQWGKIAEIVERTYHDCRDRYTKELRHHNTRVTGEWSNE EIQNLLDCIKKMNRSLGRDEMESEGIPWELVVKEMGGTRTVVQCRKKWSDDIYPTKAW GWEQGHKRENDYALIKRLEILNYPSEKHIVWKQVYDESLKHMKIHQVRQMYYRLKAKI PQADNLTFPELVKRLRGAAESLKSLCRPIRPSKGVIESDDEKDDDGNEQDTIDKGDNE VLSESDD
I206_02593	MRVRLPPSVITQIESHVRPTFHPVLTLSNPETTLPTASRIPIPH SESWPKEKRIARPLSAPVPLSSGYFFRTKSKNQKSHLTSAHDYLSSESLEISNSTSCI RRQVTYSRLIVGFLDHPNGLEAIQSLIERSLKENIPISIPTLTSILHSTLRSEDLNDR RSIVNMVLPILPEKVDIPLLDVLLRVIIRDIHPDPSMIEKMINDCLSLPKEQKDNIND VQKGKSKGKENWPLEIWDLLFTSYYQIGDLKGSLELLEEYKQVFHNLNLVLIGEKDQR AISKVYTTIMNTWRRSKQINKEESNFPKNLAKDLVEILGKEIKPSLGFLNSWMKAEIK TGNKNNFKKVWELIEICNEVEIEKEKNLPNEESWLSLFQFYFNNCSNNIEKDTNKNKI ENLIKELFKQKKLYPKSIKLNISIFEIIIKCCFKFELNLIIILNLIKKLNYFKILPNR KFIDLISSEILKNIFNNKNLTINQQVKLNFLLTNKSSFLTILKNNNSNKFEKQKKKKR MGLNYLEWDLISEIINNQQQTHKQDFIWLPLSMPIARLNYQNQNFISSHNNFSQNSST SSSSSSLEIQNTNYQNQNLPEKVLPSLIILIEKLINFTECDKEHKSPKFETNVNED
I206_02594	MPSSNHLPPGPSGNNGLNPNNSNESYSRGYPQHIPGQNQPYLPP PYVLPHQQQYQQSQPLYHSQPQFSAQQKAPEPKSAKSFEKKRKSPKVSAVPAEGPAID LDAVIPAKRGRPRKTAISTPVLAEQIPIKIDPQQQKQKPSIMVYPPPPPIPGERYNPS SLFNGNGNSGNSTPVSRENGSLPPMGSWAPPASKSPPNQNSSRQYNSSPDGAWSSNQP RTLHSQQPWSGHQGQGYHTSLTSNKPIKRDREISQDDSRPLSDPGEMEASLALAGLKR RDSAPTNNGNNSNKKVKKEKEDKNAANKKAEKDGKKSCAECRRLKAKCDRVFPCSNCR RRGCALVCPEGDLSCMQGKRLVLASTEQLHERVAQLESALFQSHSRISTKHHPLLAPE YLDGGFASLPPPPPLVQQDSRGLTHSPKSGSGSGKEHSPNSSMILATPQLSAERSSSQ GRMAVESLLTEDAAAPEGKREDEWAGENAAPAMIIGTVGNQAGEDLDERHQVFERLKK ILRVLPSREQVQKSAENFWRTSVWYQTVLHKEEFDAVYEPAVFAPTPSNPLSPHKLAV VFIVLTLDTYLDMTNEQENLIVAEYWDAFQRCFDTRFGWAASVAGVQALALATLFVGF GWRGARASNFYWLRQMTSASMQLGLHKDPHPSLPEEEREFRRRVFHDTFVLDCLICLN HGQRTAIPIEYIETAYPKNLAPLSLKKFEFMKLVKAQVIDIGCLPDSAPAPWEEVEDV KKKLMQFDVTAIPWLHCPLLRGEPLPPPVEGFTGDDALALQSTTTSMCHYKAMLYLFR PSLRRLVARLRSQSRESVVFSDADRETVSMTYRACHAITLTSYYMARKHPKLMARCWM VWVQTFSAAVSMAALAIWCGPHLESTFVGSAYQELSEACDMIGENGSKRSLGVLSLLP ILKALVVNRYPQVVGKQANEASVSVEGEDMLFALLGGQVDGRDLSHVSTAPNGASINT GVPQQKQQKQITQEPNQTKPIQPSTYLGQEITNQTIPFQESMINPNSAPEAYAVGPYA QPNPNWFMGNDESNNSTTIGDISLPLAQSVPTAIPLVNSSENNSTNTNGEIIENPTEL WARLQTFYEPTPIFWNGISIGGMGMALNGISNNQNLTGQGQDIGVGVGFVDYSGPMY
I206_02595	MSEGSIWDDEGWDKPLYSIHEHNCPLNQSLKASQRKFARPGTII PRGHDFSSLPSVSKLSIGHSRNSLGPFEKPRSRPFSPARLDTNRINGMVRTHSRSRGS KLGSPISPISVASPSSEKSRKISVESWSASPTIIVSPATEEDNLFSSEVIFNNSYDNS IAIPGISDAFWSLDNQKINEKVMDYLGKPLESIKNRKNSIDFNKYRKKGDLLDQMNIC KFSKTEQRFLLVVVGSRNHSVRFRSKDSSYNPEWFCEDGITYRLPDGDFSIELSRALH HIAWKRRTNKAVGNDTFSDTINKSCTFLRDTLKDLRERVEMYDHEVYPADTDSGEKIS NGERNSCDSEESPVLKRDKSTGLSSNNSIDQYQDVTSYGSWRSPSKSINPFKTQNASR GRSAIGTLIESSAFDATETLQIRYEEIVAERMGTCRELKQLLNASIFPDTRF
I206_02596	MVTSRKVSYILPTPEKQPPLLSLPPLGQQRQGHPSPFFLPKIDG SNSGLNISGGGGGVSGSIGNRNPFLSTSTNNENSSSHARHCLGITSLALDSSTLLSDS NSPGGILYTGGRDGLVASWELNLPHKKRRGGRYQVQPGRGERVKWERIGDGAEFFDDD EEFDEEDDNDRLSSEDETDGWVGVTPKQKGEVPYEDRWEIDREELNNTKPAPTTFRQS AQTHTDWVNAMLLCNLNQTVITASSDRTIRAWNPHASSDDPASLSPSLVGSHRDYVRA LAWAKYPGLLFSGALDRHLSIWDIKSQVHEPVFNIDLTKVDDFGGVGLEGERGSVYAL GVDHAGQVLAAGTPERVVRLWDPRAGDHSIGKLIGHTDCVRSIIFSEDGRYMLTGSSD TTIKLWSLAAHRCLHTYNHHDSSVWALHSNHPNLERFYSGSRDGYLCVTDLEQCTDIS DGECVVLAREGEPQREGSNVYESKTGDEGIRCITAMDDEYVWTATGSADLRRWKDVGR RIDRLNKEYDGLSYNLPTDRSPPAEVSLTVPTTASDPLTVNGHQADQLNGQHEDLRSI SFAPTDSPRNGPASPVSALPSAIRDRLTGSSSQQRLSTLSGASIANSVVSEDGRSKHG LNGIPYQSLVCLGVPDSPYSFGFSQHRQEESIPQGLNSLLKPEDGESPRRISFHTERD QPTARMEYEDREVASEAIPLRTEPDEVIAGRSGLVRSLILNDRQHVLTVDTEGEVAAW NIIKGVCVGRFSTTEVAEALHLERGSGAEKAVRKHSQEVLEMVKERVEGETMVITWCQ VDTKIGSLVVHLEEGRVFDAEVYADELGIDGFEGSKEDIRINLGKWALANLFRGLIKA EEVEVRDLAINTTTPSSVTSSLPKSPGLGGISIERPADIPHPHRKRAMTGSFSNPKPP SLNIPGLVSPASRPAILPEAFEENGNVDANLSRSAPESNSFFQNFQALKIQQNQSAQS PSASSSYQPNTLSPNTERISNNRDYFSSKRKGDPSPSRETDKSSVLNTPATPNPESKK GFMGKMKGLGRKKQAETPMSPVVERIVTPENDGPKVSDREAEQLQILDTVRSHRFSPP GQLEAPFIPFPNSTALLISEESKDAGAWVVTYRSQVSSTERDMEDLEMNSPLWLLDYL FANNIRQKDPVKFTFILEPAPGSGLKELPEGSARLSASRALRAKKISAFIVDKLDLYN SNNNNKFKLPNNLMIKHTTSSSSNTKRIAMDENLLQPEEIIELICGNEIVNPKITLAT LKAYYGSGPDMLLHYRIKKHD
I206_02597	MALSKCHIIIISLLSIFICLIILLFIFCIYSSRKAHKDLIISQN SVLGKWKDNRISNSNILSLDSTKKKHSNLNECYRNISLKQIDVNDDIDKISIKTEKRG SGLAGIGTFSATTHKISSSGIPFPQHQNIKIPASNNIYDFKPDVLPKARPLSWSKGLP NLTRTRTRSKSNTSEKSKSSYKFTKYKMNKAPELPHIPRKNSARSLASQGCRDESLRR IILEYGENRDLRKDSISKR
I206_02598	MTLSVCFDALGTCFTLQNIISAVDEKYGEDLAKNGWGSKGFVMD WFHSAQRDYTYLSLISSPSPPIASILKTSLPLSLSSAISIPPPSESEIEGITSKLSEL PAAPTLKEAMTHLVNKGAKIAIITNGAKSTTESYAAKANISDVVKKVISCDDVGLAKP HKEVYESANQVCEEFEGKEVDKRERWFVAAHLWDLVAAKKAGFKTGLVLSEFPDELVD NDKQEESLQKWYKLYGGRPDVVGKCLLEVAQMITS
I206_02599	MTLGGRKLISFGIDVDAVAGWLGSYGGEDSPGDISRGMFAGEVG CPRLLKLFAKYNLKTTWFIPGHSLDTFPEEMKAVRDAGHEIGLHGYSHENPTAMSAEQ QRDILEHTFKQLTEFCGKPPVGSVAPWWEVSKEGTEMLLDKGILYDHSFQHHDCLPYY LRTGDSWKPINYKEQAASWMEPLKKGETTGMVQIPANWDLDDLPPMMFVKGSSNGFVD ARTIENKWKDHFTYCLREYPDGFCMPITIHPDVSGRPHVLMMLERFIEWVNTHDGVEW VPMKDIAEQFRKSNAPPEGAVMPKGL
I206_02600	MKKSIIFCLFAAFGGWLFGYDIGYISGCLIMPDFIHQIQGPDAT AITSHNQSIITSLLSAGTFFGAILQAFTSDRLGRKGSILFWSVIFTIGVVVQVSTFGL AQLTAGRFVAGLGVGALSAIVPLYIGEAAPKKLRGTLLVLYQVQIISGLFLAYIVNLG THHIANSASWRIPIGLQLIWGSVLMGGSLLLPESPRYLLGKGEEKKAIKAIAMLNDCG IEDKLTEEVLNEMSYAVKIENEGGKAGWLECFSTRSRMWKRTLNGCMVQFLQQLNGQN FYYYYGPVFFEAAGTGLDAYSIQAILGGISLVMVLPAMWTIEHVGRRKSLLIGSLGQA VCAIIAGLVGHFYTDVGGVSESKRQLGGNIMIAFAVFHVSLYSMFWGPTPWVLMGETF PLRVRSKCIALAAATNWLWNFLLSYFSPLIADDIGPLILLVFCGCLVFAFVYVFFMIP ETRGISLEEVDELYRTKVHAWQSNSWVPSAQRNDTIEKMEGDPRPSESTYVPPQVTEK KVTEQHVENVPSE
I206_02601	MSDQIPSSSSSSSNAGPSKPSMISSTFTIPLKKSAEKVYTGPSP DQLSAPRTRSQAEKYFARVANENVLPTRANKLLGVGGWVLGGFACFYMALFADFGERE HVFSPVRRQYASLKQSFFTLSPSERQMMGINDVRENEARPS
I206_02602	MSDIHSYSVETPKSSISLSDLKDKTLLFVNVASKCGLTPQYKDL QALHEKYQDKGLIIIGFPCNQFKAQEPGTDDEVLQFCQLNYGVTFPIAKKGDVNGPET QPIWKYLKENSQPPVETIDWNFSKFLVKDGKITWFPARSTKVADVEAAL
I206_02603	MSVSTSSGHLSSRAQLDNAAQSASETHGTKRKRKVTEVGWDGSG GLRIANGIEYRKDLDKIHNITKRTFKEPSWISKDLIHFFTRTTPLHNPIPILDPCAES VLLLNILLANENTNPLRRKAFAKPQLMDGSGHESLKFRVFPLVMGPLHLVTRFTGLSV PHQLDSLFCDYLALIDRDRMPSGSYNMIGSNCLQFGIRSIRRNINLIVDVHKYEEIGR KLPAKRIIKLLSSIITKRIARQLEPLEKLCLQQSTDIYNFACAQIGHQDNDAETMKPP GFHGLGTTLEMGYFEDLIPKTPNLLLNHGRCLSIIIQISGQASYIRFPQLNLNAKLSK GNVLVAPLGTLLFHAVDHLESEQDDYVEDINRTDHSEGTKCIVIIIYTRRGLEIAARD DEMRRNGNGA
I206_02604	MPAPQNCQEEACAIQSCLTKNNYNESACSAYVESLYRCCQKVYQ DADKSGKRIEESPSTACPIRSVVERKMKRIEAEKSEK
I206_02605	MSQDMSFSERQELHEGWIAQCNALTTRNHRNTADGDYLHKIMTF SNLFDNKNGTYNTADYSDEVSNRAEYGLQNRLNEEWVSLRDSVSRNLCSNNLDTAYLR DTMNFSVPFSGPTTAGESSRACPEPRLDNATRIPTTNIDNTTSSKMVGPKKSKFEDQS FNFPERAATHLLDNQTSVEILPLPTWARGQGSLLKRFAPSEFKNDPEVFHYTKDSLYI NANSALGWMRQYEGDIIDQVRNEFMIGTFRDGNLAKRTFQRDGPLNRFTRNAMTLAGD DMFHSLAQRSTGSHLPEDENLIVQSWSVKQLDEEINPMVLLEGTKAYMDAWEGVQKGG KKLKKQAIKLAHSSAQKQNNGRGCV
I206_02606	MSQPIYSTDTEDYDISQQYTAIVHDGFITIAQERGMQFQISDKH GTVTCDESKPAESRPTTGPKSYNIGQHPSRGQRPDLTTHTPQRRIENPPMILPETGND NSVPGPTSQVDRHMDYLDTIHNQTSGSSNLGGDTGEYFNSSGNITKKSISDSIGNIRS IETATRLGKDPINTSDLLKYSDEMFRDFHGNADNLILPLPEWAASPLDSLGRYHGPPK STVFTGKLSHYDSGQKKFIINAPHLMYYASKYKPKLPQTEGSIQKLEDNWYLETKKHK PDSKAISLVKDKRGCAPGVFNRALIHAVTYITTIDTNINSDKEEITETELDRQQIDID TLVYVADQMLQPREGYVQQVSKTLRRQRN
I206_02607	MYFPISDKQDTATRNESKPIESRLTADPGSYISGEYPPKIGHHG FVTQQEGPSDFPSSHNHDGITRYAPTSDYSIPNVSITSESYPIRRFETIYGEEASRIN KQGLSNYSNMIFHEAKKSTVPHFPFPEWVNDYLDGNGRISSTKITDSDGCLSRFDPTQ NKFVICANNVLSYHSKFKANLKNPKGSIQELADYWCLTAPISGQIRADRRNTAPTLFN RVLLHALDYVTTEDSKILSQVTASGKKELTSEDLSDLGVGINTYLFVANEILRPWEGS CRNGKTLKFKKKRI
I206_02608	MIGISGKWAKVFSRGLFRVQIINASFPILKPFGPRSSLLSENED PGPSEKKQKTENKYDIEEIPILKGDFIGFSGGVGASRFAHGLKAGFQSCEFLVGGDRD GQVLANAANIWERGEDRNFTVVGAPKHGSCC
I206_02609	MSEPHHPLLKNEEIDSAMKGNKHYLNRDAERISVCMSDVVGMQD SGLGVHKVRLEPNGESTQIHYHLHDSEWLYILSGSGILQLIDSSLHSPDNSNNHPRNG SSDIPKLDTPNLKENKIEIEERKVEPGDFIGFQGGIIASKFAHGLKASSEGLVYLMGG TREKLDVCCYPELGVSNIADAKSGQEVIVRFNPVDTSGKGAV
I206_02610	MAEVAPPPPPTAISDEEPKVQVDDDVEMAPAAEGVDQETTEITN NGEDKADSEEEQQGDKLPKDACETLYLQNLNEKVRIPVIQETLYSLFKPYRPLHPVIA HKNVRMRGQAFISFPDVESANEAKKDVSEFPLYGKAIQISFARGRSDSIVKKVEGDEK FDEHKAKRLEEKKLKRRNNPLRQKAQAKLKAEETGAPQQKKQRLQMPDEYLPPNNVLF IQNLPEGTTSDDLREVFEVHAGLVEIRTIPAKKDIAFVEFADENAATVAKDALHNFKI DGETKMKVTYARK
I206_02611	MTLGQSNNEAAKQDLTPDFFKSDADKAKDNVEGGVRDLTGNSHG HDTARQGHEGLTNALKSSGEQSFTDQAQQQLDKGASHVQPNESKSTTQQARDYVTPGN DSAGATGILGQVGNTISNAAAGVKDAVTGNSEKP
I206_02612	MTSITQTQSQNTGTGTTGILKLRGHEEEYLPELKTRGWTVVKNV IPQDRAKEYEDKAYKWLESFGKGFDKNDRETWKVKNLPWFSKGGLFNRHGAAHEQWAW DIRSEQGIIDTFSKIWGTDELLVSFDGVNVSLPFKNEDLGNRGKPWPHVDQSPNKKSK ECIQGIANLVENGLNDGGLMILDGSFSLYNKFFEEHENLKPENGWDWKDSFSYPEETL KWFEEKGCKWKKIQAGPGDLILWDSRTVHYGDAARGDRARVATYVCYKPAKGISAEKL EERKTAIKEYAGTSHDPINFRLTGTNVDGPLSEDEVQLPKQPAVLSHRAQQLAGIVSY 
I206_02613	MISLADVKRPTSPGTPAGSSSAFAVARPHGANAVRRRAPAAARP SSARAAGAGGSSNTMLKLYTDSGEAGLKVDPFVVIVLSLSFIASIFFLHIAAKIVRAF TK
I206_02614	MPVPGIIPSSFLPPLPRNQYTLSPLLPPDILARISILKELYLPP IHGGFTSADVFDNDEDFTSEKKVVRTKKRERRFSAGLVETMDNIGLGLDVSLDQANGL EVLDEEESSEISDEGEGTDSDEEEEEDSQEHLDPFEREWSEKWLGGIIRRSQNWLEEN ETPLDDVKDVEIILRDATAVLAMMAGTSAAGSLTRHLVFPLLPSLAPALSKQSRAIPN PALSPETNTFLASLSTSPTSPKDNFHSHFRRSSNTTISSSPTNTTKTNQKIKKRKEKK AILPILLHDAPMGDHLSVGVQTWGSAILLGRKLALNPEEFGLFPLNNNNKNMRILELG AGTGLLSILCKKLLDLKSINEEKEKTSKHLIIATDFLKSVLDNLKICIDLNFPNNPLI LENENENEIENKEKNIKEGIHICKLDWTTFPNFMESNEINEMNETNSEEEEEELKPFL NNNEGFDLILASDCVYDETHAKLLRKVVSWTLKLPDKNGNNGGTFHILSPLRPTFAPE LESIDKNFPNLSTYLPLKQRQDNLNNNLSKLPESEKEEKDEELKGEGLGLNKGFKIGV KGNGKKSVKGRKGEGRVDEVGGYWWWEVGWG
I206_02615	MPIRLSNSDNATPSSQIRVLISGKQYVIKREGHTPRIATILDTR VNKAGVSEVYISFVGQDKRLDLWVKNSDVGEEVSQPEAGPSNHSQSSQVDTPSKVNLE DETGHSTQLDRTKRAEDSPRSNASTPEREHAAMTRVRNFEDVRFGEYLIKTWYYSPYP LPLNDSSQSHTQNPHTPQPESSSSKKRKLTHNSSFTSNKDDPTISGIDQSHRPNLKHG RTVNEMYSGVGKGGEGARGRLWACDLCFKYMKTRAGWDRHTSSCSMLQPPGRKVYQRG SYTIWEVDGAKNTLYCQNLSLFGKLFIDHKSVFFHVENFLFYVLCDAATSKRDQVMAF FSKEKLSYDDFNLACIVTFPPFQNRGFGKLLIEFSYYLTRYSKSPGTPERPLSDLGLK GYTAYWVSVILRFFKSLLSESIPFKEINESNQKIKSPIKPKTSINGEEGRVLRIRKSI SSISDHNQYTKEETRIKVGNSEYKKIPIINYKGQYTISITLEEISKSCHLRIDDVAFT LCELGFLINRRKIIIQDENEIERNDTKRNKNTILSINHNDNNDEENEENDIEENRKLK EIKYEHEHEISEEWKNLEIVITKEMIEIQWNHWKIREKGVLEDEFVLL
I206_02616	MCGRYALALSNEELYDGLEARLPRLFENGRPRWEREQDNRGGNY NVAPRQRAPVIRRDPEDGERGMIETMQWGLVPHWSKHPPTGPLNTINARSEGLLDASS GGMWHALKGYKRCIIPAQGYYEWLKRPSTKIPHFTRLPLDKSTTIDNPPLLFFAGLYD IVKYIEPVQSKFIPSEDPNDKRQAYPTGNPIPLSTYTILTTVPSPDLKWLHDRMPVIL SEWQDIYDWLDLGEVKGWIEGKDGTGRLLNSTEGLDCYPVPTEVGKIGNNSPTFIQPV SERKDGIKSFFSKQQQSSPGKVKKEETSPISTKKQQPSQEDESSLIRDVKKEDMKEEA EGIKSKISQKDEEKGLGDDSNAPNPIESEIKPEVNDNIGKRKREDGEEKSQEDDEAEE KPTLKRGTGGHQTKVIRKNVDEGDKEQPAITNFFKSPSQTKTQTPSKTASGRKKKR
I206_02617	MFSKSLAITLLTYLTAITASPVSTRQNGLQVINNCYQSGQVALT FDDGPYIYENDVVNSLNGGRGTFFLNGNNYVCIYDKVDEIRALSAQGHTLGSHTWSHP DLTKLSEDEINQGEFQPYFFLSISPQLTKVEQAFIRILGLKPLYFRPPYGSYNDLVLN VLAQRGYKKVFLWSDDTGDASGESPSYSEGVLESVANDYPKPHLVLDHSVIQTTSTEV LPNSVWKLQQAGYQLVAVDTCLGDDGEWPYEYVGEPGTPDGSWTC
I206_02618	MSPPKLLFRSPTPSPDVGTEVEGKTILDEEGISKSVCPNSPGLV WKKKEILESSGHGIGGSRKMGVGKGKAGWQDLPVNILHLILSHASNHELPPNCLNIAW NGRPRSQEIIQALQQRIELCELRKICFKWKNAVDSHPFWPAFTLLLDPSRPHCSTLDD IHSASLIPSTPSFPTLFHRARFTTLHVCLACRLNHPCRIGLYPAVKRRITYTQRLGYA PTCEKHYLHTCSSCMREFGIESLSPIGNQRRWILNTPSPTDGGVPIDKGLIPSNRGDI DEEGHRRYKDVLICKACRFMAISNELSRALVSCARGSQVRGLNEDWTTSEHIVEYIER SIDTVPIQVERALEVRWLVGHTRYIELIATAQELQNIEQTMKMEFITNGIVENEYAKN YRLQLEYELRGEDYKEIESSQDKIEKVNMYNSWQHQLQAKRRRREKTSMHHLRSTAQG RWVLNIMPDGFDHDDEEEEEEEVEEIGKNGLNGKWETKLQAGCVNDWLNDRIRFGFWV SPSDEVGQHSISNRPEIPSFHTSFRDISLNTQHPFAKYFDIDYDSSNAQEDSAGLMNL DPPITRVDPFLPPQRLLESLDKLYEEKLRRQLHFPLKRLVDSIKNWFEGDEEQAERYC SSLAIHKIVEQLNSWELWTPTNVLEEMKRSVNGPSHSEGPSMAPGTAGPQHQQQQKQE KLPSPKIELVQDEYKSPTLADYGIRKIEFAVPGENEFYEAGEVAQPQLAKEKNQSPNL GKRKALNDGADELSIADLPKKTRHSPAHERSVPLSVSSGLAAITTNTMDLGVQSTGSP NSPEEIIRTATDNPKSSSATAMNEQYVPPKAVHTNPIFDSASPSVYSSTADGTLIPEE EDADDALFERSETEASSHDTLGTMPITPETEGDWTDISNDYEVDDEVPNSGGSKIIGH QQEEVITILASATGPKPFVPETYSAISSSDDSYVTAEFEEEESVEGESDFKEKGIKAM DLLKEWILNDKSYIPFLPISPNGKKWTFGKDTEKIIMDLWYDQREILRRCNCKICERG RISRYGNGYDQPIRGWLQKTFGNFGN
I206_02619	MSEPMDIDPPTSSVNGNSNGNSKPSNAMTALMANSKGKGKANEG EIENKILSDIEIKALNDKEGLPWVEKYRPNTLDEVVSHQDITSTIEKFIEAGRLPHLL LYGPPGTGKTSTVLALARRLYGPPYKKHILELNASDDRGIDVVREQIKNFAMTKVLFS KGFKLVILDEADMMTQAAQSALRRVIETHTKNVRFCILCNYVNKITPAIQSRCTRFRF SPLPQKEIQRKVDEVVEKEGVNLTEDGREALLKLSRGDMRRALNVLQACHAAYDTVDE TAVYNCTGNPHPKDIERVVQSMMSDEFGTSYSLITSLKVEKGLALQDLIAGAYDFLDT VELPKQSRIYLLDHLGSTEHRLSVGGNEKMQLTALLGAFKVAVELSQKKI
I206_02620	MDAHARALMPPPHATPKPTSRFAPKTPSHLKSPPLTTSHNGNTP HYPEPISHVLSPKEGGSTRLKIKSATTPEAKSRVLVKPLGARAQIQRNNDLLATPSVR LVNRSITPNYEPTLADEGWMSADTHSQPVTMMNFEEYEDEMAETEAVLVSVRVRPPNP VEIRSDAGCVWTMPEHDQHLLKLAKGTEGMRGDRDWIFDRILPPESDNSRVYGTSARR HVKSAMEGYNAVIFAYGQTASGKTHTLSGSSIEPGIIPLSITDLFAQIRSTPDREFLL RASYLELYNETIIDLLSPVHGAELHLSEGKKGVVINGLTECAVRTEGDVKKLLRSGED RRKVGATDWNARSSRSHCVFRITIESRARNSAIEEAPIGARTPGGRLKAAGEKMTRIS TLSIIDLAGSERHTSSKERNAEGKHINQSLLTLKLVISKLADLASKRNVTHVPFRDSK LTRLLQNSLSGDALISVICTVSPSSLNLAESISTLAFAQGLKRVVLKAQKKEVVDPQA LIQQYQNEIAELRAQLREKETGGSSKNEREKNQAMETRLNELKSMILTSVSVNSPNAE DGSNLVPPSPAKMKYPKLEYDRPSAELLEELHAEQLNRAELEDEVARLKAELATRPIE PNKEIVSLRNEVAELRLIADDYERHLLEPSRKVRADVEKDFGEKLKTLENQLDSKKIW ANRLDENVRFITQENKALEMRCLEAESKVTQIIEFINLALSPSSSSSSSSCEQGLLPI NEDEIESISSNSLPTLIVSDDFSPSLNDNYSGGLGGGGGKSTLTLSASKMRATFSQMD LANFNDKFGSLSIKGKKMKGLGINGVGGGGGWNGKMLREESSFALAEVAGDDDF
I206_02621	MTGIECAEQMAKYQECVLKNQAEDWNTICRPEGKALAACADASV PHLAELKTSCAEQIFAYRQCLDKHASQEDDMISQQCGGLMKSLWECSERTMKSIEERE GSSKLV
I206_02622	MHPSVSDGIPNVEDGNSRIEKKVQDSLKSISTVLISYSTSSSPS TAYLESLISFYNRAISFTNQKSTAEIDSHNLIQPIRERRFSAPNLTSHLSCSDLKEIQ VRPKDEIKREEKDIDKLAKDWWKSEIVAAWYGPNINPKLTRRVSGISNPVVKESFITR TVFPDEKRRYTTNDQQNQFEAFEKPRFEIASDHKTTQDWIVLQAGKDAGYVGLHDE
I206_02623	MAEIESEQSDLPLDDVYEGKVEEFSAEVIMKTRDMALHRLNKMM DDHAQGLKHIPSKHKSLPGSHGAEMRIFSIEPGENTDPSIKHEIDLLNHYIVKDSNDY SHSDTLIAYKDFQLVNGAEIFTKENDISRSAPRIKIFLESTDDTNTTDYQHGARILTS ESSDCSNNIRKWNSEFKDLPWKILQEATYAKSRCRALTEMAERAHADDPIRYGKPSSR ITTYNFDIRSFDDRFSKDLCMNFETAIQHLRPSKARSNDDMILNMRFPSKDLAKFSSH YGVEESILDSKSEDWDNEFKPTTKKTVTIRWSRVLNRIDDPQEYLSRPRQSSFEQADR GLQELLRGVTRIVELDDQSSVADDEHGPKSDADLPSDADNKPEYKADLYHSDEVTQEG ESTNNERQSRSSQSPGTRIFDWMKSKVKQSKSGSE
I206_02624	MTTKQSIMKSNSESPNLQSILKKTKSPTFTSKKKVKFNLPIIES NSKSCSNWPIPFPDNFNTNINYTSILEYYPYQSKIVKDNYDSWELINPDETTTINENS TIGQYFQCIISKLLPNWFLEKEIPDMMQDTEFLQNIK
I206_02625	MSAPETLKYFLKAEKFAVIGRVMNDRSRWDNKILRWYQQRKFPV VAVRPDKPIEEIEGLKLLNQIDQIQNLNKTSISIIINPKLGLTILKILYPIPSIENEP RSIWFQPGADDELIWEYVKERNLKNKVIGKGSCIYRDGDNLLNQIKKEEEELKSKI
I206_02626	MTEASESSKRPRSASPPPKVEQGAAPENDVSEVQEPPSKKAHIE NSATPASNAKPEVDPEEAMFNLQSESANAAAEDTKKKTWGRGQGYGNGGKGKGKEKDP NVKYDRRNNDWTPRERKEGEEAEARLPKRRCALLVGYCGTGYSGMQIQTHGSRTIEGD VFEALVKAGAISADNANDHRKSDVARAARTDAGVHAAGNCISLKMIVEPPLPEGFKTL AEYVNSILPDQIRMWGFVRTVKSFNARTAADSRIYEYLLPSYCLLPPGRNDPLGKRLD KSSPGWRDLLGKEAVDFVDAAPSFDDEDEDGKLNPKNRGEFERRRGWRVDSKTLERFR ELIAQYKGTHNFHNFTVGKPFNDRTVKRFMIKLEVKDPQVYGDIEWISVQIHGQSFML HQIRKMISMAMLACRTASPACLIPETFGPKRIHVPKAPPLGLLLEAPQFGVYNQRISS KANGLQEDRDPVNFGLYAELMHDFKVKWIYEKLRQEELESHVFHKWMRQMDCSMSSGL AFLNTQGTIPPEADLSKGAKEARKAAAATAAKEGGEVGGAETKEEVEDGMDSEDEEVD MEALKRGDMEG
I206_02627	MTSPPQRSNALLNLINPYSSVARSTYPDPDSPSTMLLRELQGED ESIEHEVEGRETMSPTPTPSSQNQRGSKHVIATSSSSSDDEDAPPRSLIFGEQPERRL SDRTLASHPHSSRSNQQPVMNNNHISLNEENDNPILPRRNSGPFRTPSEIPSSSTSQS RSTSTSPGPSTMSIYASGLEGTNVNLGSSIRHEAKQRDPSTSPEPERLARPIPTFREP PRPTLPRNPSSKKSLPHLKSGSGYLDPALPPSSAARDKGKGKAKSRDKGGRKYHALPA QDEQDEVEDEQRGRYDGLGKRSGKVGKTGLNDYEKALWKWVNVEDLDGFLQEVYDYYK GKGIWCIALARALNLLTTFFVIAFSTFLTSCIDYKKLWSEASGPDAIARLDDVLIDKC ITRQVVKASFPHIIFMVIVTAFFIFQLSSFVLSLPKLLDMYRFYTFLLRVPDADIQTL PWPEIVRLIGEIRKHNPVTSLSNGQTTALTDMVDEADQATYKKLDAHDVANRILRQEN YLIALFNKDLLDLRVRLPVPRSLDHLVPTSLLAPGSSSLPSNTSHYDRKFISFGANTL TKALEWNLRFCLMEYLFDARGQVKKEFVRERRRKDLVLGLKRRFVFMGVLNAIFAPFI VVYLIMYSFFRYFEEYHKNPSSIGGRQYTPYAQWKFREFNELPHLFERRLDRSYPIAK EFIDQYPKERTALIMRFVSFIAGSFAAVLLLASVIDPDLFLHFEITPHRTVLFYLGLF GGVLAVARGMVPEDNFVFDPEERMKEVVRFTHYLPDEWKGKLHSQMVHQSFGQLFALK ITIFFTELLSVILTPFVLFFSLPPCAGAIIDFFREFTVHVDGVGYVCSFAVFDFRRDG SDPKAAEEVDTATEEDVNRRQDSKANAKMTNRWREKGNEKMESSVLHFKATHPDWVPS DPSASLFLDRLVGQHNYNNLSRSPKSPRINKYENSSYGGGRGLGLGLGLGLNNNNNNN NNSNDDERKLKERKNEYERAWEKSSHLIKSKSISKRNSQKDVIQERDEINEEIENDGL EEWNETSKGSGVGGIQREEGWKDDGMRGILQQVLGR
I206_02628	MLSHMLKQSYHPQYRGDPAVKTRDYVRISMKTTPLHTPSQLKNP SPSHDSSQPQSVIDGYSLISRTTPASNEFLNKSFCNTDTHVQSNYPSSPTTPGIDKKA NTFENHDNPSTAPIPIPIPIEIPKQNSIFNYAWKISKDLENYTPSTSLESSSVGSTRM VCLKTKNKLIKTITPSILPNNYKPNQFRNLKNENKKNRPKFIIYESSDDNEFDDSDDV EKEKKHWNTPHENSDSEETLVNENSIYNLKDSLSFDKKCQKPKVKHSLHFESEQEEDS ATEADCEDEIED
I206_02629	MIAPTISPSKSTTALNVSYIQPIFISPNPFISSLYNSPPFLQTS RPRGVVIIDHSTIITPFEKLSSNVSHESIEDELLITPENHSPLIETIILQQNGSQTDH PLNEDDSDDDNDPVQFDDHGRGKGKQKQEQESTPPIKIPQIRFNHTSGWAVQFPPTTS FGKGEMVYHNIDESRVSLSTTEDQTSPSESSRSSSIISPSDRATKIGLYDYWEIVDKR LRHERNERLKRRKFSIPNSELSDSRIKVKDWLIRQRDNAEYNQPRQSSARPNEMYTTL SPNDFIRVKPLVIRKDIISPPKLRPKSEQKDQQAIPQLSSISKSNKERNS
I206_02630	MSSRLPTLSTPGRTSSPSTGIPTPTGRRPRSSLGPGHLPTSSTS DEIMDKALQEVLRVRPPSSLRNGDDPSSPSLLAASSGLAAPRTPGVRPKTPAGLGLGI GGPVTPSASRSVSRTGRQSLSASTANPFTPRRTSMASSTTSTTPFARRPESRASNAHD TSKWTPVVGEKVRINSMGYEGALRFLGPTQFKEGVWAGVELEGGFAGKGKNDGTVDGV AYFSCPPLCGIFVVAAKLSPPTAGPSRPASVASSHRSLASSSASYSLHGRATPSGRAT PSFDRSTTTPGRTTRAVSSSVRRPPPLDDEEPLPTRTALGTSTIANADVGGKITAGSR ASRYLGMTAKQLDSARAGTLNASVKGLGASTTTTPKPSRISMGLGGVTPARSGRQSIG GSLVTPRPTRGPRASNMHEMPPPPSPGNINRVIAARQAEALEEEIRELKRRNAELEEE IKHASENSANTSNLEELQVQANQAREEADSLRSQLADATDASRLAEELQIAHTASQEE LAGKEKALNDLRKEMKLLVERNEAELSAGMESKKEEVHRMSERAEAAELEAAEMKALV DELTNAGQQMISLNETKQYELEERVRELEDRNRLLDDKLQKAREEQEKALLPPSPTTR QREATTAAEIDNETLTAQVKHQQNKISHLEEELEDLRVQAEQDVEAFKAKLGRSKDVE KASQEQIGGLRDEIKKINESLNGAKGRVRELEGALKENQSALEAARAEIEGLRGEASE AASMRSALQTANANEKALSAAQEDLEDLKAKLANAQKAESQLEQVQWKLSETEIRAEG AENKVVEIVERLNDLEGIKGDLEAKISSLESEIVTLKTTATASLENNLPQGRRSRLST GSTDDADKKIRGFQHIIQELSAENSELKEQMDSLKEEIGLLKEEIKLLEESAADGQAS GSGTSAADQKELVEAKTTIKELNREVAELESLIEAKIYREDELETRASNLEREIERLR SSNGGQVKGSDGPDSRISHSRTSSTATAHTDGISTDDRCELCEGPHDLDACPVFAGNM GVMDGGASKKTNTGRWCADCESNEHDTSDCPMAEDVF
I206_02631	MPLISFRNFLILLCLPIVILKGIQWYITSICNSIRNYQVPKITS QLLIALIYLSIILVVSLKDLIQSIEVTIIHIPHPDDDDSDAEYNQKWNENELTSGEID PPSYTSTEEPTSIETTNQIDIVKLDSLLEKKIKPKKNFVRQPVFINESWQFIEISESK ANQIERKAERAHQRRLARSQIKQQETKMSITEPFAKDYMLHKDSFTCLYDPHDEEECE NKIDLLIWRNKEMMIKHRAYIRQIHLESQEFRKESPKTPIPDKISKSKKRKSFSWKNI TFRGKRVSEKPDSKLTTIDE
I206_02632	MYSPKHIISLAFNKFHEYKQSQPSLEIPKCKWADPLEIHSSKNF ISEEIIFKDENALHIYQKVIQYIKIASLEFKLKPRELLRRDRISKEIIKSKLGKRARK QWSSNYESKERNIQKRQEDRIDILKRGLIEVLLQYSIYPSRFSRFKKSNKAAQDVPNS RAKWILHNNLLVQKS
I206_02633	MIGFSNFILRNYYNAIGWNEDNLYSSITRTSSSLLDFQVPQSLI LQLANAPTPIFFTSYALDALPQLNGSIQYITTSEPLEEIGPSRTIKFKDVVERFKIFP PPKRPLAKDEVWLGGRRIEGRDYLLYSRLHLPSLHLSGLATTRLTPTLQAHLAFLSQP APPTATRPTSPNSPPSHSRQPSEPSVPPSQPPTPGNILLSLQHDTGRYSGEYTYSAQD GMFGIRGVYNFGWQSPDIGNLIYNTPTPRGIVTVVKEEDQTGSDGKRIDEEEMMEGGL KGRFSAGGEVYFSAKQRSFGISTGLRFTTLPQSPNGPPASPPTTLTLLYNPLIGFLSS AYSAQVSPTVALSTRFGVNVYSYESDLAVGGEWWIGRRRGKRDLSKESLATSINSVLP SMENIPLVKDEQERIGTDENWEKASLRPAEEVGQTMQEGPLNVTEIKIPATKEPDVSP DSLDDRDGVLKARLSGNWSIALLYEARIRKCLVSVGIVSDLASRQRPIRSIGLEVQYF S
I206_02634	MFAKIFTALLVASAVVAAPLESQPEKRITHTGQATYFEVGLGAC GWWNVDDDYIVALNSDQYQRNGGSNCGQGIRLTNSDTGKSITVTVADECPTCADGSLD LSPAAFSELSGGNMGEGVFPISWHFNRKD
I206_02635	MFFGKSTIFATLAALSMASAAPSFEKRDQNSGTATYYAAGLGAC GWTNSGSDYVVAVNSAQYDGSKCGSKIWVWNSETNTIAFPTVADECPSCSSGDLDMSE GLFGHLTGNNFDQGVFEVNWGYL
I206_02636	MVFSLPSLPSGILGGLIDTSPQVFYPPTRNTPTKPSRARSTSKS SVRSNIDSPSGQLIPLPSPTVTPLGQNQNVGNLLPASASVKDLTGDWEPLVSGGIAGN KRVSIGSDLSAEYGGRSCSSPSTAKTPTRPNHRRSQSAQPATLSSPNSQYSLPPPPTG KLQEFSKSRNSLASTSAISLPTLIEGELDGPGQTSKRSMPPSPLIRPFGARKISPVSP SMKSDLTLEEESDEDGDIPKLKFVKSSLGRANSTSSVPPPLVNRSTPDGYFTMPPPSL NSINITYSPSSEAQNKSTAKPLLSPRSWSVQDIHSLSNHPAKDSYSRRGSISSTSSVS DIAVLATWSFPNAITSTKDKRGQGDDVPERGRKPGHSERLKERLKNIPGIETGPLPIS GSLPNVNSPNHKLSSDGNSIIRPSRPLPSYLRSGHRHTHSSPNLLTIPRTSTPNRSGT MGPPALPTSPSNSLLPPPKPVQRRPTTSRLRQPNPLSMPIKNLHRSQSQNSTSSGRKI LGSSPDSTTSSSEDSTLCPSPTTSIKSLSSLNVQSDSDDGLSKDDTSKAWWSFGHFNK RGQSQSTEIDLGTTKKGIGVDGEDGIEILSNLSNLTESDWDSNHTEDTEDYIDLENM
I206_02637	MSTIPIFVLFLISLAIPPFQQLRQIRIEAFMMTITLPFLPSSAF DTLPDSRPMEVTRCIVRLIYTYSLLASLGAILRTLKRIGGWKIVKSSDSRLIKVLILW LLAWRTSTLPFVPRLNAWKRPLDLTTIVAVGTGLICSIDEIRGIFKLINPEVKDQPKP GLASRIVQIVLSIHRILLAIRIISAAFAPPNTLISSPNHDGLTQIT
I206_02638	MNSSLSDRRILSTTWEVLDRTPNPIFGYPALKVPDLYHALNTTS AILDIHRIVSVLEDNTENLLGGRHDISLKSVRQGQTKDHWIVFKPNPISDIEANEIQQ KTLELLPDLPGGEAYIKKQPKSARQKIFQALGMRRNQPNHDITKTKHENILESGMLER EEHEPKGSEYRVRPKLLNEDERSDSVFGIHSPKQMYDDKAQESRPAQTEEWHQLKDER QVHEIRSNDRRQPERKGTEWDIIDSYNYGSDEDQNEELQNPQNIENTKSKGSDGQILD EIRDNREMKIRLKSKFEDEEISLNNRNLIDCDKNKYRDFEGTIGKYFDEYVADEMKEQ DQEQPDESHEVVNSVSGDVRSQDRDFSGMKNEDKLVDHEQHKSEISKEKTKGSSTSKS RSRSSSEEMDRHRKEHINKADLQVKRMEDRSKYHRAEPHSRDHHQKLDAESQHHRKRN SHSKTHDSSDKNTSYPDEINTPFLIKSFKGLPFEPDLSIFDSLLWIKDGWQLLVAELV AVLGVVYIIQATGL
I206_02639	MPVPQDDALPVGNATSSSQTVPGEAQPEVAEVLEKFQKLGEDAG AEDESDDDDVEGEEGDDDRVIGEGGVDGAGGEGGKKKKKKKKKGKASKAVQKLKNIAT GQAPQQVIDAVREQMDPQESNAATDEEIQKALKAADLMKILEGKMALGNKSNTKNLGE HKFWKTQPVPQFPTGTSRAILEEGPIDEHKTLADVKQDPGSLPSGFVWSLIDIKNEVQ CKEVYDLLSENYVEDDEAMFRFKYSKEFLLWALTAPGYFPNWHIGVRVQKTGKLIAFI SGINIEIRVRSKTFDAADINFLCVHKKLRSKRLTPVLIKEVTRRVNLENVWQAIYTGG VVIPTPIGTCRYWHRNLNPPKLVDIGFSPLPRGYTIARLVKSYSVPPHPRIPGFREMN QSDVPQVGNLLRKYLARFDVAQTFGKDDEVEHWFLSGQGREIDGKRVEQVVWSYVVED PTTHLITDFMSFYSLPSTIMKHPKHDMLNAAYLFYYASDVIFSPGGSSDDAATHDAKA SKKLEERLNALCNDMLSIAKAAGFDVLNGLSCLDNNMFLQEQKFGPGDGYLNYYLYNW SCAPIDGGQRTTSQKQSSGIGVVML
I206_02640	MASEQPPSGASSELLPPPEPQPSETTSEDIRRQVEQEQALADAQ RKVKQLEEEIEKVKKEKSDIENDKEGALSTTNQLRTQLSALQSSHHKSTSELSVLQTR IEAIEREKKELFDETERLQQRSNKNTQELYALRAQKTDSSAKIAHLDVEVSELRMTTE TAKFNEKRSVQALESARAEVLHLSKAVTDVEERFGRYRAEKQAEQSQTRAEHEILLTR LNTVENSYRSLQRTYNDQSQRLSEAHANIATLTSAAAAKKASVSMEFHQLLEENRILE KRGEEARSTVNDREAELERMAESYGEKEKNWEEKWKKEERSRKEAEKRAEDLKIVVER LALAGGEGTDISPAAALAGGMKASGKTYTQFYTDYTIQEGKLRAAENEVARLTGLLDE IGQDIAEKKPLLDEQAAEHGRAIDRANTLAAELATVMSTRDAYDNQVRSLNAAATHHR EEATSLQSTVDDLSRQVQGLIRQIAIRDDPSLASVSIDGTSTVEGDIITDHLLEFRSI RSLQEQNQKLLRLTRSLMAKLDQREITRASASQDDVDTGASLDKATETITKLHSQLLE AQKKITEATRERDFFSKLLAKGEGLKWNNSTPTGPFEDGTDASHQLNVTALQTELDLV KNRADVEVKEAKEEIKVKTEQIGVAEVEKAKAEAKVGLLEEQSRMLNEANALQKQEYT NLEAQYRQLQNAISHAHNEQRSALEQVATRQAEADRLRNETALLRAEKEQWKSTESRL QSDFAQVQSERVRLQQLIDNLKTVASEAEKSRSEERTQMEKRAEELQREATALRNQIE QARSETRAVEAQVADFEARLSAATSSVRAEKEASDALANTRSEEIKTLQAEVERLKTE SENRYRIGINWKRRADTLTETQNTTAQSHTEALEAKDKEIKEVTSKIEGLNSEIASHK TNIGEIEKKLEEAERVNGLKEGTVQRLQSELTKAQSGAAPATTDKSAETQADLSDQLA ALQQKLEQTQKDLEEAKAQASAAEKDEGDQRYADNVTRVNRVNASMKARLDQLTTERN AAQSTVESLQAKVSELEKKIADLEASGTGSAAPGGSTDGAVDQAKTDEAVNSAVSARE AELVAEHQKALESLRASTAGSDDLQAKEAELNASFDKKVQEAVKTQSESIQTEATTLK GQVVELTTKVKALERQVKTAEISRKTLERQKAEVEKKLEAASGSAPAATPTPVASAFG VPIPATAAEGSTATAGSPSRGIPRGRARGVVRGTARGGAATRANSVLSTVNATLNQAG TPAAATPAAVAAAAPPASANPTSPTGGTKRPLEEGEVSTENSGESSTQGDIIARIQGS AERGRVLKRPRGAPARGGARGGARGGRRSSAGGSTTGGAEGSAQGGTGDSTEGGAGAP PS
I206_02641	MSSWILAKQATREFYPVNYLDTTALTNSMNLVFHLSTVETAFNK SYFELAGQETGLEEMTFKGAYQVNGEYMKGWIHDSPVESLRGVINLGKIGPERFSEEL SNSRIMIGVGNPTLSPSPYLALCSGTPFLNPIKSWDSENPNDRSKWVSQHNYLKWLDP PYVYNVQAHDYEGFVNAIQSSISHPPTKKYIDPPMTLNEVRKRVERLVETDWKSIAKD YMQEKIAEGATYVS
I206_02642	MSSRHLSRSTIISLSIFSFLACITFLKFSPNGENVLSWTTDTFD IDFTLDTLKPKPKLFSWEIDEDIKDHHTYNEREITKLRTCMNDNNCHRNQEKVVLAFA HTWPQAIIDGWRGGEGVWAMSMFRAMRELGYTVLIGMNGWEETLAHYRMFPDQVKVII KSAWIDDCIKNPACIKSDINLTGIPRWKCE
I206_02643	MASSTESPSLATALANVRRLTSSTLPHQAKPAQLLVAIESTISS TLGTSELPHSSTAYFASLQQVLEKAVNDEVPSTSQNDEEMAETENMGQGALIPAILYL LAIIIPETPSSVILSKINIILENILPLYDTSLEHPPALRSLLQITTSLLLVPSSAQLN SSPLMKKAWNYLLELNLDPRPKVRHVAQEGIRKILTTPIPPKLQAGNHPYLPRAREWV ITILQEEVKTGGLSANNKGGKKARFADGEDSEGKRAIWVIQGLRGWVAVWGDEQLSPL CGLLLSLPPLPHLTIQIYSLLAHLLSPPPADAASPTPSVLTNLPTILDSLLSSPPAMS DTPTYLSAITSALIKMSLQDPLSLNTYLPKAFNLIFHNILLSSNTTSSVCTAAADAIG SQGILRYCITDEAILATLTKKSKTPFLYRLITSLTESLNTHALKTPYLFQILVALISR LRLRVLPGQQAQIDPSGKGQTAAEELLMDLIKEVGDLRHQRGFEHKDGVDQVVGMAIE VIGVAVVLDRLPLNIEPDASGAPPYPGRAHLLPLIRSRTTNDQLSFFAIYFRPLSERL FEKKVLAEDGGRAAEAKVWETVVSQIWDCWSGFCEMPRDLKEGLTTPFLSLLTSLLYT QPLLLPSLLRGLSQLVSATQRLLNSASPPEELRKQFGADQESAKEDMEFLKTLAKDMV SVLLNVFSKLPRESRGMVGEVIGLWVGIMTEKDIIETYNTVTTHLSTNLHTVQPPSEG ASPISHTMLDLLIIFVPHLPPAQSQALFTAASQGTMLEHKDATVQKKAYRLLKRLLEA SKLQKGNEEQFVKKLSEAGGGVGPGAQRDRLQLLSALVESLPNDSLHIIPELLSEAVL GTKEVNERARDAGFDLLVIMGKKMASGGSVKVVVEEDEESMGQPSTVQANAEEYITMV AAGLTGTTPHMISASINALSRLLFEFKDDISSNTLSELLSTLIVFLQSKNREIVKSAL GFAKVSIVSLPIEVLQPHIGQIVPALLGWVHDHKNHFKAKTIHIFERLIRRFGFDEVY RCASELPEERKVLNNIKKRKDRARKRKAGKEGDEDDEQKPRQSSGNAFDDILYNSDSD LSDDDQDDDERPNQNQKGRKGQVQNQIQNQGKKGKQNEKDNRYIRNEGDEPMDLLSRG IAAQNPSTQKARRVPGQDASHFKNDKQGKMVITEEDSDSDNGGNGVGSSKVIEGNAFI SQHINNSDGFKRDSKGNMKYNRNTKQSREEEKNELNMIIDQEGDKHLEKGNGKEKKLE ERRKKRKAMGLGEEFKAKRAGGDIKRVGGPDPYSYVSLGQAGNRKQGKDGQKINLTNK KKGSRR
I206_02644	MDSSNKHSPTDPFAPRLTPTFSATLAPPTPTSTHTPRSVSITME DYITDGKDEQDLDLNMIHDFGAAEGVGVDMRDFTNHNDDHDEQDDAKDGILGKFRSLP L
I206_02645	MIPNSCPPYYRSWPYLGNLYNAGIATPATNHLTPQPATSASGMN DVTSVDDMFQAETGLDEDDEDDDDGDVTEGPSGKRQRSGEAGPSGFTYVEKDGEPSRR KIKIEYINDKSRRHITFSKRKAGIMKKAYELSILTGTQVLLLVVSETGLVYTFTTTKL QPLVQKAEGKNLIQACLNAPDGFGPDGQPLGGPVAPTKAKNGGLAIRPHKLTAAASAA MAASAQAASDEHASTHPSQSQAQAQSQAQQAHAQAQADAAASIGQGTPVAARPKKRMP SKKRQASAAHQQPVLPELDIPPVPQIPDIHRQPSPHNPHPSSGGLIDPNLHSPLSAGF HVPPEYGQGPGGQGGLSPNPHHAQPPQQYYQPPPQHPGPEGYPYYPPPQHHHQQHPHQ GHPGYMNMHHPQMFQQQPHLRG
I206_02646	MSDVSNDTTWDHNDDTHKEAVIEYLVKDIKCDRSVATGIVTRMR TNWPSEIPQTVGGAKRFCFSVAGGKKYETPGATGSSTASAGTQTSDTSTQNTGTQTK
I206_02647	MVVMSELNHYALNRTAEEGTELVRAPSKLSKQLYRGIGEQQKPD IVIENNDEMIEDFIYPEGGYGWVVVGCCMTWAALTMGWGVSWGVYQAYYAEYTFSNQS SNLSLIGGLFSLFQNTISFVTGKIGDRFGVKKVLFASIFISWLGVFLASWSTKLWQVI LTQGVITGIGMGSCQPIYFSLPSQWFHKLRGLASGLAVAGAGFGGAIGTLIIRAMLKS LGPHKTLLIYSFINLTLMIIATLLIRTQPHSPAARAKGKGPWIDKRIWKLVPFQFLAL CMILNTFGYITVLFFLTQFIKQLKGVPSNDILGALPLSLLNFCAGIGRISIGYVADRC GAMNTFVFVCLGSSTAVFALWLPANTYNVIIAFGVIYGLIAPTFFTLLPMVAAEVFGP ENLSSNIGILLLFTAPAGLGGGIVGGKILSKTGEWKWLIIYAALLHAVAGTCMIIGEF ISHL
I206_02648	MPMHKLRLRKKKDRVQVEAVNQVINIGGIRVQPRDIIIADSNGF VIVPRARAEEVAKVAKRIEESEDKIREMIENGLTLGEARSNSGYYLLTKKTIIMKDRF LDAFS
I206_02649	MSDKAKPTIAERVNHIKEAWYDGRPTYGLITKAPQTSKKAGIPV ITWSPGNEAKLAIEKGYEGVIVGLDTTVIVG
I206_02650	MCKHILNAQVAIRAPCCKKFFDCPECHNESQDHPLRKTMEMAFM CKKCKKAFRKDMTTYEESDEYCPHCDNHYVIEAREPQAMIGVEGEDARIDSRALWKVI VSGRYAVDEDPSTPIWYQRILVKSHCLYSQAN
I206_02651	MEQADLVRALESIDHTDILAHHVNLPSTDHHTFQVDHQDQQHVS HNIIDNTNIQTSGQDEQAVLNDETELNTWSREQLQAEIVKLRRLTKLNNAISSVNPEI QNQHQDVQLALQVVSDASHDNPSTNSLDPVLRSTQSSSLIDPPSSELKEGRPKRKRNN ENGSSVREKKVMKRHESTGKRLEKDRKTELAKAVRYKMRTYIGVGMEDPLPHPHQNKS EGGEDDPNNTLLFVPDWSTQLNNTVNSNWVDRISQEIYQEALAGLHPKIPNEDIIMDI IEMTTKTAFINMCKRYAQENDPKGVERRDRYTKKRRRWARKDLKQKRRTRAAADSAFQ DLHLPPSALHIDYMSSEYSSSGENTDGETEEGLYKRKEQWNEMRKKQFEEDKPVVNGK GGWAVGISEKVLEVRTPRWRSETLNDIYRRLDAHATQFSDTRASGSSKTTPHLHKGSD APNIRPGHVAPSHRRFTMEIGTMRKGGTPRDLGEGWMWASGMGGVWPEEAARWIGEGP FEVTVNQHINSQSADNGLTISSNNHSHLISAAGQAADTLEDVDMVVDGIEGGNELEAA RLGLVNALEGL
I206_02652	MIAQPFVSPDDIRASFCTALSNMYKEEVPLYGDLVELVNDVNKE IKKIKPDLWEIGDRLNVERHGAIRLGTLEELKIISRLFKQMGMFPIGYYDLTKLGIPI HSTAFRPKNNNSLEKNPFRIFTSLLRIDLIKKKNFKLFKEINEILLKRNFFFNNPIIL KLIEKSENQGGLILEDSIELINFSLEIFKWHEKSLISKKKYDELKKFHPLLADIVGFK GPHINHLTPRTLDIDSVQRLMSERGIPPKKVIEGPPIRECPILLRQTSFQALTEPIIF SGDCEGETSQGSHRARFGEIESRGAALTREGHALYQSLMKKAGSFTPTDNNLIWQSKL SKIFQPFPDNWEDIRKANLAYFKYTLTSSYEKGTIQSEYSLDQLIKQGKVKYIPIVYE DFLPASAAGIFQSNLGEHSEETIKEEDLNAREEMELSLGHKIQDYFELYEEIQNDSLR EIQRLTGCRV
I206_02653	MPSVTDKPSGKLTIAFFKCDSLTKESIEEHGEYQDVIHNLFEPL LPKHLSLETLTYDVLEKREYPKDEELEKIDAIVISGSFEDEAHSDTRWILKLAGYLIK IYDEYPRIRILGICFGLQVIARAFGPSQIKENSKGWEVGSTRMNLTDIGKSIYMQQIH SDIVTEVPSDFELLGTSEKCPVQGIVAFYPKDKNPPAFTHSHHHTLPSEPWCNIHIIA FQGHPEWHEGIIIPFINNYERKGTFDKDFAEEARGYTKEHHDGERIGKVLLRILGVA
I206_02654	MPLGSSRCLRQSPLNVFSLQGSGRLGLYAHTYATTTELPLLQPN RRTTPPGIGHLEDPISNGSHRLKTSSALQNVLPYESYPKISRRSDNSECVSDRLGDGI VLVIHAVSNQRGDVDFEISSGFIIEPNKLQEEQILVTCSHTLDALSTRYANSDIHSFI LPSSSELSPIPITSFPSGSISDLLICTIPKTPILRSLPVSPFPIYKGQKVLVHQYGSG QAWIGEVRREWKEAEMMGYRNYSWREVQPGTSSALPYITFSTLPSNGSSGGPIVDAQS GAVIGVVSGSRTISAVKGERGYGASAENIFELFSLPGFIPSSQKYK
I206_02655	MQLQNASSDGSGYTVQLSENVTWSYPFLPGEPPNVIHRNFSACV PSNITIASKCCSAVNGTFVTQELSNSRKLNQSEINSIFEEKYPEQNITSLVYTQAIIG DLTNTTNVTSNSFDGYPGINWCSFEYNPLSNDPLVGIGYQSGPTTGYKPEAMNNWIQC FNENVPQEAFNNSQAAYVCATADVRDGGILEGFKRYTGSNKGSGSETTTIVGHLWGII GLLVIGVGMLT
I206_02656	MSHLTDAEDILPVEDDKHVLAEHHDNEVQDYIVKPGGVAAMSAE DRHTALRLARAADPGISMRDWRMAQWVFYITVVLMCGGDAGFDGTVMGAVNSMKQWQK YFGLTSASGSTGIVFGMYSVGQTLAFFPAAYLPDKIGRRWGMAIGNLTLILGALLTSQ AHNFQMFIWGRMFTGLGCTLAASSAKSYMSEITPPHSRGRYMGLLNSFYYVGQLMASG ISIPLGRKTSNWSWRGPLLLQAAPAVINFAFVMLLPESPRWLFINGRDEQAGRVLAKL HSRDNDVNSPMVLLQLGEFEENLVAGGADKRWWDFRALFKTRANRYRFGMCAIIAIWG AWAGNALISYFLPVLLEQAGINSPDRQRVLNFANSLTSFGGALAGTALTDYMGRRPLL LSGITSCMVGMALAAGLLSPAGAQTATRANAGITFIFLFMVGYSFGITPLQGLYVAEN LSMENRAKGIALQTWLGAACNLINTFAIPSALKALRWKTYLIFFAVDFVGVIVIYLFA VETKRLSLEDLDHIFEAKNPKQESFALAKAARERYKREKEAEKLNGL
I206_02657	MVQALTQTLLTGAHPPEDVQRGTLKLRGSHTMPNSTHHPDLDTK GYQVVKGVVPKARAAEYVDRIYHWLESFGTGFKANDKSTWHIDQLPTFHRGGLYNRYG VGHEQFVWDIRGEEALINEFAKIWGTEELLVSFDGINVTLPLPAEELAGQRSAPWPHV DQSPNRRYKHCVQGIMNLEQNGPQDGGLRVLEGSIQHYNEFFEAFKHEMPEEGWTWKD AAWYKDYHLQWFFDRGCKWVKVEADPGDLILWDSRTIHYGALAEGDRPRIATYVCYKP ASDLQPEMKEVRRIAAEQYISTTHDPLMFRMTGSKIDAPAMENERKEPLKKPILTERM QRLAGLRDY
I206_02658	MSAETILVLGATGASGVAFLKHVLPLSNGPNVSLLVRNRSKLPQ ELVTEYASKVRIVEGGLTDESKLDEVMDGVTSVVSFLGAYMSFYYLLTRYKPTPIADS FPLIKRVMKKHGVERLLALSTPAHSLPGEEYTWIQYITTMIFPPVVVPQGSAEMDAIG AICADENFEYTIFRVPHLSTGTGEERVYAGFYGPDFKGSQQLTRESLVRWVYTEIVQG DWVRKQPALGNY
I206_02659	MLDIDRNERTNGFDSTCTSATLIPSENSPKSNKRSRSVTPLPPG CTWGPVLGQTSRGVVSLAKLADIQDQGGEVEQTRVIWVDFPTASKENPFFFSKRRKLG IMAVALFFANITAFETSSYSIGIPSMRRDLGTTALQAATGISLYGWGFAIGPLALAPL TEEFGRYWMYIGSIASYTLVHLTHGLGKNTATVLVGRFLLGITGCVGATVVAGTVADL YPPHKRGGPMGLFTLSVVLGPGLGAATMCWAEANPKLEWRWIAWIQMIACGVYWPIAY FVLRETRASVLLRRKAKKLRTERGMQDGGRYTARSEVDKEAFWVAMRRSLGRPLLFVT MEPVVTFFAIWAALVWGVFFIQIAGLPYVFETIYGFGTTASGSTYWAIVIGTIVGYFL NFFQDALYKRHVARIGVEARLFAACVAGIVFAVGCIIFGLTSIPSVHWIGPCIGVGII LAAAFTIYQTCFIYLSECYGSHASSAVAGMSFLRILVGSSFAMFTNQIFDTLTPRWGL LMIGCIALVLAPIPFIAFFKGPWIRERSPYSKVLMAEERKRIESEGLAEGSGDLESRM EDMEGESVMEQIRSRDERDHSISHHHAQGYNPEHGKDGKISEPGPVKGEFKKAQ
I206_02660	MLYPEAKLQFSRLGWVTDDTAKLLIRIPDMQNMTLTYNPATFET IGRFRSVHLPTATSETDFTIPVSLDGLLPNTEYYYRLGQDIKGSFTTRRKQADLDKFT IVSSSCLKPGWPYNPFIHPLAVRGFTHLHNAIDKMDQKPEAMLFLGDFIYSDLPTPIS DYTTSYYRELYRQVYSSPSWTPLLKSIPWIHMFDDHEIINDFSPSSSSESLRIFENAM EPYNSYQRSINPPPLNPAEPTYFSFDIGKVSFFILDNRSYRSTPAERPGRNSSAGYGK RSMLGGQQLRAVKDWVEQKGRREGKLLVLVSGVPVTRNWSEGKDELDSWAGYLDEREI IMNELWSVGGAVIISGDRHEHATTLLPPRSDSVYPQASAVIEFSTSPLSFFHLPWTRE YVGHPPTDIPIHHQWKGDSRFGVFDFDTKGEQPRVHFELIVDGEKEWEYDWVKSVQA
I206_02661	MASVKAVQPTENGEDDQFDAPLAVLETSGSKRTWQQTLSVLISG VALFSDGYNIQITGYTNTVMAKLYPHALTTTMKTRLSNSILIGDIFGMLLFGLCADRL GRRWGIVGCTFFLVLGVTLATAAHGKSPTGMLWMIVIARGVAGLGAGGEYAVCTTSAV EAANETAGLRKKRGFFVVSATNTAIIAGFVGSAIVFLIVLAAYGGEPTEGVWRICFGI GIVLPLTIFIFRMRMVDSTLYRKHGIARKAFPYKLALRRYWKPLFGCSFAWFMYDTVI YPFNLLAPTLAAGFNSKATLLQSNGWGALINFFALPGCIFGSLLIDRLGPRQTYAFGM VMVAIFGFAIGGAMEPLRTGGTGAFAAFVVLFGLFQSFLSVGPGNNNFLVSSESFPTP VRRGQFVGLAAAIGKAGAAVGTQVYPLIIARFPTVIKGHQAMFCIGSATCLLCAVIVM TMIPDRRAQLEDEDVEFREYLEANGWNTADMGCLGNEIVSSSTPSEKEATKL
I206_02662	MSDIPSHNNNPGLLEKPPSIQSDSATVTNDAKKSTTPPVARYGE DPRFGPEHIEISTSMLSNPLDGMSPEEVIKDVDSFVEAKGLTEHRDIFRRGALLARVA QKPEAFEDIAEIPEDEKQILREEITHRWKQPFMLYFLAVLCAGSAIVQGMDQTAVNGA QQFYFDEFEIGPERVYIRGLLNGAPYLCSCLIGCWTNAPLNRYFGRRGTIFISCFISF ASSFWMAAANSWWNLLIARFALGLAVGAKSSTTPVYSAESSPKAIRGALGCQWQMWTA FGIMLGFVASVAFQNVTAPVGQSFDPPRFPQWRWMLASTAIPPMIVMVQVFFCPESPR WYMEKGKFDKAFRSFTRLRNHPVLASRDMYYAYKMLEVEQVQRQGKSLVSEFFTVRRN RRAAQSSFFVMFMQQFCGVNVIAYYSTQIFLDAGFGPSQALLVSMGTGIVNWLFAIPA IYTIDTFGRRNLLLLTFPLLGLCLFFTGFSFYMPQGDVAGGEMNTGRVAMIATGIYLF MAAYSPGEGPVPFTYSAEAFPLYIRDVGMSFATATCWGFNFILSFTWPALVNAFTPQG AFSWYAAWNFFAFFYTYFFLPETKNLTLEELDIVFSIGNREHALYYKNKLPWYFQKYI LRKQVEDYPPLYQLGGAGRMSEKLERSRSRH
I206_02663	MFEEKKDLEEHIEGISNEPVLEDKKRHKANNQLDEAAAYLAQAG HVEYTAEERRAVIRKIDLFVCVPMCMTYFLQQLDKSSLSYAAIFEIQKETGLVGSQYS WLSSIVYIAQLCLQPASSYALIAFPIKYWVTFNMLAWSIVTVCTGAAKNFTGLIICRL LLGIFEATILPSFIFLTQMWYTRREQSFRTIAYQIANSFAAILGPIMSFGIGKATENS STVKEYQGIFFFIGSVSVAFVPVIFFMLPNSPTTARFLRKGNDRVIAIDRLKENQTGT KSSQWKWNQVWETYKDPKTYIWAVMYFCTSTPSGGFGAFGGLVVKGLGFTSFKAILMQ APTGAIAILTLLITIFLTNRFRARWAIVAACVVPPIAGAVGLVKVQRSDPYILLACYY VAQSLAGIQPLLYSWANLNQAGSTKRVVVFATMFVAQCTGNVVGPQVYLTRESPYYHT GLYVNIGCWTVLFCLIVFMRFYLTYLNKKQVQRRVALGLPADLKDMSIMPYGELEAYK AELAQQMTAAGLDSAKLYENAFDDMTDFENPYFIYVV
I206_02664	MSKRPHSVAYPQLSEEVEHNLLPGISLKRLDLFLEGPYNDFNLT SVLDRIRLDDVETVRMTIWSAPTIEKVPFEEAVQNLQGPDIQVLKKGDLIGGSWSQHW VKVEIMIPVSFRESDEPVVFEFDPSCEALIFDRNGHPLHGITGGPNSTITAYPGYVED RRIEHIIPREAVQAGKYECYIEVACNGIFGIGINGYRHHEPDMNMKYHLASADLVQIR SEAHALQVDFQILKQFARSPEGEKSSLSRQALKAANEIMNVFSRDSEERIDESIRKGR TIAMRVIGASVTDMDDDETKIWAIGHCHIDTGWLWRYAHTQQKIARSWSTQIDLMDRY PEHQFTASSAQQYVWLEQLYPTLFQKVKRAVLDQKFHPIGGSWLEHDCVLPSGESLIR QYLYGQRWFEEKFGVRSNIAWLPDTFGYASQLPQILRLAGIDYFFTQKLSWNNINIFP HSTFNWSGLDGSRVLAHMTPTDTYNAQANFSELQKGIIQNKNLESTDQCLLLFGNGDG GGGPISLMLEKLRRLNSSCKKNQEIPKVKIASAEKFFEHLCETTDGGKTLSDWKGELY FELHRGIFTSQAKIKNGNQTMEKLLRDVEYFATIASFSAPNYEYPKDQLDDIWHDVML NHFHDVLPGTSIKMVNDDAIEIYEKRTRQAQHILDQALRALFPYELGEGGQLAIFDGT RIPRQQVVEVPHLLNHRAEGEDTQVSHEDSTTTLAYCRIDNNGIGSLLSPDSCAQPSI VQDGSTLTLSNSIIKLTISNGRITSLYDSVLGRELVTSGVGGIKTAGLMIYDDYPLSY DAWDAEIYHLKMGREILFDEVEVLRVEPLRSTIRTISRFGKSEVVLDISIDAMERDES QRPSIRIDAKVNWHETHKFLKFALPLDIHSSTATYGTQFGIIERPTHRNTSIDQAKFE VPAHMFADLSESGYGVSLISNYKYGYAVEGNTMRISLLRSATAPDVDQDRGYHEFTFN ILPHLGRLVESGVYKRALTLTNPLRIYRTKSIPKLPITFDLQQGNQSQGIILETIKRG ENDFIFDSKDRTIILRLYESLGGRSKASLRISGIPTPKAIKWLNVLEEPELFSHDPVQ WETKNDSVEITMDFRCFEIKTLGIYFD
I206_02665	MDPLSPFSASAITPDVPAPAPLPGQVQSKVQVYDVSIFDPQNST PIIFKDDSPLAMLRRDFWILIKNAGTLLWILSPPPAPGRDVTNKGILLQGILILGSLV LTTLGIASFVIGFPPPILSVLLVVIWCGAFNLRAGKERVTYQNVPGNDKDDKEVWLFV NGIGTSKSGLKLILDTFYKLFGRRVIGVHNRTFGIWFDLIECMLQRDLFWATTDTREG YNIISQHIADSSKEKIVLLAHSQGGIIMSAWADQLLADFSYAQLKKVEIYTFASAANH FSIPESGVGPSFGCVEHFVNQWDYVSDIGLLAFAPDAPNDLPVNSDTVPQLSGRFAGR IFKRLRTTGHLLNTHYLSPNNSILDDPAVRKHSKLATYLLSPQERAAQVERNRAEKES RKHARALEETVAGPSSGQT
I206_02667	MIDTPKTDIILSMAHTSTEDYMQQIVNKSKTHEFRKKLYPSSVV RIWFYETFPISAITYICEINPAHIRDKNGLLDTIGIGNKEFNEPHKDWLGYEYAYKVI SCYKSNRPITLNEMKSKYGINGAPRGMVYVKQKMMEDVKWDEQECIWRD
I206_02668	MSSSKIYKINVPCTSANIGPGFDVCGIALSKSLSLKVTIPQKPT EEGVLPKIIYTGLDSDNVPLSPYKNLLTRVALYVLRSHGIQNFPSQVIIEANNEIPFG RGLGSSGAAVIAGVLLGNLLGNLNLNKSRLLDFALMVERHPDNVSAALMGGFIGSYLR ELSPEDMSAASIPLAEVLPEYPIDAGPNWGKNPPLPPKGIGHFVRFGWAKEIKAIAVS PRFELATAKARGVLPDNYSKKDLIFNLQRLAVLTTALARSPPDPELIYDAMADKVHQP YRMTLIPGLPKILTELTPTSHPGLLGICLSGAGPTILALATHNFDSIAQDIEQIFKNE GVEVDWSVLDVDERGSTVEEIIA
I206_02669	MSGRKVMVQPINIIFSHLQKHIRTTIWLFDNNSFRIEAYIIGFD EFMNLVLDDAEEVYDCGVKAGQDPKPRKELEVKAFFGLSQPHSPLSLLVWIRLISLKI KINAIYV
I206_02670	MPDGTPPTMASAEQSKPINVNASNPLGLPTPIPDPITGRLDPND PTVKALTEAALNMDKSKIPRPYKCPLCDRAFYRLEHQTRHIRTHTGEKPHACTHPGCD KRFSRSDELTRHARIHLPPAHENGSHKGKTKYDDDGDHDDHRHHLPHLGPSYNMDLER HGYPYNLHSLQMGATSGGISDISALAAAASDQLIELERHEAFRRAEWELRHRQIAGAR KSNGNSPVGTPGSAPTAPYGFSNERERLSLNGVPTPGGGTLVYPVSAPQPASGNQPAV PAGTLADPTYLVPPTCCHEECHKSYRKRLKVAKQTQACPNCLTMTHNGNNLGAGGAGG AGHGSGGNSGGDSHHSSNSNTPKDRSTHNSSEDLTKFAGAPGGTNYQLHSATLTQELA ALQFQHLQALQRQRQSVSHPTTHHSHVKSHGMPIPQGHLRPYTLDLNSHRGLASTHIS AAPSPDSSDDSDDEPMNEIMPSAHMEFTPATSPVLSSMRQMSLWQGKAMTAPTSRATS PVLHSRGPSRPGSPIEGHSANSGRHGHTSHSARDAKNRSHPYTGVHGIHHSTPNSPHF PPTMSNTKHGHSHHRMSPPKMHRTLSGDGTVHRHSHGSHGSHGSHGGHGGQRQSVQEI LNAPSILPPTDRMLPPPSSSSYGGSSVPSVSYSMSSNPTSAQHSPVTSRASSPVHSTH NHNNHNNHNHNHNHNHAPAQSHIIHGVRAAFGMTPISASNSPNTKEPKIAQSLAQSYS PPHKLAPMGMGMGGYEGRLPSLSRGNSPTHFGMEVDGTHA
I206_02671	MSPVPPPPPAGAFVPSDDWSEDPSFDLSPSAHHFALPTSPSSSS TSSTSHRSHHSISSTSQHASSPLRQSYTTKGTIKLKKGQDIEELLDGFDDDFDLPDTL PNQTLTGKSRPRPSISSSITRTVVGNGPTGIGTITKLGSTTTKTPIIKGMDKSRALAI ERSWEADVDFEDALSSLPIEALPLRSASSSSVIRRMTLSPPRKGFMPSADALDDLGFD LEDEDQATLKAGATLKAMLPPRNDPTLRPSRSTNNIINVPSTPPAQDPDSIELESDFA LPLNLTNLTLATQPRQSSKLSKQSKPRQSDASTTTNNTESWGSPSSGAKKWGWGSEDS PSNSGINKRRSETSATSISDCLPETSNESRSKDILVQPNDELGLDEEENMENGLVLPS PTFFSNKRSKELNSILDKKRKPQYAPTVPNHHQHTSNQSFKRGHQADDSFEDGLVLDE PGVELSKHRLRSQKQARDKFPSSTLKMNSTTLETGASATRGIAKEREKAREKQREQGW GRVTPVPLNPSRERTQSSLGLSFRSNSASATTLLRENTKRVDSPSLTGREKESMRSRS GHIHSMLPPPIPSTSQLPPLPPPTISQQTPTSRLRHQKSHYHIAAPPQSPSLTRKQSL ASLQDALADKTFTITDTPRYQFSTSRLTMPTSSSKAKSRPPISSIFPTSISGPSSSSM SIANQYNPSQRGESVRKVVDMPRRNKTWGDGSELDGIDDLDIDDDHKSTIKGSGISGI GLGKPGRRSLETSHPFHRTTLVSTKSPPVSSDLTEKRKKSGSGTTLAKRKHRKPALIK HFGVADKKKVVGEMTWNPSTLRWEGNESILRDFDTVSSSARPALITHYTGSSIGGLSS PVGSTASAPRIVGDMQFDPVHMKWISILSPEDDEPDPFEGMADDEDEDLGGGTITRSS GKKFLNKFVTTSNNWTSRLISESSIASSTTTQNSLNSTAWEGANLISDELWKECKSAE ERHKKEMKGWIMRSTSSSTEIRERERKESKRLWEIRNLAMKS
I206_02672	MPLFYAICPDYSNNPSETLKNRLKVRPEHWKRAEEDKKAGILEF GRGTVPPASSSLHSDPNLPSNLQAMDGSIMFFRYKTIDQTWDRIKSDVYYTGNVWDKE KIQVGQFIKLPGEGDD
I206_02673	MSSTIISSVISTVATASASASAVLASTPHVTSQHDRSDTIFAVG IVMTALATFFVAMRIVSKTWVVRKVMWDDYITVVAWLFFVALAASVITGSRVGLGKSG VDIHPNWVEPLRKSTYTFVVFYNPATMTIKLAILLLYRRMSEVQPWFRYGTYGTMVIV TLAGTVCTFIAVFECRPISAAWSTDSADSDAKCIDVIALFLSAAPVNILTDLAILLLP LPILTSLRMEMRQKVALLGTFLVGGFVTIVDIVRIAYLQQALKAERIYGDHGELNANT QFGDFTYYISFSIMWSFIEISVGLMCSCTLVLKPLILKVVPAILRKSRESGQTHAETY HLTHISNASPEREKSPSSPASPRAEERITPVLPNIVEEGELHGSGREKDGGDAKEDMF DFMAILKEEPPQNQTSVVNRGQGQNGNAEDLNAATDEVIRRKRARSKWRPRGRSGSNE NNNLGTGTQPSILQRLRSKHLSKTTKEPDQHRHTQGPSANFFDFVNMSGKKPLTELGQ KEALGPILFVSILFFMWGFSYGLIGNLNGEIEGFLEYQPHQSLGLQSSYWSAYFVGPV TIGYWTLKKLGFKATFVAGLTIYSTGAMAFWPSAVLTSYPGFVISNFLAALGLSILET AANPFIALAGPGEFSEARLLFSQAIQAIGSLASSLLSQRVFFNNVDQYRLFKVQWCYL AVSIFVLILAAIFYYVPLSEATDEDLEIKATRRFEHANISPDCKAFGIPARWFILVGG VFVISNYVSAQESISFAWNYYVRILKPSADLRWMRTIGQGLFFLSRTLASFGCFIGIP PRFILAFCILGAFLTSLLPMVLQSGNGALGVMILHMFFEGTVFPLIFAMTIRGQGKHT KLTSMALVASISGAAVFPAISYKVETDHPNNQLIPLVIIVVLYGSMFFFPITTSFNHN LKRWIDPRWSLKKPGDKIAPDHETPPDQITGPHYDRRTREDLGITSMNSLNSQSGVVG LGLNLNGADLNQATSFGSVRGVRDFEG
I206_02674	MSSSSADQATALVLQALQTLYHDPNSISKKRANEWLEEFQHSVD AWQTCHTLLTSPEAPLEGRLFSAQTLRAKILYDLSQLPREQLPPLRDSLLSSLAPLCQ PSAPAGSKAVLTQLCLALADLALQMPEWSGVVGGMIEQFGKDPSTVVILLGFLKALPE EAGNPRIPLSNDEVQAMLTALVSGSAEQVLGVLTMYIQATGVTTQIQISVFETLRSWL QAGEVMASQVASTPLFDASFDALASDQLFDAAVDVLCDLIHETQEVEDNVEVVQQIVP RVIALQPQLEEHKEDPDRIRGYCRILCEAGECYKDLIARHPQDLLPLVQSIAECAAYP DLDIVPITFQFWYTLAMTLGRQPNDPSLQPILAIYQSLQSIIIGHLHFPADDEHQTAQ ERDEFRTFRHRMGDTLKDCCHLLGAPTCLKRSYDLIVDAMSKASPSWQEIEAPLFSMR SMGAEVDPEDDEVLPHIMDMLPKLPDHPKIRYAAILVISRYTEWIDRHPDNLAFQLQY ISAGFDMAEDEVSAAAAQAMRFMCQDCRQHLVPFLPQLHTFVNSVGDKLDQSDMVEVC EAIGYVISSMNPPQAAQALNEFCQPLIQQIQSVVVAEGEVDKPTLVKLADTLEQLDSY LNIVRSIEPLPQACYNTPAEIYGILDSFLDKYAKLHYISERVGTVLRRGLTFFPPQAL ESIVQPLLNRMVRSFEQTGFPSYLWITGKVTAKFGEIANSGNQELGELLVGSFENLTN AMAKLLQTKVAIEIPDVMDDYDHLFMAYLTAIPNLTLSSPSVRLGVSHVLASLTCPST ETILISLDVLANLSIHVHAPPIASTLNTYGKVIVSILINGVVTDFPEDSLDQVQTVLN ALTSSGNPQEIEGWFREAIGDLPGHLVPNEAKQGFLGDVHGHLADQSSDKLRNGLINL VRAARRAKERGRQARKSLGAV
I206_02675	MSTGDKGKGKAVEVNVVDFAHPEKLKTGKVSEPQTQEEWHDFIT KYMQGEYNNASFTDDRPPTPPKPERHSSDETGASTNTSTAVPTPIASSSTSSKPLSPT TAPQITRHDSDFSNPDGEDSNEEHPDISYAGPSNQDPDIPNIESPVNLSSPKGKAKLQ DDQKAQKHQESMTAENMRQLSLQQQGEIEVLSTQAKGKGRRRRRDHAEMASVGRSMSV DSSEDEDPARARTRRRVPRQPRSRGSWSLRSKSPQGNSLRHRHGTGPSLDNALLESGT NDSTSLSQADATYDHGKERERVKVFYKEHGFMPAPRQTPEAARRRLRVIRRLGLEEID PFHRETLDRFTRLASTVFRTSTALISILAKDKQLFLSEIGWGKKWNDLDSSFCCHNIM THDADSDEQCMVIKNTAQDWRFRKNPMVKEGSGPVQFYAGAQLKVGSGTKATTIGSLC IFNEKPRDFSEDDKKLLADLADCVVSELELIYSQQASVESAKLHQISVDFLRRSLKHR PSELAGSRKGKTGTETSKGLNRAEKETGSSTTSSTGKRISGADHRQDEADVDIYDEAC REVRHALDAYAVSVVDLSQFHLFYPTYQNSSTGGGSSTRGGSSTAHTRSHDARTGSWS SSTARGGDEDQDAYAKPLNEKRARTTYAITDPMAPSRTPQVLFIPSRRKSDPHQKRRF GGDGNEDASDNVSYCFLIIAPISADKAKQLAVLGYSCAEDGFAFNFTSSPAARKIISD FIASNVKTRKVWFTRDDNEGIAQSITHLMPPGTETSMAMPVFGFDGQVAFAIVACWTD PLYTYPAGAMQFVETIAGSLLASVMKERLHRAERAQLNFAAAASHELRTPLHQINAAA SLLRMALHAVLENEGNETPRVSREDRTEALSQLEIIEANGLSLGGILENIIDTLDIGK MASKIDHVQTNPDGTVIPPDLLRKKESATITDFADVLENVVDDAMRVEAKSRRIATGS GLEDVEVILEVLPRNRGGWKMSSDPGPLSRALGKVIHNAIKFTKKGHVHITVQDVSRD VVLPGGFDNSIKLSMVSIDIKDTGRGMSSDFLDREVLRPFAKEDAFTPGSGLGLGLAQ RMIDLLGGKLAIASTLGKGTLVHVEVPLHLLNEDNDSDQDIIAGSNEKESEAGGGEID PIRQDGIYLTGWAESRKPSLRRVGKSLTRQLKLHFCRVVSEINYANLIVLPEHSLSSV KLADLCRNARPSVQLIIVGKDQSVGALAPHLGPATAQEHDHATDVSDHINAAIEYLKT IPTIHLNRPLRPSIIKRIMQPANLPPPLREVYKSEVVGGEDAKEEVEHENANIVYGIE GEDEETPKVDGVQGDQLAQKGQDLLDEDSDNPTQILPSRRGSLAPNTTAQKAVPGCIS PKSSSATLQQAHVPHLHHHHHHRLKKEDTEGESSAETNFSATSRHANTADSSVTSDVV DQSSIDSASEASDSSGLALPPRFREILLTVLVVEDNAVNRKILTTMLKRTSCRFAEAA DGVEAVDQFNSFKPDLVLLDITMPRKDGFAAAAEMRHLEATLPANSTVPLEDVMKALA VTPTSEPSSAATPTPASTSTVPSTPPILSPCSSAGPLSPDSTTSSISGFSLQPKKSRA KIIAVTAMSAEHQRRKGLIESGIDMWMVKPIAMRELRGIVERMKEEKFGYGGNGGDSS RNSVSGGSEVLSTGERSEAGSSRR
I206_02676	MPFDSSDPGRTLPTPEYTPDNTPASSPVQTTFNIPLIVGITGSA GLVGRDLVRLALKEGHTVLAMDIISPDHRDTVLAEDISFAGDRYEYKQVSALDYEGYK NALKVSKCNAIVHLATLANGPDLDSRLGLESQGKAQHEVHNSNVAMSCNTLSIAGELG INRVVLASSVNSIGLLFSKQPILDYLPLDEKHPCRPEDAYSMSKYFCELQSDSYVRRF PSLRVASLRFHGVVKDSHVNRESLNEQGGEWKDLWGWVSSNAVSRACLLGLTSSTMYF GEGTHETFFIAAPTICQQTNTVKLLDEYYPEILREGRLRRPIRGNEGLFDCSKARKLL GWQEEGFCYQDKDEAVDRTELRGV
I206_02677	MTYFEGFEEVHVPVHSAVLNNHLNIFSRKGGNGQKAVLLLHGYP QTSHIWHKIAPRLTEEYTVIATDLRGYGQSSKPRGSDNHEEYSKREMAADQVQVMQHF GFSDFYIVAHDRGARVAHRLALDHPEKVKGMMLLDIAPTLYMYDNTDMAFAKGYWHWF FLIQQSPGPENMILSGPEQFWQAMAGRPSHKGVIWSEDDLKEYKGKMFTEEGVHASCE DYRAAATIDLEHDRKSRQTNQKIAIPKLVVLWGGKGIIQSYNNGDVLDIWRDWTDNST NIRGRAVDSGHYIPEERWEDVLQESKWLMEG
I206_02678	MTETTSQQYTKETDPNDHSERSSVFQPWKLRDFRKGPDVERKKL AMDEAAADMRTGTGWTDDFDDRWGPSLHTGHFTGEAMSSSSPGSTTLDEKSTRTKSTA LMPVWLQQIVPGWKSKSSEEN
I206_02679	MAAVRTMMSAVREEAAIITSELAVAARTGGIEGVAAVERNIVME MNPVAVRQAAEASVKEAIGGEPSLLSQHLTHVTQPTSITSSVSTSLHSLSGPGASSTI SSTRTLVTQTLEADAGVTLKEVMSNAMQTGVEEQMDEVAEVAKHLVEGKGPIGELLAA Q
I206_02680	MSSSAWPPELKAWVQQCLSKATASNKDAVNSELKQMLFKAHADG TINTTDWSKVELSSLKAQVQRTTHVPVPPRINITTTSFAGGSTAPQSTPASEKKKRKK KNDGSAKSSFPTPYHFTTSAEEQEALARRAARFQKPAASSSSMGGGVDRWFVDEEGSV NGLGMVPGQVGKRKMRGKGGLGYSGEEVMEVDPNVIDWDKHTIRGTSTKLEKSYLRLT SEPNPADVRPLHILQQTLQLLKRKWKDNHNYAYALDQFKSMRQDLTVQRIKNDFTVEV YEIHARIALEAKDLGEYNQCQTMLRQLYELGIKGHPQEFLSYRIMYLLHTRNRSDMAT LLAQLTSSEKSDPGVKHALDVHAALATSNYVRFFRLFTTAPNMSGYIMDHFVERERIA ALAIMSKGYMTLPLTYVTTSLAFDSEEESDTFLHAHDAAIYILPPNQPNNPWKPIKQA PLHERLWDCKKAHAACATGMSKYRVVDLKGQVD
I206_02681	MKFFSVALVAAIASVAVAAPVPEAEPAVVAENFGQPGGAPAWKK DVTAQNFGQPGGPPAWKRDAEPDVTAQNFGQPGGAPAWKKDVSTQNFGQPGGPPAWKR DAEPDVTGQNFGRPGGGAPAWKKDAEPDVTGQNFGRPGGAPAWKRDAEPDVTGQNFGQ PGGAPAWKKDVSTQNFGRPGGAPAW
I206_02682	MLIKTQECLEKFQELKTGKKLSYVIYGLTEDKKSITVLKSSEEK DFDAFVNELPEKECRWAVYDFEFTLPGGEGIRNKLVFVVWSPDDANVKNKMMFASSKD ALRRRLEGIHIEIQATDFSEITKDAILEKALRR
I206_02683	MLSPINMERRNSQHVSTTSSTDRMGHGRTKSLGAINFSNNGLQS PYGGPGSPKPIGSPITGGSEYEWADIQARTFCKWLNKQLESQGLDPMIDLVRDFSNGV KLIQRMLILLQEIMSEESLGRYVKKPTMRVQKCENAAKALNFIRGKNIKLTNIGPEDI VDGNMKLILGMIWTLILRFTIASITEEGLSAKDGLLLWCQRKTTPYNPEVDIQNFKSS FADGLALCALIHRHRPELLDYHSLDKSDRRRNTELAFRVAEERLGIPRLLEVKDLCDV EVPDERSVMTYVAEFFHKFSSEDKAETGARRVEKFAELMQGLWTNKNDFERRMAALLT SLDSTLHSWSLTPQSTTYPEAISHLNRFNEYKKTTKRGYVKERQELAGLYSNIQTKLK TYSLQSWEPASGLRLEDLEKKWQGFLVVETGRSRAINASIRDIKDSLRKSYARAAEEF VLRLQEIEQAIGALQGSLSDQKQTLIKLSSTIPSLRSIFTTTILDLNNSCLEAKVEEN DYTVLTYDDLEYELELAESGVKKKLAFVDNQMVSAQHTNITPAKLEEFEATFKHFAYE ETNTLGVWEMHSALASLGIVYAEEEIGMIFTELEAKFGAVTFEAWLDLLVDLTKDDAS SPEQLREAFRGMAGDKPYVTDLDFQYAQLPKETIRFLFEAMPQEAEPQPLEEGQDKPV AEYQKAFDCCG
I206_02684	MGFNAPDHDTMAKLRDEAETNHKAYWAENGNGYQPTGKYFPGFE SGWADAVNALSSGNDIPDDLTSWAKQRAQETGHGNEDDWEWEHGFKSGAEAAKLAGFN 
I206_02685	MAQQSQPLKVLSIGSPLSELSTLVSKTTAINAKHGPFDACVIVG DLFKEGSDGSEVNGISLPVPTYFTVGKYALPESIKEQIIKTGGEVANNLVYLGKSSVL TTAQGLKIACVGGSFTEEGYDTIGDQFSPVISKDSISTILNNPLLSPATANVADSLAS AKQELEALPPAFQGVDLLLFSTPPPHLSTLSPSYATSGVALATSAPPLEEVVRRAKPR YLLWGDGDGFWEREPWGWAGVNGKEERWTRAVKLGALGGDVPAGAKKARWFYAFTLPP QTAASPLPARPANATPNPFGMPGLPGGTSAISKKRGPLEDQNHIFGGQQPKKGRTEGG PPPESYVCKICAQPGHWIQECPQKTSRDVKDHSKPPSGYLCKICQSPEHFIKDCPQKD EKPRGPKPPPQGYVCRACGIPDAHYIKDCPVVMEREEAKSKRKELGPAECWFCLSNPK VTKHLIVAIGSETYVTLPKGQLIPTLPKHIKQGGQKPLVPGGGHVLIIPIAHHPTLLS IPAEDAMSIISELETFKSSLRACYDSYGAVPVTFEVGRLSGRGGHAHIQILPIPKELA DNVEDSFRKAGEAQGIDWEIEPERSLAKIGPAGNYFKVELPDGRKLVHLLKGNFDLQF GRMVIGSLLGYHHRIDWKECSQSEAEDKEDAQKFKKAFAPFHS
I206_02686	MTSKYLKVSHPASNVWQITLTSPPDNRLTPELLSEFSQALDQVE LEWRKVGGGKFNPKEREKYEGKGAGALIITSDNEKFFSNGLDWERSLKIKNFFEAFAG GMILALSCDYRIITSGKGFLCMNELQFGSPLPNSFNALLSKRIPNPQHHRNTLLARRW TQKELSQIGLVDEVVDSDKVISRAVEVGKRDGGKVAAGAWGAIKRGAYHQVLDYSQSY RKLNLPPQEEQEFFDRVGKSQSKAKL
I206_02687	MTSLLPSTESGYLPYFLLITTCAGTYNAIQNHFVIWQSKEVYSK KANEMTFLAGRLFAAWTTLASLIRGTAAYNIHDKVAYNLVIGTYALATWHFTSEWLIF GSVKPNRGSIGAIIVGWVGLVWTLTQRDHYLS
I206_02688	MDLVQNGGSSKSPRAQAQSQSRNQVESDVIMDNADLQRTSNFIL PQWDIPPPRHLHSSQDLISLLHLDTLYNTYVRPYAEQNPDDNADVQGGDKKNINGPNR QFRRKKMEKGYWHLIEDCIDPTPTGTKIENQSLLPISQDFMNPTGIPPNLFADQIQML PTEAFQVATLEVGLKEDGYSGGIKVGVREAEERRKKKRAAKLSIGPISSNTELKNQGS HVIPSPSLPSPSFPYQIPGQSNSTPGTPLLPVLPPQKFPNQNTFSRKTSFPSNINQGQ TQGIKPFPIKGQNQYQSGQGKPYNPNKRPGSIDFGNSNSQKRVKSGSVGPVGSGSRSA SPMPSNSQGQGSIQGSNKIKIGMRSKTEGI
I206_02689	MSGYSEEDYKDFKSFSMSGESFNAPLKKRRITRACDRCHRGGTK CSPGPTPSICGPCASFGSECTFLRPVKRRGPAARSHSHDENHHYPSSGGTSAPDSPST RDDHWVYHEIASHEQIEELVEAYYRIIYPIQAYFHWPTFVSAINKRLYRKSRSFYCLT MAVCALAAARLRDGAPKEYHLKHELVPSEAYFQAIFTSFPTDLSKARDFDYKRTKVLL SMLCIQYGDISKAILHIGDYCTLISIDGFSLESRWPKGLNTIEIEERRRLYWNAYQAD IYLATTFGGMIRHRESQSTVLYPAEVLTDDEITPDGILPHAIPGRVSFIRGWNFVTNL YRIIEHALCQMRQRNQSYDGENQIAMLFSTKKVGAKKYDPGPEEILQTVENLYKDLPD CMRGMKEMTGNTFEDRYGFQAANILITLQIVKMVIAGMAEWSVEQRCAIAGELLDSLS ALPADFIRACSAPMLHHMAGVGHLLASIIQSPISPSAYLKVRTILLRLADLLSSLEST IKSASVICIAAKLRDHVERIDEYMINATEATGWNFALNSNTDSDTLQTSAHSAYQSSE PLIYNPRPSEHNSSAQRSTQSNELINFPQIPNYPPLLPNSNIDELIQPSMQDESTTQT QLDQQLKLPNDLFSDWSFMFNEFGSQGDAFDFLSTGIPTNGDWQVPGVSNV
I206_02690	MSCGDIPDGLATEEQGEALMPRKKKIQRFDKSLCQKCRESKSMY IIRNVTYCKPCFEYSLFTRFIRTLHPILKTEAALNLKEKDKKQSRIALNQGGTRPDTT NGSILIGLSGGSSSISLLNMLIEREYIGKGDDKKIDRTKGEKQPVWNKGYIIHVDFSD LIDDSNSQDRSDILKQWVESKENELVWIGLKAYDVYDANLKNKIRKIVGLSEEKEFES SGIAVDLKNPDLPIFPIASSSSSTSTPLDQLRSILATLPPASRPSLLSSILNSLITVT SDIIPNVSHVLLGETSTRQAQRLISGTALGKGYTLPLELAVTNKPYSKYTILKPMKDI TIKEVSIYTHLKGLNSIVRNDRKWDYSGPISKKDSRGKDHTRSLESLTEQFIANLGVT HPATVSTINRTGDKLTFTGEKQKGISCPVCQMPVDPNALEWKSRTALTSLPSKANVET VVSEIDQGLDEGKQGRLATLLCYACLTTFTPPTVVSKVIREEATPVQLPIWVEQNLNG RHEMGRNEMRDEIKDFLISEE
I206_02691	MFTGLIEHIATVSSIQDPSSSSSTSNSNEGFIITLNDSAAILDD CHIGDSICVNGACLTVIEFDKDSFKVNLAPETLNRTNLGELKIGDKVNTERAMSANSR YGGHSVQGHVDSTAKIISKKPDGDSIRYQFKLNDEQLNLLNYIIEKGYITIDGASLTI TNVNENENSFGIMLIKHSQEKLILTNKKINDKVNIEVDITGKYLLGSISKIESIVDKL LEKKLQERGL
I206_02692	MPPKLEQFSFPPPGWPSNTVPSSRKTSIIASNSIPYPPHAMNPY VQPPLPFNPYPIPPPHAPLGVQYVHPHAQYAPIPQHTSTTHSYFHASPPFPIGQYPVV PAHIQHPFPVFNPNLGPNAPQIAYQQVTAQNNHRPSNYSQFTPTRSSNYSNAMNYSSS QSRQVNGNIPPSSRSQYQPQSQQPVIYYHPQTYPPGVLPYFAAPPGQKTYDPSLNTPP TSQGLYTTYPSRLRTGITSLLQPEHITGGSKEREAFYAEQERELSSIPRGGSGASTPR YDSPIPNSTKRPGISNTGRRTNSRVNYAEDASDVDEEEDEDEEEELSELEEPDSDPDD DNYGSRRRPGHAPTRSSRRESGLVVGGYDHQLAMKAGKAKRKREEMDKGWTWLGDRTP AERVRSANARVTKHAIMSEELLEKEADRPELLVPISIDLDIPNMDPNIQGIRIKDRFL WNINEPFIDPIQFAQVFCDDVSISRDYAATISELIKNQLEESQNTLEIDISNEEAKED DVVWSSEEEDEVETPGGDMALDANVETVEINGGGVKGSTGEPTGGADTADQEQAGQEQ EEQEEEKEKSWEEADCRIIVNLDVQIYTHILRDRIEWDLSSTLPPLVFAKQYCKELGL TGEAIPLITWSIHEELLKHKKDALELDIFGKTHPEEQIKFEKTGNHPRTNLATTRRGY STGKTKGLNGVWRDWFERDEYSPALIELTFDEIIQREQERLRESRRVMRTLTTGNKRR RI
I206_02693	MSLGVSPTTPSLLIPSNPAFSSADNAPRGGILINVHRASEPTLS IDTALSGHTRIPSDPSSALLEENSASPLPSPNLITEGFNLSQIQSPTNKALKIRFAPL PDPRRPRSLSTGRNIAWTNQVGENGEETRKLSIKDHNVDEGDSYAVDDEEFDETEQAR KIYGGVEDEEGEEDDEEDENRKGGRRWSKTMGLGSSWKGTKKLLTGKNPMSSTKDKEK DDVLGYGQGAPLKKSVSTGGFIGSSPFRWTAETERKNTMQGTSPPTVTSLLSSQRSST GSYTNPGSSGHRRNSSLEPGTPSYSRRSTSPGTTPIKLMNGRVYGSRRACEAAEREKR LRERNEPAFVEWGSSHAAPQTAPETGGGNKGFLGDDDDGGGMAWVKRRREERQRRERE EKESKEREEAERSQGDESPTDGKDGIGVGLSSSQSSISSLGLRGQSQGQASRLDTNLK TPAIATTDLPPTPIIKISDPEHNLHSPQAQTHLQQRTNTMSDVFDVGPKGERMTPTPP NSSEINIGVGKPISNFNSGELVDERKEGDHVVQAMRIPSDSNKATHTNKNKHQMIDPF GQESPINENGSDEDDNDAEEEEDDGDFDDDEDEEIDIRTTSSAAGVEVISRHK
I206_02694	MALQATFEALRSHKEPIYRCSWPLQISSQERDLDFTQCFEHAVL LPAPLVLAILIGTAQIFSISRRLKKLQEQGGLIWQNRTKRNERICTLKLHLLSASAIF TLVSLGLSFAHVRQHILSTIHYALLLLAQLTFIHLTQLNHHTSRTSSTLILLFWPAYL LIFFIRLRTMILTGDLSSHLTSTLAGRLIIARESFWFLSLVVGLIGFLFELYSPEKRW KRFRAPWSKKGKIALAEDEEDDEEEALDGVDSLDGDGSLYRNGKNENGDVESPISTAN IYERLTFSWLTPLLSLGTRKFLGEEDMWALPSEDSAESLSSRLTTSWQNQVDAVKDGK KKKPSLTLAIIRAFGAPYFVAGLFKGCYDILNFLQPQLLRLLLSFVSSYGTDHPHPPV AGFAISILMFISANVATAILHQYFQRCFETTMRIRGGLVTLIYRKTFVLSNGEKAGRT TGDIVNLQSVDAVRIGDVCQYGHIAWSGPFQILIAFISLYRLVGWQAFMGVAVMIFSL PANTILNRINKRYQRQMMKIKDTRTRTMNEILNNIKSIKLYGWEKSFADKIYDIRNNQ ELKMLRRIGINQAFVNFIWQGTPFLVAFSTFATFALTSGKPLTSEIIFPAISLFQLLS FPMAMFANIINSIIEASVSLGRLEDFLSGEELDPNARTLIRPSEDPQGGPRHGDAVVS IKNGEFRWVADSEQPTLENIDLEVKKGELFAIIGRVGDGKSSLLGSMLGEMTRSEGSV TIRGEVAYFSQNSWILSATVKDNIVFGHRFDPTFYEEVLEACALKQDLAILPSGDKTE VGEKGVSLSGGQKARISLARAVYARADLYLLDDPLAAVDSHVGRHIFDKVIGPNGILK GKARVLCTNAVTFLPQADQIVMLRRGIILERGQYDEAMNNTSSELYKLITGLGKQSAK SEEESDGSSSPTVIDEEEDEENSSNEKEEEAEIDDTASLRKRQAFRRMSTAYMRRSSV VSVRQAKRDAVRDLRESAKPKEHSEKGNVKKEVYKDYISAASKIGVVIFLAAMMSGQG LGILSNFVLRSWASLNTQSNDTTNVVKYLTIYGIVGISGSILNVVSFATLKLVIALNS GRKLHDRAFGSLMRSPLSFFELTPTGRILNLFSRDIFVIDEVLIFALGSFFRTTTQVV GTVAVIAYGAPFVLLVFIPLGFLYRMVMRYYLATSRELKRLDAVSRSPIFSFFGETLS GLPIIRGYGQKGRFIANNEARIDRNQACYMPAMTINRWLAVRLEFLGSCLMFSTALVS VIALINYNSVDAGLVGLLMSYTISVTGTLNWLVRSASEVEQNIVSVERVLGYANLPSE APDFIEDKKPSKNWPEQGVIEFDKFSMRYRPELELCLREVSVKINGGERVGVVGRTGA GKSSLTLALFRILEAAGGRITIDGIDISTIGLHDLRSVVSIIPQDPQLFEGSLRNNID PTNVSSDADIWQALTQAHLKDHVTDNMGGSLDAEITEGGSNLSAGQRQLVCFARALLR KTKILVLDEATSSIDLETDEAVQDILRGTDFKGITTITIAHRINTILDSDKVLVMSDG KVAEYDSPEVLVEREESLFASLVKESGLGKKENSTSNSASKVASRATSVKGKD
I206_02695	MAKRAAPSSSTAPSSKKAKPASKGTPKGKKTSNETTDSPLDKAK QVASDIINTVSEVVDNAGDLILDDGNTPAPVSKVIEENVDVLALKKKGKAAKAKAVEV AQDAEEKLEQAVEKKTGVDVKKTKAKAGKVVKDAQDQVESAVEATKPLKGKAAKAGKV AQDTAEAAVESVDKVVKDPKNRKKAEDFMHSAEETVKAVAGKVGEVLSNVVDQFGEAS GLSADLGTDVEKGKKVAAKKGKQVVEAAEEKVEGGKKVAAKKGKQIKEVAEDKVEEGK KIAGKKGKQAQEAAEDVVEEGKKIAGKKGQQAKKVAEETVEEGKKIAGQKGKQAKEVV EETVQEGKKLAGKKGKQVTEAAEEVKETTKRKAKNAVDAAEPTTKKVKAAAEPIIEKG KKAIKDAEPAVTKGKKAAASAAGKATKAAADAMDIDEDDNEEYIHGFSSSDGEGDSDD ESDDDDEDRAVAEAGKKVDMSTLPMVAKDDKSVQAKLKKASKKKDDPKGTLYLGRVPH GFYEEQMKEYFSQFGDVTRLRLARNRKTGASKHYAYIEFSSASVAEIVSETMNNYLLM GHLLKCHVIPEDKVHPQLWVGANKKFRKIPTARVEKQKHEKERNDEEKGKADKKLLKK EGARKRKIKESGIEYDYPGHVSFNSNSTSSYSILAFIIFSFASLNSNFPLPHLKHHPK EVMLGANG
I206_02696	MSTPTQKNPNPPLSSQPPLSPSQYHAALSPGKASSIRSFTTATE DEDYYDAQNRPRSAALPGLQSLESTPRGGQGSQRGLPATAFGSSFGSPNTTWGDRLGN SNAGSMPRPSRNPRFLSSGMYQAPSASMSRSASRARPALPTRESVVNVDKDRKGNGED EEVEDRGAELIKQRQRERRQARRKKAHLELEKRLAAEAEAEGLTPLPTPGLSAPTTGL PDESFHQQQQQQRGYTGTNSRSVSRSRAASSDRRRIPYEAGYFPRQPSLAGTETPRDG GLSPRDEFLRAPSVHSTQDEEDEGSVAADRASIVDEIVHDVVEEETGGEAMTDEEDED DEGEGDDEAVTLRDRQDALNIEHPFGLPIWKPALYRKSRSVTRNAESALHSIPSAAAE RHLLPGNILWTLLFGWWLALACFVVAIFVSAAEVLGGGRGGYGKTLRGLAWYIGWPFG KYVEGEGAPEDDPDNSYHEDEEARPTRSSGYGTWTSRSTSSVSPTPKQRVTSDSASSN FTIRNTPSRDSLGLHAGLPDEPTRPPPAPTSPAGASSSTIRGGDSHDRHPTVTFSPSV KVRDTLDDERTTLLGNGKQEIKGFRRPRNKKAKFLGRLIYWPGFFLIVAPFMSLVCIL CWFFVITIPMAKLTWALLKLLYYRPLEINFRSAPKVVVPVSNGDTPHGSPESGEGSGT GSGSTTLQGEDGSPSGYTMKRAHLTAGQVAPTSGPRSTVLLCTYRAVGLQYYKYTVGG VNIMFINLLPLVFCVTIDGLFILPFVERLEHKHLPVSPLLKLITSQALIFVLALASVI PLSYFIGMAVASISAQSSIGMGAVINATFGSIIEIILYGIALTQGKGRLVEGSIVGSI LAGVLLMPGVSMCSGAFKRKEQKFNAKSAGVTSTMLIMAIIGTLTPTMFYQTYGSFEL HCEGCPAPIQHNITVLPDSQLQTMAGLDGNNNLWMCDHCYYEHPNPQSDPFYKDQVET LMYGCAAILLFSYLIGLWFSLRTHAAQIWQNPQQLMKSEDAAIQAMHPAVKATLTQRI TPQAVMQHILPLHRANTANNSPFQPRSVGGSPKASLSRLPSHIGHRPSPVPEEGPSLS TGQAGSSAEGYGKDRLASNTFNLPAGYTPFLESVDKDLKTSQSHLTPMRLPSSLTTED FTRAVAVATVSALRHQGSIIGSSSQSGSAQKTRPIIHSHETTAAAGAGGGGQMTASNS AKGNWQDDDEEGHGGHEGPSWTRGVSAGVLLGCTLLYAVIAEILVDVVDVVLQGSGID EKFLGLTLFALVPNTTEFMNAMSFALNGNIALSMEIGSAYALQVCLLQIPAMVAFSAL YQPDKMGDVVDTFTLIFPRWDVIAIILSIFLLTYTYIEARSNYHRGSILILAYIVLIM GFYYAPVRAEGDTPPDLVYGPEMLNQLNTGLGISITKFWA
I206_02697	MSAPPDHPSHSDDSVEEDSNSIVNSASSPTQTIRQSNLGSQPAA AQTFADILKSPPPQRSGQGEDEMSSGLAVAPELRRSGSQQQQEALGKGRPASSYSDSQ ETLQK
I206_02698	MPAYRLEVAPSNRSKCNGPRPCHGNKIDKGTLRFGVWVEIMGNG SFKWKHWGCVSEKVISNVKNDFPDATDIDGFDELPEEYQSKVTTALEEGHVDPEDIPE SAIKPPSPTPEDGEEPASSPAKKKRTPKKKQADADDIDEKPKKPRGKKAKKDEDESEL SEEEKKPKKKSAKKATKKEEESDLTEEEEVKPKKKAAKAKTVKAEPEAEQVDRKPKRK AAPRKSLVESDEEEAEDIKPASKKARKPKSKAKVEESD
I206_02699	MKAADGSRRPLWLNQNTLTAHIFFGPYVLFPILAALTWLGGILA LLGLWVKDGKPRYQSDEASVVFISDVGATHQKTFIGICCTTAGFYILSLFAERWLRHV DRLPTDLRKREKIFDWLAIFFCIIGSAGLVLLSAFNAFDHSTVHWTMTLVFIIGVALS AIFQSAEVWSLHKDHPDRKSLRRNSILKLLVVLLAILCAIGFGATYAVCGGNSTPYKS HTAETCNKVTSAAAALEWTVAFILVFYFLTIAADLWPAGKSSPRYMRRLAKWQAKHDN FNGLEKDFTGRRAFNETSQVGGNLTWQQREINLRQDMLNRNLGRDVTPQSNSDEFIGN GIGNGNGNVNTNGYTQNQLDTPITARSSVGGETDGRYSMGSQTPMMRQV
I206_02700	MTNLESSNNQVYLEKLHYLLSRTSEGSTICPSQIPRLLNKEDSI NYPNWRELMDSVRSLIWEQVKIGNVQVTQKGEIRKYEERNEIKGPIRVKKGINWTEDL LIHQD
I206_02701	MINVTKLFSLLPLLAYTTWAIPTGSNLTKRCVDTISSYDEVSSA VSSGCDIQLGSITVPAGKALDLSGLSSGTTVTVTGDVTFTGGKEWEGPMFIIDGSDIT FNGGGHTFDGQGATYWDGQGSNGGKTKPKMMKLKMSGVFSDLIVLNAPVQAFSVGNKE ALKITGVTVDDRAGDELGSDGKTLGHNSDCFDVSATDTTLDGNFCYNQDDCLAINKGT GITFSNNYCSGGHGISIGSIKSDAVVSDITISGNTVIDSDNGIRIKTIVDATGGSVSD VTYTENKVSGITKYGVVIQQDYLNGGPTGTPTNGIEIKNININSDNTVEVDSGAKYGV YVLCGDGSCTGTWDWSGLTVSGAGNSITGNPPITGFSA
I206_02702	MLPTAARRSLAGLIPPKIATPGAVSSGTTSVRTAQVIDFYSKLP KGPKPASERPGGLRGRYFEGKNASG
I206_02703	MSPPADGRLGKRESGTARILGSGASGVAELMVFHPVDTVAKRLM SNKSAISSIGLNNIIFKSAANAPITSKFLSLFPGLGYAAGYKIAQRVYKFGGQPYFRD LIDKNGGGWFRDTFGKKTGGMLMHATAGSLTGIGEVVLLPLDVLKIKMQTNPEAIKGR GLFKLITDEGIASLYRGWGWTMARNAPGSFALFGGSAVTKEYLFQLSDYSSATWTQNF VASITGAVASITVAAPLDVVKTRIQNANFNSTVGGSAIIREMIRTEGVGAFFKGLTPK ILVVGPKLVFSYTLAQSLIPFFGKYGTDVHPLFK
I206_02704	MSDKLKAPEVLPSVLILGGTTTIARTLAQYLLSPDSPKASFVRL ADRFSVNPPTTYLDKSFLSLLNYSSSKLEYKQVNLLNTAKHIELFTPPTEFNGINIRT NGEDVFEGFGIVFDLTGEVSFDKPELLQISNTYQTSLSLATSASKLPSNLKPKSYVRL TFPFYEQKSLPSSSAGHSESAELKPDGVRGRWWNEVLRGIGQLDLNFGVIRCAAWYGP GTYLGEIVPRLVVGHVYQYLQVLIENTCSADLRVNTVHSLDVAQALYLLSLYLLSKPR SEVISESSRSSISETWKTIPTVVPENITVKVPLFNVVDENDSTQGSLAKIVAETWSIK FGFLNSAMASLVQQFAKNDFTEMVEDVNEMHVEAWSKMLASSDPPIESSPITPFLDDH AFRKMSICLDGSKAKRILGFKAIHPKVQVQELREIIKGFQEDRLWPKLS
I206_02705	MASIKAKSFKLALIQLGSLNSSKSNNIQIAKKAISKAISSFSKD EENKPNLIVLPEIWNSPYAVNSFREYSEKIPKIGSKIGQLNENEEGETVKAMREMARD NKIWLIGGSIPQKDEKTDEIFNTCTVYDPEGTLVAIHQKVHLFDIDIPGRQTFKESDT LTGGKSLTTFDTPFGKIGLGICYDIRFPEMATIAARQGCVAMIYPAAFNTTTGPMHWT LLQRARAVDNQIYVAMCSPARHPEASYQAYGHSSVVNPLGDILVEASHEPTTIYADID VDLLNTTRRNLPVTIQRRFDVYPDVAEKFL
I206_02706	MHISASTLISTLLLAGNGALAQLLTTTYTWAQGDTVVISTGTNA LGAATTRVVQTITSGVAATTINTRLATATTATTAVNDDGDDEPTTTRTTTTGRTTTTD NQRVVGNTPTTTGAPMHTTTYWLDPGDGVWTVFTWTAPTTALPTVATANVPAGTIQDY QAYQSAINSVVLESAQAAVANASKSGSLARRSVVSTDAILGGWTAMVLGVVGAGVGVF ML
I206_02707	MVKPSHPALVWPRSDGDPSRWPDTTHNPSDESWFEEVGDDSARF ESYSSGIAKHLAIELKLNVDPTAQRIPMPEGYKLFVHRKKQSSGEIRQDFYLYGTTHA AKFRSVPEFVDHASWLFDTSKPLDDHSTCTCRYNKAGTQRRQSMPGSASKRPSGSSPL KEGSAKKKRAVTETEEDFAPAAVVPERAEELRSQRRFRRGELIWFRINTIAPPTNAST SNLSPITHWPGLVSNVPLKSRIVNSGDTASASASESNIVHYYEYHIRPLGMFNAKDEL IKDSKDILPWQVGNELLGGERGWDSIGHYAEKILKGGVKKESSKVKDSLKDREEKEKE EQAKEEQERQEIPSVEALLEKGWKSNWAKRIKFIEMSNNYEDVVFRLSVALKTGSSIT NSWAQTDKIEVLSNDQDISAEDMAAILDQRKTLYQGLWWGGERIWLDDLVRLKKNRKD LPSGELEKPSDGAMDRGVFLKIRLIAIEVNTSFPTSTGWRCILYGDLFELAKEGTPGA EPTQATNGGTDDSLVRFYKPPRGFAYKQLNEAASEVTVDIIDVAGRVYPDLLDSTTQN WFIDSSKPNEKEGRVAPGEGALALAGLRSGTTVASKSSDWEEDLYSIVQKTTRLTEIS MKDYYLSLLREEVGLPRLSTMQQNGNGAGNGQAGTLAEVLGELGGALKA
I206_02708	MIGLKGLLNVIDNTGALKVECINVLKVKTRLKSTGTATVGDEIV CVVNKARPIPANEVIKNPSSSSNIQKIRKGDVRRAVVVRVKKTVQRVDGSVVRFDDNA AVLLNNKGEMLGTRIVGPVAAELRKSKGGAAGAGGRWGKILMLAPKVV
I206_02710	MPSARRVVTTIPCIGTGFLMKRLSPSISGTNVGAQLTGQWSSED YSSTAPTTYKTTDGSYKLVCRYSYPTDEQNLGRRADTTYDCTYTAGGSQSLTSDASNT DNECPTIQTDVKPQASQGVDYRKRMERRGTAIHPKQSCLRQSSDGTYWLLNAGIKQGS YTEDGAQTSYEIECLYRTSDTPSGLTCTWTQDMADGGDIVTLTTTEYGWCPSTLFYAS TEGTNNMRRSIGGKRDLIWQEPNPYNSRQVNTRSLRTGRNYRNQWIKNLINRGIIEER C
I206_02711	MSFLRSAINVSRVAPRRSPLAARVIPSVRSFTTPTVVRYDKNGD PLEEHRVEVEPSHATIDESITFEHPTKWSDKHPGHDMTKGDYGRHTKRTLSSFSMDGK VCLVTGAARGLGNMMARTFVESGANAIVLVDLKQDEAQKAADDLVKWFVENGEAEEGE IQALGLGCDVSDEASVAKVFATVKEKFGRLDACVTAAGIVENFVAHEYPTQKIRKLLD INIMGTWFCALEAAKLMPEGGSITLVGSMSGSIVNVPQPQTPYNFSKAGVRHMARSLA VEWASKNIRVNCLAPGYTLTNLTKVILDANPVLRDEWLNRIPMGRLADPSDLKGAVIY LASDSSKYTTGAEIVVDGGYTCL
I206_02712	MPFVKTQKNDAYFSRYQVKPRRRREGKTDYQARRGLVSQAKNKY ASPKYRLVVRITNKQVICQIVYAKIQGDAVLVHASSKELPKFGIEHGLTNWTACYATG LLVARRALTKLGLADKYEGVAEPSGELELTEALGDDEPRPFKAYLDVGLRRTSTGARV FGAMKGASDGGIFIPHNEKRFPGYDPETKAIDAEVLQNYIIGGHVAEYMESLEEEDDE RFKKQFSSYLAADVGSADIEEIYTEAYAKIREDPSFTPTEKDVAKWKSESKKYKAPKS TKEEKQSRIQAKIEAYKAGKVDAAEEDEEDDE
I206_02713	MLLNSPLALAAALLPLVQAGMYGQPVLNLDAKSFKQAMSTEHAA MVAFVAPWCGHCKNLGPEYTSAAQSLSPLIPFYAIDCDDQKNKGLCAEYGIQGFPTIK AFPKASKGPAKDYQGERKKGALIEYAKNLVPDKVKKLRVDKEGKEDSVIKSFLQEKSS LPHVLLVHPSAPSIPFLWKVLAHRLSGKMHLGFVRDTPSRSVLSSLGVYDAADTAKDA TRVVSWPAEATSSEGLVEYEGAMKFNALLEWLQFQLTGETSTNNDSEKVQSGSKQKQK PVKIPEPIQTGLEDDSEIKGEERSVKSEAAARKAKLDEAERRDKERREKAALKAKQDK AEQEGKGTSDVLLEDDNDKDGSSTVDAPEAIPQEAVEDHTAREAAINAEKEPADPSPE QIATKTQDEQVVEKTSVPHEEL
I206_02714	MTSRSALRPLQSCLKCNRSSFISHRKISTSIQRNNSDTQEGKIN KWFINENNQQSHISSSSSSSSSLDSNSSNSINNISFSFDNMNSNQNLDETIQIIPNNI PKNIYPIYKFLIEPKNEASEVLLQNTIKIYDNSNLLKKLELKGQGELIKSNENENENS NNENGSWYNWIIVIQVKGNGRNTISRADGVIRRWLLKNPLHPSIIASPFEYPKTPRIS PDSDWSIIPLNLGPNSGIRVCINLINEQGKERWKLDELFSKGI
I206_02715	MPTIAVDKAELYKRLEREYTTHEFDELCFDFGIELDEDTTKDVE EARAKGLPTPAPQLKIEIPANRYDLLCLEGLARSLRVFLQKEEAPKFTLSVPEKLQKV HVEASTSPLRPYFASAILRLARPMNQLEYDSFIDLQDKLHQNLCRQRKFVAIGTHDLD TLEGPFRYICKDPKEIKFAPLNKDEEYTAEQLMSVYETDRHLGRYLNIIRDAPAYPVI YDSKDRVLSMPPIINSQHSKIVAGKTKNIFVDTTATDKTKLDIVINMISTMFAEYTDI PFTIEPVKIIMPDGSSHLSPPLAPRSTTASSSYINAATGLTLSREEICTLLTKMSLTA TPSTTDADALDVQVPPTRPDILHECDIMEDAAIAYGFNNLPQSMPTTNTVAKAFPVNR LGDLIRKECAMAGWIEALPLILCSHDENFKWLNRSDPGNYAVQLANPKSLEYQVVRTS LLPGMLKTVRENKALPLPLKIFECSDVAIQDSKSERQAKNYRRLCAVYMDKKAGFEIA HGLLDRIMQILGVPFLEKKESEGKYGYYIASAEDPTYLQGRAAHVYYRSKPNVTPSEP TPSTSTSSEGPLSTLASGLKSVLPSGSEETNSWSRDIVIGSLGILHPSVLSNFELTRP CSSLEIDVEPLL
I206_02716	MRPQVFRATRSAGRRFNSTSSSSSSSSSSPLNNPNVQKGVEAAT KAYNQTAATVKKVAGPLGERVGSALGGYREPLVYNSKVVASVAKQVWQAEKLSPPLDS ATWARAYSQIYSKATNGGYWRDLLKTGAWAGLGVAALEAYGIFKLGEIVGRRNLVGYK IKE
I206_02717	MNNPAVTNLVISLGAMQLARKIPMEDPVTVNYLRIGYVASQVLS LAVYYYITLKIRKRNDLTVLKYVNPAAPMNPDAKPELVQTTVKDYDLAEVGKAIKSLF VSLAFMAFLHGYLKYTQPLFIQGLMGIKSTLESNPAKLHLWGKKAEGDLSRPFKTGGG LLESLTGAASGPQTDAASIKAAEKVGGKSD
I206_02718	MSDSGAAIPNGNDQAGPSNTNSSNSVPSSPKSPLNGTHHEIDED EISSDPAVRISQLEQELKTTRQENEKLSGQYRGLLGKLTAMRNTLGDKLKEDAEELDR RENTINNLSNENNSLQELINNLKNELKNSSEESFDLSNKLNKLRSQSDNSSSDVLNLT KEMRELRGEIERIRIEKEELEIELGNERSKKDLLENDFFKIERRLEDQQLNFEKIQFD FEDEKQRSINLQEVLSEFQIAKDSELRQATSELETQLRLAATSLSEYKLRCANAETKL SEVSSNAGKVGSLEREIREKNILIGKLRHDAVVNNEHLTEALRRLRKNSSDNNVDRRL VTNILLSFLTTSRGDTKRFEMLSLLSTILSWDDNEREKAGLQRKGGTSLNVESKGRRG SGKEKERTAEEEAAMNESFSNLFVEFLLKEASQGQTRSSVSSPTPTDSTISNQHQHQH PQRTFSQTSINSPSPFSPPSGSTTFSPPPIMNQSLSGTTTPYNRPRGLSNSSYTSERP YFGTSGRRISGGLRDVLNHNQNQNQNHNQNQAGQGYQ
I206_02719	MKGQSSRKALKTSFRASPRSIRPIYTGGPVLLTKDGQWLITTMG EEVLVTEVKTGLAIAKVRGDSTSITSLALSYHTEPPTLITAHSSMTIRYYPLPSSAPA SNTTKPPLLTYSRALSRAHSAPILVSAVSPDSTLLATGSSDGVVKVWDLEGGYVTHLF RGHGGPVSALHFNFPIIEGEERRRMELMTGSTDARVRVFDLRDASARVVGGGNAVKPK AILEGHVSVVRGIDTTPDGKWAVTGGRDKVVLVWDMLFADSVGQSSKKAGKGKATAVG GPKLVQTIIAQEQIESLGLLPLEENVAGSSKGRLLCHTGGDSGIVRVWDVLKGKEIGT ITGVEGVDEAEVDEDEQRGVLNVIYESTTSSLVSIHADQNIIFHSLSSLQPIRQIVGF NDEIVDSIFLNSTASSSTHSHLALATNSALIRLYSTSTFDVRLLSGHKDMVLCLDKSA DHRWLVSGSKDKTARVWAPTPDGKGWKCIAICEGHAESIGAVALSRKLDEKGRSGRFL FTASQDRTIKMWDLTSLPFDSEELPEEPIKPRSMVTLRVHEKDINSLDLSPNDKFLAS GSQDKLVKIFHVDFDATSGSASGSLRLAGTCKGHRRGVWSVKFSRTDRVVASAANDKT IRLWSLDDFSCLKTFEGHTNAVLRVDFLSHGMQLITSARDGLVKLWNIKDEQCVKTLD NHEDQVWALAISSDEKTIVSAGSDSVATFWEDSTEIEQAEKNEALVKAVQSEQDFTNY IVLKDFRRAILLALSMSQPGRLLHLFRTIITSPEPAVGSIIGSNEVDEVLRTLPGLDL VRLLKFIRDWNSNAKTSPIAQTILNAIFKLRSHEDILLAFESANKLPTLDSIEDDDEE EQQPGGETEEKKPKKQSKDERIAAPISIKELLDGLIPYSERHFGRIDKLVQDSYMLDY VLGEMDGGIFGGEIMDIDN
I206_02720	MRICSRVLICSITAGTDPTRLIQHLQKIDPTLDQDYFTHLWTLL CAHSSIQVIITNEPILLPGGTTELGTAPLPEGWVLPQGIQANADLSTLYKEGFRGRQI AFMLAGQEFRSDKQAIQDKKEAQKKKAKKAKEATLKRNRDRADDNSDGEAKVDPSGAG TLRVLHVDDAQEDSPSDTIPKDHLRRLWDRWGARLRIRCTEDEIYYRLTGSHAKISKI TATVFHVLQLAAMCREKGITAIDLGPLVGASQGSMHYFMKVLVELGLCAKVPAVLHAS VTNLLVFHRFLEQNPNYCALIGKPLQSTDDANEDGADEPDSGDEDIDPSLDDGSKFVD WGFNFPTLTEADLMAGHAVKQRLLKMLDHPKLQNHLLRRKNLLPALGWQGQAVMRHRR AVKRHIDGLVIDGLVERLEVGEAKTSCIRLTKYNPELSHKAEIQDIGEAPLDAPDKEH DLELISSSPPYTPPLNPEILNVPLTVTFEHWIIDLVIRSEQNVEEDRRGMTINAIWQN TNYMYKRSIDFAILRSDNARIPEHLWPYSISSFMETVKKERRLRLFTTAEYQRIMLKE GQTLEGYPSMPRPPIAGDFGDTSFKYFYSSVAQLNKFLDDGKSLSGEMAPPKKIGRAI NSSTAKFNSRQVTATPGASIVPEDADDNVAYESDQDNKGGKKEFRYADSSVQIRGRPR KYIHVVEEDGKTNRRIIGTIFSREDLPQVLIYIKSLNLLVTAPTGYSGLGPPPAPSQE AIQEGHPPQYYYQFPAKVAAAFSGLPGRKAKAKLDNKAKADADNSVVAEGDEGGREDQ TKGKKGKGKKRNINSGSASEATVTPIPSRKSKRQKKDVKYDDAIDMIEIPNTSTPVRE SGSNILVEEARSDAVNHQSHAAVVEPVELSILGPETSKSKGSLDSTSDAAMVLDTPNH QGSSAPPDVDRVPVLAALTTPEQPKRSSRKAPKGNGNQDTEAEQGTAAKSKSTNQSRK KKAANKTDTAAQPTQSVLPNNGTQNKNPTRSNAGSRFFPIDIPDESFDVTMEQGIGTV LAELSQSGVRPLPRPTAQAIAIASSGGTPHPMSPTTTNSMPASSTAARLASSTNATGA TSKKRKPTPPAGDETPTKHPRSSKGKAIALAEPPQETAQAVEDDRRISLFKLPEEPMK ETPFELPVDIVSAAKLPTQDVVTEKSAEPDSDLGAHPKLQETASEQPSAFENPIIDPA LSEQPHEITADTVRTDQSSLMPPPNLEPQVRFGPHSVNRLSTPTSRSETPLREMSAGP STPAQPSSIDSGTPYSGASGRTRNHKLLHAPKGTPNGGRIDLGSIRRANELVQVLQDN GGAMMDTRLLHEHRAWAFKYAGTDHPNAPATGYGMDRLVVKKTINTLMGEGRVKETIV SVPTPTGRWVKTSVLYLADLPHEQLQTYIRQMSTSIAQSMTPNAKKMSTPQHASLPNT PFTELPRVGPKNGGKLVFDATPTKPGSSRTRPFSERRTALLKELKVVGQLLGWKTSRS VRVQVLHRAMIKALSRKDCGSVASSSPRIFAFPLLCEEITAEEWYACCLVLSYNEDIE HWLRDPQNRATRLKDVPRPFRPVGGFGGSATKAKMNTLLQILVALKIISPVVPVAKEE AEFFADDSQENGFKLEESTISSTYYVLHDMVPIYHIASVPPPLLGLLPAITEADIDTL WAHIKRCSLELRIDVIGKIGEQTKPGLPISANISDILDISTDYMNLLQRPKRWRTEMN LHPIQKAALDDVFDRKTGQSCINNQTELEAFAYENALPLPFLEKEITRRAEVARFNAA RVSERLKEHASIAQKRQARVQQNIRQKLIERQEKARQAWLDRIKLSGERKNVGYTDQL VEFVSRQTIQGGSLKMPDVSDNLVDYWVMIWEQVKDLSPEQRESELEERRRIQANRIR LTISKFVPRSRKNRTSTRKPKVKVPKTGFQRRIRSKRKWTVEDDEMIFDSEAIIRARS RSNGHKGRQAVHDQIFPDMAPTTLRNRLHKILTQPGKKAYFDRVEDAWYNLWSKIKGT DELKDDHPDDSVNFDLKDHVKVLREKIDKKSLRLLALSTPIEDQIQAPDLPSNSYEIA ETYDWIYIQTEIHSFDLAADSIAAEEVRINSIGSISLLEDTASSVEHGQIDSRQMGLL QASMRILVRSPDENYDALRGQKLLQPWSDGQHDIAINDMLERNILRQLPTPSRDNERQ YGYTQGWYALNEGYLPPNVSHTAQNLPAKLESEDGVEWPLIGEPGELANLMNMVSNHE VNDDAYEFPIQAKRAIVPSPRSPVSFPEHTINKPLFKWSEVIQIENQAEVRYVQDKVV QKVTESGANGIPKADLLDSVKCSTDMLEQSLAALAVEEDQRVFWAGYDTARLVSVKHW NEWCMRLQPMSGEERMIAPRRWYDLYGKFDEEDFEQAMEATKSLIITRPGITLRSVKE RFSTILDRLELVDVLEFLIKSSKIEAKWSANQQARILPPIESIGLEEERDIALFPVID RIW
I206_02721	MKTSTSFAILAVLATVGTTIAAPLPHKDHDKVSTVDMGKDHDRH DHVLDAAIKADVHMPKAGKHGHHARSDPLQTTKSLTGAIGLPTADHTKNVGLQNGHKM GKTQVNQLNPAKVLSYNTVTKSDGLNKSSKPLRGDTAKIGQQLNSPDSGAAGQVGKSV DGIMRPLEKEILSRDLLGGVTGGIDNNVNKAMNGNLNNNAPAGVVTKPLGTAAGSSGT TTGQVTKPVTGNLVDSPTVKPGLLSSKGGVTDLNNGNLGTNGGMIGSAENVVAGGTSP VFNSNSQNIPVWTVTSTVGKLPNTVNGVMNGINVDPLSNENVHVTTDSTQSDVTNTAD KSLGDTITQKPIDNTVNSSAYSGEGKDHRINPHATDGTESRTETLIQDNTSNTKATMQ DTTGIKSGSIDVNKNVKENTKDLNQSGLNKVENSGDKPIDQATDKIIPSSEHQKSQGS LITANNQNVDAKIVQTPGKSDKIAEKNSNSISGSKLNSDNSNVKVSLPHQAAKELPKT EKAVTVEPDHDHVKSTGKIVQQVKAVLNTNSISTPTQSNSHSPSTSATQIASSTSSAS TSASGAHGHSGRPLLFIGYTNGVLNPTSTIASQSSSISPTPIADAHVHQGTIQVNKQQ QSSNLNLPLTSSLNLPLPSASAKTKIDSVINKALNKPRQATVIYGQKGEHVVACDGKS HQPNDMVDECIKADLLDFKPNQTEACPTDMQHDIQSDMSTDMLNDQNEVKEIQKYVRL CLTAAAI
I206_02722	MFEKLRAKITAHHSSHPLAKQRTEFLAVKADTPLERKGHFTAET VGAAAAYEAFKAFENNEAHSTGIDGKVSHARSKQIIVGLAEGRVVKLVEEKRLPFTSE TEKVKFIKTAQKFAAADAKRSVRESGLYANHELDPLDSDERLAARAV
I206_02723	MPYIQVPDTSFDLFYRLSTPLRSNQATSIEEEYETILLFHPYWV DSFYFYPQFDDPSFNENYNIIAFDAPAHGSTKAKGISSEPVTWSYFASIVKDALTILK IPAVHVIGSTMGCCAAMHFASKYPEITKTLTMSAPPALEEATNWSLTFRECMHILINS VKTNDPEPLDAITSVIFDYNATSHSSQVLKDLEEEYCQSIRSRLLRGELNGEMTVPIL ISLALSRKHLLSLDEMVNLKPPVLIIQGTNEGWEASDDQWAKILEESDQIHRQKYGKG LNIKRMVLDDLPRWMSLTCPETVNPIIKGFVRTGSSGDVSSLAEFEVDQSTSTDTTMR MISTTRRRLSINPPKPRLPFSRTDPIDVGPVRQETVGSDKPDLMANKRFYSGSRHVNI PSNTRSGKNRSSSIDINVHVDVVTKVD
I206_02724	MIVRPPVGQQIPIGMGISTQQNGYNETEFENSISISRSSSQSPP DGIEELWCDQDELLSLPKYDEILESNSDPLFPSPHKFNLMVQDYLKNLSPKKREKALL TQKMYDAVLSVLEDPKDTSTKTAQFRFWAKKMFQLTNFGNEKIVCHDHKPVAVKEQIY EVLCHCHGQAGHGGRDKTSAQVRRYYSWIPKEIIARFVRDCPFCQSRRTQSSAGFSNM SESQAFLISLQTSDTQLANKRPVSLAQARANAAALGHKPHRRASIRTIDLCRSRRGSA VSDDGYIHYDTSENSTMSMGMGMEISGYDGNNDPYIDGLYVQPPPGTTAYEFPGTANQ DSNQLYNDASSGNNHPPMPRRASSYHEQSTTFLDVKPPCDLNSMIRRNSESNIPHFSK ESLQQHNQHMIYSNPPSNNPDIMGGNQFLSVPNSYMTGGYGSLSRTTSSSSLNSLNGD DSLSIDMGMGYFNQHLQMDSSDSSSSDRYVYSEDMGTGIETKIDEWRENNQIYPISGS TTPLDGNFSFPMNMISSMEVNLFPSSSSSGHGHLEYNSISAPPSTYMLPIPEFNFPNL NPMDEPIQLTESYNDNSIMGLTIGQPFSTHQHQNESDQATIDAVNAVQKMFDQNNIFA LGEAAGMSQDQILDIHDIDPNLLNTLSSPNNCNSVPPIIKLDQSQQSAEEINAELEAI SILALASQGSSDNLLSSNMTPNSLSQFQVSEESLKHMEFVLSQAVDEVTIIPMETPTV IIEQPVDGVGNVDRQNETIFLFSTDTNHPSFTNMIMEEPKGEFQFNHQEMDFSQWPEF ALS
I206_02725	MLPLSLLNAAQGKPMLVELKNGVTFNGHLVECDNFMNVTLREVY QTSADGERFWKMKEMFIKGNIIKYFRIADSILDTAMEEQDKQRAANRQRGGNRGGRGN QSNNRGGRGGQPGRGGPPGRGGGPGGGGRGGPGGRGGRGGPRGGRGGGQQ
I206_02726	MTTIPSHLSSVGPSPSRNPFARPKLGGISSSSFTRKTLLPSIIS PVKSSSETSIPSDNLVTPSSKNGAGVTTPRAKSAWRLLWRGGLEIGNEGFRLDGITFF ALLSFPPPTPSAGKEINPFDVPIQNTPGSRNGTFTPGGTGSQSPFPLTSGDTDLCLSL ESMRGRKYLQVRGIIDLPPDEVLEGDSDENGNTIQMSISSQAPLLAAYFTGLLCRNEK LNNNGRTVNAILIGLGDEGIDSSTNSTILVYGQKEEKAQSPYEPGTLKLYVGRRKPLP IQLSDIKKVKPGEPLPRAPLFFPAKAPKKPLPLFPTRSLSRTSSVSSSIYQHPQPHSQ PQQHKELSRHAQSTTHQIVANRGEKRPRNLDPTEDVTWQRKKSGQVDLIKPVSLNIKM ERVSSSTSSIQKDTILSGDRAKDRQEEEEEEEEEEEDIFGKRGKSITPNPPLMARTKS SESTGILNTSEKAISDIENTNGDEGSTNKNKRVRVPQQVLDNKAAIRKQTLVLLENRE ISRNHELFKEVFGMTTKGTYFTFRDRLHQNQISKIDIQKIVNIHLNMYLPNSQLKGFY IENLDNKIHNKVENLIEINDMKKEEEEEEENYKEIKLEDTFLNAQYHDYDLENKMKLQ SVIEEEED
I206_02727	MSDARLRRVQKEIRDCAKDKTSNISIDMIDESPFHLIGAFPGPP DTPYEGGYYEVDIVIPDAYPFQPVKMKFITYVYPNVSSASGAICLDILKDAWSPVLTL KSTLISLQSLLCDPVPNDPQDAEVAKHYLADRNSFNATAKHWAQAYAQAPAHKKQSEP GKVATDAEMAGLTEENVSGFTDMGFSREQVISILKKLNYRGNNVNPQSYNSVLEELLK 
I206_02728	MDEEDILNLVESQQAGPSTSVEIDKPEGMSKNAMKRAAKQARME ELKPLKRAAEKARRKERQTALSKGYTEGTLTEEDKALYEKRKKLEKDRKISKRKFDNG TLNDGEIWNGAIVIDLGFDELMNEQEINSMTSQISYCYSSNRTVIKPIKSIIHTSFSS SASPRLWNKMNDRNWHRWSRSIWWHQGIDELNKVLISSNSKDQQINNKNLDNIEKINI PEIHFDEQNNENQINLDNYLTGPNLPNELKNDNCKLVYLSADSEEEITELNENEIYII GGIVDRNRYKNLCQNKAENLNIRTARLPIGKFIENLPTRKVLTVNQVFDILLQYIQLN DWQKAFETVIPQRKFHDSKKAKHLHNSEKKLNEIIIENEELNDHTLSNEKELKEEEIL N
I206_02729	MSSSNLQNVTSPEHFKNLLSEDLNRVSCLNFWAPWAEPCKGFNQ QIEEESKKFTNVLFLNIEAEELSDISESFDIEAVPSFLLLRGHTLLARHSGSDISLLQ SLLSQHSGSSSSTSSSSGGLATSNAQPQAPPNSEPKKRTEEEINKRCHELMNKHKVVL FMKGNPTSPKCGFSRQTVGLLREQGVEFAWFDILSDEDVRQGLKKVNDWPTFPQIVVR GELIGGLDILREMIESGEFQEVLNGEDDEIEEK
I206_02730	MSSEQETDQAIEIWRHRKLLTMLANARGAGTSCITLILPPRSQI SQASGMLTTEYGTASNIKSRVNRLSVLSAITSTQQKLKLYNRVPDNGLCVFVGTVLND EGKEKKISFALEPFKPINTSLYMCDSRFHVEALEELLENDSKWGFIIIDGNGALFGTL SGNTRDVVHKFTVDLPKKHGRGGQSALRFSRLREEARRNYVRKVAELAVQHFITADKV NVAGLVLAGSAELKTDLSGSDLFDPRLLAKVVKIVDVSYGGENGFNQAIELAADSLAN VKFVQEKKLIQKYFDEIALDTGKYCFGITDTLKALDMGAVETLIVWEQLDVQRNTLRN AAGEEIIVFSSPSDKDREKFMDKSTGTEMESAAEPQPLLEWFAEKYKEFGATLEFVTN KSQEGSQFVKGFGGIGGILRYKVDFTELGDLDDEDDEFYGSDEDSDGTTRCGAKKFEW DVEEAREGGIFRSRANL
I206_02731	MSSQPLLPHDRNDLNKSNKRKLILTTILIPIILIGGIILVSIKG DGIPKDNLGLAKYYLKGSPVIDGHIDLPEFARAFYGNDITSFDLNKPSKGHVDIPRIR EGSLGAFFWSIFVECREDNGQDFMNPTFQVRDTLEQIDVSYNLIEKYSDTFAFASSSD EVQTAIKEGKVASMFGLEGGHMLGNSLAVLRTYHKLGVRYMTLTHSCNNAFADSAGIF EGVEERWGGLSKFGRALIPEMNRLGVIVDISHVSDKTALQALSITRAPVMLSHSAARH FNNMSRNVPDDILAKIGRGKHQVDGVVMVNFFPVFASSKPEEVDVAYIADEIEYIVEK TGKHHVGIGSDYDGIESTPKGLEDVSKYPYLFAELIKRGWTEHELSLLAGGNFLRVFR GVEDTARKLQKDGWKPSQVIYEKRKDLEPIGGEF
I206_02732	MTITHATGYAIKDPKDYFNFELTKYELEPLEDNRVTVAVECCGV CGSDHHTISGGWGPWETKFVVTGHEVIGKVVEIGSKVTEFKIGQRVGVGAQVGSCGEC KSCKGPNEQYCRQPVHGYNTLWYDNHEHQGGYSTHVRAQERFVFPIPDALESTDAASM LCGGLTVFSPLVRNGAGPGKKVGVVGLGGLGHYAVLFGNALGAEVTVFSRSDAKKEDA LKMGAKRFIATSKGFEKDLQFEFDLIIVTASSSKLPLDELLSTLDVEKKLVFVGMPEE GLSNITSQTLSGNAAALASSHLGNKQEVEKMLKLAVEKNVKPWVNILPMKEAAKAIKA VEDNTVRYRSVLLQDINA
I206_02733	MVFGFGSSSSSSSSSSSSSSSSTPSSSSSFSSNQLNELGSEKPA PTREERKSCWNSRDLYFECLNKNKIIQAGDEIQSDSDSKKNGNSISIICNKEREGYEK DCGKAWIDYFNKRRTLELRRQATIDAAEKSGNKDKADAWRSVSGGGSSGR
I206_02734	MTSSSPFSSTPSLEQPESSFDLPESSFNLPQNQNEGSSSLLLLP PSPIGSESSLTSDETTFDHLASIPNSKSKNVNSINNEENSKAPILGLRDLIKMHKNEK QKLKLNKKNDNENNNNHNNSLIPISRSNEIIEIHNELPPSYFNIGRNENENEINEQEI IFAKQVIIKGWKIIGGDDWKDVAKLGSYVVYDINIFLINGGTIEILKRYTDFVNLRNS LKIKYPSLKDAIPKLPSKAHFSKFSKEFLEQRQPRLQRFLRCVILHPEMGKGGKNSIV GQWIIGK
I206_02735	MSNQSVYIISASRTPVGAKDGSLSTLTAPQLGVVAVKHALEKAG LSPEKVEEVYLGNVVQAGVGQSPARQVVIGAGIPESTDATTINKVCASGMKAIMLASQ NIQLGQRGIMIAGGMESMSQAPFLTPRHPPGFGHFETKDSLVVDGLFDVYNKVPMGNC ADSTAQKHQITREDQDDHCLSSYTRAEESWAKGLFEDEIAPVTVKTRKGDIIVKEDED YKKLLKEKFRSIRPVFTKDGTVTAANASSLNDGASAVVLASGDVVEKEGLKPIAKILG YADAACAPIDFPTAPTLAVPLALKHAGVAKEDIALWEFNEAFSVVACAAEKVLGLDRS IVNVKGGAVALGHPIGSSGCRIVVTLAHSLKKGEKGVAAICNGGGAASAIVIERL
I206_02736	MPFHLPSLGSKHDEQKERRKSQLSEDKPAYIPTSNSNVSRNGSG DSTKRKSLQLERTISIGSNNILDDNEIRSNSPKPISSPSSNSNYVENRRPNQHRSSSS TSGLHSILKNPKSPSILSGSSEYTTFSGNGNSNSNSNSFLNGINKKMSSLTFDRTDTI ESINDFNENEINRISSNPNSNSNHSTPATSVSSFNHNNNQNCPLYDNTYDEITNKFPF FMMTISSISTLSFIALPLNLRNIVIETINNCWKKGINKIQEIDYQPELMKKHKEKGCD SGVWEITMKGQAWMPTSSEQVSSKRILIKLMTEFAKEGYNLSSSFRTSAKDSGKDSLI FLLDEPDPEPIFFAVAFYSHDRIWIIDAEADVGQALEEGIKNWWVDGLRDARVRERHC RELRLKGAPWTAHSTQSLISARCIHLTIRKLITHCDRGYDFVGSVDMADKEEGEMPVS FYRKKWYNTKGQDRWMNEFENGLGLSTVSS
I206_02737	MSTNAEASTSRRLSNTDKRGGKETRNKSDKGKGKEKDDGSSRSP DNSSIQDGSMRALSPRSAAREGGSTSRRVRTVPPSAIYEPSTPPSPAHPSLPHPEDQS APLSPPEHHKKRHRLRYSSSSDSAVDSDDESSDDEPPWWTFTQRGMAKLRAKSLHRNG RDIEQGNGVIQGHLTEGESGKESGKEFPFHIKDRHRMSGVFIPGSRRSSKDREGITSS NTPSSSLKNIRRQSESPSASLSGTTQKLLHPAPIKFQNTQQFLRRSFHRQDSTPPIDT DSNEQTRLPRLTRTKSVPVRPASAPASPNLEASSPGMTTLVNDDLSSRLPSNESQQQP KISMELAEPISSRRLAKRQLTAPNFPKFFRRSGMDTDEEPMEALTDTEAIPSARNKIK SSLSKSVAMPGLSSSNLSTPNGSSHMIRSNTGGSNSTTDQGNSTPIRPKSKRRPTAML RIQLPPPITNRFKDGWPHAGSWQDALYGYYEEGGPNDPRATTTIRPPKSRRSTAKDVT FAKTTDGEEDPITPQRSEFTMTGSNVESAQNQKSDQEKFGNAENTKKTKSRRQKRYRQ ALVPPTPSGLGFTPSTRNDSMNGYPWNSKSDLNGSQQQGNNAEKGLGSNWENGLAQKE AKSQNDVAGDRNGSGLTGGENLERHDTAATTTATHSTTPSVRRGSEKGWWNLSERRKR KEKKKARRRQVDSDWKTRYRRMLFLDARVTIWIRLMNLAIVVVSLGLVITIRLELEQL RLPGLMGSSTTLIMSYSCLTILHVLTAIYREYFGKPIGLWGLRSKMLWVCLDLLFVAL WSSAMSLSINDLIATPLECTKGIAWWRKGLSYEYSELLNDLNLNSTNLISSSISNSLS ITLPSSIINSNLVKEACNRQIGCIALSLISLFLYGGNMVISLFRIFETVRRTANVSKA VTY
I206_02738	MADVDMAGELNGAGQSWAAKSVEGWIVIVTGLNEEATEEDLQDL FGDFGEVKNLSMALNRRTGYVMGYALIEFREKEEAEKAIKETDGTTFLEKTIKTDFAF AKAPSGARSRAPAGGARRGGRDREASPSRR
I206_02739	MASWGATSSAHAQPYRPLNVRDALSYLDQVKIQFNNQPDVYNRF LDVMKEFKGQIIDTPGVIDRVSTLFRGHPSLIQGFNTFLPPGYRIECTGAEGDSNGLI TVTTPAGTVSQIPGNFAAAIDQREREARAGPGAVRPPPPAETESKPSLASTNTPASQP LPPIHSHLASGPPPFQSGASRPSSATNTPAAAAPSRAPQSTTSTQAPPGPLPLPPHAS QPLPPSGPSTPSAAQFLASGGLSNNQNSNAQAAQGGARTPIVEFNHAISFVNKIKNRF NNDPDTYKQFLEILQTYQRDTRDIAEVYEQVTRLFNNAPDLLDEFKQFLPENGGFGGM GGFGSFVQAAAGAPPLAADKPTGPKRGGKDAEKPSAQKKRRPAADVAKGGAQAKVRAK RSTYVGAADGQPQKSKGGQRGESPSIDDNEPVPSLSTGNHPQTLASPDEVAFFDKVKK AIDDKVVYHEFLKLINLFVQDMIDTKTLLERAFYFIGEAPEVWANFQKVVGASADGKP PPNPSTAQGGYGFGGMIGVDNQIVENIPMLERVKPDLAASHVKSYGPSYRKLPRSEIN LQCTGRDAMCWEVLNDEWVSHPTWAAEDAAPFISHKKNMYEEMLHKSEEERHEYDYHI EANLRTIALLEPLNNKIQTMDPEERANFNLKAGLGGQSKSIYQRIIKKVYGKELGPDI IRALHENPVTALPIVLERLKAKDEEWKKAQREWNRVWREQDAKNFYKALDHQGVQFKT SDKKTLMQKSLVAEIEAKRREQQNVRSALLDPRSWRAKPQIAFEVKDIEVLKDSIKLI ISYLDRVGNSLSTQDQNRVERLLRDFVPALFMQDKDEFDAEFGDEDGDTPGDDSDESD GDVSMADDDEAASVASTSKKAGANKKASHHAADLRKKLLKSQNDVAGREKRGSTMTPG PGEVDTPVERAPSVEGTPMTENGDRAETPLPVPADPAEVAEAVEKDKAGAEASEQTWV QIDGLAESRAASEKSSDAGIPPAQKTIRKGNFFANTHFYVLIRLIQILYSRLVMCKDI AEELSTNKKQPINPLAIKLGLAEPDSQFYGIEDGENPAQHYYGHLLSMAEKLFEGEVD NAQYEETLRVMFGTKAYIMFTVDRVIAAIVKQVQTILGDMKSQELFALLQRDRLHDRT NTRRQIAYRMQAEGVLGADENLFKISYQPSKDVVSIQLLSREDLTIDDAETAQEKWRQ YIESYVLTHPTEGLPHRVDPPLLQRNLDDEITLSSDSVITKDGMEIKIALGNYRMFFT PDTEDYFHLIRKPDEIAELNKKEETYLEGAKKRLDDWVEKKFKGDEPETTA
I206_02740	MGSASRSGSEGTPTGMEKEGDGKTSTPKQNYSVESDSPPSPYDQ STHSSPPLPPADFNPPADFPIDSPISSISTPSVSSAASPTTYGLFSPSSDSGPSTYTP GDYSHLHRPALQSIQRVSSDSIATPGSGTSSLSSNPSDGGDHLAEMREDLKEKLLQSE VSTPARSRSSSSSMSTTTAENFRFNLINPTPPSEPALFEDYEDDEYNAEAGPSMPRRL SSGALANLDAAGTDMPVEHAWDSVWPAAGSAHRNVHPDLGSSRFPIAPVSPFVTPSFS PAIIPATSSSSSLSRKPPSPTVDPHEPVSPLRRSQSPVTNPFRFSPRSGGNSPNMEPS AHSDNYYGGRRRSVTADSPFSPHSPPSTAPISINLSRHNRSLSNSSQNGSSSRLSFHR GSPTTASPPKSPARPSLSIPYDNGRPTSPSSGRQNYMPGSPLSAPVNRLSNPGASPPI SAITKSRPRGHSLSSTIRGHPFSANPPSQSPSADRQSSPSAVASKAENKQDKSTSPFQ SSSSLPPFTLPPAVAKPPNPFPEPSQISIASNSARPPSPPLYAPLARPAVLRRALSDY DAKTRNVPPAFHRTLSITAELDKSPKQSTSSAEATSPSESKKGNGFDFNRGTKSPRKS PNVLPVISPQTARSAGPLGDTEQRVGDLAETSDQSYFPDVASQDWSGETATDHVGDID IVDEGDVLPMGDIQMDVTFDDEGLNTLERIFLLSKSEYPFHRAYVARVLGDLLNEVDP CESVEYVLPLLSGFSMDDDETVKEAFASELHRILWYFFSTCKLLIDDGDGEMTEVSEP PEYGIPREEHPPEHSKETLTITSEGMNAVPTPTIAEATENAIPMGRPRSDSSLLSTSS ATGPSSAGSSLTRPPSSKFSPGILEGPEVDTPNSSISASSQDTAFSPGLYIKPYAETG DADVDVSKEEQGALVDRPVLAVNFFTPLVGSLLLNQNPVISESVRNGVVAIMQRLRGK GEISLETWGHVSQQAEADERRGFVTQSGPHQHDLRPFSREARSVVEGELLQGIVIGMG QLSTDMPDMISEDPEQASEDEIRDHEAFQAQLVQEATAGRATSMNLIGAVSEFFEQHE LVENGFIEEILASGDGDVPVRAEAAVAISCLAKLIPVEHVYQMIPLFEMFCEDENDHV KQSACLALPALCERIESADYRRSFAVKAVQTLMAGDEDVRCAALEMLGEVIYIFHTDP RGPPDELIDVFTDDSDIRDGESDSDWDIVAIFNFPGVCLTLGSERWPDIRDLFQRLQD RAGEKVLQTTASCLHELTKILRPEQVVSDLLPVYTRLLGDSDEIRERVFEHVDVIIAS VPKDVGWSLFRHLARGWKEGMLGGWRAREKLGMHIPSFLETFAGWKGVEEILEMMRDA LLDPFAAVRDAVTKGIPKAYEVLGTRSPVAKKFRDILLGLGDSKVFRQRLTFIRCLRE FVKPPPNRQAFEDFFLPGLPRLSKDVVDVRLALAQTIADLFVVGAYYSNVGQNVPKTI WEIAYELAQDESTDVRDTVRKVNMDKARPTGKGKDVQVPYEVNVDGIKEDRSLKPGER EMRDTQRQSSGNSFPSVSNTSVASQHQHRKSSSNSGSSSSSSTSTITSNDPKPTGQSP NYNYDRSSPETTNPFSTSSGSSISVRRPSGEINTKMGSGSGSGSGSDDTSSTPRILND EFKTTFVKSPFSLESIPNKEGDGELENNNPFASSFGKATND
I206_02741	MADSTTYSIYSDSLPLTTHKASTTVIGHTSTSSPYTHVIYVDPD ENSYNPSTTISTSSFSVKSTIRYSTSTGISGNYGQGIPSSNYAGDIISSSSSSNPSIN SIINNNSSVESNGNSTNINNEGQGENQGQGHLSLPAIIAISIITTLLFVGICFSCFIY KRRRNRINKLPGDYNSNSSSNKISNNQNYQENKFNEIDIQALPSTISPSIDNLRQPRF SQRDLNNILINQNNDNNNNNNSPFEDPLFISRRRNNLIRQQSLVDRSNEINSLYTDNS EFDMLAQDGSSYARTLSTYSEGINSEYSERDLGSYLPQNQSSTIRRPQLEIDTKSSSS SSNVGFSNNLHSISTSTFSNYNRLISPPSTLISNQMNNNISPFSDPSSSSSSSDNSNY IAQPLISPISSSTTNRSWRTEDELLFTNQSSHLLDRNSSRQIGTNLQRGLTIVRHIDG GAITPRSTNNENMNEEENNEVHLPPTYRELYPQNR
I206_02742	MFAAASNLFKQSTYLSAYNIPSSYNSNGISSPNSSSTNLSILNS SSPVIGFSTNTINSSFENNGKSFNVGLWKVLNASHKTTNKEVSVWIFEKKVLDGIKSN LNFGGLPGKDWVIEQLKKEASALSRLRHPDILHMVEPLEESRSELTFITERVNSSLSS LFLNITKRNGKIPSNIEVDLDEVEIQKGTLQIAKALNFLHEKGKGVHLNLGPDGIIIN SKGDWKLSGLSFMTLLTQPDGTPTKYVYPEVDARLPPQVQWKLDYLAPEYALDSQLTP SSDLYSLGCLLYAVHMGGKPPFQNRGSMQILRDNIEGSLIRKDWMSGSKWERCSPELK DLLPRLLTRHPSNRISLASLPSHPFFSSLAISTLNFLDATTFASKPREEKATFLKGLV RVLPTFSERLRKGKVLPSLLEEMKDPYLLPFILPNVFEISKGLSKDEFSSVLPKLQPL FALKDPPQNMLTLLEHLSLFEEKTTPPVFRENIMPLIYNSLECEHLPVQEKVLKTVPH LCEILDYGTVQNVLLVKVAILFTRTRILSVKVQTLDCFTSMVKTLDKATLTTKLVPLL AKIKTKEPAVMMATLAVHEAMGAKVDREAIATLVLPQLWAMSMGPLLNADQFTKFMTV VKTLGSRVEQEHSQHLRDVHRIEAQTASLSGQNAFSLNGSSPNLGSGSGGGELDFETL VRGGSGSAAPSPNPAFASSTSVGISDPWDDESWLNGSDDTAGGLSNTFLSLSVNNTSV ASSTLPLPTATSKTTTLSGGAASSKLKARPVPPSTFNSSAFTTSPPTLAPSIPGPSTS SFIPLRPQSASSPSLTPQSAFNTSFGSGSTSNSAVPNYNISLTPQIPNQPQSSSPLSF MSSPTLSQPPQVPYQIQQSTQSIQQSVKPPPGWQSGLMQPTSAPKAVWGKSSSNSGGN SDWGDFDPLK
I206_02743	MGLGEYALMGVTHPTELRAIMNFAIWRDVRDIKAESEWVTTYYD RPTMKKCWEYLDLTSRSFARVIGELEGDLARTVCIFYVVLRALDTVEDDMTIPNSTKL PLLRSFHEKLYEKGWTFHESKEKDKIVLEEFDNIQQEFSTLEPAYQTIIADIAKKMGR GMADFAALATPEQPVAEVDSIADYDLYCHYVAGVVGEGLSRLFAASGKEREFIADQLT LSNSMGLLLQKTNIFRDIHEDVLEGRGFWPKAIWSKYGFNSMKELIDPSREKEALWAS SEMVLDALRHATDALDYMTLLKCQSVFNFVAIPAVMAIATLERTFMNPKILKGNVKIR KGETVKLILKATNPRDVSYIFKDYARRIHAKVHKEDPNLLKLSIACAKIDQWAEHHYP SFINISGAGSGQAGSAIDPTSNDARAALFLRLSKEAQEKLRKERSEKFMADLRARGVI KERSPEEEAAIKAKYDEMEKQGAPWLMIIGIILGVIALMGAMGAGVIWVIVKFFNDVS GNWDFDADSR
I206_02744	MSASPPPPPALDAEEVNAQDLVVENSVGNADIDIDEDDNEDEET VAPRLAFPKRPVEEIGTDGTLPEERVANEDEDAVQGEEYIAATATSPAKIPKFKKTKR DDEDIDEDDDDEEEEEDRDEDDEERRRRKKKKKRMENNQRRRERGDEDVDEDVDEDEG EEQPVYDEATQRRMALEERIDNIGKKAKITRRKKKGDDEDIVDNYHDEICARLRDRMI SAADKDEAANRQKLPGTAKLAMLDEVMGVLRNTTLWQSIVDNGVLEAVKRWLEPLPDR SLPSVGIQKAIFEVLPKMDLDTTTLKECRLGPIVLFYTKTKRVTPAINRQADALVQAW SRPIIKRPANYRSRQVDTQDEIEMGQMQIAVGLGGGSQQRTKFKRFDTKKALAENANR KGARLQIVKDIQYSIAPESRTQHLAEEIQHVSRIQMDNKKFNKFARQLKAGRK
I206_02745	MSSPNPADHPLPISPDPSISPIATIPLALPLDTSDSTTVTSTAE DTEALITSLKASLTTAQNTISSQTTKLSSLSDVETALTQLKDQYAFLSAAKEAVESQL QEEIRKREVAEENVEMLRGQVEQARRGVMTLQKQEADRKRMSTISSIGGGLGLGIQGQ LGEEEILSNVEGSSSSRESKLVKRQSIMRSHRRQSSQSEPSDILERAANLTSPNLQNQ RESTLRPSGQGLRELRLSHNSPSNSITAITPSPNFALSGNPNSSDYFDESTTSEPPSS IQSKNIELPSKKEIEAIEEANRLKGDLAILQNKLEESEEARIASEVCLKALREFMANN SVSGEGDVAESGEMSGSTADLLKGIRLPPLPTDRDADEEQRNKEAVAEAEKAKQAAVA GGWGFKLWNAKSPATNPISPGKEHLSPQHKTLSPSNRSRAGSTATVSPLPTPLSEDLP TPTTGLITSTSSQAPLSSFVSNWTKGVSIPSTNSPSVPSTERPNSSRKISVTNFFSRG KKDVQTEPLHEEKELPTPPNEIENSGKEELEPSPEITTRELVFNENDKRVSRGTIGTT MTELEEELGTPQNSLKGVTESEYENDQEKTKEEGELQQEGKERMDEIAL
I206_02746	MSHNQDITPLPVLGSQFQPEMEPNEQNQNKNIEHEIEKYQSPED NGSAQVDVEEIEQKAKLSDYFTLLATGFALVSDGYQNNLATVFNPIFKIIYKSYYTSA VSTRVSNSLLIGEIIGQVGVGLICDLVGRKTAMVATTMLIVVGGILATASSGSTPAGL LWMLTVSRGMVGVGVGGEYPACSTSASESANEKFGRDRGKIFILVTNLMLSIGGPIVI SIFLLIINAAGYKGTTSAEDIYKLKYTWRICMGVGVFIPLSVFYFSRLKMMNSKLYRR NAIRHSPPYGLILKRYWKTLLGTAGTWFLYDFVTFPNGIFSSTIISGVIPGAGLVKTM EWTLLLSVLSLPGVFLGAWVVKYTGRRNLLMMGFSGYIIFGLIVGCSYEKITKIIPLF IIFYAFMQSSGNFGPGNMEGTISAESYPTSIRGTCYGFSAAVGKAGAAIGTQCFTPIQ NNLGKKYTFIIAACCGALGVLLAYFFVEDKGKDKLEKEDELWRQYLVENGKGNLIMGD GSFNENKNASGNVEKGELSFEN
I206_02748	MEALSRREDTEVLEAVKSEALKVCDDRVKAFADCATGRTFTLPF VCKDKLDDMQNCMRDYMTQERMDAAKLDYIANRSEKGRDAVEALRKSRAERLRKMAGL KEESSHPK
I206_02749	MNNQHGLPARPNFSTPAQSGLAQPRAGPSQPRPPSQTRSGPSRS VQTPTYTPNSFPSQQYAGGYMPQPQYAGYGMGYQPNLSGGYPSFYPQQNYQQFPTPMS FFPPSQPQINSSGYTYSSTYTQAQVQPPNKRQRPNNTMPQEATTGGMWRNCSQPGCKF VGPSDKVETHEEDRHLIFVNGKMAERSEEEERFARRKGPLPPIQGTTITLNTPEEIEK WIAERKAKWPSRKRISEKEDERAAAIARGEVPARGQRKGKKMDAASLAEEWGKEVIPQ ALREGRGGRAERGSRGRGRGADRARGQGRDRGRGRGGHRGGHMGQMTESVDQGWTRLS TLPSVSPEKQSVELKSDKEALLALEGYDTPSSATTSSESDSGSESSSESESESDSETS SSSESEANQKEKTDVIKPSIDVGGKSIMEIPKEICTFAQQGTCKFGAKCKKSHEGQEE ILSRKPAPRQPNLFKKPNHFARPSMLGSLLSNPIQNTISQISQTIRFLVANDMLEGVE LKPGDAQKAEEEKNKVTEVNTSGA
I206_02750	MATRGRRAPPPLPPSAIASSSSSALSTPPISDDIASSSSSRSEG WYHVNRSEGGELPVYSKIRNGGGITTIIRKVDGDVRTLQNQLTTYLSDLHIDPFTHSP KVTVRPTNNHLQIKGHWVQEVKGWLEGRGF
I206_02751	MVRLGVWTVILAVFLTTTISISALFNDPGLSYCKCICFSNSTII PLYRPENTKKPCLSCTRQFCLDQKLSICKGAEVPELDADIGTGTEGDVEARCFTRDSP RDQYVVTFFLLIVFGLLLYAGIRSRLRQAIETRGRPTDLREWGQALLPTNIQSYSSSL FPNQNGWSSSGSTEMRGTGSRGYAPVSVGS
I206_02752	MSDSNKKDRLGLQLNTSSPVQSQTNTPSVASAGSRFLSRRVWGR ASATTKTSTGQDELPTVSSTNPLRKESADDTVKPIQPFHLEEAIQLMSADTSISTPEL VELVKATSVYVGNLGVDEKDDPFGITPSASLSTILAPPENDDLAQTPTPDPISPEDIQ TLYSRTISFSDRRNDVSLRIAGIRLLTSLMAYCPPPRYFSEESKVKLPDTITVRTMYN LVSAPGSNDIPGDTRVDLVGAQINALEILTKNGTEVEGLAGLIGWSLRVLHEIQDDWS KWCEMKLHGGNDIMARPTRQLKPFDSITPFSPLESASAIIDLISSVTSNFIDLFDPNK DVSRIIKPILTFVSKGIIASSPPNDLTGLNISQGHVPVPNPARRESLTGLAFNSPLPT SHNNNTLESNLRRSVSITRRKDNVSSTFSTPSSSRFARHSTLTSPQSANSMSAFQSPS PQYPSVPMPRWLYLLPSACALYEIFIDKTVLPQDLFVDIMRFACICVAYDDESGLPSD VGQVPVHKLLAVMFRSNNGRRGELALRIIMEEKASQSFATTYEGLSDADSRVVQGAIY IARSLLLNLDDPSPSPLGSPSASLSSLSPSLMAALSTTRYVKSEDHAERSKWEPVDYA VLTLLQDYLHRLEQGLDKDSVKADIWTEGQAACDILRALWPVAFSNRSVMAVSQRSSN ADDQHSVSGLTLYVADEPSPFSAIFDAVIHQLPPAIEKLHPSGNTASPFFHPKYIDLL FKFGERLSKEDADVILDYYQNEGLCLPMTAGWIENTWKLINTFFESPLNLPSARRKLA KIIFQEVYASTEDMPEPRTEFVAKLIVPFLDKVLLKQTEDWFRQMALQVMIRAAVAET MERDEDRRRARDAKNVSEVEEEDASALPSQEVKEAAAGGSFHAIRNLIISLASTAWCK EDEHEHQQAQRSAYERRRPAPQLPRDSTASIGSTNSSALKGLMNVLSPPSRTKDLPSV APSIASTDDTTPTPTPRHFPKAAHTDCQSLHAVSALIKIFNDLTFSPPHSFSSSIKAA RTPASARCIAIYRDLLGLLYPFSEHGGDQTPTSRTAAVPARCPRARVAILQWLLRLRA DPKHRIYLRTNLDIVCRSYAESLYRTSEAVEAQWLKLVQDAEESRTRNDRRQQIQQGL PTTRESFRDRAPTSMTRSRSRSRPPSDQFNSHVSSDASGFNPLWAVPETLAFDMPADS LPSEGLLTYDPNHPSLRVKDAPPVEGVWLPVSEYVRALNGILRHETNWELVSYVLCFL PLQLSNKLFFRGGRATKEVKALLKALIDLIPQDDRIERRCKYHQFIKRPNVNAAVYQS LSILIAYRDVLEPHECDALVGAFETCLESNSVVAKPCIQALTLSIFELETSVGKRLLS IIGKLRDINFTSGIAVHLLEFLLALGENKNLFKNFTDAHYKDVFTLVVDYIAEHNARS DQSPELENSAARENFTLSQHVIGLAYHAIYVWFISLKISLRPDSVKHIIVKLLQSRSK RVAVDEMAEVCLDWLARYTYGNADPKPANSFLSEMVLNKSDPSQVKENKSQSWLLGGC IITITSHPRTGWATITSIRPTGATEIIAKLENVPLVNLGEANADLVSLPAVLMGNRGS IMDNQAMKEEAAQIVKQEPSAPEEDVNQASEQGYIWSGATPSQRRKDVSIDPAYLAVE LLSSYPNANLETPRGRLIPNEDKFLRTLRNIENTPVIDTAKLGVLYVGRGQTTESEIL GNIDGSSLYLDFLAGLGRLIRLKGQVDVFVGGLNREDDSDGEYAYAWWDDLAQTIFHT ATMMPNHPNDPTFSKKKRLIGNDYVKIIYNDSGQDYVFDTIKTQFNCINIVISPHTTP ESHGPTPQSDSQQLNTTTNSTDQWAVWGRDDYFKIIIQRAKGIPEFSPIGEHKIISKE NLPIFIRYIAHLSNDLAARYTHIKDATTAEQAEYITSWRSRLRAMNRLRVSLPPVDKV DPNDEAKREEMLRDFTRVFSYRPPTENTQSNPTSSK
I206_02753	MTLYLSALPSLSTSSSMSSSGSGPSTPSTPSSHNLNRLDSQALA ARIRQLKRSPESPSRLTLPPIMGVFDEDDDEDQDEHEDEEKLSSAFVESLDIPSPGQE GLYKIPEEDEDAMLQQEEDQERFDEAGPFMRESWEIDQEHERHESGSTYSQLNVQGEL YNDENLPATNSILSPIDPYSPPPPSPCMTNIPSPTLPCSPAVPGPEWTSSTGQSSEQP GESDTYQTPEQYADAPSDSPIATPYVDPSCVPQRTRRRTLSELEPYRKLAMNKAAVQT HQYAAALEQERQRREYEEREGIWMRYRPRPFRFFGNTRGGWNGPEPMYRAQSFPPASL PPTPSYTEYPDEFANQIDQSTLSWYDDQQGDDGEIRHVEYASSSSSPLSVPVSSDGYT DFEYSQSQEETDEMYSPSSDQLYTPQISDNGARMFFPSTQDIFGRSLEYSTLSTPSLG LSREEQSRFNAGSTQAYGLGLGLTGIDQNHTPDGNGRMSFDQMIRFEEGKEPEEGEIS LVEMPIGTIIPERPFEEWQAACREMTRKRREEKDIARYRERLHADESHRMSFDPSHDV DEGVGRSRLSYREDLDELPDLERGRPKFKLSNVNLNGELMRSPESSLTYSQDSLYSNL ADGSINTSSSQKGVHCQGDGCPVGSNIESPESNYSEDLHYEEPVIVNHLKRRHSAPGK LGHRITFTSFNSDKTLTSTSGNLKEDLGRTRSKSDPPRPA
I206_02754	MSIKITRATKEDVPELLGLIVELAVYEKEPDAVKATPEMMIENI FEKGYAECLIARTEGENGNKGIAVGLALYFFTFSTWLGAPGLYLEDLYVKPEYRAHGL GKRLFGELGHIAQERGCKRVEWRVLKWNQPSIDFYVKRIGAESLDEWDTMRVEGQEGF ERLLALRQE
I206_02755	MSTRNENPWQKRIRRLFFFVGTASTVWFVSSYILERLKETRLKA IKEKKQKNLMKNHFTSLISTISFTLYALLPTIQPQLFETYNTEEISQALQGLSSSSIT NTESLSESTSSLDPSITTGNSLMFSENPIKDQPISSSHSEASPTHTEDAGTQPGIASS VTESWASEFERRENGSEPEIETEDEGRLGSSIGQIDTEDAMSSVVSQSISLPPTDTSS PSPPSDLSNLHPSPPRSNSESETRANKWIGKSKKELWKELKLQTLTRTITTIYLLPML YLLTASQLSILARSKYLKDVKESIQPIAQTSNYPEEEPISAPRIPSDTERQKKKGWFS LSSYSIESMGLSEYAGSASSIIPNPMSILPSSLTGYFPSIISPYKPLQVDNVRQSEEI LAIRKREEEEIKEEAERLFLTYSWWFLNKGWKSVAERVEESVEKIFANMPLKKELSVE DWEMKLKEVRAEIEMELSANSTIELYDFTSHILPITSSSSHDIEIPYPKSPSDHSNYL QELYDQSKEQIKSSDGRYLIEKGISTLMGNLIKSLKIDLYNCNENDSNVIEKRLVDCL PVINLWGKGIWQGIPDSGIESLINIQEFESFSALIFGDWAPRL
I206_02756	MLRQVARSVRPAVRNFASAAPSAGENEFIAKRNAVRQHAAETTD LWRKISFYVCIPGILLGAAWTYKLESAHHEHLEHMKHENGGEAPERPVYSYMNFRVKP FPWGMQTLFYNPEVNIPAGDAE
I206_02757	MAPGISYDESGSLASYFGVTFLAIILIPWTLSSTKSEKKKLLKP LCPCPQCTSSPRRVHSIKSTKRKRKFLKKTIPLVIGWTIFAYLCYSLSQAPRLEGETI YNPFEILGLSDSTNEKQIKKHYKKLSLQYHPDKIKLAENQTKEDAEQKFVELTKAYKS LTDEVTRENLAKYGNPDGPQQREDKIAIPQWVVEGKNSIWVLGAYGLVLGGGIPWAVG RWWFAQRKLTRDGILNPTAELFFHQLRDDTDFFSLISLLASALEFGAVLGFKKRGSKK ARKERQSKIDELEKVIEARKAEIGLEENALMRRENRINVTTAVAKRARALLWAHLLRI DLNDHQLESEKLAVLRVTPPLLSALLNISLAHNWLTTSLLVIKLQPALVQALPTDLSP LAQLPDVTPEQGQELQIINKAEGLRWLEKWIKTEKKSVSEQAVNVAKYWPRLEIIDAE FKVGDETLVTPSSIVELTYKARYVYPTTPLSLLSKPKSLLPNGQLKEEVADSVADVED SVTQVAEEKEKPAEIGEKKALEVPPNGFAHAPYWPQQLRKPHFYVLLGDSKLDKVIVP PVKITDIPLPKPDGSPTEPKEFTLQFQAPPQANLYSFVAHWRSDTFLGSDVQVPIMLK VEDIPQEEEIEDDDDDDISEPEEDTLAGQMAMMRGGKVKPSAVHGTPDDDDDSEEEYE SSSDEETPAKNKRVRAYNEDSDTDSD
I206_02758	MRNTALQNALRINIGSSSSSSPVPIPFWSLCKFTKRSTSQQTRQ YSIFNSPHPSKPPPYGQPHPSSHSHLIKNHEITPGIPAEEYELRRKNLMESLEEGSKV ICMGGTVKLMSQTKSAAIVLIATDFYYLTGFNEPDATLILESAPSTPRGYKYTLFVPP KDAHDALWEGERAGVEGAISIFGADEAYPNTSLSTHLPDILNSSHGETIYASLPPKPS PSISSQPFQPPSSRRRSSLLKLFSSSSSSSSSIGADINSNDPPHLMIAAALSSEYAKP LERPIQQLRMTKSRLELEQMKRAAEISSIAHTKVMRAARAGGKERDLEATFEYECSIR GAERQAYVPVVASGANALVIHYTRNDCVLGPNDLVLIDAGCEYNMYCSDITRTFPVSG IFSEPQRDLYTAVLNAQKECVRRCTVEGAVTLSELHRASCGLLLEELRQIGFKLTVGD VERTLYPHFLSHHLGSDLHDCPTRDRNATLVEGNVISIEPGVYVPYDHKFPKAFHGLG IRIEDEVAFTKDGPLVLSATAPKEVKDVEGACQGLLD
I206_02759	MSYTDNNRFTLADYTYEREDQPAESSSAAIARAAYTDLAPSKGE LQGGYANSSGTQRSLSPPVTAYRPKAQRQPTDGVPSSSIELKLHDYPPTPTTTYLFPP TSPTMPTLSPAHSYSSTHALNGPATSELSHGISMDEERDIAGDRRSTISDISEKHHHM GGFDEPKTPATGLNRMGTTRNQNVWQKMIPSTRLTKLLLGIILFETIINLAIEGNILY RFRQEVESTSSTDLEWENRRRLPIYLIIFGLAHLWQIVLTIIAIRTKNTIQVMSVTAF NFAFLGYAIIQIYELRKILGDNLAQELTGDEEKGTTLMTIPLNVLTALIIAIVGVSCL MLTGLCYFLQREFGWQRYRFLGADLMIREYYTKMQVFECICYFSAFFCAGFGIQFIWL VLNPDDTEYIITWIAFPLLLVFLVIGRFAAKYENRYMMAAFMVGILAGMAYFIYKLIR IWQQSSTTYRTLSKSLTTFDALSLISLLACIIWGIMVWLNFNKGLKQALLARPGTISS VIGIWKSKSNEISEKSQEMIMTERRISID
I206_02760	MSCADDLTADDLTNNVTTEVLERVTAGEGSNTNLWGQIDKDNVT GLNLEDVSSAPKVIKTWDDRLDEESYVESGVDDDLILHIPFISSLRLRTLLLHPPAPG HPHRPGRIRLFANIPNCPDFSDLESMTPIMDIDISSPPNGTRRLPDGRREIEEWGLKV QKLANVFSVTLYFSEAETSLRSSMFYVGFKGDPKTHTMDMSKLGQVPAQNAADKKIDE VADKKGSGYTTIR
I206_02761	MGNVDKGKAPTVPSSNWAKLQKILPPVAVKDKEKSSKQKKSEAR RAFINNDKAKQTKSNGLALGGVSRYIGQTDFGRNGQSSSHEPIAGPSKVTVPSPSNAK EDVLLLPAPADAPFSALVDELRIMVSGRTVLNEAKKAPGNYIALDCEMVGTGPNGSES ALARVSIVNYHGHILLDTFVQPREKVTDWRTWISGVRESDMLGAPSFEEVQKQVAELC EGRIVVGHAVDNDLKILLLSHPSPLIRDTQRCKMLREKAKTKHPGLKKLSEIELGIRI QQGSHSSVTDARATMGLYRLHKTEWEKQLHHTTEAYRAKVGKKVDNSTANGKRKREEE DDDEDLEEEAQGQSGKKGKKATQQQFPGGGRKGISSGLGLVIRKNGKRVESGGGHREE RRSGHGSSGTSSGNWWESVDD
I206_02762	MAGPTLTWIGFNIFRLTALVILCWALAVQFIAIGDDMSEYSKAP SSDNTITNLTGSKLSTSSFTSSNPYASTSVKPSSSSAGKTTEMTASAPIETPFKSNTP EANKFKRDEQNAEEVGQANHGLSSIPRHAGGVTFMIISRLIIVLTISLLTAGQLGWPD MLLHEWVPWLGPQSTPVWLGLVQTIVAVENLRVYAKSTVLLPFWALLVTGLINLSIGA ILLYLGRKLPKYPPAPLYFNKSVRILYFYSPPRCYDPVLYPKSIKENDPEIQRQRDLP ISNSKLIGLESDNEEEFDEISIHSESLPIHSKYNSNNDHQGRKPFPSASAPGLKPKDN FKDISKGGYPTFSGGGLIDPSRQVPTGFIERTKDGRKIEFINEAAQISDPVNRDHSKP IATGQENQTMKVQKRHELPPRSLSKQRAATVEVPKHLFDSTSSSARNRADDPDTTNGL LRGNTTNVPMVKKDGKHNLLESPELANSLTRKPTLSTMTFGPKLKSPEPTVNDISTIP LEDLMKKSRKKDQQQRQSTSSFASATREMGPRFPFPPSRQTSLRESTKPNYHSEGSEI AYEVEKEKGDEAKNKDDQQVKIEMGSIDAKGPRPPFRAGSKRVKHPQSSDDEEKLSLK DKNNDLDLSIKLKSPKSSRMKTRDSQTTTTPTASKSPKLPEPRQSPRGRSSSTSLTSK SPKPFIASPARSSRKRAITLASTSLGTSPSLDRSSSSKSSRGSRTARGVRFNLSPAKE VDEDEEYMSYSQSASGSESGVEEEEMGEIRELESQSRVVGKEVNTVGTPGQRIQRSES VSLGINGTRPSQARRLDRRNKSKTLSMGGNDIGNRKPQVLGGDYLDGGKEIP
I206_02763	MVDAESFKGWAGVDEKACEGKLEFQSFEVKPWDEDDVDVKILYC GICGSDASALSGEWGPVKDSVPQICGHEIVGEVIRVGEKIENGIKLGQLVGIGAQSDS CRECGDCKAHNENFCPKQTITFNQTFNRGNGKGSISRGGFAKYWRGPSRFAIPIPDGI DPAVAAPLLCGGVTVYTPLERYGAGTKAKNVGIIGVGGLGHMAILLANAMGANVTAIS RSDSKQKDAEKLGARAYIATGDDVQAALKPHARSLDLIICTSNPPKFPVADYMSLIRP EGTFCFVGIAPEPLEVPTFPLITGTIAIAGSYIGSPDTIARLFKFVSEKKVEPWIQKW DMDDINKAMPAFQRGDPKYRFVLVNTDNGGKV
I206_02764	MSPFDNVSFERVASNGSSNNTSHSSIASERIVVETPSSSTSTFK ASGASHTMPLYSAMLAKDHPATTSPSTMNSSSTPRFHPYQPHSQSQSQSQSQSQSQSQ SQSHQQQQQSRIQPKIEPTPTIHQSSGTEHNDAHKCTGSCRRIATAEERRQCGFIGKP VSSYIAKSTYGTETPSKIRAMDSMKTRFQNDQVRPGATWYHHNDYCDGYPCRADVLYC PFINRCFYKERCVKHYAMRSRERCVPYNDGISPDSNASSTSTSNLSTQTKHRVMPPTG NNTSNAVITPQKRSETDDVRQGQGLSRSQYDRQDHMPMNSFKKFRTDVSPPTSREREN LIRPQHANEDTRNDTTIPKEINDANSNKTTIRINFWRKDKDETPAAPAISEIPPEKTL LTPSTGFDGLLSPREEGEPELTDGLSSVTSLTPTYDDVDYDEDGDEEETAAMWKGMYT QTKGELDATTVHLLQVQDDLAVEREKRLEAERNRKGLERRALAFKKELDETNRVKKEQ LDEKSRLNQISSDLNRAHEEMRRLREEVENVRMEKVRNEHRHEEELKELRDFRNNIRS VLDTKFK
I206_02765	MEAYLLRRDSSSSSSSVPVVNTTSERQSPATNEHLNSSKSGNGR DSPGGHTQSILDSSFTMTETFTINPHSPRGIGNSDTSIIGANVPPPIRTHPTDCQNCR TVDANSPFLARLGIKSYRPTAEFDKGVYPRSIPSLLGRQRVSFAQDVAKKAVWFSHPS FCGGWHTRGSIKYCDSAKRWFFTNMCIKHAGLSKYLCRPFHEGIDPDNPPVDDSPGDD KGYSPRVFGSKRKFDDRALCGSLSSPSKSLTPTPASSTSGTFTDPNQGARPQNPGVVQ TPTAMDDDTGSDFFQKAYFKILEEKKAWRHQVSELDGFNDRLNERLGSLEANKTQITN ILKNERTKHAQLILSDARKRKVLEDKIGDLERRIGDLENSKSQAEKRLSSEIDRHRKE STIADDTIQSLKIRMDEDSKKLIESLRKEREMRDLLESTEKKTSPLQTSLQDIKLEHE KCRHLIETAVIDREAAQKMLGDAQSKIEELCQVNEKAEEERHEAALSLWEAETELASL RKGKQIAQTEKNEIQASLEEARSEVERLRENLDLAEKDRRQVQKSFEGVKIELEKLKE TKGGMTETEQRELQTRYNNATSEMLLLRESLEDALVEAKHVNAKRKRSNQYWSEGLLD LITGFTERSATGEF
I206_02766	MPKDPQQVIRLMAPFSMRCNRCGEYVYKGKKFNARKETAQGEEY YGIKIFRFYIKCPMCSSEITFKTDPKNADYTCEQGATRNFENWSETDKKATHLPGAEE DDEYDSDGNPLESKIEKDAMADLEKAQEQSKREMEAMDELADLRQRNARLELSNVSSD PNAMLAALHAEKISAEEEARRKADEDEDDALVKQYFSKIPASGPGPSTSSNAAKTKID SPDGEDADSASEKEEDVALPAAITIKRRPAAISNTGSAEPSVASILAAKGKLLDGQSN ETNGNSAAPAQAKRKREGMQKLLGIKKKAKA
I206_02767	MSIPPPGLGGGVNRTGPPPGFGSGNVGVTHSPASDASGTISNTG INGGSASSAQGGRRDGQGGPAVIIRAQIVFLLTTFTEDNFDKMSNEIRTLASSNGPDM YHHFLRRAIAVANPILQILIQHSQQYKDDPAAPPPQLPTTGQAALVWRLLVTEAIRAA RDVQLAPHFSFIMLTPAQSTPLPLPSLRLFNLPPALMFSLSSNTLASPHVFPPSHASF AVFHAILSQTFQPTMELLRSPNVPFWTMNTIPGREPYTDDMTLQEARTLMLALFPRSQ SSSGGNPTSRPATPTNPTSPHSSPLNSMQRQALLGSLTVKFSSPAIILQTLSALSPGG PPRSPGTVPLEDILFELGENLTQDEGTVEAVVGRWWGPYLLEGLSPEEQRAQVTEEAC HVIHGLCEGLRLGRSVDLHGVIKGMSGIPSISWPDVVRSFDSPLTIAAYQTSIPLVIC LIYVPPQVPFPPLAGLLPASLDAPIWENISSLLSVLSLLTNLPPDAMPIFTMPTAPSP QSYARIVDPPTSDSQWSKVARQQANDLQGAGLWNTLGLIQVLVNACGLAETDHPSERE REERADIGRRATDILESAAKLAPELVLLALEKLPKPLPPSVANMHTRLLAVYLSSAAN TMTSSALVFQQMWQASPEGLLSVLLEFYGEDENNLGRIVEIATELKVLDKLLASENLH FTLDVAALASNKDLLNLEKWLADGIEVKGEDFLEAIFDFVEHKIRLEVDHQHAPESAP PLLYTLGTNVYSIFIRVVRSASNLSREDVARFKHLRTDILILNPRLLNLRPGSKAEQG FSEAKFPKEIVEKVDEMYQQMYSGQLKLDDVIDELKRCQKSDDPVDQDTFAHALHSLF DEFKFVKAYPPKELTMTGLLFGAIIDYRLVKDTSAFVATRYVLDACKTPPHEALYQFG INALSILRNSLVDFPGLCRSLLEIPALHESHPVLINDIHQALQEREELDMQGGVKLAF PALKLPILIEEGDDEFREPDSRKKDAFMFIINQIAPSNYEQKAHDLTDLFENQYSRWF AHYFIDVRVSLEMNRHDIYMQILEALHSPVFEKHVLWETYRKARDLLNSEATMNSASE RTTLKTVASWLGKITLARNKPIKLRELSVKDLLIQGFDTKRLIVAIPFVCNLILSCKD SVVFHTPNPWLMAILRLLAEFYHFAELRLNLKFEIEVLFSKLGVELESIEPSNQLRLH VPPPPPQEELPNRLDLELQRATSEIMNGGQRFSELPGNEAYARMQQLQTEAAAQAAQD AMTRRVDELIAQLPQYLVFNQDYPIFTAPTLKRIVHHSIDRAIREIIGPVIERSVTIA GISSRDLIQKDFGMEGDAVKMRSAAHMMVQNLAGSLALVTCKEPLRTSMIGNIKQMLE QNGYTDDSMPDAMIAGVVNQNLDVACSVLKKAAMEKAAKDIDVNLAPQYAARKAHQNL RSQAPFWDGASFGFALSHNALPDPLKLRPGGLTAQQFRVYEDFGEPTRMISHPTPPTN GDYLVAQYRDLNLNDGLVPSDIKRGPSPRAFNQNAIEGPESVASPQAIPPQTSVDKFH EIASEIEKLLSQTTITNISALPAEHEIRGFIRSIVIIANQSVHRDSTTLTIAQKVVQL LYRSTAQLAREVYVYLLQQLCDLSPKVSREVKQWLVYAEDPRKFNVPVTVTLIRAQSI SVHELDAALAQLIVRSYAQEIVDFVAQLIRECSISENAFIPRNGFASSLAALLKAQEI SRATPLADALLNELRGGTVKSPNVPTPDAKPGIDGKLQERLSHYFLEWVRVFSTSKNA EIAFVPYITYLQKEGILNGEDVSSAFYRTAINTAVDLDTAKLQQGFFYGTDALAKLIV LIVKNYGDKSGTSSVQRTVYYYNKIITIMSYSLVQKQLEMGDAFNQRPWARFFTSMLS ELQSIEYNLPETYLGCLKHFANNLGITQPTYAPRFAFGWISIVSHRLFMPKLLATARD DGWPEYHRCVMWLLRFLSPFLSANDQMSPSSRSIFKATIKLLVILMHDFPEFLVEFYH TLSTAIPPHCVQMRNIILAAFPSSEAPLPDPYKRLDLLVPDMQRFPIVRSDYIGALTD GNLKSAIDQHVRNGVPALPSIVNELKNRIAVKSLTNGNSAQDGTSHSNVTWNHTLLHA AVFYLGTTAVARRAQQTGHVDFDSKAPEVGVLNGLVHAFDAEGQYYMLSVIADQLRYP SAHTSFFIHLILFLFGTSSRTPEGQPPSAVPERIARILLERTIVQRPHPWGLLVAFIE LLDNEVYGFWKQPFVRVDEDVYRLFGQVRQSVTARRESQA
I206_02768	MPSKQHNNSLDVAEAFNLKKRKAQDDQCEAPFRRCSSRSISSQT TTDSDSHQQSEYDKTAHTEKSGILAMSDTDEAGENVKKLRKERDHARKERDDARTGQS EAERCAKKERIERRRLQVELERMRKIVTTALHG
I206_02769	MIEATKQRNLGLSVIAFELSIWAVPSKASGSNLEYSEIGDERND SLHDLINEAWTTVCEIMYELPRHRLNSVRTMILDSSRVDADSAAVMPRGARRLSQAQR ANFVRDLPHFPS
I206_02770	MSALTTSAPLSLPPSSSRPYNINPASHPDMSFANPDERAYGGAY YPRGPGSSHGPPPPSAGGQSQHSSSPEQRLHSSGGYKTTPAPHESPSQHVYPNDIGPP PGSAHGYPPQPITPLTGQAYPPQQPPSGGYYGISQTTQSDPSQAASQQHIPSPPPSSN GRPTSANFTPDGIPIVPVGVSGGKMFRCRGYGECDKVFTRSEHLARHVRKHTGERPFP CHCGKAFSRLDNLRQHAATVHADQAALNEAMLASLAPVHAALSQRANRDQRKRGEVVE VPKNAVERPRHAEYRSQKTSPGGSTIQHQLPPPESPYAQYPPEAQWGVAPPQHSRPRT SGYDYPPYGADGPPVLEDAGPSRRPASSAGYGYPQPPYYDQTARRPTAPGTASSSDSM GQLSYPYRPMSSQGRDIPVPSHYAESEPPSTAHGPPQSPMYSNVPQAQWSSPPPPNSA YPPQDTSAYPPSAADGYAYPPQHGSYPPREDVYNYPPNWTPQQQYGNVPPPPPTAGGY PPTYNQPPPESPFQYNAPAPQDPNYPPSYGYDARKRRADDQAGDSDLRKHPRPNEEPQ NLNAALESSVPGGGRDPSWLPPTTERRSSLAISALLGSPQQAASRSRPATAGGGDTYD HAQAAYQASQAYQQDSGANTSASQSLPPTPAVGSNGLRKARDDVSVGGSGNTSAENGQ SMEQKAKALLGQGR
I206_02771	MSLKKPVPSDIPLPSTPPPAYKALSENQTESQDAVIPPEKTNDT FPGAYFESAKQESSKAKVVEDHRWPPPPPPPRVFNWVELASKSSSSSLKPGVESLETP QPIKSATSPNKLKKKKSAPLLPRGVNFTESTTTKQDKRDCKSPPPIPASIPLKTTATR PDSVPQPEPEIKLPRIPTPPVLWDNLPWYIKRSKMSAPPRMLILAGGGYEAFVAMPPT FEKALALATEKFNIPATHVIRLSCKASDMQWIGGYTGQEDIFIADNDSFHYACAGKHV ARLGVHVYDKNAKPGPAPAPSGGGGGGEKKEEKKEAPKPPAPPPTADQNFTCQTTAGK NVTLSATVTGDLTKGIPAGNYLGTLSIEDKTWKQTFVGNQLGPNEVMTKYIVHDKNTA RLLFRPRSVRPSVEFLHAEEKSLEVSLSIADWTVTSAYPMTSLLPDGARHKLRWFLKV QPGGVVEDMLTGTQSNGLFMEMIPSVTAKPESLPDPDAPLIPAWPDIRPSNAWCLPQT IFVPHIDRILTALGLPVESRTAMITSWLPGLTRHKNIAYRILNRSQLDPSSSLTIIPP PSVMLRIFVLFKGIPDSDLKDWENAGVLHAEMGLDWRDSVGWTPDLQNESLFRVIEYG AMEVFD
I206_02772	MAALARALPTPLHNPMPEYEDVIQSTPASSSTNTVSVGPQIPKY GNRKGWKPKTSIDFNGGGAYPECHVAQYPLDMGRKKTGAGSTLALQVDQDGLVRYDAI AQHGRAAGSKVQSSFKDLVPLANRTDFTAEEREMERPDAESINDTAERTRLALERITH GKIKAAQPKHVPKANGDASYIRYTPANQGGDGAGKQRIIKMTEVQEDPLEPARFKHKK IPRGPAEPPPPVLQSPPRAATAQDQKDWMIPPCISNWKNNKGYTVPLDKRLAADGRGL QDVHINDNFAKFSEALYVADRHAREEVRARSQMQQLLAQKEKAQKEENLRLLAQKARE ERSGITSGSGAGNSSSGPPKELGMGLGGYESASEDESEEASDEEAEEEDEDAIREREL VREEKRKEREKEMRMSNMGSEMRAKMIAREANRDISEKIALGLAKPTASKETLLDSRL FNRESLSTGFASEDSYNLYDKPLFQGSSAAAAIYKSTGNQRGNDESYGGGTEEGISNE LQKDRFNLGSNTTKGFEGADSSESREGPVQFEKDTIITLDGTSDPFGVEQFMNAAKKG GKRTLQDHEEEKRKRARDD
I206_02773	MAAKGDEGELTTLLKEIATTSINLPSLSNKEISLLAKSLVPSAS RQSRSLAFLCISKFCDSIALHQSSTPESTESHFYSTLGTYVKNTLIPDENASTEPESC IPLTYLFSALFPLASDATVKLLTTNIENVGDPLGILLEVAELPSHLQPALAELFISAA GTKPGRNMVRSRGMEWLKGAMDYQGDNNGELGVLCAVALSKMSRDEESLVASQDGKEE STGVTNQDIGMSDEKLCKRLMNHIESTTNHSTTKSNDSAILSSVEGLAILSLKPKNKV ILTSSLTFLKSLIGLAPSKRPKGGSLPVTPRGSMDTEPLVNPLDTGLSYGLTTILVNL TNPKVILSAEDQQIAKLRAMALSANKSKLSETPNEEEDEKIESDEEVYKRTKLVIRAG IISALSGLANTESKLVKENIGRLCRNLVEDKSDRLEFIKDGGIKVLSNIVRDLINLSI KSSSTIKKGEIPGEIDILPSIQALAKMIITTPPNLLFPPPHLTTSLNSLTPLYHLLIR PSSVSLQKFESLMALTNLASIDQSISNRIVEAIVKPLILQSHSWKGSGSTKEDTIRII VKIEECLIDDNDLIRRAATQLICNLINSDKGFTYFSGENSDEKEYGRIKSRLEILIIL AGIDDLQTRLAAGGALAIITESQKACQFILSTVKSDQGQENHKNVWSRILKLLLPDQE EEYDEDGEVIPIISSHPALPSPELVFRGVIILLNLINYIINSDQDDRKTRLDDIGNAG VEARLMEILRIKGMSEDILVPTVEALKALKQARV
I206_02774	MLVISPLWSLLFSLLLINPSQAELRPRTPQPRSYDTHTYYALEL DPSATKSAASSISNELGVELIEQIGELDGHWLVRKEGSTSNHFKRDISKDPILKRWDD LSSSRISSGRRSLTPLNVKQRSKRLHLPFRNSHLTSRDDTAELLYAQNELQLQDPMLD QQWHLINTEMKEVELNVTGLWGRGITGEGVHVVIVDDGLDLNSDDLKDNFFPEGSYDF NDHTELPLPRLSDDQHGTRCAGEIAAVPNDVCGVGVSYRAKIAGVRILSAPISDADEA AALNYGYQLNDIYSCSWGPPDDGKSMEAPEGVILKALVNGIHKGRDGKGSIFVFAAGN GGGADDQCNFDGYTNSIFSVTVGAVDRKGLHPYYSEMCSAIMFVAPSSGSGDHIHTTD VGQNKCAHSHGGTSAAAPLASGVFALALSVRPDLTWRDLQHISVRTSVHFNKDDPDWE KTASGRLFSYKYGYGRLDAGLFVEAAEQWKLVKPQAWFDSPAIYLPTTDGPATTPANP SHGITSTYEITKDMLKDANLEKLEHITVRVWIDHQRRGDVEAEIVSPNGVTSVLARPR RFDDANTGFAGWKFMSLKHWEENPVGTWTIKVKDANNPEKTGRFIAWSLQLWGETIDA SKAKLWQPAEEGQPDQEQTGSDPSATTTQKPKPTDLLPGNHGDVTGEATKPGLVSTTQ QAPQPTTTDDAEEDITEPTGITDEDADEGFFSGITTLSKNSTWIAGAGMIILLTGVGI GAFFYYRSRKRRQNLFGLSNNGEGARGAYAPVSEDVPMGLLERSRKKFGGGVKTTGGT GTGSKELYDAFGDGPSDSESEEDERTGLRYHDDFLEDEDHPPRISESDSKLKIEYKDE PEIESPGSGSEEKGKSKEITNTSASGSSSSWQDAAEDVNR
I206_02775	MELRANVVTSQIPFVAMRTIPNGVLRIDIKLVTYVTHRRPKVAV NQSKAVVQRLSRCTYNEPRQKNHRQRPSTLTVSHNDLGDVDLQTNVSATKNEQEGQEF SEPPQSPEPWDHFSYPTSMLIVNIQVAGNGDYYTTSNAPRNEHEGGQSVHVKSQTCSG EPQAKDDWPADYYIVCSEKFGTILVFAP
I206_02776	MANLSPISESPSSTHSLATASVPTIPTISNKNTTTKKSKPRKRV NTAEKRSQHNAIERARRETLNGKFLSLARLLPSLATSRRPSKSAIVNGSISHLTHQRD QRLLAAKLLKDLLGERDELLKEVNDWRKLNGFSPKEASNEYTEEMVQINSVQNESFGD FSAMGGDNDDQDDEESLESQDPVMNDNMSFKQINGLITPRSSTDIDSAFHPQMFNLPA ASVEQKPQIQTQAASAGVGINWSTEFAINLNQQMGNMNNFGGESIASSSPSQNIVSPT SEIAPSATLYQHTPSPGSSPESISQVAHNASVNVMPHGWNAQSLAMLQHHAIQHNNLM RQHQNQQQMPTPPQSQQAQLFNPILGNSFNAMFNSSAPAGLASPTSAEEFFTQNMLHT MFPQRNNNPTPQDLSAALRAGMGMGSYLVNNWTPTQA
I206_02777	MVGIISLVSLLLPLTLTSASHLPPPHKRAGHHDKARKNFEHIHS AERDVTNNQTIVPRGTSYTGTGTYYYTGLGACGQYSKDSDFMVALNSAQYGGGYPGPQ CFKGITIQANGKTVSGVTIMDECPTCGYGSLDLSPGLFTQFASEDAGVISITWWYNDD SPAESTSTTPTSTWVAPTSTYTPPTSTWVEPTSTYTPPTSTWTPATSSSTTTQWSQAP SSTSEITSTSTSSIITSSTISSTISSASSSVSASIISHNTTNPYVVVSSNSTATTTSK VSTDSSEESTSDTSSETTTISGLGNIEGLNGLVAQYGQLVVVAAEAA
I206_02778	MSESTTIKPLFEPTDIFNFDFPTPPLSNQSTSETSKDASTNLES ELEITRDMEIRSRSERMILDSPPPPDYEEEPDFDEDELAMMELERETITLTKKTTSIQ SNPFIVDKSKGKDQPEEFVSSGIFEESETDQPIASSSRLALTPPPESDLTPLPIFQNH FNTCTLPSLRAETMQGKIITFKRRNKPKPSNIQLHSKNAKVNVGDLLSVPLHKLLAEV DELKSQQEAIKLQQKYDEERRRAERASMTAIKRSTVMWVDKYRPKQFTDLLGEDRVHR DVMNWLKEWDKCVFKRVQPGKKRKLDDENFIVDPLGRPRERILLLSGPPGYGKTTLAH IVARHAGYKTLEINASDDRLAATVSTRIKNAIDAGSGLASDGKPTCVVIDEIDGASGG GDMSFIRSLLKLIQDVPARKKSNTPARPLRRPIICICNDLYASSLRPLRPFARVIRFK KPQSQILVKRLREICDRETLSADLRVLTTLVDVTSGDVRSCLNTLQFIKSKSSAVTDE AIRSSSVGMKDSGTSLQNVWNTIFIPLAAKQKRKAIGIDNGRYVDRLSFTIQASGEYD KVVQGLFEHYPNVKPLDASLGNLCKVHDWLGYYDHLSTRVSESQEWDLMGYMPYAITA WYHHLAAPANNSKPTEWPKADYEAYQARIANEEISTSLKNLVPPILRSLFSTSTLLTE FIPLIMRIISPPLKPVNANIVKAGERAILDRLVDLMIPLGLRFWQEKGENGQPMMRLE PPIDVFVHYEGKRADDIAASRFAVRQLVAQAIEAEIARRKGAGVEGDGTTGSDGFAKA YGLKGNAGLGKRTVDKAELPATDFFGRTKSINIPLLGTESPTEESVSTNLPIEPPIKK FKAIYKFNEGSSSAVRKSIKMSTLM
I206_02779	MPSFTRRVPSNTIPPPGGTHPSPSLSSLNLLPTGLPSLDDLLGG GIPLGSILLILTPDKQSSWSKLIERYWISQGLISGQSSLFVSENYEIGKELIKNCMWY DKFKSSSSSSSSSTKENNIGSASETELEDNDFNENNEAKKIAWRYEKMGKFKTTVGGN GSNLSLMNTIPQDVLDSIHKTGQQTYVNLEQVENTSEASSSSINITSPNRFDIAIKGI YDHVQKSDPKRAGRITIHELGGLDWGDEVSFEQIHRFIHSLKSIIRTKSTSALITIPP HLLSGPLSESLIRKLSWSVDACVELKGFADDPTLPPQFPTTHGLIKLLSFPTCYTLLP STLKYSTLLGVSQNSGTDNNGGGGGGAGENNLGFKLKRKKFTIETLHLGVEGGIGERS TEKPDINSAFSGISIKSTPTTTTITEGIEKSKTLNDSTLEIGLDKPIGPIEEKQKSTK KARARVRFGGEEEMVINKDEGKSNSHSHSHSHSHSHSHSHSHDHQHTHEHDHAPVESQ GKSSTPRIQVRHDRPDLYEF
I206_02780	MDSYTHFDNTLSSTSSVYSHLTGSIQNSKKIASKPTKRSSISEA PFITSSLPTVSASSSKGKEKEDSIYRSRTTSISLVGPSSPTSRYFNGLEQIHNLPISN SLTSSESPPTTSSSSSSASSSFYSPQNPRRRVSLSISTTSKGSNPYASVQIQPVPSSP RRSRTMSYSRISSSSNRNERSNPTTPSKKSSSKTRKIIISLLVILSLFLIGTVIVLSS VSYYLGIPNWAYLTESEVSWRPSDTIKSLKDPSIFNESSSLDENNKNRRRKEWRDVTD DLATGGILETSGVLGTDTLPDSWKELEEEMEELDQVEESDEPPTYVDSVETTAESEND QLDENAEQTENDLWGIDGKGSGGYWMQKDWNGKVENTKSWNRLFNVTNRPGETIPRII HQTWKSDVLPEKWQKAWKECREGMPDYEYMLWTDDLSREFVAKHYPAHLHMFDSYEYP IQRADSIRYFILHHFGGIYMDLDIGCRRRLDPLLQGDWEVILPITKPVGVSNDLIFSS KGSAFMDDTVHGLSTFNHRYFTNYPTVMFSTGPMFLSAQYALYSSAHPLTEIHPRAEV RILPKSLYGKNAPIATVPHSFFSHFYGSSWHADDAGFITFLGAWGKKLMWVGLVVLIL GVIRLIWIKRKSANGQQYQLLSILPTTSSGNGHRSGSSTPTSSSSNPMSPSTTFDLNQ LNLPNDIANVFKRAGNLILAAPATLLHGNNDANLHGGRRRGGGGRRKTGLLYFVPALF QPDNTRRRPRTASEASQLPLRVRSKRDKPPPPPPYETDEYPIISNNLQNQNQNQNSKN GEMDGMEEVDQFLNEISTEGGSSSNTENEVEFDGDWEDWRRKDDSSR
I206_02781	MSNKSQEPKILNVEEYKTDAKWLKLENIKWKDQEGKERNWEVAN RSKRPKAGVDSVHILALLHHPSKPVSTIIIEQYRPPAGATVIELPAGLIDEGEDAATT ALRELHEETGYGSGKAGEGNASVKEVSDILVKDPGMSGANMHLVSVDVKLGENDPEPE QKLEPGEHIIKRVVELKDLHSTLKDYSKRGFAIDALVASIAQGWELSKQFA
I206_02782	MNSPKDTNRSTQSYTSVTTHSGRRGESLPTDSFFKLPVDVRSHT SSQAFGQTWCDHCRLAKKEELFTWGIIAYQTDREDPMATIDGCSVLENLALGEVQEKS IKEGIEWYWHPDCCDGYPCQGPIKYCEKMKRWSFTQKCVKHFPIKAPSECHPYMRGIN PHRSEDSEEGTSQNELRGRVDLCPVVTAISHSTSHSDRHQNQQSVGTTPVAWTWLEPD AYCRDTMNHVKDDEQQINLDDVSMEHGEINVDRFSDMDDVFEDIRDEEMEELRKERDQ ARIRQAQMEERYHSEKMERKKIEIKYAKLQRRLYDDHQNYRRYLDELVKKDDTMDQKF RKQLCELELTMQMMENIARRTTI
I206_02783	MPQDAGLIGSYISLGVQALIPIILGSFKSLKTPDATIKKRKLAR KSQLLDDEDEEEEEAIEETLTWADSLLFPVFGSIALLGLFFLIKYVGKEWINTVLGVY FTGAGMFAVHSTFSSISNWTLRAMGKKPTTYHIRISAGIRQVFHHPTTLSSLILIPIS IILPLLYIPLGRPYILSNILALSLSTATLALLKLDSFLTAFVLLGVLLVYDIFWVFAT PVMVTVAKSIDAPIKILSPKSSILNGTPNDFTMLGLGDIVIPGLVIALCLRFDLSRYS KFNPDQEITNKSKFSKPYFITAIISYIIGLTITIIVMHTFKAAQPALLYLSPACTLGP LLIASIRGELRDLWQWSDSPNEEDVKKLIDETIEAPSEAAMKARAEAKATDVEIETEN QDDPQVNGTTLIEEDDNWMTSGVVSPDEGKARKRKGGKRK
I206_02784	MGKSQYKKKTQGWRHNPLRVPDAHLGGGKGEGKADPNKEKQMLP ILNKLKSPEYADRTWACAAICNLIQNDAATRRLFQGRNVVGELIERLSDSVDEVLVEA SGALRNLAIDGGHELCGEMANKGIMSHLTVLISKITNTIDSLATAPQGVKPTDAEFQA RKHLLSLAENVISLLWSLAEANPKTLQAVNAVGCEGLLIKVLAGRESLGLGVSLAASQ ALYSLTQDNPPFRKAIVNYPNALETIISMIEEDHTPVESSYAAQSRNGKGKSKEINVA DCVEMVKTGVIRNCVLAGSRTDERVNITGLTSGTILPLINGLLDINLINVVDKVLGLA QQVPKEGTANLGKDLKTDHRSAAELSLERSERSLTTVVIASEVLTDICAGLEDEEDVP LNPAEAIAQDEEIDEDEDMDEILADEDLIERGRDPLLEVEEPILSPTVNPGATLSHLL RTLQLPSRLTALSQLTALSFPPTNNQPSPHPPTTSVLSVLHLRVLEALNNLLLTTAAS LPSGGESAEISGTVPVQQLWAGMFAIISAIGSEPDALNMKGQEMRVEVLEMALGCIWG TVKVAPNVLDIQNDHIQTLIDSTRVLRSDVAKSRVIEALSALAARQNVSVEQNQVIGT WLLQLLTASSTLTPDILISVLNAIIDVYADETRPYDKPVFVAGGFLESLSGIVAKVRA EIKRIDKRKNRQLRTQGEEAYENLVAFIKYRRSLRQ
I206_02785	MVQAAQKDTFKTGGDDLDDGLELDPDFLAASDEEGDSDNNGGDA EDENEDLIPLEGEEDEIAHSPIPEAKKRKIEDVELDGENDDEEAKKAEKKRRKKEKEK ERKAKKRQTKSGITDSTIPTHLSTEDLSNILLVSIKESYPSASQVELDDIIIPQDNLL SPPDYPPKKSDDPFGSLQERIESILKPLEKKKIVVGQPQVIILALSGLRCADVVRGVR DVKGNGEVAKLFAKHFKLADQIKYLQQKKVSIAVGTPARVGKLLTEGAIKITSDTVLL LDIGHQDSKTRTILNLPEVRDELWKSVFAGQSRETLLSGGIHIGAL
I206_02786	MSVIIKPSSSNNLNLKKEEEGLNSNKEEKIPLLLKLPPEIIDNL LSLVLPENKQLTTLSLIKVFPNFYEILFKNLWKHLIIYNQKQIWPLWKKLKLIQNYSK EIKSFNLKSWKGDIDILNNIFRLIPFNSKKFNYLFLNIGTNFSPDHLKELFENPRLNL KKLEMRFRPYVEQASYYQFLAGSYFDTAVETLAKSWPALPTFTHLSIVQDLPPRSTVP PTATNSTSTSLAGSFADLSLAKKENDKTSSDSEDDSGHSTPPTSISSASDNPLDKGIS RAYTGHGPFGNPFLNEKLGITKPKTFAQPIVFFDINCIAKFGSSPVAQNLTHLRLRVP SRDLLRVLINHPRGSSTIFPKLQYLDISTTNVRIDTNLTTLLRTYINLEHLVLDHVNL FGFTAREKGPELCHDLGGLVVTAGLARGKERERQIAAWELEERTRLAEVEANRIRAQR IAAEQERIQNGGDDDDVPAEILAEIARRERMEEQQRAIELARSRRGHRSAAQSTFSIR DRSRRTGNSSASNNGSNSTSIIDIPPPDKLYMVLPPLPKLKSISIGGEASGVSKDKVE EWEKEFHQGWKEGLNKLLGWAIHIADKYERARRKADEWRAQEFKSSSGDKSSNISSTA KNGHISKSKRRNSTSNGSSSKSSSSSSLLTKPPLDIRLFRFPTTLEETVNLSNQVPGP NDLLAGLIEIELSESSNREYLDEYKQAIGDIQLLESEEINGFSNHNTTCVFCTVPDCE GPVRRGADGEKVDGRGGKTGSHKQGCGHLLGRSIWGYAGLDD
I206_02787	MNPPQLQDQAQTMFVNSFNCQQSTSLPNPPFARVISPRPKLRSC LSPSRSPFVTPQPESSAPTPGGSRSTSFSSCASGETGWKRTKCVRWREMNGCAVTSTH DTYSHDEYDRTPLEPPSTAERECVLPERGSRCLSMSRDCFISESESYADELEELDNEQ DTTVDSYFLNTPPPTESCSENGEENEDEAEEKRQWEICMERRRQMFARMCPQSRSNGS PDEDRHPEFEGYKSISATLANLLRSISDNCEELTEEPEAEEEEEEEEESINKDCGFGF TSLTLIGDREEKDSEIDTPSLISTEDESMTDDSIASPGGSSNNSVNCTIIPNQQLGIC TEEMVLAAWSNKETSSTLIDKSQEQVERGRNRAVRLTTL
I206_02788	MTTFAPKATGQLAVDPKFQPWVEKYRPKTIDDVSSQEHTVAVLK KALSSTNLPHMLFYGPPGTGKTSTILALARQLYGPDLFRARVLELNASDERGISVVRE KIKTFARETPRHVGKSSDGKIYPSPPYKLIILDEADSMTQDAQSALRRIMETYSKITR FCLVCNYVTRIIEPLASRCSKFRFKPLAQNSTQARIEMIAQNEGVQAEDGVLNLILQL AGGDLRKAITYLQTAQRLHGAIEPPTPIFALSIHEISGVVPDDLITYLLSVMGVDKDQ GIDLTLGTGGFEGVRTAVKRVGREGWSAGQVLEQIHDALIPLPTIPALPKSLAAMAIA ECDKGLCEGGDEELQLLECCLRIKDAMTKH
I206_02789	MPTESTPSNPLPSNSHPQGVIKCSICGLGNINSGSSTSTGTNEG IVVLSDPIERICGRCMNTPDARRRISACEYTEEEIDDNRESMNLTRNVSSNLGLGLRG IELNDKTESIISNDEENTSTRENTPSPSNLHRNHLESSSSPDSSGLSRSLPTHHPRPW RTTIPRTSLNNISTELPSTPERISTPRDSDNTDIEVDRPPNPLLDVTTARVPSIGRGA LYPGSIFRGTQTSGRSAYEVEIQFVDVNFAESNVSGYLSISHLTDSHPHLTTFFDGEI IGMKYGFITGSRYQASEHDDLRHFGRFEQFRRPSTRMDMVKPELYLRDPLPDRSKGEI KAKERDFVFLRIKEKFLVPDHKVRDISGASFAGFYYALVDLSPPLTPPEPPTPTSPST PRTTFGPPAPIIIRRGSSQADTSRRPDGARRRESTSKIRESPTRGEATIRGANLCVIL SFVLVLFPFIKSRTISRIISYACTSEE
I206_02790	MCGIFCCFNRQGDLASYRPRAIACSKKQRHRGPDWSGCYMTKDT IMVHERLAIVGVDTGAQPLVSEDDQLVLAVNGEIYNHLALRKGLKNQDATFKTHSDCE VIMHLYREHGTGLCAMLDGMFSFVLLDKSVEPPRLIAARDPIGITTLYMGYHSSAPDT IYFSSELKAIHEECDNLIAFPPGHFYDSNTKQLERYFKPTWWDGDKKELDIPHNEVDY KLLRETLEASVRKRLMSEVPYGVLLSGGLDSSLIASIAARETDKLADEHEKYRRERKQ AIAEGKWVGEEKPLASWPQLHSFAVGLPGAPDLIAAKKAADFLGTVHHEYTFTLEEGL DAIAEVIYHLETFDVTTVRASTPMYLLSRKIKAMGVKMVLSGEGSDEIFGGYLYFHAA PNAKDFHEELVKRVKNLHTADCLRANKSTMAWGLEARVPFLDKQFLEVAMNVDAKYKM FSKGSQQEIDSDGRPKMEKYIIRKAFDCSPDGKAYLPDSILWRQKEQFSDGVGYSWID GMKDHAASVVSDEKFNAREERFPDSTPDTKEAYWIREIFEHHFPSKAAASTAVRWIPK QEWGVSSDPSGRAVSIHTAAYDNKA
I206_02791	MLTPLSEVAADKTRATGFPSFYRNPLTNHYHLWSKDPAGNTSQW IKTTDNGKNSVEGVSDSDCVEFPESTFEEWRKQRAETYNRSSSRYYVKAIDETTANSN ANQP
I206_02792	MQPLLKDQAVLFAEKQFSEEVVELISGKIKELGGIIKTEREGST ILLVNPSHPSYKTEKEHADFLTKTYPHLPQPILTPYHWIANIYNLKKLVKPEDLSVVS PMFTHASTFENFRPLKAWVSVNVAREQDETPEQAQASLLDKLECAGAIGVNKRAQADL LVVDEQSQFATKVHMEKQKYNRHWQKIVERDWVDNCLKQKKMDWKLDNDNEDNESFLG DDVNHKEGKGPGRPTGGARVDYTPQDDDFLCRYLAAYHPAGSWASRKTYQNLVAAEAR YPIASRHTAQSWHERFKKNGLIFERRVKGFIQAGVDASLKSRAEREKTKLAKTVSAEQ IESTSSQSTPLLGEAGPSRTSGAIPEENEQGPARKRKLVELDDESSLNAENSGSGVIE KKSRQDAVASDGSTAKDQTQKALESATSQSPESVTNPQTQHSSQLERPIQGDTRDTTS NAPHNPPDDRTAAPQAQPVTEASTSRETVTGPTDLHEEESLTDLLPSASQLPPVQSVA TAVDTAIDGRTPQEDAVRPAFKAETDNNTEEESQRGEATQAILADFAKAQAPAKSDEI TPQNQTEPTHEKPRKSVRVDVEHSDVILPESAKNPNLTQQVASPRLNLPTQNTQSSPI HIVLSPPKRISQLQGYAGSTNEGNGSTRMSFPFQLEVNVRALPAGEPIPDIEQQKVIQ DGSTMVTPQRQEIEGRNTPSSTSTNLPSGKPRLHDQIIRRRTLDKSRISLGGVGNSGR KRNRESINGPDMVFRETSIPPRPERITPSSSPAPMAIQTRAQSPPLNPRERMEKIAKG QSLIQKSVEEYKKRIKDLAEKYKMTNSQIIKFINDTGTKGSGEKYWEDVERSLKLKR
I206_02793	MPSGNCDDHKDIDRQLLNLEALPERLPLVECSPICPCSGNCANR LTQRGVLISTTIRPSSSGVGLGLFYISTTHDDLPQGCFVSLYAGEYLTTDQARQRWSN QRVHEEGQGNHILSLKLPGKTWHIDPRFKGNIGRFLNHSCDPNCVIQIVRWGIDSLPR AAIFTKRGVRVGEELTFDYANASGSVDLAAQLDRQNVIEDGAGRTKCLCGSQKCRGWM PFDETL
I206_02794	MFAKNIILAAALVGQAYGLTINTPASLVQCQPASITFAEGTAPY ILAVIPGGQVSAAAIETIDDNLTSSPLTWTVNLASGTSITLKLTDSTGNIAYSSPLTI QAGASNSCLNSTAATSGVSTGAVTTTVSSSGTASAVSGSNAVSTTSTSAVASSSAAAS SAMSSAAASSAATSKAASVASAAGSATSAAGSAAGAATSAVASAAASAAKSGALSNKM IALPAVALALVGGLAALL
I206_02795	MQRYQPPHARQRDNQNASRVSGPTTGEGSTHTRTEGRTPREVTH KSYRRDAFEGISEQNVTGQAGPSNYTSLQPVRQYENSTRDKYTPRLSKKDWSNSSQSR SALASPSGDIKPMRKEIGTPTRMDGDDFDFELIQSVSRSGGDGAEGDALKSWDTQERY RSFINRKIDKHYSTFGTLRHTPPLKDSKNEWESLSSIVLLFRKLREGVVASGRIDDFA IEVFESSAQFSILANNKPQLISSLSGLIPGLYQTMADRKGKNKAQNIDMIQGVENLTI RENENRKNEFTSLYLLYQLTILGEKEFWSIYFQLTQSPRKYLKRPFDHTSTSYSQDSQ NEVEKPFITTEEINLSVLIARSISFHSFDPIRCFKLVKQATTYERVILSWGEDKIRER AWEVMRKAYMSNGIKWAERFLGVKEDEMDEWVERKGLKVDHGIIKLR
I206_02796	MSTQVEQSASDGWKPQGWRMPFRCKRCTEETKKKCTPDSTAGSC TRCLRRKQTCELDEEKVSKYLARPEHHKTDDMETFLFNNANRNGQGHIVDTSGAGAQG SANSIYLNSFQAPTVYGPGLSSYTSYVPPDYSYGYEPHVTQPYNQASDPQISVQGSIG SDMTKPTWTDQITGKTYYKCMRCQTDPMTPCVPDNAFSKRKLDKADCSSCGVDMSRAE LIGYTMGFMIVADIVPSYRTSPKQCGDQAGRNSNWMGCLQSIP
I206_02797	MSYSFQSAQSTKLPVKCESCRNFDKNGSAWCGSSELSRGSCDFC TMTKQECIFDKRVLEEYFTRSAVVKDPILNAFWDKNASSSQYLGVPASEWKFPRPAIL SPGQTTAPTQQTAPSQLPSMIPPGLRPSDFYTSVDQPETLPTTVGTTFEQQTNNQPR
I206_02798	MPNHLPTLIVTPHGQPNQILYTYLHTEENRVNYLLTPSPQGDLC VAKLFPPTRPGQPGHSSASVPTVGSNKQPHNVVRCEASRDLRWTIENTGVMSPIYKIV NQDDTPLYQISKPNPNAEFWTMFYFKYAGHQIPPKRIEFGKVAKNPPEKGGGTRITVT GKSEDEKQVWQTLGVGNEDCVEWVVCCACLNLLDDQILQAAEKKAGILGPASTATSTP ASSSPAATSMRPNPGPLQRVPPSSGPRSAGSGSAGGPGIASTVPRGGPGIASSAPPPG QHLASNLAQTYRNNPNLRNAPPPQQQGSYRGPPPPQGQQQRPPPQQGQPPMQRPPPQG QGMDPRTRGGPPQQQPQRHAPVSNGGRRF
I206_02799	MAKGKSKISSQALSEEDAQNETLLQSSGKTKNRQPKNQNQPQGN PKIKDTMPPIPHKDLFQRINYSYQASIFLQSLGANSNTTSSKSISNDGIQTHDVGMKI DRKGKRRAIEYDHNLEEAFTNNGDDPEILKRKFRQLSRMNIREMNIMTAHNQLKLDPS LKRSLCKSCGTVLIPGLTSRIRNRPNLNSFSTTHHTCLTCSSSLSLPCPPIPLHAYQP SVPGGGATHSIQPLSNEDNLDGPVRFKRRLKASKRGKRVFHEIEKSHSDPSGVGHVLW KGDEKVENWGIPCSTNNID
I206_02800	MEDTGNSREYEKAIDVNRSYIMSIPNRAVGNAVDPISLDWSDEE IPSSSQAGPSRRASRPSAATPSNRRTSILVDLTLEDSGSDTPRPPPSSRTPSHQPNSS KTSRGIPPNKHRNAEDTSGPSVGPSRKVKEEALGKPGSWTNPTVLDPSALPSTSSDGK EAMRSPTDANMAPQGSWLNPAVYVPHIPVAPSAPEVTNKGKGKGRQTGNEPEVGNWLN PRVLDMSGSPTKEDFNLPSNWTRNEPNASTQRGRESQGTSVTQVRSSDDMDNPINPIL RGLSASPISRDDNNGLESTTDVFVDLLPQTEFDQQPPTTSTYPPPSPPHSLLPKLSVG AILPGDALSSDSQPPAGSWLNPTILEATGPATSASLEGEHEVGSWLKPAVLEAPASHK RSASPTELEKEETSRKGKARRIEVTALEDLNIAKSPEPPALTVKKGPTWVTSEQPVKK AQSIEATAISAVAQEIPTPRDVSESQENTPKDINTIDNTEIADDSMFVDPIHSIVKTP ESQLLPISAVRQEDLPITEAEILVPHTTSTDQPSSSDVPIGVYTSPGQPAAPVDQPLT SLDQEMPHVDVEHTTLGQISAISEGLTGHAVKSNQEEGNAVTAQMEQDKENLFVSAPE DLEAMDVDHAGQDEIKVAQLEVDNSIIQDDSSKDSSVPSMTMTTSANTLEFDPGTLHA VQHKAVGPPTQDKSEGADQEQSSPKAIYIPQTPEISIPRTPLTNDSQEVEASLALSII SPIAEFTAKSSVLVESRPEPIALPPPIVGHNDVPVTAAHLDASRAQTTSAYLVETERT IPPEDVPETGITYPVSSPTIQPLPVLSYNPSPAPESNVAVIFQRDIANEVDVKPILEL VPLINTTGHGSSSLNPITLDLDDDDLDFLNSDKEDGSQDTMDAVLEQKAEDARAGDSE FEIVPLPVNFPVVDIDDRTRRSSSVPSIEEIKGDDFIHRIGTQSARKSTRTKQQAGTS ASAQAALTDHSSKGIFSGSRLLPNRMTIPSAAASQSGSSPVKKSSVTPPPRFEIVIPT RSRQQWRHIVENDGDSEVEDILDDHSNDSSSSGLSKAVDLVEYNGFTVHNTYRIPASE DAMDISTESTNLHTIAASTMPDPLPPSDRIGIANRTLNTKLIDEWNKRKPHLTSNPPL HRAVFEAYMAQSTSIDEPYADEIRVVNDVDSEGAPPDFEFQYSNDMLYNPDVPDPELG IGCDCDGPCDPNNEKCSCVKRQEAYFYDLGMKGFAYDKHGRIKETSVSVWECGKHCGC PPDCQNRVIQRGRGKDTKIELFKTRWKGWGVRARAPIEAGTFLGIYAGELITEEESEE RGKLYAQIGRTYLFDCDGWQISHPPEGLEQVDHRLAQLAELAAERARLVATEADDPSW VYSAYSVDAFHYGFTRYFNHSCDPNLAITQAYVKDFHPERPILVIFARRAINRNEELC ISYKGLPDEDEIPIPAPQPKLTTRNAKQKKSKTSASAHITGGTKGKVAAKDRCMCKTP RCDGRMFSYGS
I206_02801	MLNEELSTDMDRITQLQDAILDLLTITSTSIDYITKRTEFEQTS KNIPTTLQTPHAANRTEYKASIETFVNDIVRRSKDIKILIQNLPKKDDSTNRATRLSE LQEELKVANEEYKEALAQSGESFQTN
I206_02802	MAPQSSLYDTLSNLLNPLSLTGRHRSTSDISDGLKKIRRIVLTE GIPEVPNRPALRPKIWKLMLKVDNLNAEDYLRWVSMGPSSDSHKIKNDTFRTLATDTQ FKGKVKEDMLIRLLEAFVWKITSTEMEDEGQPFKYVQGMNVLSAPFLYAMPSQLEAFA CFSTFIENGCPLYVQPSLKGVHEGLKLLDKCLEIVDQELYDKLYSKNLKAEIYAFPSV MTLCACTPPLEEVLKLWDFLLAFGLHLNVLCVIAQLLLMRQELMDSQSPMKILRTFPT LNARPIIGITVALVKDIPEDLYKELVNHPFIP
I206_02803	MKSLFSRFKNNASEGGPSDSRPTSSRANSSASITNKENITTSVN GNAKEKKSINTSLKRIVSKSRLPEKSSSPIPSSNNSQVVRSLTPIAHQHQRHNVSSPD LAINNKSRPPSVSDPVATQNGHLSPVGGWIDPSTLRNRTVSASGDAHHERSGKKVTFR SPAPTPTTSAILDEAVKADDGRGRSVSTGNLNSGQSTSYSSTSPSKRASTSNLKPPST IPLDTRPGTSQSMNSVRPRPITRQTSPFLPSLATRPTAFRKASMPPLSNRPNSNSPTK YPMMLSPTPSEASTGTNSNMSYLPQPNSWSEMAEDDLIANLGPKERTRQEVLWEIVSS EERYVQDLIKFNETFCKSLLPISANSPHLELYDTSSTFTRAMSPTSPALSGNESFVNL PIAAKYSSTPRYSGERYPSDSSASTAPPMTPNDETIARGTLGPPPSSAARLNAYNILT NGRPTHRASFSSLNGKRSHNSLPPPVSIRQGSGSSQSLYPDYGRTSYHPGAGLNSKLQ KANSRVSSRGSIDSVTHSNNVKLPEDLEKVLTVLSGGILDGHIRLAGALKRRYENQYP LVRSLADVFTAHSYILREYSTYVLHLEKALSQVDNSLKLFSEAMSGTSIKSKRLSKKL EETDEGCLSKRLAQLEEIANEKGEAGLVISLSKPFQRLLKYPLLFQNLLFNTDPSLKE YEATLSMVDQVEEIVRSIEDQKTTEEEREKTRDVWARIDGLERDKVIMAPKSDRLLIS EIQLSVPSSKTQKEKPTSVKGKKSFKRLSDILKGGDGDLWVIRFSDVSLLCEKTGITH LPIASFKKATKSDSSHDLANNKHGTMGKRSASVRARNLYRFVRVHEWHLKQKAGSTDA LLNMEEQVIRAGPDRMTVNFESFSATTSRQRTSQPPQSPPTSRYLPSIAGTPHATPTK VPIKTTDGSGNPSPSKSFRSRRTGNEDDETMSMVSDGLSEMSFAFKDGDQVKPNFRTK SKPRQSISPTKVLPGGTKGSIGKSRTASQPLSRRLSGGHVAGHAANAKFANRLRSPDM DRTPFGNSDDTQQRPMSSQRAGRRSLPPPTTTTTTTLSGGLRPLQTANLATLPNRPAW NSGLSATSTIRASTPASQVGSVRGSIGVTKFRESNGSDSSESRRIPIGRSSLALASIA SQNDISDKVNENHNVSLGHERVASETGNSNEIRKLPSKEDSVVGLWKAFDESKGINGF DETPEQRPVSVTVRSTTSVGVTGLNNRGSVPSLRGTPSNRGTTSIRGNIPAKLNSPTT SQSIESTITSTSIPTAPVRGKVSSVTAARVAAARPGIVIGQGSGKAATVGRGGNLTSS RKRNLGGRI
I206_02804	MTLLAKPSRSYANVDHDPDGLSGIGSGAYGSSSTSTSKKLLKKD TNKVEGGFKTYRPPLAETHLRRPLGYDPHPESHFAPLPPAFHLGGKHKKDAALAGQRA ELEHILQPEYKSTYNYDMEGDSSSVAGPSRNHSSRTAGGLGMDRKGKTPLSVGLRPSA SISSFHQSNGNGGVGNGEGPRNGAGRKSGGLGGGVYVDSNGKLHDTEFDPFGHISEMS RAKSRRRSAFGSDRRKKDGGSSTSSSESGSDVGFEAKTNLPRRSTDTGREREEEEIKK RLEMERKRLDDVSGYAAARRRSMMSERSGGGGIRPSTPSIRSNEDGQTGYGFNGNSIY TSSLAPTAMTGRSKSQHGHYIPSPLSPTFSTNTPSIYSSAQSRSAHTPQTATTEGKVQ GTPPEKTTESTAPPPKKETKSKVEYTKDGSKKITGFDAPISPVPPYTPTVPDQHQHLL PRAPSVNGGRLSPAPGSGVGRGSIDTTRGERERPPKPVERPREELFPETPAQIKRREE RERRIGSTVTTNHTRLNRPNNHPHTGLSVDTIIASDLKGKSRILPEIEIVEDDDPRII FPTEGKTTKIQSKHDHVIRGPFSHALNALGSSQQIHGNGNGNGSDLGDYSRRGSTSKS IGGGSLNGSGKPSSTLMEEDGGYLPSRWASGDKALRKTEIDREKYRPMEWKSGGGAEI ITRNDEWHPSTKDHLKRNMKDIATSARFSLFRTKKKLLRKAEM
I206_02805	MNDDGWDDGFHSESYESPDEDEVFDRHALSGNADLVKELDLASR WEPVKFTDTPFTIAARKVRNEPSNQSEPTNLAPSINNSAAPVKASQATAIRSIPPSNN DYGIKNGVCRTIQPEYYHKASSSKDAQLGQSKKRGLNKGSQEKGEHYGPKKPKMKHTG WYDGSGKPVYEEVKPEREKTILEKWEEKEALSKKRSEAAKKGAATRARNRKTKEEEKI KFSILPPNAKASSEYPILQGIERMNDLPLKDRQSHRAQTEQEEARVNVVDKLGTEAIN DLICGDSGRHTKNEQDEEMDIDDKFDDEKRGTGNGRMKLTAREISEKERRASMQEILQ GKASDTQKKYGKTKASLWAASPLHPSLSSAHSNDHPCPKTKGKNSLDPIDLGSASEED NSMEQPSTITHLPSSALRDIEKAGESSSEVPLLSSPLDQYRFGDPDTLKSRVIGRGAS PNGNQDVRSLGSFEKKDETEKLKRSRTHKEHLLEEARNNAQMLAPQTGMNSRINHRSN VEKLTSDSLRGHIFKARKSKRSSSPEDKSTDKDEEWRTEVPRHGRWNKQALSKSNKRL GRQQSKQPYKPIEIFPKKQYNPKITDNLKIARSPFGDLFAKTDDQGTSTKIRSVGGET KLPLFKPSDPNESKTDCSSNITYTLNTSKGTKYGSTASPIKREKQYRDDDKYTQNGQG TNGGSMISNTNISTASNPSSTYVVKEVYVPIPYSQHQAFYDPNVSSSGGSRHSIEQFR FINKSNNYSDPVVYSNGFAHPNITHSSTNDNRYMHKSQEMEVEEDWTKAWSKSTQLQN SNSIKGIFERY
I206_02806	MTDSAEPVAGPSRNAVVSTRADANLLRQFVMEYLQSHGFDKALA LFQQGPSDIGDAEGEASAAANGKEAIFRAPGPVPLESNVKRNIPQAQAVSASTLSESI TPEFEAQAKYIIEQLQAKVQATNPGEDGADDANKELKDGPNNQDSLLDPSDRVEGYKS FRRWVDGGLDLWKPELDNLSFPLFVHTFLDLIHFGFLKTARDFFQTHASHHQPLHPQD ISALASISTKEHVQANPFCQRIITDKYVVPLSRNTNDLVIQWLSGAGLDEDWEAGLHS AAGRAKEAIKGIVFSRISIKVTSTSVPLDEITIATASGLLASALPSAMPIEAFNTATD LKLGPPPITEKLKEQVIRTLQDEEPQSTQQPNGTSSPSKASLSLPNGHPNGDTNGDVE MSSPNQTGDHIVKLEPELERNKDPDLVNPEENETLPPIPAVFRIPDLKREVEAIRDKR KMIRLGPQNNGESKAGSSSNTVLPSVVAFTLFDQGESAASVEFSKDSSLMAVGSSESC IRLWSLKGEKLKKKTIDPSDGSLLEDDGEPFRKLIGHSGPVYSLSFDPLYGSASAPST LLSSSQDGTVRLWSLDTYSNLVVYRGHGKDPVWDVEWGPMGVYFATASRDRTARLWSS DRVTPLRMYTGHLSDVNCVRFHPNSLYLATGSNDASCRLWDVQRGACVRLFMGHTDAV TTMSISPDGKLLASAGLDSSIWLWDLGSSRPIKKMYGHKSSIQSLSFSADSSVIISGG LDSTVRCWDVKSPGGEKSLNSTNVGGGDELQGSLPMGPGRGNWEDEPHTSDLLATWLT KRTPILKTHYTPRNLCMVAGSFIPPSNASKGNQQMNGQ
I206_02807	MSVTVSGLRSHLLEALSTVPSPYELGLTVLLSEAKRTTSIFPHT PVPPKCYQQEILVVLSSNLPLPQSNKINTDTSDTVDPSASSSKTENNDNRKVLVTAIS AYLYTFPSNSSSILYISKIDSSGYTPIPLPLTRILIVSFLTYFLDTLPNIRIQLFARA QKQYLFANSSKNLNKKVLSGSGLCKWWKGVYEETIITHMKSRSSSALYVGAQQSNKSQ EKEDYKLNYLIPGYEELESLNLLGKGKELPNDLKWSYIPPFNTPIFASSSSKSNTNLP ESLATLIPSLPDDPKTRFLEELVTDHFQKNSSSNGNSRRIKRTQPEISDSISLTLDKP DQNGSGTATVKKSKKERELEEELNGRKSSHIALSKLDKKEFWVRIGFRQECGQDVTGF FSLEKSSSPNNAQQNIGRLEENTIKTPLYDEKEDENSKAKIDQPVQRVDTVNSLAPES QNILALSSSSSTEMKLPIKSTKPTSIIQDQSSSIVTISKEPLLRPEILNRLLTALTNL DFADLPLSLEGTDIWLKQAKSIVVGEIGQDNWTKCVGIIKAKEGLLGMNDNGVTRKDR LDEKKEDEVVTMLMPKKKKKKIN
I206_02808	MSPIPKLHSNSFSSAFTQYNEKGKGKGRLPIPVTSNSYSHKTSK ANKRKFKRISGICPFLGLILLISWFGYPFIPSFNRISSPSIHPAVQSLLNKSNTDNDK NQYYVNNTVEDVNQKEAYVTFLSSISDPNYLLSTRFLIYQLLNDPLTLDKSQSRDVVV ITTPEINQETIKLLESDGAKIKKVNLLDGFDLPNEINDHWKDQYTKLNIFNMTEYSKI LYLDNDIFLLKSFEDIWESSLLNHQSPLGGIGETSKTLLRNSDLRKEPPSSILPKEKD YLNAGFMLIKPSIELFEELKQVKGYDTFYMEQALINHYFDWNGNHPWTPLNHKFVSHF PKPSDLVEGYYSLHAKMWKDPVDEIVKDAWRDRIIKMEDFWRSQNRT
I206_02809	MVYPTLPSSTNTNYLSATKVPRSSESASSNDPEPVTPELNSHST MTFQRQTLTEDTEELRCKWNSCTHTAASPDELYDHLCNAHVGRKSTNNLCLTCGWENC GVKCVKRDHITSHLRVHTPLKPHPCSVCSKTFKRPQDLKKHERIHTQEHHQMHKLSKA ATSTDPKFNSRVSSGNIHQSHVEDRQRSPLSMNSLSPSSSSSSRLNPSSPFDHLLQQS THLGVVGGQDKSVSPSPSALAALHRKQHEELAAFQQREMAILQQLAYNQQQTQAYAAQ LAAGTNSSDFAKIGMKRSQDSEAEGLQGLLADMKKRKVEPVYDAEMMHRLNNLVPPSM PQSYPQVSPTNAFDQYTPPLDASINTYPSLPNLPGGGLPSSMSSFGPTPPLSIPEIKT EADLQMFNEFMISLGRDAAGQYGNHVQPMMHTTSGSGGSINTDSPLSDGSTGAEDLFN PDELASLGLTGMPGIPIPGSHNDNISNSGSGSDLSHSLPNGSPSISFGGLYPSLEGMR HRTGSASEITDHTKRPIASLPRGSTGSLHPVPNVNNNKPSHTSNFYGLGPTPYAELPF NTMDYSHNNSGLGSFTASGNNNFSSFDSLARSKQNLYPVATLAPKDFYKKTYRHVQPL GTSLSARARESAERGTAANQDDDDDDDEDDFTEEPEEMPEEDDDDSEKTPKIPIRALL SAEESDPDLKLPAIASRDQNGSPTRTLPSISEINHYRSNSIASSSSALRGSPIPSSSA VPIKRHTEEEIVRGVKRLELGSGESVSVEKEEDKTALIVREMRKRHARLIRSWLIAVN LEFRRKKLEDIQRLQREHEEERLSEIDELEEREIDIKIEIPA
I206_02810	MIPLHLISVIPFLLSPHISAQLTPSPTYTPPTASSGLASSTSTP NTQWSNILGNSLYFYDAQRSGKLNEGTYGNRVEWRNDSALQDGSDWNLDLTGGWYDAG DYIKATFPLTFTLFALAWGALTHGEGFDQAQQTAYLDGTLRWGYDWLIKAHPSDDVLF VQVGSGDVDNAYWGGDENIPGPRPAYPINSSFPGTDAWSSAAAAFALGSVLYTPSISY NVTSSSSAPSSIGNATYSASLLSQAKTLYNVANSTSNKTTYYDSLGGSVSAYASSNWA DDFTLSSLALAIATNESTYYADAYNNYVSYAISGQQQVWNWDSAIPAIYVLFAEIAHA RPGLAAGAGLDRNLTGWQNETEKLFDSIIDGSFKRSYLTRGGLLYFEGDSDEASLNPA TAIAMLMFKYAPIASSQDKTERYNNFAQGQLNYMLGKNPMNAVYLVGSHPNSPQNPHS APASGGNNIKEIRTSPPTEAYVIYGAMVGGPLSDDRFWDWRDDWVQNEVALDYNAMIP TLAAMQILNQTSDPPYVSIQAGTYSIPSEQPCDAALPCEGGGLSKGAKAGIAIGVIVG VLLILAAIWYWKRKQIGAWWRKGYRR
I206_02811	MEIPDVRSPDPCLSTNATLPEPMELVPLVELLPAPAQIAPADFL SVQIPPSNEVEGNDNGYSRVFAHCNSIFANFFLIL
I206_02812	MRIFWPKTGIDKSQGLVVGWELQDTLCVVTIVDKWSFRHETSGT VPKLQVLGSVDSRHRVDITSISADTKLKFWLNKDQIPILCSEPISLIIYTPPDPSRLR FLRSPPSAKAKRNDHLAVQDEYSIGMRLPDVINTSYLAQESLSSQNGYVPKLSKSKTV SQQAFVQISSTIWSTMCELVLWLILPINLCARAISGACEYNTSFGKVRDFSTTIKQVS LRLSQGLEGPERFRSTHTVSDIELKSDQYIRFWNTVWLIFNDIILGYSARQFILAYSP FIQDIIPEVFSKYLIDSPITVLKWLNDWPVGLKLNTPLSQFFCTALGILIRNWGDTVE PMLLRILPLSLQMFAFFSLFGLTFTIAILKDSVSILTSHLYICHTIMTYIFNWQLESL GGLWNLFRGKRWNVLRKRTDSYEYDVDQLFLGTLLFTVSAFLFPTVLTYFGVFALIRF GIVTSQRVLSAALTSLNAFPLFELMLRLKEPSRLPAGIHFRLRRIKDGGVVGDPKDMI RITHSLELENSPKSILDILFPHS
I206_02813	MPPNPELEAQLELLQLLTSMFTSTELILPETTQSILDQYTEEPS KGLSYTQELQGDLTLSVDEYASTSEQVIFHISLPMKGDQKVQIRPKQPNFLNRNQYQA LLDDIPNVEPNEELSEYILSTIDNVKASLQTVLKESTAEKLDEQLDEEDDSAVEIIRV WFWLPSLSTREKRDDMVNFAKDVGLTGFVLAGKPGLLAVEGNSQVIDKYMSRIKSESW SDIPKHHKKITERLRRILPSPEAKAFRDMREITDTITHYGQYNHRGDMSEVKKLMDQW GVGDDFGAVIMNSGA
I206_02814	MSQVNNQPASRGIITSPPTAKADMSTRSATIGTSTPGRESNSGN SSTTAVDTGQVMPGLSSSVRPSSEGNEDMKNGKTKYEQWYPGDASHDGRPAWWNKVVC CGYGLGCLLCPCSSWNCKHERS
I206_02815	MMATHNAFQRKVGWVCHSFNLACLLIALALLLTVLFSPTFSITT GIVKFTSPPKDTYWTDDDPPLRVEMIVGPAGGCISFNHSVPICQTALQYKPPKELNLT RYGHSTDSIGIGTYFAIHYVVTVLLIIETLALFLAPWLLGLYLIDMYFMLLGVFLSIF FMALGYSIMECDAEEVLRDTTPKFDATLQWGYGLVIFSTVFQVLAMILTFLSAGELDD NYVQTQDIESQSTRLIENTK
I206_02816	MAPIVGTSKGIKRKAGGNGKKGKVFVEDKKDLLSLMSSITSSKD NIAEKKISKRKAILNEENQLITEGKKEKSKKTIEKEAALERAKTTLIEKQRLKKIKKS SSSAILDKNEPSIDIPKKKKVGFA
I206_02817	MNLPLLLFFLSNLIFPTAFGAADFYFDWPSNTSSCQTVELTWSG GVKPFTAWVVPVYGQPFFYELSTFDATTGFGSAQIELQLAAGVNYVVVVSDGNGIGKG GSSEIQTVQPGDDTSCLDYASSRSVSLDFTFTVSGQAVQCERGFETSWSGGSEYGPYN FTAIAMDQSFNPYDVPMEVGVTSQSDWILDIPAQSRFIIMYNSAQGYGRGGTSGIYSV TPSNDSWCIDNTPQPTGSWPITLTTGTLDAATLAITETSSKGGGNSSISGGAIAGIVI GALVGVALIGALLFFLLRRRKRGSKGIMAGKLDVSHIDLAGDDDSIPRVSQPMVEPYR ELGTFNPPEGHGRVSPNNSYIPSSDSRDSSAGFAGLGRATALGGIANTDWEGNNHTAT EMSLLDEDHSPSSPGVAGPLPTKSNTQIPVSPNSTTVEHNRTLPPALPYTGHHHSSSQ GSSNSDPTKTTSSPRQAYPPYNDRNQSGGMRVANPEYPENIPTLPPGATHAPRNNGPR RRPADNNGPTYRRHADAGRFQEEIVDLPPLYSEVPRDGPAISSPASEQSTDR
I206_02818	MPSLRHAFAWTAYKFASTVLDDIWPLVLFFAGVATMVCCVSRFS STDLGINSVMLTVLGTIVSLIVSFKTNSSYGRWWDGRNVWANLTSNSRQLAMIIWMQI PNAPPPPKDEDKKEGKDAKAKKPKKDPVSKETLQSYSTAQSSAHPSFSDSDEGDGTDD EEEKAKEEEEKARLNMQGLVEKKTYIGLVQAFAVSVKHALRGEVGPFYSDLYHLIAFL PKYNPSAYPPINRSHILALWQNGLPRDKAGAYTDKIAVPLTTSVAFRTDALNQRDLPD PFVDTEKGPSPSSNGSISSSSGIGSGLNTDPKVFKKQAIQSAKSFVQVDNPDIFALNR QRSSLQTVRSGKYGRSNNAAGEEGITLATVELMPPRHPPTPRFWDFFPPLRIFKIIYD WFRWHKLGDNNERAKGGKRKRKAGNSMEIPQELLMYLQAYVTDLISRGMMNSSLISPT LTAIMELQKSISDLEKIATTPIPSAYTFHLRLTVYIYLFCLPFQIYDYIGWVSIPATA LAATIYLGFLEIGMQIEMPFAYDQSDLDLDKFVLRIAHQIAQITAFPTHIPASHVVLS HLNQPFLPSLDISAPDLLGIPERQPKPTEKGFAHSWCSYDGKHASGHDHKNKKGLRNR KYKSPEEAHQEGMDHHEDLHSSPVGQSHREEEEEEEVVFKPKPLAKNMRDIEMALNAN WREITAETEDFIGKPRDQLENRTGLEVAVLTL
I206_02819	METYTLPSFPSEYSTIHICLFENVTNSSEIKKRLIEAATTEGEE GERLRKEVDYGFIEADILVSKEHLLTSIMTTLLYAFPSTSNANDSSKDLNLNLNKLTI TNEKNNDNVNEIKLKPKTRTHNLHSEILLSLSPNNNITDSIKRFGLSEKTKNLIIIKF TNNDFKELQKQKQKQKIIYENIQNLVKGNLINLNEIEKITNWQKVDKIYKLSELNSIK SHDLISKKRSAVISTVGVKNVI
I206_02820	MSVRSTPASSPYPTLPTYNVPESSSSKRSIRAPSYISRPSIPSF DPHRQSSFSRSSVHPSVTGTSTPTLLGTGVSRGKRNENGVLTPTSKDDWAGMEPDEVF RRLPVNEVKRVESKMRNDALNKQSELRLMVGTRYRDLLTSATQIQSLHSSSLRLSDSL REIARSCSNPDINITLDGEGSQDGDDHQQDDLMDMLPTAAHMKLLLDAPEALYSYLAQ QSYLNAAFLWLITRVVKESLSSMPDEKNEPYLPLLQKQWEILLPFRSQIVQRATAALR AKEKLDLQSLSTTILAIILLDNLPIPDALDLLLSQRTKALKDTLQHIDADPSKSPEKI RRRSNSKLQNAAISRTVAQERSTISTIIIDSVTLMLETVLSAKGVFERRATSSEDSES TIEEMMRLLQKGRLTSSTTTSNPPPSSRKNSHQRRASRLASISLPLNYVPQTPSSASE AVNKPPAVATEIIQELPSSQILLRYLPNNIIGFTPFITPSSSPDIDSTLKQWQLNSIE LLKESLPEWLKDLKNVKDIWSVRNSLNNILKEGDFEQSIRETLEGQWSIRIKEVWKEK SDELLDITEREVREAGEKVRNGTEKIDVSPESYLFSDLAFPMGPSTSTAFNNFRSILG KKSSYRTPLLDNVLSTFEEIAISIKDDMSDLPSSLYSEYSSQIKVTLNSLIKVLEKVL DSLGPTRGDGKNGIEAEIFIGRIALYLCTEQSGFLRDIAGYGSIDQDDIEKSLLVLHS KSVIRWKEKANQEALVLLAPLYDPYRGSQEVKASWQGDLPSAPSHAIMVSLQSLVNST KSLGIPPTFKKLPIVEQLIQGYVANAKELEGWESQSTNPENALQALVDIGFLIYISGQ SIKDDKMVEQFMNKVNPTYSSFNLNTLQEIIIQSIRKSQLLLYPLLSHLSPTIPSTTN SNGKLSYGLDNRNAALLRFGTPQNKINGTGTGTGTEFRSPVVAAKPGKRMGLLSIAA
I206_02821	MAIVEDVTAEDEVTQVEEQSAGDLISELEKLTAQLQVPTELVPG FMAALDQNALDHYRLKALGILHDIRGKVNSPKWEEVDSEVQFKVMENVIRLNGDDPWS SVDIRSIIQDLLPKLDHSIPLLILPKLKPYFASHPSLSSNFRALSRPIGGKDSTIDLH DIQPFKDPNSWGIINLLSFSLEQLNSIEIEKNIGLILPPTLILMDDWEPLWRLKGTKI LEKWINKLNKEIMKLMGIDKLLINSLIHTISLQSNPPLKGILEITIKLIQKCNENYSK EMIDFYSEIIEKGIIQGWIYAPSGLEGRSILIHINEMLLVFCDLMGTGIIRWLKNIIP NLLQPLQYPPTQVVLPHYQSNLSTLLYIMKKIRKTERVERWRGQILNILCRLWVQLKE RRGILSLEEEEEEDENDKNLNEEIRSLIKSIFQELENQIPSIKQDEFNKLLQLSPNLF NDFISNNLNLTI
I206_02822	MSSKLGTPIKSSSSAAPPPQQAPPPPPPPIIPTTWLTASEQRLF LSAIFGLIEITKLWDTFSPILHLDLSNTWTSNLKIQGPYSVIGWTVAEIIMLWAVGCL RIPMLSPSYKQLGLLALLSAGMNLLCWLIIEPSVALVSINVVGPAALGGDWYWNWLYS LKRYSEPSHLEGIHKIRLLPYSTATLNPLSLTYCIPPDSPAPLYIPIVFNNSIPEEVS YSVRSLETGHATLEKVSSSHMKRSPTRPPRLHITDGDDDFEDSDIEEPEIDPLSALVL HSDGKGSTGAEVDIAKLPSVKPPDSMALVPRNLASSQNILFITIDKPSLITLKSVTDK RGDKFHITPHREAIIIECPAGGQFIEEEKQNKIILKNDKAKTPELRCVGDEEVTKFQA RGVGPLKVGWKKIVKSGNVKVETGIIEGIEEDIEPTDDLALVRRDKVSKTHTVPLRVT HNTPGIFTLSLITVSDALHNTYTPSGHSAEKAFNVISRPSVRLDCPSTIQLLHGQKTY IPVQVVLDGQLEKDYEVSYSFESLEGQKSVKTLKISKKREDIVVSEPGTYTLLDVDGP CAGGVMEPSSCTVQMVPLPTMDMSVTTLHECAMDVGATAAFDFTGTPPFRLEYTEQRK GGRARTLTESFQTHYGSVVLRPEHEGEYTYTFTSLGDKRYKGVKLDKSPIKQTVHPLA NVDMVGRIGDTRKHTLYACSGDHVDVDIEARGIAPLKLAYIKSWSSQSENFTVPVKTG KNKISVPVPDELSATSGANGKLTISLVSIEDGNGCVRKLVTPGVEVDIKRQKPTARFA KSQKLIVTAGEIAKAPLRLTGEAPWDLTYSVNGKDRKITVRDPNNHLSFSDKGVYKLV KIKDAHCEGDILTSDSTFEIDFKPRPVVSLQQTSGITLASPNSYTHKGLCAGQEQQVA LKFTGQAPYELNYRYTSEGRTSKHTLKSAQETGILHLSSEPGSHRYDLLNIVDGNYPN TDIQISLSHNVHSKPSVSFIKHNTRPLCLDSPLNTDAKIHLKGTAPFKLDLAIRKPAS TSIKTYTIDNINSPEWTLSIPEYELKEIGRYEIMITRIQDLNECEQIINESDELRSIV EVVESARIVAVDDKKDLCVGDSLDFLLQGKSPWTIEYQWLGKNHKVSSSASRFSRFAE EKGIFEVKSVALKDNQCKRQVEGMKRIVHPLPKAKIVEGEDSLREGDEPAAFGVIFTG SPPFSFTYTRSEQYGSKSKVIETQTITDIWENSYTISSSLPGDYAVIAISDKFCRYPP ITRSNKER
I206_02823	MRVQGWDPVMIICQIITLQTIHYLTLSILIPPFLTSFTTPVLLS YSGGPSTVSHIMDWREMAAKPTISKNSFPGVEGLRKLRGAWAGGKEIGSVPSSKDDQP QANEGEYEENKEYDEYWEYGVDKRRGWILGSVWLLAFSIDIAPLYYLIRRPTYILDFS LTLIFNHFILTTYYSASFPTSIFFWLIQSIGAIVMVVISEHLCVKREMRSELDIGWQP NLETGQSQALLENQDTTIGPSGSRSEAIELQER
I206_02824	MRSTRSGHDGQMRTVKPFTSRVKWNTSDLLLLQQDPLDSRRAGR FGYTDIPLSRQIQGVYQEESSLFEDQYDISQPNYLESNSNPSKFVVSKFIKEHLCKYI PIRSIRSDRLKYLTISSSSTR
I206_02825	MTILFISLSILIFIRIISSQSIWDNSNRISDDELCLISILGITV KGLLTNEGACRYTVKYGISKRWKESYSTLNDLNDQQSFNNLPPSCPQKEGTYVSGDYQ SEDCLFAIIYSPSSINSLLKLPILVWIHGGSYTAGSASSPGLDGSKLAIRGNMIVIIL QYRLGILGFLPPYSSDSWSDPNLGLRDVILALKSIQNGIEFVGGDKDKVTIGGQSSGA SIARALLGAPEAKGLFRAAILQSDPMSYGNSPNDITSKLRDLYYSQEPLKSCTNIECL ENVPHASIIAAQDDLTLSAPMLIKGIPLPMVIRPTFDNPTLPSDPTLSLFSNPTSLPL WNISILLTTVKNEAGSAVSDLFPNPVPLSFDTYYATLSASINTYRANQLATSKEYALL DNTGFGKGGDEFREKYETAATDGIWKCPNRDVASIWRKNGGNVWVGEWTKGSTYPSNK DHAYCTIKGKVCHEDDIYPTFGNSPNTTIETTSIEDEVLSYWSNFIINLDPSSSINEQ TIRHESIKNWFGWLWSPKKSFQSPSLRASSEWSMYRSFEDVFTIGGGQASSCPEGFWG KKVEYDWQIYGQ
I206_02826	MPRPTRKSASGGASTPPSSLSSSPPSRPSYPAISLRSSSPSSSS SGGKEMPNSNVLSARTSLLNVLTRPNHSTPSTNIISSENNSSDEDTIYSDQSTLSGSS YASSIINGSIVDKQHLSSEDIARVYENYLEKPFVYTKSTIKHSEFGHCNNPNWRWTSQ WNPDEPIHPEEEPHPPYFTLLTTYISYILLIVIGHMRDFFGKRFRPAEYAHLMPQNGY AALNSDFDSFYTRRLKQRLEDCFARPVTGVAGRTIVCYDRSSNDENKTFTLTGTTTRA LNVSSYNYLGFASSTGGCADAVEACIKKYGVSGAGPRHDASTLDLHVQTENLVARFVG QESAMVVSMGYATNSTTIPALVGKGCLVISDEFNHASIRFGVRLSGASLRSFKHNDVN DLERILRDVISQGQPRTHRPWKKILLIVEGLYSMEGSLVNLPLLIELKRKYKFYLYVD EAHSIGAMGPNGRGVCDYFGVDPREVDILMGTFTKSFGAAGGYVAGSKAIMDRLRLRS HATCYAESVSPVLLTQIISSMGSIMGVAPPLATPAEEDKSDSLSIVSRPQAYGPAPSY ILPAWMKLPLNLLNGTEGRERLRRLAFNSRYLSSGLRKLGFIVYGHRDSPIIPLLIYN PGKMPWFSQLMLDRLGPDKTPVVVVVVAYPATPLITSRVRFCLSASHTKNDIDLILRA CDEVGDLLGMKYGKQTMNVEEIISSAEELVASSKFD
I206_02827	MAEEKSTANGGETVAQGFRTTNYPAIANAPFPAEGSAAFSNYEL AIAVFVGPWIIQKILPFGKSWYLYWFLFVLLGVPIAVGYWTIKSKFGSRINEKAKFPG KPLSNYITLKDESLKKYNETKKIPMQVFHDAYFAGKADFNGDVLEIMEWRHDWASFEF TPELFRYVFFNLIPDVIIHSQSQDEEQVRDHYDRGDDFYSWFLGPRMIYTSGVISDIN KMETLEELQDNKLKMVCEKLDLKPTDKMLDIGCGWGTLATFAGKNYGADVTGVTLARN QTEFGNKRLRENGVSEDKGRILCMDYRDIPKSKGYFNKISCLEMAEHVGIKRYGTFLK EVYDLLDDDGLLVFQVAGIRTCWQFEDLNWGLFMNKYVFPGADASLPLGWVIKQLESA NFEIKSVDVLGVHYSATIHRWYLNWISNKEKVLEKYGERWYRIWVYFLAYSVIASRQG SASVFQITAHKNLNAFHRIEGIPSHGNIHAHTNVEFKPVISHEELWENQILH
I206_02828	MGSRKSSLPASAVAGPSRIPYNSTTGSSWNLVVDNEDHLASPNL IGLKSPSTRNRSVSFQPPDLTSTPRSSRHNRRTSGGSHYSIRLSPPIRTPKSKAVVRK SLTRENALGMNINNRADILADVAGDCLVTLVKAALRAPDSYRRRSSVNDEAKKAWDGL FGIRQSYFFPPYSPPFPSFADTLRSLHSHIQSDLDAPALARAIDLSNLATFVWTISRP DEAGDQFHLDLNEDELPRRRSTRNKGRSEEMEIDMEILQRVKKRNSLLFMAWKKFWFI VVPQEKRTSEIALRLWLDFATQIALIYQQSRVEDHAEPSPLLSLPQPTAALLAELFSP SAVGRFGQWSILEDFDSQDYSQEKNELEERWGILAKRRTEELGSLGEEELRRRYDYDR FKTEMIAYVQHEVLASPANTLLTPRRRTLLANDYRDQYPFRLGIEDASSSDSDLDDTF LARRAQDSGIEEEEDDEADVSAKSEETPHEKPIDFDILALAAAEFEDEDADGVDQEFM HVQKDEMAEVEMQVAATNPEILSSSAEESGSSQEERIGVNEDEDEDWVVKDAVPTTDT SQIKFRSKDSAEHPSKGPRFDWTARQADAIQVEWDSQSLHENGLRSPDPGTGATRSTV GISGEEAETQTRNTTPLPFNPNIHSSVYGRLIETDPTAVPPHIRAQPFVFPPPPFPPT NGRSKPIPGLPLMEQLTPNEQEKSEEEEQELVEGTDTQNPAPSQRPPVDLLNDVLEDD EDEFADLLPSEAQLAPQSGDDRLKKAHGTTLRNGDLEYLASEPPHDDGAGQISVQTSQ NHYMSSRPRKSYEAHSFVVPDQDEDDEDRQLLNQASSPDLSHRDWSEQLNVKSELTQD VLGLHRFTNGVHPSSFRRQRGSTVPYLADDEDPFLHDEDGTPLQAEDLYLAPVGQTSP RRSRIHGKTNTSLSGYCRLTGKRKWTKEEELLLYRTVQKVPLEEEYPLRIVWQLYGEF GRMGNQLKWYNTQHMKDKLRTTVKRRQNEGRKVEGRVRAWAARGTREREEWEEEWEEY KRFKGEPETSPSADDHEDGSQELNVGMQNVEEDDDTASRQENGDDADEEGNEIRSSEV PVRAEASAPADVGVDDDDFPEPTSLTSQPQSNPKDRLDAFSDDDFPEPSEILQTTSHT SQSAHRQSSSPARITRKNKRDFSATTEHSPTDVIKIIKKKARIASPQTTVNTPASPTS PPAQKSPARPRGRPKQTTTYRSRPPVNKVIQRAARKVTADALEAKAQALSSSSSKASI QRARKAATWQTARKSTNNSIDSVPTQRARKTTSNVVPIIARARKSTQAQRARKTTGQF TVLVPPTQDEDEDDDVCGSNAESDSTNVAQSNNLTVDASGKSAYKNDTTNPVQLKDSV ENIQAKPSSDGVEADDHFPIPEDVENDRENSGGKDHISYYLDGSQIDINAKQVEEEDR KSKEERERRKLIAEKVKGRNLKSNNRTKSNLRI
I206_02829	MGGFSIHPLLPSIKAKITAIHQYDDRLYVGLLNGTLQIYSYNIS KDDNLPRVELLKTHTLGKRQMDQIGILGESNQIIILSDTVVTLYSLPELTKKGSIVLN QARSAHCFAHTKYSTQGKKGESSTGISSKELLVVGCRKKVVVYGAGKGGLKEGWELNL PHSPRTIIFPSPPSTGIPETVHLLFTPQTSVLLHINPSSSANRLNVSDTTTFPPPPSS SASLIAEEGGSTGITMGMGALTGLGGYVGLGAKSAAPLGTSTVGGEVLLARDDTGAFL SSEGNYTRTESLQWPGPPDALAFSNPHIYSVVPVLPSSSTSSSQTIPVPTVQIHLAPT LTLRQAFKLPEPPTGGQAVSHMINTFKASPSLIPAEVMNPSTKMLIVSTPTDRHLSQA EGSSIWTLQSGDIGEEVDQLVKEGRVSDAIGLVEAVGEVGLSPSRRLPHLKTLQAVTQ MAKGEYQSAMEAFLIFNVNPALVLSLYPAEIISGKLHVPKDQWMDLFGAVPEAKLQPE TTVEREDSTAKGILKSVAGLGGLTKKGSVNGLRELGDNASIRSGKSIDKNADQEKVPI MKEEDAVPPRAALEALMYFLSDRRQKLAGAMATNPLPIEADYPPLSSLSAETQHNLPS TPFSELTPEQLLRIAQVIYTGLIKVYLVARPVLVGSLCRIENWCDVEEVEELLKAQKK FGDLIDLYQGKKMHGKALKMLHELAKDEEDKLDRYPPTIRYLQKLGPSQLDLILESSK WIFEEDPKMGLQIFTADEPEVESLPRSEVMRFLDKTNQEACIGYLEHIIHTVGEEGPD FHDKLAELYHARVKGEQGKKGDADIREANYEIFLEFLQSSTTYRPFRLISKLAAEEMP EARAILLGRMGKHEEALRIYVYNLEDYQAAELYCVRNYPSNDEIFLLLLRIYLRPISG KEPLLSPSLTLLSKYSNSLEPKQVLDLLPPLITIQQVDKFLLSTIRDTFKKQNENKLF KNLIKSRKEELDRILLGLENKRIRITDQRICPQCHKRLGNSAIAVHAPRGEVTHLHCK DSFSTKLARLRG
I206_02830	MTTSPNDIPTLADLYNSNEISKANDDSKYNYDEALNKRISIWRG DITKLEADVIVNAANSSLLGGGGVDGAIHRAAGSNLLEECKTLGGAKTGETKLTKAYK LPSKFIAHTVGPIYSSSKKEECELNLKSCYSTSLELCKNNGGGSIGFSSISTGVYGYP IQDATEIAIETTRTILEKDETITRVIYVVFSQRDEDVYKSIIPKYFPPSSLSS
I206_02831	MHFLQRLIHFYYALPSLNAVASTWTSDQLPSIYQSDKMVSVPPN TVDVTIPSTALIAIIRDLCALISLTIENSLSFVNYLWRFWLSLWGLERYIRYDQTRTK VHESGKGRGIVVLGANEATGQSLTLALAKIGYTVFPLIPLPSPSSPPTSSALTNLLLT WSGMQKRLRARYPGHCGGVVPVIIDPEGISDDLTSATRGDLKRPENIRLNDQVVHGGR FKHAGETVRAYCKENKLILTSIICINRPIKTKPYSIGKYSNDLGFERMKRSRSGEGDD SNHLKISVDPSSAMQRSSSAPSASIILPNDTSRVLLHNNDRTSSLKGKGKRKNASSEL ELAPSSLILSEESTLSSLYRSNILDPLAIIKELSDLLSVSNISKMVNGRIIFINGSGD GNIVNLAKYDQDENVQEAVNEYFGTGTKGSKATRFAHEIQKEMMKTIRWDLSRIGVEV CEVLVGPMAPKTQTAGIRVREESEDSNDCTTSLLRDALNKVNSSTPFSKLGQTDSKSV RHIAVTRQINLLKRLYAVDDALLFASVRRAIEDKYVRVNHKAGLSAYIDQLMYLIPYN IGFMIRNLLMSILKLILEVIWIVMELWREGLKASDFLENDIDRSIG
I206_02832	MPTRDQVHNFAAGPSPLPSNVLEEAAQGLLNYQDTGMGICELSH RGKEFKAVIEGAEADLRKLLSIPDNYSILFTQGGGTGQFSAVVLNLLAAHRLKNPVKA EEFKPPILDYVLTGSWSSKAYAEAQRLSLPPFPNCPAFAEPRIAASMKSEKWTRLPKK SEYNFNKEAAFIYYCENETINGIEFPHNSISSSFPFENVPEGVEIIADYSSSFISRPI LNLEKHAIIYAGAQKNLGPSGVTVLIIRNDLLIDTTEASKLGCIPHTPITYEYKILSD NKSLYNTPPTFPIYVSALVLKHLLNNKGGLKGIENINKQKAELLYSTLESAEKKNKVK LVVRDENARSWMNVTFTIEGSPEEEKKFLDGAEKRGFKQLKGHRSVGGIRASIYNAVT LESVKLLCDYINEFCA
I206_02833	MSPSIHPACDPLSTLGPSLFLQILSQLPLESLQTCYSVSKSWNE LISSSPTTLYKPLAYSIGLEPPQLSKLEKIDKNTAKSSAWLNPRQDQSKPITSDTLHW KNVVKEQVIMQNNWKRGRAKGKWIYPRTNTAWRIKIDEEEGTIISTTRLIGVSVSDFE TSEPLFEYKEVGGYAHLEFVKGYAIFNSNNDRSFEVHITPSALSRLSTERRSKLPPSN QSITHNKGYSFTNGKRYVPVPTISNEIPPRGHLTYYKSLTPRTECFAFRARIDRQYTP EERLVFGTSSTEEAYIYDLQSESDQEMENFIYEPEDRGPPNYIEFDDNYLYICQQFSV NVYSRNTKRKLLTFPPLFTAPFDAASAIYTCYDTSKVTKKIKPKAKDDILVGEIEIQG KWIDDLGFDAVMMASGQGRIAGRKFSAIHYTSNDLFAITRSGTIYALRNYHEIFSIQN PEERDKAVNANSLAIVLRECLLQLSTYGENVVITSSSSVFLLHTESLPKPPYNIGISQ NPRMNIKLIKLLDIIQKGMVQCSCLQMDSKRIYIVYWALGEAEAGGHEDYEGNKILPP EQAINAHGLCVKVWDFSVE
I206_02834	MLARAAFPAFFLSLGGFILLLLVTLSVPIIKTIYLLQVRIKQGN SIGSTSLGANAGVFGLCYQGGQASILGFEYSSNAGCTDPHVGYTFDENLLGLSNSGLS KAVIKGVAGALILNAIAAGLAGLSLIWSFFAWLCSSRGWEIFTFVSLFFAVISAWLAW ALDLALALVARHRIEDASNDVLDARIGNGVWIALGGAVALTLALCFAGCGMFGRFGNE RNPTHKPVSYRRRHFWQRDQTGRGTY
I206_02835	MNITFINRKGEEEVTQRNFHINLGPGGGCMQYRDEKPICQRAFF FKPSIEYLHLPSNQTLTEKFPNSTFVLINHVTLGFIVLSLIFFWVAPFVPGFYDRSAF TMMLGFISCLLLMVIGNASATLDLKHRLKGTIPEMKVKLEDGYGYMFFLLLMSSISMV APAIVADGIKREPPPQETDDSVV
I206_02836	MSSVALSLDVTVKKGVPIRTCSPLQSFYCSMARSKDTPTSLERN HRRSVKRTSSQNPRKLIGTGILVLILITFSLQLLISLSTGVITSLSLVHAESKGGRGD GIPRKISIGGSGGCMWFGNISGPPTKCIITTHFRPDPEILDLNKHDTIIQAMSFRMGI WEITNYISTALVFLGLIMFLITIRYREIWKITAVIFYFVTFVSWAALIGEIASLIILK KNVIVARPKFHLHPGVVIWLMIISTVLASITGCLVVWFLEDRNTPRQTEETEIGNPAG EGRGARED
I206_02837	MSPPPPEIPLPHQLAKQNDDSDPTRSRFNLPSKGQFIKFLTLTQ NTSAMVFTIFLIPHLASPLVASVAGLEGADKTMMISRDLYIPLEPIIIYIPIGIHITS SILRRLIIIFYPNPNEIKNWKKIKNKLPKQIHQIIAYPLIILIINHYLTHRLIPSFKK FPINSLSPSELNWEFIGYNLNNNLLSWLNYLILIGFTSWHSIIGSMKIISFLKGSSPL DKFEKQLIIKENNNNNNNKNEEEEIIEISTKSNSKNRKIPKKRQVSLNALVFVILGIT TIGLYRVKKDTGIISPLMKIRYDAIFQFYWK
I206_02838	MSSRTNKATMHELKLRRLMEHNHRLREELARPRVMVSVASLNLI NHCRSTKDPLIPALWGPLQKGEDPYAPVEQAGCCSSDVELVSRLLGNTRTTLSAILL
I206_02839	MSDHRILEYEEEDDDLFGNHSTNEAIPVNVNTAEPISTLTTSTS TFGGEQLSNKNRYTVSSPARTSNAQAGPSTGKVYKNVDDRLRELQETRRSGRDYERTN AIGVRSLRALCMSVVKASSARIWDIGDLEYPLIKPLLDDMPIEQLQEVETNSPHIKKD TDWLYEIFMLQDFPLFHERCQDRHGSPRKSGWRRMYKKAKEDFAVRQIQAADRVAARY KQLEEEKASKRIVVMDRIIPDKKPSARGSGWGRGKFGGSGTGGLSSSTPKPQNAIAKA RLEAQRARVAMTHASGKYIPPAPQKSKNELNQLFKNPYLPQGFSQAAQQAMHAPRIPP PKSLVPKRQTQRASSPNSPTSPIPGSYPTNQINHIRQTLPSHLADRASQSIDSNTTED RFRIEGNRSKYKEVKKKAVEKFVIPEIEKEKPTSVVVDFFASNPMLNKGNVAGVKRKE REEREKSDTKMTQIGASPYNKITSFQDGSSIAIPVKSTITPHQNTQNASTGSDVNNVL FRKKKTVKGR
I206_02840	MQGPSTPTPLHRTIHKVPSRRSLFPISSSSSNSISRPNSYLALF VGALAIAASKSWVLDDPRSLGGDVGWLVLGLWAGRIIGELKEFAKDPRRKAKDASRTF LESTRLSLALAIQSVAFFISLKYIGPMRIVIIAYSSSLSTSISLTDINSATPLVPIIA CSIYIIGEAATKNMTSLASTLIFAGSTFLSDQLLSTTMSVRPRIEDKDKANTNRGLWY CLTSSGIASTLILGLYQMAIISVPLPISNVPGQRFASFITGLLVRYIPFPFTTSAAPG TVLHLETSRDRILFLCTIPLLQFFALSPTPSFTDLLVLLPLALISTSMVSGKKVSRNE KSVWRFPNKSLSTARSSWSFMFLVPARWRPHLQTILNTPTSSRIFYFLLLNLAYMFVQ MVYGVATNSLGLISDAIHMAFDCLGLAVGLWASVAATWKPDGRYTFGYARVETLSGFA NGCFLILISTFIIFEGVQRLFDPPEMDTQQLILVSGIGLAINLFGMWATGGHHHHGHG HGHDHGHGHDHSHGHSHIPEDHRHDHSHDHHHRQDSQGTSASTRPQKVLAKRKSSSLL KSHAQQETHSQNRSHEQNHESHSHSHEHHHDHGTCNDHDHGHPGSEHREISHDHSHDH HEQFHSHSHDDHGHGNDHSHSHNMRGVFLHVMADTLGSVGVIVSTILIKYTGWTVFDP IASLFIAVLIMASVIPLVIDSGKVLCLDVGSEKEEEIRNALSELTSVDGVANYASPRF WPRCEGELIGSIHIQLSISPSSFDPTKISTPYLSSSSKSSKLKMGNNYNHNNNELDDL IYVNSEKVINRVEKILKKRIKGLSELIIQIEGSEERGFCTCMTGR
I206_02841	MSGDDQTSKNSSLPRNLSAVFFGGVNNDTTTSTASSSTMRLNEN TPLLIDNPPRTSTHDWAPNESDSVKDDTINTHPKRKSSATLAKLKQRSKYYVPITDWL PNYSWSLFSGDLIAGLSVACLLIPQSMSYASGLAKLSPLAGLWSTAVPALAYSALGTC RQLSIGPEASLSLLMGQMIQDAVFGDPHHKPKHPGLDAAALSVITTFQIGLITSILGL LRLGFLDVVLSRALLHGFITAVGIIIFIAQLVPMLGMEAVLAHPETSENPPTLPLQKF MFTLKHLDHVNKPTMILSFTSLAFLILARVIKQHAVKRPGGTWLRYVPEILVLCVTST VLSGALGWDAKGIDILGQIKDEAALPFGWPINKRSLKYFNYTLPTAFVSAVIGVVDSI VAARENAMKYGYAVSPNRELVALGATNLASSFLTVTGCIPIFGSITRSRLNGQTGGRT QMSSMISSATMILAIYFLLPYLYFLPKATLAVIITLVVYSILAEAPHEIMYFYKMRAW TDFMQMTGTFILTLFFSMEIGLVASVVLSLILVIQKSSQPRIQIIGRLPDSDQWAPID ENEDAQEEIPGVLVVRIRESLSFANTGQLKERLRRLELYGHGKSHPSDAPRRESAKAL IMHMGEVQEIDASAMQILYDLSRAYKERGVGVHFASIKPGQIDKFRIVGFTELLGPTH FHANLQEAMKEIESMGYGTSIFSRFST
I206_02842	MTKITQLFHLFFLLPLLTQGLVLPLDNKDENPLGGASQRGIERH DERNVFMRRLTSGTSGKKAKREHANIRIIERQRSGNRGNGCGGGSRNRTGGNGRGGRN RGGNAGGVAAGAAGGAVAGGVIADSANNNATAVITDGTADASAIVSDTAAVEATPSDT SAAAAATATDTVADAAATDAAAAVNPGATTAISIDTTSVNATGSAADGSAGNSTEATV TAPPPEDTATIQTVSVEGDGAVATASAADTGVTQCEDMAATAAAGGAGPDTAAAANAA TAESGNGSAAESTATATEAGLNAAATTSTAAHSPETANL
I206_02843	MPPYRNTRSKSRSPSDAHSHSHYHSNSHSLSHPSLRSSTGKERN QSHPLFASRQPSTQSHSLKIDDIPLISHRLNIPITSNTALRPSSSLVPLDYSDSTPTT SSSPASSYTNEQITLVRSEKPISDEKEIRFSSSLSYGTTYSWTQQPLTVPRLTIGQHI RDTSYILSEPKRNLSLPLDPPSNSFRISAPKMVFQSHVNYLSSKVQVHFENEGIMDML GGPNTETSYSLPGHIIISVPALPASLEGRLREVKDLKLVMEGKSEFWDDHGRYSPMRL YTTTLILATPSSPLLLPSHDPSRPHAQNIQLAVVFDMRLPGWLPPSHDTDLTTISYGL IAQSTVGWTDSATTIYAAPSIACSSSSILLNGTDISTKYIQPMRPIVVKPRASKSFDS IFGNHSLLAKSTEKSQSTWSPFIVQRHRLPCAIGTSIQDPTDRVFILTPGIDSMSPIE CVTTTADWVDINGDEKSLKINLKVRARRRPVDLQPMDVDSSSENATDSTSPLSQPAST SATEPDMSFYEVGTGNLDSVPMMRHGARSPKNEIPTHILELGMEVEETERYSSTPSQS FITSFPLPEEQPSRNSSQHQLISPRSNYADGGFLGYDDRPFKGIKTTKCLLTDDGSQR NFLFDDEGLLLGYSRWRIIKIVLPMPRLGAKESLSMRPQSDLDGPFLRVRHDLKIRVL CKTADSEHPQNILLSTPIRFGSCPSTMPSAQRKTPPLPTYLQLFHGNGDQRCDPLPIY DRFDEPLTCIRPVPTSPAPSYASLYPSNATHSLRSSSPSSYSESSSSSSLGRGERSIS PSPSSIDTPMDIDNHNIDSDDGSTRDNQLTPMTATKVAETIPTTITTIA
I206_02844	MLRTHALSNPSQQDVFHTGPPPLRPSTPPPPEPSLGPPPSRIQQ PIQITRGITSKDYYDDAEDMLRSSFSNPLDYTSDRLEFFPPIRVPDALTIADAYANLP IGPYGGIRARAVEAERFPYLTVSLDQESDFDPQVDLRFKKGVRIDKTHWPHLYGAFRN YENALADSRFLIRSDSETNQQGILAMLEGDIINFLFSTKNDQPIFFDYLPRWLRNMYW IHEHKSNFMSRDSLVNLVGSAARSRKGILEAAGIPFANDPIYNRFTASDSRAGRGTKQ VTLPFAGSIADHVIGELCNAEDGNAIKGIAIIYVEEKRLNPGTLRDLIYYLAHYNSAT ASLGHTRGNEENIPLSETFFPQEAGKI
I206_02845	MAFELQYRPGQERRGRQRIRTGTKFTLHPDSAFRPASVSTISSP PTLAQSDKTSMPSTLPITPLDPIDTSQIDSTSVTPSKLQERLLTTQFNQSQLRGPSHS LSPLLEDIKLTEHSPPLRSEGDPPPSNARSPAQYTTSLESQFVPLVLEEYLASGSLWD FWIAQHPIYGKVVLKIVYAAEPPGMDPNYDNFVDPFDVINHALKEDDNYMRTLKDLQG TIVPRYYGLWESEYTGPLHESLYLAMIMEYAGESLGPGYFEANKEWETKIYDAYKTLH LQGSLLQRDLNSSRLLYDKSLNSIRIVGFRYALPVDLKIDSDVFLLVWEAYYVRINCG HYQLEEVVR
I206_02846	MSMTEEKKKALQQYKNDDGHFSLVRQFRLADLITIMNGVCGTLS ILSSARYLVLSSNVKTPPAEAISTLYFAHLLPILGFGFDALDGKVARWMGGGSMLGQE MDSLADLVSFGVAPATLAFTLGLRTPLDTLALLLFVSCGLARLARFNATVALIPSDAS GKSKYFEGLPIPSSLFLTSMMAFWVKNDWYVGALRGTSDVPFGRRLLWGDKGGWGEVH LVAIVFAVWGAMMVSKTLRVSSILQLSMIYLA
I206_02847	MSAYLDEQTPHLRSLHDQLSLPAEVLQADLARIDAAIKGVITSI IREREAQVDTLKDEIAQDKRDIASLARAVGDKGRDMVAISRRESFDNDTLPKQLERLT CQMDQLKTIYEERLNHIQKQQSTLDQLAALLGAPFQPSKPLQPVASSSKQPSTSTSAP PPESKKRPSTHTLAQQIAGGKAPSTWYDVGESISEELDEAVSKALQERDLRRKNLCQT LFNLIWLHSELALPPVPTSSPHHFPAELLPSHEEEETLGAYSSYEKILNRVIASNSLP PGECEDWAEVEDLEGMENVEPEIGLIEWSEELTELWNAKKEEHEARIQELYNLVEPLW SRLEIDQDTMDLFVDMNRGSGESVIKAYEAEYERLLELRRSSLSSFIENTRKEIDALQ TELMLSEDERAEFGAFIDDDYTEELLHLHEQEIERLREEVESKSALLPRVREWHALVR DEEELERSASDPNRFKMRGGAMLKEEKMRKRVMMLKPKIENELLSMLPQWEETNGRPF LVSGERVISKIEEEKEAKEAAKEAKKRAKQGLAPAKILPSRHTPAPTNRSGLTKRAAP TPTPATQQNKRARTAPNTGMSTASSAYGNGYGSSIKPGYKSIRSVSASVSTTHSARGG GTVSPTPFMGGNRRIMSHSSSIYSNSKIPSLTNGGGGGGGAKGNLMMGLSKDQGRKPR MSFKPRPSIYPTMTGQEAGWGLIEEDEDVF
I206_02848	MPGTSGNALRESNVSRYLLGLSLLLSVVILWTASNFITAGLETG DNSYNKPFLITYFNTASFTIYLIPTLWRRFRTRNERNHHHSPSNRYLPLPTERQRSSS RARSITSSPRAARLTLDQNTEQDRMYDLNTSLENTAQILPKLTIRETAEIAAWWSVVW FIANWAVNASLAWTSVASVTILSSTSGFFTLALGKLCGVETFTRTKVFAVLASFIGVL LVTQSDSSLVEESESTLPSHPIFGDFLALLSAAFYSVYVILLKVRVVDEERADMQLML GFAGLFNTIALIPIFPILHYTGWEIFELPPTKEAIIICLINFCITLSSDYLYVLAMLK TTPMLVTIGLSLTIPLALIGSLFIPSSSSGAITITSLFGAGLVFVGFGMLGWQGYEEN LNIERVVVDRTVDTSNDEEP
I206_02849	MTSAPFGLMTNVETPYPSSPPSQSPHVPTQAEFDLVQRLAQVKI GPSSYYSIPFPSGRQPHSQLDIPHVLASEEANRAESGLPNAQLSEPSPSTTTYTSLLA MSEQSRDLTESTISTQFHQPAKSTPESSQDITLNITAYIDTGYIWDFWLAEHPIFGMV VLKVVNRSDYPCQIPRLDPFVHPKNLINEALKEEKFYVTHLKHLQGSVVPKYYGLYTS GNTDSYLAIILEYAGVAIGQGYTEIGREWTFDKETNKKAYIIRREKIYEAYKQLHLQG VTQFDIDVRHILIDNADNIRLEGSCIRGRFGGRRDMNLLQSQLPSEYWSGLKDPEAYI SGVRQYEAMIGWAPPHIRAHNEKRGVVYDENGFEVKRNGIPEKRRNM
I206_02851	MGITGLVSWVKKFHPEVIVHFPNRWASANFTGKKVAIDATLMTN RYHFASRDGPFKGKGEIIGWYNLITEMRAFGVQPIAIWDERGTREWKAPEALKRLTTR ANHLARKNHENERLIRLESLREVFKEFQIMSKEEKDIIRAHWETTRFMFMRPEESENE NELKLQEHHLKNKIEFSNPLPTPPPTPKRSDHDVPLETVRSGLDKSTNIETAGLPLTP PPTPPLPSGIAEPSKAAQLNSTLSEADSEVIDRVVGMIDSLAPLIQEYRDSQRGTTKK EDEIHLTLGMEGGIVEMEEEIREWIPNKKTTSNAEGQDRSKEEKDKDREEKLEELDYA LEELLPLNQISETPRQMALSREEGKIISDILSTPPLPPFKSAYPTLTSKPQSEIATAT SEEPSEVYEEDPIERLDNLIKFELPMVKSIYERALDIPSAADHEDCKEFLKVMGVPVL EAKIPYEAEGLASALAKNGLVDYVATEDSDVLAYEGPLLKNISPITSTLSLISGSKLR EKLNLTPSEYLDFLILLGTDASPRIPTIGPINALKLIKLYKSIENILNNQPNLIKKLK NSYPSISKENFLKLIYNARKVFKELPLIENFKLELELGLKQEQKEWNEELIENYLKDK HGISFIPKKYEL
I206_02852	MSTSTFRRLTSRSLPLFTASALSFTLYTVHTQYSPLLSEAPDSF SSIKKQKGSSVVAAPFATYGWGSNKNLTLFPDGQITNVKKPLPLTQLGNTPLRDVVLA DEYGACVDARGDLWMWGKGYDPSGEIGKSLKGKSLKTLAPGTHKLFALSKHGQLYVLP TSKSLQLDRQDKLTQTWWSYLFSTDPGVDFIELQASGGLKWGEKWIDVSVGKNHLLAI TNKGRTFSLPLNEKGNSHRQLGTKQEFELPIIPKTILGEIFESDKDIRFNNNNSGILT EIQSLKNISIVQCSASERTSFVRTKNGLILGFGANENGQIGLGSTSSVDIIPVPVEVV LAKSYPSGTKIECIDIKSGGLTTFFTIKRFFPGRQGTFVDVLGCGSGISGALGTGMYT SATGMPVRVKTISGLQEYSEKAKTFLPIGIHNLSISPSLNTHVYATLDTVTLADEKGV KEGRYGKDVMAWGANVDYQIGNGKRSSTAIPQHLPPIGGKINVIEALSGTPKEEENLS SGTQSPMPHSRLQLHVRKANAYDLNGKLIKRKVKCEETMVAGHNASVLYNKIID
I206_02853	MSFARSAIASTRAVVSRSSRRGFTSSSSRRSESLFVHRDTDYNN PNIKFEFNPENLKLAKEIISRYPPQYKKGACMPLLDLGQRQNKGWTSISVMNEVARLL DMPKMRVYEVATFYTMYNREPVAPNFVQLCTTTPCQLGGCGSTKILETIESHLKIHPG QTTKDGKFTLIEVECLGACSNAPMMQIGDEFYEDLTPETTIKILDSLAKGEKPKPGPQ SGRQTSENSAGLTALTTKPYGPGEFCLPEFQ
I206_02854	MSQPPTSAPTPVPSSPPAPSESFLSDLDGTAPSILDTSFGDPSY DLGRFDGGALNLVSWKLDVNARNEDGVEKRGFNAISTVLNHPTKKADPLRSTRKPLPP LPFTPPVIPKPPPASHYDGYLQTITPLYDNFISLSQKASSSSSTSAGLDRIKSPSSSE FTSTDFPSSSVFTPNDLPTLDSIPSMFFEKDFNLSNPATWSEIISSPSASSSAVEAPN EGLQDTLSSHLDLLERHLVVEISKRTNSFFGALTNLQELNTESSSCLNRIDELKESLK EINEKQSKKGLTLISKQDDLHTLKITQKNLDHLKELDELLIVLKRLVGEGDWSNSLSY LEDIIKWYNRYSITVKTESEGSSSNLPLSTLPALASLPATLENHLNTIAIQLESALSS FLSTTLANETSEFDHSSFASTIEPILVGLVRCGKLELVEDVWRDNVIVCIREGSRNHL PIGQEDEDGTDKPPEARGASLAQSLQAMDHSSFLLLSTQMYASLTSRIKLAQSVGEKI DRILKSITSVPKLSISPSLLSTPIAESSSETPLPNLQEAITSGCELAHTRASKILAVR AEQHASLGLEEFVEIFRENWEFILATEKIAGKMIVGLRGVTTSQASPARSFLVSYHSV RLTKSAKLVEEEQWTQIDVPSDVQHVINLLIQSAVSDPPECTIPPPAANGESSNSAIT VGMKKQVDVEDKSYFLVKATSESLVLLGDYLKIVVNLELVVTDVMSRIIEFLKSFNSR TCQVVLGAGAMRSAGLKNITAKHLALASQSLSIIISLIPYIREFVRRHLSPKQAVMLT EFDKLKRDYQEHQNEIHAKLVAIMSDRLAVHVGSLREIDWEATPNRDAPRPYAEMLVK ETATLHKVLSKYLAASTVDSVMSEVITAIVHRLSEEYSKIEFKSEEAKKRMLQDVALL IIKLTPLSESGKEVNKLETLVKEKPTPRKPIGQAMSGFLRRNGSQKSESGSPIVEDEI EVEEKEEDEEEGDGLIKGKAAREDEGQGGDKVEEAANEKEEAKIDNVENIKLPALNQD EITDKVEEEESTNHEVEKGLPAVQKDPINSQSEIPDKELPVPPSKSTEDVKSPEKDSP PPPTPQK
I206_02855	MPDSSSSPLPTDIGESTKLDQTQAQNKSKKWYHPLKILGFLIDN WFLIGIGISIILAWRFPNIASDGGVIKSEYSIKYGAISLIFLITGLTLSTFSLYNQIK NFKLHLFTQGFCFLFFPTIIFIIVNIVNVSQGNNNEIEKGIDKFILAGLIVMGIMPTT VASNISMTRLAGGNVESATIEVCIGNLIGAFITPLLCSAFFSSNTWSFARPTAGNGGR STAEGLREIYKQLAKQLGLAIFVPLLVGQVIQNLFPKQTKYISNKLRLAKISTFLLLL LIWSVFSTQFENQAFESVSTSSIIFLVFLNLGLYIFFTILCIFLIRLPFLPPSSTISV PDNNENSRKRLSWKRIIQSLRFNKSESTAICFCAVAKGMVVGAPTLSILYGGFPEKQK AILSIPLVLYQGQQVAVAQILVYFFKKWNEKPDIYFDDPLPLIAPSESQTLPTIHERG SESVSAEMRSMKSRDA
I206_02856	MPDAVDVIEVETSDSDEDEDILEIIPELTSNSYFPDVKSRKRKI AEIISDSSDEDIYDKLRELSVQPLSIPAKYFKKRSRETSSDSNRTPRMAWINADNTLP KIKQITYKFNGKPRRCERIARSGVTIDGFQYKIDDAIALPHSPPLYALIRSIFTLRFN DESFPDKHLVHVHFMKRSSSHNPSVRECELMLKHGQCQDVSIKQLQRGKKLDFALVSG PSTRGDAKYYCRSNRRLLLTDDYADFAVNRIMAKVHVAPSRDDAKILDPHIAFWCTER FNGSRILDLQKSLPLCLVCHQLEHTRCQDLQACLRACKFGAADYYSGAGGFILPGLEM FNWVSATDFDKVAYQTLYNLRHKAPNLQVHYGKVSVLFDHTKSHGSADKRKSLPPPGS VFLMTGGPPCQGHSRVNPQNNASIPGGARSRDPRNDELWVMLAEVYRLRPYIVIIENV AAFKDEKGGDKGDGMNSNYARSAMKDLARNGYSSRLGLLDSRSYGSPQNRVRLFILAV KDGLPLPDFPAPTHSNPKVTATIFGNDKNGKIQPFYIGQRNTPGTALHSAVTIKDAIG DLEAFEYLPLPGVARLSRQPQIPAYNGGRSLRDGNGTRIGPGNVKYASPPQKDFQKEK RGNRGSVKDHYTSYVTDGAKKIIFEDARTSNPRGPNRRAVFSDGFSTLLTASAPGQKG TAVIHPTQDRKFTIAERKRAMGWPDWHRLAGTPLDQDRLTGNGVCYESVQAIYMSIVN TIILPWWLNAGRPTDDVFDKFKADHC
I206_02857	MSSDRQPLLPTHNTQKPHINHSSSSRLSTTRISFPSSSTSSLGP IDDDETLAASTSTISPNKPKYRGWSENDSPLIEGGKKPGLPKLSRECLISEIKCYGSY MLPPLLIFGVLAIGISLGIYGWKSGWFK
I206_02858	MEGLRRPRVLLVLTTGVLLLLTFTTLHVSPTARAYTSDKLPSVS IPKIFSKPSSAIPPIIPSVFSPSIESTPLDTTHDTISPNPTISQTRYLGGVPGHQVFQ NIWIKDQTFYVLNRRRKTMPGMSRVVSGDTLWEVVRDPMDKSLIGAENALMMEGSTVF VNDGAKAGQWHFLSSYYPFIAEVFLGAVTALASVPAPKEMVEEVRPGIEVPSVPSRVI IPWKGADGWRDGEGMNELVLKGVLGNENLLEPINWDVLSSHSQAHGGWIFFERVVIAD RWASHRHNPLSDNLNKMAASIFSRPHPPFFFTPIRHALLAHLDIPIPKPRRDPQRSLG KLPKIVYIDRQDTDRRLSSEGHKELSVVLGEIEALGEAHVGHKKMGKLDGKSQIEAVY DADIIIGIHGDALTNQLWMPEGGILIELFPDDSWLPTHQLVSDILNHEYIPIWNDRAL SRDEWEALSRMHGEHKLNNGETIPLDGTFVRLLLEEIVQRMVGP
I206_02859	MAEFHFLNTVQPKQVTSLNLPPNHSCNLVAKRKIEVRPNDMPIL QPDGVLVKVISTDKQANICAFLRICGSDLHNYLAGGVGGRPVQYPIVMGHESSGEVIA VGELIKSHKIGDRVAIEPGLPCRRCINCKEGKVNICLDMRYCGAPGSVGSLSRYFALP ADMAPHIPDHVTWDEAGCIQPLAVGVQIGKRVDLRPHKTLAIFGCGPIGLITAAVAHA YSARKIIAFDNNLKRVEFAKKYKSPLTGKPIIDHVFHIKDLPTESLQPKQQQQQQQQQ NGSLANKLGENGSGGGGIGDGEINDHEIETLGDKKWEQAKKIAAEFVEIAGLTAEEGV DRVVEATGAEDCMLLGIAIAKQGGNYLAVGLGHIQTNCFPTLAVTNKEINVMGITRYT ASCFPSALDMLSRGVVDIKQLITRTFPLSQATDAFEAVAAGGDMKVVVKNQEGFDN
I206_02860	MPSDLSYWLISAPLKDGDPNVMLNEVSNTIGKEVEAATWEIPEL KAGTLSSLLTLSDALPKLDSQFTSTVSKLLDTLRSLVSEDPAKVAQHARVNDRPAEEY LLGGGGGFRWDKGRWGSGGKVLEVVDALTKEMNSIDSTQKQKSQSYNLTKGGLTTLQR KQQGNLSQRSLLDVVNKNDLVENSEFLETLIVAVPKNSQKDWNEKYERLTSMVVPRST QQIAADDDYFLQTVTVFKKVKEDYIHKCRENKFIVRDFKWDDSALEKQKKELAELAVE EKELWTELLRLTRINFSEAYQILAHLKTVRLFVESVLRYGLPADYAGVIVKPEPKTAV KTLRSLSDHYTYLASASRGPSTKKTKSGGNTAGSEDVGGEWASVMEAEYYDFVLFEIP KVIT
I206_02861	MSFRLPPSLFRYFARPLNSLTISRTPIIRSLHTSTKRFAKYERF PSGYSPSPGPSGSGRPDIWQYFKRRLGGDRAVYVYGIGIGGGGLYYVTHLERVPETGR LRFMDVDEAQERELGRQTQLQTLSEYSTAVLPPNHPTTKRVRAVATRIIESSGLGRVK SGGEMGAVEGKVPAFGGGEDVGEILFGSGEGAKQVQEGKETEWEVYVIDDKKTKNAFV LPGGKIFVFTGILPVSANDDGLATVLGHEVAHQVARHPAERMSSVKVLFGLGFILESL GLDVGITRLLLTFLLQLPNSRKNESEADFIGLRLMSKACFDPHESTKMWQRMSESEQG RGLPTDFLSTHPANEKRIKQLEKWMPDALSIRAASPCGNTSSYYSGFLDTVNPASPYS KGIW
I206_02862	MPDHNQYHDEPPVAAMQDGGPLISPDLVEKPHSYRYDVVQGFFL QNGPKPKHMEFEDMLERNFGLIDSTPERWNNLRRDVNKLQDEAPEGTLYKVMFLGRHG QGWHNFGANKYGVDPWEDHWTFLNGDGEITWGPDPELTPLGISQARAIQQCWKEQLPC NPPISKEEIRWYVSPLTRTGQTMLESWGELLTGVPEVWEDWREIYGSHTCDKRSSRSY IAERFPSFQIEEGFAEEDELWKADDRETDAHMQMRAQRAMDRLFGENGAKETFISVTS HSAILRNLLAVLHHQPYPLATGEMIPVVVKATRLAPGELGETSTYPVQRVRVD
I206_02863	MMFRPITTVARRSPIALKPIVAARFYAQGPNPVIPPTSGPGGPA GSAGSDTQSNNYLLYGGGAAALVGIYFYFFSGGTTNARASQALKHAEGSKAQAEGYVK GFTNEAEGKISGKASELAGKAKGQYEEAKGEVKKAFK
I206_02864	MPTLHIRQDPSSTTEVASSTTTQNSTTESAPIYNNNPGGGSTTL YLFTFLITILVLGLISSGLLIRAYILRRRFHRRVEEAISRGEALPTDAATALGLLPRR PPRGKKEKKHGLMPTMWESEMWLNDEKAGLKEEEEEEQDVSYRKDGWNELTPLSILHF TTTNLNDQPQPIIELPPPLTPGAYFRSLWSSRGLTTTPITPNTNQRPGLQHRPTSTLI PTQKANFKVPENGEEVILGVMIAMPCQGVMEERWNLIQDGEEERELPEVCLGVLGTTM KE
I206_02865	MASVKIFSLAVKTLAKPIANTIKAQAAQHDTFKNICIGLAQRMH RTEARMRMGLLNAESGQIKPLNDARAIQNGATTLAETFLFLVGAGLIVGESFRSSRKE EKRRDAVQDRLQELEGEVKRLSGQIDGTTEGGWKDGIGEIKERSENIERILDTIVNNG LKAGWLSLGHKEQGEVLPLLEAARAEEGLSNSAFRIQGAGDDISSLTQP
I206_02866	MADFVKLSIFGTVFEVTTRYVDLQPVGMGAFGLVCSAKDQLSGT SVAIKKIMKPFSTPVLSKRTYRELKLLKHLRHENIISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVAVDIWSTGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVIQTIASENTLRFVQSLPKREKVPFATKFPNADPLSLDLL EKMLVFDPRTRISAAEGLAHEYLAPYHDPTDEPSAAEVFDWSFNDADLPVDTWKVMMY SEILDFHNLGDISQNEAEGPVVGEVPPAPAS
I206_02867	MSVRLATRLVASSSRLPSCSKSSFTIPIKPVRYSFRSQSTISTP ESYKYNGITYPKDSYSNVPSSILDKLDRNLHLMPSHPISILRQIVENHFNDYKALIPS SAIVSVKQNFDELGFPLNHPGRSLTDSYYLNKEYMLRTHTSSHEIESYKKGLNKWLLS ADVFRRDEIDSSHYPVFHQMEGTHIWLNSELNQLNELNKNLENQLKNIPIIIEDETKI SNSNPFQSHHNPIYTEQIIKHLKNSLNSLIFKLFGHHTKSDGEPLRVRWIEAYFPFTT PSYEVEVFWQGEWLELLGCGVVMQKTLDQSGVSDKSGWAFGLGLERLSMVLFSIPDIR LFWTSDSRFLSQFKQGEITTFQPYSKFPPCYKDMSFWLPQTDENRHESTSSSAGGKTL NPMTSQKQFHENDYCEIVRDVVGDLIESVTLIDEFTHPKTNRKSKCYRLNYRHMDRNL SNEEVNELQNKVQARVVEEMGIEMR
I206_02868	MTEDDWNFDTYHPDIQHEYEKLLEVHPSLGRFRAPRSSDTDTFR LILDILRLYMSAFHLAFPDFVDTEISLSGLRQLEAGNNREITGKLTRMIDPLLTFSQR LMTKLREASENYGLYENPDALGQYCTDSGGEDEFEENMEKDEWKPDSWLTKLEVFDQY RHAELISSRDSSPSKRSSSDEDSSESAKRFKITSTNRTPDANSPFASKRSFSKTTSAP QVRLSAIKGHVLKTSTTPKLSLQNDLGRFDSSDSGFTLSTSTSRSNSTISTISTPSTS ISIESSGNQNHAILKQNPLTATDAHLTSSIVVNQSPYTAFFNKQGINFYLQWELDRLI SQHETLKWRDFQLSELSKLKGKSVLEAVSLIGEIIDSANRRITSHQQMGTSRPRSTKI SDRKALLLTEVENEEASIMANDLHGVGTERVDWPYGGRIQYTISVQMADKNEGCFEKT YEPPTLSQENNIYHRAQRTFSRSTSLPSQRKQTLEKLNGHEEQPIVNQAQKFPFKLVL RPPEMPGKSCRLARRFGSRRIISLKIKDVPNRFRSELKAMLAGRCFVILGRPYRALWC TADGEGVMLVEVPEHAPGSVTTGRELEPSMPTFLRILDQYNDLSQKPNQAMAKWAARL QILFSDSIPATQVDPWAVGEDQDIVADDALPGNATTEQILTDGCGLMSESLAQRIFRC PSLTFSNGRPSVVQMRIGGSKGLLALMSTSQMTRYPGKDIILRPSMIKFLSAPDLKHD PSLLTLDILRCESLKIESSLTHEAMVVMVHNGVAIDTFLDMARNQLESLRDDFIPARL EGETEEDVLNRIVSSCYGRGGVGQDRKKRIAKQKGKSFRAAGIDKGHGKNEKRDDEED EDPLVVKSSERYDVDPISGQPGSIDEALMQSVASGFHPAKSMYAALKLHNLVEDLSKK MTREFKSPVKQSLTAFIVPDSLGLLAPNELFICFSNNGPIDENTQIPMTHLEGDVLAY RSPCKLPTDVRKFRAVYKPELAHLKDCIVLSANSRDCIRSPASFLGGGDYDGDTVTIM WAKELVEPFNNSPDDLASTPKGFEEQNFDKSVVKGTEFLDRIKGLNEAERVREMQGWL LDAVLGDQLTGTYSDLHGNAIYTKGLDDPETLRLGRMFCNVLDARKSGLRVKAEIKSK DLKLYSGEVGWRTWRKEKGQEDYTTNTWNSRELIRPKSLGPFVMDVLMEKGEKCRKIM MGSFLKEPTTLTHDDYQDLCLMWNEILKQSDNTHNRLQHNELETIEKHVDICYKIRQS IVQGRCGDIFESYETLLKGGLMKEKPRSGASSPTKQQKKIESAENKMEMSTKTRQLAY IWQNEPSFDNLPIIGPWGSDIVGQLKLSCLSVVSAKHHSRTKQVCGFDLDFTRMCEMK AKALGGNTRTMLSCVSDNLKPATRF
I206_02869	MGDIEKYSEGNENSVPQLNDGTLNHGDNSNGSSLGKGSVDDEVL LAKLGYKSEFIREFGNLETFSFAMSIMGMTASIATTFTTPLTYGGVASVVWCWLAGSI MNISLGASIAEIVSAYPTAGGLYTASAALVPRAYRPIVGWVTGYLNILGQVAGVASTE WGLSGMILAAVVICRETYEIKVWHQFLLFCALLIMHGFLNSLPTAGLARLTRYFVFIN IGSAIIIIITLLATTPRSEMHPGSYIFTETINGTGWPNNGLAFMMGLLSVQWTMTDYD AAAHISEEVHRAAIAAPVAIFVAVLNTGAIGWILNIVLCVTAGDVSALPGPSGNAFLG IMYLRMGKAGAIVLWSFVCLIAAFTVQTALQANSRTVFAFSRDGGFPDRGLFGRMNKK TRTPVISVWVVVFISALMGVLAFASLTAVNAIFSMCAVALDLSYLIPVVCRRIFDGHE EVKFTPGPFYMRGWGLYVNIVMTVWTLFEVTILAFPASYPLTWDTFNYSAPITGAVMA LSLIWYFAAGRRYYDGPKSNLSTEQKVAVQPEL
I206_02870	MFKNGNSYNCDNPRHFASNQNANVQSSKIIDPSLILPKSLLGGE EIIKSLHFYSPLIKLSLSLSEKEEIQKKLWEGWNLNYNEALKKSKECQMQIILSFQAE LEDFKLFKKHRLRSIKFQEEYVKCEFIKMIIFIEITLKQMNEVKLKESKTNSNSNSNS IIEDLIKKKNDNSNFKSIKECIDKAEEWKEKGNQMGKSGNYSLAIHYYLLGLISIWPW TSSSTLMSFEESQNTGLVRIEQALLNNIVTISISYPASKSSFRKFTFDNIARITCDVI LEIRYITINNLRKAYERLALLDEREYGEKGKQGVNSLMAELLKDKEGTDWAHRHCIEQ HVCHE
I206_02871	MKVRSGATKKNATNHKNSPALGVFIFLGLSTLIYFGPPSLHMIK NDVAEQVESFAYSDIDFVSQYQSEGNTRCHGEGSISGLPGFYVFDKLWYKSGTFYLFS DHPETITLPKLDAITSGHNPFLVRPTSESPHHIKAKDQDSKIRCFPHEIIWLNAGIPP AAFQRRHIAKRFNWEYHHYHFLAESLLGGIASLDLVNQQHTNDINPLGERKRWLFIPY EGDWKDPYGLNEPVVNGLFGNHLVDSSAWREISSNGDWVGLEKVVLIDRWASHRYNTK ANLWNKMALDVFDSLPSSAITPTQSDTGLTSLFSGYRNNFLDYMEIQPLQRGKAGMAL YEVPNIIYVDRQASGRRLVPEAHRDLLLILRDLERAGLARTVVGKLEEMSYEDQIKLF AGADIVIGVHGNGLTHQVWMAPGGILIEVFPSGVFLRDYQVVSQVVGHEHVAILDNHV YTREEWESEPGKLLATSPNDANDRNIQVRYYA
I206_02872	MLNQLTLLTLLGSMVYALPSIQEIKRDSGTYANCRTFYSDIYAE TTQNSDLKSVIGGPPPNQQVLVESSIQQFAAGSMVMNQIMNATKIQVSGSYSLWFEYC EPKSGNSTGLFQTHHGLVGNAGYWNVQLDNSPNNSFAESAAQAGWSTLSYDRLGVGRS AKPDGTNIVQIPFEIAQSVSIAKSIRSGNLSDIGKFDKIIGVGHSYGSNLLAGLVSIS PSSFDALILTGFTNNATQGPLGLFSFQSTIANVAYPSRFSTDLNDYVITPNMYVDQTG FFHYPNYTNTSLSTFTNTKGEYTLGQQNSISAALQLKNNYTSPVFVVTGEFDAPYCAA NCYITSLTSSSNSTGNSNSNSTSSSMNKPSQLDTAKEVFPSSTNFQTYVVPDTAHGIN YHTTAYDAYSKIIQFVKNANI
I206_02873	MSVPLPSPSPLDSPSKSSNGNIGSNAKPIPKSRPSPITTNTPHS PSSPNFTASSSVNRGRSGLSSSISRSMLNDDNWRDRSPATVTATATAIGTPSTAIING KIGNGSTKGPERTVGGFEKKEIKSTSTVSGLSANKDKKDNKDKKAGLEDQAESDKAAL SHVPCRFFKAGACTAGDSCPFSHAAPDAAKREVCQWFLKGNCKFGHKCALAHVRPGEP MSMDRKNKKAAQLEARERGDSVSASAPPPAPSSGAGTGNTSGLGESPRPLGITRGRKN STSPGEDQIASPVPIKSALSSSMQSPQAGRLPSSPLREPFGPPSGALPNSPNSAGFAQ PRGIQGFASSPSRPSPLSGSFGASGSVPGPLSLRASASNPLGSPLRPPVTTAPGFSSS FSHPSLSLDRHGHSAAPSVPLSASFAGDANLHKSIWARSDTPEEPLSPRRRPIPRPTK SNQDAVFIDDEDHGEDFLPSSLSDLLTPQERARRMSRRDSQGQEDFAQSPSGRYGSPL WNQGFASGGERLAQSAGPALGPGVGGFLNSLWSADGEDARKSQNPDEFEVESPNTHTN QESQNGFAFGPTTAKQPPKRQTSLLTQQRSPTSGSSSITSPTSPNRQIHMTEPYLIRN LDSSSPSATKVLESHLPGQSLPGGLASALSRLHMQNSNNSKNQHSGLSIVNGQNNEEE TNLQVIAKKGHTEEDEDDGLFDMDG
I206_02874	MASSSSNNADWKQGLVAPPKDLRPQTEDVTATEGSSFDDFGLRR ELLMGIYTAGFERPSPIQEQAIPMAITGRDVLARAKNGTGKTASFIIPTLHRINTSHS HIQAVLLVPTRELALQTSQVCKTLGAHIPNLQVMVTTGGTTLRDDILRLQEPVHILVG TPGRILDLGGKGIADLRKCGIFVMDEADKLLSEEFTPVIEQLLNLCPQERQVMLFSAT FPWNVKEFSDRHMVQPFEVNLMDELTLKGVTQYYAYVEERQKVHCLNTLFSKLQINQS IIFCNSTNRVELLAKKITELGYSCFYSHAKMLQAHRNRVFHDFRNGMTRNLVCSDLLT RGIDIQAVNVVINFDFPRTAESYLHRIGRSGRFGHLGLAISLLTLEDRHNLYRIESEL GTEIAPIPAVIDPVLYVAPSAPDEPSPPPRAAAPKAIAPPKNAQQYSQPPQNHAPPQQ QSQLAVQPQQDGVTSTQNGGQGRGGRPSNAPRPNGNGRGGPPGQGQGRGGPPGGRGGR GGARGGRGGGTQQQGQAQAQA
I206_02875	MDRLVSLTRRTTPRPPKYPYDAPPSSSHRRYWLPDPVEGFLPCW IRSQHGDDNSPESTAEVQISTTNELRTVPLYQLSPMNPPQFDGVEDIADLTHLNEASV INNLRTRYQTNNIYTYSGLFLISLNPYQRLPIYTSKHIAQYRTRRREENAPHIFAVAE RAWQQIGEERESQSILITGESGAGKTENTKKVIQYLAAIATSQYSSEASSSSSSSLTR SKSTSTAPSTGLPRSSSFKAITEPSLGLLEQQILQANPILEAFGNAQTMRNNNSSRFG KFIRIFFSPSGAIAGANIDWYLLEKSRVTARAEGERNFHVFYQLLKGAKEAKLADRLL LDGGPEKFEFLKKTRLQIDGVNDHTEWRLLKEALGVVGFTDAEQFELFRIPAVILHIG NLLLTGSATDQAFLPPAMQPIADKICHLLGISVKEFTKSVLQPKVRAGREWVTNARTK KQAEDELGALCKFMYEKTFGWMVDRINTALDRPSAKALSIGVLDIAGFEIFEENSYEQ LLINFTNEKLQQFFNFHMFTLEQEEYAREGIEWDYVNFGLDLQPTIELIESTQPIGML ALLDEECIMPRATDLTFTEKVQHLWETRKGASPQHPGSSKFKATRFGAGFVIKHYAGD VEYRTSGWLEKNKDPINEAVARLLASSEIPSVATLFSEYAEDAAAVGVVKKVKRGAFR TVGQRHKEQLGQLMQQLSSTQPHFVRCIVPNSNKQPGKVNVNLVLDQLRCNGVLEGIR IARLGYPNRHSFAEFRQRYEVLTPGVIPKGYMDGRKAAERIAEALELDKQFYKIGATK IFFKAGVLAELEERRDNLLTDLFRRFQSAARMHIARRRILKLINRAQAVRTIQRNARV YLQLKDWPWWGLYVKVRPLLAATRTDEELVRKQAELTMAKERAERDEAEKKKLEELKA NLIAEKVKVEGDLSSERELGREKDRMLERSKAREAELEEKIKELEIDIDLLDADREKA VTAADLHKLKLSKVQSDFEALVEQASMLEKQGSDWQKRESELLKDSKERSAIYSKLEK EKSDIANKVEELQRDVKQKEENLKRAKDRAEANSLELEKRLQLEKGKAESGNSQVSAL SEDLRRAKGALEELQSTIKRHESALSAKQREISNLQDQQSKSQQAQEAFEQAKTTLQI KIDSLKAETSTKDKEKQSEIAARQKLEKELDDLRKVMAARSSEDSKKQEADRSREQEM SRLRDQVSTLQKSLDDQRDAAQQLANKLRIDVEGLKNSHTAAQRDLKTAQSALKEKEE KLTQMQNKINEVDELRRKDMKELEVIREQLSGTESRLNSTAQARDEIEKHLHALQDEY NGLEDAVLEIESEKANWAKTLDNVARQLQEESTKRHHFEQEVHNNQVELAEHRNTSAQ AERALAKAAADIKARDKEIDFLRGRENKTVVEHYHVLEKAKKFTDQQLAEQVKENDRL NTLLKSLETHRNRLNADLEDLARQYDKLKASKSKEARSARASLSPEDKDLSQLLEEEK KARRVLESRINSLEKDLQDQRKQASTASLSASSNRGLEVKFRQATEDLSRLEVEHSNT LEQNTNLHNRVNELEKALSASSSRALPNPTPTTPSNLRREDLLRGLQQSHDALRGDMS DQLKRLNEASTPLTPSKRQSVINPNGTPSHSHNQNNQDLISGKKIRALEMEVEALKRQ LEDEQDEKDFLIEQMDKLQDGGEGQGKDGKLFPYEQAVYSHFRLKAKSLRSQLDHWLA MEDLNNSTPGPNLTPSISASAPRTEDVVQLKHLLQQFDPDTSPLRHS
I206_02876	MSKKPTELEAAALTHFTPAGQAALYHIHLAQQAEKDEIAALEAE RKKEKKLLEENRPEEVEAGKVINRLIKEWCPPLYQFLDIFSPPHLTIGIFILLHFAFW YTIPWYFHFIISWPTTYFIPLYCSWKSIYSSKDRILWLSYWVILCVLEYFEILLFRDQ ARSMVWWPKLKTIFCIIMYSVIDNEIILDSRGKPKDKKPIFGAIKLMEKFLPAPPKEK DQKKARSKEELSNRDSSKEKDRKSSHEKDRSKTESKDNKDAKRKE
I206_02877	MSTTGNISSVDRDIIKVNSNRPLSEISENEQHHRSIIKSSANSN SNRSSVHNKSRPVSEVKEKEETLLHSHTSLASQSNNFKRMSSNNGSGLQTITLNLVEY AKSQSQSQPQVQNTTVTATSSSTPTINIPPILEPEVKVQNGSDKLTHPPSPTTKPMPP ILPKRPESSSNIKGKEIEQTKDKDKGKEKKGSPKLLDKDLPETGETSRSGVSSFLLAK RASLRPSSLSMSYADVAKPVPSPPAATTTTIPSAFDSVDSLRTSSSSIPDTTKPPTKS KPSWLRRASGTAALRSKSRTPPPREDSSLPTSTSLPPALPPRKGLESTSEVPTSQSLP EEGMAPPPFPPRKTSYASAAAGPSRSRLGDGQGRPAFSPSITPPSLPSRDNIGNIRGR IAAWTAAAAQSSGGGFSRSESSNSIATQSSIGPSQRAQRVLGHAGSAVQKGWAGLRSR GVGGSISSMSALGQSSKRNGGNNGFEPSSSWGSGLSNQRSSRDRSRSDNYEFGHGGPP SSDGPFFEQDSVRRSAEGLGGKVFGRDVVGAGKDWGIVEDDIGADLGEYDRRRKACLP AVVVRSVEYLQIWGPQEEGIFRISGRSSHIAKLRKEFDSGADIDLTKCHPGDLDPHAV AGLFKSYLRELPSPLLTHQLGPQFDSYMKGKGKAVPDRAGFNSTVVEDDHLDKSEDLS SLLEQLPQAHWFLLADIVKLLDLIPRHSSTNRMTQNALMLSLGPSLNIPGGILNELIE KREYLFAEPPTPTALETAEALIDFGDISIPPVTPLAELPPTPAATANLDNHTAPPSAP YLGSTKSKKAPRLPAKPSLTRLFTSSSHTSLPRQKSVDTLNSIINTEPPRVDVPLSPA SPLPSFEPTQSDGGVAVPPQSQEAAQNPLSLSTMNKVPIPETPNPSSSDKMEEVHYPS GTVDERSKLFSTPIADRFQGTSSPFPALRQPRSSNGSTLTLNSSASDGNLSRTAQNDS VNAVRRGAPVFFSSAGVVERHNSSHGHGHTRSVSASANSALSGNSTSKQESDSALEER QKRSNSEEIDDRKEKRLSAGPDNVRIRDFTV
I206_02878	MPATLYLRPSPRAFFLVTQTHALIFRQPDESESKASKSVVVAEF LPIEEVDMKGLVKVGRGSGVVQGVLGVTSVPSDRSPIPEIFLLLVSHSTLLPSLLPSS SSSTTNIRPSKVLGVEFYSLTSNFWDSPDLLLAYHSSKYDEEFDYDDPIQSGPSTTPT SSQAQQAGIENPCGGMKKYLESGSFFYADGVNWDISSRLNESNWVISQHSSPLEEYDE RFIWNSSLLNPFLKFRLGLTEELRDILDEEALLIPIIQGYINSIPISSGFSRTTGKQE IVNLGLISRLSWKRAGARFRTRGIDDDGQVANFVETELVLATEGSIMSYTQVRGSVPL FWEQPSQGLGTLQQKVELTRPPQATQPAFDKHFLELMNQYHSVHAVNLLGQKDAESML SSAYSHHLENLKMTLDKNDEKLGNGIQSKGRLELTPYDFHSAVKIGGHEMVKYDFSMR LNEVMDSMEDFGWTAIDSDNGGVIEKQDGVFRVNCLDCLDRTNYVQDVISSLTLSRFL NSIGSPLQSSQTLWSAHRELWADNGDRLSKIYAGTGALNTSATRSGKKTFAGLLSDAT KSVGRAYINNFQDKGKQNAIDMLLGMMSGQRPVILFDPISDSVQGALSVRLNEYSHTK VLSIFSGTWNLNGKAPDEALDEWLFPPNTPEYADFVCNQSDCADETSRSDIYMIAFQE IVELTASQILQTDPAKKRVWEKFIMDTFAMHQGGKSDYLLFRSEQLVGSALIIIIKSD LSKHIRNVETDLNAYELFKQTGLSGLSGNKGGVSIRFQLYDSNICFVTSHLTAGQSNV NERNTDWKTITNGIKFARGRLIEDHEIIIWSADLNYRIALPNADVRQAIGNSSLDSLL GSDQLLNAMDAGETFIGYDEGPILFRPTYKYDNGTDEYDTSEKQRVPAWTDRILFKGP ALRLKEYNRAELMTSDHRPVYAVFDATIREVDHARKDAIAKEIVHSILTSGGGKKIDE KVEGVVRGRGGPKDLVKDLTRMSVTPNLRTASPKSPPRPTSAASMKENTPIIATTRMH SQSLSFPNSQSASSSLKAINSIQQNARRPAPAIPSSTSRLGVSPNMQPLVPDRSSPLP RSNSRGMIANGTGSSYTNSPSITPSSTGDFVIVPNANVLTTSSNTKPFPPPLPPRVGP SPKSTMDIASTAVISDRPKSGLPRSSSYSSSDNKFASPDEVQQGPSLNQLKAKFENPP SLSTSNSTFGSSLPHPINIAKSKPTPSPRKSMDINGSPQAVLISPVKPDMRKPTIPAK PRRLSSGITTLSSVHEDKHKNGNDNVAALPSSPEKFLGMSTSPEKKKPVIPKKPEGLG VKVNKE
I206_02879	MPSYNTPSSSSTTIINANTNIVRHRQHTSTDADIAIGASTSTYE IVEEELDHDNDTQSIGRRWKDIMSDNSAVINTFIAGGLAGAASRTVVSPLERLKIILQ VQASSSSLASSGQAYGGVWESLGRMWKNEGWRGFMKGNGINVVRILPYSALQFTSYGA FKSILGSWSGEPTLSTPLRLTAGAGAGIVAVAATYPLDLVRARLSVATSGMSIKSSAG GFTAEDAKLGMVGMTKKVYRTEGGLRGLYRGCWATAVGVAPYVSLNFYIYESLKNVIL PSDLPKTITEPELIMRKLSCGALAGATSLIFTHPFDVLRRKLQVAGMASMAPQYNGAI DCIKWIIRKEGFWKGIRGLVPNLIKVTPSIAVSFYTFETVRDLLAAMGEE
I206_02880	MLALVSEAGFEAWVAKKDSDERLNEYQITHHPAADGQPPYSECF LETIDEPFEIKIAKKLIARGRHLVKRFGKTTHMGHRSECTIDGQRLSHSLWKEKYKDH CWSQILENDEEEGRCYSSCLKFAPLQTTDDPDQVNIDNDRLKNLGLIEITITKGLWVE QGAMRGGRKQKNKLTNAIADERAKKFAYTVNTVDRREVANPYRRPGCDFVPSTALPDY KFVFKVLPSESFFNNSRNYRQVCSFLIGWFYSSLMSSQFIDEPTPPPSPRLARRLTGK RKRSSEAVESIPLKEEIDDIKPHLDRKRVKYLEEQVQMLSSELRTVRSGGRGQSRADP VDLTLDD
I206_02881	MLAQNHAAGFEAWVEGLDDQKRLNEYQIEHHPATEDKSSYTECF LETIDEPFKIMVNKPVKHNRNTEFRSTTKVDGHVLESFVWLKGYLTLWWDEVLEQEGG KTYTSKLKFAPTLTTDDPNQVTIDKAALSTLGTIEIILEKGKFAPSRMGNAQTTKIQA GVAHEESKKFSYSVTTTDRKPYEPQENEPESSPTPPPPPRKRKRYSEVIEIDTDEEAV DIKGEEDVKPNLTAKRMKYLEEQIKLLSGQLKQKSKDKDADNGIVDLTKDDEE
I206_02882	MGSGSSIPVKSDYPAPISFHSQGPTEYIGGVPGYTVLDNVWYND KKFYLIGDDHGQIPNNERLFTASTGWGDGTKDIVTFESKAEGPEVEDGITEINGTTIF LNDGWPGSWSGYFMYYHFASEIILGSYSVLSSIQKPLPSDLKKPWNIYKGLGRRLSLQ ESKQLPLTSSADKVDINLANRILFAWELNWDGKDGLSRAVSEGLVGKDGIIDPAGWRD MTNDNKWIYFERLLLTDRETAHRNNPLSQVWYKMALDAYKLTPSSKSLSPMREKFLNH YNIPIQNRHKSGKAINNQKVKVVYADRQGSDRKFPDNIHKDLLKQLERIEEQGKAIII DAKLENIDLKGQFELFSDADIIFGVHGNGLTHEMWMPTGGIVIECFPKTTFAYDYAPI SEVLGHKHIIWREDQEFPPDQWKPQNGGNGNALHDGTRFPLNVKVFSEWLESKIDEML 
I206_02883	MAGINAGDEKLVFESSEAVSVAPTFEALNLKEDLLRGIYAYNFE KPSAIQQRAIIPIMRGRDVIAQAQSGTGKTATFSISALQSIDTKIRETQVLVLSPTRE LAIQIQTVVLALGDYMNVSCHACIGGTSVGEDIRKLEAGQQVVSGTPGRVFDMIRRRN LRTKDIKMLILDESDELLNKGFKDQIYDIYRYLPPATQVVVVSATLPHDVLEMTTKFM TDPVRILVKRDELTLEGIKQFFVAVEKEDWKFDTLCDLYDTLTITQAVIFCNTRRKVD WLTEKMREANFTVSSMHGEMVQKERDAIMAEFRGGQSRVLITTDVWARGIDVQQVSLV INYDLPTSRENYLHRIGRSGRFGRKGVAINFVTVEDVRILRDIEQYYSTQIDEMPMNV TELT
I206_02884	MDSIVETQRSAHEELERYEQALSEVLIQNPTAQKNITRRDRKAA EILDRIGGLRKELVDFYEDLPGLRPKELELLSAPAPGEDDLAEFYVRYNKIKDFHQRN PGINSRQFINQVDDLVKGDGIQSYTVEGDEEPTIIDPLDSVFSGEEAHGKYLDVYLNH SQYMNLRGATRLSYIAYLELLKSGKVEKNLDLKEKSTPGYLEYVQTLYNYLISFFERS LPLVNLQARLKTAEENFRSAWESGSLKEWEAESSSSKKAVSGNSDGNGIWCQYCQKSY SKQTVYDAHLNSDKHKKKEAASKSSNGTNRSPAPSVPVASSSNSSTRNKIEQAARLTY LIQQILTYPPIPTKLSDTRNETERKMALTARERETELEEQEEAPAVPVDLGQEDDEDD DEKIYNPLKLPLGWDGKPIPYWLYKLHGLGVTFECEVCQQSIQGRRAFDKHFSEGKHL LALRSFAIPSNAQVHFRGITKIADVMALADKLKREGRSELAMLDKAEEFEDEEGNVYD KKTYFDLKKQGLL
I206_02885	MAYKAGPIYPCNPATARSESTKLGVDPKGEKLIYTNGRAVIIRD LNHTELSHAYTQHTQSATVARFSPSGYYAASADVAGNVRIWDVTNLENNLKLAIRPLS GKINDLAWDGESKRIIVGGEGKDKFGAAFFMDSGSSCGEITGHSKPINSLSIRHQRPF KAISGSDDNSIIFHSAVPFKYDKMINTHTRFVRDVAFSPDGELFASVASDGKLFFYEG KTGDVKGEAGTEGGGSLMACSWSPDSKSIATAGADGIVSIWDASTQKSTQSYTVGTDV QSQQNGIVYAGPNTLVSISLSGVLNLFDTRESSATKWRKLHGATKAITASVLDEQETT FYTGSFDGSIKKFSIGDQEGLCDEIQGTGHSANVVGFSGSEGKVYSAGWDDKVSTIQG DSFSASSLATKSQPAGIASTPNGVYIATSSGIEIHSTGTKSASVLSDGPATAVAAYAQ PNSDLIAYGVGKKVILSTVSPEKEIATFEDNKGDVLSLAFTSDGKFLASGDSAGRVIL INVEKKEVNVSSRWTFHTGRIVDLAWSKSTKRLASVGLDESIYIWNRESVGKNIAIKN AHPGGVTGVSWLGDDKIITSGSDGIVRTWSVPV
I206_02886	MVESKRIVKIVFFALILDLLAFTIPLPLFPRLTAWYLKLDSSST SLLSQLLFLSRKWRSILLSYNGSESISDNGNKQWDIVLLGGLMGSLFSFCQCIISPWL GRLSDKYGRKKILLATMLGNILSAVIWIQSTSFASFLLSRLVGGLSEGNVQLSTAIIS DVTTSSNRSRSLALVGIAFSICFTLGPSLGAYFASQPVPPSLYSSENKLNIYAVPALI SLVLLVIETLYLAARLPETKGWSKPTEEATSTKEEPESSQAALTVEQKLTKLRNVGTL HGLFLLFFSGAEFTLTFLSYDLFSATNAENGRLLSYIGVLSALLQARHVRPSLTRLGE LRLSSYGILACIIALSLLATLPYLTSSSVSSTTILYIAATCLSYTSATVVTGLTASAA SLVDDSNPKLQRGKALGTFRSRGQLGRAIGPLLASSLYWVKGPTIAYSTLAGCLSLVY LLARSQATNTRKKIE
I206_02887	MASIKSITHNIAQKKTIPSVQHLISLPNIATTSRKLYSTTSTSE HITKLLENKELTKEDATNELRWIIQGVRERAQNQMAKGKMPEIEEDSISNMVERRSKG EPLQYILGTTDFGPITIQCRKPILIPRQETSFLTLKLSSYILNLIPSLTSKDRPNKSL EILDLCSGTGCIGLLLSKLNPLINIIGIDNSPKAIQLSMINSRDLNLNERVKFKYGNL FNSNINSLLSFTNSSLIPSSSLTTKTNLKGDGKYGMIISNPPYIPFNEYKNLPKSVKN FESPFALLGDNNLNQNFGKGLKYYERINEILPNLLIEQENLEKNGWKGLPRLVLEIGK GQSNDVIDILRNGGLIKKTEIWKDQFGVERFILAWTKK
I206_02888	MSTNTIESINNEILLLQNKIKELKISKKDASLEVNSMKLLKEQL KVLTKQNQDSISSNNNNNNFTLKTPKGTIDHKPEAALLRKKIFSTLESIFLKHDASTI DTPVFELKEILAGKYGEDSKLIYDLSDQGGELCSLRYDLTVPFARYVAMNGITSMKRY HIGKVYRRDQPVMTKGRMREFYQCDIDIAGTCDPMVYDSEILKILCEALTALDIGQYT VKLNHRKILDGIFQLAGVPADKTRSISSAVDKLDKLPWADVKKEMTVEKGLDEKVADK IGQYVGLKGQGYEILEKLKSDPELMGIPLAKQGIDDMEILFRYLKVYKVLDKMSFDMS LARGLDYYTGIIYEAIHESSAPPSRSVNPPVPANSSGSSSSKPPKSSKNAVINEDGID ESTVGVGSIAAGGRYDNLVGMFAESAGKKMNEQVPCVGVSVGVERVYSIMESRRKASQ EKIRGKETEVFVLGLGGVELEKRMEVATLLWDNGIKTSFSPKVNPKAPAQWKQADDDS IPYVLILAPKEYAEGKVRIKAQLGKDQAGAEDNKGEEVPLESVVAYLKEKLGRS
I206_02889	MTDLDYGKDEKVQPLDLSANEVDHEYQEYVILAEEFSGDALKKL TRKIDIRVVPQLILIYMLSYIDRGNVGNARLFGAQADTGLSNTDWNTGLSLLFITFAF GGLPSNILVKKYGPKKVLPVLLMGVGAILMGTGFSHNKAQWFSLRVLLGLFEAGMYPG CTYTLTTWYTPAQIHSRTTIYYLGGVLSGALSGLLAYGIGQLDGKHGWRGWRYIYVIE GGFTLFVGIVALFTLQETPQKTKKWLNDREKRFLLLRSKFLYGGGRMGSKDEFSLKDV IKALKSSHVWIIAFCYICNTIAVYGFSLSLPTIVKNMGFTAANAQALSAPPYVFAAFC VVGSGLFSDKYRLRAATVVFPSIVGFFTYRLTKFTDVGTCIAAGGLYCLTPALTVWTG LNTAGQTKRAAAISIVFFFAAIGGIPGSYIYLAKEAPGYPTGFGVSLGLMGFGNIIVP SIYWFYCGWINKKRDRMSSEEIHSKYTQEELEEMGDESPLYRYER
I206_02890	MSPPLNLAAVDKLEFLILVDNFIEWFSTLPPGFTHELPQHMLAK DVPKDALTGLPTVDLDNYCCGAHGLAVLIKTTIGDESNTVLFDSGPEPKSIERNIKAM KVDLTDLDAIALSHWHRDHSGGILRALEIRQNQLGELSNGNYVQVDLHPNRPIRRGIS RPPDYIPICTLPTDPTFEEIEQRGGKVELNDKPHEILNKKGIRTGIGISGEINRVTSF EVGLPGALTWMKDEIGEEGWFNDHLIMDERYAVVDVKGHGLVVFSSCSHAGICNVIHS LQPFNRPIHAIVGGLHLSPVEKQPVTQTVDFLSRRIKPPPNHILPLHCTGLEPRAMLR EKMGNKVVSCGTGLKVIWKGSDKDEEKMDEGFEIVQ
I206_02891	MLPTGSSTSLPGSSPFPPKSSSPDGLLNGGEGSSQNQLLGHEGY NRSPHDVMQGMQALLNQFSNSPSNSYSHDPQASRHQRNFFASSGPQHDIKTQVSLQQP QPDSKPQIQIKQEHQPVHPPQEAKSFYSIPPLAGPSRQLDPSLELPVPPFTSVQPLMQ SSANGSQSHGGLRSDPPKRLYEVDETPIAVPSLDELTSRNTSNQLLLQGDPQFSDKSR VETAIRVIVDILRFCPIPSPIPAIHPSLHPLLPQDASGNILMAFERIATLHGLRLQAG TTTKASSKKQLQAGPISREHLAYVETAVYMSGENGRRVYVCKRCRNREARRRASKEVN RKRHPNSDSDTSSSQPKPRPSLIPPSQDFITGENADQYDPHRNGQVVEEPPWDPDHRD WRHEIVLFNSPPEVKMEDGSCNWLPFRVVCYGKCHGEKVGFKIKFTLRTWDGRIIASS TTKPIRITDDHKTEPKTKPKVDSLTSLTQSSAPRQRKGRQSAASSRRQSPAPSESESV QSFSEAGAVLQKQTPSVRAGKPYERPPSQSPAMSTIPMDNYMSNGFQRHGSTTSLHSL HQHQDVMSQRPAQQNGADFPMQQSLNTVSPGILRGPQFSINSHVELQNGLSNNGQTAN TTTVNLTSPPTQRMQLNGHDNMLFGSSMQSPNTFMSTLGLTGQPTSAQPSMIFSNDTT DIEMSSAMTGGLDDIFAGSSHTSISSISDGGSVFSAFGEDRSSAMFSDSGVPPASATD IDQFLDYTGGEHADVSNPFHQQQHHQHLQPDLEALFNMQNQQSQVQHLSQISPQSASH IPPSPFGHLHLSPSANHTDLSPSAQAQQDQTISDMLAAMAAAPPQPQITHVIPGDGPM AGGTKIAIAGKSFSPGMVVVFGQRPASTEFVSDSFVQCKLPPSSFPGEVEVIVQGAIK LQDQNSQLFRYNEMDKDLMRLALEVRNQYNGSSSDAAYRLAHHVATRSATNSEWSERS SSNSPMSGPSPGDADHEEEASDASNGKNDTPNDSLQSTVINFLASIDENAPGSLRASG VINHKNDAKQTLLHIATVMGFHRLVRRLIVVGAHLDIQDINGYTPLAFAALCGRNLCA RVLVEAGASYDRPTAYGEMPLDLAKYSEHSQVEALLLSAVWSTTASGSPKRPKAQIPL PDYDGISARSYEGSSVSEIDDDNPSSGSEVEDSLDQLEKIRLSRRKSRKSNAGKSKGK GKERQSKSPEKPRDKHSPVKSRRPSLHSTSTGTTATATAADRRPHPTPTDGRDALSSD IPPPPYAPAELDDTASNVSWMSRTLSNTQKIPNAVWDRLPFPHSMFTSPNSLAEKDAQ HHGWIALPAPWETLSKIATNPEEVKLFAQALAAATFNAVVQSGITTAENPVTGTSSTS KSSSLRHRYQVDDVLETKKSGMSRRRKSTGAGGISAATSSALGVMRDGRRSGSASPSE KVVKHVKRDRMLYLFWLPILLFVGFWLMVSALPIATGFCLIYARQITKAIKQRM
I206_02892	MDIIHRLNPFHRPSSSLRSNITPTFDFNGNVRPPSSLESGSYQY SIDTTYPQSSLENERYVNSVNNSPIPPVVNTHRRTPSILRSLAHHPSLSALKSKSKKK RKDKEIIPPLPTFNINDDATSQEGKLRKNKSLPRDMRLSDDSRDDVPPIPSHPLLPST FHNQLNKSRSSSVSIPRPGSTPCNNQISSRRKPAPSPRPEDILYAPQNQSMDHDQFQT PDSMRLKMNFEIDLPGHTISERRSESGLGLGSPRRRYMSFDQRLSPSQHKIRKVLYLI PMRNDSQAYVLFFSGHLSSPADSPPKAPRTNQHPHPVPQSHLYAQTLYADSATFFSTD FGAPSAQPLDWSEEYQEADDGLDMFVNNVILPNGTPDERKQTSQTGASVDGSTNENDK VRVFSRDIYPPVSSSTETTPSKATPSPSKSTRHRRAESSPACSPIRKPARNKESEFAR AAKRSSSPFEVKLSANVTKRMSLDAFGTSSLPKFDINEEVLAEENDVQIDKIMQDSPQ TPNEAYQNLRDEDEAQLEDNGLDGYEAHELSKISESPDLDDHYISIQYTPVNHPVTDT NTHFFTPLSPLLNAQGLPSYKTTALSPSPLLIRKHMSEPPLPISFDVLLQQADRLDGL KDQLKAESFIIDILRSENEDLKSLTQKQKEEIERQLDSLMCRRKKKLTNDVRRLAINQ LKQAMKENEEFFEGLQSAHDALAEQCAAVEEIRAASSQEHTEQIYQLRVANDQLRAEA RKSEILLQDLKNGKTELASVVFELRRELKWAETEVQSRDAIVAEKDHKIKILSEDLQE KDSANVMLQNEVNDLKEQLEKLRATLAEKDTFLERYHEIAERASTDELTIKQQLTEKD IILTQLREEHQGYKQQIKDQRKYTGELEMNAQESEITITAVRERLESSEQFREALNLS LTDKNQKIKTLLSDLDDIQTQLTHARHEIVENAREISELKQKMEVSRFEKNERRFQAE VEIGQLHEQLEEMSRNSAEREWAGRQSTELIARLMEEKRGWEEEKDELIEMINHKSID QESVSGLREQIENLKKQLSSMNHLTNTLKGEIDDKNGSLEFKNTLIQTQDAELSALRV SVEQFEDRWLDAKTSFDRQLKDSERSSTRLREEVEDLEIRLNSREEALKQLVLRNECE KQNDNDVTSRLLRFVNEIDALKLNETKLKNQLKNLQKLSTEEILRSEGLEKRLKYLEE DKELLNVALESKILELTLLQRNSNHDKRIPSTPLTSSTVKMKHNHTSSTLISSRNINS MSKSTSRIPNTPTPSTGDHTTLPRRLTTSTSATSSSRSRRDTISTPTPAIKTPLGEST IHNKAQMSESRNTSSTKPTYSTTGINIKKIERRTSLPVLVRRPSSVLSQTRESLSRVD EI
I206_02893	MEVDEDISTSNGHASKKSTTTKKDEGNKDHDFYFNFYSSLQNQA NMIGDISRTGTYRKAILGNAEVAFKDKIVLDVGAGSGILSYMSAQAGAKEVIALEASS MAEKIEILIKAANAGKNNAHLKDRIRIVRGMVEDEKVQKQVLEHGKVDTIISEPIGVM LLHERMVESFILARDLFLKPDGQLLPSAGHVFFCPFSDEALYNETDQKAQFFNTTLFG TDFKELYPAAREEVFAQPVVGMFPPTSLVSTACTPKSFDFYTCKKEDLLEFSIPVDFV VHRTTLIHGLASWFDLDFYPRVQPTSEDPAWNYPINSTSNWQWMSQESPLNPGPTPNP PNDGLSVTLSTGPSAARTHWQQARLLLPEPLAANKGERLKGLIHFKVNEARSYDLILD LEIDRPGPEFNPNSLKRRAEYQLQQQCFK
I206_02894	MVTRIDPLPDAGLAKSIIKPTERDRINVATVNVRYDNGTKSSLP PIFDNPYREKPWSERKTRLIDCLLSIGDIDIIGFQEVLHDQLSDLQDLLGDEYGHVGV GRDDGKESGEYSPIFYDRRIFEVVKWGTIWLSTTPDIPSRGWDAALPRIATLLTLRKI GKNGKLVHAINTHYDHLGIKARAESSLLIRSQIYNWVKQIEKEEKIKEKNPIILFGDF NSPPEEKGYKNITSSKPLLSNQSSFYFLDSFNHLFGKQTRPYGPEHTYTDFAPPGSKN QTRIDFIMLGADNLPKYQKKGEEEILNETSNLVERASARGGWKVDKYACLDNFIEGDS DGWKGRWSDHRVVRISISKTSQ
I206_02895	MPTRVLALCGFTQNSYIYSKQLGAVRKTCKDVEFVFLEPPVVVE KADLPWSDNLDQFGSNATTDETAQTPETTPRAWWVTANEMKTYRKFDETVAYLHDYLS KNGPFDGIMGFSQGAGMAAILSALLEKPGLHPNFPSEPALPKFKFAIFVGGFLPKAES HDFTPYFPLPASLPTLHICGKNDTLITIERSQTLIDKCENSRVELHDGGHYTPSKASW RHFFNAYINSFGPDGTQGDVPPVNSFGPSGANTPVNSKGGEATPRPSTPAN
I206_02896	MEDRKPSSSALREPNIDKYPYLASLNAAQLQAVTSSPEIPLQIL AGPGSGKTRVLTSRVAYLVRHHEYKPYEIVAVTFTNKASKEMKKRLQVLLGDQQADNL VLGTFHATCAKYLRRYAQLIDIPNNFVIADTEDCKKIMSTLLKARKEILEESRISLKE GVVLSEISKAKAREESPDQMAIRAAQDPSSSTSTSSIIAELYGEYEANLRESNCLDFD DLLVFGLRLFRNAPRILDSCKHILVDEFQDTNITQYELMKCFAKAHGGVSVVGDPDQS IYGWRSAEIENLNKMTRDFPGVQAIYLEENYRSTGSILSAAHAVVSQDRERIQKNLFT SHPRSTPVTLKVFSTPVIEASFIAWEIKRLIAYSGGTLNYGDFAILLRYNALSRVIES ALQKDSIPNRIVGGHKFFERMEIKDLLAYLQLADNPDFTPAFVRVVNVPKRSIGDKSV SDILNAAKSMKISPMELCERIIDGEPLPNGLKAGIKKNLGTFVGAVRKLRRAAEKGSS VADLIRLVIEKTGYEEYLRTSQPDFDSRWENVQELVCSLLQISYSVIVSEEQARIANG APEGGEGFMPANSAAVEAIVNKAQVEEKLKSNGKLHPLFRRQSSASDSTRSRSRSASI SAPTKGKSRDIIGNGVIEILDSDEEVDVKPSARAKEDVKRNAKTEVMDGLVDELATAS ENMTPLAFFLQTSMLSTDTEGGEDDKDKPKVTITTVHAAKGLEWPVVFIPAVEQGTYP SYRCTEAHEMAEERRLLYVAMTRAQNFLTMSYCQFRMMGGEENDKETSEFVGMVERHQ PGLLSTSLPDVDLAVRRYISMMLARPNPDEEEAKDMIMKHVRAAPPMSTWDAPEPRDK YSNRFARREVTKATRAAEYWASEADEYALPDQVNGNPYASSVKSGFTSARMGLSGSSK SRQPAPGSGSIREEKNQPQKEVIKIKSANKNIPQLLPFTFGTPDPIKVDTDISSFQKG GQNALDFMANLGLPPDPPLIYPNSNLNGNGNGSSINKNGNTSISRKSSPFLGNANANG NGSSPKLSSSPLLGNNGIKLPSLPSTLSRGGSNSTSTAGLNKGNKRLGMGRPAPYGSK KAKEG
I206_02897	MSPTALDPVAGHHDSFSLPNTNGNRGAKVHATARRSPEGGLIKV ESDSTKYEEGGIRAKYTDRGADVVKGADGKFTVKKTEKNYEFFTKTKVGNVGLMLVGL GGNNGTTVLATHLANKHQIAWRTKDGLQQPNYIGSVVRASTVKLGVDPETGKDVYVPI SDMLPMVHPNDLVIGGWDISGVEMDQAMLRAKVLEWDLQRQLVPHMQGVKPLPSIYYP DFIAANQADRADNLIPGDDKQSHLEQIRKDIRQFKTDNNLDSVVVLWTANTERYADII EGVNDTSENLLKSIKESHDEVSPSTVFAVASILEGVPFINGSPQNTFVPGCIELAEKH QTFIGGDDFKSGQTKVKSVLAEFLVNAGIKPLSISSYNHLGNNDGKNLSSHKQFRSKE ISKSSVVDDMVSANHILYKTATELTSENENGEIIKKGEHPDHIVVIKHVPAVGDSKRA IDEYYSELLMGGRNIMNIFNECEDSLLATPLIFDLTILAELITRIKYKSLDNKEQEEE EFKSLYSILSLLSYMLKAPLVKPGTEVINSLNRQRNALEQFLKACLGLEHSNDLLLNT RIW
I206_02898	MAALNRLSQRIIENFQETTRDLSLLTGNNPSSTPFDLTDDRLKE ISALLDSRIEKERLEGMKRIIAGISKGRDMEGFFAQVVKNVVSSSIEIRKLVYIYLLR FASTNSDLLLLSINTFQKDLSDPSPLIRSMSLRVLTSIRVPVIQGIVMLGLKKLVTDR NPWVRKTVAGGLAKVYEMDPTSLPQLIALLQTLLSSPSPLTLGATLTAFTEICPDRLD LLHPYYRHIIKLLVDADEWGQSVALSVLTRYARVMLEQPPNSGSTDTSSIHPSIQTEN GGGESEDEFSGIDEDLAMFLHFIKPLFQSRNPAVVLAISNAYYHLAPSSHKVIGQGLL VKPLLRLAGCSSDSRLGKEATGALTWEVLGSMAEQRPWLFMQCHQAFFVQTSDSPSIQ RSKLRAMIALINKDNAQISIREFKYYVRFPQSSTAEEAVKAIGYMVRTQPEVASSGLR TLMKLLKSQRDTLVAQAVIVLKSVILSSTLSSSLASPQKLVAKLARQLDGITNPKARA SVYWLVGQFAANDPSAESEKKGLGWESIELWVPDILRKGIKGFTNEASQSKLQIITLA SKLLVIASGSSQLNLMSTYLFSLARYDSDYDVRDRARFLYALLRGVRDEKVSTTNGGV ARDDQNEEDDEEDRGGVVLRREQVKVVILGKREVGESDETPNSGLGNDYQVGSMSRVM GKKLNGYEDLPEWTDDPTDSSLRDSEFENPQPPTPGPGPGPVSSYPMPTSSAQNVITP RQGVSPATSSPAGSYPRNMKSALGVNNATTAKGKFRDLDSFLNSESESEEEEEESESD TSPPAPRAVTAPPRSAIVPEYDETTSEEEEESEEEKSESETESEDDERAALYR
I206_02899	MKHHSKTTPCAPCNFHLLNIAELPPDLKLTQTLVFDFAGTWAIE VANTHSFSDVLGVDINWGMMTHNTRSRYGNLDFAAVDVEEPLPWPRGSFDVIHVKGLL LEISHYTRLIEKLAMVLRPGGLLAIVEIDPNHVSADGHQLPKCLRQWDACVRSALGGR GVDIDFPSRIGSVIANAGVFASNPYSQYLGVPASSHISRGDVNSAARSGQIHHQLLIA NLRKTFSALVEYGYNRPDLEDMFSSCLAELTNPNATYVHRLYAVYATKAYQ
I206_02900	MSSRPSSSLSTHRNRPSTSTARPPLEQLVYSLVPSLAPPRSSRL NHDVRSGRSSVASSRPESRAEIDGEAEKERKERVKELMEWCEEIMESELPSSAPLSTP TLPDTAKRMLLQSSRTDSRSASRQSNRGETSSSGSERAMKFSGIWNKLERGRLLSSPL PHLQFLLALSEYNPDNASLKSANTNSNPIHSSSRAMPPPATFPSNQPSSSRQPLTATG SINLPALEIDVKGKSKADVLKAWRSSKSEKPFPPHLLLRDTLYLMQGIDGRYVRFALR SPREQNPYLTEKGRAGDGTGFPLGKDGAVGQVDEGEEGDIVGIEIIADQAKDGYISKP TQTILTQLSEMGMLYRQVTDFINSRQAGESKGGMTEQSLCHFLHHELSEYHRLLAVLE SQMNQTTEQNEQPAEGAGLTLMRLGLWTEEMRLKLKQMSLVVDEAKKVHGGALVSKIH KHTSHGDPLIRKFTDQILEEVSKPFFATLQRWIFAGDLNDPFKEFFVQLNPETVSVRD GRISPAGDVGFEVGIDHAGGTDEAHKVWEKKYVFVKGMVPGFVSEEFGKKIFSTGRSL NFIRYSCGDSDWIETQAKLANAGRALKYSDLAGLERSIDDAYSIASQRLLEIFFDKFV LLDHLRALKSYLMLGAGDFTELLMEALAPRLSKPAISLYRHHLTSDLESAIRGSNAQF DDPDILRRLDARILEYSHGETGWDCFALEYKVEAPLNAVLDHKAMLDYDRLFNHLWRL KRVESALTQGWIRVTSTSKAYEGIPGLSNDWHHCRIVQAEMVHFLRNLQAFYQLEVIE CSWQALMEFTEKREGDLDALISAHREYLDRVVRKVLLLSSKRDKEEVLLETVREALDF ILQFRDATDDLYGWSLAEATRLDRERDAERGLYTIPTNDSPTISSQQLQSIRQRVKNC SNSFQDRLISICHLAGSHTDLDVRFLAIRIAWNGHYSLRKSKSNNLTLIPSTTGTSVI GSERASSTRSNR
I206_02901	MPGPSASAHQVLVYSGPGVSPLSLSHTILTLSLLLLPHYTVQPV TPELLSSQPWEPSCALLVIPGGRDLPFVEELTIKRKVTKRIKEYVDEGGRYLGICAGA YFGTSQVKFDVGGSMEVTGNRDLAFFPGSSEGPTYPGFQYASENGSRAVSLFLEPSSS SSNSTHRSIDHVYYNGGGHFILPSPTPSNTQVLARYADVEGEPIAAVLTKNGKGKSVL CSLHPEYPLNDPPARLAINKLEHPPEVEEIEASDKARIEWVEELLLLLGLNPPSKARK TDHGTDKNQNEIDGEEDPKLLLHPTHPSPIFILSHPNLPELSTNAISKKELQGKMTQE EEGWKVLEDGNDQISFGPVEATSGSASTSENEIVQWLAKRRRDQPKLETPSIENLKID DGDASPPIPQPPDFHSLPKTVLLPSSTIPYTPRWTPLFSFSSYWSELDLARKGAGKRS GVLRKGEEGEKSALGDLIIYGETVTSTQTMLDRNPLLLTHLTAPLAFLASFQLSGRGR GSNIWLSPPGCLQFSLLLDLPASLSSKMVFIQYIMALAVCEAVDEDGRLGVRIKWPND IYAEVEGVGGTEIGSGKKGKAKLGGILVNTNFVGGKWRIVVGCGINVLNALPTTSLSQ LHSLLAAKLSSTTSSRPLPAAPTMEGTFAKIMSSFDSKWEQFIDEKGFKGFMNEYHGR WLHSGQEVTLTTTEPHTPLRILSITQDHGLLRCVPIRTKSTSNSTGLTPLYNRIVDSS SEDRYTPSSAGPNRGNNTPEFVDLQPDGNSFDLMSGLIKRKV
I206_02902	MGKPAKERNQRAPARGRGRGRGGGSLSAARGGGSGRQKAIASRK QEEAVDDEEVFRRVMAGEAIDSGESFTLITTVTVDKKLICISEGSSSASGSGSGTESD SQDGTEDEDEDAEEEEEEEEDIIINIDVPVAMWDFDHCDPKRCSGKKLARHGLINAMR VGQRFRGIVLTPKGKKPISPSDDEIVQLSGLAVVECSWARLDEVPFNKIKSPYERLLP FLIASNPVNYGKPWRLNCVEALAAGFYITGHDDWAEILLSKFSWGHSFYKLNGHLIER YRTCHTSEEVQAMGELIQKEMNDEREQRQAEKHANEGEDLLRANPNHIGNEWDDEEAP ELVEVNDSEDERDDVETLIQGIEKANITT
I206_02903	MPRCVNKGCQKEFDEANNAEGSCSYHPGGPVFHEGLKSWSCCNE VNKPVLEFDQFMALPTCTKGKHSSKASEGIKETPKSSESSSGPTEISADGVETYGFSK LSTEPSTSSSSSSSSNTPTPAQAIAPTSSVPAPAQKIEEEEQDDPSVIITEGTGCKRT GCIYKFVNEEESRGEGKCKYHPQGAIFHEGSKGYLCCKRRVLEFSEFLKIEGCKEGKH LFVGSKKDETKEEKIDCRLDHYQTPLQVHVSAFAKNANKEKSKVKFESQQLHLDLFLP SNKRIIKTIQLYGPINPETSTFRILGTKVDIVLQKPSAISWPLLELPPPGTELPPGYA LTFGVSGRTGTVGGKEIVLSPEELARRG
I206_02904	MSRLNNFCSNNQKLFNQFNPPNLKFKVFGQSFRLSTNTSTETKS ILSYRRIIAFPNKKPVHLSKKLWRTINSLRITFIGRPLIVDQGQKEMPKAAFYAVADG RKPGVYNTWAEAEEQVKGYPGAKFKKFPTKIQAEEYVSAPSGTSLAGSSKSSLPYTKA NSSKVNENPSSAFPTHHRAESPTTTSNISTLPTNLQEIASKGYSFTKDHYLIVHTDGS ALGNGQKGSRAGAGVFWGDKGEAASKNYSERVPGLPQTNNRGELLAVIRAVEQCPYPF IPLEIRCDSQYTISCMTTWLPKWLQTNFRTSSKTYTKSNGQTIDTSTKSKEVLNVDMI KHLLVLLRNRGSKGKVKFKYVPAHSGIEGNERADQLAKMGSLMPENKNTIKWLNPDEE SSQKIKKEITDIEVELNENWLMSSEELENFEKDLLEE
I206_02905	MHSFTTVATFALVASASIVSAAPIACRGKPDNYVDGYLEDYDVY HARYIALDCSTQHNTTFFDDCCHPLLANETLSDNRLAYCTPNTTTLAEVSSTLAEYSA TATVSATATASADVDAASEYSAAEATATSASASIAAVADYAGHHSKSKSASSTSTEAA WTATSTSSAAAETSSAASSGGQVMTGGYATYFYQGGNAGACGTVHSDYDKIIAIDTNG WWQDTSSASPYCGKYITLTNTNNGKSVTAMVADACPSCVSDNSLDLSVGAFEAIADSL SDGQVPITWVWA
I206_02906	MGHPLHTNGTSPTNGVSHDIEAGPSSSNGHASSSTSNAPVRPGS LPTHILNAVQPVNPPGNLMYEDDRDWVEYDHANHPEGEKNTTDDEMEEDGSRGEHEYE ARPINKKTSGRPGVGGGKRMPIEREEAVRLMLQGLRDIGYHQAADVLEVESGYQLSNT QATDFQSAILGGRWAEALALLPELGIASSSSMNLEPESSGSSIASGKTRATALGKGTG SISDQANFLIAQQKYLEYLEIGQQKKALGVLRGELARVVKDQDVLHTLSGFMMCLDKD DLYERATWDGAQGTSRRQLLEHIQAFISPQIMVPSRRLATLFDQARRHQQLSCLYHEE PESISLYNDHKCESGQFPSVTTHILADHTDEVWRIEWSPDGMYLASAGKDKTVVIWRL TTTPREGGGTQYSVAAFRHLKEQTDPVDAMAWSPDGKTLVIAADKNVYVWDVKSSELK PRPSPSSQHSDTISAIQWLPDGSEFIVASMDCKVVFYAPTGHLLRQWSTFPIQLNDFV VTPDGKRIVAITTPLKRVSHNDRLKQSMSARPSDETETIGPVTSIGPNGGPLAPFQYA TMEHSLAVIRIADHEIIDWSQDLRCETTSIKISSDGRRVIVSCTPDEIQVWSIDPGLR YLRKHSGHVQGHFLIRSCFGAPKDRFVLSGSEDGHVYVWQGSSPNPVEVLSGHTSVVN SVAWNPVAARRIFASCSDDSTIRIWQPPLNLYDLNTSMIIENEDSEGNGHHDEIEGDD EGMTL
I206_02907	MSSNPIDEDGFHSIAWDDAPPRTGFSPSSPFGEEDGEGFETISS TSAAHDESIAAASTSTATPSTAGLGARRERQELAEADLEEWNGRWMSIEVREPIKEHE GSKDMYVSYAVKTQTNLPTFPRSVAVVRRRFQDFVFLREHLVKSFPACVVPPIPDKHR LEYIKGDRFGPEFIERRRLDLQRFADRIARHPTLQRSQLVNDFLQSSEWTVAKHHHIS HPPPDSHSSLMDSLSDTFINAFSKVRKPDGRFVEMAEELERFEEGLTSVERLVGRGKG RVDDLSTDYQDMAAAYQGLGYLESGITEPLNRFAEKMLDFSALLKHMNQSTVERFLIQ SHSLLAYTASHRNVIKLRDQKQLDFEELSAYLSAIVSERDRLAALNSGHSAAPVGLST YLRDQVDKLRGTDDIHTRRERIRKMDGKINELQEAVTNAHETSTEFSDEVLKEHLIFE LSKKEEMKEILQIYSDGQVEMLQRAMDDWDKIIPLLQRIRVDV
I206_02908	MSDPSYMEVDTSPTSRQADEDISFTKNISSIPEPIPEVEVEQSR EEEQPMEIDPTTENQDQTVEGNSDQIGIIVEKTTTTTIETTSISIEESNLPQTISPAI LTNGNHEIQIPEAEAEAEAEISNTNNFTPLPMTGNPSTLFGNSAYEITPSPAENRIID RPKIYRTGYVYDPMMMLHCQEGYIPTSENVIDNGPGHPEEPMRIKRIFARLAEQGLIK RMLKLEFGQVVMEQVMLIHGEDLWNKVQGTENLTDEYIQQSKQYYEQLSLYVCRETAH CARLSCGGVIQACVSVCKQEVRNAFAIVRPPGHHAEPDEHMGFCFFNNVAVAAREVQR KGLAKKVLILDWDVHHGNGTQRAFWDDPDVLYISLHRHDGGKFYPTSDFGALDMVGSG AGEGKSVNIPWPGPGFGDADYIYAFQRIVMPIAYEFAPDLVIISAGFDAADGDRLGEC HVTPAAYGHMTHMLSALAGGKLVVALEGGYNLKAISDSALAVAQVLLGETPAELEAHQ ASEVATEVIYQCAKVQSKYWKSIDVKSCEPPEITALEDGSSPVISIPDLLKLHRSWHM YEKHQLFQIPLASPELETAFGGQVMCSDGVYEVASKGVLVVFVHDFGNLRVETDGVAS TNVHLANSYLINTSDDIVNWVKGEGYDLIDINVLKQLPTNFASDGPKMVSKSGPAMES QLIRYVWDNYIELSEAENVILIGHGTGCQTIMDLVNHREVEKKVKAVIQVAGLHSLVR PDPNNDSRRIWFRKANRIYVPSTHPVLEEERIQKRLGGQIFTSEKAKVVDLLNDVLPQ IKQFVISKLPQMVQTNGNGNGNGNEHNHLDENLTLNGNENGNGISFGGENSNTPSIVV 
I206_02909	MAPTTATKKAAAPKKAPTHPTFLAMIQEAVKAHPDDARKGVSRP AIKKYLADTYKIDMSSASNTNNLSSAIKKGEEKKELILPNGISGRVKLAPKVKAAGKE NVAPKKKAAAPKKAAPAAAKKPAAPKKAATAAKPAAAKKTTAAAAKKPAAKKTAAPKK APAAKAAAGAKAAPKKAAAPKKKAAPAKKA
I206_02910	MAKGNKINKGKAPENDASKKRKRADKSSTEQIEKKENSSLFGNV QDSELDDIFAKSSTFAASSAPVASSSKLVAQPESIEPVNKKSKKPDTPELEDGSEVEE DEEAAESGDSDKELEPEEDVADDAEDDEDDEESEPGSDDMVHESMKVKADKKEKKAKT LGKYTPPGETSVDKDRRTIFVGNLPLECAQQKSALHQLQQHLLSSVPSAKIESVRFRS VPFAAPTAALPTDDIEKDATQRVKREKERAAAWRAQNEGVELPTKKSRGGDEEPEQGK IFLDSKGKRKVAFIKKDFHSELASCNAYVVFAHPHPDRSANVAPILDPFEAASTVLAA NASTFMGRTIRVDSLRLPSAVALKSAGNALAKRDAWLPSGTDPKKSLFVGGLDYASKE EDIRVFFEELVKAERGGAEDKWVTGVRIVRDKETQLGKGFGYVHFSDRESVDEILALD SKKIKFAKRYLRVQPCKTLPSTKTLNNTIKSIASKAISANGKTDKDKKIKAKPYVSSG PIPKGNPKLGEKIKDLSKEERKVFKSSDADRQARRLAKKKAKHSLEKNEKGAVKLNLT KNERERTKGAKPKAKKGKKRAPSAIAKMKGSRA
I206_02911	MPIAIEMSSDATSPISSRMTKAAFFRARSPPIDRNGTTSPIGMS SGDAYGNLSDLVGRFDVSTRIDQQQQQRPQQQHTLSSSYGAGPSSYNHNSPLDENRYS PNNQQANLRAPAPQPQQQQQQNGYFDSTPATDGHADTLNRQSYPSRKSPSPVRRRSTI ASQRTRSPNSPSRNILAGIHGLMSAPDSTASHSRSPEITYENGLSSDLKESAIMGFAE GSDEMLLTLLAGQAAVDCERLPISGWEEVESWKKELSILSNRLESLRSRHQREIKILT AAKTLQKLNNSNKRMSRQTMESLEQAEKRVEAAEKEVYVLQDREAALRRRLMEHWSGV MAWEVRRLERTSAETQARYDKQSIKINNLKDREVELIRQTTDKVNRVQELEEMVIEMG RRERAIEEEARDLDQYRSKLEQEREGFLAEREAYQGERDSWTAEKRLWDKERSAWEDE RRNWVEERANLIGDRQRLVESSQTSAKDQATMDQIRLSLGSLLGRKMGSVGEHEILPA LNEVQGLISRREKEVVSLRDEMREVNMGLEEELRRVGEDRDTWRNRVDQDEVARREEN IALTKKIRNQQEQINDLSLRNESLSSSLQAAQTAVSSISSDSNQTKVLQAKVEGLSSE LESIAAQFNSIWSILPPPSKRMQAELIEPRTGNSNSSLASPSKALNFAALQDLYQPHH EQVGDINETLSRIRGVVEDSKILVQRTIKMGQERELLKGNAAKAKKLVEESAKSLETY QQQVAVLEDQLAKSGSTESHFLDELNNLQSTVDNVNATKRSLENQLKDINEKLKRLEE ANDMLSTKALSMAEIAENERNALSNKLNYELEETKKKLKLIEQDADEERAKSQGQRIQ LLDELNSLQAEVGDLRKQLRAKA
I206_02912	MSNVPSEMSALYYHEARNFEIKKVPVPTIDDNEILLKVDICGVC GTDQHIHEGEFISKFPLIPGHEAVGKVVAMGKNVQGFSVGDRVAADVGETCGWCHYCR KGDELFCEHFNPAGVARDGGFADYIKYHFAKCYKIKNLSDEEATLLEPASCAIHGMDK LKMPFGSKVLLIGAGPTGLILAQLMKLGGAAHITIAANKGIKMDIARKVDAADAYIDL DRKDAANQWAQIKKENPYGFDVVAECTGVESIVNDAINYVTRGGTLLVYGVYEDKARL PSWSPTDIFVNEKRIIGSFSQVYCFPRAIELLDSGKIKTTGMVTDVFDLKDYQKALDK MATRQALKIAIKP
I206_02913	MADGDGTITTKELGTVMRSLGQNPTQAELEDMINEVDADGNNSI DFAEFMTLMARKMHDTDSEDEIREAFKVFDKNNDGHISAAELKHVMTNLGEKLSDAEI SEMIREADKDGDGMIDYNEFVTMMMAKVDQG
I206_02914	MSTSTPTSILSRGISVYSIPPELLSNLSVRSIQAQPSESEPTSR SPKAIVSAQTNLPGAAGLSCQTCPNANFETVEEQRAHFKSDWHRYNARAKLTGRAVGA EEWEGMVEGVSSISGSESSGSSSTTSSSQSKVARLLKRQTIGNPNGDGGSDDDEALEL ADRQRRAHLRTAVIWFSPTTSLPSLGIPQDTQFGVHRALFPPFDTAADYLTELKRMQL TGNEEENGERRLTLLMVAGGHFAGMVVSIRPRGKTEKQDVKGAGDVRVLKHKTFHRYT TRKKQGGSQAINDNAKSKAVSAGAMLRRYGEAALQEEIRSLMVDWEEDLAASERIFIR ASTHGKKSFYGFDGAVLHKNDERFRTFPFPTRRPTLQELLRCWHELTRIKVSHLSEDA LRALDQEYIASLQPKNKPVKPTPTITPLPAAPAVPKLTPEEEAKIDRRKRLEDMIRKG RLDALKPFWTKYQAEFNSSEILGFVALNDQEEILKYLLEEEKLDPTKPIETSNNKRAY ELSANKNIRNVFRRVAFNHPEWYDWVSDARVPSGLSDELEAEQNNKKSERRKGLKEKL KEREKQRAVGIEQDEKLAEEKQKAEEAMKVTAMTLGGGSTNNKGPQKLGGKVGGEGLG GMSQEMRMQIERERRARAAEARFGRR
I206_02915	MARSLVSLRVVLNALPKRPCQATSRVALKGLFQNGTSMSTITWT RTYATHKSTTDASSSSTSDPTADLLRNAGAARRATEGQDSVGPFPLGVGASGRRKNWR SWKELGLGGKLVRTTQQTGNLAVILVGGTLFVILTLSLTTELFAKNSPSVLYSKAVDM IRDSDALNPHLLPPLKFTHTPHSSAPVRGSAPIPHTFIKHPTSGRDHMLLTFWVHGRG KDEPEQLSWLKSTYRQMEQYGREGLIYVGLIHPNAGEDVEHKDEIVQDASNEESGILN RWFGGFTSSLRNQGNTKRKENSRGLPPPGTYTIGECRAEYVKNASGQFTLLSLSVDVP SSKVSYPSRAVIYTSPEASTEGLLGKRIR
I206_02916	MASTMYQRDPRAGLFLGGTRSSGAEVRDANVTACQTVSNILKSS LGPVGLDKMLVDNVGDVTITNDGATILSLLEVTHPAARILVSLATQQDKEVGDGTTSV VLLASELLRRANELVRNKIHPTTVITGYRLACKEACRFMAEQLSTKVDKLGKDSLINV ARTSMSSKILAADDDFFAPLAVEAMLAVKTINAKGEKKYPVKAVNVLKAHGKSARESF MVKGYALNCTVASHAMKTRITNAKIACLDMNLAKQRMHLGVHITIDDPEQLEAIRARE SEITLERVRKILAAGANVVLTTKGIDDLCLKEFVEAGAMAVRRCRKEDLRRIAKATGA TMISSLANLEGDETFEATSLGSAEEVSQERISDDELILVKGTKVVNSSSIVLRGANDY MLDEMERALHDALSIVKRTLESGSVVPGGGAVETALSIYLENFATTLGSREQLAIAEF ANALLTIPKTLALNAAKDSTDLVAKLRAYHNAAQNVALNDPKRGLMFYGLDLINGQII DNRQAGVLEPTISKIKSLKSALEAATSLLRIDDSIQVAPERREEVDPHGH
I206_02917	MKIAHCRRNHFISPDNDRISKLISQSDFPSTQGPLEKAKALIDL YLVSVLLDAGAGPRWTYSETDEKGEIIWKGGRSEGLAIASYHMFISGLFSDDKEKKYQ VNAAGLKKLTPDILAKHLQVSNENPMEGLEGRCNLLIKLGDALEERTDISKSGRPGDI LEYFSTQITDSKLPLTSFWSTLFELLLPIWPSRTTLPSHPTESLGDVWLCPSLSKFLN ESNTERKEGDDYVVFHKLTQWLCYSLVEAIESQTEWEIQGNGQTGLPEYRNGGLLVDL DVLTIKSSSLDSKAYPNGMNNPPVLEPSHPAVIEWRSMTVISLDKIHELICAKLKVST KELTLAQVLEAATWKGGREIAKEKRPGGGPPIDIISDGTVF
I206_02919	MTSRLTTLSQRNPNTNSNTPSPSPILFPPSSSSSGRISPFQRPN STSSQQYSESPFGGGMNSGSGLNNNNNNMGVGGQGSGYTRTTHEVEGQNDERLGNLLG KVKILKDITNGIGNEVRDSNVLLGNMNDSFSSTSTFLGGTFKRMNKMAKRQGGNWFWF MGFLLIILWIFIILWWFRR
I206_02920	MSRQNNQCLPVGYLTSQNLINNSNIPPPPSEPSTSSEATSSPYV PTPLSITSNDLILPKTSQTGLNPQYQRRVQPHDPLQSNLIHEPPCIPSTFLPSCYPES RFSSSSSPFPSGSTELTEGEDSFGAQGQAAWERSAKFGPLGPDLLEGIKLPSSASSPF IPRLESSIGNKNGIGGYQSVALMDLAGIPNIRESGLAPENPTPLYNSWSGSNRTSLGL TTWQPGPSSTSASQLSQSQPSQGYQESSTSSPISIHRQISASPHHTNLSLGGSSSNPS PRFQPYTKHQRAVSSASPATSGSMLPPPNPQQQQMSASGVSNSNTMAMGRSWSEPNLP DNTPRSAGYPGIMYANVPLPEGYMTTPPLGGRPMDSLNIGPEQFANASEVYNYILSAV PHIMPASQSDSDTALSLNYSNSASRQTFESLIDLSSESYQSLTGSTPPIQVYNHNDPL GINQKGKRRNSGSAQGSTSLNGMTTGITENGASGPKKATPKCLGCGATETPEWRRGPM GPRTLCNACGLVHMKLQRKKKKAEEKARLAAASAGDMSKPG
I206_02921	MDSVNAQSVFTVLSDVVEVTASKSKKSHPSPFLVRHTLFFFPIL VFISAGILLLSFTIPRIYRFIKSLLPKKEYQPILVDEDGDDVEEIETAQPSPAEPYMP SGGLWSDFRAHIRSMKEYGSILFFLEVIRTLCIATLLGLTVYAAIQAESPDNKASLLQ DDDMMGVEGHWGKHKKDKKKKHRKPKMDDYTSLEWGEFGVVGFYTYTLIFAFLLLTLR PATPLRRHLIAHVDTLLVVGWAIYAYRDLWPLLTYHLVPSDLNNAVTWSRLAILSVAA VLIPLLRPRTYVPADPKNPTPENEIHPEQTAPWLFYVFYEFMTGLVWKAWKTPSLPYD DLHPLADYDRAELLYSKHMETLDPIRRREKGLKPRNLLTALLTVFRKEVIIVCVMAAL SAVAEMAGSVGINKLLDYLETDGEGATLRPIVWVAFLFIGPTIGSLTIQYYVFTTTRC LVRSEALLTQLLFDHALRLRMKDAIDDGNEKETQNSTGPEIRIEDTTEPGPGATEAIL SSDGPQFNETTQVGSSTGSNKDKKDDKEAAANEEAKKTKGQGLAGKINVLMAADVESV LEGRDLALVFVYTPIQLALCIYLLYRILSWSALVGMLTMFITLPIPGLITKLNAQFQN RRMVATDSRIDSITEAIGALRMIKMFGWEDRIKDRIAAKREDELHLIWQRRLMTLAVI ILNQVLPVLTMVVTFAMYTLVQGKQLSAATVFTSMVVFELVKSQMGMCFYLINSFVTA WVSIQRMDKFLTSSELIDEYSEGKIATIRSSEQLEAETEGLIRIQNATFSWGALEDGN TQDFSLRIPEVTFVKHRINLITGPTGSGKSSLLKALVGELHFEQKQGSFFHLPREGGV SYAAQESWCMSDSIKDNILFGEPYEHDRYVQTLRACALEPDLKLFDDGDETEVGEKGI TLSGGQKARLTLARAVYSKTEVVLLDDIFSALDTLTSRWIIDNLFKGDLMKNRTVLLI THHVGLAAPIADYMLAFNQDGSVKSQGPIEDAELPDEDIEKEAQEDVKASEEAYSAEK QAEEKKPANKLVKDEEKSEGRISKRAMISFFSTFGGPIFWLLYFILILGGQGFSAFQT YWLGRWARAYEESEHPERVSAVYYLGLYIVWVLLSLGSLAGSAILFYVGAIKGSREIH RKLTNTIFGAYMRFLDSTPVGRIISRFTKQDMKNVDGSFTDTFSEVADISVGLILKFG VVIALVPIFSIPAVIIGAVGAFLGEVYIHSQLSVKREMSNAKSPLFSHFSAAVNGIVS IRAYGAQQKLRAEAQRKADKYTRAATAVRFSLIFIYSYNLNRWVTIRIDMLGGLFASL LAAFLVYGPRLDASTTGFALSQAISFSAMILWWVRLVNEMEVQGNSVERIEDYLIIDQ EPKFTESKQPPASWPTSGSIVLDKLSAKYSPDGPTVLDKLQVTIANGEKVGIVGRTGS GKSTLALALLRMIPTTGSVIIDGRKTEDMNLHALRSQVTIIPQDPVLLSGSLRFNLDP FGEHDDAELNDALQSSGLGQTRQPGQQGGSATPQRLNLDTQIAAAGGNLSQGQRQLVA LARALVRNSKVLILDEATASVDFETDALIQRSIRNLPSSTTVLTVAHRLSTVMDYDKI LVLGSGKVIEYDSPNSLRSNPNSYFAKLVMAMEGGTVSRQSAISV
I206_02922	MSGGIGLGLSSFGIQQSPSTITTPSTSVDYDTKVKSIHPAYHVT LPKRSHRGPRSLGVGKRFLSSPSNKLPPTIYDKSSGNPLSILSSTSDSNINSLPLNSP QMGLDLVNINPTQHLIHQMKKRSLEKRNFGLSSNDPLNRINQIVSSSSSSSSSTTTLS PTVTSSPTLVNSSSSPKITSSPIIPNSPKHVDMLGPSIALSIVLGLLVLGVASWVGIH YRRKRLLIQLTNLENGIQNYNKRRERDFDEKSFTSEMFNASNVVEKGLKPKTSSSSIE NEQIDRSKYDNLELRSSFISYKRPINVSNSHGGRRVSFVDTIEHHSDDDGDHIRESEI EGQIRRHNVLPPPRFMVACLHQPNPQLEAEYEIEEGKDEVEDDNEAPIPSPRSSIAPT LEIIEEESEEEFIHSVELTVFENEDDYEEQKTMTMNKRESIISNNSSNHSSTCSADYT TASARSSISSLSALSIISSSFPQTPKNPSPSTPPFDSQKIQDRNIIESPDILIDSPTP NGGYGKFQTRRKRSQSQGNSNSNFVEIKRHDLLRTAVGRTMSLQPTKEVKEFIRLMTI NQEEIKPLPREMTTPKEPFKNEDIPNKGISIPISIERVADNVDVQQELLEDLRIAAFI SSKLEEKVTKDLEFKNQQELKESKNENKNKNKNKIERSISVPVKLLQSNKSIKSEKCK NENIEIIIQSRDENKIQKRQSDFIFKNKKNYNDENLFKNLNNYELQNNNELQKNKHLI NKENTNSILSEKQIDLSNHSNLTISKKESYNIEEKYHRDEYKLEIEEEEEEDMEIEEE QEEEEEEEEIDSIWDPELYQQDQIENEDYIPFEEYYINFEENDINDNDININQQQEDQ FYYYEEYEELELEEIEKYKVNYQNNHHNNKRQNHVPHIKVTSH
I206_02923	MPSLHQLCPDCGLPRASPPPPLDTMFEEDFERCFICEKACKGLY CSSECRLRDQGTPSPAIRANRGPVKITSQLPAALSPQVRATQNIGRSPRVQPQNRGSS SISSGSSVSSSPLQSPQTNPSEADSPKRDNFDLPPPAYPTKCFGILPASVPMKIPALT ARASPLVAPSQTPGSHGSTVYPAGASIDTLRFGRKPSAVNTVLSPNALIPRCACGKPA NHRNRGSSKDRAELADSGFSRLSLGPSVISAPHAQEEPNPRSVRIVSESSLPGRPYQN GLGTPGRTALPLGIPSSPQVTASTSFLSRSRSDPIPSSLMAQRKAIPAAPAPAPLITN VITPSHRELSEMPLSPIVPALGRPSRSKIALDVDVNSPRRGRSRERQEHHVGQMTSNF GGPADREQAPSRSRTRRESRRRSDSRNKERSRGGSGRPSRERPIEEIERTGQRSPIQQ QLNSPQILPSWSRRASEATADRRRVLGEAAPAMRRTASGGKKSPICERGRERDKDPEE RKKEELDRTSKQLSQVFGVAAV
I206_02924	MTTETPRLVEPMAPNTTLENTQYSMESSPIRELKRKAGEGGIDV ENKENGCIDKKLKAGSAGSLVELKGRKLIFKQESSGRKLPRRQLLNFEGWLLDEIEAG NKITDIPEEYHGLIVMAGHELTSSNESLFIKHLKSALEITKGGQDPLPNETLTSLIPK LFALKQYGIVPADFSPSTSTAKVPAALQIRCWEATDVAKHFSASQLEEVLARRREREQ AREECERILNGLDDIEKLELIKGDKADKSQAKDVKEPERVAKADEVESVKQSPLISRR KSREGTANTVESRGRSASPTKKGKLTPEEEEAARLKREEREAKKAEVAEKKAAREKEQ ERRAAIVAKQAKTMMGFFKAKPPTTPPISRASEAGPSNPKGSASPVKGGGSDYSRAFR PMNQRPHVHVAEINRWRSNRASMASNSLEEEKGSNVEMWAPQDFLNDHLRKHRTRSRT SRSRLPRGLKTMPLGGSVAELYGTLEDAEDPRAVLSQLKDRRRFPWKTLSFDQQARPP YCGTFTKKSVVVGPRTPFAQDPIFDYSYDSSDDWVDDEGGEDVDDFGEGEPKEDEEDE EGSESEGEFDDWLDDAEDIEFTPADGDISSLAEPEQARLPMKVVKKSRDIAKKVVKLI PSWKGPIWEKSVGEEGSEGLEGYRIQLLNDTPQSVDPFTYNSTEPIQTFKTLFTTTAI GISLNVRCLLAAEPITVTPTPAPPAPEKPVSIPNSSSISSKPVYNTSPSTNGEIIAQN PARSRPPPKIAFPEEHLPELYQMIEGSKKIKPDLVSQLRERFENIATKAAIEAKLKEV AVREGKTKDSQWKVKAEAWIAVGLTPPAPASTASTAPTAAPATIASPTSAPVSKSVAS FFSSPAPTSPRLATSGTNRKPLEGTVDEPMVIDA
I206_02925	MSPSLLGTEFTSPPPLQAVTRANRYPNLDDNRREDDSELGDQDY KHPHVWDIPKAKNGGIEDDERYHGDDITGIGETDESGILGSPISLSDYYPFKKLNNVN QALKDVTSIDFEGTIDSSEKCSTYVENRLLPPVEITLKRKERVKPRGPRYQRKANAGS AHNNNVSVEDKMNTLSHFFQINRGYLEPLPGQSDSISYGYSSQSEKVYLRQNLEEYSV SHFTSDYYAKSEDHQLPSYRIDPDIQPFSPSSSRSSTTEFSWTSKYSHTLHDNHDTPV DLGGDMLDILGSQSSSTCLNPSTLDMTRRVPCVACVQEDERGEATLWKEDGHSWGEAG HASCSQCGKRACNEDENCSRKSCLRYWGKWGKFHARNRGSSGETDSKTNCEGINPDKK WSNSETHPDRHPRKPERTHWRITVRLSVYLDPIQKKATSKPKKRRESQNSDTTAAEVE WDADLPPNRVCSVSRKRKEGWRGILQLLHLA
I206_02926	MAPFSPLPPSHIQISKGFQPPKFPMFFGQTKLRQHDFSQIPLRP SKSNRRQTIFQGGHLSFGILICLFISSLWLIAAFSAAQLSLSPPSPSDLVYLESEGLA VTDFEIGSSWGEVGLFETNSWRRIRNTENQIISDLEVEGSNRWKRNEAVLEKLKESTL DPPKFTTPILGSDPITSEQLLTVLVNEPADSQESELIERGEKTWAKNRIEAEVKYEPD FAQQQSSMDSTFETLTSDQKDTIHPQLNALHEENKAASEIAYPITGSESTATGGELMS LRREGNSHSPASRRHRHNHEDEDVVDGGI
I206_02927	MTSRAATKRANGMKRKYEEASNDVISSPSGNGTDSELSELDDDY LSAADEDDKPPKKNKKANANGKSSPGRLKSKAKTTKSENNLKAESIKSKAKAKNDEST KSPEKKSRKSKTDEEVKPRIKSSNPEKLLEYLLSDEALDYSNPLPEKGFGEKDWFKNS SPNNSFEKLENPIPRPKLSKKAEKSIGVGEKIKLPEGYLRYPHSNMTPFQILLSSLLL SKPLSHKMGLRTISTLLNPPFNFGKFEIFEKSDEDKFRESLLSARTQHREKTVNQLID FAQGVKGLNGEGEENDLNGIKRAIENLNNVEKAQIRVGEMLKSLKGIGPVGVGIFLRR IQGQWEEVFPYVDQRCLDAAKSIGLIKETGNANNMAELCNNDSTLLVKLLDTLIGLDL EKKLDEVVQRFE
I206_02928	MDSFSSSPLMESFPSSRFPSPTPSPTQTDTDLPIEVDQSFNSSL SFGETSPCFSPTPAMPQKGAISPLLAQNSSEFLSPSPVFSLKPRRPDPVPLQRLTVPS PSKSSIMDLDDSIKPLSARPIGYGRTFGREISANIMQRSAGPATTKANKGMMLPPNVP EGKNMMRPRGGIPMQWTSSNEETGRPKLGMHAQLFRRETDPSMSLSPSSVASTSVSDD FGMDIDSPAIKSRRPSSQQSPTFSGAASFSGSPGLGSFFCESPAAPAAQAPAKRRSLV TGSPASPSSGSPSAKRTSLGLTRPALDKTTSSGAMLFGGGRSSTVAARRNPMFKRPAL GPLSAGPSGDGGARTASATSAFPILYAPPKTTLGGGSSGTFPRSAMAPMRRAYSVCDQ NKMHEMEEDESEYEASPSMAGTQAEYIRRYGPRAVPKVDGSPGFKSVRASIATSGQGI TSPAASKGKQKISPYGPGGLPGFGDNEMDGKILPCHKVKEDGLVRITPDTLQELLAGK YSSKIKRHHIIDCRFDYEYAGGHIAGAINVKSMDALDQLLLSESTGVHASGNSLPVPS RSGELEEGEQVVLVFHCEFSAKRAPTFAKHLRSRDRLLNNALYPKIFYPEVYILEGGY CGFYQSQPEKCEGGYTPMDDPKHFERRNSDLHDFRKFSRTRSFTYGEQPTQPSRAAPP CPPLAFAAASAATARRNGMTITEEDHEHESSPSGGNGSSSDNNENSPCPRAVSMIQPP IFGSAKTRVLGRAGFHRVASYAGTGIRN
I206_02929	MYVDWFLRGPINGRMEKRGGSGQGITTTRAASISYGAGPPASTE TKWRGIGNEPKIAGSTGGFIGLIAGLVIVLILVTFIGIYLWNRYRKRLPSKKGSHSGM LNPLPSLSFSRPSTSDPYAQSASRAFEMDQDLDLGVGETPKASKFSFTEPVYTRQRSS EWELPVEDPFSSGYDGEDGGKGKGRGYIDVELPNQPDEVALPLRNKTTRPISPASGHD TRSRSDSLNSVSSFVSTHSKGTIRGKGKGRANPLESNSSRIINPFDNPYDESRLSPIP FRRADSMTSLSSTESDGARKDTKRTQGGTPTGSSGGEDSEHSVRVSQIREGSRFVERF ESKESLA
I206_02930	MSIIARFLPLALLFSSASFLGVRGEGLQISLKNPVHNCVLGIND ALTEVSFGDMDLNATYYGRLCGSEMFTTSMALSVMKWCNTHIQIESGHEGFSNYCEKY GETYLPSWEEILKKVNQSNVIENVNTIDPEIMGTVFNSTIVISEEAFKAGKRTEDVWT TEENYHHGFGWGLYILVGMAILVGTLNRLFAFLVQKYATPYNASELAPRSPGIRGKLY TLYRKHIEVPALFGYKHSQASAWGMLSLPTRLQGLFIFTYVVLNIVFTVVGYEIFHDN LYWYGQRDTQIIRYLSDRTGIMCFYNLPLLWCLAGRNDVILWLTGWSYSTLNLFHRWV ARIAVLQAIVHSAGYTWLERDIIATEMKERYWWTGIIATIVMSLLIPFSIRPFREKFY EAFLIIHICLALVTLVACWYHVQIWDGQYDPWIWASVGVWAMDRFIRVIRVGILTNKA LFKKGTNAIGTIPNLNENGLIRLSISTSIKINPKPGQYFFIYTPFSIKPWENHPFTLA SWKFNEKNTDTTILNFLIKPLKGQTKKWQKEILKNQGKLSFKLFLEGPYGHENFIENF DKILFISGGSGITSILPYIFKLNQSSLILLPEFQIKEINLIWIIKNEEYAKDILSNEL KEYIELGFCGSIPFKIHLYVTRENQNHLPTISSSIPQEIVNSLAYGNGNGTSLSRNAE LESGSTSPNHTSTAIMNKVEIINEKPSPQSHSSSSDLSHSSPDLKDSKNKSMTIHCGK PHVRDIIDRSVNSLVGAERLAVSACGPSKLMDDTRASVCDIYGNNEGQLGGRRIEYFE ELFAW
I206_02931	MQTKEIESPPANEKPNVPLRNRSVNAARKLTPLSGPNDNSLTTN VPSRPQPRKSLLGQVHVPSRRSSEPSPRNLQRDYFPVSPHTPASPEAIPSTSPLSWGA DQPLGPDGFPDIFSEPTNWDDPITEEDWELRTETANGLEDADSPYASLETHYLRQITH YKNLLVRSQSSSSSSLHDLHSQLHYLRKLYHDLEAEHAQCGVKEKAKADEADFERRAT EGLKNVMESTISDMDKNERIKLLGMIAEACHPSDINAQIAILEKYRKSRFDILSRVEE PIFVRILSLLDVKDILLLRNVSKGYNQLTRNDLLWKGLCRQLEWRDCEGEASLSHLYV TQAGSWEEMYKSLWKREQNWNSGTAQKVFLLKGHESYVTSLRLRGDVLISGSYDESIR IWHLPPLRTLTPAAIPQPLVIPAKSVSCLDYLPTEDVLVAGYHDVGRVQVWKKMAENW QLLHTLSGHLRGIRAVVINENHLVSAGADKALVVWSWRTGERIVRFGQQTNICIGIQL IHDYIVAVTVDGVIRTFSIRQREMMAQFKLSDIGRTLSGGSERQEWKAKMKDIGGGQG GVGMINWFEGQGRIMTCATREIIIRMSWEETEEVFSPVPSQSSSDSPATPSPSKRRIH NVSSPARALPATYTSTKLTSPLKPSTPLGPNPGKGNRRSVFDLSSRAESNSLSSPKPR FGSPLGRSAASNRSPGMPGHPSSEAALTPIGSKGQGGLGRASSPATQSSSPRESLSSS RRSSSLPQTSVNDITSEHDGRCKDMRRTVPLLTGPPKILEIIHAPDVEKGAIDVKGTR VVTSTRFAARSGANRHLYVGVSPSDTAGTNMIPVLGAWKDKSDLFDLQTPGKNPMSLV LDREKFVYGCTDGSIVVVGFQGNEHIADN
I206_02932	MAFTASDILKIIFAVILPPLGVFLERGCGADFLINVSQTIPSFL HISPD
I206_02933	MNRPALERLAHCIETNTCGKDEEKVVLLASFHFNNAIHGGTSGE DIWARSTLEAFHSLNYTLLYSFGPMDTLTLYQGLKDKVQTILWEGGELKRCLARNETN WETLENDFTPGTFQNTTSNRFGCIKRLGYEEGIPIEKSFTFHFWSGPENPLGRQFTLS PEDYAKWNNGVGNHYLGYSLETKCRAIPLPSKKEHRGMVLGKYAKYFDVTSLDWTWGT KDVLGKAISAMPDEINGEKFEMIATGGHDDQRTGEHELMYKGIRNLGGLPQHEWYQTL AASKFLLGVGKPRMSPSPYDALCFGVPFINPISWWERSDPDKRSRWITQHDALRPYGP PYVYHVQKENLEQLEEAMKAAIANPIDRFIPPPMTAKAVQQRHRTLVETDWTAVAKAS VKDLWTDKGKEVSRDFFLRCGRL
I206_02934	MKAGLEFNELEVWVECDGIKLKEHDETFHLRTRGSPPIYKGYLQ INASSKLKYTLHVKSATATIIPGHQTSSNLRTRTIAYTGDLLSCASIDGTELCPAFIY PEQGYEAIHHQYGEVSSRESEGDNELRFEEIQSKSVDCLLDDKDEIVLMNTLEANLGT ITFSIFRGCLNPEYKSGLLKSGQLNLPRTDAWTARSGYNGAFEEKEPVDDAPLWRDDG TDDFTPWIQFQYYYGTPAALSLNGVNMQELTPRSTLFIPNTNPNDILVPDSTPPSPKS TSPYLRVESEQQSEFPLNSQYDHQPNNAGLLNMSTSIAQADNNDPPGQALSLMTLTSE RSKTITHQVEESTIIPSAQGSLEELSRLLLTSEDVEQPTDEETDLLLQILESLDNKCI AKSAVGNLIDGKLDAGRKIDNTSDPELTNSNKNSKTSSSVDRVISKDFAYEPTISQRL NERSYWKYPSRLSINDSIENHPASSTNAIDPFYSLAQEGIDEDLLKRTFASPSPKRSG VERLSSKSQKRKWTYDENLEDRERKRRFEKRYHKKKAHNDVGDRKFSERDLKRRDKET RKWLSYYRENRKDRQRPGHSNTEAPSRSGSKKRSRGVLMNEDINRSREEEKRRMQILK GEKSSARFSTEIKGAQNQISQNEVYSERRHKKRNGSSKDSAIDLTLLSDSD
I206_02935	MRAKEANGFGVWLSVGGEKLSMIKPQKAIYSFMAEDSLTLLIGE QRLGKIEKENGRIRMECYVCPVPHEDLTINMQLGRQKPWTGDWIAIPWIDGKRIHAFH VRKRWHVTHKMTTFFKEINGDMMECNLTSGNIVNPTFEDFAEGVERMDFQKGIISVLV YRGDIEKEKPTRKRISTRSRDDEPEFDERQEIASGSRHKVAKKAVYNETFHRDKDDRK HPFLTFVFKEYLIDNEVVDEAPAQQHPCQVSEAIRLKAEPDEELNQSHAEVSAPSISF TARPVNTNRHAKRELSDDSVRFEPQRARRGRPY
I206_02936	MIAQDVNGICVTLTVDGGRKLDERLVNKTVKNGRIRMECFVCPE PYQEFTINVRLGHQKPWENDWIAEPLIKGFKMLSLHLKKRNHINQEMSTGFERNYGVL TECNLSFGRIVDPIGYDKEEGEVSRDKSRGTIVVGIRRGYICKMKPELRQIHEVIDGP KGRNKITSGAGRVVGEKAAYDQEWSTFPEDRVDDFLTFVFKVRTPQYLQMKHLVERVP AQQHPCQVSRSVRIKAERGARLESGHSVAPSALSVRDRKSISIKAEPQLVKMESSDES DDGEIQSIRPRTSTESRLDEVMRKTRAELTHVKAEIKGHSNRVTRRYSSTSTIDSSDS E
I206_02937	MSGWMSWFAGKKNTTEGARDAIVGLRQQLLMLEKKEEHLNKKIE EEMKKAKGNATSNKRLAMAALRQKKAHENELDRIAGTRLTLETQVNAIESANLNAETM VAMKKGADALKGIHSNLTAEGVDATMDKIREQMDLTNEISDAISNPVGMGIVLDEDDL KDELEALEQEQLDDRLAGADRVPSHIPTSPIGQTAGPSRVAAQAEEDDEEAQLRQLQA ELAM
I206_02938	MSRPGPSPPPALTPIASTSTAHLTPGSAYSGVRHRHDKEPVTYP PQASYAPSTTRPRRRKRREVQKKGRRFRWRRGVVTEAFRKFGEHCARNQIRTLLIDCL VMTNLFYPSMVLYLQKRSPPSFSPAPPPHRHKIPLHGEAGPSTYWRNRPEHPLSLLST PVLESFFPYPPPLLPNLDWTGWWGRDTDRYNQEDYGWGLSRALPEDATEQPLNDEEIR IVRVAWADVEDVLDRDTEAAKKSWEERDRMLLRLVRDVAEEWEEHYKSTGEACIRQLI AKKGGAVESAGPCYVFSPESLPSTSELPHVSMLDSYFGPGIRANTSSSSLSVDPEHIY HSLGAIFRVTANSTSSFEARWQNALSHIAKKVEGEIFAEVQNPKTTVLDQMGPWYLSY TSRARMEAETTNEDEISTRPKPLSSSPPKIVLFLYFVLLSTLMAQISNASKVHSRFGL AFTGVVQLCCSTVMSFSVLALLGWNGWGASSKQSSLPTYVLPFVIVVVGAENMSTLTK AIFSIPFSHSVPVRIGLGLSKVGTTIALTSLTDLLVLGVVWVCVNLQPVREFCLFAAV VIITDWFMLHTFFLTVLSIDAQRLELADVLASNGGPPVSPVQQASDSDDQGENKQNGF GWRKLLRARTTKSGSLILLLLTCGFLYWLTERHRISPNTTARLYGYTPMSTSTTAASM LPQPTPFVTNPTDLTHFSPAEKLWRSLNPLGWTYIKIFVSPASILVLPKRGHSMRPAD IRKLSLPASRLLLPRLRPLFYLFKVVVLPQAVTAAALYALLLYLLKDADLLDAQRDRL GRVDEQDNLTNGNESDSSTTKQQAYLIEKLEANMLPCSHESDIDIIATSSDGFLALSV GIDNSLCLWRFDENNPGSGTREILTSGNLGSEDPIVSSTISEDRTHIGVCTARGILQI WQIIEDGQVVPLSTRRFNKTSLDRARITAIAFDVLEDIRSTDPFTITPRKSSKNPSVL EPAVLVALSNGAVFLSNVQSDPISIINPIRDNGHSRISFIRSSGQLEILLTTSEAVQT YRKTESGWLPTTIVEATDDRITAVAAPEPALAGLWAVGHESGSIDLVDEIIGHLLSIP PNSMSEPIKKVHLAKSASMRCTSCSTVSTDGYLIIYSTPTHIYLDRVCPRTPNNIFCK CLRTRGIRTSLDEMSRPTNLFSPSRVIGNTELVVPPSSIKKRYTPGASPRKSPTLLPL PSNGEFPLSSHGGARRLSNLHKPDESVLAMTMTSNASMDRSSISGQSTASPAGDFEIQ SLGAIILTSADEEKQNMNENDWEIINNHLIGIKRSKEGIDDSQWSILITDLSLIWNGF SINLGSIQLDDLLQKTKLENLSNSNISNKGISINESRKERINSLNGKASFSSINDYNQ FPKKETRSFSVPTFSNLGYVEINQFKKFNLNSIIAGFGNKLGIIKINNNSIGKQKKDI LNNISNSNNNNNNNINLNFGLNNSSSTTIIGLRTPTPLNRKSNSFAFIPPPPPPPPPS TNGSKILNKDSNFNVNSVINRKVD
I206_02939	MFQSLSLADFTNIGRASSPRPVNIRARSSTIVGTGSVPAGSTQP GPPSIDISASSFRITTNPFPGPSAASQALADQLGTSGSSSSAKRSKYVAGGVSNGNGT GHNRIASWPSPPQPVITHMPSAPAVPTRANIEGGVLDLSLVDKDSVALLLYTYKPPTN TLNGPNYPSPLPSTSNVTNGPSSQVLLNYAALAWEAKPGDYLEIRQIHRRQPEQPSRI LGPEGEAYRKKKDSGSTALNGVKLGKGRDGYVFRLGDDVPTASAHQIQVPDSVATAFG FQQRLEVEVRRITEKDSAHTDYVEFYFSQYLGRADMWRLGMSLEGMTLHVGEKVSLAG GAVRAEVNFIIRSEKDPKTGKMRDKRYSSGIATAKTKTIFRSKSAQVYLFIQLCEETW EFDEDGERYIEKVVHGYLPDLFARWAEKGTSHVVTIIFFARIYYDEEDVEYLRKHDMA GSLTKDYAGRACKDFFKVAVDLERRNDWTQALPEIKRQLEGTERELMLDYHFQLMKGA HQDGDEVKIVGRWSFAYEGNALEAINLALNPFDEHHVDRDLSRTGLSITIITPGTGHF AVDKNLLRLTTERMVDHGMSVDFVCLTKMPLHSVPLFSYVSHRPRGPGAEDNPSNARQ KAITPDLLYFDAHMSHIKDTELADCYSLPSWVSASFYAVTHDKPFRPDRFIPRCKMYE IQMLGILDHNLTTVIVPYIDIDDMPMPRRPLTMEDRKTIRDDFDQSIFGEISQPPHVM SKMNSPQLGSTPASFPASYQSARLLAEKDRHEKERSNSMASTTRPKSIGGLGGGSKLS PIKAMIELEDSHPLGRKRTEFRSASPAPSTLSLGRGLRSDSLSRPNTTVAPPTPNVHT SSPLLIASRNSSPIATTRSASPLPPPMNDTSTEVRSRSPLPLNRDASPSRESLKSTSQ VDSGASTPRLTPAKKLNTKSSKSSFASRLGATWLFGGLTSARSQPSYATPAIETITRT DVSSATGNRPQSPAPPSVLSTTRSASSSSKPSNPRSRSGTRKGSVPPKPKMPNPDTMI TPSTPSPSKDKPQNPNLPTPITQPVPISGTTSRLRRSHTVEEDPISRSLRNSRGIPGV SGQSGGAGGISKSFEDSSWRNRNNALVQSSRHFTVNPCKPSAETIDRVRDAGGRRWRF VLPKQAQQHIVQWPSLLAPACLPLTTDFLPTQKQSDELYNTGTYTVQCYLEDGAFLIR SDSAQENLPLAMMREMVSQRLSQNFQVIVLPHDLNEPGVVRPMPIHLEKDSDIGVELV SGGASEVLKNGRGAIWMSWANHIHRLLFHPTRQEIIVHWMTRKVSHSTDPVKYRCLVW PVGMKGYQPAEAVFNYPDVNTKINYNHLDHLINGERRTLDPLRYWRTRFILIPSGKEV ISFPGLIPQNEHLNQTDLLWLGAQKVIETLNRYLLKSQNGTPQSPLRIVTTTFDPSTC VLDEELMMDLERQISNKEKPTNTGSEDKRLEGMTLANVAELMCQPGNGLIIRTRWWEA RQHVQSFTGAQFCEWLQNSFEDVTSREKAAEWAESLLEKGLIEHVTNSHGFLDYWHLY YRLREPYNSMSKSSSKNKSWFGTTTSRVTSASATITKDLSKDEGSDQTNGTSAAINGG TMTASSSTNTVLSNTSNGTTLPNPAVVQASLPSTTPPGARALLAKMYEGPKLNSPTIT ATGRVRKRKVKMSQTRVLDLDPNKKSDRAEVAILHADVVHNARNAFHFELNWLGVTAA LLEELRQKLSAQAERYGLRFVETPVEQLIDMPLKCAYRTAIPITLSVAPPIIPDLHER LLAIGHGTGQVENYFEYCILTKKFGFVLDVEASNRYPENIEVEYSYRKTKFDYSQFVH KSGLALIQCLTNSKGFLWSDNRLIISSNNSSISSRRTVPNNGNNNNNGNLDYGNGNGN GNGFLNKLEEVKSLRKELEEFVGNSNKLIEFYQEMIPPLPDNDIEDKIEIEIPKSINI DKSLVEYQDEEVLISPSLNINGNFLKDKENKMNRSRSNTKTTEKEIKEALKEL
I206_02940	MWRSGPRQLNNSDRAGPNTRCQKCLKLGHHTYQCTNSRPYVARP SRTQQLSSGKIGREKPSIEVPEEFKSSSKIGLADKILKAKEDERMKEELKKRGGKDKK KRSSSYSSDSDSSSSISSSSDSDSSCSSSTRSDSRSPPPSKRRKRRSPSITSSDSHSD SVDSRRSASPPPRRKRYSSDEDEPRKPRKSTPSLSRSLSKSRSRSRSISRGRSLSRSK SVDSR
I206_02941	MPSCVPICFPTIRHNNRLTASGRPKVKVVMMTAIGSAHAAWSIA LLSSVIIRAAQSNKLKLAQTEACNKPHEQWLRSNADVVTAVADCAPECKTCMKLNLIS PAFLGKEYKEQTLSANIPALQIGPRILAHNENMLRLPLCDLENSLDRALEETGNNSVI YISLGIHL
I206_02942	MSEQEQKAFKMYGKVPGKNLFTKMQKERKYFDSGDYMMSKAGVP TAQAPGTAHPTPEAVPHASPPTGQPQGILSSSPTGNSHEPHSPTSEKPHTPGVGVGIS PAATSEAIEMPGHHQRRGSESGNHPRISPPGTIRENSNTSSYPIHHPNPGGYGSSPVK ASSLAKRLDEEAEL
I206_02943	MSNLPVEPEFQQAVAEITQTLEPFLVKNPEYRRALDVVQIPERV IQFRVTWERDDGTLAVNRGFRCQFNSALGPYKGGLRLHPTVNLSILKFLGFEQIFKNA LTGLMMGGGKGGSDFDPKGKSDHEIRRFCYAFMQELSRHIGADLDVPAGDIGTGGREI GFMFGAYKKYRNEFSGILTGKGANWGGSNIRPEATGYGLVYYVTEMLKDLDNTDWKGK KVLISGAGNVAQYAALKVLELGGKVLSLSDSTGSLIATNEEGFTSKDISTIAEIKLAR KSLTSFVEQSGVESRFKWYLGERPWTLVEKADVALPSASQNELNGEEAKALLKAGVRY VAEGSNMGCTLEAIEIFEESRKSVKSKANTEGLIFYAPGKAANAGGVAVSGLEMAQNS QRLKWTSEEVDAKLKEIMVTCYKTCWDTGKEFSEGSILPSLVAGANIAGFIKVANAMR DQGDWW
I206_02945	MVRTLDQPPRTKAQSSGLGKALINRKAKEAVAPQESQLYTLDES NPLASVTHERDLDNFLANAALADHDFTTERSKLRIISAPNMPAPETNPFLLTAQQEKE VVKKKVELANELTVPRRPPWTRKMTRLELEKQERDSFVEWRRDLAQLAERSELLMTPF ERNIQLWRQLWRVLERSHLIVQIVDARNPLGFRCADLEDYVQEIGSDEKDEEITVPGK GKRKSLLLINKADLLTYDQRCQWAEYFENNNISYAFFSAANAAALQEQAERQRQRQAG EYPSQEEEETNGEDEEAVVSGEEVEPQSEDEDDVDEEDEEGVEDDLAEKVAQTNLDGE YEEGWSTEGEDEDDDQPEGEVEERLADGQKMPLKDVARKIAAKFGSPPEGEEESIRTR VLSVTELEDLFMNSAPNIKEFATPQNPEPTKLMVGLVGYPNVGKSSTINALLGAKKVS VSATPGKTKHFQTLVLSDTVTLCDCPGLVFPQFANTQADMVVDGILPIDQMREYSAPA DLICKRIPRDILEGTYGIRIDVREVEDGGTGQVGWEEFLSTYAIARGMTRSSFGMPDT SRAARVVFKDYVNAKLLYCHPPPGIDSDEFMESSRQITLAQLEEAYENGRKRAPVSHV SKNADTYVKPAGSGLSVPSQDVEEGEVEGESSNKGRERQLTTKQIKANAASAPMRSNK EKMTALDNVYFNEAGSQPRLVVKGRNLKLDVPDIATGQSFARSQQFPHQRMLGPDGMP VIGGNPKEVGGSNGKKHFKRKEGKKRSGRGYD
I206_02947	MSNQELESDHYDVIVIGTGLAESIAASSLAKAGKTVLHLDPNEY YGGEQASLTLDELIYWSNQYTSSTPSSSKSNTKYHGSSITPLSKELAQDKRRYSISLF PSILPSRGYLIDTLIKSDVSKYVSFRVLDQIALYSQTDNHVEENFKRVPGSKEEIFKD KSISLMDKRKLMKFLLFATGEFENDDILKGKESQPLNQFLQESFSIPSSLSNSIIYAI SHCSTADEPTLEALKRTRRYLKSIGRYGNSAFLIGQYGGAGEVAQGFCRACAVFGGTY VLGANAKPTNIEITPQGVSLDIPCHPRRITASHLIASPNHLTPTLLTDSPLSSGQAED FVMAHGIAITKTIPDILRRKMSNPEEISSNEEEVENDDTAIVLFPRNDQDVVKCYING EGTGSCPTGQYIIYLSTCVPSSSWESAPSDILKPYLEKITSEPIFEGYYYSSRPSSDS TLSSISDSIVKLKPYGGKELLTEGLDWEAQQGEEAFYAVMGRSECVKGFFEKDISEEE EMGIGEDD
I206_02948	MATSLVVPLAYISVMITALAIFSRVYRRRRAAEKTSFEPWFPKH PSRETYITLISASSSSSSSETPIPDSLLKSALLVRAITDVKRIWRLRDDKIALTQLSQ KGLIGDDTMLRFSAAEKELEAEIVDVVSEANSFRQGWGQMIFATATEMAQAEKTRETV MNIPKIKAQEDKRIALRNKYLPGSVPPPLIQQVPIPPQAVTASGSGSGTSTPSPSANS SSGKVATPQKVPAASGSPALASSETVAPGSGETSKDGSPNPNTGSGSGSGTSTPSKST PGKKKKGKK
I206_02949	MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG A
I206_02950	MPSPPLSIVQSLSPRIAVLTSQDVVQSCEANGCRGLEELLRPWE GGTERVSILSSTLSPTIHPTFPVRFVSFESVYTNPILSVPNPDVTVDLISGFVGAKNP DDEQHYPITRSLLLSSRPLASHETFNHPVGVLFAVSTATPDPLGTLNKLHAQQISSAA QNVPWMDGQTVLRFFVVVHDVSMMGGDMAPAHELLANVKKAYGPHSTLLVINSQLEHR EPPQSPDVSTHPSIPLPRPFTPEQSNPSALSQVYASALSSLTLSPMAAASASLGEKAV SDTPFSPSRPVRRKLYGHKLTAEDTQRLAALVREIVVQSLIPWMEARIREWNEVYHSN RRGITGRLFGAGRKFFGSRPNSPSPGTTPTGYNTIKGYYPIIAVEALSRRLADFAFML RDYKFAGGVYDSLRKDFAQDRAWRYSAAATEMYGLCLLLSHPFFLPSSPPTMKPIPFT NLQHTEITSWLEQAITAYHQHSPITQIQLDALRITVLYYEAWKAIGEWRSVGYALVKG SGDSDEVPSAVMIEEAAAADVKGGKTQKGNRRRAFHLVLAARRYETAGLKTYSRRCLE RASQIYRDSPWTSAQDRIEYSLGRQAYTLGQSDVAVEHFLRLLRREDTGVPGSQGGPL QDMAQAYEQLASRPDLLAKSSSKLQLPTPVFDVSQTRILPTSEASSSALSRERWADLE NQAFTTWDRKGKKPMSLLADEKRLIVGVDEPLQVELIATNPLNAPLTLNNITLAFDHT DKVSTENIESVSLEPYETRMIHISVTPLSPSTIRLKDVSFSFHGFFPCTQSLVKRGKR LHSTKAQRITPTYAEDTSLTVDVTASRAILTAHLAGIPDNLFEGSVVGGRIRLSNRGK TNINDIGMIWNEYGVIRRTDDTKHQDTNNSIPNLIQPNTILPLYNGIIQPGEEREIPI TFLATQTGSIDILGLVVFSSADDGASNTSSALICHQIDVRPILQINAVLRPTGQEAGH YTSVVEITNRSSVSIVINRIAGMSPSWMVESIESDHSLLPNQTLRSLLSIKYETSSKH DLRQTAVIDSLGKLVKGQPIAEVSTDLQNGISLTGNGGTEEALDSYLILKRQTRLKDL KQAFTTIDAKDIERLFQLIEPLSLDLVVTFSINDPIADSGFSRKGHSIISLNPSPTFS LVEDLQKEINATILSGNKSQRTMYEETSRLHRVLIDDVLNGYLANEIDPVQVKIKCGN KGKVFGNFGNGSFKIPITFEIINQSPILPIRYILNLPKPSLSDNINTNLSTPHYIDSL IHKGQLKALDYEEIHIEIWVHEPSLLDLGGWELLVETGELLNDDWAPRNTWSRIGSER MLEVMSKE
I206_02951	MSATPLRSLASTATRMPILKRSINPSSSRFASSSSKSSSKSSTQ TSPLPKDDWELPEIHIGPTHSSRYQEHYHDTLASDLMYMSYSHRLAQKPAKPEIIEPP QTGYEANRPRPPIMRGNRALRTKTTSVNEENVPKLESIIIHTMVKEAIINKSTLLSAI MALRAISGETPNGGGKKGSSGVEVIVAKKSAAAWKLRAGMPVSVKVELKGQAMYDFIQ SLVDFVLPRLRDFSGIPLPPASTPKNSPASLTGIVSFGFSPTTMSFFPQIESNTDSYP RLHGFHVYFKTNLRGENAHEHARTLVSGFRIPFHRR
I206_02952	MPIVERELEGFTLVGSPKAGSSISSFELKNEKGRFKDFTYKLSF PFASVYRIILTGPDRPRPPHDNIILPENPLSFKLTSLDTKNCKATFDFPEGRGGESAA SKFDGSNRKRILELSWKEHITLNVYEFIGKDKVRIIGDLPNRSYALTDKGIIRHWWVE PDNLHLGLGEKAAPLDLSNRSFTNSASDSAAYDAYNTDPLYKHTPYLISTPKPTKEGA EVVSTYAIYHPTNSAGIWDVRRLHDDPWGHFKAYTQDYGGLEEWVMVGKGVKEIVRTF AELVGRPKLVGRDWLASGMGLGESDHPPAQELLSTWPDLCRKHDIPCSAMHLSSGYTV DPATGNRYVFTMNEDRYPDFKAMTAHFHKHGIKVCPNIKPYALVTHPQYKQLQEADAV FRDPAVDEQVVTRIWSAGVGDNEKGSWVDMTSEGGRKWWADGVKSLIDLGCDGMWDDN NEYFLHDDEFVSQTTFPHQLYSPAEGKASIGLLGRLLNTELVNYVSHNELIKAQPDRR PYVLTRSGNVGTFKYANSTWTGDNWTSWHNLRGSQAIQVNAGMSLMQSTGSDIGGFGG PLPSPEMFVRWVQLGVTHSRFCIHSFKPDKNDMSGAAATNTPWMYPEVLPIIREQIKW RYEYLPFFNHLMWLSHEEAIPSTAWLGYGDFSSDHNLYNQEILEGFDAWLGVGNILVC PALFEGQLTREVYFPKSSSEDKSLYFDLHSPHRQYTAGTRTTIATPLEHFGLFVREGS VIPIGKKQHTVTEISGPARTTPDGIDVQLDSEGGLVGLDDWRGIKIFPSKNGKSHQGN WIEDDGISLKPAKTIISVTYSGKEHSVDVEVSFLQNDFKTLWGKTLDILLPEGDVRKV VGAKEGIRDGRRVWTIEIA
I206_02953	MTPKIPDGRAQASLHSFFKRKTPPLSSPTKQADHQDPVIDLTGS PPLKKSKILTSPKSIPSNGSLGPSSSSYFSKPARTTSSNTTPAPPSTNASTSATLETY RLPKTRIQPSSQSGSAFDVYSVSSEAGPSTSSQTRTEEQQRKHEAWQNRLMNGGLIRR RRSLRLDEAAAAELRRQNGIETPENESGMDTPTIEEPEGIDSDDEDRRKAAEGVGSRL AAKYASKVTDGSKTTKGKGKKKEEVGPSGQTYTPLEKQFMEVKAKNQDVLLLMEVGYK YKFHGEDAKIAGRELGIVSFPSRNFYTASIPTHRLHIHVKKLISLGYKVGVISQTETA ALKKAGENRNAPFTRELTHLFTAATYVEDPSLPSSSTALDDPVVPGTTPPPTNALVSI VEQGMGGIANDDRARISIVSVVPGTGEVVWDEFDDSQVRSELETRLTHLQPAELLLPK AGLSKATEKVLAHYAGGPGVGSANPVRTERITKLLEYNDAFDYLTQFYDGKVQSKKNI ELKRKHNDRIDLTLSDNEEDTVNTNGHTGVETNGRDLSGMEKEGLSLVDGLDSAEAIL ALVDFPKQVVVAFTIAVQYMKTFGLQNAFKHRSTFAKFINRAHMLLSSNTLVNLEIYR NQADGGIYGSLLWRDCKTRMGRRLLREWIGRPLLDIVALRARTDAIEEIMESNTYYME KLRSLLVNMPDLVRGLTRIQYGKAQPTELATILVALVRIGSEFKPSNDPIFKSTLLNN ILQTLPKIQSIAKGFLESVNLKAARENDEGHLWTDPEKYPEIQDAKDCISICESELDQ HLKEIRKLVKRPTMNYVTVAGIEYLVEVPVRDAKSVPPKWVKISATKSVNRYHTPEVL RINKEREQHKETLNAVSRAAFKSFQSDISDHHELVVVSKQIAVIDCLMSLAQVAAASG YCKPRFVQEPELRIRAGRHPMVEMLRDEAYVPFDIDFSEKDGTSKVITGPNMAGKSSC VRAVALIVCMAQIGSFVPASSVTLGVHDAVQTRMGASDEIGRGKSTFMVELSETSDIL RTITPRTLVILDELGRGTSTYDGVAIAYATLSHVAGTGCKTLFVTHYPMVAEQLAREK PSQISNWHMAFDEIKLGDGSAEITFLYKLTKGLAEASFGIWCARLAGLPKTILANAQT RSNYLKHETKQRLLSSLGKRTRNLLSDIRANSEQNNSIDVLKDVRILDRALSMLDNNH I
I206_02955	MGRNGLFGNFQGLDAFGKTMEDVKIRTRTGALLTFISFSIILTS MMLEFIDYRRIHLEPSIIVDRSRGEKLVIEFDVTFPKVPCYLLSLDVMDISGEHQTEL EHHISKTRLDKDGRVLETVAGGQLKGEVERQNLKKDPNYCGSCYGASPPENGCCNSCE EVRQAYARKGWSFNDPSGIEQCVEEGWEDKIREQNTEGCQIGGRVRVNKVIGNLHFSP GRSFQNNMVQMMDLVPYLRDNNHHDFGHVIHKFRFGADLTASEEASVLPKEAKWRNSL KMRDPLQEVAAHTEESQYMFQYFLKVVSTNFIALSGEEIPSHQYSVTQYERDLRMGNA PGKDSHGHMTSHGVAGVPGVFINYEISPMKVIHTETRQSFAHFLTSTCAIIGGVLTVA SLVDGFIFSSSKRLKGINDDGFAAPGGKMVSTCQFMHI
I206_02956	MSSQKAEYVTFDTSVGSFTVELYTAHAPRTCNNFSKLAERGYYN GVIFHRIIPGFMIQGGDPTGTGRGGTSIYGDKFTDELHPDLRFVGAGILAMANSGPNT NGSQFFITCAPTPFLDGKHTIFGRISSGMKTVQRLEAVRTDSEDRPVEDIKIHKARLG DAAPPSGGMDVAKIAL
I206_02957	MEATQERKERQEGDISSVFSSLSGEAAVELPARFGELKRQIIGD ETNQRSLVESWSRLTKRLGQAAEEIDRKKQNCIPQITYDELTSPSSSPEVIEAIKTTG AFVLKNVIDRKVAEEWLSQTKSYIKANPEVKGFPKDDKQVFELYWSKVQLEARSHPRA LEVQKALLNLFSNSKREGNEDVSTKVALSYADRLRIRHPGDARFALGPHADGGSVERW EDPNYRKVYEQILRGNWENFDPWVIQDRVKAEQNMYDGPGSCGVFRAFQGWTSLSSTG PNEGTLRVYPLLKELGAYTMLRPLFREIRPRSTLTQADYLHSSNWELDMQSTSFPGSP LARCQEYNDNTHPHLELNRTMVSMPRVEPGDQAWWHCDMIHSVESTHNGTEPSSVLYI PAVPLTIQNIRYIKDQRINFLKGKPAPDFPGGIGESQFQGRGLDSDITIKEGRQAMGL EAFEIPLDLKEGEKILRRKA
I206_02958	MELSPQPDLVLEALQAHLFPSPILSHLPNTLRLFAHFQLLSLQI QTEATRALIAHSRLVKKLTRKGYNLREQVLRGALNEKDGYDIQCIKKNRQEASHRMLA FSLEFRKLHLVTLFTTPKVPLGQVQRILEGYFPKLEDGDDFDYEAVINDTEMYIRCMT TQQVSTFRHYQKIVKGWYDQARNLADHEKPPPLFTDFFPTEQDNGKFKQATLCKNMMR EAEKVIEDIRSLEKIFCVWDEGEVVERPGYQLNEDQQEDLELGDAVEADDITPEAGRY TAVEKGKRKAIFDDRPTSVTGEDKATNFIQGRNYTHNTPPLTQSKAQTGIPPPIYATQ LIPKIDMVSTNITSCSQTGSMSQATPIEPNPQRNSLIKNRRQTLPANIPITNSPSNSK KRPRQSLPSTSGPDYTAQLRSSDILRKDKLRPFQNSDLHRAKITKV
I206_02959	MNSLKSVACSASSSIRANIRPRISSNHISFARSTPSASWIICKR GFADSPKSQPEQPQSPLQPEIDRQRKLRDQANVGPFTWKAATLFIATGIGLYFYFESE KKAVLEKRQAELSNKSIGKPQIGGPFKLNRHDYKGEFTEKDLLGNWTLIYFGFTHCPD ICPEELDKMGDAIEIIDKKSTKNNQNNGVLPLFISVDPARDTLPQLNKYIKEFHPRMI GLVGDYEAVKKTCKMYRVYFSTPPDAAPTDDYLVDHSIFFYLMDPLGQFVDAFGKATT ADQVAEKVLDSMKKWEAAGGNAAAGV
I206_02960	MDRPTRTAPAFGASWSSLNPPLSSWIMDVINKNGFTKMTPVQSG TIPRAIKNQDCVVEAVTGSGKTLSFVIPVLERITKRDRKYKKGELAAVVIAPTRELAS QIHDVFHQFLSSLIPPEDPNENQASSSSSPPPSSSNKKAPYPLPILVTSGTSNPYETF QSLGSNILIGTPGRLASFLLSPRGLSIVRVSELDVLVLDEADRLLSSPDHRRDIERIM KHLPKQRRTHLFSATMTDAVEEIIGLGLRNPVRIVVNLKEKKKGEDAKERRTPTALQN TYMICRHAEKTLQLVRILQAEVQKAEAAKFVVFFSTCAAVDYFYRILSRIPDLSKYHF TSLHGDLPPRIRETALANFINHPSSHLSPAILLCTDVAARGVDFPDIDVVIQYDPPTD PKSFSHRAGRTARAGRRGKAVVLLGKGREEDYIDFLNIRKIPLTKQAYLDSSLNDSEE PTSVDPEALKLLRQIRKSVLTDRELADKGAKAFVSSLRAYTKHEASFIFRLQDIDFHA LAISYGLLRLPAMPEIKDWKKKREAYLKRMREKKDEGGQEEDAETQVEKEMNEKEWLD WQDEEIDWDKFSYASKSREQSRILNLNKKKEQFLIKSNEELIEIQAKKKLKLQMRESW SEQKERKLKKEERHDKIDKRKQAQWELAQNNGENLGPIASLRAIKKQKLNLKNDDGDE KDDKDEDEDEGNDQIEWKSLKKEIKSEKVEKRNLKKIDKGGMFDDLD
I206_02961	MSLPNFPDSQHSSPVHSPSIQLPSYGAGDHLPVWLLALCGSFTA VATGVSIMSITLQLKNYRKPTLQRAVVRIMVMVPLYAISSLIAIFSLEAAFFIDAIRD LYEAFVIYTFLQLLITYLGGERSLLILLHGRPPIPHPFPVNLFLRPMDVSDPWSLLSL KRGVLQYVQVKPLLVLATVILKVTGKYREGDFAIDSGYTYISIVYNTSICLSLYCLAM FWVAVNNDLKPFRPVPKFLCVKGILFFSFWQSIGISFLVAVKAIKRVGAYTDREHMSL ALVDSLVCFEMPIFAIAHQYAFQASDYIDQQHMYAARLPFIYALRDAFGLKDVWEDTK DTLKGRGVSYQAYEPAEGGLHYGLGRQRRIRAGLRYSKGGKAKYWMPMPGDEARNKGE TGPLSALKRRVDVRLAAREGYAPLLPQQADRVVRDDPNGLENNEWNLFGDSDTSDSDA PSIEFQSVDEEEDLLYERARRIGYAGFPNVDVSKEEAKKRLWEAEEGILAGRWNRSYS NDLLRPPIGSRGNSASSGGSRRSDKSRGQKGKGKEKDDKRKGKKVVYGAWADKVTQVD RKSRTPNGHPTNPSGEGDWLYDGDNERPDVWHHPNNAQAEGGGDQGQQLRWAKKQLHK VVPSKQLRQEDNQSNGNKSPFSIGEEEEDDDPDTDIPVSPASSSKPLPSDAVDLVKDD KEAVERARERELRKGEPQSKAPTHVYKKTLRESQDLKTEGRIQGIQKVYTGNNIEKMN DTKTTRSQAELDVQGEVIERIETSITVSPPKHAMSLDMEDNPWA
I206_02962	MTTSRPRYDSMKSTGSSTWGGRPASPTFSTTTTGSKVNLPENHA LITRKDLRQSISCLEELMAAAKAYRNALLAMSSATAAFASAMEACSRVKGCRSSNSAL AGATGLQYLVSNHEQILADAVYRQFEIPLLEALDHYKLVTADRLVAYEKALHEQSGKI RKTEAENLRHGRRRKRDLQQFRQALAELQRQVDELDSMKAGYHEEVLEGEDEIWDTVL NKVAFVIRSQLDFYEKIAGKASDPILEPLIMSIPDPFDSYGPPKEEGQIFSVLAPLGL LDSNSPQSPTPKLTRTNSSTPGTSTPTRISAPAAMTTSNSTPSKAEPTTEPVMGKIDG WLDSEDTSDRNGRARRELSIIEERDAGSIATKEEEENQDANEDEEEVEDEREDEDGSE GPTESIDGNEPNTTDATGGVNKGEDDAPTLTNGSLIAQ
I206_02964	MGDQSNDNDIIASRQAKLSKALSAAYLNHQIAELESKVKATTLT PLVENKSPVSPKLSPRGLTNGVNNNGVIERDPNDDLGRPDDVEDPLDEENQDWRVVVV DVSALMWAKNAVKRLVGKGLEVIVPLEAIRTLDLLKKGSSSSAVSARQAARYIEHATR FHTLLSSDPSITVQSGTNYKKGRGLRIQREDEFLPVTSMIDELAIPPVDGFSSLSIWV KKVLSCVAYFRRIMDKEFELQEGEEGSLDKEKGPILYVGNPPVFVEVEQSRINEPTPS DYRGNKEDHTARAEGHIILEEAARFDLTLEVLRDDDHEVEASAMSRSGGGKGKDRRKR TDRAKEGGQRKEKDHQIEPPKEVKILLRRPPSVVGAGISDEQPSPESGKSTLPTSGNS PAIPGPQLKPRESITMSIMARPPPPPPSPGRHGPHGPPHRHGMRPPPPHDMPPPPPPP PPHHHRGFRPPPPPPPPFGHRHMRPPPRGPPAMYDFRSPPPSAHHHRPPFSDHGNGHS HGHGHLHGRGGGGGARDRSKGDRDRRSKPNNSNEFVLLQRPESLVRPPIANPGATARI DAPEAIITENGEKLIAKTQGRSAERRKVKEEQPKVVLLRRPG
I206_02965	MSRTTAPRLLNQAIDTVRQPIASSSKRYNSTSTAPASSSYPFNP LAIIRSSTTTSPAPSNLLNPRKGWSIINHLNKSTPKSIYSNLFERRSSERLKTGSVIT VLQYTDFSKKTISPFSGVLMGIKKRGGVDTSFKLRNLVNKIGIEMSFKLNSPLIKEIK IIKKAIGKNGPIKDLRRAKVNYLRDRQNVMTSIASALKASKK
I206_02966	MAQKQRTNQAITLKGSTALVTEFFEYSVNSILYQRGVYPSDDFR MVKKYGLPMLVTADDNLKEYLSTILSQVQEWLLSSSINRLVLAIKSIETGETLERWQF DIHTDESAINNPSLPGGPKQSQGKKKEKTEKEVQGEIREIMKQITSSVTFLPILEEEC TFTLLAYTNDSPEMPVPATWGDADPHLIDRGKVEQVRLRSFSTNVHSLEAMVAYRVGE 
I206_02967	MASNILPLFWPLANSSKDTRLTASASLVSNLENFQQSFVTSKPV TSDEEESDEDDEDEIDDDDESGIEVDVSDDEDAIAREREGGKLDKRLSKDNAEDVVYC VKRLVRGLGSSRESSRLGFAVTLTELLSRIKTVTTTQVLSLLIRNSQYSKNMKGSDER DMMFARLFGLTSVIQSNSLFSVTATSNDFAKVVDELVKLGEAKAWMRESAWWILVGAV QGLLDSQVTWREQALKQTVEKVLDGNSWNQEKVALVLMLEGKVDGIDWKASFAPTFKY TPLLNSHNLVTLGRVLKEASAEDDDSVSTSTSGNWKPQLHFVWNIILEHYFPKKGGKT ETITGEAPFQDFFRVVVDESLFSNTASPQRRYWGFQVFERSLPVLSASSMPLIFTPNF MRCWMNNLSSSDRYLHKAAMQIAKKVQEVTKENSQVGFTLLSQLVGKHGRTDFDKVTK TKTVESIMGSLNVEGTRDYVKYLQDIIVSSDENLDSVRVDERRLWALDQLLALSRNGS VPKDDEWITSVVEFLLVHGFFLIRKANKKSLISALHTIPKPALSEPTAAACRSRLSSC LVELTTASVSQRASDDSKTPRQQGCDISGRLWLRRALDTLSTLEKDSKYVEITAEADE EIVGLRKQALSTLDALEKVKEDRKEIAKGSEIILAFFVLQTYDEVEDALELLENANSA IRQLFDLPSISEDSEEDDHAPIDSLLDVLIALLDKGSNDLRNLANLVFALISTALTEA SMDLLTAQMEQTVADAAAASEGDNDEEDDDDMEEDDDEEEEAEQSEDEEEEEDEEELP EVDPAFRQRVAEALNVAGLGVDTADKDQEEESEEEEYMDDEQMMKIDEQLAEVFRQQA ASTKKTDLKHLQIESLHFKNRILDFFDVYAKKQVSNPLILPVVLALLKLVRGGGSSES ELANKAAGILRSKFNKPKEVPCSIEISRATNILKEIHSMAIKAHSAEFSNLCSLSSLF IVKSIESSSNSNDSKIIEQIYGETLKDFMIRKSSLLHPNFIIEFIKRFPSKAFNLSND LIKYTEEGINTFRQLQAYSMLQIILQHLPSFNKSNIINKKQIEEFIKNSIKAIYKTIE NSNSEENGWNSNKLKDVIKFSLALARTSKNLEMDMNNTWDLTKVQLVGENLKNGEKTK DFKGVQSMWNQLSSILGGKQSNEKKQKTKRKNGEDVEEKMDVDQTETLITESSTGGEA PSKKKKKIDGDKKKVKKVKKSTE
I206_02968	MPLATAETAATAATAATAATMPFSPPNRPALFIFYGTYVEARQR PHRSERPVPDQVTDLIQLSLRLAEMLIVHPTSIVKAAHILAKPGANLDLVCGTIRSIL QQPQFVIVISNEWTKQTFGMHARPQIGAKHVRRNEWTIYVNSWFVERLVDAEAAIDPT DFKRHLFLSAMGMAHEIIHVLRRQVWLLIPHEIDDYDTPSKSTASGVVIEAEAGWEFE EEVLGGRCEGLWLSGNSSDSSSSEPPSTAEQEAQLPSDLSKLDLLGFKTLFSAVAEVD RHQPFDFLAIKRSGRIYDIRDSWLGNLYDAFMSGDINSVPFPPEVDEEPLQPKEVARM RFLRHKRDPSRDIIRSYENQELDA
I206_02969	MSTETTNKMQYVRLGKSGLKVSKIILGCMSYGVKRPKDNWTWVL EEEEALKHLKHAYDKGINTFDTADTYSQGHSERILGKFLKTYDIPRESVVIMTKTYMS WGDEKSYGPAGYVNNSRLSRKRIFAAIKGSLERLGTDYVDVFQCHRFDYETPIEETMQ ALHDIVQAGHVRYLGMSSCYAWQFQLMQQYAIQNKLTPFISMQNYHNAIYREEEREMM PTLKHFGVGCIPWSPLAGGKLCRSLEAKNDTERSQMTSKMYGNLSESDQLIINTIEEI SKERNISMAQVSLAWSLSKDFICAPIIGTTSLDKLDDLLKGLEVDLTNEEIKKIDESY KPKAIFGHS
I206_02970	MSFPLINPTYSIEYTFHQHLINYIATGLSTGKYYRIPSFYSPSK REIENLKSLWINLYSNFKIELINKFKIVVNRRLPNLELENIINNLKINSLNLKDDPNV NVHLYELRSLIPSNLLSSNSLKLLLPNSPQFLIKEKEIEIEKEKVDEQVLKLVKWFKN EYMKWVDPIKCSNCKFETLNIGLDQPNLKEKENGANKVELHKCKNKNCEKIKRFCRYT KIKSLINTREGRCGEWAQLFYVFLRIKGIESRYIWNSEDHVWCEYWSPTLKHWVHVDS CEAATNKPLLYARGWGKKQAFCLAFGAYGAEDVTRAYVDDWNECKQRRRAKGWKEMDL RRALYAHTVSIRLKLPLEERTRLEAMDNLQALWMADEEGQLAESERMDLGGRISGPEN WRAMRDELGLGGKEVKIPKFTILDSLSVHHDKLLQYGNTRLTSKGILLTDGPSQTSSF FNPIPINQHKDIRCKFGFRLTSPQSGEADGIALIFSSQKGLGLGGYGLGYDGLGDSKD FAIEIDTYRTQDHADDPPTPHISLHSPLKAHHQYSLSCTKPGSIPFLSDGKAYNLEIF YQSLEAGGKERRVRGYLHTPDEDILEVLDVRLPERADPDGNAEWFVGISGSCGGLWQK QEILAFELDVVKFDNVSEESVHEQKRKEEVAEIEKDEM
I206_02971	MPDLGLEIEDFQAQTWRIEHWSQQAKRIVGPEFSCGGHKWRILL FPQGNANGQPNDMVSVYLDYANPKTAPEGWHACAQFCLAISNPWDPTIQTSSHAHHRF VAEECDWGFTRFVDLRKLYTADTANGKTRPTIENDEVEITAFVRVLKDPTGVLWHNFV NYDSKKETGHVGLKNQGATCYMNSLLQSLFCTNYFRRAVYQIPTEGDIPSESLALALQ RVFYHLQTSNQPVGTTELTKSFGWKSLDSFMQHDVQEFSRILQDKLEIKMKGTPAEGA IPRLFKGSMKNYIKCIDVDYESSVTEEFYDIQLTIKGIKNLRDSFREYVSVETLDGDN KYMAEGHGLQAAKKGVIFKALPPVLHMQLRRFEYDIEKDALVKINDRHEFPFEIDLAE FLEEGADRSQSHVYKLHGVLVHSGDLHGGHYFALIKPEKDGRWFKFDDDRVTPVTDKE VLEDNYGGDMLNGLIPPHQRTQARTLKKFTNAYMLVYVRETELDTVLAPFTEADTPSH LKARLDAEREQLEAKRREKDEQHLYLTAKVITDEIFSRHQGFDLASFDDKNLPATELP TFRVLKTETFYTFKQRIAHYFKISERDFRLWVLVNRQNKTVRPDVPIHDSENSQTMDH IRNNMAARATDLRLYLDYNPDHAKFNAIHADPNNAPIMIFLKWFDCSRQTLLGQGKVF VNKNNKVSDLLGVIQEKMGWPSSTPIKLYEEIKAGMIEGMKIKQTYQQNEIQDGDVIC YQVDMTDKEVADLEAQSLYSTVPQFYDFLQNRVLVQFKSRNEDTTGKAPDFDLMLSKK MTYDIMAHRVGDYLKHDPLKLRFTSSNPQSGTPKAIIKRSLNQSVADITQTNYYSQHP NVIIYYELLDISIIELETKKSLKVVWTGRHNKEEITHSFLLPKTSTFADVADNLVKAV KIQPGGSGKIRIFDISSSGRSQREYTSSEMIGNLTEPAELYAEEIPLEELEASANGGV EGTKIVNLFHYARDPSRIHGTPCKFVLKEGEPFSETKERLQQRIGVNDKDFAKYKFSL VTSTVFKQPSVVEDNDVLYDHKWAADDALGLDHIDKRPNKVNAEKGIVMR
I206_02972	MGVFDKFKDQFHTSSPSYDFPTSHQPIQLGQNAIFRYRQQRGVN LGSWFSLERWICQNVFRNASQPGQSDFDVASGKDAKRILEEHWDTWIRDEDWKWIKDR GFNSVRIPISYYHLCGPLPEVLKGTDFEPFHHIFEGAWGRIKRAIEMAGEYGLGVLID LHGAAGAQNSDAHAGLSKGKVGFWDKHSNLASTSLALRFLASELCNIPHIVGLELLNE PANNSKLQGWYKSTIEEIRSIVPFDFPLYISDAWDTGHYSSFVGQHDKDFIVLDHHLY RCFTESDKKKSGYQHSKELKENFQIELKNQSEISKRSLIIGEWSASLDSNSFGNISDT EKDSQRREFVKTQLELFENDTSGYWFWTFKKGDGWDAGWSAKDANRAEILPNWVGGKN FKGHPPQGVKERELHEAHNSHTGYWSSHGGSPDPTVFAPGFSQGWDDSILFLSHPSGT SQIGFISQWMNRRKIEYENQSGKKLGKATWEWEHGFKQGVEASLKVCTS
I206_02973	MSNLLELVKRCRALILKLLPVEVELSQISDATSSIITPQVIAAF AKAGGDFGESVPFCLLRAKATFMKEAYANPADYDENLCRAVAAECLARRIVHNLPIDR LESVMSTRYKYRESDGDDSAPISALETAIDQHSTIFLSSSEAQHVVNSLWKGDWVQRN NENMDIDYVPYERSESNRFWDHLNPERLSVPRYQSIFRIIVWFVFLYVYSQSVQSPLD TLDPYRNWDWAEVVLYVMAIAFLVEEITKMFKIIRIANRPMATIGFWTIVNFLTDGLL LTAFALRVVGLSLDSSKDEQAALLHFRSFQVLACVAPLIWMKLLTVFDNYKTVGSLQV VVTRMLRESTIFFILLGIMAVGFVQSMYALDAADGETGGTAIVVNNLIQALLGSPDFD SPSERFGYPFGLIIFYGWNFISSIILVNVLIALFGSAYQDVTDNETDEYLVFFAHKTI DLIRAPDSFVYIAPFNLIEAILIAPLEWVLPKEWYITLNRYVMSTLFFVPLTLIAFFE SQISHSRSQRLRAYFSGPPPDEEGDPKVENPTVEDDSQGEISKVKFEDLVKVFPNTAL TEGALVQKEIKDLRNQMEKLERLLGGQNKEKS
I206_02974	MIRNLRPIIRNVSSSSSSKSQFRLISNSTLRSMATPAVEPSPVR AHSVEELHSLSAEEILKEGTSRKDAQMRHFTVNFGPQHPAAHGVLRLILELNGEEILR ADPHIGLLHRGTEKLIEYKNYTQALPYFDRLDYVSMMTNELSYTLAVEKLLNIEVPER AKWIRTLFGEITRVLNHLMAVLTHAMDVGALTPFLWGFEEREKLMEFYERVSGARMHA AYIRPGGVAFDLPHGMLDDIFKWATQFSSRVDEIEEVVTGNRIWKGRTIGIGTVTAQQ ALDYSFSGVMLRGSGVPWDIRKVAPYDAYDKVEFDIPVGKNGDCYDRYLCRVQEFRES LRIIDQCLNKMPTGAVKVDDHKIVPPPRASMKESMEALIHHFKIFSEGYTVPPGETYS SIEAPKGEMGVYLVSDGSNRPYKCKIRAPGFAHLQGSDFMMRHQFLPDAVAIIGTMDL VFGEVDR
I206_02975	MSHIKTLVELDPPQWDSVTDGHLGKLLIPRASGSENNTLVQNYI SSVFTNLGWHEEKTPFRGTTPIGEIDFTNLIYTYDPDAPRKIILAAHFDSKWFPDFPA NQFIGATDSAAPVAMILHLAEFLTPLLNNRKERILSGLGILKNDFDEEEIAETTIQIV LFDGEEAFKDWTATDSIYGARHLAELWSKTYLKSTHPLNKNKKRKFEPEPTILNTIDH LILLDLLGNKHSMIYSYFRETDWLHSKLIKIDQNLKNQNLIEIEKDENEWFSKIKLRG GIGDDHLPFLHRGVSILHIISNPFPSVWHTLGDDSTILSLPALRRWNRILRIFTCEYL GLTPNLIISTTTTTTNRLQSKKTIDELVSLKKIILTDLYDIILFGWTDDSNLRIVDDN K
I206_02976	MSRVHDLIYNTFFKRNSAFVATCFVGAFAFQISFDLGTTAWWDY HNRGRYRYSRTYDRIGELESV
I206_02977	MLTPCVCFTSQNLSRTKSGEKQSKSPQPPNIKPQPPVPINSNSP GPSSPSLSSPARNNNSPYHLSGSSGAGSGGGGGGELQTGAPLTPRRSPVSDKTSPAPP LVVISGAPQQAPANTEMPADPIPHSPHSRGFGSPDRSLGPDGQPTPPKAGPLNRLRGG PKDTIPILGKTPRKQRSSRFYVTEKVDIEKLPNFSDVRPEERNELFVQKLQQCRVVFD FNDASSELKGKQVKAQTLHEMLEYITTSRGVITEQIYPEVVGMFATNLFRSIPPQVNP TGDAFDPEEDEPVLELAWPHLQIVYEFFLRFVESPDFNTNIGKKYIDQSFVLQLLELF DSEDPRERDFLKTTLHRIYGKFLNLRAFIRRSISHVFFQFVYETERHNGIAELLEILG SIINGFALPLKDEHKTFLTRALIPLHKAKSLALYHPQLAYCVVQFLEKDPSLTEEVIL GLLRYWPKVNSPKEVMFLNEVEEILDVIEQNEFTKIMSPLFLQLARCINSPHFQVAER ALYYWNNEYIVNLMGEHITIILPIVFPALYQNSRSHWNRTIHGMVYNALKLFMEINNE VFEEVQSNYKAQRKADAERAIERHDEWIRLREQAIENHKASGSTQPLPASLTEPLPPR PEPYEDEPMADVSVDMTANGFDPSESFTLDRSIGEEHVPLADPGVDRAPMSPTSPVGL GIQSQQPLSPTPLGPAANTGLGGGPPASSAGGLSTAGGPHIRRKSVLPMDPGVMRDLQ AHKSLEGQQ
I206_02978	MRLPYNRPWLIELADHSSCPHSIREGVQKMLTFMWLNRIFPFQN QAPYILASNVLERVINEIEFEDQQKQQIETETVTGTKTGRRIDENSNTPSYADVVING NGQDHINNTKKNEKSQLNIIDFCSGAGGPLKKIEKKINKNRKSKLLNPIKFILSDLNP PIDNWKDNYDSIKSLKNNSISYIPYSVDATNTNSFLSIEGEENNILNERHLRTFFLSF HHFNEELARGVLVDAMRNSEGICIFELQQCNLRSMIMIAMLGPLTWLLTPLSWPSLSI LIFTYIIPIIPLFLIIDGWISVYRTRSISHILRLTNLASLTIQLENQNFNKFNNNDKE NEQNQTDQTESEIEIEWKWEFGKIKHTWPWGYMTYIIGRKKIIQDDEEEEEYDDRETE FGGSHLSE
I206_02979	MSNSPCTLTLDDGTHYDLSPLGSAKSDYIAQVGETSYNLNICRG VVGELHAVEEPDTVGGFVRKDDGDFILGRVHTNLTMSPMTNEPMYVITDGSSCPLNPD AKASTAIRFICSPSDFNAGTPVLVATLPPQDPCHFYFEWRSHLACPTNVKSSLDTGHY IAFGAILAIAIITWFGGHTLYNRYYLKRSGLSQFPIPSFSFPKIALPKTGRSTENTSG NGPRWGAWRRRSNRSGYTGIRADEHEEEGFAGRFSLDDDELDDDAEDLTGVGNEANAW RSHANGSSVNANGDSSGKGKNVGVHQGLVDI
I206_02980	MSLNMRAARSFCSIISRSSLARPATARRLSQTSYRALASEAPKD PLADPAFKAFQEKVKNHEGAINAIMALGEVMKQKGFDTTKQPSMTQMAKMAMDSDLRT AAQTLMSELQKAGVDPKEAMEMFQKAQSGQL
I206_02981	MSSSGDQTSTRQGLSGQVLNVEDSGYSAEELDEAFRQARQNQNT GSSQRSTRLSGQTTAQTCGDMIVLGLGLTVIPLGSASPIDSGMSATEQIVKRDDHPLW LLQPKPVDILADKFKDDWLLAAAAALANTYPEKIQVLFSNVTDTKSETDNVDETMVKV WNNEEWQKWNNLPDDQKGGGPSSKEHKVVYSNITADYSGANQYWWIAALENAFIQEGG YDGITSNGFVKGDPHIALKMMTGLSAKLYNANNTQKNDLWYELRKSARRPTCVKIQWP EDADNDNENLWNAVLRVEPKGEYGTVYMFNSKIASEAAIEFEDLVEGIKYYVHQEEP
I206_02982	MTSLSIPTRSSIFSFRPSFNTIRPSWSLQCIASSSSTSFNQLSP VAPIEIPSTTTRTSSWISIFPSFSIESILELIPPIVWASVPKKKTSHSKKSMRSSNKG LKNRTNLSLCEACGSIKLTHHICPTCYSQISRRWKREARGELPLGAAREPTIEQQPSA QP
I206_02983	MKPESSSYQLRDAIHEVIHKRQNDIDEIIPKHADSLKELYLMLE PRRNSRNEFPPIAEEDSLDNEKVNQGYEEWKERYKLGEGSTILGLRLPSLPPLSPPAT STVFPDEHIQDEQAVASSSRSPLNSKSPSGLASSSVQRPRSPIRSPKSSKPFVPLLVS PSPTIDGSEPPIGVSMDEIQPRPRFIPSTSFAPVPKKEVPEWIPVDNYRPADLPGTTP KIFMPFETDYTSQLPKLPPLPQQPRPQNGPAKKKIKLNDSGVDLSKLQAQYTLNPISG SLSKSAKCVLTNDWKIALSEMRHVRAMERIETKKNEGRWSLRQPKKLRGPNVPKSHWD YLLEEMEWMRVDFYEERKWRIVQAREFAYQVVEWHLSSEEEKAQSMVGRRGWGKSRDV AIPGYSERSDGPHTQLVGTERDDHPIVNTASTEDDDVEMLIGQEEDLSAEEGAAGAEV LETMADMNKQEETEPEVKKEAEDIEMDQDVDADGEEDADADGEPDDGGTADADGEEED AEGEEDADGEADDVGEDVVGLDDIDNADDSDRDASEVRRDTVLPNGLVINKRFSSVEE LVATRKPLLDLSFTAATVDLESLPQLTTSTSTTDEPAEASTPPSLVDLFPDLVVYQGP APPNEDKPTRRLDEGYTSAHRIAHTSRIMDVRPILVSSLQPAKNAPDGVWDIHEGPYF EDPKGSTDVNPTVVAATSSVFYGRGIRPLESMKPPEQTKPASQHLRTQLVWSDEDDEH LLKLVATYPFHWQLIADSFNSEIVTIPTEKRSAYECWERWYYTYGEGKDKLKKAEQQA QAQAATTAPVSAAPTPSANPTVNATPIMATASGTNTPAPLPPNSAVPVSAPTVAAASK PGNQTPQPAAAAPAQTPNTGISVPSHPNSAQAPTTNTAGPSAPQPGTAGTGTETPLPD GAPPPPGMSKRDRQAAKPKYEGSKKSIRYQAIYDAAKRMNRRREANKSKTGVKDQPKK VINVHESHASYLLSSISTPWELVETKYQRDLQAMQQRQQRAMQEQQRAMAMRQQMIQQ QQAAAQGQAQGQVQNQQIPQGQQQQPPQQQQQQQQQQQQMQQSQPGQPQVQQAQQVQN QGQMRPPAPVRMANGQPMPNMAPSQQQLLNAVVAANAVNRQNVNGAQPQQGNIRPQPQ QGQGQQQTAQVQQQMQLLQAQQIAAQQAQLRAAQQAQVQVQGGRQVSGGSPFTQSTPI PDGSPAMNQQSTQNQRVISGGNVNVPQHLRVASTGSQGSPQMTNQPLIQNVQQQNPSP QINNAAVQQIIAQLAANGQQATPEQIRALMMRNAQNQAAQAQAAAQGQGQSGTPQMTA QGVQHFARSPSIQNAQIQNRNSPKPNQG
I206_02984	MSAPTEMITPMPSLSSSPTPSPEVSRLPTPVPNGHLSPQSIPSN LVDRSSPSLSDMTSSTPRAGSPEPAPSISMPRTNQYDARGSLPNTLLAVAVIAATLGV VIGSSLSFAGRDIWDKIAGDWARPQLGIYLAAIATFHLFEFYTTAGWNPLKVSVDAFL LNNTKQYPIAHALGLLEYFVASIFFPSRFDSKRNSSPYLTIITVVMVGAQIIRSLAMI QAAQSFSHVVKSKKHDDHTLVTHGLYSWSRHPAYTGFFYWAVFSQLLLGNVFCTIGFV VVLSRFFSHRIKDEERWLIRFFGNDYVQYRNKVGTKLPFYFSST
I206_02985	MAKRTSDAADLPPPKSTAKGQGTARPPIVDEEMGEFEDKWEDEY ESEGEVIDAEAEAEGDEDFTPAQEDSAPPPEPTKTYLPGTAIESDEHLVPDNSVYLAL HSLSYSWPCLSFDVLKDTQGSDRATFPHTAWIVTGTQAGEVPGQGSKAKDEVVIMKLG NLAKTQHDDEDDSDEEEEDDENANDEDATLDFLTIPHIGSVNRIRASPTSEILGSLPD PYHVATFSETGKVHIFDVRPYIDTLSGPNSNSNLNSNKPKSKLPVHTITNHGRSEGFA IEWGKTGLLTGDIDKKIYLTTLTPTGFNTSTQPYLSHTSSIEDLQWSPNESTVFASAS ADKTVRVWDIRTKNRKSVVSVQAHKEDVNVISWNKGVDYLLVSGGDDGELNVWDLRMF NKGQPKPVANFAWHKGSITSVEWNPNDNSVFGASGSDDQLTLWDLSVEPDEDESPIIV KSSNGSEIQNVPSQLLFVHQGQKDTKEIHWHNQIPGMVLSTASDGFNVFKTISC
I206_02986	MSIRTSSSSIRRTFVTSSRAFIESPPAISTQTGFSPLRDLLNST SSSSSKLTSEPISDSSISQFNVHNIPPKADPTLDLFTNCLMKDGKRDEAQKMVSRILT MLHQSTNLSPQPLLKQAIELSSPSIKILSMRKSAKTILTPRALTERQRTRQGISWLLK ASEKGRKGLISRDQRISKEILSILEGNSDVFKWLDDRHKTAYLNRLVLQFYHLFALFP LLSLINNYLCRSNMTAR
I206_02987	MSAQDFEFEPIDLPENIERELEIEEGNKNVRHNRFYVQETETYL NHLRPRVKGIEQFWLTTLLNHTQIAAAATSKEDQHALSFLEDVELVQDVNDFRPFELK FHFKENPYFSNTVLSKKYSLPKGVEPAPKDGSVTDELRKFEGTDDLVPGSVKIDWKSD EVNLPKKQPRVVQRADDDEDEDGFEGDLGSFFIFFETDEDVLQLGDAIRSEILPDAFA YFQDRGDSSPGQEFGLDSDEEDDELDEDSDDDENAEIDLEDEEDEKPKKKRKFGKNGG GGAQM
I206_02988	MRPIIPLRSLHPLSRHSIIRPIPIPITLTIRYNSNSVRNQNEST VFSSKSNPRTTYDSPETSRGMPPPSSGYQRPFNNQQSKKEGELPKNNEEAFKGPSRPR LIYERPGDKDLPKITNKLPYVIALGLLGLGWGLFLLHATNAERLSSSVLRQVTFQLRN SKEAIAVLGENVRLVENWWALRQPWISGTINLMQGRVDLSFRVKGDKCAGTVYFTSIR PQEQGAWRIGKLLTSSYIDQTSLILLLY
I206_02989	MSIRTTSILPLLSSRSSIPLHPLCIRYFSTTSSILSATEPARPP HKHIANPKFVTDRPVKLTHKPVFPAPHNPFAPPPAQKTYNDLTLRLLRRVNKLLGYNR RRRTTARETGRMMKGIVEAVEADNEFWYGECDLPRTFHTFFTIHYLYVLITLIRLRSL PNYIPNPLSPLPQSAYPGLPGTTTPIKKPSIIDTLDNFGCYFSRIKQHDYRYQQTLLT HYFNIVENEIRLMLGVEITREGETMKRLREYQERGNFAKISLDYVLSLTNSSENTSEN SSENENLEKKKDKELSDCELSSFIWRFIFARRGTGKNLLGELIYPENENMKKDKELEM SEQIELIVKFIRRELNRLDQISDRDIIAGNVGIFGKVRQ
I206_02990	MDLATVKASVKAWEKSFRAREGRNPEKEDIKSDKSDIASQYALY RKLTKATASSQHSSSSSSRRLSSHSLQLPSSSTAAPSSSSSSQYRSTPRNVQISEYPT TPTPPARRVNGLSFGNSQAGPSRSISNGSSATNGSEVTEKSLKRKASKSILSNSSPPQ PSTSSITSTSRTLFSTPKKKGYIGPIHDPNPINPFTTSSPSKSPFPTSNGLQREKSFS SPFIHASSPKKLKEVLEANSLSKIKERNNVISEITPRTRARKRLKGEQVDDTPLKEKL PKRRRGQGKSEEPLEITAIEEERYFDPTRGIFDEENLEDEEEDELGPSPMKPLGQGKG FTSLFREAEVRRNELAHSRIPINGSSLNTKTRINEKVSSSKVKDDQKDVKQNGIASFF NRTAKSATSSKTLVQKEDKMNSPVDKDVPSIQVESPPVLKYTPSTEEVPSLEETVPRL DLPKSPAKTPSTSQRRRDKVLNLNDDDDDEDGIGQIRIVPTRRQIKKRNNFISDDSGS DDNKSQYQIENYEHEFEKENQDELIDIQEEKERNDQLNSIITFSSDDDDDENKEIQIP SIKLLSINSSPFKIKKIKTKAFKNKEKLKELKIKAIFNPLIASSELKAFKKGQNIEFT GQSRLKDDNFKIENDLIDKFHYNQKDNFNNNNSNADDNDNDNDDNDNEEEDDDWESES DGWKREEIEEDW
I206_02991	MSIHLIKRSSRLTLLARSSSSSSRVFSSSAYLLRPHATSPTHSS TTAVQPHPNPPSTSFHPEKSSIFTPLDTFLRRHNGPRASDVESMLSTLGYKSMDAFID DTIPQAIRVDKLSDKESSKTGIRPFSELELARRVEEVAGLNKPMKSYIGMGYHNAIVP PVIQRNVLENPAWYTAYTPYSPEQSQGRLESLINFQTVTTTLTGLPIANASLLDEATA AGEAMAMCLANVPKNKLAKGKKSFLVSPSVAPQTIAVLQTRASGFGIELIIAESNESF LKEIEQLGEDKLMGALVQYPDVNGAIGDWAEIAAKVKSLGAKTVVTTDLLALTMLKPP GEWGADIVCGNSQRFGVPVGYGGPHAAFFACTDDLKRKMPGRLVGLSKDSRGAPAYRL ALQTREQHIRREKATSNVCTAQALLANMTAMYAVYHGPEGLRQIAGKVHSLTRILSES LAALGFAVVNKTFFDTLTIDVASAGFSATQVHAESVKSSINFRQIDDKTIGVTLDESV GPLDLTDIVNVFYRVKGQKEIQPGQLQELASKLELTSESVKSPISHHARTSDFMTQPV FNKHHSETHMLRYMMHLQEKDYSLVHGMIPLGSCTMKLNSTSSMVPLSRKEFGGIHPF APKDQVKGYEVLIKELEEDLSLVTGYDATSVQPNSGASGEYAGLKVIQAYHESQGQGH RDVCLIPLSAHGTNPASAAMIGYKVVPIKALDDGSLDLKDLKEKAEKHKDNLAAFMVT YPSTFGVFEEGIEEANKIVHDNGGQVYVDGANCNSLVGLTSLGRVGGDVSHTNLHKTF SIPHGGGGPGVGPISVKSHLAPFLPTHPIITTGGSKAIPAVSAAPYGSASINTISWAY IKMLGGEGLTEVSKIALLNANYIAERLRPYYNVRFSNKNGRVAHECLVDLGEFEKSAG LKVSDFSKRLQDYSFHPPTAQWPISTCWLIEPTESESKAELDRFIDALISIRKEVDEI TSGEQPKDNNVFKNAPHPLNILVDDKWDKPYSREKAVFPVPALRKTKFWPSVGRVDDA AGDLNLICECGSVEEYA
I206_02992	MSSTATDPAYKAISNINFTGGFPTSADLAPSIVFLILYALTVPV LLWRWFRKSDRTTLLIRPTIFQACRIGMLVIRAYMSKNTYGAGLLIAELVLVSIGFLF LIDPVSECWKTQVASHMPKQEQPGWILRLSWLIKILILVAIATALGGSVMISSAIDNP SKLDTVKHLRQTSTVISFVAVIVIALAAILTHYQYPIDLRGTIYIMTVAACLTIVSVY RLVQTFSNNPNDAVRSRAAFWILQMTFEFFAFVLLIGISLPTWFPGEKGRLSKVTSDE EMARIPQTQQHQAQFQQYPQQSQ
I206_02993	MNEHHDASTEDTRTTGSNEHEEANCESSSSKLKKLDIVKSAFTL GAPISNDKGSIEDDAIRREYKQLGIDNPDELERRKKAAIVIQKYYRGHSDRQRVKRMR LKRDARWDDLIKQTGEATYTEGQLDNKNDVKSRWRRAVHAASRLEKGEGIYNPPIHLT EEIPYNELSDKIKKQRKATFLGSLSIPISINNKKQRDENEILPFNSKSLEQQHWLEMI DGKHRYGSNMKYYFKKWKEDETTCDNFFRWLDRGEGKYLNLEEMPRERLEKERIIYLS AEKRLNYLIKVDKDGLIRWARNNELVDTAAGKWKDSGNGNGIIPENNISDDEKGQDSN TKNSYASTSTTPWKQRSSGTSSTDSLSSDNYSAESDLDDNEDTHYIGLDKEQEKGWLV RNKQKLTPGGMRKELLRKTVRRNTWIYVSDMKLNLFIGIKKSGTFQHSSFLAGGKVTS AGLIVVKHGFIQSLNPLSGHYRSSIDHFRSFIGQLEERGVDLSHVKMAKSVLLLWGLS KYSKFTKCEKSLMNHFKKVLNLSSESTEEEKSEKLKENAEIEEKEHQERIKNLYKAEK ESGYIKKKEIGEDYEAEIRQLRRRVLYGKEKEYDM
I206_02994	MVSTRSKGNPGVDPNVDNHAGEKRSLPPSSNGKSTKKAKKEQEL AEKKEFEIAVQGEPTSETKKEEITGEVGTKDEINQAEKESKDESSKQDSAIDENTVES REDEVKREDKKEIEAGQINDDEPRHGTLESGHIYFLYRPKVETDEAESLDDISKFHIL LIPQSGPHSKSHYHRIIEIGKKKLPDPHAKHQVIWGLVSDVGNDKSTLKESFGAYTYE TKTRGTRHQGAARPAARGHYILHSPRDELADSPDHNRQRDYKSLLVYEITTPAHEDFG NVQKELSIEEKGAIVLQVKDPDAESRGNPRAAGIPKDKRAQYPKELLNIFRGRRFIPA NPVSLLDYKGAELLIITSPHELHDSLGKNGEKIEKDLDHNAKIEKVDIDDSLKELGLN KQDFPEDALEGNWI
I206_02995	MHIPLITNLATSILPLLFSSSSSLDTLESPAITIRPIHAHIHKY NSTSSTLYFHNTSSEASFYARDYPLSTFNADVLSDIPSLGPDDLTIRTIKTTIRRPRV KPPTIFSWIMSHRKVNNGIIPFGLNSSEEIWIAPDMNSDDGDWEDVEVIAPDIKDRQT LITLAKITSNAYVLPDSGEWWPVGKFNNHTVPFGWDDNADGLRGHIFADPKNETVIIS MKGTSAGVLGSGGPTAKNDKFNDNLLFSCCCARVDFSWTPVCDCYAGGWRCEQTCLED ALVNESVYATVGTNLYNNVTYMYPNATIWLVGHSLGGSLSALVGLSFGAPAVTFEAPG DKQAASRLHLPLPPGMPAEKTAITHVYHTADPIPNGACNGAYSGCYAAGFALESKCHT GQTILYDTVTVKGWAVDIRTHRITDIIDKVLVDPWPEVDKPKKPSTQPSLFSMNGGWD LGLGGQWWGWGRKGPGKDKVPNDDGGEGEEDDGDWEKHGGVPQAEAEDDCVDCFKWEF GDGWNNDPKKKAQELSIAEARRKNSKRPETG
I206_02996	MARPRRKNRTHLKGPAKGETEENVPKSFVIKSGVVTKSITQLVR DTRKIMEPHTATRLRERPNARLRDYLTIAPSLKVTHLMAFTLTDAANVHMRVARFPQG PTLTFRVNKYSLMKDLVNSSLRNVGKSPGGEYRNPPLLVMNSFQQPQNGPALPQLRLM STMFQGLFPPIQVEKSALPTFRRVLLLSYSHQSGCISFRHFTITVRPHGVSRRVRKLL STTGTVKVSRKQPNLSNTEDIADYLLKRAGSEASTAAGYDSMSETEASEGESDTNAVE LPEDYVGRGNKKGERKAVRLIETGPRMELKLIKVVEGLVGSKKGEGETVFHEFVQKSK SEALSMQESHERRREQKEARRLEQAANVARKKAENEKKKNSKSIKGENNQDDNEDEDE DESNDDELDVEGLSDIDPEEELQRLRERKIKFAEKDENDDDEEEDDDFEYEDKFGVQQ EENDEWNEDIGAEDVSSDEEDEEDEEDINQSSESESENEVKPPPKKSKSGGKR
I206_02997	MSQSRSHQSLTQGDLDVLSFLTSDSSTLGPLPSTSQTQGQQKKS LPRRDSPMDALMISAVIAGSEKISRHHFGHGARTGAYTSCDESRPSSPAPQLQRLALR STQAPRSERLRLERESKLKVAGEQGQGSSSAIPIPQAQNRHDTISPLSNSVGKDVGSS RSKRKMSMDPTPMSQTLQLASPSASNYFHPTHSSLSIVPSSSTSRPKVKCMARTRIPT PHGELFLHIYHNSVDTKEHLAIVIDPIQLDPKAKKAAPKGRKEIRSISLDSVWREGET EMERLVRGAYTGRLLPGQTESNPSQEDIEMADNDAAQANGNEVEEDVKPLVRIHSECY TGETIGSMRCDCGEQLDEALRQIALPQELKLQITSQFQQHAHLSHLREDLLPTPDPSR SSSPSNNLGKFVPGRGVVIYLRQEGRGIGLLEKIRAYNLQDLGNDTVTANLLLGHGAD ERKYDIAAEMLKDLGLKENGIRLLTNNPEKVIGLQNEGIKIIERVGMTPRDWQCFTQN ENNNNNNNNNEEEEQKKEKEFNDWRERRAGVGLIGAGSAKGIELEKYLRTKVERMGHS ELYIKYL
I206_02998	MSTTWTNILNDLNRDVARDQPKDVIQWGADWFQGKLRQERQNSK PSSTGRKGAPGSLGFSAPGVASDLGPHALSPFSEQGPADSPFGAGGPRRATVPTGSNP QQQHQPIFSPSFGSPGPGTDNSPFSESASSFPPSSGAPTFNSSPFGAINNTINEEAHD EPPIPSYALGRRTSVSAESLDEATPNPNSNNNAIPSFPKTEEQLQRIRQAIKPNFLFR NLDEEQETDVLAAMKETTISAGEMIIEQGAAGDYFYIVESGELDIFVKKDGQVIDPEK GDRPLLGKKVATCKEGSSFGELALMHNAPRAASILSITPCTLWALDRVSFRTILLDHT SRKRRLYESFLSEVQILQSLQPQERAKIADVLESRTFNQGEDVIKQGEAGDEFFLIES GNAIAIKRGEDGRESVVKRYNKGDYFGELALLNKQTRAATIRADGSGPLRVAFLGEQA FTRLLGPVKDIMARSVNEIYGFSTR
I206_02999	MSTESDEELASIGQSISLNDGHTSTTSELPKNALDILTNGSESM IPSDRPLIVGSSKSGFGEQSRKSSSSEDSDNDSVCESLEKKIHAVSYQVATLLDRIYE IQELRHLSVPSTSIEQSAPSRIDGLLSSLSDSTLLLRPQITSLSSVVALYAGPHTKEL RDGMEEVMEDWKKVEDQQRWLLEEMKEDGWLIRFRTTADQAEAMMEPLQKSLMECQAY VKKITDHPSHETLQSGFDDRPSIEKLRKLTKSHESMTRTYVPSINKILKMMDKSISDR PIKNGESLRRFSEMSQRWSSLQKQLQQLHARTQFTISHHQAEIEFLDDGDDVELLADV TSPYSSNDSRSDYFGQTTNKSRESTSSSYGSSRTRLSYDNNSPRGHQSSVVSTASNRS PRQALPRTHTSPSVKANQGSTLSPESAMKPLPLRRRTSILSAGSSSTARNPQAERPRW NSSPKVPTETNTTQTPNMTRRLGALPRSVSPAPSSTSMTSTMSRRMSRIPVASPTAKF PGYASPCRRSDDEVSLPGLTVSNSQSRTLLAEPSSVNRNQHHLEKARMGLMTPEPPRP RPSSTFSSFSRGANTPTMGNRTVSSGSTPRTATVGRVSMGGSRGAPPSAFRITSPTPS GNVNGNSISRPSSRISLMSFSNFNNINVEDLKEFIPSKYDLLDQEINLLLNDIGFKLF VSRLDEPLKRGQRKNENEEWKGEFIFGPPEREKSNSVKLLNIAGIKSGTARRTKCLIR HKGQWVDLRMELERRTREYSDYLEDDETF
I206_03000	MSSPPTSPPAAKRQRLESTLEGNIAPSSPSTSTQVNGHTNGSSS SAPIATSAPSLPVASSSNLKEESDDEEEEEEEVGIKNEEDDGSHRDMYLDTISRQNLD FDFERLCSKSLSNINVYACLVCGKYFQGRGRGSWAYRHAVGENHRVWLNLSTEKFYVL PEGYLVSDPSLNDIIQVLNPRYDNKDIEKLSNGLTKLSYTLNNQSYRPGYIGINNIKS NDYLNVIIQLLLHIPPIRNFFLLELESDLTKKENKSTELVKRLSTLTKRLWNNNLFKS QISPHDFLQEVNKRSNGKFKTTEQGDPVEFLSWLINTLHKDLGGNKKSNSSIIYKSFQ GKVQIQTQQVIIHKEYSRPVFDIGRDTQTISSPFLFLALDLPPTPLFTDVNEKKIIPQ VPLSTILAKFDGKTTQEFGPTLKRHHLTRLPPYLILHIKRFTKNNFVSERNPTIVNFP LKGVDVSDYVDPKPTDPMYTQYDLLSNVTLDTTKSSTETSGLGPGITAKKKKQSNGSD ENSLNWKIHIKAGKSNNNHSNSNFDEEQQGEKWYEMQDLRVENVTPEIVFLGETVIQV WERRDLS
I206_03001	MVYDPIRDCEVPSPSVVKGDPFKYPTPPIAGYDRDDVSSNGRPS LSQQHSLSYSPPPGGSIGSGPGPSSLRGLLNDGPPPESRRGSERTASVSSIPEEGEDS GSRTHINRLLNNTSTQPISKTNSNSSLPRSSPSNQSPGSRQHMLDPNGFLTPATPASA YPRSRSATSKSPHPGMSPQKPTVPLPQTPIQMHPYGQVPYPYDQGYPVQYGEPSQPHQ RQISGPLSGHGQTHQRPMLPPQQPIHPHEMYPYEQRTPGGGGYPPLPVRSPSISVSPR SQHQSLPYTSSRPGSASSSSHPFGYQPHPQSQQSYPAASPSTSTRRLSEDQPRPTSSS SAGGRRYTDSIVAGGPMPIRRSSQNSSSAYPAPRLTPIRSPSPIVRVVPYNPKRISQS TSIQNPIEADELAYYKDKSVQNNPLRRKRKAQKPLPSWSGPSPGPKTSAYPTEEGNSY FPPQEEDRYHRSQSYVDDRASIGRPSVTPTPGSAYGGGQHGFYPPAFEEPPTPGNRGQ LQRGRRPVGSDYDGGNQNRLKRASDRDEDYYGQGNDLQRRKVSETHYVGNNAAVADHY NSRPEVGVEGREFSPIIGLKKFNNWIKSVLIGKFAHRPQGKVLDIGCGKGGDLNKWKQ ARIMLYVGIDIAETSVQQAAERYQRMQGRFDGFFFAYDCYSKPLGEILPEQLQQKELY DNVTMQFCMHYAFESVSKVRMMIENVSRYLRKGGTFIGTIPNAELLLSKLNELSEDDE ELKFGNSCYFVQFNEKKHKGIYGHQYRFYLMDAVEDVPEYVVDWENFVNLAMEYKLKL IYKKPFHDILQEEKESRDFGPLLGKMGVINNNGESSMNEDQWEAANLYMGFAFEKI
I206_03002	MVSANAVAGPSRTRGQDTWFPTKYLEPNHPDNEEVHEQAAYQAA VTRQGGDDRKRKIKPRRAVDYQGGVIKWRMLTKMKGIKEYRPPIHPNPSDIVNLLPAA ALRLNPSTSICDQWVHTSINKERSPTRVVQWTPDARRLLTGNDKGQFTLWNGYSFNYE SITQVHDDSIRSFTYSHNGQALVSTDKLGMIKYFTPHLTNIHGFQGHREACHGVSWSP NDERFVSGGDDGLVKIWSYREAREEKVLSGHGWDVRCVDWHPTKGLIVSGSKDMLVKF WDPRTGKDLSTLHSHKSTINTCVWSPEGHLVATAGGDAVIRLFDIRTFRELDAMKGHT KEINCLQWHPIHHSLFTSGDSAGTINHYSLTSPTPSEPITSLASAHEDAVFSLSYHPL GHLLCSGSKDFTARFWQRARPAGGHENDRWHLGEEKAMLSKMELESGSGWAGMKKDNQ PDEKDNNATSAGLPGLSNLVAAVNNASSALNGLNNEQTSNGLPGLGAYTSNTQPTTTN PLPIPFSGNGNGNGPQRTHSPAHSQGMDGHGGGRIRAPLPPQGEMLRQINVPDGGRFD NNQGRRGGQGGRYGNERGQRGGGGGGQSGPGGFGGAGNNGGGSYGGPPMSSGYGGPVQ STNQGGFNGPPPPQPQNGYGPPAGYGQPPIGQGFVPPPQGYGGPHPPTRNGYGTPPLP QQHNSGRAPLPQGYPAQGFGGGNGWR
I206_03003	MVRLITHNMLACHVKNCTKDNFPLSFSEVELVIRPTEENLDFLK RFLPKLDWSALVHTARSLGDESLPEEMPEISSWTEEQLKALHHVLMEIHVEEGNMICK GCGHVYPISNGIPNMLLAEHEVGR
I206_03004	MSEFKDDLEKTGGASAAQIHKIRITLTSRNVKPLEKFSTDLINR AKDRDLKVKGPVRLPTKVLKHTTRKSPCGEGSKTWDRYEMRIHKRLIDLNSSADVVKQ ITSLTLEPGVEVEVTIAG
I206_03005	MSSKTYDTIIIGAGWSGSVAAKQLTEKGHSVLIIEARDRIGGRA RTYVDGNDKIDLGCSWIHGYNEGNPARNIAKELGVNAHLPKPFEGIIYGPNGPLSSEQ AASLRSSLSSAQEAFKLPHPSPPSSASLASALFGESSPLFKSSSTSSSDPSTTDTTST SPANPSEATSNSKAGYDKTLAEGLARTLEIPLGLRLEKASLKWAGWESTTCFAGSDAA PEGGYQELVKKLIESSKAEIKLSQKVIEIKQLENENVIKIKTNQEEFKAKTIISTIPL GVLKNLSKDFFKPNLSNKLIEIINQTNVGILEKLLLKYEKAWWPNSSFIGSYTFLPIN SNTILTENSNLEEIFNGSTLVTSNLNCPSLPNSSPILLTYLSETPAKLLLNFSKEKVI KAFHKFLIKRFNLSNSNEIEISEPINGELTNWLKDEFSFGATTTPSIISENDERSPMD FKELSKPIWKGKLGFAGEHTEMEHRGSVAGAVISGFREAERVDRLLNLIKG
I206_03006	MTVTQRRVRNDAKSDDRPVTEPGIKYTCDFCHVDITHTVRIKCA MKECEEVDLCPQCFCEGKEGLRHKAWHDYKVVEQHSQPIFSPDWGADEELLLVSGLIS NGLGNWLEVAQHVGTRTKEECEKHYLETYLVLMRLSQPMDKSFEVDPDEFQARKKARI EEMRKPHALPPPNAAPLVSAPTNHEVAGFMPGRLEFEHEVDNEAEMAVKDMEFGLVWK YGGDVQPEAKVTKPPDEEEDEEEEDEEEDEKKKKQQSNGDDAQKHSDRVKSDVEHEDE SEQPVAGPSSSSPTKEKETPKKDKGKGKAIGPPLPDIEDEDELEVKLAMLDIYFSKLD KRMDAKEILFDRGLTEHKKIQAAERKRPKDEREFIQRYKVFAKFQTAQDFEVLIEGLI YEQNLRKRIAELQEYRRMGITTNAEAEAYDAAKAARAGYRPLVQRDRPDIPVSGARVN AGQHRFLHGGMGTPPPGDPKSREPTPRVIPMNVGRKPPAPLNLANAASLDLLSVEEQS LCSSLRVLPKPYLTIKELYIRENERRHGLLKRRDARRMLKIDVNKSGKIFDYLVHSGI LRLKYDPNQPQHPHPHKQLDIINLNEDDNHHFTQNIHINGNGIGLGGVIVDEIRLNGL 
I206_03007	MYWYISFLRPPPVSTSSSTKEIIITPQIANDLRTELRYEPTLIH YTWQRISPSVSPPNKSQELTTFIPPQSTYKPIHIPLPDNVQIGESWRLGLFSPSITNT GTKKLNEPSSSLLQLCEDEVDILGVWSEGINIIRSDFNPSTSGVVKGINSKNQSNKIK DKRKGKEKYDIQKQGRITREFKLSKSEDNDNEEEEEGIKNKLKIIEQTSFDLDKKIWD SGLALSSWFWKYLEPNRNLHTRQLAIDILNLISRKEDLNILEIGSGTGLVSIALTLAF KKYLSNHKRLIIATDLDTAIPLMNENLEYNNLKPFLNSQLDENDIKSNTIIQAKILDW DKELPNWLFNSWPELIVAADVTYNTSTFPSLLRTLISLFTSNSLIKPLLILAYKQRDP AERELWNMLSSNGIQMIMIDKIQGTQDEGETELWVGKLI
I206_03008	MPLVDYDSSGSEDEVQDHHDIPAENLTRRALEGELKNRSNKKQK TLPSLPETFATGSKDDPSLHQGRKRTRPYVDGEYNAHVYLSLSIPSSLRKVLEEILTS LQDQIPNHEIYSLVSSLHISLTNSLPLRRHQIIPFRNELTNRLKSIGKFKLSFTSEIK VYYNRLTSGEEYSGGRAFLALRVGAGAFELDNILNKIIHPLLNINHLPTYHENPEFHT SFGWTLLRSTRDTLSSGQTVPTLVEDGEGESDMTASNFDIRSIKLDDKSKGNKPFTDD MVKRINVQFRERILDKQPKGGWVIDCVYFKVAKEVHILRLSE
I206_03009	MPKSPSVPPLPLNGLFPIAKPSGPSSMKVIDSITSLLLDSKLFD DPERRRHAKGQRNKKKNTSHLGLKIGQGGTLDPLADGVLVIGVNRGTKHLNRFLECSK EYESIGLLGCMTTSMDSDDPVLSTSSWEHVTKEDVEKVLDRFRGEIYQVPPIFSALKM DGKPLYEYARESKPLPRPIPTRKCTVSIELVDYKPASVTPGDGGHDYRWPEKRLSEEE KIVFRKLTDIVSKAGTEPTKPKSESLISEEAQNTLNTTSSEPLKPEEGNQETTKKEEN FVPDLGKPDYPEISPINGLRPPTFTVKMTVSSGTYVRSIVHDIGIALGCGAHVVKLTR TRQGEFSLYGDEEALASTSMSASIGDSGEVEMNAKNESKIVEEAEEATEGVSTGPTGG SIPWSVWTRALKERETILENERTEKEEAIMSGASAEEIHTNWSQEAIRQRRHNGEMKE WENELLRRFVPVPVPASGGHGAEYYKDR
I206_03010	MAVPSSSKAHVKHFKPYFTPVEVEKLSAKQRGKLSVSREEKVRQ QACGFIDAVGVRCGFPRKTIATAQTLYMRFHLFFPYKDFNYVEVALSTLYVSSKLHDT IKKPREIILASFPIRYPNLVRKGTIDVATAEANGLEHERKRVLACERLVLETMGFKFG VETGLRGVIKIGKKLGLEKKMCQHAWRVAVDCHRTQAPLSFPPHIIALGSIYTASLLV SENSRIHASGAVPPPDTTYPARIIDLLGKSGTWEKEFSASAGHIDDVAHYLIDLYVTI LSATPDTLSIGHTPSPVSPKESNPTASQSSNTTSSTPTAFPLPLYWTSQTLTQLKIQL RDRRPGAPAANVGWAAAGTESLSAIEGEEAVEGMGQNEGTIRFLWDVEVAP
I206_03011	MSNLDDFVEHASGPSVPPRYYSSGAYRGSGPVPTVGGGGIENVG YEGFEVDEDDEEAEDVGMVRALETGFIPSSSTPSALPPLFSLSLVQYSPPSSLIHLRA SNNLLFLAAAPLSIIIIDLENPEELVTIDLPKPAPEKGSPVLTSTPTITKLYSDPTAR HLLITTSTGDTFYLPISPGNAAVQSRRPRPLRLRQSITAVGWSPISGHTSEANGTDGG QNSGGKIDNITPPSTDVLLGTTTGQILSLPLPPQDDIFKSVSIGMSKPVEKDLQTVYS LPDQQPITGIGFGFWSSSTSSGGGSNKKKGGEKKAWIVITTKERMYEVQANVSSMTAG GKTGGWAEEVFKPLRDGTPKFQELPGDPPNSTLNFYIPSIDGQSASSLPATSALVWLT APGLYTSPIATSLSNDLLTKPSLIPYPSFDTPSTPAFNRNVPASTSSRSPIPIAVSIT QWHWLLLYSNRIVGISRETEKVVWDEQLPLATDEEALGLSADPVSRTFWIYTDKSILE VLVRNEDRDVWRAKLEKGEYNDALTFARTLPQKDIVLSRQGDALFDQGRFIQAAQCYA KSSRSFEFVTLRFIDADERDALRMYLADRLDLLNKKERTQRMMLATWLVEIYLSKCNT LEDIVAAESAISDVESLTMERKLMEEDLKNFITTYQNDLEPKVVYELILSHGRTDLYL FYANLNKDHSKVVEHWVTEEKWLKAIDVLNRQDSVDLYYRFASILMRNAPKETVDSWL RQTSLSPRRLIPALLQQQQRKESIGSNHAIRYLSHVIHNQGSTDTTIYNLLLTFYASD PDSDDMPLIRFLSSCPDDPETEKPYYDLDYALRTCKQHDRIQPCVLIYSKLGLYESSV DLALEKGDLELAKINADRPDDDEVLRKKLWLKIAKYVVQEQKDIKSAMKFLESTDLIK IEDILPFFPDFVVIDDFKVEICNALEEYSSKIDELKAEMDEATASAESIRRDIEGLAN RFVTVEAGDKCWKCGVSLTTRQFYVFPCQHVFHADCLITMAMEYLPAHSLRRILKLQD ELVTRSADPSSRALLSSNFSPSQSGAGTPRHTKEGSNRQPTSGSTATDLLLGLGGRNK ILAAGDKLREMIIPDALAQAVSVVGAGVGVSSGGSGKNRKKYDRKIDEGRAEEIRKEL DELVASVCPLCEGSIVGLDKPFVEEGEDTRDWEV
I206_03012	MYATRTLALFAILPYLASAAPIFGFPNVGDILGLGKGNSIDAST VAIVVPKITSIVGSAIPAATSAIGVIVSSETKFIGSAVAQATQAASAITNNANIGGSV DVNAGASGSISGVALPAVNPASLINSVTSAAGSIVNSSGGLTGVASGTLGQVGSTANG VISQVQDTAKGAAGSTVSTASTVVGGTVGKVEDTAKAVAGSAIGTTSNIIGGTVSQGQ NAVSGVLTGATSQVQNTAGNVVNQVFGSAQNVVGGIVSGTTGQASNTINGVISGITGN LGSGLLSGAIGQGSNVVGGIVSGVTGQGSNVVGGVVGQASGVLGSIAPASTTGVVGGL NSSPVQIIQSLSNVITNLQPVSLTDTISHLQDISAVISAMASTEASLMTDTASAQFSP FINYASQFVRNLNTFYKSLPTAITSSTQFLTVAAQIDQATAAMLNGIKITTAGSTQLA TALYGDGQFTVSNMVAFLPKTLAILPPAPISI
I206_03013	MPSTVFAAPPISMPSPIPLGASVPALTAHAISVSLPTWEDNIGY EEGEQRVVDRMETGYPRFFIHRSIQKLAALCLAKFGRPDELCILLPSPKVAGEGRDFL ASQNPSIPARIVEFVICPSAVSIIDTSNTKALGGVDCIELQILLFHKSNWSFGKAFWQ HSGDGICSRLAERALAFLGETPAGSTNRPPTPPTLERPPSKAPSTRNRHYSRRTNSVP PTPITPSTPSTPTLDKDDIPVEPVKEEALTPDLTTYLEERYGRNMPLFNAPLAKQALK RRIAGGLLPSDEGYGTVDDVARGAGSGSGKRAVKEDDVYLYPCGMSAIWHAFDIARTA RRRKGEKEGKSVCYGFPYTDTLKILEKWGPGCHFLGAGGTADIPALEKLLQNPDKEAP ILSLFCEFPSNPLLRSPDLVKIRQLADEYGFVIVVDETIGNFINVEVVEFADIVVSSL TKIFSGDANVMGGSLILNPNSPIFEDLKAAQTEIYEDNYYPEDAVYMERNSRDYRGRI KRVNDNAYDVTDYLFKRSLADRSTPLEGKVIKQVYYPRFETPETYKQAQRLPPTGKGG FGGLFSITFTTEAASKAFYNTIGCAKGPSLGTSFTLASPYTILAHYLELDWAASHGVE RGLVRISVGQEDQAVLRNWFESAVQAAEDAGRNL
I206_03014	MRLTYFVAFLLSASVTMSAPTGFNDMISSQNTISPLDVRPSMPN SSPLNQIDSVFTPPPNRPEEYLSGVLRPHRSSERSGEILSNLSGGKSGWQSDILDRVP GSKQNEMERLKGVENILPDLIQGINEKPRISRRNYLEIDYQGRRVIKRGNEFHIQEQQ DQGKQWAGASELDDEDDEPYYSQ
I206_03015	MPKANKQKGPKGKVYSLPTAQKKRGLDIPKLHSLADKQKAKIGT STAAHPTPQSLATLSAADQYGPESTGAGLGGISFYEPIDASLTTKDSSSKAFMRELRK VIERSDVIIQVLDARDPDGTRSRWVEEEVRKRDVQGKKLLAVVNKIDLVPRANLEAWL KHLRHSFATMPFKSSTQNQPLPTTSSSLGAPALLHLLKQYALSTPHSSLTVGVVGYPN VGKSSLINSLKRSRACAVASMPGKTRVVQEVVLDKGVKILDCPGVVLEDIGRAMDGEE GKRKQAEIMLRNCIKAELVEDPISPVEVILTKVDPAQLQKLYNIPPYDSVRDFLIKIA LVRGRLGKGGIPDIEGSAVQILRDWNSGKILYFTNPPAVHFSSAPSQKPVNAVIPNNV GEDDVEMNGEKVGDAKILNTLSEAFTLDGLFDNFGDEAAWEGEEAADVQAMTEDIDVV IPAPEILPVQPAVSAGKGPQPSLDDSDDDNSDAESSTFRPPIASSSTLSHTPAAGQVH QPLISRQPIAQTNKLFSAEELAVLPAGVLDRSKAKAALKKAKKRKAAVERTEGELMLG FMGMDVEEPSAALVEIDNESEDVPQRSVLSKKSKKEKRKEKKSKEVEQRKAQRDMDLD DDMDEDVKKEKDFASFLANMGADDSDEEL
I206_03016	MLTKVLRSTHAAVKAGPSARPVLAQRSFHASRIAQDHFLDANDE AFSKRALDEANAKPILVDFYAEWCQPCRVLTPLLKSHTGPDSAYDLMTVNVDDYPDLA AKFKVSALPTVVAFKNGAVKNKFVGFRGDADIKKFLGLL
I206_03017	MSVAVIQGASGSLGLALARHILQNTGLTVYALTHRPDSKVSDIT DRILEGISDDAGKERLTVVDDVDIRNEKGLSKAAKLVEQREGADRIRLIACMAGVLHP EKSLSAIDLSKSIDQFQINTLGHLLTYKHFVPLIPNKRKFECLSTDWKDYQGEDPAQG LISSDSSLCWSMSARVGSISDNNRGGWYSYRASKAATNQIIRTLDHELINKNSSAVAV GYHPGTVITSFTKPVIGNDAVADPSKGKFEIDQAIEKMVGVMGQIKRDESKKWEGKCW DWKGERVEW
I206_03018	MSKRGRPAIKLKALLIDLNGTLHLGSEPTKNAVNAIEKLRKAKI PFIFCSNSTKESSKHLLSGLNDMGFKAKQEELMTSLSACRQFVQERGYQRPYLLMSDS AKQEFTSSSSSAEKSYDSVILGLHPPSLSYDNLNIAFRILKQEPISVNLVENSFSKSS KPILIAPHKSSYQQSPSTDHLPEGLSLGIGPFVTLLEEAGGIQAEIVGKPTERFFELA IERLKRNAGMEQGFNSNDIGIIGDDISNDLGDGAKELGLTRILVKTGKYRPESEKTNH PPDLLYETFAEFVEDFLHKL
I206_03019	MDGSLYDEGPALLKGILVKEAIRSAWQSVQEGSVVEMNDWTSMS AMGLEVVSEEDEEDQVEAEISGNPSASTAREERWFEDLVSSFGEDDLENSIPQPEQHE WVESNVSEPVFDDYDYDYDPTQMEAFTFPSPTSPLSPVAIVPQVRVTDVEIVEVNDGE CEAEAGFDRSKSTIERLDSTSLVHVENRQHDHLTPVLKPLSSGGLVPPSPIEAITSVS VSPEWDESIYPYPQPYYTEMDDYIDDFYLPPPLIRSLSSGSTHSMEGEECGTPPLRYS ELNDQPSWIDQLKNIDDLIENSESSDDEDDIGARRFTESDEEGDGSKLVGGVIGMALG FVDEGFVLM
I206_03020	MQAKSLAYIDTVYSADSIEWCPFVGFQNIFICGTYQIIKPDPKE EVKTSIKDENDSDSDEEFELEPSKPTERIGRLLIFQVESDDSLTEIQRIETPAILDTK WSPDLNDGRPVLGVADAKGHITLYSLNNETRRLEEMQQIDVDEESSLCLSLDWSNRLN NSTSTIITSLSTGNLAHIVSTPTGWQVDQSWKAHDFEPWITSFDNWDSNTVWSGGDDC KLKRWDIRETFRPTFINKNFDAGVTTISSSPHTEHLLAVGSYDENLRIFDTRSPKEPL TTIHLGGGIWRTRFHPSFSRKNEILNGCMHDNFKIVKLSESIINLISNSTSNEEGEGE IIKVFEEHDSLAYGCDWSRLPENKHGSLIASCSFYDHAMHLWRG
I206_03021	MAPKRQAKPSTPPPKKKAKKGVQGQQQTSIANFFASPSKPKPRT NGVKRNDTIISVEDSDEDFPQEVKSQENVDESLARKLAAEWEKVDKGKGRATPDDEEN SDVVLSVEPPYSEAEGVNGSCSSKRQLPPIKAEQTSEQESKPIATIFSKPIPRTPPPL DDKADIKPMLISPSKPQNITTASADAVEPINFDTDAFLFRPSEIDTSKWPKGRLPYSI LVGVYVQVSSTRSRLLIVRVLTNFLHLLLHASPVDLTPTLYLLSNHLLPNYLPCELGI GSQVLTKAVTSVSGLQPRDLKKLWDKWGDPGDVAFEAKNNLRTLVKPSPLLVGDVYQR MLGLSKVKGSQSGRIKGDIVRKLMVQARGEEVRFLVRSLVGNLRIGAVRLTLLTALAR ATALLHMPEALISSVKPLPPPPQKLEKGQKRQPRPKVEPDPAREEVEERCLEAAKIVR KVYVRHPNYGDLVVGLDQGGLTGLEERVGVNVGIPLSPMLGSITRSLNEVFTRLGTLP FTAEAKLDGQRVQIHARIDGPQGEDDGGGRWVQGDEGKVWVRLFSRHLEDMTEKYPDV CQLVLTMLSRPLPSSRSPFPSEASTPSENVFALLESQNIKSFIMDAEIVAVDKDSGAY RTFQDLSNRAKKDVRVEDIKVVVGVFAFDLMLLNDQPLLNSPFSHRRHLLRTLFEPFS NPSDPTLARFAHVASLDSTSLQDVPAEMQSFFESVVEQKCEGLMVKLLESGEGLTGED DEDDSASNVGDTPSKKKGKGGKKKPLPATYEPDQRSQGWLKVKKDYLEGLGDSLDLVP IGAWWGQGRKAGWWSPILLACHNPESGALEAVCKCISGFSDQFYKDLIKRFPPEGLPE KCNPNTQLGFYDTNGLRPDFWFEPSEVWEIRGADITLSPVYPAASTLLGSERGLSIRF PRFMKIRDDKSWEQATTSEQFAEMYRKQIREAPIKNEQVDVTSKNIEEIKRNSEDEDI GDLEDDANDEED
I206_03022	MIKTSSSSSNRALTRSPIPTHTHDSASTSKTSSISSPSFNGEGS SDSFSPNSNGNGNFIRKHKTSLDFICSPSDLTQSTSPKPRESSSTPKTSPSYMSPKPS SPPLSTPTITESLITSTGQKGKGSTMNGKSGLECLPRELLALVAYHLLTERGEEKDDE EIYGIHPSNLLPLLFTSKSIYEAICFDNNPQLYNTLFRVTFDIKALDRRYQWMKNHLH KNSSGKGKGKKVFDLFADPRSWAIDYKIRWEQSFRMRQVAKQCRIEIPDICDKEAYVA DLWNVWFLLTENDNKNIKFLHSQCDLRGFILAFYKENLLKESLVPGYPRDTGDKALAV WCCLLAGIDDLGEDTPADVDEKIFMLRPYVFACAKYDTHYAPWHYRKLPFCPPDCVEH ETDASIRLKAMTYKRFGYTWKRAPPHFVLGIYLVFFRLLERQPDRVGLKAGSSSFHFS PFEAGLPSLFSGSKLVPSIEHDREWQRNIMSQDPHTSPGLPPTSFSGMLKGFWRGKFL FYDFDLYRQILAGNMRGVYTGTFAEQAAEFELHETIVKLPKEKIGGEGPLLAAGFKDE FDEDGSQQIAIKEGYGFEICDENEEVQEGWTKEILISGRARTSWGWAKIRGRIRSWDG LVILEMTYSRHVMGRWIWRGYLHTGGYMVGRWRDTFTAENLRGYEGAFGMIRAGDPLY PDHFPKRMEDSLGVNVNVPRGQGGHSQHGQGGHSQQGQSSQNQHSSSSTTAQASSSSS AVANSHSISGTGAQGSPKESRSPEQ
I206_03023	MVWQVDLKGKTIVVTGGNRGIGLAISEQVAQAGAHVAIIYRSSK DAPEVAKKLAEKYDVHVEAYQVDVADQQAITDLFKKIYIDVGPIGGVVCNAGINAKKD ALELTKEDFDQNFGPNVWGVFTCAQAAARLWKEHDYKNGRIVFVSSVSGTIANKGTQQ AFYNPTKAAIISLAKTLAMEWASQGTLVNCLSPGYVLTDMNASLRDNPEEQKQIASET MVNRVSTPEEQAGTAVFLLSDYASYITGTQIIVDGGLHAW
I206_03024	MAEMEADEIAIERSLSQTHSNEIHQSDRHTAKLDANQDGKQRQL PDDLNENNRQNLSTNIENSSNTLDPPFQDLQTTQTNLTTSSNKPYSVFTSGQKWFIVI FSALGAIFSPISTNIYVPAIPTLARAFNTTTEKINLTVTIYLVFQALTPSLWGSAGDC YGRRPVFILLLTIYLLSCIGTALCPTSAYWLLMLMRVLQASGGSALIAVGTGVVADIA MPHERGRYLGLFNLGTTVGPALGPLLGGIFAFTLGWRSIFWFLCIFCACVLVPMIFFF PETLRALVGDGSVPPPLLNCTPAALMRRKRELKELSEKGEDPQQLTSKRAKFKPLASF LLFLEPEIALMFTWASLYYAFWYAILTIFTTLLEDEYHVNEVIIGLCYLPGGVGAGIS GFTTGRIMDVFYKKEKRRVGGDHRQCPDEFRLERTRFKILPYQVGILLAATIGLAWCT TVHVHIAVPIVLNFFVGIGTGFLTTTTIYSIDLFTGQGGAVTATFNLIRCAMGAVTTS TVQLIVNRMGAGWCFVLLGGICLLATPIPFILIKYGPKWNKRRRDKTEEKKAQNSAMN ADTSSKADRK
I206_03025	MSKENTPRSTLTHIGGWKLGKTLGRGAYAHVRLATHANGHKAAC KILPALHHTLGRKVSRDETIDAIEAHKELVLLKGLSGAGVPGIVGLEGVIVEGGWTYV FLTLYPASASAYVTPWNYDHFVCFFRRLLYIVDILHNLNISHEDLKRSNVLIDEYGTP AVVDFGFSHFRPNGGYVRSAGGTLDYSSPQKAADDLYEPTANDVWALGILATKLLGIS HPYTHRDEDEDSSTIKDRIIEGGARFRFSSKYTGPDGIAELILGMLDRDPIYRWTIPQ ILLHPSLQTDFPDPLPFKLPSFELSYMHRIDESVIEDICFLAYLDHQFYLCETPLKII RRLQGREPCWEKRWASMLGAWSKRSEMDWEDIPVAITPLRARSAPTMRSAVKIEKYAG RALKEIHLTPNINKPFVKVAKTPKVNEKKQRENMPPTRPRKSRMYGMKTKEESMRSVL GDVKAQEPKKVDVKKAKPDIKLRKGTQSEELGASPKKDEKTATKRDPHQNKAKAQAKK FVIHDDEAETSVEPSAASKTLINRRSLASSKFQSLYTLTVPGSCEEDAIVVDGSPLLV KNDRTRVSIAKMGKPRRAIKPRAAPTNIRSVVKGKESGTATTTSSTGSHLKNLNLAPS GPKGARRRSPRLASGEEISSLA
I206_03026	MADHSSPPSVRPAKRVPSGPRPPPLPLRGSPTSSKITSSTNTSL RKQTSLGSFGKLISTPVDSPLDSIIDAEHDFTISPESSPVLSPAVGSSKDLRNISVAP PEEQIPRLDEKEEKIRPHAKTITALPMSRETSKNRSQTLPDSGRPSTPTSSSFFVTRP VTPTGITSPPKSKSKPKPSRLNTSNLMNSTTSSPTKLVSPGMKHWQQVRSHVMAPTPA EERAAHHHGRPHPAKKMTGLVSKAAGRFGFRQAADNVIGYNDRRNSMMGILSNLNELS QEEKESITRERRKFARDVKACLDACCLEETKRRLFRTGYGKDKIPGKSTNHQETISSG NSMHTSSHHAFGSQSNTSKFTFDPEFSAFAPLLMELHKHLPSARAKKPWSRTCPHHSA ILAELGSAFLQDSTSTDGERQQALEVFGVIVKNWASDNADEELERWLWLLRALNTNDR QLRNRGLALLNRFLHPDSSLPRGIDRPRSALAFLSLSCALIQLLYAIEMSGYGNEDHL QMVNGFLADLSEGDIIDLEETSLVELLGSLELGGSFGGVDKELVWMALGMVIGTQPAL APWLLIEKGQVLQRFYPPPILHATPPLILNLRSRSLCLFFTSYTSLISSSADIPLAMR IWRSARDLLIPEIEHVPDEDGSLAISVATFLFELELQGHRLLQAPEEEEMDPFRISME PKKEVKARITEHRDCFAEFSGRLEWKGYFETAAKQVIGNGPLGAICSMTKSFLQSQTF VIMGKDCVNALFTHITSISGSTEGARPFLTWLTKSHPQLFYKPLFSCSASTSASSIIP HLKVVKALSDLIGPAKFWTQADPQMVVIVLMGDAAPKEPKGKGKEGEKGTVNVKLGRY AVLISLIEALDKIDESAGSGTRLRTYLESIEARLGVFLEAEERDGRLPDGYKGLMCQL LFRMRVMTTSIKRSTWLRSVLVWFSELAASDPYRNTEVKADDEQLDILKVLHQGLEAN TDETSEKVTPNIGSPPSKWTGDSKKRNTANLLSEKQRAIFDSSLAKVLPFLLVTVHAA FSMEDWENILPKLWYYYDSSRPSRKGLTFLIEKCAEKIPSQLSAIIISDLTNTYTFVR NHALRKIAMLFGWRYQVLAQRILTDRRGPIFQYTSKTLEFVATEIGSPEWVPSHDVQD AALKKFGRTLPLELRQRLMELGWSEDESLQAKSDWEQVPVSRLPALQYQQEGISGERS PSPLRSLTRKGSSGSGNSFSSKRRKAVFSPTLLAMINEQARILAGEIDGPISSTSLDI VRLFQRDDPTGLLRPIAEDFTVNFPASLARLNSIISTLTPGFAYAALNALVGYIKTVL RNDQHFQHHAIALVTISKLVSGTSEISLRDIRKNKAEHVLLPASIHEDEGGFKVHTPW RDCQLDVQTAQLLFLTQALRANPREVYLYKKMLSNLQIRDSIPHLPFARAWLILISTV FGAVNRNYNDRAELRHFLSIASNILNLHGQKDLLVTAHGMRVFMLCSARFRRLFATTG FSTIMRSIYDTYNGGNSATRDCIEYASRSFYRIHQDSFVYQTCVVISEGGSDASTVYS LLSSLSSGNSKSSGVSSGIRDLNNQEELEALLQMLSGPEIALSDLGQAFSEKQSMKQV SAIGLEETIFPKENIIKLFITVIAANPATSRSAQFLKLLAALIPYMKDHAISQDLLRE GVEVLGSVIQKGKTGDDAAISAFHPGSTESNSDWLGARREYVFLVESFARSGGQLGAS ATKRTLDMVLDLLRRQPEFVGPAASSIVSSLAKTHLSSSKPTAFLRDIAPLFRMFIAV VDFSGVLDSITALIKRSSYDLDPDITVLIVENYVEPSVRMLASAAEESMAFIVPLRSS AVHLLAAAVFLRGDALSALERHPPSASLLASLVLPLCLSLDAPNEVDREAVYGSLWIR LLHYVLKNRDQQKTKTIKSVSTNQVIAATILLTVQTVKVIFIRAPSSISSITGLWTYI SSWLLRVTEGGDASFAEASMSIKDTYPRMVDWIMWSLFELLCLHQTPIMVDLRWKIQT VLLSIHAEDERDRSNPPSPGLSRPRVSNPPITSQSFSGRARRVSSMRHPSGNMTAAFQ THSRFPSTNYDMLGFGHPSSQPMNSSTLGGSGTGSGSHSRTSSTNYNSKITLTPEHDK SGQHSRMPSQSQQFLTPQANTGRTNQHGRMPSQSSLSGFGIGIGGTTDVGRSGSNGFV RPSFSALSARRTSIKPSFEVFQNQNDKSINYRFPSSNSNDLRNLQNQNGIPEKNQQTS SAIVHSLSTSNQILGFTNNHFPIFSPSTSPISPTNKFKYPPHHSTSTIHNFPLKEIQV KNEKLCQMIRKSIKVVKLINGFELDEFEKELNEQEQEDEEDMLKNWNVLDALHVISDQ TRLFVEEEFHDLFSPTTNRPLELKNEDLSITIESNEKNFGKEKVKEKEEDTSIVDKRE SGYSLVPEGYSMGSMDLNNSFNISIQEYDDDNDHQVQGSKDNNSYQNSNPFLNFSDKR RSSDLKHHNVPLLSVSED
I206_03027	MSLANYPSDHPDRTLKVLSFNVWGLAIISKDRASRIKAIADYVA SSKYDIVCLQELWIYKDFEIVREGCRRNLPFSRFFHTGALGSGLAIFTRFPLISAQAL PYSLSGSPAQAFAGDFFVKKAAANIVIIHPLLGEIEIWNTHMHAAGEHPPDTRQAHRI AQSWQLANAIKNGAAKGRYILAMGDFNSQPWSIPIGMLKHHANLTDSFASVTHTANMD LPPQPTPEEALRSYGMTCDSPLNTYSAGKPIPHNVLEKGGKRLDYIFYRQPSIARRRP LVWGYRDEEEPSNQNGNGHGESVESGDFTGKGHMEIGKPLQSSIAKAPKLRCVKSEVV LTEIVPGQTFSYSDHFALSSTFIIDVPPQEGSASSSSTKQHTATPSDNSFTPLVPLIS EPEQINPTTTTFSPPSPDSPNVHMSSPRTSTSSTNQAKSSTVRSALHLLRLYTRLSKQ TATFHLKICLGSLIALIALTVGSAWQPKSWLQPIFTLVGGLLGAATATFLYTGFVWGR WEEGLLTEVTEEMELELRVAEMEERINA
I206_03028	MLRTPPKLPPTIGFQINAETPGPTKARINHLQSQVSELVRKNQT LERKIQAEKSLHSTTVVEKTEELNALQKELKISKRELERCKAEGDGMKDELNLHSIIQ QQKALLAVAQEQMQIVELEQRLIIAEKARIMRDHKISLFQAKEEELLAELRDKDAQLG KLENKLSKSSHSLTKLQSTTSLTSSTVSKELVSTQKELSSAQSTIDNLESKIENLETK IRLLKEKEKEQKNELDNWLKDEKSKTSSVDKNKKEFMSQIRTLKNDLQQKSEQLEELT EELEEQKRSTKDRERTLKSKIREANEERDRLLGVEEELASLKSKTKIGGSPKKVAERI RKASPVQESSDDEAPAPKRKTKKAESPVRQAKPKSKNVSAMPSPEASGSDSETVVSKT KPATKRAKSPVKTKKTPLESSDVDNQPISKTKAAASVMKKTPDVPGPANEEAEEVPAA AAGVPKKKKRLLGGVKSTFEWDPIMGSGDGVIPLGLSPMKPGGKAVGTIPRAGFSAAS RLNRLG
I206_03029	MSRSGALDDNEIQTEMNKMVAFISQEAREKAREIQVKADEEFAI EKAKIVRQESLAIDSQFEKKKKQAEVGWKISQSTAINTSRIKVLRSRNDHLESIFEEA NKQVKDLSEGDKYKQAIENLILEVLLKLLSPNVTLNHRPKDTDLIKKASDKALKKYKD ISGRESKIEFESSLSDKSSGGVIGSSLKGKIKVDNTLEERLKILEEKMLPELRHDLFG INENRKFYTVSLFVAKMDHSIIS
I206_03030	MSPNKEREEFDVDDSGGEEEGHDDHDITGEGGKGSSSSQVRKAQ NRIAQREFRLRKQQYIRDLEARVEVLSGDKEERIELMTLLVRNLLKENKDLRGMVKSM AAFVGEGLGSCLPRLGLSADGLDAILNRSDTDTAYEAFVNLKASKEQLEANPGMKFGE SRRRASTMKRKRDNNLGEDSGEPEKDKDRGKAIGSVGMNNSKSGSGRSTPLNDANAWT LQPSFYSFQPGEGEDYNYLFPDLDNLLSGTSSLPVPHASSNQQLRPSPRPPLAESGDQ FDIRSFPSGSGRSYDDRPGVQGYAQRLMPSSMGGRDSPTGEDQSIPIPITTLPGTSSF GILPGQNMGFYDTSNASEKGSTNGVSTLPFSNINTNSDGGFRSSISITSPDSYATRAN ASASATGGSSSHNAETPMSSLAGPRPLTTGLKSQGNYARGHPSLLSADHVQSNVIRQP TLREAVAAVTNTDNPLEGPGVTAAELAERRRQQDQLMRIIEEGDPADRKMETMQLITY HLNNFRKNHEYHLPPSLRPTVVQRTIPHEHAIDGIIFPSVRDRMILLRGRYDLVEVFH AMLTEFELHGDDVLDHNSYEISETFIDDYSILIDDSVINISNKWRLQRGLPALKLPDR ETDGHPGGGHRIHATS
I206_03031	MSLLPSIPRACKASSSRNLTPRAASLKHLSVTPRSFKRSLSLLP SRSPSSVPFFLPHFGLGGSKVHLPLYGGNGKGKGKEPPNNAEEEVDDREWDIRVARAM LHLRETLPLIFDPEMNSTEMFPHDVFSKNMVLKLPAPLPLKISSLSGYSMAYSLARSG MQALHTDLRTDLERMSFSPSPSTLAQSDPKSALILSKKPIPTHRRKQIRVQVAVYGLP RLPPHKEAKWYTSSLYTFSPYSGLITSHEVETIRPLPGEGVAEWLMSRLLGWTSRQSI NEGAVPCPRTVALPTSVEMERFKRRLDDEGRK
I206_03032	MNHVRDLEAGRVSTATASMYQREGDAMREDEMEPEGPLDDITIQ PPSSSGTENNQLQKTALKRSNQVDDGNELGPSPTSLSTVEARRVTASYPTSPANPRNW PESRKMGITVTLLLTGFISTAGSSIGIPGMHAVRDEFGVGFRVGVLIPGAYVLGLGTG PFVFAPISELYGRQIAYISSQITYCIFFLGTGFSNNMATLIVLRFFCGVFASVPPSLG VATCADMFEPSQRGKPISIYALGPLSGPILGNMLGYWLLYFGWRWAYYFMTIVATLNT ILLLVVMRETYAPVIQKIMVYNSIHTNSKSKSPSLIKMSDKLNPINWVPDLSWMPAMV TKSEMLVVYGRAFSRPPRLLFTNPVAFMFSMYYAYLYGLIYLFLTTIPLLFGKPPFSQ TDLFSYEWPQGTLPLSYLGLGLGFCSAAVVAANLQDRIYKYLSKRNGDKGQPEYRLVL TACGMITMPIGLFIYGWTANSHTHWIAPIIGQYLIGIGLVLPFNTIQNFLVDAFHPYS AAAIAGATAARSIVACILPLFASEMFQKLDWGWGNTLLACVAILGIPAPFIMFLHGKQ LRERYAFQG
I206_03033	MKMSLSIESTIKLASGHSIPQLGYGVYQARSKECEDGVKDAITA GYRHVDSAQGYHNEDIVGRAVIQSGLPRSEIFLTTKYMPSHTVHSTESVLDIVRKSLK KIDRTGDEKPYIDLMLIHAPFGGEQGRANNWDALVKAQKEGWIRDIGVSNFGVKHLQA LPSPKPSINQIELHPFCQQKDIVKYCEENGIAIEAYSPLIRADKNRYENPVLKNLAKK HNKEIAQILIRWSLQKGYIPLPKSVTPSRIKSNADVYDFELTSEDMKALDGLDEGAKG ACTWNPIDQP
I206_03034	MSGRRPADNAASGQPDPKKAKVDIPAVSKPGAPLDMATIRAQIA ARKAQLEAAAGRSTPISASGSAIPASTSAPGPAALPPRPSMDSSIADKLAAAKARIEA LNARAANPYLSGSGSMPSTSTQNSSNGQPGVSSIALHPLLMGQNSGQQQQQQEIEKNE KKAMRDRYKTMAPKFTSVKANAATLETSGSARASPAAPILNPYASTPTASGSGTPIPD EEKAPIRRSKKLQFSRAGKYVAQGEQLRNEQKMEALRKRIVEASRKAGLDSEFDTLER SLKRQPPPEVEWWDKAILPEGKGYEDLEEAVEFMTTHQDSLITHLIQHPIPIPAPGDK KQPERGLMLTKKEQKKMRRQRRQAELEDKRDRIKMGLLPPDAPKVRLANLMKVLTSDA VQDPTKVEAKVRKEVAQRAHNHEKDNAERKLTSEQRKEKEYHQLVARERKGIHGAVFK IKYLTNGRHRFKIRETAKSDLLSGVCIFHPKFALVLVEGVDKSIKHYKKLLLNRIDWT EEARPLNDNEAEEKDEEDNESRPNGNGNTEGEGPESLEDNKCELIWEGEVPERTFRLF RARHAETDSKAKEWLTPKWEGMWDLAKRWVWQGEE
I206_03035	MTTIPSRLAKLTTSVNSWEEARRASLAAYRAWYRSAPDIVQLYA LNVSPSLVRLKFRQDFERNRDTITDLSVMNIMLLKNQQEFQETMNAWKQEPHVMQWFK RFDDPTPPKTFLEKFYASRDDPSQVSSF
I206_03036	MKFMLGDLPVLFPYDRLYPEQYSYMADLKTTLDAGGHCVLEMPS GTGKTVSLLSLIVSYMQFYPTKRKLIYCSRTVPEIEKALAELKRLMEYRAEMGANDGE FRGLGLTSRRNLCLHPEVSKEKKGKIVDSRCRDLTSAFACEKGRTNPGSIPLCSYHEE LNNYETGNLIPPGIYTIDDVKKYGKDKGVCPYFTIRRMLPFLDVVIYSFHYLLDPKVA EQVSAEMSKESIVVFDEAHNIDNVCIESLSIDLTRPMLDSAARSVNKISDKIAEIKET DSQKLEDEYKKLVEGLQEANDAREDEDMMVSPVLSKDMLDEAVPGNIRKAEHFIAFLK RFIEYLKTRMRVLHVVAETPQSFLAHLKEITYIEKRPLKFAAERLTSLVRTLELTNID EHYSLQKVASFGTLVATYEKGFLLILEPYETEHATVPNPIFHFTCLDPSLAIAPVFER FSSVVITSGTISPLDMYPKILQFRPVTEQSYPMTLTRNAFLPMVITRGSDQVPISSRF EVRNDPAVVRNFGSILIDMAKTVPDGVVAFFPSYLYMESIVSAWYDMGILSEVWKHKL LFVETPDAMETSIALRNYREACNNGRGAVMLSVARGKVSEGIDFDHNYGRAVIMFGIP YQYTESRILKARLEFLRDNHRIRENDYLTFDAMRHAAQCVGRVLRGKTDWGLMVFADK RFARQDKRAKLPKWINAYITEAHSNLSTDVAIALAKKFIRQISQPFDHTQTGISLWTL EDIEKRQLQDKLEAEQAESELKNSLGNANGNLNMRNGGNGNEEDMDVDFVMDDYDQLD DDQLAMLDIPDEL
I206_03037	MIPSPRRSVSQPAVHSNNNTWPDVDDDDGWQDMPVVRSSNPFDL DEDDIKKYHYQPSSLRLDPSSSASVSTTPNPSSGPTGNATGSHMELEGDTFGDSWREK IVEDESDYTRLRLNEDEESEEVAMRTKYLFDEDKAMTPLSQMQATKNLLTEGQRIAYV GLCQLIAKRMLREMNRGWEGHKASTTKSRIGLKGKGKDDVPVVESGNIWMLKIMARLY QHMDVSRDEQRMIESLAEHGVDPSDLVPALMTTHTVNNPDYDPKAKEKADLEAIAEAE EADLERGVETEKERLANRTQEEIDEEENPPPPYQPRDQSPLPENTTNPFGDDDDVVDE SLKAESHSPPSPVSHFPPKELVSKPSLSKLPSFDFDEDDGDIGSMLSPSAQPHSSDPR LEASTLSPEEDEEKILEMSKNDSERTPKVKFAEIPEVLETQGDNVQRSEDETAEKEVP APLPSLPGVSTSLSNTDEKVTLDIRWTVLCDLFLVLIADSVYDARSRAFLELVSSALG FEWLDLVRFENRVTDALEIQEGTEKTAQDGIIEGRKKAAKTKRYALMGLAAVGGGLVI GLSAGLAAPLIGAGIGAALGTVGITGTGTFLAGAGGIGLITTGGVLTGANIAGQGMAR RTREVRVFQLKPLHNNKRVSCYITMGGFMASKFDDVRLPFSVLDPIIGDVYSILWEPE MMEEMGSAMKILTGEILTQMGQQVLAATVMTALMSALQWPIILTKLGYLIDNPWSNAL DRARAAGLVLADTILNRHAGVRPISLIGYSLGARAIFYALIELARVKAYGLVQDVFIF GTTVTASKSTWLDVRSVVAGRFVNGYATNDWMLGYLFRATSGGLNTVAGLRPVETVAG LENVDVTDIITGHMSYRSLMPQLLAKVGFPVTAEYFDEPDDPNVDMSVQERRIVDEAE EVAKQNRRKILGIFPVNNNNKPGGNLGSGTSTPNPDKEKNGESSTLPTPGPGGGYEYE EDDDLPPREETDLGELPGSASSSKDLELEQEENRRIMLQRQEEEKKKDEEAMKSISKT AGFDFKAISQALGKDIYLDQLKQPEPFKHHSGPLSEVRIPLERSGSAPPPVVNDSPTE ENTWSSAKLPSTSSFSRVDGNDVEDEGDITTSMTRGLSLSDLPSWEKPQIPINPEQEQ DEEEKEEAETKSSSVFKSPVFSWNAWNSTSGNIPTANEILKDTPKPVRFAPPARPHPK EFINMNPFMSTNEGWGNNPTQSSMGMIKNDSDFERDKKRKEDEDEAETNPW
I206_03038	MSESRSSMRPSSIHTSLSVSSHPLSRFATSSTQPALEELKLSNI LNGDTCPPISFVDFASFVANKEFTTENLLFILWYRSYKSRFDKLDQKVKETIPIPSTR LGDRFDPYGYLDRPSSSSGSNAKTKAEQDIPVVFNEPFRSKSGSSKPGAIHAEIIHHP SCSASTISCNCTENRALCQRRQSRLLSITQSILGSRRPSSASHSHDHNPLRSILHHTS HPPLPPTGTVLAEPSQQPMREEAQRAFATFLRKGGSRELGISDELREYTRICLVRSTA PEVFLPLYEEIYHTVETQSLPHFLQHARSNINRPKQLFWYMIGAADFALGALIYLLLT LLLPAHPFGHRAWRLFSIIFASFGAMQAYSAYRGFCTQVWGRSHRQVRPWEMDDLDDE EELVEGIGLDSMEIKAETTPTPTINRREIHVNLPNEVRPLADLGGIASIPSSEEEGDE LSFKHDGSPSLSKSDSITVALPVLDERPEEMITEAERRQQEIRKSTVKVPNVSEAFPI SDDLMPPGRISFNSRRERREISPFVNDDLHSSASSLRGSINFSIPLQEQRQEQQQRQE QQQISFNSNSQGGIPLTRTKSRLHSLKNQRATKEITNILSKLTKSNLNYEIEDDNLNN QQISDNLNGTGSGGRSIKESRTLAKSNLTKREKGKNKEKKAKVFGPEKLVEDPRIKRV YKDIKRDILIVGGIISSIWIVICFAVPCAGLASK
I206_03039	MNLPPAINFITLENFRIRTENLLKLVLLIDDGTENPKDNISPNV KAQTENPDASWTDLAKQINMLEEDWWNSEIVASWYGPRRKYSIEGSHRVLDHPTKNLI GNTNEVAVQAIPEDRRESTLKNVDISISNSVSPPTDSIPTSGGLFNKTRLGSRRLRMS RISPTSLTQFKEITDDGPDDIVKSQIPVIGELGYRRRDSSYVGLNDE
I206_03040	MSKQLTYEEAEALVVSEDPEHPANLISELCREFYKLGWVTGTGG GKFRSLTEHVYLAPSGVQKERIKPEHIFVLPFAQSSVPKPGSKRDFLRIPSKKGLSES QCTPLFWNAFTMRSAGACIHTHSQHAVMLTLLHPRDAQSFKISHQEMIKGVRIGGVGK TLSFFNTLEIPIIDNTAVEEDLTESMAAAMEKYPDAPAILVRRHGVYVWGNTWEQAKT QSECLDYLFEIAVKMLLAKLPLVGDN
I206_03041	MYHLLRGLHEYLTRKDEYSVVIIGLDNAGKTTMLEKIKTMYNPT PGMLPEKIGPTVGQNIGKITLPSTELRFYDLGGQRDIRSIWPKYYDECHAVVFVVDAC DQARLTENWEVFDEVLNSPRLLNLPLLLLANKQDAPTSLSVAEIRESFDAWQPIEQEV EDVPNEQKADSAWDDGGAKDERMASLDVMGVSALEGTGVRDAVNWLYIRVQNARKM
I206_03042	MLELIENPPPTLNSHRNILREYVASLVESHSAVQENPIKKVEII GHGLGSAVGLLIALGLKLELDSTSIREKDHLQITANLLGLPRVGNEHFGKVVRALLDT KEKRTNLQINRITSYLDTITHLPEKHLGLTHHSDDEIWVGPDPRFVYFCKSDETDGCS GHVELGKTSLMDHMGPYDGIRIDPLCKVGSSY
I206_03043	MTSDISPFLAEPPPHPPQPPQTPQPQPLSPFPLSLSLPSTLFVP TMSRPQSISPSYRATPISQSAAPPSHAKKRRRSEKDRTPPRAIYPGEGRASGSRSPPT IHQDHEESYDDDEWEDESYSHKKSQASNVPRRFTGEGQEGWTYHLEVIQQPQRARACG FGNKDRRPLSPPPIIRLWIRTASGAVVDPSTIDPRFLILMVDLWSADRQQERNVVMHP VSAGVSRLESPSLNPADLAPVAGPPSRPSTGTSRPMSGSSAGISSWSSASPANVRSPY PNWSSSRSPPLPPPFHRTKSYGDKKIEHAPDPPRPKTAPSPQHPLQQQMLATSPAHSV GTPKSQISLPPLSSIAEERPSTAAGLTLPALHAKDQTPYRPSSSSSSLWGRPRTALTD ASTAATDYTVGTRPISSSSSNWYPPSEYDRNKERPTSSWSEMRPWSSGIINSVSRPSS SAGPVSSIGTGMAPPSPHSAQFAYSGRFVDQQWPLTPGNIGYNQNRPDQPYGPPSSPW ELERSKYLPTSSSQPAAQYSRVLVGKVTATCHKLQDEEDKPGLYFFVADLGTRTEGTF TLRMMMTDITLMDPEVLLGAKAPVLAETFSEPFTVFSAKRFPGVIPTTNLTKVFASQG VKLSVRETKKGAGAGEEAEDEDDD
I206_03044	MDPNLLSPRINYNTREIRPSQPSSRAPSREPSSRKSDSRPDHQT RAVSGDDGHGSDRSRSRADYTGNYDTIQSPPSAPQIVLDQDYLDDPEEQPDTVNQSFQ AYQPFLSPGGSGYFRPHPWSTPSSMKNQSIDDLGFGSQNQMPPSSAGPHAPSNYSPRY ASPNPGFAAQSRSPSYTRLPPYDGGRRYAQENTGYFEFENGPPSPRHGPRISDLGRSD DDRSDESRGRRRSPRPYHPPVSNVNIHTELENHCKRTSISTVHFTMNRGKGFYWIQPK DCRSPKIFKPEFGNAKSNIFKLEFESSNQNHSELSSSRNVRPNEDYNSNNSDCYYISL ENGSAREIPTITQKNSIPKRGRVHHEFNFGTPYGPKQISFSENQQPLSFGHTSPMNEE IGSLNARSGSLDSLDVEVGDTTETREATDPQSGRHDSVRAGNLGVLDVPSDSRAHQRR RSNSYDPKR
I206_03045	MGSPTVHITPLALIKRVWEKIAPLRLAETSWDNVGPMIEAPYPN PNHRQVLLTIDLTPSVAAEALALPSCSLIVSYHPPIFRGLKSLTLQDPLQNSLLKLSA KGISVFSPHTSLDATPNGINNWLIKPFLPMSSSNSTITNSTQIEGFEGAGMGRIVNLI SPLNVHQAVRMIKDHLELEHVQLATPEIEKPISSIAVCAGSGASLFKGVEADLYLTGE MSHHEVLAAVHAGTSVILTNHTNTERPYLSQVLQGWLEKELNSEVDLHDDTPNGKWEV LVSKADRDPLRVV
I206_03046	MARDRLGNVNRQYGDQSNPQPTYPPTNGVIAPSRAPNPYAQQGN NSLPGAQPGQYAQYGQQAGAQAGNPYNNAYGQQAGAQAGNPYNNAYGQQEQYAGGGVG GAGVGGADFWAELSTTNSLLGQLQDQIGQVRQAHQASLTSTDPNARSYASELNEAARD KREEAKIQIKKLYKLAKGDKAQKTQAERVKAQFQSLLQEHQVIEKEFRKKVKDRAERQ YRIVKPDATEEEVRQVTESDNPQIFSQALLSSNRYGDARNAYREVQERHAEIQKIEKT LVELAQMFNEMSMLVEQQDETIVNVETQAQGVDTDIKAGYDQTSKAVDHARKARRKKW ICFWICGEYIVPLRSNSSA
I206_03047	MTTTAPFLVPLHYLTPLILLIPLISVLLPKPQAIEPLPGIRPIT VQKITPRKSLISTSLVLLSFTAFADIIIFTADLLTAKSRNENVIPDHLKGSQLVSQVI YTLGGLVIYGSALSGVWWRNKWEGKALTFLALLGFGLETPNLVGLVIREVHARGYDKI FTILSLIPSSVRLLIFPVLFVAVISPRITYEAADERSGLLSDSPIDGRMDGPPTSSEY GTFDSESQNQTAATTNAPGTPVPGQNGVVTTTENNASKAATEAKKIKITKKLGEKKEE KKELTFKEAWPKFKSLIPRLWPSTDMKLQFFVIMTGVMIAIDRVLTPLSPIAMGFLVR ALTERNQHDTWKWLGTYLILRLLNSYGIISTIQQAFWIPVVQYTDKEMQLLCFNHLLD LSLAYHTKRNTGEVMRILDKGSAINELFRTVLFSVIPTFADIVIGFSVFIWLFGPILT GSVLLIMIPYIIFTYYSTKFRKTIRKRYIEMDVKQRGIVSDVLTNWENVKYFTAENRE VERFMEAVNKVQEVNYKWTMGYQAIYAVQSTMLTLGFAVGSIIIAYNVMRGIGDSALF VIFVQYYSSFTSPLNQLSNLYRSISTDITDSEKMLNLLGEKTEIRDEPDATDLVITDG TIEFENVSFSYDGKAEALKNVSFKLGKGESMALVGETGSGKSTILRLLYRFYNVTGGR ILIDGQDISKVKQQSLRYAIGIVPQDSVLWNDTIGANIAYGKPDATDEEIIQAAISGK LHDKIMNFDEGYDTIVGERGVRLSGGEKQRVSLSRMFLKSPAILVLDEATSALDTETE REIQKSLAALAKGRSSLSIAHRLSTIINSDKIVVMKNGEIVEIGGYKDLVGRNGAFAK MWKRQIYTEAELLEDEDVEKVATTLPNAEKFRRAHEGQTKDSDDDDYDVNAEKPALSE GSTSTITNGNGKEKEKEKEFPALIDLTHDGDAKEDDHSTQGFTVDAPPPIIEDPSNAS FADAVKLSSNADTDTAAAAAAAPAPQSLDQEPIKQQHDVTGQSTPEVNELNSAATGPS SVVEVQDPMATAVITEMRTTNDPIANSTAEANPEILPQPETVEDIPSVPFPSSPSVRK ESIVTNSTASPRQSIDQSPTKSLSLASPQSPNVPFPSSASKPVNTKRWSTMSASPSVA SALSSQGEGSIPTGSSPSKSETPSADKGDKRRKRLSSIKGFVRRISDQGGLTRSNSSG LKSPLSEDLPSIPSQEHTNEQTPLIGSGSSQIQGAQRERRVSTHGNGDDVKKLNKKKK KHGKH
I206_03048	MTGSPQPQANRPSVIEGPTTKVSRSTSWLRNLSRTNLATLILLY ITALHVIGLYIFTKGFLLTRLTIPHVSPPYTPENLAPVPATHSKAVIIIIDALRTDFI SPHYPQQKSPYHHGVLTLPSELSLSQPDHSLIFNSFSDPPTSTMQRIKGITTGSLPTF IDISSNFASTAIEEDSLISQLLAHNKTLGFMGDDTWVNLFPDSFDLSHPYDSFNVEDL HSVDDGVVEHIFPYLVPANQSKWDVLIGHFLGVDHVGHRVGPNRDTMRSKLAQMDRVL RRVVEMLDDQTLLVVLGDHGMDDKGNHGGDAELETSSAMWLYSKSTPLKAARKLDKTI TETWPEYTFPGSETPLRHINQIDIVPTLSLLLGVPIPYNNLGSVIPECFSRDLKVLEA ATRNNAEQIDRYLEAYGDESLKQSLKTAWDKARATVDSTNSPEKSINTHRHYALLALR HLRALWAQFSLPLILVGSLILGLSAFTLVALYVGVRNNGINWDVYARLALETSFTASG IAGSIVGTLAGIYTANPLTAIKVFITTAAIISEIIIIFPLFLKVSIPQSFNINRSIGP LLLVAHSLSFASNSFIMWEDRVVLFLLTTIPIIYLIKALSAPTAEMRLRIIGLSLALI VVIRLVATVTVCREEQQPYCRVTFFSGSTPVAPRWVVLATIPLALNILPRAIGITLTR SKSLAGPAPFFLGYLFRGVLLSNAIYWGLEYLETNSESIGLSESALTTANFLKLWIAR FSIGTILGALPYIWFISPLCIKIGEKIINQENNKEEVKILGFANSFGSTYLLFLLIPF CLIHLTNQPMGQLTLSLLLISILIYLELIDTRRDEIILKNQFSLSNSPGSFDENELNS NSIIVKPIFTNLIPLILIGFLGFFSTGHQAVFQTIQWKSAFIGFNFVQYPFSPFFLIL NTFGFFIISSFSIPLLSFWNISPKPFLKIPLINHNLQFFLGFLIYHNFITFSNCLFSS YLRRHLMVWKVFAPRFMLSGITLLIIDFSCLLALTIGVRVTAWKVKKTFGCDSI
I206_03049	MAGRAGQAQAPAAPAQQPGANDKIWGVLRSVAMFVAVQAAMKYG MNYMGMNSKSPTPPVPVPSGTDGAAASSPAPVDTSQSAVVNAVPAWDLGTPLSMLLYT STSPGGLDVNLDKPLVQWDGLTYGGWKDEREADLVLDVPESVWAHNGSWYMDIILVKG GGLPKQAGLGNISAFRKQLTRYLPKRRIRKEKKLIGNKDQVEDEVEEPEPTGPPPIVA HWSNNLTLTIVSSGGEVAANQLSPVAAPFYTFQPNPNGPGKVYSPPIYPNDFWIMKES LYQINETTKSLPLHVTYSAISSMKFNIFSSMTASFEQAASQQGAGTGMEFDEIKRTLI ETSPWLLITTAIVTLLHTVFEFLAFSSDVSHWRKKDKDLVGVSLNTILTNCFVQLVIL LYLQDSSEETSFMILFGQGIGLLIEAWKITKVTNVRIRPAPNSIFGYSLQFEDKRQLS EDEKKTQEYDALAFRLVSYAAIPLLAGYTGYSPDASPRTHRGWYSFIVSTLAQAIYMF GFVQLVPQLIINYKLKSVAHMPMKAMMYKTLSTVVDDFFAFCIRMPWLHRLACFRDDV VFLILIYQRWIYRVDYSRINEYGQVNEGMVEDVGKPGEEKETKKTK
I206_03050	MSDSSQQPQDPLDTLLAHYSSVSASGSVPSGSSGPEKKKEYGYK DFEAILESTPIFMKETPKDGESNDVLEALRTLVFEGEGDEIATNFKNHGNELHVQKSY SEAIKAYTSGIDSNPKDITLKISLLNNRAQSNLLLKNYRSVLKDTSIIIALYTSKGLI SDKALIKSMYRTTISLIALERWKEALDVIQRSQIILLQIPPNEDDEKLWENLKDKVSK GIYKEQERFKKLKEKKILNNSLIKSINSRGLINLKTNNPPDNPTPIHFDLDEIPIKKE EEEDEEEYKSKLENMTSLVFPVFLLYPQYSQSDFISNFQENTSFLDQLNIMFPKSSQS TSKIPFTEWDLKKEYFIDNLVIYVETFEKRLLKVGKELTLREIIYKAKREATNDIKKD GIVLRDGLLSFIVLPKGPVEKKWIEDFKKSRDGK
I206_03051	MNTIPITDEKSAAMAATWSPSWGSYLYQNFLVAGAAAPTPTLDW LNATSQQAHQTSLTGVNNVGVSMNNVNTSAMDYFGLPASLNSANISGTDWADNSASVI QIKTEVDESSYNDQQQRQRQLQQQQQQQRQQAQAGPSSFYPQFYFSQSGGINTSLLTQ NQAQNAVQDYSPESTIDTVIPSLSSTSQRTSTDSVHIVDTSLTRSPSPISSLVISPHG SPALQSVKIKGRPRRGGKKRSHSILSDSEASHTHDEHDHDHDHEHEPEVPEGVERDGM IWGMKVEDYRALSARERKRVRNRISARTFRAKRKEHLTSLEHDLGAKDLQIKIANDEA NRLRKEVAELRRKLAKYEKQY
I206_03052	MYASIKIAVLDDYNNLSPEYTSNLPSNLDITVYNDTILPVPDPQ PLIDRLKPYEILVTMRERTPLPAKIIDSLPNLKLILTTGLRNRGIDIAAAKKRGIQVA GTPGPKTPPGLPPNFTATTQHTIALILSLVSNIPRDHTIISSNPTPEWIHYLPLNTFL GGLTLGILGLGKLGIGTARIASLAFGMKIIAWSPNLNQERADQSAIEANLPKGTFKVV SKEDLFKISDVISLHIVLSESTKDIVKKNDLDLMKSNSFIVNTSRGPLINENDLLSIL EKGKIRGAALDVFDIEPLPADSKWRTTDWGKNGKSQVVLTPHTGYSYEDSLKAMWEGT KENLERIAQGNAVKWVIEP
I206_03053	MPSIPTFSTSGAGPSSLPSSPRPESPNLDSVQPRRRGSPGRLAK LIAPGRSRSASNASQVSVNQEETGGSSGRNTPDIRRRAGKAAATSLLASDAKYKKFAQ SVDKSLQAFESVNEWADFISFLSRLLKTLQTPSPPYPEIPRKLIVSKRLAQCLNPALP AGVHQRALDVYSYIFSIIGVEGLKRDLLIWSSGLFPFFQYATTSVRPILINIYESHYL PLGSNLRPAAKALVLALLPGMDEETGDFFDKILNLLDKISDAITSSFFLKNMFLILIS SPQSRLSALNYLSRRLLKPPEESPQNIGLVFRGVSAVLTDDNVLVRRNGLDLLLRILR MDQPLFREADRKDQDILIRAASSVVLQRELSLSRRVYTWLLGTGEASSDQRTYFRQNG LDFLATVLQSDMMSSEKTGGGLDTQKPFKIFLSLLDKWEIGSVLSERLALPALRAIKD ATSRGPAAATGELVGTALAVYEGIEPMVIWELLYSSVQAELGTGEMNAIDLLQWLLHN VPQHDEEVNSIHVPILLDSLLSNIKSKTLTADELSEALHSSISLLHVISAHTFAKSSA TLVEPSAEMLSIADTLYADGANLLDAQQRIRVEVIPRIINIAFEICEKALLNHDENPE DLLSTIKIVTVLVDREVPSLAVISGDRWLTSVVHALSKVSSFTVVESLVSAALRASRC SAFNPAVNITTDNTMSAVLDALFRYLRPDASPYHIRAVELLWDYNQLAEVHTLEKVIA RRLGHKPLDSAAFDAFGILWRLTVDDTMLPGEIFNLPIHLVLDALRSLDPDVQRQAET WMRLNLRSYFRILDPSISRLLDPSIKYKDAAYEQPVDLNIIRYQIESISTLFKFGGSG LSKACQSTEYRQSVHRTMIERLENAFPDDNSYLEILVSLLIKFMNTDGPSTMQKVTPL IMRVQSAATELLQSIVSRGEVSQSQLFIIKSSVITKLSLAIRCRYLTLQSKLLHLLHS TMTASTGRNIVNHRRTPSLNEKLLQPVPESESDIHLVRTIVKAVSASGNLSVLQHWID FVLVTLPHLSTTSGSLQALSECFAQRLRYLAIHVDSVYIRSAASVRDERLVVTDAEVV MILNALERVLLLLAGGPNVKQEDLGKQNEGEKGLLGLMSGVFTVEAPAYEISKSEYPR YLDDAVHALLVTWSITRPDGASTMATSKAQTYEKIRSRVQRVLEKIFKIQPLGMITSC IHVWATNSNEITDSSVFDCADTLAPSAQKMVEIVCEAASGKSGRTSSEVRSDPAYLAF LEAYITRLEAPIAVQVWTTLFSFAKEVIGSIGTSAARLQLYLTFKCMTALVVTVSKTS ALEDRRLRRDLQDTYSKLLDLVTNNSLKIAETGLWSRFSAATPDSGDLDKVDVQASSE EIYNFLSSRVLPNIRSLLVDTDRVNAACSGIMVTMITPALRKQSTEASVLRLLLEIIK IPSAVKVWRVQISELFNDPRFFKLKSEDEIDLWKALVCGLMDSDKERLGDLLGKSKFF ITRLQVIDASSANIFSNREQEMAVKSLNLRRLSFVLLAAETNHHLVQLPAIQEKLVEM IRSTQVSPRVHSEVYLCLRVLMCRISPQHLTNFWPVILSELLRIFERTMDDPPDDGSE DLQLVLAACKFLDILLVIQSEDFQVHQWMFVTDTTDAVDPNDDHSPEAIMDCLSNILS EGSNITSGTIGNNQNGQPLVLSEQPDNAPRQPRLSDIKSLNSIYQLQPFFSSASIDTF EGVFGGMGVDWEAVEDGLDKEIFDA
I206_03054	MGLIDYIPQVSLKFWDRIRLPNKFTRDVVWGVIIGVTLSLSSAS SAILFQNWRRRKAIARIPPRPIEIRSDEIVDGVIGLIGNTPLLRINSLSDALGVEILG KAEYLNPGGSVKDRVALKIIEDAEAQGLLHPHTGSVLFEGTVGSTGISLATVGKAKGY ECCIIMPDDVAIEKVQVLEKLGAKVERVRPASIVDEKQNLARKRALEFGNTALVDPQK SDPELVVSTKAEPSEVGHETNSILSNNIIKLPEILRPALENKPRGFFADQFENESNFY AHYKGTGPEIFRQTSGNLDAFVSGAGTGGTIAGTGMFLKKALPDLRIVLSDPEGSGLY NKVKFNVMFDLKESEGTKRRHQVDTVVEGIGINRITQNFALGVDVIDDAYRISDEEAV AMSRYLVIHDGLYLGSSSACNLVACVRLAKTLSKGSRIATILCDSGSRHQSKFWSDNY LTQNNISIDPSIIDRLLES
I206_03055	MSPAYLPPITSLFYVPSQYPNPLDKRKIKNSAPLLTAVSTHILS TLPSTPPLPPPLLSGTHNTYTTPFTPAQPGFQPQYHQDQQYTDPPGSGGGDQKTRGID TWAFNAFSSPPSPSTITSTSDSQTGGDGFPPSESGEGESSSSRSGSFRRQNLSLNISS LPAPFQNQSFQSPGSLASSGAPFTDSYTSSHNVNFEQDYFHFPDGSYSSTGEGSLAGQ IPAVPQGQQPTHRLPASQPSSPIRGVYTLPFGNQAGRQRGATFSGGTFYPYDQNGSPL FTFTQNIPPQAIPPHLTFTNIQSPMHSPLAPSPVISASPAQFQTDNTPYFPLQNYPQP QGLGMQEVLMEDVSTPQPTVVPPTLSRKSSMGQMHQQTLQQSNGSMTPDISMEMVDKL SLFDKIVESAQTAKNALLRGEQADVSASLGNINHQLEIASELGVGPAPTPRDMSSNSQ SVSPVNFTTSPTVPTTVSASQVMQNPIIINNGSSQMQQLTPMNAVQQQQQQGLLPSLP VDGNAANGNRSVSATPIGGNPNLMASATSSDFLNMSGNKAQAPPLVHSHSFPNGHQLP SQLQGTMTPSTPVVPSPSFIASISAQHAPIVSSPLATIPPSRAPSPPRYNIPAHAWNN EMMPAMDMSASMIQQFQQQSQMPGQLSVNVVMQSQQQQPSAVLERRASQGERADGLPI SRNRSTSVHNKQWSHPVMTASVPASARQSRAGSPEDMDEDESEDEEPRKNKRRRSSAG GDGPNADLLNGAIISEDMRRQMDQMFEAFLNRVCSDLDVVDSKGEKLHQVLMAKKMQR LDESTDYRPFKFRIQAFTNAFTEELQARGISEETMSVKKIKTYLWKQDLISRFNPDGK KAKSKGNHIWNVDAKKLPGGGWVFRPFKRRIIGQPNSFALVNTKYEWEPRIWDPQAAS DTIKPTFCSPPGGLPPWLHWEDSTKLVGVPDQPTGPLPVTVIADFIDGSGNQNQLETT FTIQVVPHLLPINETTAMYAQAGYIPYDFPDQPANGSGQPVALINNQGIPFSTGTMVY SQPSAVITQTPQAIGQNQPPSAFTNQQ
I206_03057	MDRQLKSPILIEQIGSSTKLSNEITYQHLFNFLNNSNFNSSTST SVSKIQLERLNDALGVSIGKINMEEEERRENERKELRLIKKLERRKLRLEKEEALKIK EQEKLNNLIENLEEPQQEENDELESGAVKFENELQMDDKGDVEYGDNLEEDEDEPDNE HEDKDQDGDAKMESD
I206_03058	MDGAGSKDDEEDTDTKLALLSSLLEPISIPFEQLLETLNEFDGD VAKAAEALLIPKVRNAGKRKAGASLSSWLKKPKTEISGTSKSEVIDFNETDEEPFKSL KREYEVVESQVGEKIDNPSKISLPVTNVDLLSILRGPSNTTLPKVKTGPQPPITLATQ KSIDAHGLPITLLESPLPPSLASALYLELMEESENWYHNEFYLAGKAVKSPHTVQMYK YPNPKSNAWKEGVKYWYSGTSMNNIADYPPLLRKAADLIEKSVNESLNKRKRFELEWA GDWKANCCGTNRYDGAKSSVGWHADQLTYLGPYTTIASLSLGTPRAFRLRETNTVDSN FASSTKPIRTYELNLGHNSLCLMNGGCQERFKHTVPPQKALDLYRPSFDKAETPIPLC DQKTYTSRINITFRWLSSMNADFHPEPTIGPYGPREGTPLCKCGIPTLLRADQKAKAR TRLLPPSPQKSSSTSADSAGAGLCKEKGDDFVIDDMLYFWQCQSPAKTGDMKGCGFFK ILDMKKEGRGPCLKDRQE
I206_03059	MTIYSLYIFDRHCDCVYYQDWHRTKPTRAPSSQNYKPGVHRIPM ITNNINSEETFNKESIFSKSLGSQNENKDHNSLISNNSISNDKDKKLNSLPKGLPFDE ESKLVYGVILSLKNMVKKLSGKDEAFTSYSTSSYKLHLFDTPTNYRFVLLSDPSSDSL RFILRQLYVGPFLEYVIRNPLVKIDNRIEGIDNDLVSVFFQSLQF
I206_03060	MSSAEAERKRREAIAALREKKLKRPSPVETITIQSSPESNILVP PSSSPAPTPSHSKYFNNNASPINPISRNLNYGSSSAGGAIPSSGIGRSKQDDSQFKPA LTGSPQDVLKAIHNRHNPTSDTSGGSAFSFDASTTRNSISNTNNSALLSPSSPQPLSR LKPRKYADPDEPDSPTQVKSINGGTILSSPISSSGSRQPSLVLAAQRESEEENRRKQM ANRISTQFRNTPYNSILSLLKKYPNDTDRVINQIQAINDRNITMSTTTTTTSSSTITG NPPKFEAFQPNKVKPLQSQSKPPLLQRPSSSSTLPSIPRMKISQPPKPKKNEKSSIYA NRSSNGKRRDPDETSSGGEMSDGDSEGSWSGDEGRKRKKRKGDPEVDAEGEALKAFNE VDADMLTGTIACSAEQAATIIKLRPYEDVDEARHKLTKARGVSFKLFEQYTEIMEGYV QIDACLNRCEAIATDVGNTQSVWRGASMAQESVIGTPRSDGLNDVKVDVAKVSELLRK ETDMKKRKILSSYIQTQPATLSEGTILKDYQLLGVNWLNLLYSKKIGCILADEMGLGK TIQVISFIAHLKERGIKGPHMIFVPASTLENWTREFARFAPSVDVQTYFGSQAERAGL RDELKRRFRSGKLEVVLASYTQVAAADDLSFFRKKIEFETCVYDEGHKLKSCTTKAYS DLLSIKPKWRLLLTGTPLQNNLQELVSLLMFIHKDTFADAEPYLRAIFKSQGSANLLS QQRTSRARTMLTPFVLRRRKALVLNLPPKTESVEHCEMTKVQAKLYNETMNRSKKVLQ ELTKPKKGQSTIGASSSNILMDLRKAASHPLLFRRLYTDAKIKKLAKECLNTPTYCDS RLDYVIEDLEVSSSYFIELRKYALDPELFLEGGKIAALRKIIEKSKAEGKRILLFSQF VMILDILEKALDHLAIKYTRLDGQTKTDERQGLVDEFNEDPEITVFLLSTKAGGVGIN LTAASVVVIYDQDFNPHNDRQAADRAYRIGQEKEVEVIKLITKGSIDEDMLAIGLTKL QLDDAVGGEEITLDGADNSGQDDKTAKETRKSLLTTLRNKFVADDSVVKKDAMEGIKE EDDDVVITGSVKKARGKVIETSGQESKED
I206_03061	MDQQLISLVNKLQDVFASIGVSNNIDLPQITVIGSQSSGKSSVL ENIVGRDFLPRGTGIVTRRPLVLQLINRPATSKPAQPNGDKSDGEKAEDAMAKVQLNE NNPDEWGEFLHLPGQKFHDFHKIRDEIVRDTEKMTGKNAGISPNPINLRIFSPNVLTL TLVDLPGLTKVPVGDQPRDIEKQIRDMLMRFISKPNAIILAVTAANTDLANSDGLKMA REVDPEGTRTIGVLTKVDLMDQGTDVVDILAGRVIPLRLGYVPVVNRGQRDIDQSKTI SSALDNERKFFENHPSYAGKAQYCGTPWLARKLNIILMHHIRNTLPDIKARISQQLAK YQAELTSLGGPMGETNPGSLVLSTITEFCSEFRSAIDGNTNDLSLNELSGGARISFVF HELYNNGVKSIDPFDQVKDGDIRTILYNSSGSTPSLFVGTTAFEVIVKQQIRRLEEPS LRCCALVYDELIRILGHLLSKTQTFKRYPELKDRFNLVVINFFKSCMQPTNKLVTDMV SMQACYVNTTHPDFIGGHKAMALVNDRMNANKPPEKPVDPKKIPVNALNNGKDLDADF RKDEPSFFNSFFSKDKQPKKRTAAMEAPPSVIKPVASLSEREAMETDVIKLLIQSYYS VVKREMIDMVPKAITYNLVNFAKENLQRELLEHLYKPEVLEELLKESPDIVARRRECV KMVGALNSAEAIVAAV
I206_03063	MLNRIAVRSANSLTRRQIATVLSSRTFVTSSSIMAEQKFRQEKD TFGPLQVPADRYWGAQTQRSLINFDIGGPTERMPPPLIKAFGVLKKAAAHVNQTYGLP AEIAENISKAADEVISGKLIDEFPLVVFQTGSGTQTNMNVNEVISNRAIELMGGELGS KKPVHPNDHVNMSQSSNDTFPTAMHVAAVVEINENLVPALKELHDALEEKKKSFDHII KIGRTHLQDATPLTLGQEFSGYVAQVAKGIERVEGTLKNLSQLAQGGTAVGTGLNTKK GFDEKVAAEISKITGHKFVTAPNKFEALAAHDAIVEASGALNTVAVSLMKIANDIRYL GSGPRCGLGELELPENEPGSSIMPGKVNPTQCEALTMVAAQVIGNNTTISVAGSYGQF ELNVFKPVLIKNLLQSIRLLADGSRSFTKNCVVGIKANEEKIKKIMNESLMLATCLNS VLGYDDVAAIAKNAHKKGITLKESTLESGKLTSEQFDAKVRPERKLSFKSSLVVPLLL FLAIFLLDLQSLLEPLVPLAMIGQPYQSSADHWFYLVVMLGPDEV
I206_03064	MSFKPAIRRTYGKAPPRTTSSSSLFDSPSPPPFQSSDVRSSSPP SSSRIETPGPSSPQTPRKRVLSRSSSPLFWSADEEEEEDETKIASSTKSPIHTSNEGN GRTIKKMPSSQPKKAIQSSLKGFFTFQPQRKVKTPLEPSKTIPVTSNSSKIQNPVSVL GVKEPWNSKAKTTNKPLTQLHLTHLPLLHTCSECGMSFMRGGEDESIHIDHHTRVLRG ITWDGLGKSKVDEKGWKIVKDDINFGLNGKGKGKIIMVDGSIGGNKLDEILSTVDRVL SSPPLPPTIIERCKIFLFVTSSPPLPSKLSNKRQKLDTSISKKVVQKERVIGVVVAQG IKWAMRVLKVNDQSDLNTKLSTEDKEDKEKTILIESGGLGSVTCDPESLSTPLGIHRL YISPLYRSNKLSFELLESASKNTIYGCKFDPLKGEIAFSQPTQSGRTIMEKWGKGNIR VFVDDESQL
I206_03065	MSKSLQARSKNAGAAKKNGNKRTYSGWYCKPAPPNILSMLRFDH LPENLKLPTQSTIEEGYKRSSAAVNHDTGGRQSSGVSKLKTRRKIDVLNDRDSKEDVE LRQSASEAKRIANQHEKVSPHLAIVDAIQKERLVVKRDDITRQSRAQGLSNRSAHQGI RSSRFCKVLRILGEIPFEPRVRPQKKLPTPQKRPPIWAETRQELCEALPYYRSFQSGI YMHKKIAFGYLLEAFPAPRDIWAYQGRVIISHGGGQCIKNPNSESQTNIPATLQADQS RNDSRVDTLLLAHEKKIPIILIAGKGYDELPWNLDCAYVVLGWYWISFTWVEAEPCAS GIKPPDCRDYFHRYKIRFDWVESQGIPWWINDPITPIPMEPTPRDEERSPLSPLSTYS YAVKEHQIAKDNQDRVPHLSHSPRSTVKDLLNPTVTAPPSPPDSSSSFKHEPCKNTAD IQKWDNSMTSSNDSAHQRGNIWPGEIELSTQDPMLSFSDNSLQRPNFHSSFSCSACHR RMFLIYKEGLICLRPDCKAFFMIVTRVGILPIPPGFHLTYEDDFLKPVSTPPQVILPY GVIPLEPAQRVPEADIAEGEVGGRTLWKGWVCRQCGRANCRYRWEVWECKNCGNLLAP IDYHSLVPKKVLPCIDTFLGNSKIHPSSGITSTIKWIAEIGCVCMVYDLPSAGKVYHL LQPHSPTCDGLLEDYQKAAIEGGWFQRRPLKAVSHRSSSNCIAVKGQFLAQHFAVNFG AAYKYQVDTLSFPFEKAPKCVLISLAMITHHVKLIFGEEIKFNEILNVMYREGQKMSW HDDGEKGLGPIVSSLSLGNQAIMSFRPKIQRIQLNKSFYTGSINENKNTPPISLSFTL SHGDIMIMQGRDIQKKYDHKVVPTGFRIASTARVIGV
I206_03066	MSQQHGAKSVQLPAHEHTRSVSHMRHGNHSIGPEEDKVEDADSR RRSNGKHRAIDHRKITTHQATGTPDEPLSAMLDEALNTSISPPHSPESLPSSVDSTFD GKLSSLITEPPLAHHHAPIRPAPSRLLSHLTRSTLPTSSMTYGESQRENHRSLSFGEK SSNEAGPSKAWQRSNATVAITDEPFPDLDPTTGLPLNRRRRDSTSSEAPSLHLQRTIT GLLDASPNKKSTESSLLPSLSNINLSLPRVTLPSTPSLDFTKRGISTSGIQEDWSSWA SGWWSGNKGKMDEMMSEEDRAETVEEEQEKLRKKYKSPQNPVVFCHGLLGFDYLGKDT YPYYRLQISHWRGIREVLESNGTEVLIARVPATSSIKDRAKTLEEVISEKFPGREVNL IAHSMGGLDCRYLISELRPKAFRPISLTTISTPHRGSPFADYVIDNVIGRDRFPTMLS LIENLGLPHTGDGTAFSALGTHSMKEFNAQVLDKEDVNYYSWGASCEPGFFDTFRWPH SVILSKEGPNDGLVSVHSAMWGEYRGTLVGVNHLDLVGWVNTVRYTLAGWTGKPIAFK PATFYLEVADYLAEQGF
I206_03067	MSSPRKRTKIHTLQGRRLSEPQLPLHIALAWIIDRVFPLNDDCQ PVPIYSHGFVADILRIIAREVLEIGSTAATFSSLREELDPLIRKETSDILKRNNKKRN RGQKVEEDYEEAEKYTSWMTAFGDRFRDISEGCTFLDRLEKELRDRLNYADDEEPTEL PEPIERHSPIGVFSRNLLNTLRKLSFDETTHLSREIARWCDLDTNGPSIHAGIWSLDR ESGMEDTLDKRIKAMQDYQTANSSGDYSSALLSLRRFYDYQFPSAGRGQHQHALLNIA NFHYSTGGIESAQAAVEEAIRVARTAGDKACLQYCMSLAQRIRIEVSSLAFAPSETIS IQQKPISSGLLPIGQTPMDVLWSVKPALDLGEPVHIAFQRIHLALGKEIDTESQSADG EKRISKGWKTGQKLDMAAWNATQASLWGMLGSDALAEYHEDLALSDLSPWYDGRLTVL LTRASRAMDQAEYDSALAMLLDISNLKGMSMASYHRWSRVVWLVLERRAKMHDDESSL NYISACQAPLEYSEKKGPGGPSIEIGHPKAKLDTQPSNKGIKLIHEYIKDLFKKVEKL LKSFSPSHLILPLILEAIQLSNELGIWNLYRFGIILLCEVLLQIECLEMDKKVINELE KIWDQILSGNDLECINRSLICLSKAKINLYLNDENNENSDLLNQIISHLKLSIQISKK LESNSSLIESISLLIMIYEIENENISIEIDLKEKQELLEFYIMIRKGNNSNSNLNELK KQILNVNEIIKIIGIKISEGWK
I206_03068	MTIHRANRPWVLFLLLLISLLLLFTPFTNHGSDNRQRLKGVINS VKTVTNGQGNNKIDETWGEADKMREWEFNRALQYEGTGSRIQAFIDKARSGQPFTVSV IGGSVSKGRGLKPPPTSNHSQSNDIRQDQNEEQAITNLDITEDISSTNPNNKAQFGAT TLYSKENLHVLIFDWLNTTFPNPQNRFINGAQGGVGAGYFGWCFKEHIPEDSDLILVE QGINDLLDMEVISLYEHLLRGLLELPNKPAVINVETFTTLFPSLLSSSAFHQGVLNFY DVPSIAIRDVILPRLLADPSKQMPRWFRTGEDVTLKDPKAKEYGGIAVDVMHISARGH ALAAGLVIRYLQDQIERSAPPSYFRKALSRFASSYIKKPPLRILDVPSTSLTGQFDPF QRDSRHIPVCRSENSGRSHGRISSAQDDHSAGHGIGLQLAEGSEGWSQWSWDEKRYLI SKQPGSIAIFDFIISPPSKEVEESKESLLLAESDPMEQGIEGLLITESDYSNEEEVED NREIPSKRSKRSRKHSRFSFTPKLSSILPIEQDILKSDLESRRFISKINKRQNRGSGG SIFIGYQRSAHLGLGSVWCWVDDDRIKGTQVDGWWKLDKRNMGMVKEVASGLQPGKHT LRCELLKETLDPSGGNEFRLFAVMHD
I206_03069	MSMDGDLIALVNKLQDTFNAIGGDAVDLPQIVVVGSQSSGKSSV LETIVGRDFLPRGQGIVTRRPLILQLIHTPESSSSSTGLSAGAHNSSVRRSPRIGGNL GDENHDTQGYLPDLNHTPTAGAGIMRPGGRQMDEYGNVTYAEFLHINRRFTDFEEIRK EIENETYRVAGQNKGVSKLPINLKIYGPGVLNLTLVDLPGLTKVPVGDQPTDIERQIK NLVLDYISKPNAVILAVSPANVDLANSDALKLARSVDPRGLRTLGVLTKLDLMDAGTN ALDILTGRTYPLKLGFVGVVNRSQQDIMQDLPMEDARQKEEDFFKTHPVYRNIAHRCG TKYLAKTLNAVLMTHIREKLPDMKARLNTLMGQTQQELNAFGDATFLGEQHRGSLILK LMTEFSRDFVSSIEGTSLEISTKELSGGARIYYIFNEVFGHALTTIDPAQNLSLSDIR TAIRNSTGPRPSLFVPEVAFDLLVKPQIKLLEPPSLRCVELVYEELMKICHNCTSPEL QRFPRLLTQLIEVVSELLRERLGPTSEYVSSLIQIQAAYINTNHPDFIAGSAAIARDS HQASSQMARIPSQASSPDDEDESASSDGAGSAPPNGQPLNNLHPRSASTSVPDIRRPS VAKGNVASELSKSRKHERTASGSKTIPQNSNLHLNPNSNMSQSAIVGGGISPHGASGA GAKQSFLNYFLGGPNGLDEPRPSSAPGSSINDRNLHQHHQSRSSNGSSSKQQQSKDLL PDLSTGRRPGNLRSGFGMDTSSTYDMKSLGKHLEANSPDHPLQLTAREEMETTLIRSL IASYFGITRQTIQDLVPKSIMHLLVNFSRDAIQQRLVTQLYKPDLFAELLFEDEALVS ERTRIKALLDAYKEAFRVLSEVSLKST
I206_03070	MSEDLAEWLSDSNEVLNLQFVRDPEDEDVLQYQEQQAIEPFNPS FTYPIFGEHEKIFGYKGLDIQLKFASGSLRQYLSINYDSKITSTATPADEIEGTLYKF IPPDYTKSDLDFKRLVEKDAENFKPLGDKIGSYVRPPATTKGKSKGKAKAKGSQVEGE FKEDDEGAVVFEMYKSNWNTPGFREYHRRMQLFILLFIEGGSYVHEDEDSWEFITLYE RRKRPNSEIHTYHFVGYTSVYPFWCYPDQVRLRLSQFVILPPYQNQGHGSKLYSTLFS TMLSRSEVAELTVEDPAEAFEDLRDRNDLRFLIKQDIISDPLFNIVGLGNDKDKINQR IEWEKNIRKKYKIAKRQFDRLLEMLLLKELNQLNENQVKQYRLHVKARLFRFNYEMLS QMTPQERKDALAKTYESVIEDYERILEMTFH
I206_03071	MSSTLNGNEEIQNGGESSKSVAVSHTVDSGLYEHAINSTNWIYN VGYLHQDWADVNLTFFQSGLKAHRILLAKSPYLSNIMRNVAPGSNIHLNFADENINQE SVHITLQHLYNPSHDLIKQNNAKSILATSYLFGGMPELVNHSYEIIKSSIDPSNIIEM IEWLSQPVDILSNGFRNGNGDLNNTNHNQILNKENWEENENRYGEWTAKLKKDVIDYL LNQLFEKYSIYDLTKSNEILNLFSKLPYELFKFILESNKLKINSMQERFSFTKKIINQ RKKLNNNNNNNSNSNSNLIIKNNNLVLLEESVVLAFKGGEGMEIHISRKPKKTRQLWK VES
I206_03072	MHRHPRRHYKSQNAIQPPIQVLSPAGDDEPLTITMLGAGQEVGR SCCVIEHRGKKIVCDAGLHPAHPGLGSLPFIDEVDWSTVDAILVTHFHVDHAAALPYI MEKTNFKDGNGKVYMTHATKAIYGLTMMDTVRINDQNPDVSGKLYDEADVQSSWQSTI AVDYHQDIVISGGLRFTPYHAGHVLGASMFMIEIAGLKILYTGDYSREEDRHLVVAEI PPIKPDVMICESTFGVHTLPDRKEKEEQFTTLVANIVRRGGRCLMPIPSFGNGQELAL LLDEFWSEHPELQNIPVYFASGLFQRGMRVYKTYVHTMNQNIRSRFARRDNPFDFKFV KWLKDPKKLNEHKGPCVVMASAQFMSFGLSRELLEDWAADSKNGVIVTGYSIEGTMAR TLLSEPDHIESLKGGNIPRRLTVKEISFGAHVDYAQNSKFIQEIGAQHIVLVHGEASQ MGRLRAALRDTYATRGQEINIHTPRNCEPLVLTFRQERVVKAIGSLAADRPVHGTPLK GLLVSKDFSYTLLDPKDLKDFTGLSTSTLLQKQSIPIGVDWSVIRWHLEGMYGEVEEG FDEEGKASFTIMNAVKVVQISEMVVEIQWSSNSSNDMIADSALAVLLGIDGSPATVKL TSHPHQHSHHDHSNSHKDDTTNRNSDENELIKGNEEFDKIKMFLEAHFGNVSGPNLSL AEGEEDELLLMTINIDEVIAKLDLISMRVESDSSDLKKRVETVLEMALTTLQPLSRRF IGSGVNLNLNEITITA
I206_03073	MPYISNETLIGAALLIVLAFGYQYIPSNPSATARAHEANQSTSS KKRNKKKQSKSQIGPTNGSKESDEIVLGEKPGKQDSNDNYITDKGIKEANNEEADKIA LGESKDEGKTQQQQQPKQKKRLLAEKLLPKQPKTKVDDMLAPEDRPGQIARVMRVTSS NNASSTNKSNSFNVISEPASEQIDESESEDETPESGSGNADERINTFENDYSNLTDFE KVKPIQNDGWDVVTSKKKKTSSHLNISSDPFSSQSTSTSLPLPPGAASRQQKKNAKKA EEKKLAREAEEIERQRRLALHRKDLERERINELYAAKQSNSNRGKVLSKNGTLNNSSK ATLNENGKLVWD
I206_03074	MLANAWTFTPNDALAYFGTHPENGLTEEQVKRNREAYGENSLPE AAPTSLLKLILAQFKDQLVLILLGSAVVSFVLALFEDVSEPGGSWLTAFVEPSVILLI LIANATVGVVQETNAEKAIDALKEYSPDEASVIRSGRLIKIPASELVPGDIVSVHVGD RIPADCRILSFSSSSFRVDQAMLTGESMSVGKTENVVKDTTAVKQDMVNMLFSGTTVV NGAAKAVVALTGSRTAIGAIHSSISKDDEEEEKTPLKRKLDDFGDQLAKVISVICVLV WLVNIRHFNDPSHHGWLGGAIYYLKIAVALAVAAIPEGLAAVITACLALGTKKMAKRG AIVRNLPSVETLGCTNVICSDKTGTLTTNQMSVARFLTCGSTGFAEYQVGGTTFAPTG SITTIDGQHAEKTAVRTAPVNKLVEISAICNDAKIAYNAETDTYTNVGEPTEAALKVL VEKLASDSDAFNTGLANLGPQARATAVNDHYETRVKRVLTFEFTRDRKSMSVLAQTPT GTSLLVKGAPESVIERCSRVILPSGVSDLTPALREQLAQKQLEYGHKGLRTLALAYLE ESDNDVSHYKTNSAEDYIKFERDMTFVGLVGMLDPPRPEVKDAIAKCKTAGIRTIVIT GDNKNTAETICREIGVFGIDEDLTGKSYTGRELDALSQEEKIAAVQRASLFSRTEPTH KSQLVDLLQGLGLVVAMTGDGVNDAPALKKADIGIAMGSGTDVAKLAADMVLATDNFA TIEKAVEEGRAIYNNTKQFIRYLISSNIGEVVSIFLTVLLGMPEALIPVQLLWVNLIT DGLPATALGFNPPDHQIMKTPPRSSKEPLVGGWLFFRYMVIGIYVGAATVFGYAWWFM FYTGGPQISFHELTHFHQCSSSFPNLDCSMFTGLPSMRATTVSLSILVVIEMFNACNS LSENESLLVLPLWTNPYLVASIALSMALHFMILYVPFFRTMFRITALNQEEWIAVVLI SAPVIVIDEILKWVSMRLDVSGSKKVKKD
I206_03075	MRVFQCAVMGSGGVGKSAITVRFINGSYLEWYDPTIEDSYRKQF NVDNQPCLLEILDTGEILCQRDSFEEVLRTYDAIQRIKLSEGGRIIPIVIVGNKSDLI DEREVDTTEGEKLSLNWNCSYYETSARTSININSVFEDIVRQLRKNELSKRQEKNKDY LNNNYNISRRKRIKTKKCVIL
I206_03076	MALMNPAEDVDLSTLPLPFLEAYPSPAFILVVPITAKSRPKFIS RDTDVTIRNYSHPPQYDDDVSPLSGPSRHPFPSNPVTWANEKWYHLTQGRTIGDCVDM TVQNKLQAWIEGESDFEDMRYRSWHSNQTTDSLNGGFRMDMKWPEPVSLLLAKTILPL SPPSSTHTFCIITAQRIDNLISSSTSNGLWSSVTSPTERPSTTSSQNSIFSSTDPRST GSIDDFRRSVPTPERQQQYHRDSAYTEARSSSFASSIDTKSSRQQHRDSSSTDYRTSV DVGSSASQIGSPLTTPGSTSNANSYFSTSFWGESVRENDKIRLKSSTRRRGPSPNGHR MSISAQPRTTNDNQTEQFWQMVESIDWSKTPLGDRSIWKDVIDPILSITFESKSSDCV WLGPDLRLIYNKGYSQLLDHPKSMGAPAEEVWAAVWDTIEPHVRLCMSGTPVFKDNDP IFWRRYGNNVLMEHYHSWRYVPIAGKDGSILGIFNQSTETTEKVLQDRRLTSSRDLSE RMLIVRSMDEYFPALSEVLEENPKDAPFFMCYKIQQIESNANHVQIEATLQTKVGVPE GHPSVHERLSFTLPPTRTKANFGPNVDRMSSPTLSAISALSSGSGRICHVTSDGHQWP ILKALTTRQCVIVDDCSELIEGFEIRQWDELPFAAIVIPICSDGSIEVPDAVMVMGLN VRRPFDTEYDEWIHAIRSQLVSSLSTVKAAEAEQRMEEDNARMERAKAAWFRGAAHDL RSPLTLIAGPLSDLLDDNPTATQRAALTTAQRNIDRLMRLVNALMDFSRLEAGRMAGR FLPTDLGEFILELAALFRPAVERLGIQYTIDVEPSDQLVYIDPTLFETVLSNIIGNAL KYTEQGSITVQLRYTDHAEISVVDTGVGIPEDEIPLVTEWYHRATTAVHAGTQGSGLG LALAKELLRLHAGDLTVTSKVATSPNQSHGSTFTARIPLSFRPAPPTSSASPDTFGNY GKAVANEAMRWTKEGDAETSSEGAATDSAIGSGSKFSDGFLFEKTDTILLVEDNADMR RYIKQIFDSFCTVIEATNGQQALEMAISNPPSLILSDMLMPKMNGLDLLQEIRNHPNT KIVPMVLLSAIAGDESRVEALMMGAEDYLAKPFKPKELVARVHLHLQVGKKRAHLEKM FHERETEIAVLSEYCPSGIVRADGTTGRLIYANRAWREQSDILDEDPNKWADCVDDET KGKLQTEWSEYIKGNEKEFRINWKYNTGKTMSGIFIKLDRVNPSMSGILGCTTDITHE EQRLIEAEQRRQEAEESKHQQELLIDLTSHEIRTPVSAILQCSSLVKENLISLKDQLK SSGAIGFCPTKELLEDLEEDVEALESIYQCGLVQERIAGDVLSLARIQLDMLTLFDIE VDLRKEARKVSSVFASEAKTKKIDLSLKFGETLELGKVLSIKTDPVRLGQVVTNLISN AIRFTSARDVRKITVKYDISFNPPADDTCALPHSLGIPTKLPAEEDTPLWLFVSVTDT GPGMSPKELAVLFKRFAQGNKMIHTKYGGSGLGLFICRKITELLGGRIEVLSQLGEGS VFRFFIKTRCVAPPSALAAYVEAASLGALRSPAAASFGIPPSPSPSIVSNGTSSASSP TPPPEGLMEHILIVEDNIINQTVLKRQIVKAGLTCDVANNGLEALNLIREAHRQAKRA GGIVPGENSIKRVKKPYDVVLMDLEMPVMDGLTAIKELREAEKSGSLSRNMVIALTGN ARQGQIDQALAAGMDDVVIKPYVLKNLLTKIRVLTAKREELEKAAEEEN
I206_03077	MSTLSPPTTVSEEVLSTPKTPQQNVESLPLDQANSVETSSHDQD ITMTSRQITPSSPSISASGSASSPRSISASASGSGSISGIGIGESSQSTIGSNPEEHI PGIDVDKFLKKMEMEKKMLALQQRLELASIKASNGWKDMSIKEIENKLPPTPLRTRKS SLMPASPIATSPTRSPAIPYEPPSPSRPWQLIDVLWQPLPPPSHGRYPASPSSPKKRS RTDDHDLHSRLNGLGLPLSPQRLRRTPGSVHRRASSSISTNLERAINMHGSAGPSSPL RHGFEDRDIIPKKKRSQSHSLQRRQTPTTSQDVDAAKALTHMLSSGGLSDDDGSARRQ IGLSAPLLSTVGSQSLPIPEAFQRNGSTSPSLAPMKLPPINTTPRAGGLSADLRTPNS HTRHKIPDSGSSTRTLDQREEDKNAAELMMFLAHSPSPMKRVNTNEDNDENKPSLGMA ARILFADSDSSEEQTIPIKRKDSFTHSNLALAPPITPNTSNDRFA
I206_03078	MKADEKDNVRSMVLSTMQARGDTTFTEDSLKRGNVKENQSGARA ERMVIEFNKRQNQGSSKPIPIETQRVEVDDGGSLPTHPLRDPTGGKGQLKLSMMWETI NELETSVGKMTEKCLIPAEDTSQKFCEILLDGEILGGANDSIASSFFGTPTIESIPTT FATEAGIISSTPQASATPSNRTIVPDTVSTSSIQTTALSSGSVTDFTPSTLDDSTESA TATATSASQSNSGSLTDTSTIAITTTSAQNSVIINFTVQPLTSNSATTTADAAAASQD ATTVSIPGQKLQVLPIGLGVFGGLAGIAILVILYVTYQRRKFKLQFRSRKLAEKASPM GISENYGLA
I206_03080	MSIRTTALILSLSSLLPAALAISGLLTDPSQVSNQTFDYVIVGG GLGGLVVANRLSENPDITVLVIEAGSDNRDDPRVYDPYQYSVAFNTELDWNWPSSQGR YIKGGKTLGGSTSINGLAQTRGQKAQYDSLSTFLGGDDGNGYWNWDGMYFGMLKSEGF SAPNDQQKDAGASSNPAYHNTSGPLQVTYPDELFHGAQQKYFKEVVSTNFSVASSPDA DDGNANVVAFHPNTISWQDSDHRSSSATAYYSPVSERSNLAILLQHQATKIQFDGNKA TGVEYGTSDGQRYTVNAGKEVIISAGAIQTPALLQLSGVGDPALLNGLGINVVANVSG VGKNLQEQTMNSVGWTPVDGFDFDGRGPSDCIAYPDLLGISSSSNNDIASTISDNIAQ YAQDAYDAGAVASVEAANTIFGIQQDLMVNKNSGLVEAFFDSGFPNGGLGIDLWQLLP FSRGTVKITSTDPFNYPSIDPRYFSADVDLKIQIAGLRMARKIFQTSPLRSIVTEENA PGYNEVPENNDGGSDEDWSNWILNGFSSVHHPIATCSMMSEELGGVVGSDLKVYNTEN LRIVDASVLPIQFSAHLSATLYGLAENAADM
I206_03081	MIRILPILVSSLLVSAHGDHSFDLNDLNDAGLSYAERHMHTEHH IDSFDLESFFKLHDLDMNGFWDQAEIQAVYGLHHHSVKDKIKQPELVDARTQVVVDKV LEKLDTNKDGKISLDEFIAGGTEGLPSFEGYKDLGHHYDEESEYFLHHEELYHSSPET QTDESYTHPEDIAHFRHHAEIEDEEDARERKFEGLSEDADLSKDHVAQDPLDIHSHLP GDGPSDVSENSEPESTLKAGDEIDSPPKPILQRIDPLAQPQRILKNAGNIMKEEWKSA KEAVNGESYSGHGRPRNSEERLKAGVPYSKFTG
I206_03082	MRLENLDDGSKLEAAWHEALATSLTYFRALLSSSSSSAWKPVSV LPLTASTTAKDTGKSTAKGSSLGKIDASQVVVHRRNGKSGEVYRAVVEVDCGSDVSID TFRGCLVTPETRPVWDRMVEEAVTLDLLDAHTRVNRTNYRLGWPSSPRDAVTISKTLV DQHTLIDITTSLPRSKHEPAYLRPAPPHVRAHVALLAWCIQLPSNNFSDTLPEGKARI TCFWSWNPKGAWAVGGGVPQHLPSLVVGLVDYVRDGSEKVPVLLGYGPDVAIGSVQYD TSRVTLSVGYAIVAAGGVNRETEDLRRQVEFGISSTQSWDVQISVRTQHGKDSPSASW SSFVGQAPNHLDGATAPKRLILRFAHAQLDPGEELVRVNVSIERTTSSSAGVRINGIP VGVEPMQAPIPRRPLLEDTASMTGISLRTIATAESQHSHEGSVDLRRAISQRSDAAHK SIASLIRRNYIYFTSLLQEPEQKWRPVLDSRGVAIHQLNSIDKTLIVFRAEAVFVGVG IWDLFAVIASPGARLVWDKSHEDADLLEDVNELTDLWHIKSKAAWPISARDSVMLRTT YKSPSSVHLFGFSTDDTDLFPRIPAVTDPNVIRTQIDLQGWSVESLSPNTTQVTLLEQ SDPRGWSNKSSIPQVMMSTLAGIGEFAIKHGAPPVATRLGGARVLSSRYDVEQETFKF EYEAAEARRSNSSSTHTSFPLPVAVKNPDPENGGSEASSLRSMNVSRPLSNIECEIRC DADQWSNSFAILIDPPQQSISALRRHRLSPSGGGLWLTIEHDPTVLENDKVAITVRRG TAQPGKTSVTVNGSKVKIDLEDLPDGEVQLLKKQKRGRPTRVPLDQPPALGTLRKKRS NLDLGTISAANSPDPNQSPIASSASTFTKYAMPLSKWYNVAAETTRAAIIPMATAKPA TVSGSKPVDAAVKALSQLAKIHADRDGESTDPNSWQPVSDREGLRIEKKSVGHVSESF PVFRAGRIIEGFTAEDVSAAVSSLRKDERFDRPTTLQSFGHGITTSHMIAHTTFPFRG RSMLVATIVARMPDPPPPSPSQHGPHTPLSTIFHASSSSFDTEAIGLDWIKYNPTALP QGHIILEGWIIETIDPYSHEQYAIPSTRCMYVASVDYSGSMPLSVNNMLNSSLPRALL SIESVLKGSGSPSRARSPPMLVLAPEERSSPPWALEGIEESCVGVYQKIEDDHYSLTV TVQPPTPSSRDREREGTLSPPLRHNESKTSINTGRSTVIDLAEEIRKGRKDLLVLEVE LGISSMKAGCQIDLKAVSLPIATHDPQSESTNLPLNLPNEYLDLPFRCSIISLAPNVL QSASLDPTSQSKHLLRVTLPTTGYDAPISDPLGGTAAPTPRPRWLLDLINDGAVIQLT LKPHSAPKEGEDKKKGYWYGLNEVLVEDEKRSKTFGLRDFNKSNLPQLVNRATQGSTS LGGPLAVAREYFKDEVKKGERLPEAGESDILRSTITLSEEGQGVILNTKDSQIIEPSS TTTNVPESHSRYSYNFWRYSRLPRFSNSAPATAEHSPVKTTTLRLPNPLGYNSEHIDQ DSTKDSSSMTKEKNANIKISSPTLRNDNREIMKPVISFPGLIIACMICLLLGSLLRSI LVEADFVIYQSINERSQGEHWRELKRLAEWGIGWNRDLIVAIARRG
I206_03083	MVSNRHDFTDSEPENEVDSGVDSQAGPSNPRKRQLSEGVAESSN ADGGDSRGGSEDFDDLLANDEDVEERTKPVPPPKKAKKNGTGKPLKITLRPGISNLIN SQTPPLKSNSNKKSNSTRHSDRSSLSPPPPITLKFGLKSAMAEKAAYSSSEDDDPLPV PAPPSSKKKKPSSTTSSASKSKAKSQAEKVSSTATSSALAPRKSYDWLAPSAAGASHR GPPERGEKEKEKERQDSINNDNAKITGWSPADEAIGGLLDDAPESFEKKPVKKSHKKK AADAPPGPGKAWRKGIKKGMTTAVKAEDGLFTPSGSPLVHAVTPQSREGSPDPLGEVV DKLAEISPRMIIERPIAPAISRAPREPSPPFVLADAKVLGFPVYPNPIQVPKVPLGPF PKVTQYFAPINGGDVGPFPRKEPVRNWTHTDKIITGIGGGQLKLKSWVSGPESELSRL VQSDKEANEIARLAKSKTNATNKAAGQGISTGTVTPNSNIIEERPLLSTNNSFDASTS TLPTPILGPINNEEKQVRGDEEMSEIADFDEDLLPSRATTPVSISTPTTTTTTTTTTP KIKLKSNSTGGSKTKKSAPRKSNLRQEIVPSEENSEIDITIAENEVEAM
I206_03084	MTSPAPQDNSDLPPPWIRQFDPNYQTYFYINPSTDPVTTSWTHP ALSEGEIHPEQADALRSAQSQPSNQNQGQGEAATFLNSGSVADPVPGYDNGNGSYTNQ NSDQVDGQTGERGLGSMVSGLMGNSNQQQQYGYNQQQGYNNGYAPQQQSSSGNKFGFG TGMAAGGGALLAGKLLSNVLGNKHHSSYGGSSFGHNMGPPPFMGGGGGGLFGGGGGGG MFGGGGFGGPGGEFGGGGGGFGGGGHHGHHGGGGGFGGPGGFGGGGGFGGPGGFGGGG GFGGGGGHHGGGGGPGGW
I206_03085	MSPLPLPIDDPTLHYIPRRAVVDALTPNPTQRVTLIVAACYVVA IGICTVMPILEKIIYPFKLLTVGMHEMSHALAGVLTCASVEKITLDPQEGGSTRMRGG IPAITLPAGYLGSSFIGACLIAVGFDTNASKVACLILAFFWILTLWWARSSWIAWATI AVMAALIIITWLVAHSVALRFLILFIGVMSCLYAIWDIVDDTLARKVNTSDASEYANI IGCCGSRFWGAFWLVISCVFFAAGLLVGIAVFKDDWDTQAARADDFLGGTP
I206_03086	MEAIRSIQAEPQVEALDVIDELPPPPAPFPLDQLAISSELNGNG MISRSEQPRQHVRNYLASVQQSCISALSSLLPPESASSHMTSSSESLSSYNAQLASAL TDLLEVTYELDELLPPSTNITPSTIHTETFPDADSPADRIQSSFNALTNLLEGLQDAR LTDDLTGYQDTDKNEREESNQGGLHPAINVVREELAWQRLESLSTAIVRLSQDRSSSS HETLESNVYSKEGNELSQSTANNGLPPAYQQFIDNHPPSVKENDKSSVLPSYQDIRDE EEASPSLPIEDKSQNADSPISPVTSPGQQSSTREKMLLELDSLTSAIERLSSVVPRLH DQRVEMRHTASSSSSKSRIPITDGHNLSKEDKIKLEKQKMKELEEIWDKIERAHGKRR IMVEDGQRADGEIWERRTKERFINRIVDQAEARRLDDQDSIMGAVDADLARARDLRNR DHFLRDLIDSSEERRLDNQDANIPKTADRKASLIENLMEYSTSGRLHDQDSLPPTPRH GNNGEKIEDPLELVTVQDFLAGGGPDRSRSLGRDTFRENTNGNAEGSSSMARSRSNSV PLDEGIMSKKSISGRSTPTTFKKIAGMMRRGSGQLNLPLKPNNGFDANNIAYVTEHQE NLRSVQITLHGIGVSSNLELQVESSMTNDEEAVITSKMNPSISIRIALPTAIQHGQII PFSAQSLHLEAKLVAQPIPPSVASLLPSYPLSAPDLRKIEPTTLCCTSCERELATLPT DSYKDLPSEHWAEMMEVWMCHNDPSFTARLAEKTKEGFWPQNGGVLVGGSYLLIGKER IKWGNITAEQGDQVEPWNVISCHCGEVLGKQRSKDDKPGAETVRFSKWAVALLKEDDD EEIAELIRFPLSVFVVSDMLELSQAHASHRFIVSEEESGNKRIYVWLFNPSVKMSYAK PTEPSPMPSPLRKSITLDGEEKSRISRRSSIASSIGGKSVQQKVTLSASPGQIVLRAA KIMYKIVEMNGEKDLDDLPGFGLGGQVESLSYPTTICDKLINTLKESSRVYPVGRRSL DAFDIGFLGRV
I206_03087	MSESRNTSPSRLDKSASDLEEFQLVEKPDDHTKTVDTGNGWQLV YRDTVPTTSLTASGPGTQTVQPTSYTGASTTPPLAMRGQYMSKSELLHPDSLQGRTFG DGYVLLVYHTEPEKEQAILEKGLSSLSHLARCDDATEETKFWAAARWSETRWASGRDP GVIYLKPVYEQKMLDAVINSQDSCIFVAAHPNSVNVFDAFHRESARPASTQDRIREHN LYKNFSRNLSDYSKDVADGAIIDQYARARTLAEWNRMHNLTKFKAEAVVSDDIIEPDY FVPPSLLDELVSKSKE
I206_03088	MSKLASTSVLRTVSAARCMTAPSRQSAVFSCPVRSTPPSIAYTR RWNTTNTESSRQAGSEQPNPGGKPEGPETGEGTSGTGENGKKGLKISWIFSGLAGLGA LVTIYGLLEFYSTLKTWPKAVRIPLRAALKAKLRQDYRKSEQYFREALEVALTLGPES LEPEPLQKITGIYVELANVLELMGQRVTAFEELRNALEMFGTNPLEGPGNTIESVDPN IWIGSSYKLNEKDHLRVIGLYQKLGQLSLDISNSRKAPAYKIPALSSKLTLPAGGEVG NWNEASEYYLSNALTAMLRLGLNKPSTEFSNSSKRGSSQEQVILGRDVDLPSGEVSED MERNQGGSVDKRGLGITMESLSEVYAKKGQHDLSAQLLLQAVSLLLPPGSATTPPVGD RCQAAMLMTTISSYALKQSTPPPGSAKSIKVSKSWSLRSLQLSEEALRESESENYKDS PLASAIAICQRARGVGLYNLGMLAEMEGDLPTALNFFQKSLTASRETGFAEGKREASA GIRRVQSLGGLSTS
I206_03089	MAGVASPLSLSPAPSARGTSRPPAQTHASSSGKGFDVINAYKKA IEDDKVPHPIAAILALVELIEASTASTVTGLAQELTIGRKTLINTQPSLGVRAGCQLW ERFFALSMGGEDFPSYKRTLISQGRSFCAITAPQCREKIADLAVSFLRDDCVILTHSY SRTVIQTILRAHKQHKRIRVYVTEARPGCLGMRTHQILTANGIPCTVVLDSAVAYVME RVDMVLVGSEAVVESGGLVSSVGTYQVALVAKSMQKPFYALAESYKFLRHYPLSQTDL PIPTTTTTSSSTNNNNNNDNENVNFNFRHLYGDIPLEFPTLIPISNNSNLNSFINQLS RPNSLTPQTRSPIVPGTPNNNNEKEKLEMTKEMIIINPIVDFTTPDLIDFIITDLGSP LSPTSVSQYLVAQFSS
I206_03090	MAVPSPSASRKGGLTRSPAGNYLSSTISNPNLRNNALISPSLES PKQSQGDYMIRSSSAISSSSSSSQQITSTSPNPRTHPGLGKRRSTDASSGGLDYYWSS LAGAGASSSSSSLGISSSPNSNIMNFNHSPSNHNHNHNHNHNHNHNNNHNHDQFGISP VGSFERDSKNVGELRNEELFTNGMGMSFSPSSNEGQHLISNNKQQVLIESPIEEKTPR QPEFPSPSTNERSNPLYSMTKALNITYPINTNEKPTKKDDFSPGGWSENSKYSNMDEN TSAPQSASSHLSAPSPWRRNKEGIDSEDESSDSNYSPIGLNLPGKFDFENTLEREKRG LMDISSPSHLDQGGEDKFGFDRDARASVQSNATYKVNQNEEDSSIYKTPIVYSEFHGR NDILPSRLSINHNHDYRSRSQHSSPAGTPQISTPKRSPKSRSASRHHTPTSASFNRQA TGLGFDIPQIESTSGSGSPSKSPSSSSSQYDRARDTSTLNSAPAMKTEFNELRVGTED REELNDGEDNFTRADRRNTLPASTSVSGVETTLSPSRENLLSPDKYVNRSGAVTPTRE VQSERSGSTPSPNRSPEPPPRSVLRTLPNATNVVTQSSNTSSRQTSSPQSFTQTLPVI THTPNSPMPSPDRPTQPTGNLDKPQPKRPDRSPDRQYSPIFSSSATINDLTDMLGGAI DAIGLIDSRDTPPPTISEPSKKDKPSHLKLEPAAEVLIDEKNQSPMTPTSLPQRGTSL PGSNLSSTPSSNNLIMIQNTQTTQSNHSSQHQLPQNKKTRPELRQKASSIFSFASKEQ IQSPTLSITVRPWPSAMLYGNIKNLKHSGDRAKGYAKAINELSRSESGLKEWCIASAN QINRTGPPKQTALSSLGVKSNSVPSAIPLPYQLSPYDPTPIPHQRNVSAGSEFPMRAD SYTAREISQRVLDPEDQPTSLPSNLPYPELQQQTFSGGLKPSQSMQSVSSFASSMSTK KGFFSAMKKGKKDSLSLGPPTGFTSSGVGVNGGGSSSSSSSSMNKKDIIGLPISSPRS TSPQKSPASGVIGPRGPRSINGGGGTSTPPFSTNRSSLDFDRTSTFVNANSSGRSSLD MGLNRINQLPLRNSSRGSLDGFMSSSSSSIPPPRSSLSIPPITGPASGMHTPIREDDL NTMRDILPHVEKSVLRAYLVKFGDTMNAIGAYLEDEKNGTVIRT
I206_03091	MSIKYSCSHLDDPHAPLPPSYPSSSSSCHPLEKLYFCEECDAVR CDLCVVMEIASYFCPNCLFDVPSANVRADKNRCARSCFSCPTCESSLSITASDTPSEG GSTQAGPPYTLICSGCKWSSKQVGWQFEKPTGIALQLQKMNTQAELVQSEFDSLKDHL ESYISLSTSAPSAPSSTRSTRNPSRHISNLTQMAQKALHRDVGSMVAYSARNKRTIAK DGEKEKLGWDELSEYEPKDSWRKVGLERGLQNIDTLRQLEESGTSGLASLDGRWARSY DHSKMANDILPQRIPLQTKLTKRCPHPNCRHLLIQPDTKSVRMKIKMVAANYLPFVEI GRRRRRIPNSEITITDSLEQLSSEDIERRRRERRRTKGGMVFREEDELLGAPLKAGET YSYQIALTNPLYDPIQIRLTTPHQPKKAPTPKFNLTIPTPHFTINAMKDAWAYDEEDE DEDFGGMIGGSETGFSEEGTAITSNTGIGTATGSGQGTFSKKSRLSILASGKNDRKNR DREGGVEKRGNISKVNLEVEILDDVKGKLEFDLEIRYTYKAEDLGTSTEKEGKANEGK TKEEYKIFTFWVRVNIGKIE
I206_03092	MSLDNYTKLEKVGEGTYGVVYKARDLSTGNFVALKKIRLEAEDE GVPSTSIREISLLKELSKDDNIVKLLDIVHSDAKLYLVMEFLDMDLKKYMDNIGDKDG LGPNMVKKFTYQLVKGLYYCHAHRILHRDLKPQNLLINKEGNLKIADFGLARAFGIPL RTYTHEVVTLWYRAPEVLLGSRHYSTAIDMWSVGCIYAEMAMRQPLFPGDSEIDEIFR IFRVLGTPDEDVWPGVRALPDYKPTFPQWNAVELKSAVKGLDENGSDLLAQTLIFDPA HRISAKRALQHPYFTSTYPA
I206_03093	MVEIPKNGESSRSNSPSPKEGSIPLYLVNGVATVWDAQVAATLH CIHNVSGLRAGTLPGVSQQNGFLGLPLTLMKEETAYLVQEGIAHLVYLPTFPSLPTAD EISSHTAKRIERMKKLENEARQAEESKKEISAQLFEKGGEKARLKREARAKAKAEKEA KARENQVEGEGGDSLFEKDQNTIIEDSTISLESKTILKDKNLNENITPTPSPGYFLPI PSHPLIINSNNNFLEIINKLPNSKFQFPKTKKDKALLKIFTELLKKNYRIGLGPRFGG EYLIYPGDYLRYHAHFTSQILINDQNLKPIELVAWGRLGTGTKKSSLICCYNDNQSNE NNQEEEEEIEFYSLEWANFG
I206_03094	MLIFSFFKTLTDQVITVELKNDLSITGTLKSVDQFLNIRLDGIS VEDPERHPHMLAVKNCFIRGSVVRYVRMAAKSVDTTLLEDATRREAKEAKK
I206_03095	MFPSLARCSTNRAIQKSFFFPSYRQIGSSSSSSSSSSILRNHIS PLRKLSTSRSILNSSTSATSATKITQKDASKPHPSVTSELIHPTSVSIDNESPKSILD KLPQWASPVKPYLALTRIDKPTGSLLLFWPCTWSITMASTALQLPLSVPLFYISLFGL GALIMRGAGCTINDMWDAKMDVKVDRTKSRPLAAGDITQFQALSFLGLQLSAGLAVLT QLNWYSIVLGASSLSLVVLYPFMKRITYYPQVVFGMTFNWGVFLGWSAVAGVTDWTVT TPLYIGGIAWGIAYDLIYAHQDKLDDVKAGVKSMALRFPNNSRTVISILNSTFISMLT LTGYLSGMGPLYYLISCGGTSLHLLWQTLTVNFDSRKDCWNKFCSNGYITGPLVWFGI FANYVQTVLLV
I206_03096	MFTKSRNANAPLLRYVESVRRHGHRAAQIDPLDLMERDPVGALD PARYGLKEAHAYPLQGILHTPPSIKPTIPPTTSAPERSETGEGSDVTMSLEKIKQHLM DVYVDKIGFEYMHCPEKNERLWFSHHVETEASSFPHPFESDRKKHIWELLMRSEELDK FLGKKFPNLKRYGCEGAESMLPALSSLFEVSAKSGISSVVLSLPHRGRLSLLCDPDLL DYSPVALFSKIKGRPELDPSSAPGATGDVISHLSATRSIPYKTPEGEKNVKVKILQNP SHLEAVNPVALGVTRAKQMELLKSSPEDCQLGDKALCVQLHGDAAFAGQGVVAESLGL SGLPHFGSGGTVHIIVNSIGYTTPASLARSSVYSSDIAKMIGCPILHVNGDYPEAVAR GVDIAFRYRQMFRKDVVIDLICYRRWGHNELDEPAYTQPKMYEKIRGRKSVPELYEAR LKDQGVLTEETSSQARKTYTAHLEEQFNQIDKYMAKSDMLQGKWSNYVWPAGPQAEHH PETGVQKSELVEIAKASVTLPENFSIHSRLKRHVSSRLKSLEGKVDFATAEAMAFGSL MKEGYDVRISGQDVGRGTFSQRHAMFVDQQTENCLIPLNENLGVDSGKLELANSSLSE MAVLGFEVGLSWSNPNLLPIWEAQFGDFMNGAQSMIDTFIVGAQAKWLKQSGIVMMLP HGYDGAGPEHSSCKVERFLQLSNDSKTSNTQGDVNLTFVNPSTPAQLFHLLRRQMKRN YRKPLIIASPKGLLRSPLAASSLEEMTPSYTFQPIIESPISNSAEKVILCSGKHYYTL LEALNKSNKLSSVNIVRLEELSPFPYSELEVAVEKYRNKTNEFIWAQEEPSNQGAWSY VRSRLEGILETIGYQGKIKYAGRGPGATTAVAVGEWYKREVEEIIKAVLE
I206_03097	MSTQATELPFPVLPKTDEGKRICQVIRVKPERLDEYKKVHAAVW PEVLGALRKAHVVDYSIHFFEPHNLLIAHMRYIGKDFDQDMAGIADSEATKRWWKLTD GMQESFVPGATGSESGPGWWASAEEVFRMEG
I206_03098	MTFTVTQINATGASVISGLTLIAAAYLLWSLIKQGRGKLRVRLL LGMVISDLMLGCIALPPEILYLTRGPLKTGSAGCNGLAFLLTATLFSQHLWTLAIAFA TFLLLTHPLSNLTSMFERYSWAVAPIIWVISILHSAVWYGAVGYVNNGSLCYYGSKGN GLDRDLVQFIPRAIVFIVIIVLYSRLFRFLRRPDTIQLSTQFMAGTQPDVSGPHGVEA NNHNGGNKLFRPFKMTRGSSGNKDPVNPEAPWEALEFVTVGGGFNGRITTNTPLHTNT IDFTPTVPSGILLGSRPVSPDFVSPGNELKDPFSSTVTTSRYPSTSSEISGTSTKQTS GTDTYTVVTPELNYQIEPPTSVESTNRLITPTTIPIIQRHHVLSPVLSQGSKVDYPSD LGGNGVDEESLNDRLGVFENQNLDQSERRRSSLIGFSEEEAGGGIDNDRRPSGQTLKE FFQEYQVGGTEDIPENRGRGSAGSKGGQQLSASAYFNRQASLLMLYFPLAYMLVFSVS LVRLIYDMVHGQPTPVLSIMSNWLVLSVGLIDGAVYGIAELMVKAKVRRKMPEHMHSQ G
I206_03099	MLSGVALITGAGGCGIGSAISRTFAKSGISKLILTDINEKTLKQ TINLIKTNDNDNNNNNDNKIEILALNGDISDTKFIDYLFNEIKLKFGRLDYAINNAGI SGNNQSTDKSNLNDFDKITNINFRSLWYCSKKELEIMKNQEFKNPTNERKQRGSIINI ASQLGIVGRPDAPIYCASKSAVIGLTRCDAIDASPYQIRVNAICPGIIHTPMTDNSLR PTLAAGTKDMQKPMDLTESVNIAPMKRMGTPEEIADVVAFLSSEKASFVQGASWVVDG GYTIN
I206_03100	MKSIPFFKASTPFGPFQNLHEYVINNAEQITLNNWYKLFIIPLI PLYIQAFLLRYEKTRNIRISIGLIGIILMSNAGCHYRFTQPWLNAINNGIGIGIMHLI ARYLEFSFIQGPIIDRYFESKGRHPLISALDIAVNARWIGLGSINLDNKAKVNSGNNK LQINGHKSSPLKDDTNFIDGGIKLNNNKSIPEKIERKKENWLPWPKIKRTKFQSVKRH LFIAIKNYIIFDTCLHLIRFYGSNTIGSNYPISNAFYKFSHENQFIIFPILKSIIGEI LVPWWIIELITEISVAIGVWLGISCGYHLLGCLLVGSGIWETDSWEIDIFDNPLKSDS LLDFWGRRWHQFFRHHFILYSTLVLRLFHLKPNSGNILFMSFILSGAMHSIGQFTMNP HPPLLPIFLMFPLSGIGCIMEVIFKRMTGRKVRGSWGRPWTWIVMLSIGRLGTVAWLD SGVGGSYLTPPFAGKWITDNLLDGILIGKK
I206_03101	MATTHVIGSSNPGAHLSSSGSHSSSSSNIVGVHYRVGKKIGEGS FGVIFEGTNLLNSQTVAIKFEPRKSDAPQLRDEYRSYKILSGCLGIPQVYYFGQEGLH NILVIDLLGPSLEDLFDMCGRKFSVKTCCMTAKQMLSRVQTIHEKNLIYRDIKPDNFL IGRPATKGANTIHVVDFGMAKQYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQS RRDDLEALGHVFFYFLRGGLPWQGLKAATNKQKYEKIGEKKQTTPIAELVEGYPNEFS IYLNYVRKLTFDETPDYDFLRGLFDLALQNIGDQDDGTYDWMMLNGGKGWEMNGRQSA AQAEVSRHNTRTRDREYRDRVDKLRNGSAAGGQQPSPLKQRKSGGAGSALPNASNQAI IGVSASSPLPQSRRQSQQGTNNPNSGSAHPFASANALHPGTNNDSYDPSNVAIQSQAG LQAISPMNVNGRPGNTAANSQQAGEGDYNTGGGRQDKGGNGFIRLLTCGCFR
I206_03102	MASIENYVDHLVQVILYDGRIIVGKLKGYDPRSNLILADCVERE YSIDQGVEMIPLGLYMIKGDNVALVAELDEEKDSTIDYTEIKAEPLGEIHH
I206_03103	MSVFSSPLSDYSFLSSMDSTSIQSASGSSRRASSASQIPYPTSK SDFSSSYEFEHVFLSQPSERNRTSSSIVCMENSSSRSEFSESNSQNGSGKSSSAKRRE RKKKLIAGEKERAAMGIITNRTWGSNFTTLSVEIHTPKAKQPYVSYAVEETPVAGPST PRPSTVMANNSTNEADMLQDMGGIKRKTRRGGKRARRRAENKGLAMETSEVDEELFAD IDGRTTSPTSSARTSRSGTPIKVILETMSEYSVDRASHLLDDEEDEVDGELSALESVL GTPPSKRFEGNIMNAQDAASSIDSFLSDPRNFMTIKANKLRLWQSLCIELGLVVLVDD EEQPNSLNTIYVPTPPKLRENENLSKSESESEFELNKKKQFIPKKHKLPETLTQARKL LKDFAHVNLVDYLQARNLNPPNYIKGAYQGLLYPTKSSMKKYTRNQNKFVEKLIIRSE WLEPLMKDFGVKKYKSNE
I206_03104	MATTITHCRCCRGILYHLPSSSSSSKRQFASTAYNFAEKNEGFK LPSRDWSVKPSPSSRSNDGAFKRGPREDGRPKRKGNRPNEGRDSNSGGGPSNFRKPFG GRNDRAGESSNKWGVSRESARDSGITLGSGFGLKSAKVKAEGSEENDSNSGLRDLIEK SRKTNRIQRLPQQKNRGSAAFGDLLGSTSDGQPFKENPIDISPIGEKGEVSIEGGSTI VEEGDTSEYGKRQHGQRDRRSSHNRREGGSLLSRLSEAEELALPSRPHHNHKRNPHPL QPSSTQDQIQRKPKFIKPKVVQQEKQVYIPRTISVANLAKIFGVKLFHLQTRMSRLDM SEDQRRSDYLLNAEQACDIAIEYGFDPIVDDEASFDIYPDPDTADGKEHPLRPPVVTI MGHVDHGKTTLLDSLRHTTVAAGEAGGITQHIGAFSVPLSSLLPAGSVTNSSSPSTIT FLDTPGHAAFTAMRARGASVTDIVVLVVAADDGVMPQTKEVLELVKSEGDKVGLVVAI NKCDKPGVDFNKVKSALGAEGIHLEEDGGDIPSVKVSGLAKLGLDDLVETLSTLAEIR DLRARKEGKAEGYVLESRVDKGRGNVATVLITRGTLKTGSSIVAGQTWCRVRQMQDDK GKPIKEALPGQPISITGWKELPLAGDELLEAVKGEDEAKKAINNRKRDEERKRMMIDV EQINAKRKEERERLEAEAALNEAIESGNKINNSEEGIKKEEEKKILRLVIKADVSGTV EAVVGSLENIGNKEAGVKIVHTGVGEISESDVTLAEASDATIIGFNVKASRSIQTLTK SKQIPIEIESVIYRLIEKVKTKVINLLPPKIEYSVKGEAIIQQLFQINIKKKEFITIA GCRINNGIINKSDNENLIIKVFRGNKREDENLIYKGKIENLKHLKKDVLEVRKGMECG ISLKDFNDLKQGDEIIMYNKIQVQREL
I206_03105	MKTAAILCAALAAASSVEAGVHRMKLEKVPLTSTSLLPDASSVR PSPEQEVEWLRHKHLGLSHEEFYNGQKPLMGFGGSGRKTKGEHHHHYNHHEMSDEDKD KFWAQMVRHEGNEKRTLKGGHGVPLSDYMNAQYFAPITIGTPPQSFKVVLDTGSSNLW VPGVSCSSIACFLHAKYDSSASSTYKKNGTDFAIHYGSGSLEGFVSQDTVTIGDLAIK HQDFAEATKEPGLAFAFGKFDGILGLGYDTISVNHIVPPFYNMLAQGLLDEPVFSFRL GSSDEDGGEAIFGGIDDSAFTGKLNYVPVRRKGYWETELEAIKFGKEQLDLSNTGAAI DTGTSLIVMPTDIAELLNKEIGAQKSWNGQYTVDCNTIDKLPQLSLVFAGKSYTLDGS DYVLNAGGTCISSFTGMDIPAPIGPLWIVGDVFLRKYYTVYDLGRNAVGFAPAK
I206_03106	MTSSSTSPIPSASGLGEKHPQPRIILRTSVSASSSIPSLRPSPS PTPKLSRSGSFGEPIESLEEENELQDDDQSPLPYSSAEKNKYSQQPREKEKIEPSANA STSSLTTSAIKSLPFPVLASSSRSLNSPSPRGSGGTSISGGKSRKSSCEMCHQRKIKC DQQRPACSSCVRKGQSCKYAEEADHFRRSSFSASIQSNTQSQRPKSVTIVNAKDPSIK YTMSIPSSNTSTPLKNGNGQAGQNGHSNHPEWKVAETTNTTNESGSGVVQKGRSSVRR ERIVAGFDSDSEDDQDREGSQGSGKGNGHEHSLDGELAALVEGEGDSKAEEIDELEDD DEDQEGSLDSEDKDKKRGVIVDDDLSGELLDLATTPPKKKQKPVTSASASAFSTASQQ APPRTASKGVHNHFVYGRASLPAGEIPPTPATSRLPFVAINRPDTSRFDPYSTPLQNL ANSLPSPEMQQILFNTFFNDPFLTEGISLLHPQYMDDFKGLMDRRTTRFQIGDATTLA NAFIFLATALRILPDETSKLLLASQVYATPTGHSGACKTTQFPRSLSRLISCQSASSS DPSPLDQRYLDLALLAAQIAEQADPPSIMLVMLKLVLYRFSMLGHRRDKIVLAGTWLA QAVKIAQALGMGKEWEGLTQGERELRRRVMWSLYVTDRHHSFETSFPYTIMDAHQGIH LPSPMAESDLYKIRPDLRELPAHATEIAPTACTALFIHTHLARRITPILDSFATISAA NTPHDLVLRFDASLDAFQEALPPYFRLFPLTETRFDSSHSYLAAHRIKLHSTLLSYRI GVHRSHLLSYLVPHSNSGNGNIRNVLAQVCLSSLRIQRTSKMLDSKLSFRLFNPMIIF ENATTLALIMHVEKHIKNQQDGNSYLSSNEWISMRNGLSEANELLENVIPGEGLTYSR KAVSIIRELINKLEKPLNLLSNVLIEEEGLSPLKTTIPLDSSNKYHSSNNYKSSNHSR TQSYDNLQNKSPYNNNNNNDEFKLNSISPKSNEKHSLIQNNSISPQKSISTNQTLLIQ QNQFNSNILIWLDEIKNIGINLDVLIREPEWIGGWERIIIAM
I206_03107	MPHPSQGKTGHREQKLVVVGGGGVGKSALTIRFVTSQFYDQGYN PTIEDSYKRQFVVDDEAVTLEVLDTAGQEEFAAMADQWYTFGAGFLLVYSITDRPTFE SLPNFHREILRTKDKDYVPCVIVSNKCDLSKLRTVGQLEGREMARNLSAPFIECSAAD GVNVEVAFRELVKLVRKDQRREMLISQSFGMGENVLEDSQITNKTNNEKRNQVGIGSS CCLIM
I206_03108	MLTRITSMRPLSRYSISPLLLRRTLIPQPPPSVVTPRPLPTLHR SSSEDAQANRSSMKNSIDKLGSLRQKAREGGGAETLKKWKARGEGKLSVRERITSLLD QSSPFIELSPLAGHEVYPDPLPGAGLVTGIGVIAGRKCMIVANDPTVKGGAYYPLTVK KHLRAQQIALENRLPCIYLVESGGAALPFQAEVFPDHDHFGRIFYNMARMSGLGIPQI SVVHGISVAGGAYMPAMSDVVIIVKKQGRIFLAGPPLVKAATGEVVDEETLGGGDMHT SVSGVADYLANSDSHALALAREAVRDLGPVPILPSVSSPPSDTRVIKAPLYPTKELDE IVPSDPKQAYDPREIIARLVDGSEFREFKKEYGRTIITGFAEIHGHTVGIIANAGVLL SPSALKSTHFINLCSQRGIPLVFLVNVSGYMVGEKAEKGGIAKDGAKMVRAVARAKVE KYTVVVGGSYGAGNYGMCGRAYSPRFLWMWPNSKICVMGPDQLSTVMHTVQGKRQNAN GNEDLAERKRQELKEKIEKQSDVLYSTARIWDDGIIQPSDTRDLLGLGLELAHEERAS RMKGGSAGGLRGEIGSDGDAGDWGVFRM
I206_03109	MPPLFTSTSWTTLIRKAAVAIMYAPKPAIAIKATPKRTINNIQK ARQVFHQVIHSSFPSLSTPAHQLNYATIPIRTSARGFASSSKASHTSQRLSPVRQSLQ STGSRPKWVNGPSIPANVGLGKARNFSSAPHVQAMQSHVPMVFRAFATLLDDEDKKFS LKGLPKASRYTPYSKSRLARRQRTKRVNSNHSIDSSFIEDLKHFFPIPTRASSQAVIV TLPVEPETLVTEGKTTILALPLSPSLEALLSPMAQISYNDTSIGISILAKLTEGLFPI HNAFSLHSSTRIIPLLTKLDGLGVLDQHPGLANTQAEVVHDTDGQPDILRLIFIDRSV SDVKTLLGESLRPSEEGEWWALYEEQPDRKMELNQNERKEIMEQWDNSSLKTVEPSTS ESLLFPTLDMSVNHHHQEMEFNHGTNEVIFDISESILSSPSNSWPSSGSSTPSPIETP LSDEEGSSMSLSSSTESLTASLLSRLSDTSNDESVWSVYPSDSDSDIESSFSEAEAED WNTVEQLVTSSPSEEDGNEGQVMVTWSGSGEGFGFLAQPW
I206_03110	MRVFSHLLALLTLVIPSILAVTLSGQIQFGNLITRETLPVGSKV SINHGERKVWIKGDGSFEISNVDEGEYILEPIIPGYIFNSYFITIESIIPIESNSPIN SNSNSNSKSDSEISISSIEPTFIIHIQPFYPSKLPLPINSISLNHPLIITPLSKEDYF INKGGMNILGMLKSPMVLMMLFSAIMLFALPKLTAALADDPEMAKEMADTRARMNNFQ SMDLAGSLSNMLAGSSENAPVTNTIQASSGSNTPNRSGANAGGKKRRGR
I206_03111	MNIKHFFSVFDVTKQVFYTTPLSIGIVNLKPLLPGHVLILPKRI VPRLSDLNSIEISDLFLTVQKVGKGLEKYYKAQSLTISLQDGKSAGQSVPHVHVHILP RHSIDFNGENDKIYPLLEDNESSLKDNFDESQKMKEVNGNATTESEKTATIGRDWEIS DEDRKPRSMEEMMIEAKAFEDFFNTQKDL
I206_03112	MFVKLALTVLPLLSSVFAIDGCTRNATVVSGDTCDSISRKYGVS TYQLALVNDATIDENCDNLQPGQVVCLGIEEHDCTKVYTVVADDTCDYIQQTYGISNE TLWSNNPQIDAACDNIYIGEVLCVDTDSYTYPQYNETLYNALAYTYLPYCDE
I206_03113	MSKLQRLLQTKSNSSSQIGPGPTPHSSRLSNSQSITSSLDEYEN SRNSGSSDWESQISSTPFFPDARTPNNTAFQPGENSLLYQPYSPSSGEGDIEETYSWQ ERIPSDGSRPAPIPLQYNEVPSLSSIPSSVSPNSSFNSTQFLLPKPRNSNTSDPIPHS NRPIPGPLSSLSLSTSHSTDPFLITPSTEINSVTALTPPNINLPLDLSSAESNLSSSG GFSSTGTSGFIKGQDDDRDAARLRQLGYDAVLGRDYTFWSSLSISWLNIGALQGTIYA VSGCYAYGGPAMILVAWPISGIFCFCLTLTLSELASAYPVAGAMSSWAWKLARGSVGG ERGWAWLMGGFMLLVIWEIVNVIAGTMAISFDYKKTPWHMFLFFIAVLLIVGSIGSTA WGRSHRFWLCSGLFGFTMWAVLCITLLATNATRHRSEDTLSKFYNTTGWSSKPYVYLL GWQFTTIASGADASAHMAEETQNPSRNVPNAMTASVILTYLLGYISIILLLLSIAPED AATVRLHSFPFGFILTTAISKSGAITICCLMIVVLHLQVIAQLQASSRFVFALARDNA MPFSDWIRRTNESKNPVFANWLVIALCLPFACMTLGSQATLYSVLAVTACTMSYTGYI VPVGLYLFSKKNLLTEGRTTWSLGIASKPVAVIGFVFGLTIIIAQTFPGSRPVTAATM SWSPVIIAGTILICFITWRSYGAKHYSGPIKAVTKWETGMEIDLTTTLGGSRSRQSHL PRSDLPNRGSTAPQNQNSNSETDSSLKLALDPHMPSVVHTISIETGHTDLHQDFDDTN HQGEWSTIRREDGTTEWTTDSSDFTSSGGTIRSGEITPTISRI
I206_03114	MTIINNIFNFNFLVITLLIIIFTLIYIIYFKNQNQNIKQNFELS NNKNEIQFNFKHHHSNLGLKTIKLFNFEKSNSNSQKIINDRTNILEFINNGKRKSMIN DNYNDNDNENDFNFNFNYDFSKDYEESLSMSKQCSAIDMNRTLTLSRFKN
I206_03115	MAAQPIQLLFMGTGTSTGLPLTPCLTRSNPYPEAFSDMVPLLNA TENSNSELAHIKGTWNPNGKFPTNIPCPCCRSAVDSDVPEGWKNKRGNTGCIVRKRSS NGEYKNLLVDVGKTFREQAARFFPEWGVKTIDAVLLTHGHADAYFGLDDLREWCIRQG RAIPVYLNQATYEKVCEAFPYMVDKTKASGGGDVPQLIWKIIEDDDELEIIGINVKVL AVHHGIYFHSLTPAPTSATQSTSQKRLEPEPLICLGFMFDSSIIYISDVSSIPESTWS RMLDRKPKEVHSKSLERSILPTPDDTPSPSSEDMNAAQEEENKLPILIIDALWPLRPH ASHFSLQQALDVALRLKSKYTYLVGSTHPTTHFMWEEICLSLVKESNNGKRNHPDRVQ SDFLVKKVWEKVLTPKIKGSSSLENQNKKGLGEIWKEFNGDSIVRPAWDGLVLEIQSG SEVKEVERLDLSTRGLVY
I206_03116	MSSTTENTPTLSTTPTSSTTPSQTASNSGGGFGGNNPGSFYKNL FYILIGLLCAFGLVSFLSLMRARRRRHAIVREAERLGVIVPGVPGYIPLRDRRNLNWL KADGSNHPDWWEVEKVSGEEEAIIRERAETSFMLHKNDDFHPLAMIPPKSKVPSIEPI PISNIPFFPNHLAYRPESLMPTPDKFSNSSSDPSILNELINDHIDIITIIQMPIPPNQ KPKPRPIGIDDEDDTEGVVREWGGIELGITHLGINNGNEDWE
I206_03117	MVKPSSIRALRALSSSSYRPTRRTVLPTASNFIANPGQSSASPF KCRIQPTLSCCARQLHSATTLPKYTIPIDMAFDVVQPNEIKAEGQALVICHGLFGSKQ NWRSLAKQFASKLGMPVYTLDLRNHGTSPHAEPHTYSAMAEDLSHFFKKHNLTSGVNL MGHSMGGKAVMAFALNEKLNSPLRSLISVDMSPAIGKISHEFQLYTDSMIEVEKAQVK TKHEADEILAKVESSLPTRQFLLTNTRQTHGNQPHLVFRIPLTLLSSSIKHIGDFPYT PPPPISKNSPIWEGPTLFLKGAQSKYINRKNLPIAEAFFPKMKLETLETGHWVHAEKP NETVELVKKFVESI
I206_03118	MPSSSYHKYRQSDPEDGELLEDVRQFSHKSSLPSRPPPPKIIRP PKPATTSTNQSWTNPLDNAGPSKPRISTSPIRSLNKLPPAPLTASTSSSSYKSTSPTR SIDSKSFHGLPSKPSVVGISTNQKGKEPDHHRREINDLHERKYSDKPPTHEGRSGEYD NAPLRHHSLGHVTNKSPSRHRDVENSWRAESGRDNRYRPDSREDRYRPDERDDRHRID DRDRYERDRLRGGRHSHYANEISEDGYSSARGTHSSRREEFVKERGHSKEYDQYQGYV SPPRKRPASPKPHWKHEREHIDYKHRTDHKDRNGRDVRIGEDGGNPKGSTMSPAKKDK ELMGTTESQDRKKSQTAPPATPPLPVSPALDLEEGESQPHNPHSRGASSYNGPMPPRD PPPPPPPPEVEMAPPGTPPPPPPDTPPPPPVPSTEQTPAQVDVEEKAITMNPYAPKPI PHAPNRNLLDPPTRANTPTTKKDTGNPYEPSTYRFRTLTAEEELRKLGKTFEGTTTLA AYDLGAKLGEGTFGVVTKGIEIATKRAIALKKLITHNPRDGVSVTTVREIKILKSLNH ENVVPILNMVVERRDRSSRGEVFMVFPYMDHDLCGLLGNKDFKMTHSMAKLLMQQILQ GMAYIHANNFIHRDIKTANILVDKHGQIKIADFGLARTWTHDALMPPHLANEYTNMVV TRWYRAPELLLGDTHYGPSVDMWSLGCVLGEMYFRHPILAGDSDRDQLYQIFSRVGQL SQESFPNWDRLPGFPEAIGHPWDKTPVDITLLDSAPKWGMDRGGADLMIKLLMLDPKK RITAHAALDHEWFWVSPLPADPIKTTINVDSSHEMTTRQKQEPVVAPAQVRPAPSRQS HQHAFNNVQSRPPPPSYAQSRPQQSFQNQQQHGYNNFPQSGYQPGFQSQPSMQNGMPF GGGGPPMGMGMNVNMSMGTGMPIQPGFNGGPASFGGPASFGGPASFGGPASFGRPPSN FKQQPQQNRSNGLPSAPFKLSGQGGLPAAPFKLSARPTGGMGRPQNQGYNGNSVKRGP PTNGTGNGWRDEKRHKADEGLPY
I206_03119	MSSSIARPTTSQPVVSIQPSASSGASESQAISLSQQLSSALSSA IASASASASRIQSSAVQSSIISSAIVSPSESASASASESESESASASASQSASVSPSA SVTTSASASPSNVQSSIASQTPSPSTSAGGSSSTSEPGQSTSVVYVTVTDQNGSTHVA STGLSTGAASGSSKSGGSNTGAIVGGVIGGIAGLAILATLLWFFCFKKRRNNDKAFDE KTFDPARHSVNDPIDLISPSVPNVGGTGAAVGASATSPRVDPYTYASSGGDESYDPYA HAPPMQMPDARDYMQNSIGHGGYNPYGSGMEGGYGVAAAAGAGAGAAAGAYGSTSPQQ QYSPSYENHYGQPQQTQNMSTAAMSKQREAAHERYQNRLSGGYGGPQAQASGSGGQSG VPLSPDPSDGGRRESANGSVYQHTDMGSLPNEGDEQEEIAEIPPNYHSIRQ
I206_03120	MSYPSIITQEGSSQRNKQSIINELSKLLDENDEGDILELGSGNY EHLEFFAKQWKKIIWWGTVRDENEQSLISSRLEEENIRLLNLREPRLLDISKEIDWNS LFISLKFGITKPFLGCLMINLIHCCPIELPEKVFQNLSPINEKIKYKPLKSNKSWIIA YGPWLNDDGTYNSSEDEKFDKEYIKSKSPLLGLRTIKSITTIAERWGFIEESRKEMPK GNMFVVWRVRP
I206_03121	MNGSSLVTENEAGPSRSHSHHQIRRSSHSNTHTRSQSQSSSTSQ RRQSYSSYSSRVQPESTIEDEEGNSNSNSRKGKSHHLDIATYPTQQLLRLLAGLLQHI ATSNDALRVDLDPDLDESNTAIGDGQTETLKEESSPLNRNASSRSRSHSRTSSSLSQN HISSSSSPTTALFDQSEQSSSSSSSNVAENLTSHEAGSSISNDEFPLFTASKSSLSHP SSLLAFHARHIPSISIEAYLLRILKYCPTTNEVFLGLLVYFDRMTRLGTPQGVGGTQA IFGGGGKDGKGKSFAIDSYNVHRLVIAGVTVASKFFSDVFYTNSRYAKVGGLPPTELN SLELQFLLLNDFRLRISLEEMQKYGDRLLAYAEEQEEKSKLETERERERMEKSQIEDG DGMNANGSSEQSQSVASASTDTTRPSDEPHPTTPQTNIKTSSMKPSTSNPPPSQENGS DVKIGTAGSNGVTPSQSAINSTTSGPSGIRDWVRNEEVLTSRMASPIRD
I206_03122	MSSPITTPSTPSPKSPNFKLTLPTPPSFSAHRGSRSIDSSTNTS SANLMDLASQDNGCVQDNIAINHGKRLSISSINDPIVYNDGKSNRRVSFSTEHHTISR PTLTQNQNQSNTSSSGGDLKSPIYKIPQRQNSSNNDEEELLDLPDISTTPAGNGTKRP PTSFQNSSPSTTSPSRFSIMAAAKGISIDQSLSSSRTGSFSRSIWSAGMIPSSTSGWI SPKPKTPISISSTLKSPISNKLISPPVSAGVEGTASEIAKARGLSIAIIKENGKGVVV PVTPGLSTSGMTGLKSPVSMKSPEIKIIKNNNLYLDDGLASAKSTVVPDTACNLGKKE IILCKFYHTPGLTCTSRPCRFVHNLSSIQQPISGLSSAMPNTSNLSEFRMLSPTAPDP TSGTFANAQITPSTAESEPPSKSITVKKDGQIDLGDVMPGEKVLVADEDGEEVMGQVF FMSGGGKGAMGKSREKWKTVSCKDFAEGHCPYGDYCSFIQKDNAEIDNKKEETKTREP RLTHRKSASLSSSLAAWTRALPKAILVSSVKIDPQVLHKNETGLSAFAPPFLKDPIAV GETGDLISAQNESTAIRNQSRHITTTPPARASEPLAIPMQPTITAPPRNTAWSKGPPP NLREMTNVKPEHTLESPSTGLGTGIGQHLLPPVSAYSLFGTESDPASPFDPVSHRRKL QELEESLRALPRSNLSNQFRFAQYDSEIPTQTFLSSPINDDKSSGTANHKSGAILNST TYPWGMPMAPLPGHNDPSVPVIPGGLGVIWTPAGWAVQDAAMKNALRTAEVKARYGGE VKRRTAKNYFRTKPCRFFAEGFCPHGDECTYMHILSPSSPEQTSSSSSDSESLSIGQA FSPPHPKHQTLPCKFYNSSLGCNNGNNCSFLHTRVVPESVMMVERPRPWRTKPCRHFQ LGRCTLGDACHFAHVLDPVWMNSGNNPIVDGYGQKTLTEEMLEKTMEEMRQNVNISND NGEEEEEDDDDVEIVTSVARDLTFSSTSYSPPSSVRA
I206_03123	MAQVVDKIVNSTVGDAKKRQMDGFTIDQNEKTPLTTYFGTKVSE TDIALRAGARGPTVLEDFHNREKISHFDHERIPERVVHARGAGAFGEFKLHTPLTGLT TAHILTDTSKITPAYVRFSTVGGSRGSADSVRDPRGFAARFYTDEGNWDLVGNNMPVF FIQDAIKFPDLIHAVKPEPHNEIPQAQTAHDNAWDFMGLHPQSTHMQQWAMSDRGIPR SFRHMQGFGVHTFRLLDADGKSTFVKYHWTPHLGTHSLVWDEALKLAGQDPDFHRRDL WDAIEAGAYPKWELGVQLVKEEDEHKFDFDLLDSTKLIPEDLVPVKNIGTLTLNRNPV DYFAEVEQVAFCTQHIVPGMDFTNDPLLAGRNFSYQDTQISRLGVNFGDIPVNRPVCP FMTNQRDGQGTMFSKSNRQAYHPNRFDILPTTEPAKGGFRSYPEVVSGIKERMHGPKF NEFVSQAQLFYNSMSEPEKQHIISAYQFELSKCYETIVQQNAINRINLIDHDLALAVA SSLSDIKVPDAVPNHGQKSEFLSQVTGKNQTFTAEGRKVGIYLLPGYSYAQVEPLKLA FTAASMMVKFVGPATGKVEAGNGQTQIAEFTFENSRSTHFDALIFIGGDSDDYTKKLK NGRLIHAAREAYMHLKAIAATGNAVGWLTDLALAGDLPADAKTKTEITQANGVLLAPS VGAGAEFSEKFIDAVAKHRVWDREVDHIAA
I206_03124	MPARIPTLTLNDGTKIPRIGYGLGTANYGIECAQHVVSALKTGY NYIDCAQMYGNSKSFGDGFEKFGGKREDVYVVQKVGKTGTESHPRKILEGLLKDMKTD YVDLYLLHSPLLTKPLSLSEAWKVMEELKEEGLARSIGVSNFREEDILEIEKTWKIPP SVNQIEYHPYNFHAPNVQRLLAIQKKHNIHVEAYGPLTSLTGAKNGPVDEVVTNIAKS KGIEESQVLLNWARQTTDGVVVTTSTNEERQKIQLEAITKNINLTQDEIDQISEAGRK KFFRYRMNDVWDAAKP
I206_03125	MSHSPAALEIEQEETNQACISTEHSPQIDSANDNRHEDPQDIPA KVVIEPPYSSFSLYQKYFIITLASISATFSGFASNIYFPAIPIIAKSLGTTESNINLT VTSYMIFQAISPTFWGAISDVYGRRLTLLCTFLVFLSACIGLALSNHFYQLIILRCLQ STGSASTIAIGAGIIGDITTREERGGYMGVFQTGLLAPLAIGPILGGVFADTLGWRSI FWFLTIYSGVYLIVLTLFLPETLRSVVGNGTLVPYKQAKAPFEKFVANREAEQSESNL GNSKKLKIDFIAPIRILFEKEVFFVLAFLSIHYATWQMTLTIQPSLFSKIYKLGEINL GLTFLANGLGCMIGTLTTGKYILDKDYKKYKKQYEESIKSKGEMDFPIEKIRMKTLWI WSSIEFISVLIFGWTIDKNQHISIPIIFSFFLAWSAMSIQSIINTFLVDIFPKQSASA TAALNLARCLVGALATGTINPLINSIGIGWSFTLWTGFMIISLGFVGVQFRYGAEWRK KREQKERSKLNGSS
I206_03126	MGIRLDDIESGPSSHPSFPPLSSYGSLIDDELQEITCQCEICKQ QSTFQPRRRRNATRQYRMNTANLLSLPAFLIPFLPSTSAAPPPTRSRRFPRSPLPKPT PIESYSPIVPRDNVEADPTSTFTIKDHRNVKYLTSVVTPSVLPTNNVYVDETVLPYLL SRHEDGSWTRAEGGWSLYGRQVATPTTSPILADDGGSADAAESSVNAGSYAVESVLPN GWGVSSNRTSIYKVPLISIASVILSTGIVALIIFIVLSRRKEHRKRKRAKERIRRKAL AAAGIKEEDLNSSAKEAMFKEKLNELEAQHSAKKKKKSGQIGVAKSKVRVWNQRIGMR RRKKAARDENIDDGEHEGEVRISAETEREERNENEEDKPVEDVDNQSPSPIPSLDEHD DQAATSRSSSDITRRSSPGDDGQSATSTSQIDNDLHAQIGGSANEAQQSIVPHFPPAY RPASVRSLPRHTPSSSSSAGPSHPQTTSSTDIYPTIVSGTEKTQAPGYYPAPATEDGE IALAIASRSEGKSRMIEPPTTEEEDEDSEESARIRHIATDDKRVLERMRLGASAPPVN RESSGDGEAVDGAADGPSAPAVKVDQDGFEEVDETQLQVSSEPPYEITSFTGTGDLPE PPRLNSRLSSRLNGISSSLPLDTSHLLPSAPPTTQALDLSLDIPSAPPMLDEGEEADI PSAPMFELGEDSLNEDSGETTSSTDQSTLPSSNEFTDEVRPHEGELLGLDHTATLDQE QEQEQEVENSSAERRDSELDNSTFSNALPVRSGSGSNPLFLPRYEP
I206_03127	MESFLGSSSQNSRTGSGGSTTSFFGMDPSKSRVASSVPKAHSKR VTTVSTSSKPIMKKEFPKVSSSGNGIGTKGESNINNKTSSSGGKSLGKTNSSSDDATA LFRDLDSRRKDFDRRTDNIEFRIEQKKNIKSQDKDDIGNLREVTKKIFARKNEYDRDR DDNDTPRVSKSKNKSSQISSSQISSSSRSKYQPKGTRIVPLSESLQSNSDSEDEMTLG STPPENMTEGYKEDRSRSNSPTKPPKSSHASSGKMFKKKKEIPLSKLDDVNRNLPTLK SKCRLEGHESRGKKRSSELEDEVEKSKSKIKGKGSTRRRIESFESSNEDENGRTPNAN RINKYNKRASFSTSPVKDSSFLKIVEDQEHVKMLNQQSSQIQIESNRNANAFWEDLVK ISSEAHLEEDETEDHIDVSKIMDEALEDDQALSEEDKAYLARFKSETDLCPYCSAQMP VKPSANLLRHKVKLEAVSVPEPTTSNPSARKLGWQQHIDFCQLHHAETAIIPLGIRSG YPEIIEFGVLEQKLETGWIRDELDEIVRHPEKSAVFRDVQTQIEQIGKITWGGIKWQS KPENLEAVKPGYFGELGRTILINHFMSLRKWGYYPSLKSSDPHHPPSLTPLSWTEFLS HVLIPEASILLIMSNKGATTFTEKAYGEAKKIRKESVTYGNWKFREDDEDSQEILATL HENKEAKKERLKRYRREKTALKITSSIEISSQTDTDEIKEESLGGSSVIEVQTPKPRL NSKVNEEGDSALEVKSFMGPTDDRFFDSIKKDINNLANLNNTKDYEDTDVESDKENQI HQPGPSQASTQYSYDGLNDDLLLEAADGY
I206_03128	MSEQYVLNNNNDNYQNQMNYGGNLTMLNGGGDSNILGGQGQDSL ILAGPSSNNGYGQNQGQGNNQWFPPIPSLASLPVDKSKTDPKPAYYKLQFGDEVTGFS YYVRTLAVMIGRNVERHSAALPPPSITAPSVPVNPPLDPNQPSPPMQIEDIVLPQIID FPTPPLHHHTSFSVPPQSPPHPSSAPLTTNLLNDEFAAPLRAQSEGIIDHSLPPDFSF LHDEDYPDIDMGEFGVLEELAEAVKVEQIKAASLERSAEPESRQSLSQSVPPTDNQGR LLVKLEEGSVSDSLESVPVGVTELAVPHISDTSDRPVHSQEDMQVMDVDHNQVMNDFS AMDLDLSQVKLENLDDFGSPPPPPPPPAKSSAPVEHVDVDLGPLKSVSRNHAKIEYKP DLGHFCLDIYGRNGAWVDDRYFVKGSIVPLAQGSQIQIATRIFSFVLPPSPISSPTYT HYALDGAPDLTEDLPYPYNLPASEVGYQEFYGEPGPGPSSAASMAARAPPAFNAFAAA DGYGLGIEGVGEGSWNGWGSDDDDDDDSEEELDESGEEWEPSPTTTEKPKKVKSKTKA PKSGLVPGEDESELSSVASEPDDKKKKPKKHSVSSTTNATAIMKPKPIAPAPPQAILP NLASAVQANLPLPTTIAPSDMILPPVDTTVLDSRKSSVAENSKAITDVDGKSTSPKKV KKKKDKDKELTKDAEKDKDKDAKNTETAEQEKGQDKDTGEAKDNEGKDKSIENGVKVD EPKKKKKKKKPKPDEQTPKTEDGNAIAATVDEKEKDKDCTSVPPKKPKKAKTGEGEKC SNAAEDVKPDVPEKKKKKKKPKVDGEVKLADGKADTPKSADTIIAPAPPPTLAAALPP APLVPASTIPATQPMPTLAAALGSAPSTTSSGVPPPTGPPPPAIRPPAVPGPTLPGQP TQPIQSGQPLQQGQVQPGQPIPPRPPMQSVRPPGQPQLPNGHYVRPPQPGQSGQPQSH PIYPPGHASHPQQYPVGPNGQPHPSQMVRPFPPGHPMHPQNRSASTPPAAPPSPVPLP PFYCTELNETPGHPGHIIVNVPIPPSGAGPRPPPGPLLGLDGNPFIGPPPLKPTQTFA TIIHRSLQFLPRGRGTLGEVCNWVAGEWEWFRLNVDSGWQNSIRHNLSLNKAFLKVPR IPEDDPESKGSVWIIDPEEGPLFEEKQKRDAQKSASKDKNAESRREKERIRAEERAKK QREAAIEAARNPPQMIQRSAIPVAPRPIPRPIPIAQPAAPPAPPAPVSANAKGILQPK AKIVVVMQPITPAMRAKSVISTTDANGNPLPFVCDGTTLVLDQSTFGHLTSDIMDKLT LLGAAGAVDVLSAWVINKNKQQATKAAQAKTGTGAPGGVKNGTTVNNTTTVRPGVISS TTATTTSAVRPPVPPTASTANKPVVATSAATAKPPVGAKPAVNSATGKPIPGPAPPGT SLTKVIGMIAAVANAKGDVNTVGPNASALLRYIRVVGVDIDLRVAERIWATGVVPPLP PKKVPPKPNTAGAGTANGAVKPGTSPSTTVPAPRPVVGTTSASGANAASLPKSAVPNT SMPTPTSAATPKPVTTATAASTIPKLSSAPSATPAPAPTSVDSGTTPAAAGLKRKLED GSPNHSTVGSSTNPIIIGGTAAGSSASGGSMEQQENKKPRLETSGA
I206_03129	MSPKAEQHSRLAVLGTVAFYMVVAISMTLLNKSVLSSTPMPVFL LLCQSAVAVVLLSLENMFGPYKTPKFEKPIAKDLIPLVLVNVLGLIFNNACLQYVDAS FHQVARGLVLPFTIIVTVIVLRQYPSPLALTAALIVTFGFFSGILFDPNHASSAASAA VAGNHTSSLGIMFGAMSSVSSACHAVLIKRGLASVSNSPISLSYYNNILSTLVLIPIF IFSGELPNAIKLLSNGGATQFFWGSAITGFFGFLISLASFISIKVTSPVTHMISSATR GVLQTILAVYIFGDIMSRGRIISIFLIITGSVLYVYAKTEDSKKSKNENDVHTQVPAN PKSLERGDVLFSTDEKNS
I206_03131	MTTHHIRQFPIILITGTPGTGKTLHSTLLSQELIESNTPLKHLN IGDIVKEQSFHEGWDEEWKSWIIDEERLLDYLENIVNPEQGPSQTGFILDHHDPSLFP ERWVDLAVVLTCDNSVLHERLTSRNYPTNKITENVTAEIMQTCLSETRESYDEEIIVE LQSQGNEDGEAEENVSRIVEWVEQWRKDRIEGKHGE
I206_03132	MSDPTAIAQQFTQFYYQQFDTNRNGLGSLYRDTSMLTWENTQIQ GSTAITEKLVNLPFEKVSHKIVTIDAQPSSPSTASLIVLVTGQLLVDDGTNPLQFTQV FHVSSHYLFSYECVIMVREL
I206_03133	MVSTFALISVLSALTATVSAVPCVQFDSSWNLYAFGGSEDVKLG ANTTWAQPQASALSTSGRPPWTGTNSQCILSQTNNALYVVGGDSSDLSKIYVYNFASD SWSTQTTSGAPSDLGNSRSSSVLDHDTNVIYTLTTSSGLYQLDMSSVTNSAQSSALAW EAVENPSFSVDGYSVTATQAANHIFYFGVPNTAAGSANLFVVHYAYFQPTAQAFNGSS QFPDTAGQAISIPTESDSVPYSMVFVPNDFSNTYIATHWTDLGDYSVTSDAPFAVDLI NSTQTIAAPTSQDKTASYAASPYDLVQIDTSGAIYYYANPVNGDYTVSSGGSWEKLSY SLTGGSTGSSNSTTSAAASGSASASASAASGSASASASRTASGSKSASASGSAASASS SSKSGAGKSVARGDLMGLTIGIVALGASMFL
I206_03134	MHLLPREQDKLILSNLGFLAQRRLARGLALNRAETIALISSQLH EFIRDGNHSVAELMDLGKKVLGRRHVMVGVGESIHDIQVEGTFHDGSFLVTVHDPICS DDGNLFNALYGSFLPTPSDDLFPLPEQSKYLAGSVICLKSKLTLNVDKKKIMLEVKNI GDRPIQVGSHYIFLETNPALVFDRVLSFGYRLNIPAGTAVRFEPGEKKTVNMVEFGGH KRLLGGSAISSGVLDITNDEERKARLEELVKEGNFGNKRQQEVKEGKIIEMDREVYAS MFGPTTGDKVQLADTDLWVEVEKDYTIYGEECKFGGGKVLRDGQGQASNRSDEEVLDL LITNALIIDWTGIYKADIGVKNGNIVGIGKAGNPDTMDNVTPGMIFGSSTEAIAGEKL IVTAGALDAHVHYICTQLWSEALASGITTLIGGGTGPADGTKATTCTSSKFYMETMMH ATDTIPLNFGFTGKGNDAGTKGLKDIVEAGACGLKLHEDWGSTPECIDRALIVGDDYD VQVNIHTDTLNESGYVESTLAAIKGRTIHTYHTEGAGGGHAPDIIVVVEQENVLPSST NPTRPYAMNTLDEHLDMLMVCHHLDKSIPEDIAFADSRIRAETVAAEDVLQDNGAISM ISSDSQAMGRIGEVIARTWRTAAKMKEVRGALEGDAELRDNERVKRYIAKYTINPAIT HGMSHLIGSVEVGKLADLVIWKPETFGAKPETVIKGGVIAWAQMGDANASIPTVQPVY GRPMWGCQPDVAPRCSIVWVSQASVDNGTVEKYGIKKRAEPVKNCRKIGKKDMKLNNY KPKMSVDPETYEVMADGVLCDAPPATHLALTRKHFVY
I206_03135	MPQPPLDLNKYLSKSLPHLREQYPPGTGGTGARAEFEGDLKGVQ VIENFDDEVTKYFKTLPSEPITPHPHYKYPKFVAALNTIPNPLKTPSDLQKALSSIPL LATSSVLTALDSDPEDFKFVTDAQALDRAGYESDGGVDGSGESKPLKPDYKTKPWTFK YSKSPLGSGEFLLTKGGSDDVKLVVRTINSSAFTSADWKEYIVTGPYKYNIRSKASWY WSRSYKAAKRLNCQYYILTDWQRWTFGYFNEDRTHGWTSPILEYNAENPGVLQALLFW TRSAIGAENGFKPIQKDVSSLPELFPANPARRISATGNTHNPRDQTKPRLANESDIEE SDAEDAS
I206_03136	MSLISTLAPISRTSWSRIGHSGCLGRGRGRNQRNIYNLTRGKQV WGNRLILSEEVQHALETLKPIVALESAIITHGMPYPANLSTATSLESIIRSKGAIPAT VALINGKIHVGLTESELLQLSDTDSKISKSAVKVSKRDLGPAISLKRSGGTTVAGTMY IAESLGIKVFVTGGIGGVHRGAENSMDISADLLELGRTPMAVFCAGAKSILDIPRTLE VLETQGVCVASYGEQQDFPAFYTPSSGCQSPWRVGDADAAARLIYTQLTLPTKLATLI GVPIPSEHAQSGAEVQKSVEQAVRESVQQGIDKRGKEVTPWLLKRVGELTGGKALGLN VNLIENNARIGAEVAVKLSELYRLEGESGEKGNALNYLSNLTPTKSNILLPPEVLVFG SAAIDLTSTSPLPLVPRTTTPGTVFVSPGGVGRNIAEAAQNLLPPFSVQLEPDAFGKL LMLELAAAGLRTDGLIGKDGRSTAVCSLTLEENGDLVAGVADMGIVETIKSSKVEEII QSRLPRLVVLDCNITEEVIRTILTVCQALNIPTMCDPTSLPKVPRLSSTLKSLLPSSP KDPRPLTHLSPNILELDDLYQKIVIDNSSEDFEMIQWEFINSLNLDSTWRNMIDNFTQ KNERNWIKEQGIIPKMIKLLPFIQSFWLKISSQGLIHFEISNNINSFKENSISYKLEG IHKGKSLILTHYNSPQIEQNEIVSTTGAGDTLVGGLVAGLIKNEEQEHEWVMIALEKV KKSLQSRRAVG
I206_03137	MSFKTYEQGLKQATDQANKAIQIESSLSSLSPLVSPVPTLQKAF PAYISAAEIYSHLIASNLVPDHDITNIKRKWRLVLERAEKIKSRIESLGGQVGKVEIG DEGEEAAILRRGSKVNGIDVPLWNGEPGSREFEDERYTEIRQPELADEQIRHNPIWRE VPAENWDNQGDNWILKQGPVSDCSVVAAIGVNLEHDRQFGSSSKIDNLYPQDEFGKAK RSNNGKHVLKLLLNGAWRKVVFDALLPHTADMQPLYTTCHPLGTLDSPSGLGVPWVPL ALKGYFKVFGGYSLKGSNPAPDIYAYTGWIPERKSFKDGFQREKEWKRIYESWRKGEV MLSLGTGEHVSDGLVKLHAYGIVALREEGNERFVDVFDPGSTLFTMSWDSICSNIQAL HMNWKPNLMPNAATRHWTWAKPNTNYNTGSVVTANPQYRLSIASTSGDQEIWILLSQH ITSKDRPLDDIALHVFEEHGHNATKKLIRPERADQTSPYANDMHVLVRYSLRRSTASL LVISARDRGNYQTGFTLNAYASTGTSISLERISQRLPFSQVVSGSLNSRTAGGHPGHS SHILNPQYRVTFQQPSKGAKIVGRITLQGEKERAWNVKLLWGKGELVSDIGEDMVIAD TGSYSHGIAYSEVPQLEAGTYTLIVSSFEPNDTGSYTLSFESTGPIGITPIPAEGAGM YSRIVNGAWNENTAGGRPSSGRYTNNPKIEVILPTAGTILSRLFLPTTSAVPLNLTIF QRGTGGELGEQVSTTGPYNDTISGVSTGKIKLNKGIYILVPSSFEGVRTKWIVKIWSD IPITVDHVS
I206_03138	MSSQLASFKVPVIDNEPMRNYAAGSEERKDLQAAVDKMLKSAPF EVPCIVNGKEIKTGDIQSQPMPHDHANPLCTYHAATPEVVNQAIEGALAARQAWEELP WADKAAIFLKAADLIAGKYRYELMAATMLGQGKNAWQAEIDAAAELCDFLRFSVKYVE ELYTQQPPRNSNGVWNRVEFRPLEGFVLAVTPFNFTAIGGNLVGAPAIVGNVIVWKPS PMATYSNYIVHKIFVEAGLPPAVIQFVPGNPPEVVKQCIDHKAFAGLHFTGSTQIFRK LWKDISNNLDIYRGYPRIVGETGGKNFHLYHQSAEIKSGVHQAIRAAFEYSGQKCSAL SRCYVPSSLWNNGFKDLLVSETNKITIGPCTEWNHFTGPVIGKPAFQKITGIIAQAKK DGGEIIAGGSSDDSKGYFVQPTVIVTKDPKSISMTQEIFGPVLTVYVYEDSEYDNMPE LIEDTTEYALTGAIFAQERGALQSAAHKLRNAAGNFYINDKCTGAVVGQQPFGGARAS GTNDKSGSIAIFSRFVSMRSIKENFIAPQDHLYPSNFL
I206_03139	MSAPLLSNDPAQPVKKRKRTGKEREERKRLAIQAQSDAEKVEKV AEDPLTTESTSEIPLTVSDEPEIKKDGVDIEKATKRIPQALKSLHPTFKQAKSFETRR LIKKIKFLRIKPDIKAEVSDLEAQLSILHDIQLHPLAQSHLLLKLRKHPLFKSTTLPN SITEILISNIPSVPSTSTSATPTLIAKVENRLYSEKGVAETVKGVVSWIIGEEGAKLI QQSKKESKSSNNPIVKGSKKSQIKNQVDDSDAEINEDDSDIAIEGGKEMVIASDDEFA EISDEDELAVDEAGWESGSISGSGNDSENDEDEDSIPIPPSKKVKSTPVPTPKVKSTK LEKMPKDGKAVTSSMFLPSLATGFTLGDEGDSDPDEDFDPNGVIGKKTIERKNRRGQR ARQAIWEKKYGKGAKHVVKAKEDEESYQAIKAKREADRRGKPDHMRGKDRDNGWGTKS GNLNSTPSFNISSNSSRNVPSIQSNPQPKDDKKNLHPSWEAARLRKQKMGAVPSDAPK ANKIVFD
I206_03140	MIRCTTRPGTLSSVNRLSRIAFASTSAEASSSTSSPSTPRRRRS NANVPTSGVDLVAPPDPASNIRPIIYASKPSINPSTNSPYSASEFPTGSSDAKLENME LEWRLRRERVDLMNHRFWATTNLTFEAQKAHRLSLLPPASDPPTEEDERRREEALTQF YADWQIANQEKQIRWVKEWWREVWDGIKIQGKIYFLRALRRSR
I206_03141	MVPQTPKEKTISRESLKYDYAKCDLDYCDNYALLSHRVCFVCGG NYCYDHVEDIDHHICYTNPPKERIYKGCALDPYVSEVIAIRDNLDYDAVKEEVESIRC GHKCTDIEKPKNYLELRRVTGSFNTHIVIHFDDDVKWVMRIRRKESRCMPEEAIKECH YSELATLKTLEDQGVKIARSFQRPADSKISEDLFYFYQEFVEGVPASKVFPWSFGNKD LTPAGIRFIHDYAAWTIDLEKIISPQNVGCLRFDEEGSTSVGPHIEKGRNLSLNFPYT KGPFVTAKQRWLYSIECRMKWIIDKRACSPRGELHNYLILLEMKDLVTDCEEMEQGPW YLKHYDLHQGNFRVDEETGELRGVIDWEWASFTCKAEAFAPPAWFGAFQFCSTELGKA GHQLIKAYDDLGRPDFAQVVRNSAKYQWLEDTVMGANTQLPFLNATLRSFLYLPDETQ GEPKTLEHWTNCRLDRYSADIGLQTLLWRRPTQPLPQLERPPPISKHQKGRVPGEDD
I206_03142	MQSPTPMVEMESEQPFKYDYAKCDLDYCDNYALHMQNACYVCGG TYCFEHVEDTHHHICFTDPPEQKIYKSGDMFSWRFDVPEILKLIDYDAVVEEVEGLRP GHKCIEIIKPRMHRSFIRMVGSFNFHIAIYFDDGVKWVMRIRRTSARHQPELAISHCH SSELATLKALEDAGVKIPKSYERPNNSKVSKYLLYLYQDLIEGSRTWDIFPISYNDDS LTPAGTRFIQDYAAWMISLEKIVSHGSVGSFCFSGNDKQIVVGPHIDHIMNLNLDFPY YAGPFDTAKERWIYIIESRMKLILDKREVSPSQELLQYLIMLEMRELVYGCAEFDHGP WYLKHYDLHQGNFIVDGDSGEMKAIIDWEWASFTCKEEAFAAPPWFSKEETDDHIGNK AEELIKAYENLGRQDLAEFVRNGDKFKRLDNVLLHSVKDLNYLNDTRRAFFQLPGDQP EDTPTTIEQWMEMRITRYIDIDQGLRVIFERRPSTKVPQLRRRSSFIREKLAKEQEQE RKKINEQVDPADYHLPDSDDET
I206_03143	MTLAVVLRRHGTARILSSLNHSVFVACLKFRRYHRVVTPPSRSS LQPRSLHQFPTPEDDNSVNVEEPPVASLTNGIILRPYQAHAIQACLEALSSGLKRIGV SSPTGSGKTTMFMHLIPLIAESGNSASKTAGLSFDEERDHESAKGSRGKTLIVVGSVE LANQSELAARRILGDDWSIEVEQSKRTASGKADVTIATYQTLNNPERLAKFDPKDFKL VIVDEAHHAAAHSYLRLLHYFNSQVQLPSTILPISNDASAEVPIIGFSATFSRPDQLA LSSVFEKIVFHRDISTMLEDGWLSPAKSTTVYAKLGLDSVEENNQGDYKTSSLASRVN TKEIRELVIGTYLHKAADRRSTLVFCVDLNHVAELTNTFRQAGIDARSISSLSKADIR KDTIKAFGAGQFPVLINCEVLTEGTDIPEIDCIILARPTKSRNLLAQMVGRGLRLSPQ TGKRDCHIIDIVDSVNKAGGMLVSPTLWGLSHEEKEERDNERAESTMEGKDDTSLQNT QGDYQVTFIDQDDPFRLAGSNRPLVDRTSNNAWVACGKGKYILEAMGNGYVSIDPSPN ALAKYSISYRNAIPQELAGVRGSKSPFGRVRIVGQADELERALQTGDKYLERALGREL FLQLSKYAPWRRKPASEKAIKLLLKMKGAENPASLVDDGGKERQIDLYGKRINVGGLT AGEVSSWLCAARHGAKTLKAAEDRKTERAQTKQLAKEEKDRALRERNLPLPSER
I206_03144	MVAPPTHSNVTGNPVPEYYIHSDTLNFRDTKGRSLILRGVNLSG SAKNPNGQPSHVRDNFWESAEAGKGDFLNRPLNLEDGSAEIHLARLRAWGYNLLRYVF TWESLEHAGPGKYDYEYMDYIIRVLRKCKEWGFRVFMDPHQDVWSRFTGGSGAPLWTL YACGIDPYGLTPTAAAYIHCEWPNADNPKPEEFPAMIWGTNYTRLAGQTIWTLFFAGK TFAPKCIIDGKNIQDYLQDHFIDAVGVLAKKIADEASDLLDECIIGWDSVNEPGEGLI GHHDLNKIPDEQQLKKGPTPTPLEGMKLGMGQALEVQVWNFGAMGPYRGGHQLIDPKG RKLWLDKDDEQVRGGGKWGWTRGESWELGKCIWAQHGVWDPSTGDLLKPDYFITSPSD PTHAVDYVADFWSVHWLAYSSKIRIHHPESIHFIQAPVMKQPPKLPKSFLKGRACSSP HFYDGLTLMTKHWNWFNADAIGVIRGKYWSIVQAVRVGEGNIRNMMQSELSVLKQDTV DILGNFPTLIGEIGIPYDMDNKKAYGYVDGGRGEGDYSSQQRALDCSMNACDGPNALN YAIWNYVPDHCHEFSDNWNGEDLSLWSKDDILRDTYNDVGKSSPNPSLTAPRTASATN SSATLAASRSVTPKLPFTPESILAGDVPSSLILDGSRAVAAFCRPFPIKTVGVPDRID FDIPSTEFKYTILVNSGDLASKEVATEIYVPYVHYASGLGLGKSTETGRDYDRSRFSS RNNSNVDLINGDDNSKKGNNTDQTVKSVNTTSSDETTLELDIDVKLSHGTYEITGQKL IWYYDVPTKGEAKYEIQIKRNGGALRRDIGYVQQGSWFDVCPSGCTIA
I206_03145	MPSCRVTNNTAHPLNICLKQVTALHFENSVQPGQTIKLKPGKVW FTLEALVDDGTKKSQYSILKSAATIALLSIAVGAVAATAGAALIPEAIALEGIAAASI AGGYLAKGLAVTKGALVTNSGTIAKVSSFALPRAIDKLSAEMGGLSALQREVASIIAS PSLSSDVRHRASDLLKSIHKAYKDDKAKSSSAHAPATLTPEEQKVNDRIESEEIDDLI ENKPVNSGETLRVHGIYMNKRREYEIRTSGDGKLTLWDLREKSVVT
I206_03146	MTIQSENALLKQENDDLKAKGTNPRAGVNITNLGPHCISTPDAL ERVGRMEEERAAKKQKKSAKEKGNNASNGPIRDPWPNPFVAMALGDADE
I206_03147	MSAPHRCGCWPLPEGLHRFEDTATVTIRTKEHTDDETYKTAIGQ TQRSIPRVTKGKGSWKFVPHYHRLPADKFFVDFTGTSCDGSGTATQGRVHNNGKKTIG DYEVTVKWNCFGKDVPESGNQTVSDAVATVQSTYEPAPFEFEHSVG
I206_03148	MTIPLTEQSNGQSTTKIGIIGAGASGLAQLQQLLEIWEGKSEVS ERSKLEIVVFESKDDVGGVWLTDDQSKPNIRTHMPSKSNGSLNGNSERDEVEETYSYP PARENPSPMYQGLRTNLPHDLMAFRGYPFPENTPLFPKQELVERYLQSFADHYSLRQY IRFSTRVERVYLSDTANAPSRRRWTIESHGLDDDDRRQEEFDYVVVSNGHYSDGWIPK LGGLSFFPGELIHSRFFHRASDYHGKTVLVVGSFASGGDISRILGSENLATQEADSAP GNGPSQRIIVYVSTSGYTQYSALEGPWAKYITHVPLISHLSPPSADRPKGVIHFEEDE KTHENLELNDVDVIIFATGYNFMFPFFRPEDRPWNTTQLSEGEIKIGERDNGDKWEEG GIKGQGVEGLDELLLFLKGDRTISFPTLSYQNVPFPLAQVQARFTAYLWAGLLPNLPD LIKLPPNPSNPYSQIETNEESTNGYSEITKDKGQIDPPQPATKPRKVLQRIKQLVFGA PYEWTYSEFLMDFMTRSDEERGVKTEDYWEKVEQFRRDQRADTDLRKRTLGY
I206_03149	MFGAIVAGRLVQTNLQQIDETHFVFPLEQPFEINHLTVFLLGTI PFPEGYGASVHFAWPGSEYILLGVLTNNKPSSIYRLRPHLPTNVNINQPSPPAQLGIE IAPLSQLENIAAQLSGSNDNTGKGGELVKKVEVGKVAEKVVKNLFNYLHSFGGEVKLT PETPIPLSVFQQWYTNFTRKIENDRGAAFLDRED
I206_03150	MPKNKGKGGKNNRRGKKEDGENKRELIFKEDGQEYAQVVKMLGN GRLEAKCLDGETRLAQIRGQMRKKVWIVVGDIILLSLRDFQDDRADVIHKYTADEARN LKTYGELKDFTLVENAEAGSDEEEEGIEFEEADIDDICEYNYSMDSSHVHFYYQTSML TTLIVPENTQSRLTTPFVRAVYPHYLVTYHAHVSFLKSSPCLPPYHG
I206_03151	MRPPLRSTRNRSTNSPDSPESDLRPRPTLADVQIAPRRRLRDGS TSSRSSRGRRGTSVSSTASSLRSTSSISRNRNVNTSTTTMDFANNDNNNMSRSNILRR ESLGSTSIFSESDGGSYIPSHSASIANSRESSLTPPPSEPIQHPILTTIRNHSPSLSA ISPPHTSIPTRAQQSILDVMDAYEASQNPQRVVPHNRHLTDHSQHLINRNLRIGSPSS SGSSNPEEDKPLSEKRYTAAEKGKGRALVTPIEVESSEESEGNTIESANHEREDSIQV INLSREKRRRNSDDEEIIKHIVKENASDEVEDDIDEDHTLAGGYTCPVCFCPPNQAVM TPCGHILCAQCLHSSLLAAIGRNPNPYPDQQPHRGGRHGSRGSATANRGRSTRARGNN AAINEGVAQNTEFRRSAHLVSLHGTGPPKWTKDLLQQFYQNHLNRQCERQLSEQNVES SEWEAFKELQVPKLDDIKVEEKLKGLWRVEDTWVVEGECPVCRNNLPGGYGPPGTGIG GIIPLQARLSKNINSHKRKKII
I206_03152	MLLTELPIELLGMVFSDLQQSHHLGSLASLQRTCKIFHTLTTPY IYRNVIISEVRLMDLLKTIGDTLITHRDIDMSSPISKISPPSGYRLTIATFEATRKVT LIESTVDDGAPPLYKDTPILIRRVASIMKRRMFINLKYLEIHIRRNPSSLFTCTISQL AKLNKPKAICIHFLQDASDGIDQDSLRSFPLLPLLGQYSKTKDIKVIAHHAYTSIVLV QCFLCYEMRVSVYDSDLSFTTWASNLGILSSCLLLTPITTKLRIILHEGDGCDGYDIE EIIQDTLERCETAVKLMHSELSQKMKQKTSLPNALKWIRQIEWVYGDKVKEEPPCEIC GCE
I206_03153	MQQSSQLRSIAVFGRTCKAYYEIIHPYLYKRMEISHINLIKLLL QIPKNVSTPIMGYHRVLEHLQITQVILLKETEDGVDELTYHRVARELMREVGPTLQRD FSFDENRKLGIIINKWPDEFLTWFLKWFINIYKPSNICIRLLDKKSNSYVKEWNHNSY MPFFCGKPIKIVICHNVSNSFKFQYNFRRMKTKIEYNNDNEILEKDGTINKKIKEEIK LSCIKYAEIKGKLLKLKLNDFLKWKKSQIIIPLRIIISGIKIENPIKFQEDIERFISN CQNRTLNKAVARNMFIQYDVKFAREWLGQIQWVHGEELEKEPPCEICGSGF
I206_03154	MPNSSRLGGLGIERRGGTAPNGQVLADWQTYLSYVTTINDTPYT VTTIANLPLTYYGPSIPLGDGWTYGGLTSPTDIDQMIPPMTNSVQSPTTQIEITSPTF LTAFSSTTPSATPPVSSPMTSSSINIQSTTSITTSSSTRLSTRSSAVPPTSSSNHGNS DSVSTFFPHSVNDNPTTAPSPIPTNTNTDNFNLLAPLLGALIPLVLTILILLVIFCVY HKNRHSRDSRFFGLFSTQKRSSVQPDPTSNTRTGKSKETVNVAGTDEDGVKSPNEKSA LLPGWTAQHHRLNSDSSSQEIITETNDGSTELAKRNETLLQRLDLGLGWLIPGNQSSL SKKDKESRVVSGNTLEKGHGSNRSFFSPSAMANAATAGLVGLSSKKDKRNTAQSSSAG TYERVLDDDQLFFSVPRPNRSSEESRTSQLDARSATGGLTIPHSPKGKALDSPAEIVN MASGSFSIGIPYTPNTEVNDISIDLSEIGADRRRARWSEDSERIRFPAPPGAGLGIYD GGTFGRQPRIREDERRESYMSGETEYYSAPSQSSSSHIPSTGIPIPRDAEEYRHVSVS AFGSHPSTPTRPARFSRPGSMSSTQQPSQRDLSPVKLISPLVSPRKAQSAHPNRPISG VGSTFHSIRNLFTFTPASSPDIRNDTSVSRDNRKSYVGQPIIDERFEGTNRKVSEFGE PLKQGALVASTVVSPRPSHSSERASLHLSIPTTQYHSHSSHTTSGSSNDATEASHEPA LRGKRSKGTLIKASKITVIQDQSGPSNLGRRSIQVDDEDREWEWEDNIDEFLAEGEEL PPLTPDGNGMRDKGLRDSLIGRWSGSSP
I206_03155	MLTKATAHLRPFIHPLASSNAGPGHFTSNPSLLHHLPHSGSGTL VAQGPNPAQNAGASGSAGRHGYGGNAGAGGGYTGHARAFLSLPQTASVDPSSTLSNSD DNQSQDPASSRSSLLLKHRLSKRTRIIGPTDGPGREVRREIEARASGSKVTVLEIENG EENERSGLPSSTSGRSRRRSSIAFPTPSSEASSSRTPPRSATPTRPQRAGLTRSPSAV DIWQVGIPQPRARLGLRSLSTRSDIPRSLEAEDVEPLVSTRPTSATRILGQPNRVLMD LAGKDLPGKRLGMVRRNSTAAVERGSLDQPPVELLLRDSQKTKTIGPDAQPQEIAIHN AIVEARNTENVDLLERLIKHYRSPRSLSPFSDEQQFGDPALLQQYPLSDGYSLRTYNA CLSALMGTRKPGQSISEILEIYNEILERDLVPNNVTYGFVIRALSLRAVEVSNAVQAW EEQKGWGEWRALLVGQETWDFKEAALKDRLYQSYVAEGNLSSAHKLFRAATRVNSSVG FPLQVYGTLLDGMSREAQPDIKGMNQIIDMAKRWDLPGNISLYKHIFRALGAVKDQQG LMEAWEDFLKESQRKGKSPEAWAGLNSRLMEKSARVDGIRHEIYQQAIEAFIVAGQPQ KALEIFDNMMKTTLNASDGSDFDKPPAATHRTLGKLVVDLAKAGEIDLALEWYDKAHS SAYLEKMPQHRFTLEHLAALIDALVKADRIEAAKQVKQSLAAHLGEFRTAASKSTVGR RSWRIYTALVAKATEAEGAEREKLLDDIKEFSGSRQIPLDILLLNKHLALLAKSQRWD DLIPALDACVIRDSVSRDVQGKLNAIIRALSETDVPFEILLTLTQKVLSFGVTLNSQT ATSVVSKYLQAPETEKTGLAVPQLFVVVESFAALPQDKLNEGEYDGALLSLVATIGSS SKATESITSLKNHVAVESVISSLVHRFGIERSTHLLAPIFGQEQAERLTQPSSTSSAE SVSSPSSLGSPTNSTFTGPSSGSNLSEAPSAGQPEYKLWIDKSLSQQIEKFTHRNASI TVSDAYAMVKEGLKRNAVPFPSTLCSLIDHLSRQGDESKVRELYGLCQVILNSVIRPE SQSSAWHQVEDAMLIACCHLGHLEQAGMHRARIVEAGLAPSADAYATMIASSKDTTDD ALVARELFDESQMMGVKPHLYLYNTIISKLSKARKAETALELFGHMKSQGIRPSSVTY GAVINACCRVGDAQSAETLFEEMASQPNFKARVPPFNTMMQFYLQTQPNRARVLHYYT LLQQARVPPSAHTYKLLLDTYATLAPIDLEAMENVFAQIQQDRNVKLQGTHWASLITA YGIHGNNLEKSREVFESIPQKDAVIWEAMLNVLSQKGNLEDLESTKAKMINSNVQVTA YVYNALINGYSRNGKIEKAREVFNSMGDSISGVAAPNNHPNLLTSSGHLKPNTITDNP TNIVFREPSTYEIMIRSEINHGSKENAKLVLNKMEERGYPLAVFLRGKSAYDEGVLV
I206_03156	MSSSRQVYLDASQAISTLYTSNDPNAAGYLNQLLRQLTSRNSER PEVDETNTDANNERLKHNLLKSRKVYKDWMASGDFDDNGYQPDDDDGVVNIYRISDLK HGKMTFADGTVFTISEMSTRR
I206_03157	MASLDESFAALSQEIGRLSSLLPDTAEARTALSMVDYLKEKSSV TSNNHPSAGSQTGFQTGFQSKDDMSRKRSMINAAGRVIDFWMGDASFEPGDETLIDEK YVKKAFKSGFSKYGWLELQNGRTLCITLEDPDEKESENPQQ
I206_03158	MSDSERPPRMVDAINGYFDSNKHLPVSDQIDGVIEFIEELKKSH ENYDKEKNHKPSEDESATGDTTNATQSGTSLAEEKDSPENSSGNRSHQVTDTKESSNT DHPNES
I206_03159	MSSITAAVLGLVIASIGITPVQGGSTPLVRSYEGSSFFDRWDYF GSYDNTTNGDAIFANKSVADGTPELTYVTSSNTAIIKVDNTSTVAYNYKRNTIKITSQ DSYDVGSVWVLDAVHLPYGCSVWPAFWSYGKGATWPQQGEIDTIEGVNLGWSNQMALH TEDGCAITTSASTYSGTVNDTSCYYEDNDNSGCAITDTNYKSYGSDFASNGGGVFVTS LSNEGISIWFFTRSEIPSSISGANSSIDTSSLGTPSAFYSNEGCEISKFFGAQSLVFD ITLCGDWAGQSSILASTGCSALTGTNTCYSTYVLDASNYDTAYFEINSLKVYSNTSSS SSSNSTSSADVRSGTPMSRGTGGWGLFMITIFLGLLGMSFTL
I206_03160	MFKFDFQIEEDEDDHLNIASGSTATAQAGPSTTPSTLKEEGNTD CHHISLEELVNSLPEEISYSPINFPFSSKSLLRRDLFDARFQLYNRPDPSSSTDSQNQ KANNQEEGEEYVDAQTDLIPGLYEGGLKSWEGGVDLVEVINSIGDDQKVAEWASGSRI LEVGCGTALPTLYILRSIFASSLNSNKTILHMQDYNSLVLSLVTLPNLILTALPFLPT EALHVPTEEEDLEDVLPDLENAGQFIITPILINAFKQLLKEKNIELKFTFGDWRGLAN DLKIQNEGYDLVLTAETIYAEDNNSSLLGVLKEAINKRSSQDEKQIHKETINLEDSLG DLKVDDEWKNPLSERGNGFVLVAAKVLYFGVGGGLTAFLNRVEENKGWWKDVKEWTKG VGRKVVQVGW
I206_03161	MPRAKPQPSGLGIEDELSFGSDEDLLAEVGEINLAQQGLSSPHQ SNVNQSDTNGKAERTKSSGKFKLGVGKDKDKRKRTATDETIKLSHQSTSSKRDNGKSL AKSSLVIDPLLVESVLDVSASANTVNSPRASGLKNGSRNSLSMSSSTKSPSLSITSSS KHDLVSLSAVGGGKKKGFMSSLRSLGGNKDKKEDMQYRYGNGIGHNKPHRPDLASIRS RDSFGTRSDISRQQSFDLGSNNTYSSSDHSMQKHTLAPISMSPFQGHPPDWTPDSATR SSISASSSSKRPSISSFARRASVITSTSFATSENYNPGEESPILSKANITSLAIPPSP QISSHIFSVLLPSFPATISALSSIQILQATVIRKILPISGMPDKEKSTSLKSLTSVLS SSNGGSGNQSTRKPIWITQQLVLTSFKIGGSTPTSTPEPDEYLSKPSTSRTIAHLHLF SIPGGSSQSGFSRRPSLPSNAMSEENELERRIISHDCTAGVCNEDENGRKFVMRIGFG ESQQDENENEWIVEMRNADQTQEWIRQIKSIAVVVRAEREGHGHAIRNAYSDAIRGDD LSLELDLQRNSSPSIKSPTSRSNSVVPSQRNSAISEMPIPEACSMERSSSAARAESPD MLPPTPIVEEISGQLCSMNLGPEVLPTARIRSKSFNKGQFDIQANAVSPSSSNASGGL GGISRIGGSLHRHAKKDSWSSSTSGGSSILPRRYGGLQPPPPPMAPPPNVPLPALPNG SSASPSASRTAMHNQMSNQSPSPEGQALSQDNANRTKHQNSSPLSSSSGKERSFNTFK PIPLVPEMESNPKMNNNNLNNSPIIVDSPSKAIIRSPTPPRKSTKPVENTEVEGFYTP ETIETPTLSLSNNKFTSTGIEGGESNNTLDSILSTPNTMNNEFNKQIDNENEDNKHYA SSINSFTPSFESKSTTNSSARRRREKKIAIDIMSEFNENPDAAFLVDGEEEIKEDRPR VIRFA
I206_03162	MSSPFTIPNISSSPFNLSQITQSQISNNQNGIIGGGTSSPNSFG ISITTPLGNQPIFAPSPLSQLISSTINNNNSNSNSNTVFTPNLNTNNNNNNGGNEITP NTLNLLNSIGINSILNQNQNQNQNQNQNQNQNQNQNQIEQQNNNNKNNNKNNLNLNLI NNNNNNNNNKENLLIGLNNLENILNKLEIILNEINLIELRIFKKGNLKNDEENLIYNQ LLQTIITISQNNLISSLPLIPILTSTTSNDKDEIMDLNNNDHSQKQLNDPSQTIMPNN HYTISDLSNWSEQRASLEFKRKENVKFASKSIFDILNRKI
I206_03163	MFAPRSTHIPRTYSVYQQPTTTKKNTNKENANALPSRTPSRSGK MAMGGPSTGMRMGLGIKTEGRDRNILMQQQNGGGKGKGKEGEDIEPKRLFANGPSKSI SIPPSKSLSSMPHIQLNQTTHKTPARSSKKQNFQALRTPAPVMNEPAPTPLPSATRAR RRSRASLSNISLTPIKSSIEQNFQTPAPKQWEEELSLGNIEETTNEILQNVQEENEIE EDYEPEYMPPPVQEIPFTPAYDHPDLVAIFSTLSGLPPMWSKSDDVIIREVPAFEVEA VDIQGMILKDDADLEEDWLRPKSKPLVPIQKPRPAVNNSRVTTTKGASIQSRQQASVV VRPDIQTSRMTNVRTPSTLSRSTIVPSTRPKTITPVSRTLPVRQNIINRPTIPGRSSS QVVARSKPIIKIADEDKELFDSWDQLDSVPEFQFDLDFDDTTLTQ
I206_03164	MDKDKLAKLQAQVRIGGKGTPRRKQVKKSVTASQGDDRKLQAAL KKLGVQPITGVEEVNMFKEDGNVLHFGAPRVHAALPSNTLAVYGPGQTKELTELVPGI LNQLGPDSLANLRRLAESYQSMTARQAAAAAAAGGAGAEESKEGGDDEIPDLVENFDE AGEGEKKDADLEELE
I206_03165	MVGSKRSVREAETAKKGSNLPPSVKATNSAYDDTPKGASRIISG WKFQSTFRESGRTNSEDIGDTNKKSNLKNNESNLKLKNQLNTNKGKEKEKLPKILPNE TLGDFNRRIEEILRPSVNKAIKEAASLKALEESNLIKEKKFRKKQIKLEKLIKEGKLP KESLEKFLLEKKEKEKEKEKSNKRKRLNNDNTNEEEEEEDKFKNRKPIKEFKELEKPR RLNDIVQEPPQLPHLRKSSTKIKSNTSSKERYEAVGKNSDKIPLNAGQKRILDEERER VVKMYRELKAKKEEERVNFVGKKL
I206_03166	MSNFAKQIPKAVKEIRLHFCQTSGHSAGIRKFVQSSYPSIKKSN PDLKFLIREASDISPRAFVRFERGAESQIQLADLSESQVSSELSKLVNSQTVSKAQ
I206_03167	MYRFAYQTAFALYSVPNKTEKFYDLAGSTGFITSTLLSLYYPTV KSWVTKSPTIPFTLSGHHPRQLLVSAMMLIWAGRLGYYLFGRIAKHGSDSRFDDLKTK PAIFSGMWFGQALWITLVGLPAYLVNSVPAAAHPALGIKDFIGLGIWLAGLGFEVLAD SEKSQWRKEKDEKKHEEKFISSGLWSLSRHPNYLGEVILQNGPPLLAFTTPLPPTVKY LCFVSPIFSYFLLRYASGVPPLEESAEKRFGDDAAWKKYRDETSMFVPLPFGLGKGKI 
I206_03168	MSRRGTFADIAADLPAGNDLVTTEQTVLDNIKQEIANYDDVVDS ARAAVDAEKSMSLREALRRYPKAAGWSILLSTAIVMEGFDVVLINNFYALPQFSQKYG VQLPDGKYTITAAWQAGLSNGAQVGEIIGLCLNGWSSERFGYKKTMIAALSMMICAIF IPFFAKNIETLLVGEILQGIPWGVFQTLTTAYAAEISPVALRPYLTAYVNLCWVIGQV IASGVLRGVLTWDSQWAYRLPFALQWLWPAPILIGTIFAPESPWWQVRTGQHDAARKT IKSLFNNSTEEEVENSISLMVHTNAIEKELSAGTSYWDCFRGVDLRRTEVASGAWMIQ NLCGSAFMGYSTFFLEQAGLATTNAFNLSIAQYALGICGTLSSWLFMTRFGRRRLYLV GLFGMICFLLVIGGMGFISTSNSGAQWAIGAMLLAYTALYDATVGPVCYTIVGEISST RLRAKTVVIARVAYNIIGIVNGIIMPYFLNAQKLNWGAKTGWFWAGFCIICFIWTYFR LPEAKGRTYGELDVLFENKVSARKFAATVVDQFAGHESSHSPSGGRVEDIDEKKFING GQGDVVHLESI
I206_03169	MSKDPNWWRQAVIYQIYPRSFADANGDGIGDLKGITSRVPYLKQ LGIDAVWLSPFYPSALKDGGYDVADYRDVDPKIGTLEDFDEMSAALKNAGIRVMVDIV PNHSSDDHEWFQAALKAGKGSAERERYIFRDGKGANKDEPPTDWNCIFGGSAWSPSGS NDGQWYFHWFDNSQPDFNWEHPDVKADFLKTLKFWGDRGVSGFRIDVAHGLAKDMSEP LPDWETLDKMTTQKLTNGNADLDHPLLDRQEVHEIYSSWRKLFNQYDPPLMAVAEAWV APDRKPLYASADGLGQTFSFDILLCNFESEEYRKCINDSLAAAEETKSSNTWVLSNHD VMRHATRFGLPNVPNENLPVSQVALNKFLIDRFQTQKVDVESGIKRAKAATLLILALP GSTYIYQGEELGLQEVVEIEPEERQDPIFRRTKGKEIGRDGCRVPLPWSSNDKNFGYG PGKPAHLPQPDWMSNYAVDKEDEDPKSTLNVYRRALELRKRLQTSETFEWVKNPEKDI LHFKRPGGWEVVINFSKNEAALPAGKVLVSSSEQSVKEGVIAGETTVWLESA
I206_03170	MSDRNFIASGSTSTLPPKRKSRSTRGLQNKVNALEEQMEQVKTN LENVVSLLLRLAPTTGSTGSSMSAAHDNRNQDRPKPVSEPSTSTPSLEQWVQQIANQQ NHIFQTQNTSHVETLTASHDDRRDENLDTIEEHSESSSSTDGDKEHPERDMEGMSMMR EMLKREERKRLRADGHLPAESVSPKDTTVFNNLQQKGSKPFGVSPVGEGALQTKKRKR SNDVPASDVFPTSISLDPIARGICTESHGRQLFDLFFRGAHAFIPVYDPTTDTWESLR QRSSFSISVILFVGQKIMDAGNVPSDLQRKLKEHAESIGKSTLFSPIANAEALQAMIV LASWGDTGWRPGSHAISMAIDMELYKCLPRLAEQRYASKISSSYPSSEDPEKRLVIGA RLWLTICKMAIEMAYNHGRPLIIEENLILPHAHALLSHPSRLPTDGRIIASCEILLHR LPQHRISLSDEKGDVDQALQRFNDGAKNWEAKWKEYYICQGVSLDDVLVTDLTTQRCF SSVLANSYLLRDIRSPLDVGNLLPHRRSWLLSSLDDARFIAGKIISTEKNKLLYANHY SHVALASVSRIYIRLATLFPEAVDLRKVAKDLTQLTDVLAHFPGFSFARQLRYVISKA RKKRILPPETRPGSPRAENHPHLRSSIDNESLYNHNLQHQVALPVDAHTLFDHPSDSI TNESPLDFDPFMAEEMFNQSIANLGPTDQIFNFGFNFDGESMVHNIQNQTAGQDQLYW LSNNSDSTTNIPNINVNALSWLDFPALDLDQFGGWTGN
I206_03171	MSSVQHLYGTPAPQPSNGSKNSTESGDAPEKLHLTSHNQYKTPR LLREDLNPNPLIQFNKWLSSALNPKDNESPIVIEPEAMTISTTTKKGIPSSRIVLLKT VDEKGFIFFTNYNSRKSKELIENPYASLAFYWREISKSVRVIGNVEKITRKESEEYFQ SRPRGSQIGAWSSPQSTIVKEGQVQSIVDEKAKEFGENEKIDCPDFWGGWRIVPFEIE FWSGQPSRLHDRFRYTREEGSSGDWEINRLAP
I206_03172	MNISNIRDHVLSQPPSFKKKKDYQLEELLGRGGFGKVVRATWTP SEGGKKDVALKIISKKLVKGNEQAVMDEINVLKGLDHPNIVHVWDHFESRDKFYLTFE LAVGGELFDRISERGKFTEKDALDCIRQVCSATSYLHSHQIVHRDLKPENILYKSKDP NSRIVIADFGIAKHLENPDEVLQDAAGSFGYAAPEVLTGSGHGVKCDCWSIGVIAFTI LCGYSPFRETDRNALLREMTKGRVVFHERYWKKVSNTAKDFIKALLVVDPKKRMSSAD ALKHPWMIQGQATENDLSDAVIPALNAKMKWKKAIRAVQATNRLRAASESSRSASIST STSTESTIATLATPTLTPATPIQKVVTPLSMTEEEPMSDSHSFFTAEETDHENDHEIH TPEGNFGNERSGNVKIVNEQENESPRLGKNGDNQGIQQKDFGDSTSVVNSNEMKVNNT NNERPENRRKSSVENVVEGVKGFMGRLKL
I206_03173	MAKTSKAFKKFASSGKLKDQIANRRSKQQSKRKQDDRKAQRKHQ RGAANESDAEGGEDDEDEDDERDARRAGESELGGKAGGVAKSVEDLFGKGGLDGDLPE ESDLEELDEEDEEDDDDEDGGDEEDDLLDEVAMKKAMKELSKNDPEFFKYLKENDEDL LEFGKSGNKKGKGKQVDEEEDMASDDEDDEAEDDDEDDGERKKISVNGKMLRGWQEGM LKQHSIRSLRKTLVAFRAAAHMNEEDGDQGSGLDTKYSIDSAQVFNKLVVTALKYTPV VVAHHFPYKTLANGRIKLQTPKTPNQSLNRLILSHFSTLLHLIKSLPSTPSSLSSGSG DEDAGSLLLVAVNESTKLLPWIMGARKHLRAYLKVLLELWSSASDQVRIASFLAIRRL FTIGDDAVKDLCLRNIYRSLLPPLRNTTPHTLPSINLMKNTASELYQLSPQLSYQHAF GFIRMLAVHLRNVVRSSTSGAGGDNQQAFKTVYNWQFVHCIDFWSQVLGGSASIQSQI DNGGLESPLKPLIFPLTQISLGVIRLLPSSRYFPLRFHIIQSLLRIIQKTGTYIPLSP FLLEILDSTEFKRSNPKKSTLKPLDFEYIIRSPAAYPKTRIYQEGLGEELIYILGEFY STLSLNIAFPELILPIIITIKRHIKKNSAGSPKVVSSLKVLIDKFESTKIFIEKQRRN VSFAPRDRSEIERFLEGNKIESTPIGNWIRLQKKIRDKRREEIEKSFKERQGVEDEDS D
I206_03174	MKVLGMLIAPIIALAIPVTEYTLYARDTGQTTPGNTPVLSQQSA YSGDVTKCHGYYVADSSASSSGGLLIYLTLKNNCSAYGEDISYLTVSVEYETNERLHV HLYDNDIHQYQVPEYVLPRPGGGQSSKDSSNLQFDYVNDPFEFWITRKTDSAILFDTR SSKIPTYNETIQIEGTYSNYTVLPSHPLVFEDQYLQISSALPKNANIYGLGEVIAQTG YRRNSTGTVQTFWARDVGDPVDENMYGVHPMYMEVRWNEKQNKLMSHGIFLLNSNGMD VILRDGVIQYRAIGGTFDFYVFSGPAPNDVAAQYAQAVGYPQVMPEWSFGFHLCRWGY TSINDTRTTVQRMRAAGIPLEVQWNDIDWMRAYREFQYDQNYAPAEYKAFVDELHSMN QHYIPIIDAAIGEPNERTNRPFDVYSRGHELDVWMKNPDGTEYVGAVWPGFTVFPDWF NPKMQQVWTEAFQNLSKVVDFDGIWLDMNEPSSFVDGSATNSTIPIKQTTVVPPNYTP QAPPVDFPEGYWPNISGYSGNITVNGTLTYGQNGTAPKNTALRRSHTAELQQAKRWST PDSDTSDAPDLPIYIPNIRYVDEPPYPIRNQAGRLSAKTVSPNATHYGGLQEYNVHNL WGTMEELHMHNTLLNLKPGQRPFMVARSTFAGIGRKTAHWLGDNYSTFAYMKRSIQGV LQFNLFGIPMVGPDTCGFNGNSDEEVCNRWMQLSAFFPFYRNHNTKLALSQEPYVWES VRDASIKAINARYSLLPYWQTLFAKASEAGLPVIRPLFHEFQNENYLDIDAQFLIGPT ILVTPVLQPNESTVNGIFPSENGVFWVDWWTHAKLDNSDGKENVTLDLPLGNIGVHVR SGSVLLIYDQPEYTVKETRDGGYGIIVVLDGKGYAEGDAKIDDGSSYPVTELTCLTFV ATENCLTTTTSGNYHIDNNLKSVTIIGVWNKPSRISLNGQEIGDDQIDYDKSVGQVKV TGLRGDLNNKWELKW
I206_03175	MVDRLAAYGLTSTLLAGGVIYSALNTRPNFYAAAVALGRSSGAL MVLANFALANTIYIGICFKKIFFGQLRAIEYEHLFERLWIFLTESLLALTIFRDDFSA PFAFMYGVLLFLKCFHWITADRVDYMDQIPPPGPPKLYHVRIISIIILLAVLDGVLVS YSLEKILTEGVSAMVLFASEFTILNASILGTAARYSVGLVDLRRARGRADAPPWEQKS MWLFYVDLVVDFMKLLTYLSFFLVILLHYGLPLHILRDVYMTLRSFIARCGDLVRYRR ATRDMDALYPDATEEEMERGGDRTCIICREEMIPRSVAAAQGGTGDIGGPNETPKKLA CGHIFHFHCLRSWLERQQSCPTCRRDVLRTPGPAPGRAAAQRNAAAANPPPAQPGAVP QPPNHQGANPIQQAYNEYFQLPRMGWDNPVPTTSTAPPAAAEAGPSRAEGIEERLQRG IWGGPIVPGNFFPAPLGAAPRTQHRQSANNLTNMPPIRTDQIRSTPSIATQSGGQPEP PSSLSRRGSRLSVPVTPQMSGSVTPFSSNPPVIFSPTGTARTIEPEEMEDDQVEVVVG NEKEVRSKVAEAALKRFGIPSSELPMGSSKGKGKEKEVVLQPVTSGTSAVNLDDWETL PAVLPTLTHASDLLIPQRAFGGQGGAGGLEDRLKVLREVDETIWGLISELTRLQSSWQ TPAAVPNPIAEVPEREEGEDQDD
I206_03176	MSLQSQGERDDDEEAGPSRTNIPILGQPINLNITKTDIEPRTSI GRNAQTEVRHVNDDQNVESSIGKRDVKGNELLGERSQVDLVDQKDRMNSENTHLTDEQ DSTHTGQKNNGIEGDEEVEMKEVQTQRQPRSHIPPNIPTRISSNAQTLPISVKPDPAE KPVNPQFERMRSEDPMERIRSNDVNALLNLPPPLPFHLSVEGLTIGVPDKKVPAWIPK LPKYFKRDNKEIVPPIGEEKPKKKWILKEVGCECRSGEMLAILGGSGSGKTTLLNAIA NRLSGLPTETGQVAYYSANSHTSTNQTAVKGQKLGRSEMKRRIGFVRQQDYLVECLTV RETLTYAAKLRLPTSLSDEAITHIVNQTIDELGLRDAADTVVGGPLRKGISGGEKRRL SIGCVLVTLPSVLILDEPTSGLDAFTSYLLLLTLSHLARRGRTVILSIHAPRSDAFDI FDRIALLSKGQIVYSGLRNECLGWFSGLGENVEKGVNPLDFLIDISTIDNRTTEAEET SRERVSALVGAWKNRSPTVNNRLSKTSIDSTASSVHVRPDGIAASKEGNDDIEKLVAK DEKRPGLVKQTIVLTARAHRNVYRNVPQLVGFAVQAVVLGVIIGVTYYRLPETPTGIQ SLKNLSFQLIPGVFYLQQVFWIYKFCTDLIIFDREREDVTPYVISDFISYLLPSVLSP TIYVVLVYFISRLRTEDLAARLFTSIASTILVQFATQGLSLVSSSLLRSFAAASLVGN ALNLFMIMSSGFIVVHVPAYVAWIRWLSPYFYSFRIIATTQFKDRVFDCPPDSAANLN QCVGNNVLNGLNFDASVNIGAWFGGLIGVTILEYTLACFILWIYPAGGVKHASEIESH NRGKGTDVMESNMTRDKIEVAVKNLTLRWERKGRGAVKDKQKTILNEISIHFPAGEIS AILGPSGAGKSTLLQLIAGRPLNPGPFSRFTHSGSLLFAGEAASSASRSNVAFVEQDD DWHLPSLTVRETLTYAAILRLPDKMPRRQKVARAETVLRMLGLKDCADLPVGGQLLKG ISGGEKRRLSLAVQMINDPAVLVVDEPTSGLDASIALSVMQVLRDIAATGRTVIATIH QPRSDIWKLADNVTLLAKGGVVAFNGRRSEAVDYFTKIDLPMPSEFFNPADHLLDLVS VDPRPQEYESSLSRVKDLTLRWSTHVNNEDGGENEKAKSTQVLTRGEGTTSMRVALPV VLERHWKNLWRRKDIFFNRLVQTPLLGGMFILFFQRLNHGPSGAQDRIGITIESTSAI AFVGLLNAMAIFPADRNLYLHEAKSSARYSPATFVITYTLVELGFEIAGAIGYAAIMN IGVGMQTSVRIYFEFAVTIWAMVNMGESFAMIFGSWIQTEGLTVTVVSTFLSMIGQVS GVISLSVPTWLAALAWGTCVKAATRIQIINESVGLVFNCTPDEISSGTCVAQSGEQLL ALFGWKDLNTGRFMGILIAVAVGWRIIAWLSLAGRVGGLK
I206_03177	MTDATKDPAAPQLAAYALWLVPTIQEQKDQFQNLIKELASFEEP SPIFQPHITLLHPIPLSTKLNEIHLKLKESIKSISSKYSLNEFEVKLNKAQKGEKYYQ SVLSPVIPSNTLLELRKEIENQFNLKNLPNYFPHLSLFYGGIKSNRRDELAEIANNRI KEFGNLQVGEIVIVSCIGTAENWEIVGKEKLF
I206_03178	MLPGLTDPVNNRSSQRSDLVSNGEREPSPDRNEKQGNITQAGTS SSPKLPEIVDLNEVSNPPRSPAPGRTQYDRRLDAPGYVTCQEPEGTEARSASAADGVE AEQSRLTRLRAAITDIKDGICCPVTSTVTGAASACSSGCSAVRGWCTACKSQHQSQRQ G
I206_03179	MAEPLVFKGTLAGHSGWITAIATSSENPDVILTASRDKTIIVWQ LTRDDGSFGFPKKILHGHNHFVSDVVISSDGQFALSSSWDHTLRLWDLNTGLTTKKFV GHTGDVLSVSFSADNRQIVSASRDRTIKLWNTLGECKFNITEDGHSEWVSCVRFSPNP VIPVIVSAGWDKTVKVWELSKCKLKTNHYGHTGYINTLAVSPDGSLAASGGKDGITML WDLNDSKHLYSLDAGDVVNALVFSPNRYWLCAATASSIKIFDLESKSIVDDLRPDFDG LSEKARKPECTSLAWSADGQTLFAGFSDNLVRVWVVVV
I206_03180	MSNFQLPQGFKPSAGGPSGGGNGGPSPEDREAAEARARQQEEMK RTMIAAMLEPSARERLSRISLTRPQLSAQVEDLLVRMGQQGQIRGQVTDEALKGLLEQ VSNPPPSKNTTPALSAGGRTKSLGNGITIQRKRDDSDSDEYDL
I206_03181	MSTQPSTSTPTAIPGASLPSSSKPKRNKKKSANKPSVKTPSVVE ESPVPIAVATPEEAAPSTNENKEKGPVEEVIAKRQRQLIKKLQRFRGYAAQPHESLNA DQRAAVASLPAMEGVYKELDDLIKQIEPVELEQAGRIREVKEQAEQEVQISIAKRISE FQSSLSTPLSLFLRLHQLLHPARSTDHEHLTFARLELPSKLQDEVQATDVLRVGRMYE DLISGGERGSEVIAGLIRGPTGEDEENDHVHHLLTLLTGSDSEQIDKVTPEEIETEDA PTVEVQEPASKASSENSLPNGDVKQEVESVQANGQGALNFLQEDELVEEDIDIPSLAA DQTSGSHPPQEPITTVPPPPIDATPAPSDVDTSNPAPTSFAPSTFDWAADEDLDEAEE AAHIRQAFALPPSGAQTPAIAPSQPEAQGKVEEQNVLTEEQEPAIALIQDNELANAHV SESVVNTATGVNSTGVPVPPAVESTAAPTQRQGKAQRGQGRGKGGKNGSGAGRNPQNQ KAPVKPSVDEDGFQVVGRQAPQQTNNRGRGNGIQRGRGDGRGRGGQGQGNRGQGGTGG RGGARVSSTSRLTGEGQPGGNKQPKQQQQRQPSHNQTQNKNPAPSPTAV
I206_03182	MPSRITYSEASCSNSPIDPKSPISRQTSFISRPHSSGGGRLMHS SASNLVGRLEKTAELNDDSDMEIEMIDIDTNSDPKQSDETENSKEHENVKQKKEAFKK FMIREWKRLPNQLRRKIQETKEYFTTYPRRIRSGTPSPIIDETTGKSLTPDKYPYEIL RQGSTNHPEIVPYKVDFEALQALNQLDEPERSTRLQRLQEEVKTERQERQKEFPGFQR SSNQNPSGQTETPIQSGLEISEIYNSSRIETGISKDQQSNSKGNPRDELARRISTVSS SSKRLFD
I206_03183	MHGTVDRPKPAPPTPTASVQAERRELILEESDLQYEDKVPDILT ILEDKRYHDWFNQPPDTFRYEDTVLRYNPTTYQYEATGQYHRSPSSGNSPTQPNFGTP G
I206_03184	MPPRPRRSTASTTAPKRERSTSSSLSDAPPPKPKASTKAKTKTN GTDKKVKPKTALADDAKAGDDDPTVIEDEPPKKKTKTAKAKVWPPPELSPENHPPRNG YPIFELPSTSASPNGALPPHTTEKRPMLLGAHTSIAGGPAGALLRAGKAGANGLALFT KSQRKWASNPYEPEAIERFRDLMKPKEQGGLGYPPESILVHGSYLINLGNPDETKWNT SYECFKDDVYRCHQLGIKLYNWHPGSTVGACTKEESFASIAKAINRVHKEVPEVVTVI ENMANAGSNIVGTAFSELASIIALVEDKSRVRVCLDTCHLFASGYDLRTEETYAETMK KFDEEVGNGYLGGMHLNDSKADLAGNKDLHENIGLGKIGLTGFRCIMRDPLMSGIPLV LETPAPEKALETGDFAIWMREIKLLYEIQGIEDEEWSNKKDEIEQRWRKERDSINPPK EKGPPKSKTAPKGKKKSKKDDSEEDED
I206_03185	MSSSSQRPNPKSPTNSKSNQGYIQPASQRNMDDLKFKATFPLGQ REAELVRPDDVTIEAGHKQRPEGYESDGSRCPEDKVQSDDDDSSPCHSEYDFDYEAHV SDATGSKIKQLPMKTDLTGSSFVGPQSKDQANYLHQDQPPNKSSSVDDISNEMMLIST QGRFAQASRQVSNPSNRRQRSLWTRATHIDPDKITAQVYDDGEETEFEEDE
I206_03186	MLVYPGAQPSLLDPEDFFLDLPPGPYLTSDDAPNDDNDSVLALE EAPDYLKRYSNKDNPIAVDSSSEDENAPLIKAGPSKRKRTTGDLSDDEPTYDFTNFQK GRRANRKRTKSTTVPSMEPTPAFTHSALPSSSPPIPGPSTPRSYLAEDHLTHVLEILP DIDSEWALRSITEEMILRQNANPANRVVEIALEMVGGYPKFAAKKKEKAKERISPIPG EKEQYISSAYRSQFRMGPTYNVKSIARLEGDFPLIPAPHIRNNFYAAQSLYVPAYMRL LEHTKLSFKPYMELKRARNGAKGKYKLKSADNSQIESQDTGIGSAPSDLPLDQGALEF DNELKWLLNHLEAEKADAEEARRLATEQALAGGAGIECGCCFDETLLEEMIQCNEGHL FCRQCAKTHAETKLGEQSVSILCMDQSGCTSPFSESELSRLLPSKSLQLYHRLKQAKD LEQAEIEGLESCPSCPYAAVIDNPHEKLFRCMNEDCGQVTCRGCRRQEHIPRSCAEVE ADLKLNHRHTVEDAMSEALIRRCPKCEKPYIKESGCNKIYCTKCNTISCYVCQEVVQG YEHFDQNPAESSQPKHPGKCKLWDRQEKEQEIEAVRAARDAAAARVIARAQEIGVNVN PDDVNVPLVDPVVPDRLNLMLPLINRLVEGARGPDGQPFAPFDLPAAEILLALRMLNY RKGWAGLRMIRDAGNERNVILEREGQQVRWDRNTLQRKLRTVVVRAPQGPDREYFRHL EAQLNMLDQIRPVAIPLNDRPIAPLPHRPIAPLPHQYQAQLMPNLNPILNPPLPPILA PLPPNQMIQRANARVQDEVDVDFSQFLRGESVENDALEDQDELDVLREMEENPARR
I206_03187	MEAIKLGSTTVGITTPEGVVLAVEKRVPSPLLESSSIEKIMEID SHIGTAMSGLTADARTMVEHARVTSQMHAFTYDEKIGVESCTQAVCDLALRFGESVED DDALMSRPFGVALLIAGIDEKGPQLYHTDPSGTFVRYDAKAIGSGSDAAQQSLQDAFH KQMTLLEAHSLALKVLKQVMEEKLDENNVQLAQVTKAQGFEILGENDLKAVIDTLGTA 
I206_03188	MPTLDPSDLVPLFTESPSSASVRAYLESLASPSSLPEPEIKSYS DVIYHNHYSIGISLSYNPLKGLDSIDIFNSSLINSSSPTTTKRIKQELIPNYSNSPEI IINFLNDKIELPPKKKGENSIFINRSINFKIKNNSNGREFISHLGEPNRKGSGSWIGL WLEWNNILIKSEKEGKEFKIGIMIELKDPGSYEFLTEEGRKKGMGGIWERASRWEWSN IKFFKVEQ
I206_03189	MVFTSIGPASIDSFDSNSECSGVSGPIFNDSRNPSPFELGQSSD PKAIALSEASSTLAKVTLSTSSFTNEGPQAPVVEVIRSFEDIKSFADSMRSPSSSSAP ILIVDNKLDQEYNDVEGDLYLISNDGIVFKLTSNLLRCSSAPFAAMFPLASPPIKVTI KFDQKACVIRFFLNFLHGNIPRPEFQLLGTFRRAVGVAKTFDCKLVLTAMRYFARQYM YQEEYLPRYVFIIACNLGDINLCADTIRDGDDGIYCSTGEYPDPADWYDVGKVYDNHL DDEDPSLEGDDLMDPTTWSAADINQIPLKYFAVYLRAFRIIRSNGEHWFDIATKFEKL MERTPVSQQGYEQKKEEKIQKVKKEHVDTKDLPPTKKVNTPRNPLGTPDNVGVKADKK VKETKRAKAPKGSQESLVK
I206_03190	MSESHEVTPPTDDDVYNDEKADIVLVSSDNIAFKVHRYYLQAHS SVFRSMILDTSDSEVNKKVELTDTQIEHSSTIRIFLDAVYSKPLALIRDSLGPFRNCI QFARKFDCETLLVVLRALAAQFLDQRISPHYIFIIAAELGDIDLAARTIASKADHGWH WSTDKDIPDPPPRWRTSEESKLEQSGGLGENAGAGVLEVTSWPIWELQRVPLPYVVAL MKTGRVYDLSQDAKNGQAASAHFKELMNEWQQQQASC
I206_03191	MSDEDTTTKPVMDKVYNDEGADITLLSSDNVAFKVHKYHLQSHS PVFNDMLMTCSTDIPIINLSDTISENSGAIRIFLDIIYNKQIELKFENLGHFSNCVSF THKYNCGVILSALSYISWRFLDPPGSLISPRYIYTLAGDLDNPTLAAQAIRVSSMWGM PDLKAVKYPDNWLNANQEILMKAGGSLGISEGGMMDPTAWPIWEYDRISQIYLVALNK VHRRFPLYVNHSFGDQAAKYFLELMKAR
I206_03192	MRLPKFLLSVITIAVALASVTAASSIDDVELRQLTEDNFKTETS RGIWLVEHYSPKCSHCRAFAPTWAQMAKDKQHLERLSGFHMAQVNCLAQGDLCNNNGI KFYPQLMVYEDGQAKPHYTGDRSYDDLEKFINEKVEEYTQLRAMAGSEDDGVSSREKP NPDGQVVEVDEAQFEVLKEAGPVMVDFFAPWCGHCKKLRPTYEKLAETMKGKLNLVAV DCDNHKNFCRKSGIQGYPTIRMYHHGTKTDHPGSRSFDKLKAFAEKAVQVTTLQPMKF AEFDNIVQSNEALFLYLQNYDTTVADLQSVKTALEPLLGSVPAYTSTDPQLYQQLSIA NPPPTSVLFAFSTYSSRPVGSLSFPASQDSLEKFIQLHRFPTLLELTGSNYNAIMNSD SRAIVVLGALHKGDEGKKEKEKLEEVARAWKKGGRPFGQPVWFVWVDGDKWSGWLKQQ YNIKKTKLPSVVVIDTPLNEYYDTTIEGNQIEFDGTSIFSVLEGIYQHFLRPKRIEST LQWGSRSAATTLIRFGEMSVEHPLLALVLLVGAVGLFVGLLQKCNGRDNKDSGTPVGG PRLD
I206_03193	MNILDTLFGRSMTPAERLRQHQRSLQKAQRELDREKGKLEAQEK KTMADIKRNAKAGNMNACKILAKDLVRTRRYIQKFTQMRVQLQAVSLRMQTLRSNEQM ATAMKGATRAMGQMNRSLNLPQIQKIMNDFEKESSTMDMKEEMMSDAVDDAMEDEDEG EGEEVESDKILKEVLDEIGMSMNESLASAPTANPHLNEPLQSSRVAVAEGLPSMPSGG SDTPKAASGGGGGPMSADETDLQRRLDALRRD
I206_03194	MATTSHPSPYRQRPSPYIRTSSQTPITPSALSYTHTTPSPQIPN FPQIPSISTTPMKRSLPPDGTGHYPPPPERKFSTDSSHHHDNGGQKKKRVSLSCAQCA KRKQKCNREFPCNHCNFTNLPVCFLAARKVPELCVPYNPQANSSSSNGPDPQTVQRLE SIEAVLSVVVRHTGGITQYDAVRDWITSSPFQKHLASAPSTPSSPHHFLSHGNSAGPS RLHTDGSDIGPTDTERATNSDEDGLVKVGKGWLGELEGGLPETMNVHDKVKMKLDIHG TPAENLQRLITDCGVSPHKVAELLQELPPKHFADRIVDWHFDKLNFVRYPIDERSFRA SYEDLYNKSTAVDPSNVRALPLVFIVLALAVRQAPDEWAGDEETRKLSSLRMYWSSRR SILIATAVQSESIELVITRLLSAMYLVLIHDRRLTECWSQLGASLRTAQAIGLHRDGS KLGLDPFQTEYRRRLWSYLYHADKLYSLVLGRPPSISDSYTDTQPPSNIDLTEYNPAL GLPPPRPFTEPTPALFLILRKRLAGIIGKIVHHFQKLNEPAQYSDVERLQQDLDAFVD QLPPHFRMHDPDKTLDQVHFWLPVHRLMLLTEVLVTTIILHRPWLLRKLSSDRYAPSR TACFEAAKLDFQIRQEFQREVPDFRFHAITGQFKMFNSAMIAGISAIIEPRGPDADQM RRILTTFLEQNPWHEVASKDATTRKEVQIIQTLSRRAAQIFEDSFGPGELNSHDKDSV ALLLALRQSNDSSTQSNAYPRGLPPREDAPTPRGPGINHFSRSMGPPRTWGALPAASM QFTPVHHGGITQSPASTGSHEDDHSQKLSVAAIPLCLNDQVASAYQIVLYRLDHWINA NTSMAVGSVNGVVPALDSSGMSYLPMPSMSTPTAPPGGFNNMSLGPGPGLAATPGSLP GYSNQFMEETPGSTTGLIGPPGEFGYPNQFGFMGGVGDIAMGNIPLAGGVPLEPGTEN SDEYWNTLIDGILGTTSNGPPPNVA
I206_03195	MSESGDTGQTRQSSPANRPTLLQPPATIISPPSPTDAKDFHPPT FAYPPQPATNDKGLTEDVVEYDSPEEEDEEEQRKHENPPTPGRPGNQSRPSHGSLSPG PQAAISTHISQSPQGDGTLRLPDSPDPSASPSRPPSPSSPRYRPKGLHHRRTSSTHRV RETTHGTQTSTDDGQRIINQYKIGRRLGQGAYAKVELAVDVGTGQEYAVKEFSKSRLH YQALQDKHRQNTRGRIRRAQAQSGQPSEAAIKRAGEAEIAGRGNQPWGGTRTIEEDPL GLVRREIAVMKKLDHPNIVHLYEAISVPTADALFLVLEYLPGGTLMEVHIGADDSDAK APFDLPQTREYFRQLCLGLEYLHANGVIHRDVKPDNVLLSSNKELVKLCDFGVSEMFT AAGDDRIKKSGGSPAFLSPESFTAHQQDLHGKAVDIWALGVTLYCMLTGKLPFNVPTP MELFSAVREKEPNIPDHWEESQKDLVTRMLEKDPQRRIAMTELREHPWVTENGQEPMI DTEANLFDVGQHVEEPTQEELKDAIGTLRGILMKRNLARNRRSGPSSPGSTNISLASG SMDSYVSHDQNTSATSISDENEDARYGDIAGDEVMSPRSMSRASTGSTEKQLSSKLEK LTPLDTDVNKKSDDQEVDKDNGKNEKEENSEEEVALFDSPVSSDDEIQKTPVRGGSD
I206_03196	MADTKVASLQAQLQQSSMAFQKLEAELAGVIEARQRLDSQLSEN ELVLKEFTQLKSHNVVYKLVGPSLVPQDSNEAKVNVEKRLDFIKSEIKRVEGQLKDSE EKAAKKKDEIMGLQQQFQSLQGPNGGQQAVQG
I206_03197	MAKEPPTSTQKRAAPISASAFAFSISTPQKKKPKPSTTVTAPLS SLQGSNQTPHVKKEWASPALPRFAVPEISSPSQTPLRTLNQDEEEDQPIKSSITPRKV PILRPLNALTTPFTPLHKSGDPGPSTTSKTLRRLNERAHDVTPLKDKIKEIEGDSKLK FALHETLLSDQTKGDLFSKRKATLIEDDEGIGVSPRGKRIAKWSGSGAIPPSIQLANL LSSSNASINLFYTSMQHLLYPSQRAGSSLLKPRMAINENISSAISPLQYIESAANIRM KILQPCQTPTHNSIIFWCESIKWNDSTPPENLVPVIFQPLPTECPKLGVDPKLLAMKM KDDAAKTWQVGIWAWTEIDMPISCIQGQMVNDNKMNGDILKANYVKSVRALIVTRYLI VGM
I206_03198	MSSVIDSIANKLNGLNTSEPAPTGQSPSAPASPTSGTPRHGSVL DNGTNIGSALGRKPSVGGSRNSFAMSSNDMERRGSSGSVGRVSRRGSNIVMTPGGAQA VYHTRTQDDVHLPHAEKKTIADHLRKYESLLTLTPQRMRMIVHAFEDTLDIGLQKDGQ IVVIPTFVFGWPSGKEVGEYLALDLGGTNLRVCLVTLQGQGKFQVTQTKYRLTEEQKQ DEGQKLLDFCAECLDSFIRDTLGRTEEDGLLPLGFTFSYPCSQDKIDHGVLIRWTKGF GAPNIEGRDVAAMFMDSLKRFNVPAELTALINDTTGTLIASNYVDPHTKIAVIFGTGC NAAYMENAGHIPKIDSIGLPKDQGMAINCEWGAFDSFDHQHLPRTKYDIIIDESSNKP GEQSFEKMIAGLYLGEIFRLIICELIDAGDLFLGQNTYKLEKAYAFDTAFLSLMESDI TDELLTVIGVFTHFFGVETTLEERQFFKKLAVLIGTRSARLSACGIAAIVSKKGYLDE GCAVGADGSLYNKYPNFANRVHAALVDIFGEKGKNIVTHHAEDGSGVGSAIIAAMTKA RKDEGYFVEY
I206_03199	MSYPLTPPPTIRKSDKVLIERNSPSTPCPQPRNASNDDVEEDDW RKWPVTLYNISRQPHTMIKTDNDSVIVKGKHIPNEPLFMRDHSEETNQDDPMVSKDSL LSNDARSARTNDNIPQKIIESDDEIEYLGSATHNSDMVIPSSTITPQHAQLSNNRMTS NTEIFSRNVDTITNLPFKRKRARETDWSEDEDEDIAFVGRSFIPGHDGISQSHSDVSR SDTPLRTMPARDGKACVNCGCSCQGNSEPRLSKRKGTGSSILSTQVRANIVALLVNQE QTLYNQLKSDPRIRDWKDIAEMVGAKREDFDRVRHSARWLQENLPGLVAKGML
I206_03200	MFHSRSTIEAGDLVIIYMSRDNLTAITITPGEVLHNKYGRYTHE DLIGQKFGTKLHSPPPHSGYIHILRPTPELWTLSLPHRTQILYLPDISYITMRLGIRV GGTVIEAGTGSGSMTHSLSRTIGPTGQVYSFEYHEPRYEKALEEFQSHGLNNVRLQHR NVCKDGFADAKGVEAVFLDLPAPWEAIPHAMKTLRPDIITKICCFSPCLEQVLKTVAT LRSEGFAEISTQEVLIRTHELVVPNDPDHLGSVSSIVDQLKAHEKRKTDRRAVQMRIA RDKARRQKEAESGMVSERPVEVGSKRKIDERQESSMEQSQEEEEEYARTSPTWIEPIT QLDSIVLTKPTAEMKGHTSYLTFASYYPESIRSAIAAQDIGKSAIATPRLAELVNEGR VRAGSQETEYGSDGLDEVMGTMTEEEMIALAG
I206_03201	MSADLRVLLHALVNPQSNEGYIRDQQTFTELFKQPEFFIALHAL AGDKSLSQQERLMASVITARELKTKWRSKALVPENRKPEVRERLFSFLEEADLAIARP QLGLLVAIARIEYPRTWQNLPQLLLEPLLTCLAHLDNLSSSSSSTSTVLLNTLWTINA LVKEWRTIKVAHGSLTMQKFEDIFTDPVGRVLSVWGEHERNGGEDIVLAEAGRYSFKI LARFCQWQWSRAKGLQTQEALQRINSLVHHSVHHAAIIQSHRLRLVSGAHTDKLIRSL TKHLRATGKWWRVMIGLDPKGFCKIPGVTTGVGWWWGEVGGVVAGSDGTVANDDSDSC PYPKRFLLLGLLLFKDILPILAHDHGDSESHLLVFTPHFILSAFHLLVDKLLPLTSTD LEALEDEPEEWLVGESFDEEAWAYEFRPCAERVLIALNNACRNIPREQKVIEPEMIKL LATTESAPPNDLPSILRRESVYCAFGRLSRSIAAYGGVDFNALLSGMTSWIGSGQPLH RIIKRRVAWLIGEWVSADEEAAKLPIVWQMLLHLLSERTDATDKAVQLSASLAIKESV DLWELPIDYFVPYIQQTVEELTKLLGEAATLDGKRYVNETIGVVIERVGDHILPFLPK LAQSVPVLWHNSAGLEGEWLFKSSLVVLTTKLVSAAKSTSGDLMELVIPLIEESLQPP AKDFFEEDGLILWQTALWNAASPYQPTRETGLIRLLPGLLTMLGENMDLLSKLLPLLD SYLLLDAAGITQTYGEAITTTFAKALSTSKPNAEAVNRILVTVTLLIRAAPLSQLAPL LLHSGIFQHITTALEDDKASGLILAAYLEILSRIAMLDPNIFLQMVAESARMQSKEGA KLLEEVLDALWRNFDYVGETRMRKAVAMGAGALLTTGDHHVFDRFDGEFMNIFLDVLG EVQVAQDDPNGIETGLRPWQDEHSTQWSDIQYTPEGQRRVTLEDSDPAYSVPLKGYVM QVLNQAHAVGLGPFWEKADEGTRRSLEKFLS
I206_03202	MSNKEDVPHPDRPFSPSPPRENRHSASRPPSLLFGAPPVGTVAS SSTFSLAGLPSTPPARTEMNDSESSTTSSASPPLGATSILSELANPTPPRGHITPAHS PQVYHGRTRTASEVTSLRTPTLDVPASPKSYQSGFSSSSSTYDQLASSHSLPRLHQPT VVRRTSGRGLAFLPPPAHTVTHSTIVSHHPRSPSSGNTTISHTNHKTASVSRLQDIQH HASLLELGQPLLESTKSRKDERLIAMRGSEEQSMVQPTTLSDIPAPYPPDMTHEVGGN TDTPESESLLNSPEAEYPNAAAAETSDEDPDDHLAANLPTAAIIEEHVPATTPVPDHE AVEDAQSDEACALEPLSNSAEARVSDVAATEEVNHVVEEYPAEDTAGIPPTVCLNQEM PIKAHDPEHEVSENSDAHCSIRSVSPDLHEVATPDVAAQYLNDDLAPPIAKKVMTLPP THTMTQTTTPLMSAKLQSNDETASKFGQTPKVPYKPRKGSHTFGVKHSPASDDTRQIS FYATLNNPANPLPQFTSYRLELALLWGEAHVLRWIPGRKYIPDWNLKVVGGHSKDPVI IDVRVVIKTVVAKLPIFWRFASWL
I206_03203	MSRPSNNQNVSFKDDVHPSRRAQRDDQPPRPPAKNLPSKNSLTT TVSGSSSGYNAEALGYDNDGYVDAAQGFSASNDADIRRKKSLIRPERERIDPGHRLWH YREHAAEDQVDVQPSSTGNQPYHGGRGANLRRGKSLLARDTDEIDHQSGLNIFKRGAT IRRRASQATPRQPPNESEEKFGCLGNFAPGPKDAWMVYCYLLTFLVPGFVLRNVFGKR TPEAQRAWREKMGIVGIVAALMAIVGFVTFGFTQTVCGNTELRIAGGTADNASLIING YDYDLGNWKHPAAGSTFNGSTSPLYMDQYMAGGKDVSFMFQNVNRHCLGVITPAAGTG ITHNGNQMAWYFPCNIHDQNGTSAVNLTGYSDANNCHTTTTARSSFAALPPTAEIYYT WNRVANESRNLAVYKSVVIDMNLLQWLDSSQVSYPSFFDTVKQRNETFAGKDITAFVQ RNGLEQYAQCMTDIIQVGFVDSISIGCVASDIVLYVSLVFILGAVMIKFGMAVVFGWF LSWRLGNFEGESYQQRMKRAAEIENWTDDIYKAAPGYLRPNAANKGGSGGGNARKTVF LPTTSRFSKAEPMLVSSSRPSTAYGLADSRRQAASVYGGKLAPGMQTTPPGSPMLRNS RSSTSLPFRDDSHQSLSDQSMNNNNPTNCPFPLGNVVPQPAPDFEPFRFPLVQSICLV TAYSESIEGLRTTLDSLATTDYPNSHKLILVICDGMVRGSGSKQYTPDIVLGMMKELV VPPEEVEAHSYVAIADGHKRHNMAKVYSGFYDYDSETVEPSKQQRVPMVLVAKTGNPL EANDAKPGNRGKRDSQIVLMSFLQKVMFDERMTTFEYEFFNSIWRCTGIPPDRYETVL CVDADTKVFPDSLTRMNACMVNDHEIMGLCGETKIANKSETWVTMIQVFEYYISHHLT KAFESVFGGVTCLPGCFSMYRIKAPKGDRGYWVPILANPDICEHYSENVVDTLHKKNL LLLGEDRYLSTLMLKTFPKRKMIFCPQAVCKTIVPDTFRILLSQRRRWINSTVHNLFE LMLVRDLCGTFCFSMQFVVFMDLIGTLVLPAAISFTLYIIIIAIIPESVTHMPRPTVS LILLAFILGLPGVLIVITSRKVAYVGWMLMYLVSLPIWNLVLPAYSYWHMDDFSWGET RKVVGETKEASHGDKEGTFDSSHIVMKRWVEFERERRWRNGTESRDSQYYDVVQRANS PKGPQSNRYSVVSTSETSYSGFGGTNEGNPLFRQSQSFQSMSQMVPSPEGNPASVSQL ALPPARGASLGRDHSSGSAESSGSNTLERANSDDPSYGYHAYPNESYQDDAEQPILPA NYLPQPISHPVHVEPERTRRPSQRGVSLVDTGPVRQQVAPHDAVRRVSRHQRRSSSRN QLVSPISSNGGHGTLPPGAAPPSY
I206_03204	MSARTASVERKTSETEITCSIDLDHVPGVTTQTIDVSTGLGFLD HMFTALAKHGGMSLTLKCKGDLHIDDHHTAEDCALALGAAFKKALGERKGIKRYGFAY APLDEALSRAVIDISSRPYFVCHLPFTREKIGDLSTEMVSHLLQSFAFEAGVTLHIDW IRGENNHHIAESAFKALALAIRMAITRTGGDDVPSTKGVLAL
I206_03205	MPSPGTTFTHWIADHNVLWRSPITPEALVTSIKYYSYLSNAPHG MGWVYIAVGLVALASAGGRAVKGYKGQGGEVLFDGGAIVLWAAIAYTQIVDVYPTIKS IPTSLPNSISKDKSYPELVTAVRDLATNNIMTAVMLTGIMLLQAGRYYSKRADENDLP PSVDVSAASTPGRVPSPESDPAPPIKRSGTPFRELTEEEATELKG
I206_03206	MLSRRLISTPVARVARAVHSSAARPASEAINRYSKTITEPKSQG ASQAMLYATDGVNNDDDLKKAMVGVASVWYEGNPCNGHILALGQRVKKSLGEAGLIGY QFGTPAVSDGISMGTSGMSYSLQSRDLIADSVESVCGGHWLDGSVVIPGCDKNMPGTL IALGRLNRPGLMVYGGTIKPGNCGGEVLDIVSAFQSYGRYLQEGQTEAAEKTRYDTVR NACPGSGACGGMYTANTIASCAEALGMTVPGSSSFPAVYPEKLAECDSIGGVMRNLLE KNIVPKQIMTREAFENAMVLTMALGGSTNVVLHLIAIAHSVGIKLTIDDFQAVSDRTP LLADLKPSGKYVMEDIHTIGGIPSVIHFLIKHGYMTGEGLTVTGKTLGENCDRWVEKH GSKWEGQKILRPIDDPIKSTGHLRILRGNLAPGGAVSKITGKEGLRFTGKCRAFDVEE DFVKAVESGSIKKGEKTVVVLRYLGPKGGPGMPEMLKPTSLIMGAGLGYDVACLTDGR FSGGSHGFVVGHVVPEAQEGGPIALVQDGDIIDIDAVANTLMVNVSDEEMAKRKAAWT APPLKVTKGTLLKYAKLVTDASHGCVTDA
I206_03207	MPMLAEPSQRYLPFKPVPFPNRTWPDKVNKTAPIWLSTDLRDGN QSLANPMTNQQKTRFFRHLVQLGFKEIEVSYPAASDTDFQFCRDLQNNGEVPDDVWIQ VLTPARADLIKRTFEAVAGLKHVIIHMYNATSCLFREVVFNNDRDETVKLASDHTRIV RELAEQYAASHGTSFRFEYSPETFSQTETPYAVEVCEAVKKTWLAGEKSVWADGRKEE RIIFNLPATVEVATPNCFADQVEIFCNSISEREKCIISLHTHNDRGCAVAAAELGILA GADRIEGTVLGNGERTGNVDLVTLGLNCYSQGIPPNLDFSDMFSVIDTVTECTGLPVH PRHPYAGELVFTAFSGSHQDAIKKGFEAQIQREKKGDKIWSMPYLPIDPADVGCTYEA VIRVNSQSGKGGIAYIVKSALALDLPRRMQIAFYKVVQDRSETTGKEMTSKDITTAFR QTYHLGGSVYDGRLVLKSFVTVDIRSAAPSAVGTPDLSPDRSRTHSRVASLAGAVVEA SPDRSLDANLPSASKRLTAKVLIDGSLREISGEGNGPLSSFLDALQGDLGITLSIREY TEHAVGAGSDVKAATYVELIPPNVDAKDKTKGGFWGVGVDADITASGLKAVISAANGY LGQSPVQIPDDA
I206_03208	MTLKDDPQESLEKTGSHKTDPAPSKLKDLSDSEVLQFPGITREH WWQLWRPRHSPPPPKATLEEAEIIPLAYVSTLSKLTFQWVTPIMVKGYQRPLQATDLW KALEKRQAKAQHWNDQLNTARPRRSLKAKWRIKAMSNRGLPEDLSNYGLLDTYLHRYQ ALELEWRQRTGRKTGSITWALNDVMTGFWAGGLFKVASDTSQLMIPLLIKALINFSKE VYAANHSNEPQPNIGRGIGMAIGLFLLTVMQSVCQHQFFFRSMATGVLARATLISAVY QKSMLLSVGGRAKHPNGKLLTYLSSDISRIDYCAQCESIWTAPIQLTITLVLLIIQIG PSALVGFSLFVLLAPLQTWFMKLSFKVRKKSMKWTDSRSRLLRELLSSMEVIKVFTYE IPFLKRLKHFRRKEMVGIRKILVIRAANQALAFSVPALASVLAFVTYAATHDSLDPAL IFTSLAFFNLLRQPLMFLPRALSSLTDAQNAVERLTEVFEAEVRDKSHVIDISLKLAV TVSNATFSWSSKSNVESEPGFKIEDLNLNIPRGTIVGIVGSVGSGKSSLLQGLIGEMS ILTGSVTFGGRLAYCQQNAWIQNATVRDNILFGQVWDEPRYWKAVKDANLVPDLEILG DGDLTEVSLKWLVQPGILLRSPVGQKQRVNIARALYYNADIVLFDDPLSAVDAHVGKA LFENAIMPLASQGKTVLLVTHALHFLPAVDRIYFLSNGSISEQGTFSELSQSGGAAAK LFSEFGGQEKQKVEVPDELEVQDSQIDKQTQLLSNKSMGKAVGTGKLEGRLMVSEIRK TGSVGRKVYAGYLHAGRSRYTFPLTVLFATIMQGSQVMATVWLTWWQDSHFNQTWSVY QGVYAALGISQAMFTFAMGATLGVMANLASQNLHSAALDNVFYSPKSVFDTQPLGRIL GVFGKDIDTIDNQLPDSLRMMAMTLVTLIGSIAIITVFLHYFIAVIFFVGVGYWYFAL FYRTSSREVKRLDSMLRSLLYSHFSESLSGLATIRAYGETDRFIKDNAYYMDLENRAY LLSATNQRWLSIRLDFLGACLVFAVAIMSAKGGGGLTASQIALCLTYLTSITQVLGMV TRQSAEVENNMNAVERVLWYADATSLPQEPAHVIASTQPTESWPQDGAIEFKDVVMSY RPGLPPVLKGLSMDVRAGEKIGIIGRTGAGKTSITVALFRLVELTSGRIAIDGEDISK LGLNALRSRIAIIPQDPVLFSGTLRTNLDPFDLHQDATLYDALTRSCVITSQGENDNK RLTLDSVIEEEGQNLSVGERSLISLARALVKNVSTFGTFHDLADVLVDLETDSRIQQT IHREFRGKTLLCIAHRLRTIISWDRILVMNAGEIEEFDTPLNLFDTEGLFRSMCDKSN ITRGDIVRARQAELQDAT
I206_03209	MSTDKELYKLELLSLVSRVSQELFNHTKLQDKKLAEFVIALHEQ SKTPEAFQVKLNEIGADFPEWFVKNLDRLIVTMHPKYKRKAAKAKAAAAANGKSHITE GQKALEARKFPGLSMPDQDWTTADKYLESRQGKEISTEKLPESLNIDDTMAQLSAVAS RRNRPAAEDWLGGEPSNKRPRNGDSGYGRDSGYGGRISEQPRGRPGLDDRPVLYKIYN GSVSNVRDFGAFVSLEGVQGRTEGLVHVSSIINGRATSATEFVKRGQRVKVKVMSIAG TKVGLSMKDVDQNTGADLSPHLRVKTPQEIADEERRAATQSLTGSNSTPLIHVDERKG SGGAKRLSSPERFEIKQLIASGVVDASEYPDLDEDLNPQSNNPEIEEDIDIEVNEVEP TFLSGQTKVTLELSPVKIIKAPDGSMNRAALAGASLAKERRDLKRLDANEEADSESRE INQPWLDPMANQNERQFASDIKGNLLGQKAAQLPAWKAANKVVSYGKITSLSIQEQRR SLPIYKLRDQLVQAIRDNQILVVVGDTGSGKTTQMAQYLAEEGFLEKGRLGCTQPRKV AAVSVAKRVSEEVGCRLGAEVGYTIRFEDMTSPETKIKYMTDGMLLRELLVDPDCSKY SVLMLDEAHERTIATDVLFGLLKKACKRRPDLKLICTSATLDAAKFATYFWGCPIFTI PGRTFPVETLYTKEPEPDYLEASLITILQIHLMEPAGDILLFLTGQEEIDTACEVLYE RVKALGPQVPELIILPVYAALPSEMQSRIFDPPPPGARKVVIATNIAETSITIDGIYY VIDPGFAKQNAYDPKLGMDSLVVTPISQAQARQRSGRAGRTGPGKCYRLYTEIAYRNE MLPNPIPEIQRTNLASTILTLKAMGINDLISFDFMDPPPAATMLTALEQLYALGALDD EGLLTRIGRKMADFPLDPPLSKMLIKSVDYGCSEEALTIVAMLQAGGQVYYRPKDKQA QADAKKAKFHQPEGDLLTLLAVYNGWKASKFSNPWCFENFIQTRAMKTAQDVRKQLIG IMDRYKHDLVSCGTNFNRVRMAICSGFFRNAAKKDPTEGYKTLVEGTPVSIHPSSALF QRPPEWCVYYELVLTAKEYMHQVTVIEPKWLSEVAPTFFRVADQNKISKRKASEKIEP LFDRFATNKDDWICLRDLWSSTTRYAPPM
I206_03210	MSAEEPKCSCCHLRQKTLYCPACLHEGINLHNEALKDIQAQINA LITRSTFTIDSPSVSSHTRTPQLHKLNDWRRLRAEVAEKEQRCCGLRERIAERERAIG NSRNVKASGNIAKRRSNLRAVPSSEPRIRFAIQHCQSEQHATAYHIINARRVLVQEAV AVFGLNKRPKGEWAIAGIVLPAPDAFRLYPSTHINAAISHVIHLLTLVTSYLSITLPF TPIPQPPFESKHIGRPLMKANTPFVSTTKWRDKNVLWMSSTASVVSKGKSRNSLSASK ILPQSNMSALLANSIAKHRQFLTSFALLSYSVSYLAWSQGVQGIGIREEEEYREESDE ETAQRSQPVNPNTILISATSILELIHSLSVSPGLGQRAHEPGTNKVVRHLGFGLDVAK VVQNVISAEEHRWGTKQGEGSGEDLSEGWDLLDPEPS
I206_03211	MLSKFIFLFALLPAALSAPAADTSSSNVDLRSLASISKSNLKSL ASKIPQNCQLTNITVPLNGQDGLSVPAGQVVSNVAVGRGIQNYTCSSGAYVSAGALAN LFDMSCVFSMSSGFIEASQVSGLLPKMAFSALSFPDAGGLPIAMHHLFVGTPGSETRG AISPEFATNADKVIVSKVAAFNDPSNSSVNVPWLQLSALKDQGSLAKSVFRLNTVNGQ PPASCVTEGEQLSVQYAAMYWFTR
I206_03212	MRRISPSTLRQRVLPPISRRVLNVTPTTTRSLKTSASLQLPRST SSRRTFIASSANHAPEEPSTVTLADQSSEGPDAPVEEPSIPSCDGDIVYSSTTSLILP SRPSSPLPTELQPVLTHLSTSFLNGNQHLSEELTSSSPFTHVVTFPDSSGRPRTSQSE PPEDLGSSEPEAVVALASPFEGGEAYVTDAVKRLANEMNADVVRLDLMLGLGIDGPSS PLASRGIKSPSLPLSLNPMLQPAAQPLLSAKKESMEQDEQDDQGGMPGMFASVPIAVV GGGGGMMSSGSGGMADMEDIPQGRINHDWVNFFSKIINTGSSQPGKRRIVVLESAVAM AKSFPIWWPSFVEAVRRRRKGVFTLSKSTKGPNAKPSGSSLVYPTTVILQCTPSLALS HTSPAFSSAARDEDGSLTELDREEMEDEESDRHQDPAQAALAAIEEKFKSMGISVQSH VEVVKPKNDAKLWWGSEESDVNGRKEADKGRLSLMLSKGLNAILPSFGKSQESGQKHT NPLRQMIMNRFGLSPTHEGHSQASSLVWKAYPVIPQHRNFSGEKEARVHQRRIWSAAL TQKAVHQLGGVLADPSEVLNTSEITGRPMTRKTESSGIGKGWGNAVVSWNDALHIASI ALGTAIRSGKVEDGMATVEWQDIIRARKAMGEEKTIAVEQIKRHLGSAPTKVDAKIKS EIENAPQSVLDPVVENLKKSKNLSQHEKRLLPCIVDPTKLASTSFKDVHLPEKTVDGI RSMVSLPLLFPEAFRGGVLKDHATTGALLFGPPGTGKTLLARAVAAESGARMLAIQPS DVNDMYVGEGEKLVKAVFNLARRLSPCVVFLDEVDALFGARISRGSAGSMSHNLLLTE FMQEMDGLSSAIANKDKRVVVIGATNRPFDLDDAVLRRLPRRLLVDLPNAEGRKAILE ILLRSENTAEDVDLDKLAQETDGFSGSDLKHLCVSAALAAVKDTVQVPWAKNTASEFG FAVPIMPQISATPGGGSQAQVMILPTSESGGGRKKAQVKRQKTAAPVAGSLRLRSAIL ASSIGCPEDAQDKLASVEGEGEGDQQIAQSEVDHLSDANKAGHEPPSDELITEEQANE DAIDLDIPKPQPRILAWKHFKIALEEIRPSSSEEGSLPELRKWSEQFGEGGTRKGRKS GFGKGFGFGDEKPKDRESGYGKVQQEE
I206_03213	MDVEDRTYSSPVASISGQGNSVDSGHSFMTENDPPLSLIDLLQS STDILDFSNPPADQYVDQLLSLSLHELLRQPQLISAETSTVESDLINLCFREYPTFIS VHKCSSAVSSAFDDFSGSLGKLIDAIPSLEDECKAFSTSTGSIQNVRGKAALVQEHQD KLMDLLELPQLMETCVRNGYYQEAMELLNHCRSLASKYPFVPLIQDVNKEVEGILQLM LAQLLALLREPVKLPALVKTVSFLRRMDALDQNELGLIFISSRYHNYRTQLTLIDRDK ADPVRYLRKYIDLFREHVYDIIAQFTAIFLEISPSEVAAIHITSFADQAVTDLVELVT AYIPRIASDSGSMSSILVQLGYCAMSFSRVGLDFAPLVSASFASTVISTFSQSLATAS NDFGSILRNSAKAVLPPSQILVVAEHIAHIVSSPTSPPALPSIDTISHYPPLATLMNA YISAFNSLRLLAPLELHSQITSTLSSSLLASTNVLLQYVRQATTLSDEPPMSPVKTRP GHRRTPSTPRADLLRRNSEVLMTADARAAKRREARRVCVACADLWCRMVVPFLVDKLD DGVFADIPKPDPSKDLSGKLEELKSWVRDNEEGSEEKVRMNGNGDASQSLHSVLENDH KPPTTPPRRTIPLASAFDSPSHSSPKTPAIPNLATPTPKPASGQLTPHSNGIEAVFDS PRSSTSTTNGIAPLSSSDPADLQESGYDTVQPMNVQSELMDVGLTGEEAAVAVNVEHD INHHTQNSGSSALELNTDQKPALADAIDLKAERMADLPAERATSAIHAPYPLDTLDNI TAESLNTVKSKDELPSTVAPDQAEPNFAPSSPIVVEIAPEPTTDAVDINKISLSSPSQ ALTPVIEPSKPMNSQIFEAREDTRSNDLPQGQPASAEAKTPHKSVDAVNQEALKAPIS PAPPNGVDVSLEDQMQRESTEGNKLKIAESSGQPTDDTAENSHPAETPEDISAPDTPI ELESHPASTNPSRPVSPDGEAEKQTAVTSGSSGGGAKKKKKKKGKK
I206_03214	MFDHGFDPGYVFRHPVFLVTFIIAVPSWIIAFASQAAAEAKYSS GDGRTPVVNTLWFAIWIQLLALASDSLALHRLQLAIFLAIATVFAVHGVEFIFQPQGA LIAVGVGWLLLTMVDLIWIIYLTSEEESFFYNLLNSGGNGGLSGNNRRMRSAPVPHRD STSGFGNSGGEMGTGGGLGGGAGMNNMSRGISSHSINAGGYSGGGITGGGYAPAATEG TPQKVTSARNDYPAHSPGGEEPEYKHRAKAMYAYTASVDDPNEVSFAKGDILEVLDNT GKWFQVKTPSGATGVSKKLP
I206_03215	MEAGLLDPSFAARASCSAEHQHRHTLPTTSTLLPLQVSSGDDKC NDLKRRKLSPDIYQTIPSRLSSPSVTLPQPLNTVTNAALLYHFAISAHRASHVHLQQA FVHPSISSTTIANVHLFPLYSADPPRPFAHDPNAAAKALDLQLLALDLLRAGLSQGGL SEKEKVAFGLEFGIIGLKAYSALYKPVVGKGKTSNGKQVDAARLIGDIQEIVGQSHFI SGRQTSFTPMRLQLELLNARIAFLQNKFHLGKRMIQQALANQREQSSHRYALYLLYLE YIESTGSADYLTVADDLMAEAYSKGHIQVVQLAALAKARSVFVHRRWELASQALSSLS AVLGLTEDLSRPVAITGEGDERTWQASMLVHYMILRSLWSGRIGDDSSARTSLKHIYD FMDGTAESEAFHKIRANGGIMMLHLPGSEPLRVQVTPPNILYLLTYLTTVVSRRDFAG SNATCKSILHSNALRRYEDIARADDMWDVGYSEFHGVSRVEKQQKEVMTIRGEMMLEH ATALLFRSDLEAGYELLLQSIEHLRRHDLFQPFAPHICLLFAQFAMLIGAGPLAARFY TACLQLINSGSEFGLIATIGLLGSQGRLSKLTENPVNRDLVSGLVEKCKGSTSAMFNG AGHLLASLTDENVVNSKKQLSTAYEISAKSNNNFLRLLIFAFTTSTHHYGGRERMYRQ LETGKELAKMMGGKDRPDGVGQVILGLWFAYRLKEYYRQEGSQERANQAREGIKNHLN RLEKIKLQSAAMLAP
I206_03216	MTTRSLGPLPANLCAHLQNTSRGFQAKTSVPFSTSSLAISSILL RSGLISNVSLGSPAGPDPTSFNSLPIPARKLWIGLKHRNGQPVLRRMGLVSKPSFRVV VDRDELGRLLVGKRARNVAGVGMGEILIVRTAEDAKNGRTGTNRFLEGWEAWRAGLGG EVICRVG
I206_03217	MPFTNSIAGPSRIPFQAAIKSCGQSVTRRHASSAAGEARVPPRK VSSNIFFADWIKSEGSQYRDPVKGQKAKWLGDKVPYSSNPTFRPPPPLSDYMQNQVYA DIRRGRKVTELAEKYNISKARIEAIKKLKEIEDEFNRRSIPLQTAFLNGMEPLLGVQI PINPSTREHDAARARYIDQAHDSHPSTNAERLEEQRWDSGVGQEGSFGARTRENASEG VERTAWEFRNEEQNLEDARVLEQKEAELKKDPAHHGVVHEVLKREVMTATLFPTPVTE QAIVKKEKDAARAKDLKSKKDQVEGVTLSGIHFVDTSFTKTFGADNRGAKLREKRYRR RESKA
I206_03218	MTSGDYEPLPTSSTLPTYPPLPGPPFRPRLHKKQITLILILKYV LGGCASLVICHFVLIGAFPNSSYTKYTTNFRNSHVEQSSYASAAASVQGVLDSIDSSA GQPGTFFRDSFPLKTMIAFWELAEKEVKARSLDTCNGQLGRELIDAYHSSQLGYCVPP GDSLTDFIIDPIRNDSRAPHLNTEDVNLVEGTSIFCSTVHRAEFSRWWPYPAAPCVSK NLRTIPNSQSERKFGAAGCEITEEGIKLNNEMGGERFLGTDTEGVAPENDQCKERIER TLLVIGRQDQWNPFHVAEDLITTLVSVFVAVQTAPALITSRVQLVFVEGFGMDQNHFT PLWDRIGAWAPRRLSLDPWIEGTCLTNAIHSVGAGASLLSAMGVGTSYSCASTITWAA SHYYRHLFGLLPPSLSLPANLLESYHDNERPRRPINVLWLSRAKLDDYAQKHNDWSNW RDVRHITNEPELIQKFKTELEAMCQESLKSREFGQTGCVYEDATEIPEGWTLTSPETL KDTDPLPIRFMSLDPTVHALENQIHYVGHSTILVSSHGGALGLSLFLPPGDGTVIELQ VQNVVGNYHFQHMAKECGHNYELLHINRQVDVNQVWDSVKRWIGKIAISG
I206_03219	MTSDTSASHQPVASTSYLPPRKYILSKAHLAAFQRSRTHKEVVN FIEELNDAVVGKKLTEAGEGSERTKPIMGILDTILDIAKSTPPVDNKLSRFGNPAFKD FYDKVGEASTRLHSRIGGLPAEAIPEIEVYFQESWGNKQRVDYGSGMEFNFLCWLLCL TKLGIFTKDDYEYLVLGLFWRYIEVMRYLQSTYWLEPAGSHGVWGLDDYHFLPFLWGA GQLKDHKHLRPKAIHDPEILDAFGKDYMYLSCISFINSIKTASLRWHSPMLDDISAVK TWAKVNEGMKKMYIAEVLGKLPVMQHALFGSILPFPTPEEDPILKAALEEEGTGEVGQ VDEHGHIHVKGEPGWTMDCCGIPVPSAFAAAQDGASPPIGSVAFTARSGIKPIPFD
I206_03220	MASAAYTDAIMLFGDSLTQAWTDGSLSQRMAEYYSRRCDIVNRG FGGYNSDWAIPVFEQVFATREAREKGYAQNVKLITIWLGANDATIPGEPQCVPLDRYK SNLVKLIKLIKEPSSAYYSPDTKIVLINAPPIIENDWVKARVEKWESFGSTGPKPEQN RDKRVTKSYAEAAIEIAKEQQVPIVDIWTAIVDVAGGEGDDQLAPYFYDGLHLTSEGY AVLFKALSALIIDRYPELDPEQIPMRMPHWADVDLGNPREAFNKVKQGRLAGEL
I206_03221	MQYNGLPPQPGPSHYISQHGSLPPQPLPNPHDQSYESGPSRPAY QSDHNQYNAGYHQPSPPMSNGYHHLDHHGSQQPYQFPPQSAGPSSRFPSYPQPVPGVE AVPSPTPKAAYEAPVFQTFQERRRAKEIALRAQMGEISSPAIPHALTPPLSGYHRPQQ HQQPTDHHRSLSPATHPSPVTLAMMGQRSSSTGPPLPPPARSQSPAPQPIAMQDSSSY RRNSINGQSRPLPSPRALPILSTTPGSTPSHSGTSSPHTPIRNSMPPPPIPSAIQANL ERSDTLSSVKSLDRTGFSSSPVKRALPKPPVGVNSSRSLDRGIPSSAETATGLADSFK RKVDRRQPSVVDEGNEDVLVNGISEMSIGQTGSRLPSIPSIRTPSPGPTLPVIVTPAS VVDHRSPAKFSPLPAINLASSDTSSVATTDDEADTHDLSQITPKAKRNNENPSSPGIQ FSGLPMISVSTSDSADVPSNGEISIAIPTINFGEAPLINAPSMPAPTATPSSSRPQRT RVQLDGSAIICSGCNNAIIGRIVNAMNQRWHPQCFGCAECGELLEHVSSYEWEGKAYC HLDFHDKFAYRCHHCQTPIVDARFVTLNDPILGQRYYHELHFFCSECGDPFLDPSKSS APGTEKSRDKGDEEEENETSAFVIQKGHPYCEKCHLKLHKPKCKSCNLPIPDLAINAM GAKWHKECFICTQCKHEFANNLFFPKDGQAFCTSCYESIIASA
I206_03222	MSLALPNQQQQRNDPFLTPGTSPSTSNDGHIEAEAGPSTFIAPP LPPRPTPAGKRKPVPSYPNNGSLEEPIPRLSAPALPPRPNQQQVYSINADHPTYQNDN IIDQSQLPPPPPEAYNEKYGPYPPKKKGRWWYPASTKGRRWWWGCLIALTLAIAIVIA VCAAVFSKRNSSNDDEAQNSSGLTGSSGSSGTTSGSTTDGGHPLSIANGGVNIGKPGD IATFGKKSTDHFVMTTNRSIAVTRLDPIVNPNAPASHLHRIHGSSYFTANLTSATEMQ KLAKCTTTVVQDDKSAYWVAELYYQWSNGSLSTIPLDRTSLYYFQKAPTGETIYPFPD NYNIVAGNPMRRSVNSSDPTSDAFWWQCYRGNGQDTKNEGFPSTDCSGGLVQAIQFPS CWDGVYADDADYSTHVTYPSDGTNGNKCPTDFPKKFITLQFETVFATYKFPFNGAGKV TWVLANGDTSGYGIHADFMNGWKPDVLQGVLDDCRYMNSTTFASAADDPANCPHLNAT IDLDVTYGCRLQTQIVDEDVGELNPIQYLPGCNGIWSGNTSKPACPGNHIEGGYLDLT DPTVWVNNEPYRS
I206_03223	MPHQDDPGPSSHAPEIHIISTPDNSPESDHPSQIPSPGLPSPAE SSKSHKKVTQVTSRSRSRSPVGRSRLSSHHERHASFALSVQDDDQDLPDSNLNRIATA YPPRPADTDSSNSVKEKLKDETEKKSWISKAILLSRLRARKLIGHRWFMWVEPKLTWR NMKPVARGFFSSWVGLLFLLIVPVERAIGNAAFFSIIVAFMLPPSQPVVQQFEVYLNL FFFNALAWAWVALGVFIAGKTRQITDPSKIAAAEAKYSYLQNTNPTTYRERILYDGTY LQAKPAVICAIFLAVGTGAALWWKLRTQPSPATFPLVLSCVLIDIGLTTAVFYPYNLY TSGLLFFLPMAIQAGLGCFATLFIFPESVGHSFQLKFPGILNPLASAMKSIESLFQEA NWTSEISGNDDLLTTNDAKREKGFAEKLESWAERSKDIRGQLLQSLAGLPPLRAQQRY LNVDFSYSRLSGEDLRTLFDLLALVQARSGGMAFFFDVIVTNARHTHLDSSAWSVYKV NASRPGSRAASIRNESFQDESRRQSLGDEHSHSNLDGNVTPPIDHDDSTDNLTHERNG YFSGKKLHFASFIKKSGSSHALNRDRGSHVSLLDHLRKIQQPVGVYESTRYMDIERAF ASDTEHVLEQLNILSRGCLPVIKACEAALSTSTNWISNLNRDRKIIPRFHTKAKQAIL ANGTNEKIYNEDTNNLARVIKDLQAALDEFCIARVEVIKPYRHLFDPNHPADEGIHGR DKTNFRGLFQNFVAQYHLIEFTEALLNLLRQMQELDKSRQKRRFWYPRMSNLLAHLRH THKDKHLTDGDDGHDNDAAFSRGDEDEDLFLGEAKKRNPEYKPFENPYLNGISRLAMF TDILGSRSFMYAIKAAILGALTSLPNFIASSASFYYFNRGIWCTIMAQLTLAVFSGDT TVAWLGRVVASFWGCLFGMVAWYIGSGSGKGNPYGLAAVCAVTFPIAIFFRVHFPGQV LTAVMTPVTFGLVIGYSYFNGSIGPLTYAQWGWDVAWRRFVCVLIGITAAWVFSYIPP VYSAKRAIRNSYAQTINAAGSIFCDVLSHANDHHYRLHENDELRQKLITWRSKLNKLG ARHNFASNEYSLRGQWPEERYKALLDTLQDLFSLLSQLNHVLTQLDRPWRKALLDRTR LSDPTFLGDILAVLSMCSTALRAGTALPQITPSPLVARFRMGKTKGLDLPHDPTDPSG ELPSLVTVDVLESDNYLRYALGITTTFSLISRLDRIVVICKTLLGENFHISGLHLEQN TRV
I206_03224	MSITRLVRSVPRSIAHQHVRQLAKLQPRLAPVSANESRIYNLPY SIIVPANLTRRHASTATAIQPPEPIPVPAELVTPPTRPVQGAEYLIPALKEVQVLLDN QIGGDDIWSRRIRSTLVDLSVERPGRIAVIGDELAGPRDVVSALLQDPLADTEESRRA LLARHEGSDIEVFQIGHGSLPHREPESLSLSASWLEMSGYDVIEVNTKQAEETITTLL STDSLMIILDPIRLLETSQLSHILPLALARGSVHFVINGHLPPHASQSSIETKLRDQL SRIKVEPIKESFFNPAVMPVSFIKAEKALNALDALAAGLQDHGPSTSTSKTRAFEVFQ REFLESHIGPLQSSLLSSLQTISEPQLSTARQVTALALSHVEKIISHDRDVVKDAQHT VSELRRGAQQGASKAKHLSVASRGIEGGLVEGSVEYDMDRVKTGLEDKFIGRLSWLGL IGRTRVDDIQFELSSYLSNQFGKDLERQIIFETGQLSNLQLHLDHNSDHIIRQLSHST NTSTSTHPFTSPLLLNHLSTLSLSIPPLSPTSLLAPITTRREQLLNQSIPRLHSSAQR ALLTTYATSLLGVSLSWMSYVPPMTLISGATATGLGILSIVASLAFGQRLWGKAQKKF WRDWKRITNMMKGDLETRFDAALRTQVLAKPLVAADGLEKLIQKREKRLDELQDKVNS ISKRI
I206_03225	MTDQGYISSGSGSGRVSGGEGGDRNSIVLKVGMVGDSQIGKTSL MVKYVEGSFDEDYIQTLGVNFMEKAITIRNTEITFSIWDLGGQREFVSMLPLVSNDAV AILFMFDLTRKATLNSVKEWYRQARGFNKTAIPVLIGTKYDQFASFPREEQEEITKQA KRFSKAMHAPLIFCSTSHSINVQKIFKIVLAKAFDLKCVIPEIDEVGEPILLYVDV
I206_03226	MVSPPSSFGSPELLCSPASPTISFTSDIPPVPDPKSASAFGSTS PLKRTSSPFGGLGHQRSRSETANLLQSEHNFFHQHPKTSPPSHLSPFSEMVHSGSLLH HQTSRHQRPTPPLQFGSAPSVSHFPVGGPHSHNHVNPYGPTSSRFQPGTATFGFQGSI SASSTPSQSHFPNHTVAPHSPPYDHTGPSTLRRYVSQAHRSQWKLRQRAASGSHDTNL PCSPDCTAAEAPYHLLDTVIGRASVNDLRRTTAKPTKRKAAEDEDIEMEEELTPSAAR PNIQTRRSRTRISATGPVSSPRAEPLSKPLMFDRRLLQSTPPLPPVDHLRDPPVKGDF FTNHHKSGLGIFMTSTAVLTQGTEPTTPFDSPRPSSAPAVPLLEQRDRALSNASADSG ISIDALGSHHLSGGLIDRSAGPSLVASPQNVPAPNHSFGLPPTSSRFSSFSSTRRLDF GEKLGSIGQIVGDGAELTSYLGEDLAAALKQRLQPEQSEMVKEIKRKEEVLSEAEWSF DVPINKVFGDVVRDWVKGIADNEQLQVVEYGCHKEIPNAVLAETVKTLALRTARPGQL KKVLSVTHQCNVDFDTRLLQANLANHSQSYRKIRSIPTPMILTSFSFSGFVEPSLPPN SIDVALCTNELSKLHGSVQPKPLYMFTSQAEEREQRSEKDLSAWLKIRAKEVKPGGVL ACSFAVRTAPIIANDRSQRRNQIPEGFVGSETHPPKGSPGNYSMSLPTSPRTSVDESG IIGNNASITPMTEITNSPFVSGPPLPSPPMTSTGKPRKYRTDIWQAMSHALSPAIQRL VSLGEIKTQVAPFLVDVPFWPRTLESVQSTLSKNFNEWETLIRPGLESEVSQSEQQKI SGLSYESDTENMNEYSNEEKKEWEESGIKIFRLTHPAWIDFKKGKIDRNGYAKRISMY VRSVYESHLKKVLREKGRMDISQCETTVQELFKVLIEKCELGALDNLEIDIGIIVLKR K
I206_03227	MSASWHTNDHTPSGRGLSVPLRRTGSVTRSRSTLTPSVNSKRSS AFLNTSSNVEFGVTSPGVASDLMNESAGLRKTIEGLNKTNLSLRSRIAELETHIDHNT GPEVVKLNKELATLEDLFASSQKANEAQYAESERQKGYVKELENLLTTSLGSDWQESH KLYPPLAPTTIVTASTPLPPPKAVNTLRHSISFNAKRSSKKLDRRASSVMDLGLVGLQ AVQEENRNPDDTPTGALWKLSGSIKPAHERLEEKVLCASPQPGPSTLLCSTKAQEDSM AHSSSDAQTLSGIDVNQLNQVLQMLSGLNPTKLTDLVSRNQSVSKSPDSSADNSRRER NDHIRTVRRILESQQKMLEERETRLRDILEMVSLVISNQCVWLVDTAIWRDRRKKRRQ NLQ
I206_03228	MGNDQSRSTNSGGNDSTEERPPNYYELLQVDEDATADEIKRSYR KLALVNHPDKNPHRIEEATKLFADLQQAYEILSDPNERAFYDNHRNVPVAATDDDIFD HVRSGDKATNDPKSKLNKRRQGDPGVRLEQLMRFFDPKLARKMDDTNEGFYSVYRTLF ALLASDEDLHISPDSPSLAYPSFGDSTTSYAPAQGTTRAEKDSQIWVRDFYLVWTEFV TEKRFDWVAKWDIERAESRDIRRLMEKENKKVRDDYRKEYNDTVRQLVSFIQHRDPRY KAHQAKIAKEKAIAKSSNVKSGSSTPLGAGSGIDSDAARKRHEERMRSAAQYEEQSWQ KLDGRQSDEEDLEEDEDTEEQENGDGTGVRLDDGMGGEFFECVACSKTFASEASWANH ERSKKHKQAVWRLKKEMMAEAKANGESRNVSDEDDDIEQKEIFEDAQVDTPPSVEASE KAEAEVAKSKDIEIDALEDELVDLALEESENVEYSSRKSKKKAKRSKNIPTPQHLLDP DPESLPRTPDEFTSPSTPIPDDDLKSDAEEEGSERPSEMSKKDKRRAKEARKKAEEEN RKLALKEARKAAKKGQSSIHDTRAEQEEKLSKDKDDGFSMPKQKGKGKGKAKVDVVDE FAEEKVAKVVEGIKEKKEKMLEKWGENWSDLINKLQGILASSPAPDGIGVLCLGIGKP FSERTAQIQLALILELATSLNCAAINIEAFDPVSDEGDKKVLQAFGITHLEQNLTGKH VLSPTKSYILYLPHAPKQLYESLLQTNFSPALGNKPGRILLGNDLAEYIPGFVRGTAD EKQTSGQGDGEGEFVKAKKKRKGKGDGPKEVPDGVLQRLVPHMTIIHFNDALPETNLP GFARAFLSLAFQWLESDKLDKIDWKKELPDIEWSGGEVIA
I206_03229	MIDSLNRTASIDNSTLASSSQDYRVSLIEIILADTPFLALGFLS LTVITFYSTFNRSNNRILLLESSALTACFASLFNIVGMFYHNDQVAATTFQNIQESEL RRFILTMLTIKQILLAISSSTTLLYFHISLEDHRKNQINVNTDRSVINTIEVERPKIF ATTMIENNQIRCNPFERNESRRNIGKLARSFLVFIIFCSFIFELTWRIGFLVNVTKSN TFRHVYLTDFALRLLLYLAFSSCPTYHILVTSPSFRMEVFRQYAGIIGGIILGLVVVI GSLASLGFSERVVGRFFQGVQLYVLITSQIISDFNEHVPQAKGRIAGFSLIDPNRANH TQFEGLSTPTASTFRVSPPSISTPSPPPVPPGKRVSFHPAVILRRANSTGATRRNDTS SKRYSTSISSRIRTWVMSSNFHDVDLGKDEEKSLTSRYDFQTQMNSPNSKSDISTYEN DRKILKNGPAPTMSSNVLPPSQEDQYNLYDQMPTSPDVARRSSQTIFNNLSRYSQNSL NNLIGIRRTISSPGARLLIFGQDSRAQVKNIPNRQSKVSSGKSSRQSSEIFEIPSNFP KPPEREIGLSNRYLEIKEQIEDLKLPIRPFVQPLKVGHRRMRSDLTHINVTSFIEGDS FKHGNSSSMIRPPNSSKENGRKTYQSDLSQITSIQSSEASNEIYLPKPSYLQSSPIES GSEDLTPTCSIVDIDEAKITKAIRSNSQPLSQSFHHGDHHEMSTISKRKVKENQIEHV KFSSNKLKEDLPMPESPTLPTSATDLQRINPQPRVIRSITNGSERSRSKYGTTNMI
I206_03230	MSRNPGHPSSRYKISSPQPYITNELRSAYTSDNGPYPSFSQASP TSASGPSSQLHAFSPPEQYGYLNAPQRQNVGPSRPMPSNAVPPASPARPARSRMREAP QPPPITQTQAPAPAVPSRRQDLHPIQTQPGLDRRPSGSSDVMIHSPISPVSPQNTLPG GVGANALFSDPFAADRSQRADLRAQTSAAAQQANQPFQGGPGTDKLRNVVGAFMNASR QRGNEDSAQPTTSRRPNRSEARNKPKNEEIWEVGESGSGGKFSDIDDVLRKIKKDWPF VMESDFAPSTLALSLLSQGPSSSLGPHPNLPSFLRLHENLSSALQAAVQAHFQSFAAS LPQHATFIATLSKAQEQVKKSKEALREARDGFAGKGKSELSGIRARERMVRDMLKILD SIDHLKQIPDQLESLIGDKKFLQAALILVRSLKTINKPELAEIGALSDLRAYFVSQEA TLTEILVEELHNHIYLKTYYSDSRWKAYVPGQQTYLPAQPRSSDLSLVTSSITNGDHA PMAGPGPSSRFTRYLNHLAAKPSHDPLLDFVESDLTSASNIPTITHQRNGSKNIGQAG SHGSLSSLLGGNQGSADSGNPEADSYAYMEILLEALAAMGKLGSALEMISQRVPGEIH ALVETTLDEVEERSEARREEVDTSFRRPTSFLGPANNSTRPDSSLKRRSLFAPGETHR IELSLGATGPPQHAAILRDFFWTLYSKLAAVLEGHRVIYEVARWISSRRDFKDSLITN ASISLTVPVLEIWRPVQQEVRTLLRTYLTDDSQGSTLDRHPIPTINEVLRDGKWARDK QKQMFKFVDTDIRAIQNEIKPIDDQLQQALRVSVPGLVSMQVAGESTLTVLTDSDDRY STAGKHRTLIPPNAFNVTTLFQPTISLIQRATFIVPPGFEDATGAFSTVLEDFVVKVF LPQLDEKVTASFQQAVGGYDAYQVDRNAGKEFVIPPLKSSVRVMALIHSLCVMLQTTP FHRENYSRLIVGVIVQYYQQCSSRFKELASLPATIDEPNAPLALPAIWAQREDVIRIL NEVRAVPDTDQAGLIAVEQKEIKLELELLGDQGLVEKNLINSMRKLEALGNLSQSLRW FIDSLLDLQSVADEPLSPDNEQASADFMPSITPAPAPRLDGDAPGLPLTRAMAQRYEA IIQTYEQLAEMVLNTLRLEIRCRVICNLSASLRKGDFRLESEALEPDSDVLDLNSTLM EIEEIAERTILGEDHSFVFRGLGQLVDQVFITSYKNIKMVNPAGVRKIKRDILALQQT LRGIAPAAKEGQLGRAMEYWDLYDKGPKEMLEGLKNAVPKPHFAFDDYNTMLNLQCKA DQDDAPSNELNTYLIDLHALSMSIEGWEIGDS
I206_03231	MSNSQEGESSVSPPTKHQYTSLASLLKKSAKPDNTSQEESQLPG RRTGNSGWGLTVADRERRGSSASRSRTRGKGKKKDEEGKNKGKRLSLWQMMALTVSMG GSQIAWTVELGYGTPYLLSLGLSEQLTSLVWLAGPISGLVAQPLIGAISDSSNSKYRR RYWIVTATILLVLSGLGLAFTEPIAKALVDLFGGGQGDWDPRSIKLVKNTAIGIAVFS FYCLDFALNALQASLRNLVLDVTPGEQLASANAWHGRFNHVGNIVGFTMGFLNLAHVP VIRLVGGGQFRKVCIVGLALLVITVWITCWTTEETERESIFGERKSRIRDVIGTIYEA ILHLPKPVRRVCIVQIAAFMGWFPYLFYSTTYVAEVMTHELGHDPDVDTATRAGSLAL LIYSFVAIIAGTLLPYLAARDRRLLKPVSEKLRDGDLSGEESDDEDDIEMERIREMVR EWKAEAAREGRPLKLPTMPFMLRNIWTAGLLLFGLLMGSTFFIKKVWQATIMIALVGI CWAIACWVTNHAPVPASAGPGPGYRPIHARAASTPIGPWRSMSTSPSRREHDPNERTP LTRSYSTADIENAGESLEYTGSGPVAGGTIMGIHNLAIVFPQFIIAVVASIIFKLADQ ELDVIPSFSSSEEGGGKNGVAWVLRFGGLMALVGALISRKVPPTKTEKAMRRRLAEMR EESAE
I206_03232	MSYRPRSRSRSPEYRSRRYSPSRPLSPIRSEIPPPRRYDDDRSL PYPPRRSPPPPPRRYDSPREYDEYPPPPRRYEDEYPPRRYDAEHDKSRYDDRDDSRYD QERYIPPPRYQREYEERDRYDYGRSSGSGMAADREEIRPRRDYQGGRNDSQWERGRDV DELAAGDDYGHSEYHQSSKRGGGSKGPSEPARDIIFLGLDPELTEQDFSGYLRLEHNA VLESVKIVKDRFTGQSKCFGFAQFKDLDGAEEFIHINYPAVLMPALYSHSNPRKVKID FSATQSSSTSHGNDGQSYQHQPQYVRPAHDGMKDIGTFGGGKRVLLLRGLDASTTAND LISRMSQEIARMMGKVGKEIAAESSIVRVILIVDRNVRSSWGYAFVELATAELAAALL PFLLAPQHQPNGFVINYVPIAPSFANPEAFLPTPAGPLGGEFILRPSRNGGIASDTID QPEGKWCAYWHQAGGAVETVARGAPDFDEDGLVQLTPNHRSFLGTLAGVPPQAKLPPS ADTAHGSMEPINISGGVQPIKISGLGKGKKKDEMAGMIPITGKNLLKEDEEEHMVDKD SVLLSRSKGVYIIPPKSSSRKIAKNINKWNTKQSELAAPEPILVDANAPPKGISDVNS TLGVKRSFGEASSPSPGPSKSPQTSITPSDDFDFTDFSSLATSGKVACLLCQRQFKTE EVLKKHVAQSDLHKTNLADNDVREAGIKRKTAISSSSASGEGSSDAPKYRDRAAERRE AFNQPSVPLPEESPSWQAEQAKKRKFAEGPSSTASTNVSTNQVQLPPVVEPGKDESNV GNQLLAKMGWKSGTGLGKDKEGRIDPILVQQFENRAGLGASKGVEAGKYSGPGGFQQR AKDMAQERYYNSQSGGNGN
I206_03233	MAGGHGGFEPVKLDPAIERWASMRENVFQHFKFTRRATRQVFTW GFVVPALIATIAVTYDNKYDWAGKQKGSSLLKGTPAKPQPVSEE
I206_03234	MIEGNGHNSVEAGPSRPRYNHIRQPSNRYASLADDFSYQVGNED QEEAEREDEANKLEDILITRPLLHSKQSSSLLIRPQLSSRRSSKVKALHPLLPELSSN LHTSTSELFDLPSDEMKGTAYSSLPPSPRGEREFQFTVPPPQQKGLGIKELDSVLNRF NIPSVNGEDEDKEEFWPARSRRPTITEHDNIQSEGEIRLSSPEPDDITSPSNATFDQF KGLNEDGKIEITGIWDLLKDEMGAEEWDGWLVDGKWERIANFLAVPLAVEKTTLFGAL LCLDGFLYNFTVLPIRAAFALTRILSNAIKRKAWWPIPLAHQHSILRALLLLIPTIIL LGATDSSKMYHSVRGQDTIKLYVIFNALEIADRLCCAFGQDVLDTLFARETLSPSIRK SGKGRKRQQARPVFFFALSLGYVLAHTLIFFYMLVSLNVAINSYDYTLLSLLISNQFV EIKGSVFKKFEKENLFQIMCADIVERFQLSLMLSVIALRNMIEMSGSEIAFLPKSFIR GKNLVDSILSPVLFVIVSEMVVDWLKHAFITKFNHVRASVYERFTDVLAKDVLLAGTS ANGRNRRRGRNHQVLLDQSPLVARRLGFASIPLACLVLRVSAQAIGMLSTSSHSEESL AGLTLGDWAWTILKWMSWTGVGLCAWGCLVFLKVILGLALLSFSATRQEGMDQREAED KVNDFGRSAVGESKEETEYNKETKRYLSHSDDDLPAYPSPASMKTSNIPFSMGKGVLQ NNVKQNGNYNRSDSEKVISEKKESGGDEKGGKKGKKWKLEEVERWTMVKRIW
I206_03235	MCSTRLLSSPFPPIRQFSSISTQFSTGNAEKEVKWEKVLWRRQP FPDNYVPPNFLAELDNLPPRPLPRLIDLFFAALPISLHLSIIAFFLAVFYSLLQGIVS PESVGWKCVIFGLAGWAIHKWGWGIHRQPKEDSIIPAPTPLRSLILPPLLLSLLSPVL GTLTSATTSDSIWPLAGGLGFVHLLLADFRTGEDQRLKRKREKMIRLNKTHKRRGSIG LIQVEEGEEKSLSSSLSLTSALSASVVLASRLPSTSHVFSLVLLAVLLFAGWPPIAKG VREAGRSFSLILTISMAILSISLFPEKSFTDPSYTIWNIDIFSSTPTVIFLIALSLAN FVGPFMLLYAWRWKTRRGGGWDVAVVKLRKGKGL
I206_03236	MSLIGSSSSTPDSSRSSTPETSSKAVNPLNPQGLKPCCACPETK SKRDDCFIKSPAGEGDVNCREFIEAHKACMRGYGFKV
I206_03237	MLSLTSLRPLSSTVKQSYRGYASLLNTPTENVNELPLRLQAIKL YKELHRLGRDYPDPKYDFNKRLRRAFEKNSKVTDPEQLKKQLELGEHIKKG
I206_03238	MAEPPQKRFRYATYNEQIRNISVDVGRKRGLGWEKEGYDEDTEQ TNTPLTAELDRLSLLDLTTPYQDVQRAIIPLTSTLPITLYNLPQIQQVFSNYYSRLQE GQEFTHSGLDSVLFLHQALFETCLSEALSLVPETIKHLLRVGALRALDPKLVERTYST ISLILRSIASSLLKSDEIAQKSLRETWKEVRPYLRPKDNKRYVRKCVADAWVGVIRKA RSEGLARLMDVLLEEQTEGMEAVWSHSLKGTNGQLHSRAQAIYDILLDKLAADPTEEQ LGTVNMVTIALVHHCSTSTIRPVIESVISRMDSPHRGASSSNYSSPLPASTTILRVLS TFLLTRKGKRYPEPLVKPFMQRLQNLIPRLTSDASLSLDDLSDEGRELRNSWRKALVA CIVGCLQAGQLQHWLSPGVGLIESLWSSLNDRESFAFCNALITLKWPGVEQFLLSHIA KTALPTLSKDPLSTLVLLNNLAAAGYLSGGLSNVQGGRWKQTFISSIVSLVAELRDRR LVDIKDRRILGQVLKLIPALPSDAHHFGPHLASLIRITLENTQGKDVEVVKAEWEEDG AWNDSHILGALLEAARNVSDSASSSTGDELKEVLVGQGKLKDIIEIWSWNREVLSAAE LLIGRWSSEISYDEHDIYQHLSPNLLSADSQLRLSTVEILSSLASTSSPDGADDHVTS PSGIWSLCAAVESSEMTLKNVRDRTTAISRLSRAILSLPASASTNMLLKGVITYLVAQ LKVNFRPIYAETIAALAATAQKQSEVIWEIVWEELQKTDAAGSASVLDLGFTKPSWAQ KRYDESDNQKVEKDEDEAEFHCHNLDKSRNTLNKVWNQATQEDKLDEQEISSQISHDR LDVLNYEAQLLGALGAIPSIAEKHSRSIIPVFFGIAQQDDENAEGVERLSHLSTKQRQ QRTASYLELFAKFVNPKAAYRSEELHSLYLNILAKGEPKLQGLALKCLFTYKSPKILP YQDSLETLLEDSRFRDELARFRLSATEQDYGVQSQEKSALAKTRIVYIEPSHRDEAIP VIIRLLYGIITSRKGRSSSGQGQTARKQAVLNTLSGCTEEEFKTLIDLMLEPFGDNLE NVNVAGRQQIGFLTLLTDVIRYLGPQIVPHWPRLVNTTIALIGNSQAKLTQQNVDTEV EGEDSGDDLDQDVESDKGLAPLRNIRSIGLKRLVQLFKSSVKFDFTPYLPKIYQSIIS PRLDRLEVENTQAPSGTLDLIATIAASPSIARSLVEQDERTLPKAFSCMTAVKVKPAV ILRVFDIIDSLLIEDEPGLTEDVLLPNIRVLLDNIIGLVVSLKASANEDITRRLLAIL SRLAVIVSDGQQAQELASLLAPMLRQKQTTEKAKSNILNTLQRLYAISPDFKDPSSKY FMQSFELISNLFQTLYFPSSRRALFAVLQTFAEINPALEKSVHLTGELNAYSAKRLDE PDFDRRLTAYAQIIDGSDEDLPDSPQAWLPILRSSLFYLHEPEELSIRTSASAVIQRF ISRVGIADSGAYFEALQHVIMPGLRKTLRSKLELVRVEVIHIIAHAVKTCTGVPELAE LQPLLAEGDDEASFFTNITHIQVHRRARALLRLRNFVGEIKIQESTISTVFLVILEHI VAGSTDVTDHHLVNEAVQTIGALAGELRWSRYYGLIGRFMKLGSVKSQQQKIYIRVVS AIIDNFHFDLGSTVQTNGTTMQVDGNGNALDRLDDQAGQEDEDVEGEVETEEVQAPVG ASPERITEIVLNRLLPSLTKVVATKDETESTIRIPLALAVVKLAHALPRASSADEVLR VITTVSQILRSKEQDTRDIARDTICKIAVYLGPEWLVRVIKELETALQRGPQKHVLAV ATHAILVLATTQASDRFSDLDDAVELATSVSAEVIWGDSGKDVASEGFKTKMREVRGA TSRGFDTLQLLSQLASPSKMSTILAPLRQVMHSSQAVKQMQQVDEALRRISLGLNSNP KLAPEDILSLCYSLISGNSAYLKPAKKAVKNSETPDAFRVQMKRERGGKEDFYPQNAH KFVVFGLDLFVTAFRRSKFDFDDVSILSRLGPMVNAIGNTLYSPASNVLTLALKASAA VLRCPVPQVEHALSTFITNIFEIIKHAGGTAESEVAQTALKTLAVILRDCKSSEVSES HLKYLLEVIGPDLEEPDRQSAIFTILRSIITRKFVVPEIYDLMERVSSIMVTSQSTQV QDLCRGAIMAFLLDYPQGKGRLKNQMTFFARNLEYTFEAGRMSVMEVLNQVFIKFSDS LIEEYSDMFFVALVVVTANDDSEKCRQSAGELLKLLWKRLDQDKQSSMINILKVWAEQ RETNNIIASAALGVFGLLVESDESNEISNELISITRPIIEESAKALLAAEASDEVIIL DHGLPHQALSATSKAILASNSNLSELPLKSIIDHLLFPHSWVRYDSAKLLHHFLISSE SSYDQLGEDNLMDIARKGCLVLNGSKGDEGYIFTDGKLCDEIVKVLYNVAKHWAVKEL PSVEDVQDDGEDDVDEIIEEEKNKNPLSWLMSRMSFLARHLLVNRPSPHSFQAHEKWS SPILSILRFFAGIYELLNKRQGKIYLIHILSPIYRILDENGDLIKTDDSQIEELRQLS IQIREFIQKKSGSSSFSSVWEKLKKLTLKKRENRKEEKINLSFKDPLKFSELKNKKVL KNKESKKRKIKSIKEGKVRSSNMRKRKD
I206_03239	MSDVQLSGDRLNEERAFIKRYTEGLSSHKVEYPADFSTPLEDRP RKVAVVGVEVAEPPSAAGMDIDTPSHENVTITIKCLKPSLTLPITATLTDTVSDLKSQ IAKSDSNAPAIDTQRLLLKGKALSDTKLLKEYDIKDGTILHLMVKIATSAPTKELTSD EATIIPPSSTSPAPPALTITTSIDEASTAGISMPLTNIDSAAPPLGPQPSVSSASFHQ TISDPQFWQKIHALCISEFPLEDEADAAWETFLVAMKGKLSAGEAAKIRDVVGVTGMG GQMAT
I206_03240	MPGTASLLLAIFFLVLFIVVWSLCLLGWRTARIRYAHPTLPSRL SSLPSTSAPGVTIIRPLCGLDQNLYNTLESCMTLDYPKYEVIFALQDENDEAIPVVRM VMEKYPEIKSKIIIDSTKIGVNPKVNNLLRPFQEASYDLLWVLDSTISVTSGTLGRSI EAFLSNHTQYSSPDDPESSPLMSAADDVRKPPISGEVGLVHQVPIAVVYQKTWGSLIE QAYLNTTHAKMYLAINAVAVDSCVVGKSNLYSRSNISELTTPSPSLRSLPNPPTGLAG YSPFLAEDNMIALGLWHQLNLKHAMTSDVACDFLGALTVKDYIDRRVRWLRVRKKMTP IIATLLEPFTESILAGLYGSWAIKRLLGANIPALFLVNLTVWLLIDLSTRKALETNIK NFKPPSNIGFFILAWLARECLALPIWLLAMTSDIVVWRGRRYKIISSGMSIFV
I206_03241	MDMSSHPLLASMDEPPPFPSNYPQLRRLDRSVYCQICKEPFQGP VSIACGHSFCSSCIRSSLDVLKKCPSCNEPASEGSIRRNRALEEITDAWEESRPIIYG FAQPPIAKKRPAPDSGSKPTSSSNTKKLKTSSREASGSRSQSPTKFHEGNDDLDDVQE LTENDEAPCPICQATLPISSIPMHIEKGCPPPKTRTNGIRKGNQKADWKKVFSGQTSS GKTKEVELKRITKPNYMIATPADLRAILAEYSLPTTGDKEILIKRVQEWIILFNSNLD TSHPSSLSALRARLSDVEASKRRDKEKGKDDMVAQLGNRDGLQKYAKDKKSEFERLKR EIMERDKRRKDEEEGKGGRENAIEVE
I206_03242	MALYSFIALSFLPLIILLAPYYIEPYQLWDPIALNDKIQGERQT RWCNMGWWENTDSFPIAAKSLAEKLLDFAKEGGYSGGGNVLVLHLNSTNPPKHLHGLT SLTSDTKTSQILLDKYKSENINTKIELFTYSAKYNPTKDFNHPLNKMKGFMGEKNFKN YNAYLDEDEILEVEEEQEQENYLNYNKPPPPPYDLIYILDSIYHYPPSIISFLNSLKP ITNKKNKSIIIYTDILPPPPFESKLNKFKFFFISYFLSIPISNLLNRPKSLLEYKNLL ENQGWIDVEIQDWSQNVWKGFANNLKNRGGKWEKVGKTVDRARKDGWKFVAVRIKKGD L
I206_03243	MSTLFNLKGNQSRTFKPKKVPEGTKQWQLKQYAQQTLGSGNLRT AVQLPEGEDLQEWIAVHVVDFFNHVNMLYGTISEFCTPTECPIMNAGPKYEYFWEDGE NYKKPTHLSAPAYVEALMTWTQSILDDEKHFPQQIGKRFPPTFINTAKTILRRLFRIY AHIYHSHFDQICALGIEAHLNTNYRHFLLFVDEFQLLSEKDLIPLEEFNKTILDETGR R
I206_03244	MAQRQGRRSLGLAPLPGLGDELTEAQRFEDFRRRHSKQNKEIIV DNVTRKNMVKSLQDDIHKLNIELLNVRQANLKLQAKLNKIQNDKNNLNNNNQIYENLN QLIKIFPALKNLRDSLSPSSTSINSKQTQEFYENGLINGISLVENNYATRPAEMARQN HGLWSLVEVCGNEGEKQRDDVRKVKRIGRGRHTGIGSIANSRSPRRSTQSPKISYVEI TSPSPSRIKPTSPSPKKAASSSSSVSVAGKKQRKRRESGLITIPPRSPSPKPIPESVE MDYGETSEWEEGKAVELSPSDTQLNKEDIHIPSDAPIPLSSSIGPGSREVELLDTIRE VSTTESGTSGSSSSRQYSAEEHTNLDEEGIGRARRARSRLSVNYKEPSLSKKMRKPDG IPTEEILISTKPISSRSLASTSSSSVPSSHTNTPPKFTIPLDDSPLSPLPDEFEQNQH KLNTKSRLVGTNSSNTLIKQNGMRRKSTLPKIGLSKKVIEKEDEDDVDDLINIEIESK WEDDLKDVKNKTKNLLLNNNSPLKSKQIKKVNPIIKSYSTSINKSSFTPINSNNKISS LSSSKSSKSTIITDNLVIGKSNLSSSSSIKQSNSIIPKEIEKISSNKKIDDILIEGDN SNLPKNVINNSTDKKKVLNPTITTTTTTTSTSTTNNSNSNRKIQNTNIISTRRRMSSA I
I206_03245	MSLEILDREVLISILELDAFLYFMGIPLPPAPLPPVLLAPAPTL TVPAEFQPQPEIVEVVEQTAAAPIDWGVLDDLAGLFDTSQENTVLSLPTAENSSKKRK FSEPQEKESYHETDSFSFEFLYPPPDAPSYSIPPSVCQPLATPPLHTSGLTPSPVSDV VITPPRKKKRAGRPPKEVPSLFGEGGKGRTVLELPSKPPPAVTGAKKGGWSVTSRQAS TVSWKKPKEQKL
I206_03246	MGSSSSKAVRKLPTASTTLRSSNVVKPTTPPYGGHPPPGTSKPP PEGEKADPFMEAPSYLEQGLGGETRTPSNQNDQQNQNQNQNLGGRGANVDIGKDDKGL ARGGMGRVEFTGIKDDAIKRDAMDPQFLNNLNRLGQVNINDAGKFIPAQAQLTLLSRN SHPEFTAPTNSLTPPPLNHLTITLLVSLLDKMKTLKSGQDIMSVYKEYGVDKSIMDDV RKFVNSVSVSEQDDVRIEDGEEIREMKAIWV
I206_03247	MGKAPSKSLTRPSCVGPKALPLPIFQKLKDKRVILASASPRRKE IFATSDFHPEIITSTFAEDLPHSRFQGRLADYPIATGAEKAMEVYERLVKENEYDPPD LVISADTVVIFPPEKDTIEGGQYHGEISEVLEKPINKDEQARSLSLMAGRQCEVITVY PTIEAPGFKVQSISASTLVKFYDNSDETIKAYVNSEEGIDRAGGFAIQGLGGILIEKI VGDYNNCVGFPSSAFWKWISELDADGVFDESWKE
I206_03248	MPINISNGQKRILISTIIITLLYVLVWMTSHRKSEIKSRTPVNE NGQAVFKQRLVAVGDLHGDIQNAEKVLRMANLIDSESNWIGNQDILVQTGDIVDRGAY ALNVYKLMQKLRGQAENQGGKVVSILGNHEVMNAIGDWRYVTQDDIKVFGGTKRRQEA LAKDGWLGSEWLANYSITAKVPLSPYSFSPSISFSHGSIRPSFSNLLPYPEKINELGK SLIEKALTPPLSPPYPPNPYSGLPKGHTSEEADLYSEGGPLWWRGLAEREESQVCQWA KELKLKLGVKRIIGGHTPNFEKIVSRCNDSIIIIDTGISSAYGGVLSALEIIYTLLPT KEDHKEHRQDPFMVFDTQRQFQNSIDSSIQSSNNQKNEVSGISPKSKGLEGKFIEREE VYAIYEKRKKLIVIDEREITL
I206_03249	MSTLATADLGSDSENDGDFIPKSPIKRKSKSKNTDKRKIKKIKL SNEGEDESDSDSMTSSSESEIENEESRESVDEKVQLDLVEERKRKAREEFEKMKFELN NSGGSNSNSGKTKEIIEMIEIKRARRFAGETIYEIVKIRKDDPEAINYLTKQQKEIDI KENDGSQNGEINEMDLINKIDPAISITSNNQSSSIIDNNESIKSPKPSLENINPRSKV GQPIRKKPRQSLEAMSAALDKGKKMTTLEKSQLDWKSHTKSIKGLNDELNLNRKNGTG YLDKKDFLNRVDERRSSAFEMKK
I206_03250	MTTTTPSRYPSSLSQGPPRPEPSNSASGPSRPTRGYPAPSSDST IGSPPSSSSSVPIHEYSSLADLLQQAGYKDIRVFTPEAEKLKIQSRRNGKGIKKTFDQ VEEEEVDSLYDTYGFIRSPPQSNSQMGNIGLNMERSFSEEKEQIIKHQHITEFEPALP MKSSSSILRSLNIQQQQQQQQQSEILKEESNNNSWWNGWSGIVNTRPKLINSDSSNST TTANSSYEASTEIGLGLAKNGEGVRKVKSNFEIRKRRAGTDSPPQEERPPLPTQRIIS NPNPLPNALGYTSVGDIDVFNSPPPPVNIDEDEYGYSPLPEDYEEQCTEDEAFYSMGM NDQNSLYSLGSSITSVSNPNSNPPSIANSLRDNYSIFSRSFEEGDETISTIVQNQDRE GSEDRAVREINEFQQYQYQYQCQDGLDMEMFDQINEAGRRILKSTINVDTMDFDAESP ESQNSDLPEIESEHAVPNIPLPTIPQTVAEQGKKTLKYGDRATKLRIAHSTPALRQAA SASASTSSNQPLPEGWLNSIKSALIGKSPEPRMNQIQNVISQPKGPIKISTAKPALPI TITNTPVICDSISNNAGDLPPIPKNRVIHQSKSIGLLRYKPSLAKLRDVVLGTLNTPE IVNPSANATTAEVEEEREEGLILSPRLNWDEQGKHAGWSPNKSNSNNNQNETEIINGL FGPQDFTHEIDYSKSFFYKPFTPPSKKINCSNSNSNLNEISNFTSPPSSSNIGNINNG GGGNLNKKRSIKSLKKALLLPVLPNIQPPQPPVPPIPNLPEYLNTTPKKLNSNSNLKT PILAIQSPGSFVPRELILEGEEWDAREGIINDWGRGRNKKINQKGKGNGPIRKRKSKK IIKD
I206_03251	MDQPIASSSRLPPPSLPDVDEETNKTTFELAAQRQILKSYQRDS SQINKLIDLVSETIRNIAGTRWLARKQLIIDLLVKGVYLSLTFGRGIQTIGEEYTDIL PFHSRRGKLPSKKRRIITILLILLPSLIFSPVTSNYLRHEDLENSNNQSRLQKAKLTL ARFIESPIGKLIPEIHMMLFSFRGKFFEMARRITGVTYVSTQSPKAFESQPATYEPLG LMIAIPLLHRLIRSLSSNIEHTENLTNIGILTPYKDDLLPLSPPLTPPLTAEETILIK ADQKKLYDKLNTYLDEETLNLPERQCTLCLESRGTSSGSGGTTAVTECGHVFCWGCLG GLEKLECPLCRQALRMERLVAAYNL
I206_03252	MTYTDYPTFSDSPFFFDRRSASLPEQEEGLMRSHSKTRVAYYHP KDVGNYHYGERHPMRPHRMELTNQLVLGYKLHEKMTMHSPRKATENELLEFHDSDYVD FLKRVTPKNAQNLTKDWTKFNVGDDCPIFHDLFSFCQQYAGASLAAARKLSSGSADIA INWSGGLHHAKKGEASGFCYVNDIVLAILELLRYHPRVLYIDIDIHHGDGVQEAFYLS NRVLTVSFHKYSADFFPGTGNLSEIGSDLGKYFSLNVPLKDGIDDESYISLFKSIMES TITTFKPNSIVLQCGADSLASDRLGTFNLSIAAHGECVKFIKSFNLPLLILGGGGYRQ SSVARCWTYETGICLNLNLNNNLPIPENNNYFEFFKPDYKLHSNLLDFNSNTNKNLNS KENLEKIRIIIKEKLRYLNNSPSVQLQEIPNDLMGFQINEELNLNETLNSEIRRESKL TDNDLYEKELNSLGNGDSDGIPLGSTRRKTFRI
I206_03253	MNLYNHQQTSSSSSNSSTRTQDSTIVDSDKSYLLPCPKRRRRRD SSSVVEDPQTMTLKIPSHRTCQRTIGRYSSHSTFFILSCLLVLFLPLISAAPPCVRFA DYDSINQMFIDGGPGTKVYLCPNKLYRLSGTIVFTAADQEIATFGYPTGSERAILRVE GLKTSTAIQGDCRRCARVGIRSLIIDGNRKKLGRIINSDDATGLVVLGGNEGQSIKNC WIKNPRGFTGIHIREGDKLQCSGSVIDKNEIGPVGEEYDPDIDGEDPEISPLGRPLAD GLSIACKDSFVRDNTFYDNTDASIVIYCSPGTLIHANHITARSLSSMAGILLVDQTPF DGDYSGVLIKQNIIDAASRSIRVGIGIGSTIWSDDTETILKGGTVINNGLKGRYMGFG IVAAGLENWKIKGNWDEATHQGKKSARCFDDPVNPDPMPFMFNEATVKDSEFQPGFQN HDFQYVVCIDGLYDKSNPPKHDLPPLPHDMDNQEAEKEAIPPKDIHKQRQKEEKAQKE QDQESILENSEQVEKSIEDEKDYPVVGEVSPAGFSTGSEVMDDILEHSQQRMLEAIDH LHRRVDILASNLPKKTKGKKEIGNLDPAISTHLEKLQRRIEHLENSQRTLLESAVNMR SSIQLWDQEMSAVGEWQYDILLDVRHKLDLHLNHPDTLHLENIELPQINSPRVVEDHS HPSIERDGLRDLSNKERLNTLNQNDSWGWWFKVLLIQGIVGFGCWGIRNWWKRRRIHS KIL
I206_03254	MDATETINNGSALGHATPTMVSPLDKREPYPVFDDFNIDVVIKI LSSTLFSPFFVIFLPVTFLSQTHSSHPAFIASCAWTFSICMIATLTHIDRIYKSGGNW LFAPKKLNWDEQIVLITGGGSGIGALLAETLALRNIPVVVLTKDEPKFETPNDNINTY ICDVSDYKSVQAVAEKIRKEVGDPTILVNNAGIVKGKLLLDLTEDDIQDTFGSNTLSH FWILKAFLPALLRRGKGHIITVSSVMGIVGAAQMTDYCASKAALISLNQSLRFELDNR YKIAQIKTTLLLPSFIQTNLFSKTIFPNSKLFNFFCPPIQPHIIVKKIIENLDQGTSE IIRLPFYTHFSRILGDDLGIIPTWMRDFIQRIAGADHAMIQYGPKPDAAERFKLEKES INTELSSKI
I206_03255	MTNEAEEPPSIALLDPLPSLIPLTPSELLSQPSEELTLSSIEST FAALSDIEVLQLSEKIISEGKIQDVEIIKFIIQLGQQRGNSEYTKLQDDFHRHDAANL DIPKILGEDKTREEIIRGYIYVEEIKRRLDTWEIISPSNFESVEQPITSRPIEPVEQP GKDEGSEEMDLDDPWGDHDDEESKEQPETPSALLDDPWANTEEPSPIASTSRLEPIIE STPAQSDHVDLPITLSSFLNQSLPSSALDLASMSCLSALKIICERHFSDIYPFRFAIL QAIPGWISPTELESLHLLPSLGEDDLEKWIHPISVPSPLFTQLSKAYLPPSLIISDAT IPTVTKPLTSPELTTWYIDHIISLDATGILDNQLAFVQHGASLGVIGLDELGEDLSLL SRLVYDSNLTPIQHAQWNLSEWRKSDENKIIQAYLSNSTSESIVEDLKRLVLPYLYVL ESRAERTGKSNSNLVEKLLYDCILSLNLEKALPIFEFSKATLIPNERIIKNDLDVARL ALSLSYGIKNEKPISNSKDWKIMSNIFECLPVWELNGTDLKSDSELTLTTLESIVNFI KPNKIEGLNQQQQPTTKDLFLFFNPLPFSSLSRALDILDIHLESGEILSKWNIFIGLN YLLQACKNKDDQINLAENLIRKQITILQKEENKWLNLWNDMNRLSGDDNTQELLRGAL GLLSKRERAKIYLSGILRSGNFDIARKIIQKLSKENSIDDQLIEEIVLEISKEFYLSA ESGNLHTGEMKLAYDVLNVAPQTPSIKAEKSFIEATSRLTTFYSSTSSSSTLTPAEIR YSPNPLKLIENLLENSKDSYKYPDIILDLSNKLIFNGKENENEKLIKNGLIKIMIGRS AFNSNDWIRCKESIENSIDIVRKINKKVKFNDFKINSNEINNDEKKEEIQLINEFWKL SFNLSEKNEFEDLNYKLKLLSYSIEFCNCLKNIKEILKFFKILENKRILIDKEKKRKR LNNYYFLNENEKIKSNLILSNDNNEEEEQKEETLIGSRTVSKAAKLAFNKFDGFRKNL SSSTTTTTSSKITNSSGQIIVDGVGFGSGKSSPILNQFDYLSKTRSISPNSNSNSKSN FLNNDNNNNNNNNNNNNELIDNIEGNIHNHNHNKPKELFENFNNSSSTIDDETERVKQ IGQRVLVRGVGWLLGAEENEIIGGD
I206_03256	MADPFAATPSYTSPQGYQPQPRPQQGYRASTGPGLVMASVADEF GSYSPGHAPIPGPSQSTSAAAQRGNRQSMPMPLPVPEPIASTSHAYASSHSHTAQPQP QARAANRRSHNPTTTLANSSPPKAEYLTDEYVLHPSVFAYKQAHPRRPMIGFGPYVLL QTLGEGEFGKVKLGVHTDYGVEVAIKLIRRGSLDDEVRASKVEREIDVLKTLKHPNIV RMFDVIDTEKYIGIVLEYAGGGELFEHILANRYLKEKDAQKLFAQLISGVDYLHRKHI VHRDLKLENLLLDKHRNIIITDFGFANRFDHAQDDLMATSCGSPCYAAPELVVSEGLY VGSAVDIWSCGVILYAMLSGYLPYDDDPQNPDGDNINLLYKYIMNTRLNFPEHMSPSA KSLLQIMLVPLPEHRCTIRQIMEHPWLAGYRDMFDRSVEEHEYVFQEAMYRKSQQAKR ELSERKRIQAEAKEAKAMMQSSQSSVPGTSVTASMLDYQRRREQRHHSALPTTSTMPE YLSNAGHRTPPLESRHAIPIPLPAPTQAQLLPEAAMVISPASMPTPTMPSLSTPSPAG SPPKNFEARPAINTPTESIAVESNIAVEDESLTTPLITPPIDSDIDHKTRPPMSANKN RHTIQVEYDGEASYERMQEAMQAKESGKGVDGVEQMEANTEHLAPVMSDVRQGGSSDV DMESGSSDNGHAQTVESIQEEEATPEPTPVLTPAPELAALPAPEVREETSHLPTMPDV PSTPSRKQLVMQNQSPQSPSTPKASQSNKTEIIIATPKAEKAAPPTPTPKATLAERKR HDSMPPPSTVPAPKATRANPDLTPAGLPKPPPPKRERYRKGMSLDKFGLAKLLGQASH SNDENRSGPPSASASAINLVAGHAKRASMSLSRPGTADPEKKSRRKTLGLMVNRTGSK DDRTAQPPVTPLSARDMNPQNVIPSKPSPVVVKREGISPQPTTQAVQSSPSIVTIDAF SAQQSTSPQNQKNASSKAAKKVMDWFRRKSLAKDTLVNLKSAGVKSDSQSSFVRVSPA RPTAGPSRVVQHGSTANLAMSSVSSIGHTTEGPIINVSSSEDPVQRQHAQPESATLPA NRSITKSVISAEPARIPLGEAINKTNVQSTSDLLSPARARVPTPERSKSHRVSPNVTP RTSSALNQQSTSINTRQRSGSEDVKMRVHTGLVDQSALSSKPPKEVMNEVLNVLREMG IEIKRENEFKLRCTRARRRKAGATTALGSVMSVGSGMSPFTLMGNASSSRTDSRGLPL PMSPSTGGGISGGLKGMLLRRGSSYNGSISQNLPRSESEIFGSPSVGTSPIISTTAEP LYGEHSVDSGDEVKFVIELCRIKNLPGLYLLNIKRLRGSVWSFKFIYQTVLE
I206_03257	MAQESKSSDTSYKVHIKGKKNPYSRYSESDNFCLDVDQSLDETY EGVHFRRNSIGPPSVRYLSEENGIKRCKQERLQPGSKKEFSTFTATLEAGDANEF
I206_03258	MSGMSEQSNGSSQGNASTSSDPTNLTLPKGSTFLVNGKEYTIST TGEVIKAPNAHHDDYPRAPRATSSDIAKPEAASFSLVTCDTLSERKPTVKHGKAIFLF NDGEPEVQYPMEENGVCWTACEKLTVGGPFTNVGSFSAKISKNN
I206_03259	MSTTGEKAASSVPSETPSSNSTRSYKVHIADDAYAREGDDETLT FTYTISTKETSRNYRGYTLTATIEGIEITGPQSGEKVTKLLRSKDKYRAELGFTVRVE ANDSVDQVSTHGT
I206_03260	MKPPAPSSNNKRPTFHNLAWAFSDSTIMLVTLSMDRSVGSMIIA ASQMYRYMS
I206_03261	MSTPTPTFPPNSRRHIPYLSFHKSLIREICKPQQDDLVIIAKGL GIRRIVCALLKTYDRKEDLVLVVGATPADEAGIGDELGIMGVRDPGFRVVGYEMNVKE REEMYRHGGLFSVTSKILVNDFLKGTIPAKLITGLVILHAERVSHGSQEEFAVRLYRR ENQSGFCKAFSDEPEMFAHGISPMKDMLVNLNMNSVMIWPRFNEDVKQALASRRADVV EMYQPMTDFMRECQDSITECMEAMLVELKRDHSLNLDLEDINVRNAQFKNFDTIVRMR MKAVWHKVGAKTKIHVAALTELRNLHTWLLEYDSATFASYINTLQRQHFQAQKLAAGP ARHVHDWFNAKSASKLVEASQARVSKKAPIMDNEHDVNSPTREEVRARSEGPGHPIDD FMDEEAALREAEALNGHSNGLNGTNGDDEEEIMEVFATQTQTVPQPDEEEDEAMVEIS ENDNGNETLREATGEAPPVFRPVLVGLEESLTRSVKKRLRKGHEPVLEEQPKWSLLAK VLKEIEDTIARVSESHADAPGTNIVLIMCSSDRTCLQLRQYLTTMQTTNPPFGPHAGK RMMETLFLSNWQHEKNGERLSDPNKMNGDEGDEVRVKKGEMEAKRLEDAKDRQRGTPR GRGVPAYKRRRLRGGAAAAMAAPRLADMERDHRETLMKAQSAFAGGEETDGQMQWALA ESSKGLGSSSSGSAPDPSSALLAQSGVVEEQDLDPLTSSISFAKPDETGSTSAYGFGL MPENFEDEYGLLAPQDTVIIRPYGGEDDDILLQELRPRFVVMYEPNLAFIRRLEVYKN CNPGLSLRVYQMVYTNSFEEDRFLSTIQREAEAFKKLIDDRQTMVIPIYNNNPRAPMR DNVTRSKTTYSSRNAGGGEPVEDARIIVDIREMGALLPSLIDASGIKIVPATLTVGDY ILSPRMCVERKALPDLEASFANGRLHTQCESMTAHYEICILLIEFEEDKFGLRTREDA RRETAGKSNEKDDSWRDTFYLQSKLVLLTLHFPRLKIIWSSSPHESVRILSDLKLNND EPDEMVATLKGSTEGGEDSFRSSIENVGSVEMLRAIPGISGRNLKLVLSKIESIRDLV RMSKRQMKELLGDENGEKCWKFVNHDSRFRRSY
I206_03262	MFTQVIARRSLHTSRSLLASQSLLETTLRNALKASMKSKDKPAA ACLKSILADVTNATKSGKDPNEPINDEGVMAVLKKGISQRTQASESYSPNSPSPHADN YSSLQNEIKLLQSFLPEAPSNEILQQIINKIIGNLSDEIKVSKGVAGKVINGLWEELG DKKGGVDKKLVGKLVQDALKKIQI
I206_03263	MSSLNRNNSYRYNSVPNLGESSTHKRWPEDRNNPNSGMHSSKYT GRDYNNYRPNSPGDRFGGSYNERWDRSRNGIDDGRRDQERFTGNGHGNYERRNDNSRP LESRDNVKSRYEKSNQSQAAKPPYEMRGSYIPSYQRDTYHPATPPPYLPPFPPSLPTS PLYLPPRPLSPPSGPSGQSRVFSTSQSKFRTTFSRDRTVTPPPVSLPPQEYLELLKPT ESLISDTVIPKLLVLDLNGALVFRNRNSDGKKSYPRPYLASFLEYLFLPHPKGEKRGW EVFVWSSAQPHNVRGMVEGAFGPRFIDGVWEDETPQGTAARKNGEGRLLGVWARDRML DMKSADYTRKVQTTKDLRKLLEHLNNPSEEGKLPHSMRYEEKTVMLLDDSPLKAIYQP FNQLVIPEYGKEEYHSSKQAASTAGDGGMDQTLLAVIGVLHDLQNVTNVPAWINLGGL TGTLENNGKSENLPLGIEDLPTHDSFEHWFKDTNIFQTWVEKGRLALEERGIEVKHGI TPDIPSSSHSSKPSSPYRRGQSPVRLYSKRGYHTNNRIDDDEISSPPPEQLRAANVIN YLDDLISGTAKLTVNQKDSLEAAKEVLAELDGQGIDKENISDLDRQSQVNSNDFANNS TASSNHNGKADHHKMGNSRDKHDDTPRGNQRKTRIREKSINKVQRMAQKKDKSNQAFE EAKKENPNLSRKAFKIQSAQHRKKVSKPLKIVKSGLKGSHSGSKTGKQKSSKEASRNS MDKGAANPEEIDISNMSEGDCSFGRKGEDDVEEVTRDVFVRNMTIRLEKDIGHAKPGP IGKTISSCENPKAERNSTSKRSRPNSWEGEQWLL
I206_03264	MPLPFFLEPLLLVSYWQNRLTQRIARWFQRSNEQMFGPLEQLIA AIVILGLALQPPPAFYPQFYDWSPANVLNDTIPKISTSLKPIPSTGNFILDKIFAHLP DWVQISPVNIALILTFLNIGFLVIDEQTKTRLKESWDLFWPPWQEHQSFRMSQVRSNA EQGQEAEVKSDNKATEPDEARVDRTTPEPSSSRSQPKGPETTGAASRKLEDEGRPSKK VISLDKEVGTQKREVTPTRDVKSTDSNPKDKGKSRMDGERPGDVGPTTRSISQSGDAK SQPKDPKDTSAKEKPASSGLKKTSGDDTDTTADKLTNADGNSTNSTDTDDPLMAGDYR PLKSVLKKSKKKPKQSKNIHHNFFMTMRGYHPSLIPFPHGFHPKPHEPRNTLWWDNVP RNADHIMANVKVAKEPERDEDASEKKDKTEKGKDKSEAGKTKEVKTDEKEVKDAEGQK SQIKSGASSTDTAKEREKEKLKAQAEAKARAQKEAEAKMAKSRQIASLTTSSSDQLIT MPVDPKVQKATYLSQGVLSILLYSLHPQLGFLLLTFFAWQYINSHNQLILSKQVFDPP SVSSSSSSADNPQLTRPQNTTDSMSARLEMARGAIAPSDKKAAIRGKIEDLGIMINKL RSIPSKELTPEYKDKLQRAHLKREQLISEINADQSEPDVKPRSAEKSRAETLDQVARD TTRLSVEDLEKKAKDMDQYVLRAQSLPDPTDDQIAKLAKVEERRKELWKQVRLMKGNE PTSLLPTNTDTSQPTEKLAETQQDQKERSESNDQVSLEDFENKAKELDEYVMKARQIS NLTESQQAKLEKADERRKALWKQVRIVKGNEPYSFMPETHQNTNSDERVNELKTKIKN LEQYVLKHREVESPSEEQIQKIRRAKASREVLKKELTDLIDRQAVGSSGMSIDDKEAL SKL
I206_03265	MRSFITLFTILNCLGGATAASDPGLAAWHLDNLYPLATERLDPV VAPNGVASHLHRIVGGSNFGANYNYDQYNSASCSSAAVQADKSNYWMPQLFWKENSTF VPLKAGTRFYYFLHRNNPDQPVRAFPPGLRMLVGNLNAKNYADTGLPTGALNFICLKD HFSTPNGDTAGPDFNFNIDCPQGLVTTVRFPTCWDGVNLYKSDGSHMAYTNNLQYGVC PISHPVRLPGIMLEYTWQTYAYKNGVPLKGNLIWANGDTTGYALHSDFVNGWDTSVLE AALNDPACLTGEMTMTACPTLAMSMNLGTAQNCQPQRGVLESYADFAPISSLPGCNLP WASGPKPACNPPVGSPTVPNGLKGTDGSLTYSGPSYTNTTTSPPGQWTRQGCIGGATS LTNSFQYSDAAMTQAKCQSTCGEWGMQYSGLLSGAYCVCGSDVDKAAYHFADSDCNSK CGGDSTKTCGGNGKLELFRNPSATTVNHPGITDPQYIGCRREGGNGHALSAAYINYDG MTIEWCKNYCKAQKQNIAGIELGRTCTCGNDWTNGGGVPYPQDQCNVPCKGNTSQICG GSQITISTFNLTLPGGSSNPSSSASVPISSTPISASASATQSGVVTITKTVTVTGSCS TAGSRRRNHRDLKFFADA
I206_03266	MSDDPILAKEVGAVLKSRASSQEKPSDSDLLPVYRYLVPSSTAS SSSSTSKVDKETHWYCKKAKSALHKESATYLIFLFAFQRQGTSKAWVDRLEEVLLSCE GCARAFGGARRKLGSKYLSKWPSQVRVNFFAAVDKWQSTLILNQIKEASKTAYGTTSF SSTPELYTLTRPMLQLLLSEPSLIDPEKGLNSAELSSSLDKAIASSAVTSAITSSGVS TLLVHLLSSPIEVRRKWAISQLASSSRRSLPFDEWCSLGIGQEIQSLYSDDVQIDGKD RWVAVSAILTAGVLNTETVEKGLLGGQLEEDPKGRKNRGLMSALSALLGSDVPYFPEV LSCFSTLLNICPNQHIWSFDTSPDRPHTLFSEIRSNPAFQYLIETRYQDSPADMNAIL PEWSEKLDKGKGKSSESSESLDWIRNFLVSLVDLEKGDIVITDGKKTSGFSEALALAM NFTFQEMQHTRLAGSLRAAAATAGFESLIAVHQAISSEDVTMVITLNSTLDLHAHFIT TVALRHKDYPLPIWSQARSRASDLLVTFFSADGESTVQSVLAMATMSHAEKKRLQRKK RNKGDVAAAVRVERLPHACINKELWNMAYEALSPSDVVGAAIIIRSMAPFSHLEVIDR QNSWKYEGLEEVVKKEEWVDTIRSVNSTIKGSREAFPRAIESLAMGLDPEYVKSLWNQ PGVPKAVTMLLLSPDDEVHTPIITLIQQTYEDVDDRADCFRALLQNHPDMTMDGLTEF LRTFIQTATYTPESCSLAKWLVRCFHDVLEALCTGSASSEALLQTTQFLTSFADGKGM AKRIEELWHLMTTSLALIFKRTLDWAPLFENEVMVDWMRDALIFGRQMTDHIRAFEGA VLGQSGNRSFEDVLESPVKVTSVGKKMARQLEMVLTDLILWLRLTDVETLFQTHQLIK TILDRISRSVPDLSKNPVLERTLQEIDKFCRKSSKSYTSRLTDDRLSELSELLIGFNL TDQDEIQFVKQVSGTSTHEPERIKSKPTVRNAFEEMMKASGKTPPAKEKAKPSGPPPK VIDVDDFDDDFLDNLSSTDLDIIEKRAKITAKDGKPTTAVKPRLPGQLSTSARPQIPS NKIHVDLTHRAVPKTTSAFTSKFMREMKSQHNQERKREIGGIVPKLPAPSALGSGLGV YTGPRAKTVQPVDSGSSASESSDDEKGAMSNLVAKQKPLPQIKPVEKRSIKIIGSDTS DMLRRNEEKRAKAHATKMRLKPDLNPLYRYVLSWNPDHKGSTAPHGEKYAPTLSNMRN VPTAFGSALQYEQVMLPLYLQELWSQCIKEDNVSMKGTVQVEVSSRQYEDDFIEIDLT VAGPAGDFFANETDVVILRQPGNSNAIFAKVQAYKRKPKEIAIKVRIMASMDQRELSG RSKWQLKKHLSLSTAIREFAALKGLPYYESALLQDALAGRSAPMPGLALDDIEDAMKS YDVNEPQAKAILGATHVKGFALIQGPPGTGKTKTISGLVGKWMSERRTPMSVDGRPPP KPKLLVCAPSNAAIDEVCKRLILGVPSSSGIRLSPTIVRIGIDSSVNIAVKDVSLDSL VEARVNAETNGKDGGSEYARLQSELDSVKQQIKDKQEQLRLVQNHEEKRKVVENDYHA LVTRRTQLGQAASRAKDAARDATRHLDGARRAAKEQILNEADIICATLSGAGQDTLAA HIFETVIIDEAAQAIEMSCLIPLKYGCKRCIMVGDPNQLPPTTFSVEADRYHYNESLF VRMTKNKASQVSLLSIQYRMHPFISELPSKVFYNGQLKDGPDMARKTTAVWHQRNVFG PYRFFNVNGHEEKAGTSTKNTAEALAAVDLYKRLNMDYGMKINLSMRIGVISMYKEQL WELRRRFTEAFGGTILETIDFNTVDGFQGQEKDIIILSCVRSGPNLRTIGFLKDARRM NVALTRAKSSLFVFGNGPTLERSDERWKTIVGDARERGFLIDYTSSTFGPEALDPPPP KKKKKERLSNGDSKSHSIAAPASPDSLLPPKALAAEINTIKRKASVDAIGDEKKRIVP NGPGPDADYKNFSPIDPKSTKPIAVPVPKTNGVANGDHDNQIVPRPRTTTTEASINPQ SRPHAPKGPAPPPPPPQRTAEDALFIKKKKVSQRTMFIGP
I206_03267	MFTLTSTVILFSILSSFVRAAPLPMPAVKQSEQLASRFYNHPDS NAHHTPCWQNGLQGTLKDDICVLAALNVDNNRHVPSHRSIHAQGQPCNLNGQPGIWRD ATCVLANLDLSLNKKDFIPFQGGQECWINDRRGYYDQNGLCDLVDLNLIADVDNGHTF FDNGLPNGVPIRSEAPCWVNGQPGFWQNDFCVLSELNVSKRNSHGTGIVGDVLDTLTG YEDCYNCGPGPTRHAVLPTDDIVDGEALVDVDHINQAQYKRNGLLHNAPDGNEVDGGV RTLTQLLDHSINKRDLSVNELPIIDESEGTSKYNYNPNGSIQSESTSGVIADLEAQLN VDEGDEPYYGPNSLYKNKNNILNLKRDLLNNGNLLSSFKANQNYPEFIRKPNGEIIPI GQTSNIIAEVKAAVNLNNDNEYITSGPYSHNGLLGRDLGGLSGVNGLGLNKDGKFPQG SDVVPQLGDLLGAGKGKDILGGLGEGTNGLNRVL
I206_03268	MAQPTLKGLTQQLVQALIPELKDDRPTIIKYTSRVVKDIKADVI GTSRKEWPDIRNALYGLSQTAKIRVQDDLAEALEKNLENLERCRRQGETRWNDEGELD MRNLPQYVHLLLNLAGIPSVSTQDFAYAYLNRVPPSGPTADQILYQQIMEEEPFDAGE EWDEEVHSGWSDSDDELEQVYRSDQWDSPEEEDIRTPSSAIIRTQRKKEEEYRRNEAE EDRRQEALEVVRGLKDQYWNTPGSIREFDHGLYGWKDLITRIHAQAQQWMVSDMCFDQ AISSAQLQREILFILSGRSGIIFEFSADGTCDILPDHPLVDYLSPSSLSSLLNTFKVF AQQAADIRAFVNQTFRSSIKPTPDKPNSRATGPSRTEQAFAEACQGISIKLDRWLSDL EASFTLGSSPASSSKSVSGGSSASTPSLLLLELEASYAHMLDYLNSFIPHSSNSTVLL NLIYSAIQNHRNHSNDPHRHFLLHLFIKTAKPTWNVLGEWLHHGMPVPSSLTNSEEIY SATLDEGKERLLEQEFFIKRDRDVSWADEDFYECGFVVADNGWPDWIGHDMGILILES GKAKGLLRSLGTSVNTEDSWPSLDQLLDGQRLSLISQSGNETEGTLPFDIMECVADHI RPICQITQYQLRRVIDEECGLEAHLDAIEGLYFNRGFSVIDEWCQLLFNKVSKKEKWS DFHTLTSTFRDTIEEEQAGWMNPGAIRIRTVRSAGAFVGPRALCVIRADYEVPFPLSQ LISATSIELRAEIFTFILQLRSARYLLSQTKLLDRAALSETAEQTDTRSMRKMRQKLT WIVDTIYIWLTDRVIEVENNEYRRQLSDMTSLSSMIALELEYTRKLRKYAFQHTSTSE IYEYIQDIFDMTYTLSECFNSYIVQQPAQSQTATKRQEDFVTRRRPRPRPKQRHTNRA DSSDEDEDDQVPKEASISFIELGLDARMRRMGKDLDNLVQQIKEEVDDLSMESIEEEG GRWGMLAFALEDWK
I206_03269	MFVKSTLLLTLMSLAGILAEDSKGPTDANIVVNVMGDTKFIGET NNFHWNEDEAMHPTEKVFKLEVNDKYSLNCGAKISSQFRGYETFTIAFDPFAIQTANG RSAEAAQIACPTGQCLHDEKSCGDMKTWNYYFDNDNLWA
I206_03270	MEDIVPTIIIIAAIYFLVRWFTSNKSGDNTQGGIRGVTPSMVET VHGAFPHVPIPNIIYSLSRTRSAQATSEEILERGTLQAPPPNFSIPASLLPASTGPVP VNANSANSTNKSANTKNSSLIDRYNLASRIPSHKGKEKEVDSGTSTPSSVDSFDDKGK WEDSKEKREMGLKERKEKMILEARRRMLEKQSQGTV
I206_03271	MSNLLNFSVAPAVEATEAPPIPRAQAWGVEYLENPTYGELLGLS QGVPKSAPHESVLKALSEFSADPLSAKYGPILGETKLREAYAEEIKIQYQIKNGEGNV KGEDIGITTGCNMAFLTLIMALCPPGKSSVLIPLPSYFNQMMVFSLQSVKPIFIPCDT LNSFKPSISSAREYLNSSDRKTENVKPKMICLVSPNNPTGSVYSHEEIKEWYELAKEF KLALILDETYRDFVEDDNGDRGIPHRLFEEADWRETLVSLGSFSKGYRIPGHRLGSII AGPALLKHLTTICDCMQICAPRPPQIALSTLLPSLRPDLAESSKALSKRRNLFKEVVN SVEGWNVVSIGGYFAYVSFPSDYTFASSTIGLKRKKLGSEDIAKILATRIGVVTLPGS FFMPPTSDDEVWENDVLKGGEILKEDKWLRFAVANVEDDVVIKLGPRLKEMNKLMGMG E
I206_03272	MPQPSTEFDLGTTRVHAPEVKYEYYDRNGPFTGRFPNGYKLSVT GRFDLENISCTPASVGSVTLSFPGRRETDDGSAEGPNQVTLSAGEQCVVDGFCRVRVV GKTSVSLARVPTELYHILKDNDDRIFSIKTTNKGSEDEPKIVKTRDQFSFGNNYLAFV TRDGVTIRTGTTINDHSKEWEDKYTDPDTTITQNCVKPGQKRDLGHGDSITVDGYKDV EA
I206_03273	MSGFPTHFESSQSPRMYMPEYVQYEWSRRGEKYVKSEQNWLHSE HKVDKNTKCDSTPNGRGIIFRFRARKTENGELEDHSQILYSGEQYEVPKSHKVSVISD TRIPPPGLRSPGATEELQREGWSERAKTTLLVKTGTKDSRDVGTFELKQEGETWGFGD HFAKVINGGVSVRTLSSVSADDNVPNLFEFTTRFHTPDGTVIELKEDRVKPGRTETFA NGDYIRVKEPNDESEGTDP
I206_03274	MVQLSHNLTLCITDYHTEKDTEKVYDVTLHPGKYIRLGQDDLWT SSTDGKRAYLSMKRSETENGEKEEIRKYLEIGTTFHVENSYHAVIDTGEESVKRSDYG NGQKAYCVELLSDAGGTLLAESFDIDLFETRDIGPVSIKATTNGIKIAKTETYFQPDT TITTYKTLRENETHWVHSGFAIHVGSIESQPHWRI
I206_03275	MNPLLAASNATGAGAGADDDKLSDYVPNSRDLSVSRAPSAVASD EMNQRHINTAPQTPEAESEDELDDEQLDEDDLMNGSSKAAKKAAQSSARKAANAERRA QVAEIGSKRSAMEQAKVADSIKRFQYLLGQTELFQHFIDLKKQREPEFAKMLDEQMAG QGGSKGKKKANDHRHRKSEKEEDEELLKDETAEDEAYVFEESPPYVKGGKMRDYQVQG LNWMASLHHNGINGILADEMGLGKTLQTISFLGYLKFHLNTTGPHLIVVPKSTLNNWS REVEKWVPGFNTLVLQGTKEERLDLINKRILTQDFNILITSYEMCLREKSTLRKFSWE YIIIDEAHRIKNVDSLLSQIIRTFASRGRLLITGTPLQNNLQELWALLNFILPDVFSS SEDFDAWFKTKDDSDSDAVVKQLHKVLRPFLLRRVKADVEHSLLPKKEINLYVGMTEM QRKWYRMLLEKDIDAVNGASGKKEGKTRLLNIVMQLRKCCNHPYLFDGAEPGPPFTTD EHLVDNAGKMVILDKLLKSMKAKGSRVLIFSQMSRVLDILEDYCQFRGHQYCRIDGNT PHEDRISAIDDYNAPGSEKFVFLLTTRAGGLGINLVTADIVVLFDSDWNPQADLQAMD RAHRIGQTKQVYVFRFITQDAVEERILERATQKLKLDQMVIQEGRSQQAQKVGQNKDE LLDMIQHGAEKIINNSTSMLIDDDIDEIIKRGEDKTAELNSKYAGLDLDALNNFKSES MVNTWEGEDYANKRGGKPLLWIEPAKRERKNNYNIDQYYRDSLNTSAPKSDKPKVSRP PKQININDFQFYPPRLVELQNKEWDAHRKAQNYVVPSREPEEGETAEQVESERVEEQE RINNSEPLTEEENAEKDALVGEGFPDWQRRHYQAFVRGVEKYGREALDKVAIEVNDHS EDAVREYANVFFERYKELKDWEKAMERIRIGEEKIREQQDRIDALHRKVKATKFPLQE LKIMYGQNKGKTYSDEEDRFLLVRMHHHGIERDDCYELIKRDIGEWPLFRFDWFFKSR TPDELKRRAHTLLLCVMKEEEKGVAAEEDKKPVKSKKRPIDELKTAPGSRDTTPSVSG QKSECFLYAISWC
I206_03276	MQKTKGDTFDLRAEEDAARRLNVGKAINTNSFITGLNSRAKRTD LIGKPALKEKVDAIAKDHGVTFDPEVSLYLLSTIEERIKSLLQSAIKAQQHRTNSSHL RQPPLNKKDGRAIWNQKIINDPNSVMDSVNKLYREEEQEFRKLRMNRLAKEAELQKIK ERVGTLQQSDNFDELNGGPSTPLKSFSNTTSSSFNTPISSSSNNNTPMFGAIKESNIK KNSKTSLSSTKLNPRDVSAEVQHKMANATAMRSVGMGKKYGWMTGNVPTISSPLANNS SSSKKRKLEKEKSSSSSSKLKETLISQNSNTPPPSTPTNNGNENENFSESERSNKKLK PIINLPTRRLILIDKKNEKFSASSGIGIGEENEEKRIEDDKVLTLVDLTFALEHNGLG GNGIGNEDEILKNIWARRGGPWGEDGWDGKK
I206_03277	MTEASSSSNSIQNEKSIQPEGTYSLEQLKEHNTRESLWMLLHDK VYDITAFMDEHPGGDEVLIEEAGRDATEAFEDVGHSDEARDMLPKMLLGEFYGEKKTK SKKSISSTGGTTSSSGFPIWVVPVALIAAFLAWRVFLA
I206_03278	MGKIKPKAAKGKSKPASAPYGTKKAVKKDQNPLFEKRSRTFGIG GDLAPKRDLTRFVKWPEYVRLQRQKVILNQRLKVPPAIAQFSQTLDKNTATQLFQLLN KYKPESKQEKKARLTSEAESRAKEGDKATTKDSKKPVFAKYGLNHVIALVEAKKAQLV VIADDVDPIELVVFLPALCRKMGVPYVIVKGKARLGLITGKKTSSSVAITDVRSEDSQ ALANLVSAAKANYLDKADEIRRHWGGGIRGNKSIAKLRKRAKAAGQDAKKIDVSL
I206_03279	MPQQVRDIKKFLEIARRKDATLARIKKTAIKTPTSASLKTKAAK KAPTHVTKFKIRCSRYLYTLVLDDAEKAEKLKQSLPPGLKVEDITNKAPKKK
I206_03280	MSTKVKFTEYLLDKYADDFKIATTHPFLKSAGEGTIASEPLREW LKQDYLYAYVGYIKFASSLLSKLHLSPNSSSINPTSNISRSVEIITFSLSNVKRETDF FLSTSSKFNLSIFENSNQDNNNNLLGEYNEITRSYVDFLHSIGGLGSIEEGLTLLWCM EKAYYEAWSFAKSHSHKFPNENELNQTQKALKEFINNWTNFEFKEFVDGCEEILNGSN IQLNSDLAERCEDVFKRTLWLEQRFWPSV
I206_03281	MSSNNTQSSIDGKTLSTKDTESIEDSNSDKETIIQLSENHNENW LSKWEVTFNNKTLTLGGNSKEGIHSTKFDLFINESGLIAYYNDRKNATKLDHFGLTPM PIKEDGFYTIIGVQVSVKKLEV
I206_03282	MSNKQKFTDYLLEKYSEEFNLATQHEFLKQSGEGSIDSEILKEW LKQDYLFAYEGGIKYTAALLSKISLSPSNSYIRPFPSSDLVPILGWSATNLLRETDWF LSVAKEYGLEVFNSEIREKELHKYGLLGEYNSITRGYIDYLQVIGSLGSIEEGILVLW ASEKIYNSAWLYAKTFSKANTSSNDTQKALVKFVQNWTTPEFTDFVKKCEDAMNKFDI ELGSEAAERCEKAFKVILWYEQRFWPDVKAK
I206_03283	MASNNILQQSKAQPTTTQPQPPSFLQKKGLLGGSSRGGPASIVS PTDNVLSPCSAKLNGAKQRHFAKGKPVLLASQLSQLASSGSNPSMKSDAKIDF
I206_03284	MSSTPLPPSLAPAPPSTSSWTFTQGFILGQASFLVIILLFVRYV VFSPSEELDTEDWRQKRAKKAKKALLSTTSVPPPPPAQLLQKTNYEMATHAAESTDWV NVLLAQVLQGYRNDLLSANGEEGARQRIETWLNPAGSNLSWLDPIEVTSLSLGKAYPL LSNARIRPADGQGRIRAEVDVDYLDSISLSLSTAVLVNFPRPRFAVLPVSLGVELVSI GGTMSVQLHQPIEERQHVHVSLLPDFHLNLKTTSLLGSRAKLQDIPKLEQLILSRLRS VIQDRFVYPAHISLALPRILSPSVSPTPVLNDLGESAVNAMSEAMSQGISRMVNDMMG PVLPSDIGSTTVDETENELIDSVDEEAMNRARQIDREEGLSTPTLPGGLGNRKKSIPI PANLASSTTGSLGQPRPRRPYLATQTTLPKTPSVSSSYRQNPTTESLADNKENQFRFR GQFASNPPTPGIDRKDGLGTMEGPRKLGVFNSKAG
I206_03285	MLFSRSTLLSLLLAVSSISAIVIKRDDNIDATISLNPPINTRLV PDIDTKQTVGYGTELQVFWANETLSNMPNNNHAEQVVWWDWFEDNGATTKWHLKCKAR INTNFRGVYHFDLLKDKPWRDAKGDVVSSAAGFACFKEGSSCVTDKDCDKMPVFGYDY VAPV
I206_03286	MRCQSISLLAFFLVSSVSTATPLHLDKREDSVINIKTALNTRLV QENDPSKIAVNGQESIFDFTRKSPSGTQESSPTITQSFYWDLFVKDDDYGMETQKSRL TCHVEVPVTFRGTAKYELHTDIGYPAVTLTSGILYDVKGIKISCPPEESKCTNDGSVD KDCPPDQRAPGFNADGNFRSSDWA
I206_03287	MSSPDIGDQIIVDLHLPPPPVQPSSNVALNPICDTHHSQEAGPS TRRKKKLSDTGAQMNGTNGHYQDKVPKVEVTMDERPTYIQPDHFYPTTNHPNQAAIAR NIPKIGDGPLSPEDDPQALRGIPVFKPTMEEFQDFEAYATATTAWGQYSGIVKIIPPE EWKSTLPPISKSTLSRRTINTPIQQNFLGASGLFKVANVPKNKNRPLSMKEWFNKCHD KKFLGIGPKDVGKTLNRDSKEAIEWRARKNAEIKQAKEDKKRKNAERKARKEAAATGT VDVDVNEAIKQESMDLEVKEELELAVPALDPSSTSSHSSPEPTHPTTPKTESESEDVE PWYKSFNPSTDWLPKDTTPEDYTPETCAALERHLWKNMGLGEPSWYGADMEGSLFVDD KTPWNVAHLPNLLNRWDLRHLPGVNAPYLYFGMWGASFAWHVEDMDLFSINYIHFGAP KYWYAVPQLQAEKFERILQGYFPEDSRHCDQFLRHKAFAVSPHRLANDGVHVNMLVHN QGEFVITYPRGYHAGFNMGFNCAESVNFALDSWVELGRRAKACQCVTHSVHIDVDEMI AKEEKRMNGEQELLDAIKEERQQKRSRKRTTMDQGVTPRKRAKREVGIINEPTEDDAN ASMEAEEEQMNAEPKEPVMRKRKPKSIIDLSPTRPRLSPAIIKESPTYPCLFCPLLST EGLLPILEATETVKSMWKPRKEEIRIHHQCALAMPGVGIEDKEVEGKTKTYVVGLENI EMARWNLKCGACADKRLAKSGAKIQCTKGKCPKAYHVSCAQEHEGASLKIWEVEIPIM PGEDEKPPLEGEPIPVVKDIKVELLCPQHNPDMKAQIEAKKAEKFKQKIMAIPLGSKV KIKARGGASLELILNGIRESTQDVLVQDDVGQTAIYPWSSIDFRPAVMRTENEYARVH THTRKISAQEPTTSATNGLEATHAIPQPAPLSISRLVLHPPKANTDSAPSPARIAPAL PAHRAPLRVEQMLNPRSDTPSRVVLCEDPRIAQFPINAHASRRNPQLQAEFLSDRQPM RLDGHDVPVQASTMSGQHHVASTQAQPRPVEYAVPYRSRSPYRHPIAPFEADHRYGYH QAGSESRRSSLTDRPPPFPMHTGFPVPLPPSVLIPPSSHAAPLANYATFASRAGGLYP TPPSSSSSGRTIYNGSSQADQSNHLTANHTTFNGSTTNGMGKIDLGLQRMQTLMKQLQ PLSVPAIHLAGTNGKGSVSAILESCLMAGGMNVGRYNSPHLIEPRDAIRINGQPPSRQ AYNDAMSIVQDINQRLNIQATTFEIATTAAYYILNTMQPPLDVLIIECGMGGLRDATN VIPDQIKLISGLTSVGLDHTSFLGDTVYAIAAEKSQIVPLGGALVVAPQVHQDALKAA QSTALQKKATVIQAYRSEEIPLPSSPITLKPFTPPQPRVIRTLLPDFSGKRRTSQGKE FLSIDTELSLGGDHQLDNLSVALTILHTLRSDRQSIQLQPKLAGLNDRVIQLGVKRTE WAGRCSWLTYKALPLLVDGSHNSDSAEKLRDYIDKLNFIIKPKIRFIISLSSSPGKSI ESVLRPLLRYEDEVICTEFSTPIEGMPWIKTHSMSDIAGVCSTLVGKNNVKFGGNGLE GLKAVLDNLVLQQSNDQETLNVVCGSLYGVADVYRLLC
I206_03288	MSLVLPLPQHHSQPTSHYSMVRSRSSTPRPTTPVSAPPTPVNAT HLLPAAMERARSSETVLGHHRKPIPDPLSDGREGRKREVKDTILKGEWEHLDYRVRDS SQSDRSETSTSESDKMDIDQIQHQKDESPAQTVPLGETIVSPDQEVAVHLPTPPEEGR PFVWGMPKWGYEDERCQVREGVRLISSSELSQLVERHSMIDTPSSVMFPWLHGISDDG QKGREMAAFFGHGPPFEPPAYRGLCLLFCPPHPLDEPIHHPRSKPQKSDTDRTTQPAA YEMPPPRERSETMSTSSESYHSTGTTEGTSPSIGELSPQFDKPVITEEAPLESSQEDQ MDVDVTMHPCDSKRVTPAAHAKGADEPHHPLPCVGTYKIGNATAEESDSSSTDSSEGF FEEDDEEDTGPTCILFNALHVTDCFDLPKWPHHAQHKRNSSKTAKFRNARLPHTINLR NLNIQQIKYSTVSDIVLYSKNGVGNGILEVAEQIAKAQQDLWEVRMQEFYQHIKGRDE GEGSTEPVKYGVWVVVEPFSKIEKQYPHLVNIDSKGNSSSAACQTDLFEREAKESRAM TRASEVVEGFWVGNDCDVPGGAEDGAGATIPFDLCVRASECSEMPNSTHMATAYQHLV DIDKRRQPVQAQIAPSWIASPATIALRNLLSSSPATPTTLDIPSKRNASPSPNHDERN TRSKSSEKNEQTTVSADHEYVSLECSGSCRTITGQTRNLNYMTDKVIELVYFLRKLVE GRPVDPAYGGSPSKTRSTRSRPSSSSSTSKTAVVKRRVLVHCQDGYTESSILVLSYIM SSLSCSLPEAYLHLQINSKRSFFLYPSDKPLLRKIDARLTQDRRSKALKLLSHTDQPL SLTPTPTAPSASTPAPTPSTAATAVSEENTGGGVSKRWKPWSIGMSFGGSNNSTTKEI PAKSASTVEVAKEMLIQQDSGPDERLEKMKVWFEDRRFDGFPSRILDFLYLGNLEHAG NAAMLEALGITHVVSVGESLINPNEMMDPYHGVEGNTLAQAANEGKVNVLDLTDVRDD GNDPLRPVIARACAWIEAARREGGIVLVHCRVGVSRSASIVIAYMMQFERMGLMDAYM MCRARRLNVLIQPNLRFFHELFGWEVELARQEEEILSKKIEEAQNLGVRDEQALKLII ENGDSSVYSDTSLKHNGRRRIMYSWPSFCRDLHCLNRRFLCN
I206_03289	MLGIKLRKRGKAKEKKDVEKLTWKRCKDLIWRHMHFVGPGLVSS VAYIDPGNWATDLEAGATYGYKLLFIVLLAGLAAVVLQLLSVRLGAITSHSLPHETRL LFLRLQVKYPKYRIPLKISLYILYVLAEIAIIGTDLAELLGSAIALHLLFPKLPLFAG VLITAVDVMIVLVFFRSNSGRQGMLFFEIVIVSLVLTVFVSFMILLKLINPVWKDVFF GLVPSSTLVKPGALYIGVGIIGATVMPHALFLGSSLASVDRLNMLPVEPSPAKKPISA KLPSLNPFRRRKPFTRTRTESELPTATSVLDDEEDSNTYPPLAVTSSRTSAIRQLTPT PSLGGNKDIPVEYEEGSKEEIEYLQKVKEYEMQVRKFDRIKWVDVHLLHATIDTALSL LGFALTINASILTLAGAAFYYGANNASADDADLFGAFALIRSYIGHAAAIIFALALLC AGQSASITATLAGQVVSEGFINWKTSPLIRRLVTRLIGVIPAAIVASAVGPSGLNTML VASQVLLSIVLPTVIFPLVYLCSKEEIMIVQGPEIEVENQNQFQDQNDGEIQLNDLSN DISNQDQIQVQEIEQGERLRRSKSYVSPKWVTILGYFLFGIVVLANIYVIVELCLGNQ 
I206_03290	MMVSSEQPSAGSSSSSAPPPAHTTLIPPSSNRSRKLPTTPEELI DLIFRTNSLQDVNEVLVPNLQDIADGKLYENVVPKLKAKEIKEYGERALSCGQDDRLY TIEDVQIPNITAGLTYIVSARLHVYKQTPNLYKANLLDFAIKLCDIGDARQFYMVPPQ RVAQLAWGILRLAQHLKKTQAIIPPLQTLIQKSCTSGHFSGIYPAYLEACLLVRDFQA GLFVLDQVFLHVRSAGSTYLDILTYYHHAGLISSALHEYGKAKQYFISAVSLPTTTAS AIQLASAKRAILCELLDTGKRISFPRYTASTVNRVIDKNAGVYIDLAKEYEGCRWNAV RDIAGKSEFANDCNRGLIEEVLKSITKRRILQLKEIYSRMTINDLVARVGPSGQETVE TITAILGEMITSGALNAVITPGSTPANSIVSFHEDTSLPVHHANSNVPPVDRLSQVQY LASELEKELSDMSKRLGISTEYLRKQANIIEMSGGGKGKGSGKMDDFDQMMAAEEFGA GAGGVRGVGGNQADMGF
I206_03291	MTRDLGERAQDFREQWLAPSGGWLGEIVMIISDSTGVWDLIISS GIFVGSWIILRTSPMEISLILALGFDLSIPLQYYNDEYLSTTHELHLQNYWPTFMIGC IVEGVSFFLLHPDLFTLIVCIKTTFLLIIWLSKDQEGLSIKPRKLAKARRSHKPSPKT PSSDSDSDVSPNKSNKPNKPSSSSPQSNMPKSKYLKNPHKYLKRAGYVDPAIAGMILS LNQLKDKEKAKKQFKAWVREKNPEEIKRRNKQYSERARQAGWKDDSSAKNGDSNSGQV EPIDEEPPNMPTSYFIPTSKAYSELKKAGYEDPAIAGMLIGLNSIDKKTAMKNFKIWT EEKDPNQIKRRNKQHGEIAKQKGYPDKAKMNKSVGGNPYNKLKQKLGIPKMIDILKNP ESEEEIIKVINTLKQLGINIQNDVFKDGYMESDGKGGFRDIILKQDDIKNLIQKMRHK EFSKEQMNQWIGYLTQPLSHNEFEENVIPLGKRLNCSIGHGYHGTGPHQFDPAKLNQI KDQIQDKTGVNTSLLDHLILEAINIKDPQKAQDRLNRLARDGITKAEFEKYRKEIDWS 
I206_03292	MSIITTPITEIFGIQHPILLAGMNVAAGPELAAAVSNAGGLGVI GGLGYTPKHLRGIIKELKQSLDKPDLPFGVDLLIPSLSATARATNYDYTKGKLDELID VIIEEKAKLFVCAVGVPPKEVVEKLHKAGILVMNMVGAPKHVTKALDVGVDLICAQGG EGGGHTGSTATSILIPACVDLCKGRKSPLTGQPVHVIAAGGIYDGRGLAASLMLGAQG VWVGTRFVASTEASAPKKHKELILSADHGDAGTTLIYSGRPLRVRQTDYVKSWNKRQD EVLSLTKQGKIPHDVELEKHPEKSIEGKMWLMGDVSALIKDVKPAKQIIDDMIKEAKE QIETGYKFTQGKAVKAKL
I206_03293	MTNQLKSTQRINENDIPHTPQEEEIQQFFNSSPMTSPNLINKEL DFNDIHNKSEDKKISKEKRKYSNGLYKWTQQLWQNNIDSNKRKLSTSSVQSNQSTLSL ESSSSEKM
I206_03294	MDTTSLQPSSPKQGQAGQVGSQQQSDSPSQSPIIPTSTSISMNQ QNDIKPNIIGDSTKSTINGDSNNQHQVQSTIDIQRKESSSSSSNDNTLNPSNSTSQAN IQESNTLISQFQNDLMDSEMTDGSESAKRPRLRLAHACDRCRRRKIRCDTQHPCTPCQ QSGNQCTFETPSRRTVKPKKDHSTKESHHHQQQQQQQQNSTSSSSNTTTTTNIIGGGS GIKRPHSPLRNTLASLTSGGEGNLEARLAALESMLRDVPPNVHNAFLSTLDARLGSGT GVGIKEGGEGVGVSVAVEALARGTNTTSNSTNTFLGPSGSGNTSFGGISANDLLADLN WNIPLSGSTSSGNQNGLNNFSSNNNNNPTNGSNSTLLPDWNSSSSNNNNNVSSSSNGG ALSNWLAGIGVGPSLNKRREERGMDELAKRMEGMSFFYEDEIGQAKWQGATSGFPLLH LLTAANAQKDTVDDSSHDPLPDVLISPAHSNSNLSTSPANANGNAPNVSRRVSSASTA LGSSAVRSSSVPAKGRSSSMGIGGGGGNRNRNSSPMGKRKERFFPDRTPRPHQTLNPE ASWKVITGVIPPDLMDTLVRCYLSTSHLLWPFLHVPSFLSDYANPQQWGEPGFTCFIV AVCTLSSRHVDDPRVRANSNDPSTAGKQYFELFKRLRDLPSADRPTLYSIQAAFLAAI YAFGLGNLSKAFSLQAESITLCLDGGLHRSVDGYDHFDAVEKETRKRTFWSIYSWDKQ SAALFGRPPIIHLRDCDVTEPLIVDDENLDAEGIKDESINSQSRICAFVAAIRLHVIL EGVIDSATRPSSFPTSPFLAKAAATIARRSPQNESLQDELGLLEEWSKILPKYWHYDS ETAASRDPIRITQAERLHCLEHLVKMIIYRHRFSGFVAMPASTQEERARHLDLCRKAM QCALTIIADHVHISQRGMMTYYGVHVIHQLAQAGRTLVAVILNCRDADFRPIIAPSIE GLRSCVGLLRRFSGRYLCGLRSADIIDEFCRICNIPVNSPRVPDSTSRPSPAWLRPVP KRVSLSQNDLYGGSPGTGMMDFNANNNTSSSSLPGQINSNNPSDALSNDLDALFNTSA YFDMSAIEAGTTSNTNNNNNNNNNNSYSGNDFSSAGIPGMTNSGGLGEPSAPYDRFET LTPITGNIMLTGTSYDQNNHTNSSSGSATYDNNTGFNINNNNGNNGNQGQISFDYGLN GMGFGGEALATEGMDSVSDGLKGNNPNGGLSAATILSLMEEGSFDYGSIFTDQAPLPM DVEQNL
I206_03295	MPPRKSASSSGTTSRSKKAAGLAQPTLTFQSARHGSNSKQTKSK LSTTLSKTPSLSEIDIQPLINEREKEKEKDVKSIEIEGGKKNKLDVKSKLWKGLIKDA KLQMGGLEPIHAGPDTHNDLHHVLRVFDMTSSYGPCVGITRLQRWERAQKWGLNPPEE IRDILITEQGQDDVRYRENVLYGWV
I206_03296	MNTNTTNNASFVPGEAIEEYDSSQSEVEEDIEGERSTRLPNGDI QGHHEGNSILNTNQPSDSNLTPTTATTTTTTTIPFPPIRSTITTNSNKPYSSFSKSTK ILIVILGGLAGIFSPISSNIFVPAIPTLSLAFKKTENQISQAVTIYLIFQAITPSFLG SLSDSYGRRPIYLITLIIYLGSNIGLALCSTNSYWLLLFLRALQSTGGSAVISIGYGC VTDVAEPRERGKYAAAFQVGAMSGPAFGPLLGGVLTQTLGWRSIFWFLTIATCVVLIP LILFLPETLRSLVGDGSIPPPPLNTSPIILLQQRKMRRDLAEKGEIEVEETIEKPPRK PYQPLSAFMILLTPEIFLIFIFASLLYLEFYSILTVYSTALKDTYKLSELQIGLCYLP SGIGTIISAQLNGRQLDFWYQKEEKKVGGDYRKKPEEFDIEYTRIRCLAPFVMMFLAS VTSLGWCLQVKAPLPATLVVNFFMGLGTGTIGTATVYGQDLKPGKGGAVSASLNLVRC IFGAIGVAAIQSIYNAIGAGWTFVLLSGICILGIPMPFIVIKKGKSWRKRREDKAAEK ERIKKESSRV
I206_03297	MSGDCCKLPPVQAEYSPKGSYSTINGLKTYSVGPEDAKVAVVIV YDVFGYSPQILQGADLIASQGYKVLMPDFLIGDYVTPDLFAPGNEEKKTAWFSKFPGG IQTQLEPVSKYVQSLKSNHSKIATVGYCWGYKVLIGAQSNAKSDAIIGCHPSFAAKED ADAIDVPALLLPSGGEDMEVMNHIFKTIEAKASGKNVLKPYPDMPHGWLAARGDLKGG KATEEFADGYNVIVKFLKDTF
I206_03298	MSSASSTPNKSTSASPTITPLHDPSHQAKIMPPSKPLGVANANK IVPGQMTEPSAAGAGLGHKALLAKRFAKANKPTVSPTDQLQSPCTAKLTGAKQRHFAK GKPASLAQSFTAIRDSAGAAPSTTKTKTDF
I206_03299	MARPGQAALRRKRAREEMEISSSTTNYPTSHYDYVDTDNVEQGE VEEQVAAQGDNPRSQCLPVGILPEDFDGTPLDGSQYLAMANRDNADLPFAKSVNNPFK IDQPSTSMINEKNNATASSSSSSRHPALPKESWEAIFPLHFQGYRKHIRSQLSSSDSD TTPYPADYPPLPRATVRPEWYAFINGYIPQTKNKKGKEKAKPKPILTEEELMNIALGG EEADMEITQEAVEAEVVESQVVVKTSRGNEKRVGKPREPLLSVLRNLTPSQALIILSH FSLWITESLENAPPPLPSSPEIPISMLDKDQSFIKAENSINSSSHLSTNYFNWIFSLL LIIDDYLTSDEISILRELARASMKVAGHRWITGVVGKDIGENWVLGNEWKVNSLKQTG DKVGIELSVERGKEDLEQNSDGCVSENRVDEVLARSWMIVHAVSAGWGQKDLLMELNS LFA
I206_03300	MSSFGQNYRVHTYGESHCKSVGCIVDGVPPGLKLTEEDIQVQLS RRRPGQSDITTARSEFDTVHLQSGTEHGVTLGTPIGLLVQNKDQRPHDYAETDLYPRP SHADYTYLAKYGLKASSGGGRASARETIGRVAAGAIAEKYLKEAYGIEIVAFVASVGK VALPFAEEEDEVLGKEYMDLVNTVTREQVDKEITRCPHKETSKKMEEAIRAAKAKDDS LGGSLTCVIRNAPAGLGEPAFDKLEAVLAHAMLSIPSTKSFEIGSGLRGTTFPGSIHN DPFIEGVDSRTGEQTLRTSTNWSGGIQGGISNGEDIYFRIGFKPPATIAQAQSTARYD GSDGVLAAKGRHDPCVVPRAVPIVETMAAIVIMDMVLQQNARKATASLLPPLTHLPPT MVLPGKSTVQAVVNGKDVGEVQSQKVGEE
I206_03301	MPRKQAVDQAIYLDEAEGFILEHALFSPPRTWPPLPPEPQAIRL AAGQKKMNWEAEVLNHPDEPIDIPIRKHSNREEGDEDADADSERCVICLMNIRDRTIV GVCGHEFCFECIGVWANQSRRCPLCSADMAPFLLHDLDASTPTKFYLPPLPSRPILSS SLPGPSRNRLPEIVRRERAEQRVKEEPDELDLQVKRRREIYRYELYVKHIGSNPHTKF RPNPTPRQICADQSLIQRATAFLRRELRVWSLVDVEFMTTYIISLLKTIDIRSEPAIR LLADYLDLNEEYPNSAEHFSHELYSFLRSPFKELRKWDEVIQYDSIPSHSRSPSPESS KRSRSPSRSPPPRPQSRSLSPSRSIKSGRGRQWSKRDVFVPSVSPDSKRWNENDSWLD PEYAAWLEEEKRREEERRERKRSRRTSQHDREHKGHEEYEQKPMRTWGEEKKKVELLP ESGGPPLRMNELPEPSKEINLHDEDEKKPDLENRNGGLSIRGAAKSTTPPIPTQPKAR RNLLERLAKEKAASANVAVSPPSAPLNGVTTKKLSTEAVKEKLARLKAQNASLIETKR DEIPLASGSSMNGLNTRAILKERLLKEKIARLKAKRASMMHANGNEHEIHSPLTDMSN AYMNQQEFSPGQDVKYCSDREEEEFDDHEGQSVDELNRKESMKEILRSRLSNEKLIFT RNVNESKAQELRRRLLEIKAIKEKEQIDNNYGGMDNILMKMNVEERKKEVRRRLMRLK ILNAETDQERKQRELKEKLIAKRKLSELS
I206_03303	MGTLSPSLLRFARCTSTSPAASYISAWRSKINYLVSSLSKKFNG NDKTPRVVEGITLRDYQLETIEKIREATERGLTRFGVSLPTGSGKTTVMMHSILQLRH LNWNSKIASGQLNSGEPKKVLVLVHKQDLIQQTINTARMALGSHITIEVEQGSRVASG TADLTVAMVQSLKTPARLAKYNPNTFGLVLVDEAHHAAFPTWLGILHHFNATIDNTDP PEHTPVTSPAHHHRVTVVGFSATFQRMDERNLSKAFEEIVHHVSPRTLIKKGFLVPVI GDIVHATLKLDNIKTKEDGDYNQVALSKIVNTYENNELLHRMLKEKTSERQFILIFCV CLKHLHDLTQHLQDAGVNARSISGSTPLKERARILEDFSNGVFRVLLNCEVLTEGTDI PAIDCVFLARPTKSPNLLTQMIGRGLRPSPSTRKEDCMIIDLVDNQSKIGSYHVFPEL LSQLEREGQKLEDGEIVKRGQSTYTPDTLYKADDFRIQYISIDDPFGLNDDDNLIVEK RSKNAWVYCGKDLWVLDLMSHGYVAVECNNQISDSQIKWRSTWKERRPRKSGGDQERL EFMWSRPKIICETDDLAECIRISDQAIRADIPKVLNYNLRKEALWRKDQATENALRYL VSYADDNLQGLSLDSLKQGIIVTGGISTRVGFMTKGEVASLLCALSHGDNDLRDSIKI AESCKTDNIDNDHISKQEIKKCQEESVNKDARTAFLIKQGYTNTHILWKDTKDIMCRD NTQVI
I206_03304	MTNQQDPSSSSSSSSFSSSSSSATPSSSSSSTSTSTHASSSEPH YPLLPKPPHLDPTLKVNPLEPEGLIQPLSLREPPGPDMIPPIRPTLNEFRLKEGPQVR SSKLKALWNSLPHLPDLGEVEFNSEGLSTTKRMKLPGQDTLTALSPERAERLKKLYQE ELVKACNDKRPEARLWGGADDLLEPEIKNLKGKGIAWQDFRRFLWDKERELWDIFQDL DKNGDGRLDATEMRAALSRSGVDITPATTSDLVQFLASHPAENGVSTEKERGKRIGPE PGDMYITFAEFRDFLIMLPRKATPFEIYKFYQVKKRTSDGRGAARVDKEGDINVSFPK APNSSQQSTAAGFFNPPAHHHDEEYADTPEMEHEEEEPTEERNEAWRFLLAGGVAGAV SRTVTAPFDRLKIYLITTPDFSSFNKEANINHPFRAGIKAVNNLWGAVNRIYIDGKGL KAFWVGNGLNVTKIFPESAIKFVSYEQSKKFLAIYWDKVSDPADLSSSSRFIAGGIGG ITSQFAIYGLETLKTRIQSEIGPNQGWRQVRNTARDMWRAGGIRSYYRGLTLGLIGVF PYSAIDMGTYETLKTAYCKSTGKDEPEVFAVLSFGALSGSIGAASVYPINLLRTRLQA SGSSGHPQKYKGFLDVTQQTLKKEGWRGLYKGLLPSILKVGPAVGVSWIVYEDCKRRL GV
I206_03305	MALSFILRGEDQQTPMIAPDSNAPSPAVLSLSGSPRIQNNNPNI EGQTFSSLDPPSPTTSGKRRISEGGGKLDTEKVDYALQNEKFNQQHPLYHDLAPEDSY KDGIYWADLSGSDRRKWVNNQQNTESLREIKHIWQMFKKDPLSPLSEYTKRYVMGGFG LFTEGYTLFSIGNLGALYKAVWPLCWKSHQVCNSNWVAAVDYLQIIGIIFGQILVGIE GDWVGRKTGLVQDALVMTVGLVMLTASWGTTLEGWVICYGFSQFFYGIGVGGEYPMTS TTAMESKSVAGSQKDDKLHRGRNVVLAFLMQGWGQLFNQGILIILLLIFHHSANPPYS EVSAQWTFRVSFAIMAVMTLWLAYFRFYKKKYSSAALKRSKKNSRVNQSGYDLHSLKL VGTHFAGRLVGTTMGWLFNDFLFYGNKLFASTFINIISPSSAGNVVTTWNWNLVNIGV SLVGYYLAALLIDHKFYGRKRMQIIGFLGDGVLFLIAAIWYDELSSPAHIKGFQTIYY LSSFFQQFGPNCTTFLLAAEVFPVSVRATAHGLSAASGKIGALLPAVIYNYVDTKTRF WIVWPFGIAGVFITWIFIPDTTGLDLREQDRYWAFVREGRSKEYHGIAVHPRHLSLWE KLILKRHLQYDAEKDRHQRVKELKMIWEQKKNDQLNEKLRDEQPEEEHEEEGELSHSA FHHFNSIHEKQRPHAESSTSTIAE
I206_03306	MVGPSLYNNPRNAVASSSQQTLPPKPIGQEPSLPARRLSRHLRR RLLNASLYLVSAFLLPTLASANPLPPSILSITERRHPSSTTPSLRQDEISLLSTVNQL ASTTEGLLRHYQQRRDNSSSSPIIVIAEYDPALQAYRMPDDYVELRQQFHAGFIVLSY AIAFVGSLCTLELLVRRTTNSGWRNQLLLASAGICFGAVSTFAMHFVFNNALSLHHPW MDKNSYPALYLSYDPGFTVLSLVVSCLAMTMAFFVMGTQIRDWLIWPGFRKKGKPGRR NSPSKLEADDYGKWKNSHKKVIRRGTMGVGALFAQASNAAKWSLMDLEGSGELNGNNR PSLKDKLGDYTGKTSNWKEEVQGMYSPMKAQNEGMFEDDEALKELDFRLGKDAVRQEL ERRAGATTPTSTRASQNGSLHHSTSSHPITPLPVIYAPSSRRSSVTSGIPLTSPDVFT PGFNFPPRIEPDYFDHANLASPAAGGQKVRAPSPTFGESTSNFQPERRRASLPTNVLT THRERPSYSGPSTTLARIQSLPEGDLEPSSSGSVSSNEEEKNVSKISPTSITARLSSF TGRTEATSERSQKRVRLKTTSTRWTRLGMFLGFDVVTPTEVMKIVITGTIAGFGVVGM HYIGQASITGLPYIAYRPAYVVGSIIIACGAVVIALYIMFIMLRPKLKHTWISKICVA FILAIAVTCMHFCGMMGTTYGWPAGKGISRHNKLTGTNVVITGIVAALAFSACIACAV FFLLHSLNLRRERARRRRVVIAAVLLDDRDRVLVNSIDGMLPMCDIASLTGGGDLPDT KRSFIQSVSSDSTVLGMDLTTGHDAFVSALKLSWTWKNPSLVPLQSTSSNDGRSQANE SILQATFSDIRRGSMLTTNTTTGTTGSRPPVSLTKFLERFTISSCQLAVRLLGQTDGI SRLGVLYDQILTTGWVKLNNSNDTVSKGQLIFLVRRVASTAERLDLESRHFIFADSQA VAQALHKTLSVPLNHTMPLLDDMRTFCDSTLQSRLQPGKLYAGVAVVQATPFDGLRIL LEKDMRSQLPLREVCDLGIPCQDENELSGTVEEIGEALALLEGMSILSIMTRNMTNDQ EQAQGNQILSKRLMNLLKSLERAIVPMLDEMLTSEDMTHILPRLILHPILIPLTPGGS KRTISSYIPPYAIIFYANYDAAVNTFTDKWLPFSLFRAQNACVMAPKILAASKMDQLY TAEIEGNGIGISNSTTIVGRRPSKVQFDFNQSHSQSSHHQQSQQQLQQQHEISDIPKI KNDENSDVVGGEMFSNFTFPPRTSLDEKEKEKEKEKDKSISTILQNPNGNSNSYGHIN HGQRIGSISNNYNNQQGGIGRKSSLARSRYNSTGGESSSFSGNGSFGGFSGQQYNNNN HNSNHQIDFKCSSTGVAIWEPDWLLQLLRTKLRAEA
I206_03307	MSRESVLLFGLGGIGGIYACILQLSGKCDVHVVARSNYNAVKEK GFKLISPKFGNHDGLKFAGVWKSTEEAAASGTKFSYVLCANKALLDAKPSLSDHLRPI ITPSLTSIVLLQNGVGAEEPLHQSFPDTTVISAVVWTGGKALPTSDGVEQFNREGLTI GVDYRKNGNKQEEDSKLEKLVEWLKAGKGDCTVTEDIQSERWVKVIWNCCWNSLTTAT RLKTGPFFESSSQALPLCYTVMREVEAVAKAKGLKIEDGTVDKLIKQCTDVPYPGLPS SMMADNNAGRPMEVEVILGTPVREGQRLGVPVPTLTAIYTIVKALDYGNQNSEAAKA
I206_03308	MNTIRPSLRLSIPRTIPRRVIQVPKRVFSDSSSRRAAKSQAKIF QLGGAAAASLAIAYALNSPIRLEEAGDDPSPSKDLAVGRALIPFTEVNKHNTPEDCWV IIDGRVYDLTAFAEIHPGGAGHIHRVAGRDASGIFNPIHPPGTIENGLDEEAFIGLVD PMTLPKTISKVESGEKKEKKIELAEIIGLPDFDEAARRLLTGKAWAYMSSGATDQYTL DLNRNAFNSILFRPRIMVDVDVADTRTTMLGYETSLPIFISPAGMAKLAHPEGECLLA KGAGQCDIIQMISTNASAPLPDIISSRKSSDQTFFMQLYVDRNREKSENLIKKINDLG LKAIFVTVDAAAPGKREADERSRAEVEVASGISGGKISNDNKGGGIGRSVGGFIDPKL NWKDIEWLRKHTTLPIGLKGIQTVEDAMKAAEMGVDAIYLSNHGGRALDGSPPAMYTL LEINKQCPQILKKCEVYLDGGCRRGTDVVKALCLGAKGVGMGRPFLYSLTYGEEGVVH AIEIMRDEIQTTLRLLGVNRLDQLGPHLINTRALDNLVFDQPSFAPYEKPL
I206_03309	MVLVGISEITSTPGIVSKESAIFVGLGGMEGNPKKIEETLHLQT LGKFFVITKRFISAFDERRETDERSIKLDAKLQT
I206_03310	MSGLALPTSSSSNSIPKIGSSSQNNGNGNGVGQIIRDDERLEGE GEILKRAPVKAISSVPEITSVQGLVPTLQNIVATVNLECRLDLKTIALHARNAEYNPK RFAAVVMRIRDPKTTALIFASGKMVVTGAKSEDDSRLASRKYARIIQKLGFDAKFAEF KIQNIVGSCDVKFPIRLEGLAFSHGAFSSYEPELFPGLIYRMMKPKVVLLIFVSGKIV LTGAKVREEIYMAFNQIYSVLVGEFINFLHLTRELKYQDIN
I206_03311	MPPKFDPSEVKIIYLRAVGGEVGASSALAPKIGPLGLSPKKVGE DIAKATSDWKGLRVTCQLTIQNRQATVSVVPSASALVIKALKEPPRDRKKEKNIKHSG NIPLDQIYDIARKLAHKSFAKSLSGGVLEVLGTAQSVGCTIDGKPPHDIIDAIHDGEI VVPDE
I206_03312	MGAYKYLAELYTKKQSDVLQFVSRVRCWEYRQLAVIHRASRPSR PDKARRLGYKAKQGYLIYRVRVRKGNRKKPVPKGATYGKPVRQGVNHLKYQRGLRSTA EERVGKRCGNLRVLNSYWVNQDGVYKYYEVILVDPSHKAIRRDARINWIANPVHKHRE SRGLTAEGKKNRGLGKGSKHNHQPGRSTWKKHNTLSLRRYR
I206_03313	MSFNWRSAGNLRRALSSSRSPISRSFKQTRLNSSSSSASQNPQL PKSTGLSTAWYALSLTLFAGAGYLIGNVGVLPPSTSLVESSFAISHQLANQPKYGNKK DYENAILELFKLFKEKGKEDKVSIDDEDLESHGISDWSYHEAKKPTVVVWVNSTEEVQ QVVKIANKFKVPITPFSGGTSLEGHFSSPYGGISLDLSGMDKIIRVSEADGDVIVQSG VKWEDLNAYLVKEGVPLFFPLDPGPGATIGGMVGTGCSGTNAVRYGTAKAEWFLNLTV VLPSGEVIKTRQRARKSAAGFDTTKLFIGAEGTLGIVTEATLRLAPLLPTKCAVVNFP GVEDAVKAATEVVNAGFPVQCVEYLDASTIDAINKGGMVKKSYPKADTLFFKFQGSDS AMSEVSKNVQTVVKKHGGKNFEFSKSDEEARSLWEGRKAALWSVLALKDGAKVWTTDV CVPISKLPRLVKETREDFEKRGIIACHFGHVGDGNVHSLALFTNDKELKIVENAVHDM VERAIKLDGTCTGEHGVGIGKIDYLPLELGNGTVNLMETIKRTLDPHNLMNPGKIYPN IKPQH
I206_03314	MQPVHLIVLTHGLYGSSINLAAVKEELINLSSPLPLPSSPSTSR SAGPALETVVYLPTGIKGPKTWDGIDVCAHRIVEEIDREIERLEDDGKDVVGFSVMGY SLGGLISRYIIGILHARQPSFFSRHKPISFSTAATPHLGVLKYGTLTNTVVHTVGRQL FSRTGRQIYCLDKEPEWGGRGLLEMMADPDHVFVQALRLFPKVMIIANGCQDNTVPYP TASISYSDPFQDITTIHVETDEDHIVQSYRKVEYPDPEDLPVDHSNDLDEDGEDVQIQ IKVIKNPISRVTNEWKGRRRQPLPPPFMIFPWPFNYMLFLIFPLLLPFILVYVLRHIC DFSMHRRKRIRTHRDTIENKPLLVSSSISLVDTIENTTRELQNTISTTVRESNSLDGT STPPLSDPIEHSKIAPLLLSSNQKLMIKNLNDSIPNAERVISWFPWAYNSHAMLICRD TKRFPWQEDGRGIVKKWTKFVYDAGFIGLNEEDQEGGLVEIHGRLEME
I206_03315	MSTTYTTKSGKEYEYYEKFNDTSKNLVLVSSDNLALRVDCTILR TSSSVFRSMFATCDTEGDQVHLPHTAELLGLYIFALDDRHLDLSNITYETFQELLEIC IRYDTLSIGPQLFRKLTKIQEFDYTNGYELVVLAAKFDDILTACRIISKIGYEAYGRN SADKFWKTNNWERDIMNKLPST
I206_03316	MSIAFQGKPYRYNEDFAESCGDLIILTSDGQALKTDSELLKTAS PVFDSMLSRLSLDHSSSAIKLYLQTIRDEAIDFTDITYETFKETLNMCLKYETKMQGL GLLGQINEGKGVIGHFGAENGFELFCLMPNFNDMLTSCRIIKDVRSRTVNNTKYGDFW NPQLWKQDTIKDVRGDWVWAYLYAHNPTKYPRGSKDYWEDVSNRFLRLLCGVYDTVVI 
I206_03317	MSNIYIGKGGKEYRYHENFNDTDKDLVIISSDDIAFRVDSFILQ AHSSVFRSMLSINNSENNDVHLDHSHQALEMYLSALNGYELKLKCYHWKSFKEGIELC NLYDTPFIGTKMLSQVTPIDLFGDHIGHELFVLASTFNDILTACRIISTCGANRACYA KAADEFWTLDEWDGSHLDRLPSRWIWAYVRAHTERTRKLDIKSTWDDISTRFRKLLLP VSGYRICSIENIS
I206_03318	MKNFKDETKDLVIISSNGVAMRVDSCLLKCNSAVFRSMFSTCDS DDNSIHLDHPSIPLILFLLVVNDQPLEIVQEDWEDFKAAVELCERYEAANIAIRMLNQ VQPINKFGHERAYDLFILASKFNDIFTACRVINTCAYWQDASYEGTDKFWKKGGDRDL MKGLPVDWVWAYMKCHMNLAPRDLSREYWEDLSVKFMKYLCSVSTAL
I206_03319	MSNLKAHFNDNPITPPVERVGNPIESADFGGTYEPPKDGSSTPG EPIPFSRPSTPTPAHDQLAFVGLGEMGKRMASNLAKHLASEGQPPLLVYNRKEDGVHK FLEYAKDHEVPEDSYKVVNDLEDIGRTADLVVTSLGGDEAVEAVYAQLFQGQETQKGT GDGIVPGGRGRTTIFVDTSTIYPTTAGKLEDQARSAPHRVFLSCPVFGVPKAAENADL ILAISGDYFAKKHAAHALVPAIGRKVMDLGSNVERAMSFKLVGNALELGFIELLAECF TLCDQSGVGSDKLVELIKDQHKSPALIRYADRITNNKFNSEGGFNLGGGITDARHIRQ LAESHNVPMPTMDVAQQHMLSARANGGDLMDWTALVGGQRIAAGLQPFAGRVRLEKYE E
I206_03320	MSVPTRAAPPPPPPVNGEDESGASPNERLLAAAKHDNEEMFEDA LNEVQDVNYVDGLGNTALHYAIIHASTSILEPLLEHETCDVDIRNRLQGDTPLHIAVR NRWEEHEGLRLYLVGHLLEAGADTKIRNRYNQKPIDLLPPPSDDPESDDEKIRAAIRR TEAEEMVADKGDVVEEDDDIVDPNDIASDSD
I206_03321	MSPQLSEDIFLLIGQQLQSANHHCSLSNLSLTSKDNYRLLTPLT YRHVIMTDHSLPALLFRIINISSDEKRFLFEKIKDQEKDDLLSTNLQPIKRLKIQLQY IHKLTIDTNFEKMDFESLSALAKALGMYLGELLFPSVSRLIITSRHGTNRRIGLLADD REDYVLRTFLPLACRPTYVCCTFDKDTPTCGYDTLVPQFSLTDSSREIVNIHQARRIV MPFGHTTSRVSFGEVTCTKGDTCPDKNTPHHPKRCIERIKTTRMNILLEDITALPLDF SDASMSDNGNTNNPSEPPLTIIEYNRCAHSDQLSYQELMVRLDKQLSELLPSQMQLAD QMYQMFTHYALSQGPIPLPQPVNGPSAPQVPVNAAGNVAPGGGTSRNDGSRRSNNQTT ANNIDQSTSPPMPGNRPPGQSSSEHASSTSTYDTNSHNVNDNLNISNTLATGQYAIPG LFGHGLPALISATNPLFGGVQTGNRGSNHHTNDAGSAPSSNVSQASSIGSAQHVTNAV LNAAATNDRPSQAFPTPSTDPISAVIGQGGFIGLINGIDSSQQSNGVFANGSTDAMNS HNGTDTTTSMHHQAPFNEGRHEHNHWSDKIKFVFGQEAELEPLCEACGGECKKIMACT LALTNDWLTETSLRQLTEPI
I206_03322	MSNHPVIQEMGLNSEQGVSLDATQMSEEASRMVGIRQRFINKYG EAGESMLAIT
I206_03323	MLNNTRIFDRLRKTTRSEASRDEDTDTRVALSYLYDFAQRQMRY AESIGREMNTSAAIKGKTVKIRKNPSRPENPTEARETFVSTFGRSGAQTLRRVEESIH KDPHNTKFDLTDNPFRTTLAKYFTQDSEAAQSGKEHLIEYVSFMRQFKPVTAKDYDQG EELAEDRDTIDEPTKWTKFRKSFTSTHNGMGKLGRDFLQNYERHIRSDKANFTILKDT TKAKEYLRKGKWKQFNAIETRLNENTDELGQYLVYLAKVEEKRKSNKIEDSEKLISEE GIPTQYEAAAPSVGETSTAKDAAGSTASSKKRPRETTPEANENYIAVRTLSSDQLEPA VPFTEATETAISSSPQANVADSAGEDESGTEEGVIVQDSEEEIDTHLSNEELERHRRL LFGV
I206_03324	MEKLILRNPSNSEFESVDGIRNYLCRQRGSQPVPIAKWWNDRLH DSNPESSQKEWINETFLYLSAFAERCRIEEHTPRVGNMFDYDKETLELLRQRERFVAR FGDLGERTMKRLDNKVIRNPYRDAFKSNQHLIDDSEVFVTYPRLSQVDSKATSEAVSY LVEFARRERDLRQGSQNRILNPWKNKGKGKGPSTQRNSTVSDHQGSSIPAMAAGNLDH DGQMLLERLAADVSLNPFSEHFDNPSTFCDYLRSPGPQISDLTEIVDQATTENIGQYI TSLAADQRNVQDPQTIANLVEGEDTENMLSPVRSISVMDLINHIDTVSKQHGLDTPEY VQEETAVSQSSEFHADSTDREMENFARSFSSKNRGRAATGFLQELEEYTAKDSFDSAQ FRVGQTADILSQNWPYFKTLKRKRAAFAENVERYAEWLAEKGTRASRRNTAYQNYTES LPSSSDNERWKRELIEWNQFQLDFRDRWKGFGEKGRQQLERLTIFIDSSGLKDKTSWL EYTTKEGIRSILTQPRWRAFLELEKTDTSRAERLLEFGVWYTNERISGKERENLILKQ PVLEHEMREYKRLNGVKASENHTVDHSESSLGNLHEQSDDEGEDFGEAEMRSHRLMLF GLSAVPSTSY
I206_03325	MAFKQSLCLALLTLLQSSQTNAFRVPISDTDSLREVCSGMYGGK DAHIEVTFNPSSSGQVALIVYEWKDVPYLGVETPDNAATGEDQPKTYICTTSAIRSGL CQSSQLGGFITSFPEGISQSDTSIYTTPLKFSSSSFPSPSATQPEDDIDESDEFPSST TSTAPHEAIETGTLGSGDETPEEAEAEAVAEEEAEELAEAVADGWKLKRQVETGSDVL GGIAGSLAAGAQDGTDNDSDETTTPISGGDAGSSTSGVQIYTGPITYQVPKTGYYCVG IVPVTLVNSRSDSSLESRQSPTHAEYSGLVLFRNTFEGELPAVEYPKINFYMALSLVY FVLGCGWAYLCVQHLRELLPMQYYISGTIVFLVIEMLAQFAYYRYINKHGGGTTSIVF LFVIAILNAARNSLSFFLLLIVSMGLSVVTQSLGVVMTRVRILTALHFIFGVMYSVGT VEVELDSASLITVLLLIFPLALTLTAFLMWIIVSLNGTIMHLQARKQRYKLQMFQRLW RILIVSVIAVAAFFVVSSMSLSNRLDEDYAPNNWKYRWILLDGSLATIYLCAFAAIAW LWRPTRDNIQFSMSQELAQDEADADAEDYEIDSLEAGRGLGGHRPLNQDDRDDDDEHR GLVEGGRDGNVVFAMGEDSDEEEEGDVHKTKKGGYRDSEEQEDEDGKGKNH
I206_03326	MPVAIPSSFEVNSLAVKNLTLSPRLSDETLPIDIIRRISSHLAD DGLLETVSNIQRCSKEVYLAVTPILYRCLEIKNKKSDLLFTVDSGSIAQQQDGSHKKK SCERESNSSRRLAALKHVRKLIIHHIPSDAISESFFKTVRSFQGESQQPIVLPKLRSV EILPNAVEQLRTWVSSSNSSTFDQNPIFLDTISQTSKINTLCITFKSVLSEHWEEYRD LTLIGQYQLLSRINSLCSSNNNNSNSLWSNSLKTLNVHNISHQLLPTLPFIENNYFFQ SHIIGSNLNPIIIPTKNGKETCYLGGIDWNYRSWQIGKSIKYLFPSSLDLNKSKIKEI INLTKWNFINSKGQIKTKLIRDEDDDENGIPYKEVINTIKNQIRIGIPQELPIWQGWN DEQIENLFEKIKYFENGECENCDKSTDSIPTISSIHDIS
I206_03327	MPPVRSSRSSLRTRVSSTTTDEIAPPPVTMKSEIAQSIDDDAPH EPLAVIEEASNTTSPYFTSLRRTTRSIVSTNSKPSSSSSSALQVKAEPVSPINGKRSK LDMTKYEYKPSTPPRKRVKTSPNTTPIIVKLLKVEIEDNPDGNGNKTPKSTSKKPFPK LSLDKPHPAPPRWEEQYRLIEHMRKGIIAPVDNMGCDRPRTVVNDDPKTLRFHMLISL MLSSQTKDPVTSAAVTTLHETLPGGLTAQSLSNATSEEIQNCINKVGFWRRKTDYIKD AAIHLLEKEDGDVPKTLEGLCELKGVGPKMAFLALQCAWNINAGIGVDVHVHRITNRL KWHKPPTTTPEGTRLNLQSWLPPELHKPINPMMVGFGQVICLPVGPRCDLCLLGQKKI CPSRINNVKAEGRKEVLYTFKKEEEEEENDLVSKIEIKYEGNESYVPQSDLEAGLVKI KDEPSPPPEMGNGGIKMERDIEMDSIIQEPGMTHVEEVLEILDRVDGALDVDGNPA
I206_03328	MSDDNHTDGSNYGYTPSSAWCIAFIVLFSVSAAVHSVQAWRYKY WVIYPTLVLGALVEVLGWSGRYWSSQNVTLLTPFLMQISTLIMAPVFFSAYDYVVLGM AINKLGPQYSLLQPKYYFATFITADIISLILQAVGGGQASSSAAEGAPTQSATNIMVA GIIFQLVSMGVFLTLGLDFILRATSEKAYGFRERQISKNIAKRQEKESKIAAEKPTLT HVSSDDTRVATEGQIKPNEDVEAQHIKEEEFEQHNHIRNWWILLAGVLISSTMILIRG IYRSIELVQGWDGYLITTEMYQNILDGLMMLIAVGIYNFIHPGYLLPRKKFWRGYH
I206_03329	MATRVQPQASTSTPPKKLNSCDACRSRKIRCDRIPIAEGISAEP HIPPPCKQCEGLGIECTTTWRPKRRGPPSEYLNISIESLTSPSSNVYPHTQSNPYPYH HQNGYQPENTLRPETHLRSPKTFTSLSPISPQSYGQTHYNPSVQFTSLDNVLGRDVAM YIFSLFFDYVHPLTPCLHRPTFLMEVETRRDEKDPVFLSLVLSVLASAIVQIPKALLP PINNVPAREIADRCYQVSRLVSLNAYDPPTIELVITRFLDAVYHLVSGRLGAQAACLG EALQVGVSLGLHRESSYVGLDPITTEVRRRMFGLIFHSDKSAACLRDRPMFLATEECD TQMPKEVDDEWITRTEYLEFPPGRTSTIAGFKIVGDALVLRRTVRREVPLTPESILAY LRRLELIADDLKAVLKDIPPALRLEETPAPLEIPETNQEWGQDILAQLDVYFTNAHET RSIAKESFLVLKGNIYVTHALARYVLLKCRDEIVEQANPDGGVELSVTARMVKLFTGR QDRYEHIVLDLLKALHSIPIQNLAVNGPSLVNKVRYVAVALLDALDAQNPVSPEGAYL LDFLGILSEIEQVSLPHSELLSIAVEYSGQQ
I206_03330	MTKAAVINPEAVLKELSSEEKIALLSGDDMWHTVPVPRLGVPRV RCSDGPNGVRGTAWTNGAPASCFPSATGLGASMDVDLAKRIGEALGDECRARGVHCLL GPTTNCQRHPCGGRGFESFSEDPYLCGHVALAWIEGVQSRKVMTSPKHFLANEQEYLR RSNNSVIDERTMHEIYLEPFRIQAKARPSVFMSSYNRVNGLHVAEHPYLLRKILRKDF EFKGMIMSDWSGTYSSSEAVKASLDLEMPGPALMRGSSLERDIIGGKLVPADIDECVL RVLHYVREAQQSGIDFEKEEDTINTPEIRALLREAADSAVVLLKNDEGVLPISIEDGS SKKKTIAVIGPNAKTAAYAGGGSANLAPTYLVTPLQAITEHAESIGAKVEYTIGSDAS RWTPLLTPFIHHPQKTPSDGPGVQCDFYDQNPWESHSEKELKPLFSKFNNSAFSYFID GIPKEIPVRGYVSLRTIFVPDESGIWELGLGVAGQADLYINGQKIIDNSTNQKEGLLF FNTGAEERTGEYEVEAGKSYAIEVRFSNFKQLNAMSPYTGRRGGIRIGGRKKRDAEEE IQKAVKLAKESDIAIVCIGTNSEYESEAYDREDMKLPPGTDDLVEALLAVRPDCIIIN QSGMPVEFPWIERASTVVQAFFGGNECGRGISDVLFGRTNPSGKLPISWAEKLEDYPS HKDFGHPINTVYSEGINTGYRYFDRSNNPKSAFPFGHGLSYTSFEFSGLSIKSEKFGA KATFTITNIGQVAGSEVAQLYIHDLAPAVERPDHELAGLKKVYLQPGESKQVTVSLDH KAFSFYSVQEKSWIGRKGDYEVRIGSSSTKIQLSKPVHIENSFKWIGIQEPQVYNVSW L
I206_03331	MATKFSDLPNNTNAKWWKDPGMRIGFVHIFTLYTAVYSLGYDGS LLNGLQALTEWNHDFGKPTGTKLGLIAASYYLPKIPLTFVIAWMVDRYGRKIGLYMGA LFMLAGALLGGFCHSVAQLVGSRVLLGVGTAAAQVTAAALVPELAHPRIRHYAGGFLN TTYYIGSIFAAWLTFAMVYYPGSSSWSWRVPNLIQGFGPLLLGLGAWFIPQSPRWLVK KGRADEAHQILATYHANGKMDDELVLLEMREIRASVELEKAAESASWLAWFQTKGNLR RFFVIIILGTATQWVGNGVVQYYLVPVLKTVGVTRPAQTTGVNGGLAIWNWFISMSGA SLVERFGRRPLFLTSIIGMFCSFVMILGLAGGYNTNHHESTGVAMIPFIFIFMGFYSL ALTPLPMLYVPEICPLALRAKAAALLLLAQNCAQSFNQFANPVALNAISWRYYAVYVA VDAIYIGLFWFMIRETKGLTTEEAAVVYDPDHVKEANMEAEKRMHEEAQKVAHAELED KKAAVFEEEFKA
I206_03332	MAELDAGPPNTRPAGNDDALRIKTAGGPAHTNEDGTVDMVAYQN EVPLWKRIHQHSLTQMLLMSVQAFCGPAMADAIAGLGGGGLATPQTSNIATSINYACL AITCLFGGPLVNRLGTKWALVIGAMSFPIRGASYYCNSKFGVQWFLIFGAFFTGTGSG CWYVAESGTIMSIAPSGARGKYLALWIVSRNLGQLIGGAINLSKNHTAGVTGGVTPDT YIAFLIIESLAFPFAWLITPLHNVVRSDGTRVRVSEKIGTKAEFRLIKTTMTSKLILL SALWAIWSFFYGGTWSTYLGTYFSTRARALSSLVSPFFCIIGCFGLGFILDLKGLSQR RRAQLGLFTVIILNTAVYIWTIVMQTKFNKHSPGKIDWVDKLYPSAFLPYFFVQTTGP LSQSYMYWLLSSFATDAQANVRNGAAFRCLEAVGQAISYGMNTQIKTSPLIGFCVTFG LMAAAAGPMFLLVNSTPNEIPADVLDAEEHAQELDHSKKDVEEKDDYAVIRV
I206_03333	MSTSRAETRVIVVGGGGTMGSSTALHLLRNGYTPSNITVLDTYE IPSAQSAGNDLNKIMGIRIRNHVDIQLSLEARDMWNNDPVFKPYFHNTGRLDTGSSPE EIEYLKKAYKQSIEAGVGLEKCTEWLDTEDEILAKAPQLDREQIKGWKAIWNADGGWL AAAKAINSIGEVLRTSGVRFGFGGGGSFKAPLFAADGTSCIGVETVDGTKYYADKVVL AAGAWTSVLVDLEEQCVSKAWVYAHIQLTPEEAAQYKNIPVVYNGDLGFFFEPNEFGI IKVCDEFPGFTRFKQHKPYGASSPKKISVPRSHAKHPTDTIPLESDASIGRAIDAFLP KFKNKKRFNQALCWCTDTADANLLITEHPKWKNFIIASGDSGHSFKLLPNIGKHIVEL LEGRLADELKDSWKWRPGSGDALKSRRAAPARDLADLPGWNHDGSVDATTSSLEELRI SQKQTAETGRARRAKL
I206_03334	MPQHPFGTPPSFGDANFAQFFGVPAAPEQDPSMLNYRGHTLHHT FDLRSRMAISREKPMIGTFYAAFPHPTVARMIGQAGYDYVLLDWEHTPYTPESIVELI KIIQYAGEGKTAVIVRVPALEHQYAAWVLDAGASGVIFPHISTVEQAKKAISACRFPP VGNRSGPPNAMQFGYNDGAPNGGGVFEIWGKAAVILQIEDEEGANNADALGALEEVDG LMLGPGDLAFSLGLTFADIGKDERWLSCVQKVFTAAKDHKKASLMPGMNSQQASAHLQ SGVTMLCVSNDSMIMAQGLRQELVSAHEQLQAWKSTKA
I206_03335	MAISTLPEYATPMFDYRTYDVKAENIDELVARITKALVELEDKA GIHKYTIADGRVIDSTSWNFWEWQQAIGLYGLFNYYNLKSTEDPSSPEAARTLKIIKE WYTARAAEGGTTKNINSMAVLLTLASLMEVEYNRGGIFTTEEKKLYEAWIDEWAEWAY HDCPRNMMLTIIYIVTFRLENKNQVWDDTLMMTVLPLAKIGKLLDRPHYIEEAKYQFV QHAQYLMDPINGLWNHGYEFDGEGRGHDWGHIAWARGNCWITIAIPIFLDLIQLPKTD AVYRTLVSVLHRQVDTLVKLQDQETGLWRTLLLDETSYVEASASAGFVAGMFIGIRTG ILEENKYIKTAMTGLRACIAQVKPNGEVQNVSKGTPVSTDPNFYKDMPKITVGFGQSL PIMALGEWLRYEKAKSRK
I206_03336	MSQQTPNNIHQDDKDLEINHVEAIDHSQGGIGAVDAKYISHAQD DAANLLREVGPVEYTIEDDRRVLRKLDIWVCLPMFIVYTLVHLDKNALSYAAVFNLKA EAHLVGKQYSWLGSIVYLVQLVVQPLSAYALVRFPMSWWVVGNVFCWGICVCCMAATH NFGGLLATRALMGGFEATISPSFIAMTQMFWRRREQTYRNTAWLMSSSVAGFIGPILS YGIAHVKSGIKPWQGIFLFLGCITLAFCPLIFWMMPNDIPSAKFLTPQEKVIAVERLR DNNTGTRTSSWKWDQVKEAVLDPKTWIWGLMLSCMAIPSSGIGTFGTLITKGFGFNSF DTILFQMPPYVLTITFLLVGTWVMNKINLRFPIVAFFTLFPIAGAAALLYVPRNKPHA LLGAYYVIMLYTPLQPLVYSWANMNAAGTTKQRTVGAILFIMQCAGNVAGPQVYLDDE APVYKTGLYTDMACWSLLFVLICTMALYLKYLNRRQAKKRERMGRMSNIRDMSIMTLE QAAAYRRELAAAGEGDDVNAHAYDDLTDFQNPDFHYIL
I206_03337	MVYWVFGYGSLIFKPPPHAVEQKSGYVKGVVRRFAQSSIDHRGT PEAPGRVVTVIDAREWHHLEGKDIPEGTLLPEDYVWGVAYRIDPDHETEVKAYMEHRE KILQAEIWIGKLDNPAFIGYEPKIDLAKIISERSGPSGLNKVSDDDSSTIL
I206_03338	MTSVDDIANKVAQKSHRLSTFSPYGYTPEISAGIAYIVVFAILG LLHVGLGVRYKYWLVFATLIPGTFLEVIGWAGRLWSAYNVYDITPFLMQIASLIIGPA FYSAWAYTVLGYCITQLGPAYSLLKPNMYLAVFITADVISLVIQAIGGGKAAVAAQQG TNTHTATKIMLAGILFQLGTMTIFVILASDFILRVIFRKPYNHLKNKHSNSAIAAEIK RDSDTTPPPEGIAATSPLEDESGRGMNRTSEIKNGEKLLAGVAFASAMIFVRGIYRSI ELAQGWSGYLITHEVYFIYLDGLPMVLCLAAFAVAHPGWLLPRRKGWIKAQ
I206_03339	MSLQRQLKYAVLGIGRMGSRHAQNIAFRTPRAELVAVCDINQSS LEWAKENLPPGVKTFQNADKSSETGLHAKLAIDAMKAGKHVLLEKPISIDLETSRQVV EETKKYPGLKVMVGFSRRFDESYREVKKMINAGTLGTPHLIKSATNDQHDSSGFFVKY AAASGGIFIDCGIHDIDLARWFLLPSSPDIKQVKRVFALGHNIQHPELAKYNDVDNGV GVVEFENGGILVIHCSRTMKHGHDCFTEVFGTEGKVIVNGNPQLNRVEIRDQYGVRTE STPTYYERFREAFVNEVNEFTDIVLDNKPLPVFCVDALEAAKIAAALAHSFKTNNPVY FDEKGEPLLL
I206_03340	MSQNHTELHSSLSEIINGLEPNGGSSSSTASSSSLNDDITPFPS PVLSATSLAGLSLSSDGELEPEVDDDKIVTEEDRAKALELKAEANKAFGAKDFNKSID LYTEAIALNPKDSTFWNNRAMSKAKMEEHGAAIADATKAIDINPNYSKAYFRRGQSYL AILRPTEAVPDFKKAISTDPNNKAARDQLQLTVKLIRKIEFEKAISVGDTETASSKCL SLLASGACALDTSSSPDFPLPIIPQDPNDRYKPTKEFVQSMIESFKNGGKVPKRVAWE IILGCKRVIEKEKTLVEITIPQGVTCDIIGDTHGQFFDVHNLLNMTTHPSESHYMIFN GDLVDRGSWSVEVALTVFAYKWLYPEYVFINRGNHETNDMNKVYGFEGECKAKLGEMT FKLFADVFTSLPLAVLISASELPVSPKSEGSKPAILSEGKRRFFVCHGGPPVSKDGVT LDEVRKIDRFGRQPGQEGIMCEMLWTDPQEPNGRGPSKRGVGLGFGPDVTRRWCELNQ ITAVIRSHEVRADGYAIEHDGLCITVFSCPNYCDSTSNKAAYVRMQSNGTLSYHQFDA VPHPDIKPMAYSSGFNFGGF
I206_03341	MQPNQWQQQQFGYPSFQQPQQTGAPGQGFGNNPSYMQSQPTGFP GGGLQPMQTGYQPQQQRPQPTGMQGQGGIGGNYSFLNQPPQLPQSTGSFRSNNLAHQM TGYPGGGASGLLPQQTGYPGGGGGMMSQPTGMLSQPTGMGRLQPQATGLPHDPRLQQM MQSFMPSNISQPFAPSGMPQFNQPQSQQPLTQSFQSLLQNPSVNTPKVPWTLSRQEKK DYDQIFRAWDTKGDGFITGEMAREVFGQSGLDQDNLMKIWNLSDKDNRGKLNLPEFHV AMGLIYRALNGNTIPDVLPEELVPASMRDIDTTVNFMKDLLRHEATSRSNASSPGYGS SSPAPPSNSKDALMYKHSDDRSSTYKPSSRHLDRKSVRYAGEDPDAGLKDIRRKLEST STLLEKSAEKSIEDEELEEEIETLQYRVKRIQEDIEYTSKGRRTAEKDKERRELEREL LYLMHEKLPEIERKQERRAEEKAMEERAGVRRRDERNQTHGRYDDRDRDRDRGGDGFD RYRGTFERDRSRERDRYDDRDQYDRDRRDDRDYDRYDRDRRSSYDRDRPRSPPASRAP PPAPPPASVVAATSAPPPPPAPAQAAAPSTKNMTPEQRKAYIREQAQKRINDRLHALG VESAPAEDSVDTSVQDRLEKEKKEAEEKSKQAEAEQASRDEARRKRLAEAGGPATEEE KPAAPPSPAGPLKSAMKKAAAPPPAPPSRNKAVPVPPSSRHSSAPVAPPAPSAPTIVA PPEADPEEVELRLKEQAAAKARADRKARLEALQREEEEERKQEEALLAARQNRSKAPS PGLPQASAAAVPPSGPPAPPPAPPAPSASSDTSYNPFRKPGAAPGATPSPAPAAGGFN PFFKPQAATSGTSSPAVKSLEPAAAAPPPPPPPPAPPAAAAPPVKAAVRSPLSEPEWE DINEKEADSDDSSDDETFSSRVGRQGLAQALFGSILGTTGSGSPTGSRPGSAAPAAPA APKAPAALANLGGGDPGQSRGALLSAIQGGARLKKTQTVDKSGPPATGKVIGDAAPPP HINDRPRSVSPPPQPQREEEDDSFSTRNANRQSVDWYAGLAADSSHPAASHAEDSTLE PTREEDEPTSNGYEQVKESGEVGELDDFDLIKTLRVRSLYEFAGTRDVDISFKEDVVL EAHPAKDASSAWWYGTLVKEGSKGWFPKDYVEELHVTRAKALFDYPGGEEDQLPFMEG DVIEIVDKSDPDWWKTEKAGVIFLVPASYLEIQATEPKIEKKTDPILDVTPPSLESYT DDTTATSRALSIQRDHTRPTSMLSVVSSVGGSPSLVSDSDDEGASSSDDSVLSWWSSD EEASDDEGNEDVGTEVDEEKEAERKRREAQRHKILSAAGLQIKREPPPRPGPGGKPGR VVSRRRPPPGVPGQKRRKAPAVPKSTSPLKSPKSLLAVPPDALNSDSPITSHRPSDIP QDAYARYEAFLAQSQSQSPQRPNTLRVDSGGRSRSQSLMQQVITSQSIIPQLTGPNTS NPSADSITPQSPTPSQSLSLSGSGGGGKISGFFNKLKTNTGTHQHNHTAKHPSISGPM SRVESTDVSRPDTPTATSEFGKTWGSLVEPSVLATMSERERKRQEAIFEFIATEGGYG RDLQLIVEVFYAALIPLLDEKSLETIFANIEDILLFNTSFFSSLEDRQKSCRLYIDRI GDILEEYLANAGVYTTYCVNQPAAIKLLQTLRETNPELSALLSSIRENNPAVRGLDLS HFLLSPMQRITRYPLLIKQIIHYTDILDPSEEPNTDLPRVENALGMVENIVGQINESV REVEGEERLKALSENLWIGGEGRLDLTAPTAFLGPRRLLKEGKVSKSKSGRKLTMVLC NDIIALLDGTDLYRMPLPLHEVQIKQGREETAFTLKIDARRGGDTIALRGTNGRDVKE WIRLVTHARSNALDARRGPR
I206_03342	MVEQSSSEWDDKNYHSSIRRPDDLNYDVQPLDEEAALGEDEVAH RNPIFRKLSGLFNKGVEARGIERVPEEERDGKHTIGLLLLWWSVNMVVSTLPIGLLAQ AYYTLTFHSAVAAIVVFTALGAAFTAFIATLGPKTGLRTMVITRYSVGYVGATIFSFL NILTQLGFSVTAIILGGQTLTNVSNDKLPLEASIVIVGVLALVLCFVGYEAMHYWERY AWILLFIFYCCMWGLAGHKGFDMGAQQALQDTGKSYAGDFLSFGGIVFSSASGWAPVA ADFNCRLPSNIRPWKVFMLTWFGLMVPLLFVEILSAALMTVPAYAQAFEDGDAGGVLA AVFEPWGGGGKFILVVFAFSIISNCIPNTYSAALSCQCLLPAFQKIPRALWTILVFVI YTVAAVAGREHFSEILSNFLAILGYWVAFFVVVVFEEHYIFRYWFHKQGINSSGPARG YDLTAYDNWRRLPVGAAGIFACCCGVGAAVVSMAQVWYIGPLGAVFGEFGGDLGFEMS AVVTAIVFPPLRYLEYRLIGR
I206_03343	MATAARFLSSARLARPTLTANVARQSRAYATAPAAGGPNYGLIL SLAGVTGIGAYAYLQYNPAAKAEISAKAHELEAKAERKVKGVQSEVDAADQGTGSYAA LIKDTWTPFTLTKVAPYNHNSSIYTFSFGEDGQDKVSGAEVASALLVRSLQGEGELKD DKEKPVIRPYTPVSSNEQKGSIDLLIKEYKDGKLTPFISSLQPGQQLLFKGPILKFKY EPNQFDRGLAIAGGSGITPMYQLITHSLNIPDDKTKWTLVFSNVTEKDILLRKEWDNL AKQHPDRLEVKYVLDKAPWGWKGETGFVTASTISKLFPRSTDSNEKVRAFICGPPPQV KSLAGPKDGPRQGELQGAFKELGYTADEVFKF
I206_03344	MNFAYTNQARRSSKTKLPSSGKYVAYRSKGNPRPIVGLLDPSST TVTPLRFPDNSPVRSLHHLIEEWDRFNETLIPGTPVENISDVVVLAPLRGRDVICVGK NYKEHAEEFHNSGYDASDNKAQPDFPVIFTKRASSIIGNGAHIYPHPAVTQSVDYEGE LAIIVGRGGIGIKREDAWDHVWGATIVNDVTARERQKNHKQFYIGKSLDTFCPMGPWA VHKSALDFKNMHLTTKLNDNIVQSQSTSELIFDIPTLIETCSMGVTLQPGDVIATGTP AGVCLSTGVFLKDGDVVEIEITGIGKLKNKVVDRGRAPDCVPVRTS
I206_03345	MPVAVQERNPIETIKSALSNVTVSETQEPVDLRSYSHFDATPSI GTEFRAYSPDGKPTLSVRDILGNAEREKALGRLVSERGVVFFRDAVISPEEQLKLVDA LGKHGGKPSTSGLHVHPLTMGGSEFGDEVSVISNQFVFDNKYKRDDFTVLKRRFHADL WHSDITFEPVPSDYASLQIRELPKVGGDTLWASAYEAYDRLSPAYKTLLEGLTATHTG QGFIDIAKRNNVTFREPRGAPENVGQSLSTIHPVIRTNPVTGWKGLFVNRGFTKRINE LTQHESDNLLDFLFEHISANHDLQVRFRWEENSLAIWDNRSTFHSATEDLDGDTRVGT RSVSLGEKPYLDPKSKGRREALLQI
I206_03346	MFRLSILVGLLPLLAAATPAQQPLRHTYSEYLSGSDEPRHPPAD NKHSSRPPAYGYEDPINVEFYANGDRGKPAPWPPYNTEEAYWGLKTFAHTQPVRCMTV DNSTLYDIAILGAPFDTATSWRPGARFGPGGIRNGAQRLGGANRLLGNNPFLDYTVVD CGDSRMTFYSNDLALATLEADYRSLINRSIATSFRKDEASLALDGQHHPRVVMLGGDH TIVLPALRALNEVYGPVSVIHFDSHCDSRHPDKGTLTHGDYFYFAWKEGLMSETNIHA GIRSNCDMPSDIETNFSTILADEIEDIGWQGVVSRLKARVGDSPVYLTIDIDTLDPAF APATGTPEIGGWTTREMIKILHGLKDLKIVGADVVEVAPAYDDQAETTQIAAAGLVFE LISMMTLTPVVK
I206_03347	MDGGEDYLPLLKFTEDKECAGNSANASPSRSYSKIHTLLAVLAG VLLYPTVQYLLQPSLGLIDVPQVDGTSYSVPHCESTDLLPVRAPRKNVWKNLSVKEAT EIRSWLWASERNLNLTKASLAVDSDNYIYLIEAFVPPKVATLAYLNGSSHAPDKYAHA IVNHGSSERIVDYLIGPLPISDDTQISPLTDIYHEPVIPYNAHGFGPNSTTLGNLIAS TFAPLADLTMDLFGGVAKGLANDTLIGAGTAPLSYDGSWRRMWVGLKLNVPGHYLFPI DLYTYYEMSGTDSSRWHVIKMVYNGQVFSSPHEFRDAWESGKLKRSKKPLLDDTSGWA TRTRMNRGGNRDLDDRAGPRSVSFDGLRFRVDREEQYITWMGFSFYLGFERDMGLNLW DINFKGERIIYEISPQEAMAQYSGSDPHQATTVFLDRAFGMGDSVKELMVGYDCPLEA VYLPATIHTAGGSTTRLNAICIFEKDSTKPLSRHTGWLKDEMGAIKGYELTVRSVSTV GNYDYIFDYTFQLDGTIEIRMSASGYLQGGVWDATQRPYGHQLRDTTMGSLHDHVINY KIDFDVAGTENSLMAAMLEMEEVEHPWADQDWGKTSTQQKVVRRYVASENDSRLEYPK NMEGMFVITNEDEQNSWGNSRGYAIHPGASNIHLTNLDCKRTQDNVNWAKHHLSVTRR HDNEPYSSSLWNIHLPGKPTVNFYKFFDGESLDQEDLVVWLNLGTHHIPRAEDSPQTL TNVATSSVLLTPYNFHDYDVSMDVLNAVLLNAPRPGEKWQIDENSVKQSHCLPRKVPD FAYTGLLSFKEDGSPASAADIVEQRKMGS
I206_03348	MASSSKDDLHSAIGLAELPKSGNEYASHGEFSRDMDKGIDTSVN VYGVEEGRHVNVKEEDLEPVVVVQGAEEIVTEIIDPRDDPDQPVLTLRFWFLGTGLAC FGAVLAEIYYWKPQGATVSALFQLIIAYIMGKILERLPSHGKWRHVNPGPFNIKEHAA IVIYASTASIIAEAVSVVAILNLYYGLDLNPGIAIIQTWAAQCIGYAICGVLQSSLIY PTYALWPATIPTISLLQSMHFGGLLNKKKMKFFWIVFTAIFFWEIIPSWMFPLLTAVS IVCLVDNGRHTFVRNLFGAGSSNEGLGFFSFGFDWILITQAYPLYWPLQTQVSAWIGM LLCYIIATGAYYSDVGQGKSNGLPFMSTSLFTSNGTKYDQTKILDSNYGLNHTAYQEY GRPYYTSTYQMSLTTHNLSCAGAAVTHVILWHYKDIIAGWAGIRRGNKDLDIDDVHYQ KMKAYKVVPQWVYGCLFLVSMAIAMGTAYFGGRTTIPAWSILLFSFIGYFFAIILGFL KAVTGFDTSINGIIQIIAAFIHPGKPLANLYASLYGYYAPLQTLYMLSDQKLGQYAKV PPRVTFVAQLAGTFVGATLNYVLYKSIVSQNREALLDPLGTREWSGWQVQGTNSKAVT FGALGQEMYLAGKPYWFIPAALGIGVVLPIPFWLMHRKFPNQRVWSYLNIPIITNYAG WLAYSVNGMWWPGAIIGFASQYWARKYRPRWFIKYNYLLSAALDGGTQVIYFILNFAI FGAASASVTFPYWWGNPDPSLLGADRCMAPG
I206_03349	MTFSKGQLAVLLLARVVEPIGYTILFPYVNQMVEDLLPDVPKSS VGKYSGLVESIFALSSVLFMYRWGKLSDRIGRKPVILGGLCGVAFAHVMFGLSKSYKM AVAARFLSGLLCGNASVMRAVLGEVSTPETESLLYPLWTTCWDVACVLGPTLGALLQH PATQYPHSKFATLPLLRQYPYLLPSALIATLALFAAVLVATCLEETNPAIKARSSAPV ELPSERTRLLPEEPIDTIEALPEKNTFIDLIAHKPLQQVLLSIFLLTLSAMSFDAGFA LFAYSVPSLGGIDLAPRDIAACLSVKGALSIAFNLLVFPVALRRFKMRPLYRLFSSCW ILVFMIPPIMNAVVMKDGDNGKWVADGSLQLLWLLMLPLLLLYVFGDLAFPLNMMALN AASPSSASLGAINGISLVVSALARSVGPAIFGLLYGIGAEHRMPIVWVVFGGIALLGA LHSATIRGDADKVEERDV
I206_03350	MGDHLSQASLSLCDSTVSFRQAGNGLTDNTSNLLITSALAVIIA QCINAGTLWKQAFDKALNLINLRGGPARMLQKARERSSLEVTRVRMLLENLVIVDVCR CLASSAPPSLMKEPFAPWWFDYVTNESDTVHNAYGVDRGIIELANRVNMLVHESTGLL TLLDNRGYLDMHKSKINDLLLELDVWETDVYKETTGLLRITFGNRVLIQTLKEAARAA ITAFSQARASEHGVGLLMAAIITAKGSMMLEEDGRAEARRIVTDMRATPVFAFDVEVA ITMLEKLYRLRDQGVNDPSWRLVTATDMLLF
I206_03351	MLAGCFDLFAIVIALASIHTAARSTPSPGQSSFHLTGSSRSAHI VTPHLCNDNTTQYSGYLPNSPIFFWFFPSRSDPSIDPIGLWSNGGPGSSALTGMQFSG PCAVVDDGKGGFGMERNEWSFNDRGSILMIDNPIGAGFSYAPFPTVDNIFDSARLVYD FLQTFITVFPEYAKNPWAVNSLSYGGHYAPAYGSYIQHRNLLLQDLPSPWSDIWETTE LAHRSPKFINLTSISVGNGWINGRVQYRAMIEFACGHAQDEGVPMLGDKEECAWAMGL VEQGEKLLNRCYDRFSCAAAGLYTAGIAGLPYAQTGLNPYDYRLSAPYNETAIISFYN TPSVQASLGVNASDTTAERGKVWNAHSESIWSAFVYSGDWETKTDGLMGKLLDTGVGV LVYEGMVDWICNYIGLRRIMSNIPNYQRQAAFNQLAMRDWIIEIEDKPTPAGKYKCLK AGKGKGRLCYLEIDGAGHVLSLDKPREGSLMISKWMNELSL
I206_03352	MSHANVELPRGDLVDPVPHPPPISKLTPVLFSAFLALAVATWRW PVRWLVSGTPILTFCLLLGASNGDRILSIVPLWTLLTTINLAYAVASTSWLLYFDFTV TIYPAILLTCLFQFDWAARIARRALRKFVRQLQFVQDTVSLFDIPALEIDVDVDGLMV IRGLTISVSTLTVVAHGIEVGIKLADDMELAISTEKVVVKLFRGVEISDCFANIKGGH HEMTFGELEETDKDEDGDAVMVEDTALLQAAAVSADKTSRPRLIKMKSKITNGAQMEN SSAKAGLKSIKAVSPEDRDASERYEEMLKWIDDTNLIQQCRKEAVKELDGDEEGIKGI RAVICSKMQATPSVPHPPKRSIKVTTLQNLSPPKRRQFLHRLPMLLRLLLNPISYLHP VSISSITAGGSGQWISYLLHRHLFKDYAEDNKQLRKLQKRILSWLADANFVFELSEIK GVASVPFLTAFDITTLLRFGDVVAYRALARISTEGSDKGPSEDTPPLEEVLRLGGADA SFLIPSYLLPHHEHLLPPKPTVQDKEKLVEEVHEADGKPKEVQAERKLEQAEEDETNV KISAHVQLPACFSQDLLNFIAALVKATKVVELEKEPGAMEAKMSGIKEFGHALSKGMK DSVKKTVVDGIVNDKWIAKMVGKITRKLEEAQGDVGYSGDIPVKLEKYRLPEGHPEMN KILS
I206_03353	MSEARIRNPGAADSHSHSGIREKDHKVETTHHEHAPSTTPSDTQ VAFTPEDREQRLAARATLQNALHGVPKEQLLQESDEFCDNNGLTEYKETFRKGALLAQ RPKDWDFIEELNAEEKAAVKYEHEHKWRMSRDLLWVVGACAMGAAVQGWDQTGSNGAN LGFPQEFGINKGLDEPGGAHDQWILGLVNAIPYLSAPLIGCYSSDPINNLVGRRGAIF IAAIILIVTPICMGITHTWQELLIVRIFFGIGIGLKGSTIPIFSAEVAPTVIRGALTM GWQLWTTVGIFIGFAANAVLKDCGNITWRLQIGSSFIPAVPLALLVWFCPESPRWYMK KGRMPEAYRSMRKLRKHDVMAARDLFYAWVQWEAEKKVIGDRSMFRRLKDLFTVPRIR RATLAASVLHAGQDLCGINTIAFYSSTIFVDGGASNVDALYASLGFGALNFVFTWPAI FTIDTFGRRTLNLFFFPLMAVSLLAAVGMAFYIEEQRIRTGIVAMFIYIYTIFYSIGE GPIIFTYSAEVFPLAHRELGQAFPVSINYFVNFLLSLWFPFQLKQFGGPGTFGFYTGT NMLAFSLAFLFMRETKQLTLEELDQVFSVPTGVYVNYQFTKWIPWAFKRYILFKRNVT LEPLFYEDKDIKA
I206_03354	MPKHRPLARGDACQSCKTRKIRCPAEKPSCSNCVKRNKQCIYNS SSTDDGSPPSQNEYQHPLPRSDSSSANASPSNEFNGMSASGSTGRPSISVHQQSSVHQ QSSFDSINGIPFNGIPSVPTPPPYNGATNENSMPWVADFGMIGILPSMMGPMQSVPSP WEDIDISALLGQGFIDPDLNKVDDMELNDTERDHLLLLFFTGQRIFGVDMHIFSFYTR LQSPDPLVRPHPCLLNAIYLVTCRGSPLESLKRQELTFLKKAKENMDQALASPDSVFD AIRAGTMISAWMFASERHMEGWAMLGQTIRVAIAAGLDRIQSSVDINTGPLPKPRRRR GISTYLRPAVSHLELADRIYAFWTLFLADRCTDIAFELPSAFDMNRITTPLPRPWSEY ETNDSHLLTCDRRLTDIYDDSAVFTKTCDVEHTPEVGYTLCSLELMYQVSLRPSKPEQ QRLAAAIAKFNKELPVAYKGTEMTEEGQITVSSDTATIQFITLCSEMFLYSIDALDKP DPRALEAARRILGVLHLLKNANVGDVNLFVIIIWCRVARLMVWESKRLEASGDTFAAA PFAKDVQFITSFLQQLSHISLAAEALKSIQSWWSDDLSSFGLEYTAQQDTQWGARPLP E
I206_03355	MDCWIEKLNNGTLAPYQCNTVNGSANLITGSVKYSSYGYEVSHM WTYIFIAAFGVGSIAQLMLGIFWRTWWTLPTLTAGTAVEVIGWGGRLWSVLSWVWDYN AGGIWFSEYNAYIIQIVCLVVAPTFFSAANYILLGKMMASTGPKFSSLHSQSFSAIFV TADIVCLVVQGAGGGIAGTADDDAGSDMGAYIMTGGVILQLVVTILFTGLFFEWIIRR KNNNPAKKQYNPFFILYKSNRQAKKAAAANPDGLVSPSATLTEEIPMNSSGQIKAEDG NSYTNSTVGFDSIGLSDGKVKMLCGLITVGTILIIIRSVYRSVELLDGWTGKIAINEP LFLGMDALLMFLFVLVYSIVHPGLAFGRRLF
I206_03356	MSNQEVDHDLADSPELLSHSSLPQDVTAPEVSMVEESTVTGVSF TPELWMQRRHWALEVLRKEYVRSVLDIGCGPGSLLETLVVPPTTIKEPPILPSQSSSS RLNGSDQLPSPVDTDEEEYSLGVRELFIKRLGGLDVSPSVITSALKTLTPPAQSSTPS SLTIPRWEPLITELWLGGIEKYNAKLEGYEAIVLLEVVEHLDPDVLNRFGVVTFGTYR PKVLLVTTPNFDFNAKFPRAEEHDFAKKGFVDPTGRTDRVFRHSDHKLEMTSKEFREW AEAEAANWGYDVEISGVGVSSHPSYYPSDDPTQPGQPIYASQTAIFRLATGLPLRSPR SVRTVELPFMPGSRESSHPHKLAGKFLHTVAAPGDGRKHPVQEVIQIVRDCYKKWNVG EVSLDELWGDHEVSGRCAGSKRHLVGSLGGFGDCPSISGSLEFMIRLERGRGLIVKWV EFKPRKEIDTSDRWGQSIQQGHLKSAESAEAGGW
I206_03357	MSKWPQPFVSTVSHWQATNRGRKSLYNHNKEAPLPTDVVDYVVV GAGMAGTTMAYHLTRPGIADGKTVAVLEAKDLASGASGRNGGHCAPYSFGSLTLLTDP LREGGAGLTIEEAIEVIDFEKRVLEYVTETIDEEKWDVDFWKGEKVEVRISEAAKIQM RDNYSKWVEAREKHPQLQGTEPEWYWNENADEAKKATRIHNAIAYSKGPAGSVHPHKL ATAYMKAAIATGRADLYSYAPVQSAKKVDGLWQLEVHDKGTVKAREVIFCTNAHTPHL FEGSPIAEYLVPFQAQAANVTPPLSYSGSKYLANSYTIEDGPYLIFTPDNGLVLGLHH AAAHELGVMTKQELYVDDDAYVAEGISKWLRDYCKNNFSDWGPEAPGEGAMRIWAGML CATKDTLPLIGAVPGKEGMYMAAGFHGHGMARIALGTKYLAKLMTTGEWDEGLPKTFD ITEERFERGKIAPPFITEEEKNGTLAGKFMSAVGLGGSKVVSVVR
I206_03358	MSNIPSNVPEEYRPGFLPPSIAKQAQDALPGKQVLLNPAPLDDV LADGSKYKAAGKLEGKNAAITGGDSGIGRAVAILFALEGANVLINYHPSEEEDAQNTK DYIAKVAPKVKVELAPKDLRDEKGALELVETIKKWSGNELHVLVNNAATQQEVEHIED LPSEQWRHVFDVNIHAIFYLTKNLIPIMPWGGSIINNASINPFVGHPKLLDYTSTKGA IVGFTRALSNQIVKEKGIRINAVCPGPIWTPLIPATMTKESLENFGPSTAIGRAGQPV EVATAFVFLASVDSSYFTAQCFHVNGGSAY
I206_03359	MPLPPCESCKETRLREFTLGDGSKSTKCGSCLEAEYQQHHRREC DSCGGPTRGYVSSSVVKNMCSTCAMSDGKSQQPITRVTNSARHNPLQTSVPSGHLGRN NHPDHLRSVWYVKYLMVPKCGMRRWTMGNITKPLRAVLNLAMMRVMTPG
I206_03360	MFKAAIVSFLIASAALVSGTHTTINGQDIDCAQDNCYRAVGRTY QGPQVYAQHMASCATAVSCQSTPAAATATTAVTVTQGVTTIYVNAVTVQPTPVSAVLT CPTAGIPSDQSSQCNNDFTSYTNACLCNGVSATTSVFATPTITATITSTVPAIVYATG QVVAL
I206_03361	MAESNQPRPRLRSSDTSRSHPLAGTASHPSIARRSSGVSLAGPS LKPSISLPGTEDSSNSQMSDWHPATFHRPHANTISTTRPTRRAGNGLSEAQLLGVGPS QVLRRRSGHWSSTVSPSLDQDAMFEDAHFSRPTPIRSPKRADSYGSRLFECLDSLSKE ELEIVNTRFDLMSDEELRQYTPSLATGGQSIPTLPLTNTVTVDRFPDEPAVDDSPSSN PTTSSEDQSPLFPPSPPSQDPILTPIDHPLRVLSKAIAELREAIGKLEEENFKLRKEN AEKGRRRRRKSADQISIHDGLTEAISTSLTSISPIHDMTANPLALTGDKASMRSISPI PSARKSRRPPSIASSLSISFPSSPVNTSEAAPRSSAVSIGPSKQSGASNSQNNRTNWA SGLWVWSGGKRSTPPHPSKGANTPALSATSTPTVAEVSESAIIDEDDVEAWRKGDGGS SPAFKAIFLATRIITPDPSSILLSSEIPSNSLIAYLAHSLVETARDDGIIARDAVSDR RRSKDLSRSRAASTGSQYPASDLDKSRETRSSGYGDQALAATASLGRSLLSSVSNATI RGTKAISSITDDPRPALISRSSSSRAFPTTAVSAPAAIGQSGSSATSPTEERPLPSVE LSSIVPDDIRPPTVTLSRQNLGSFFQTAKSKLATASRFESEEEPLTDRYGFIYDIQHA KMLKDASAAGTSAPMSLNGTILPTADIEAEGWIAKRRRGSHGSNTSMNGARKATENVE GASIPGPRRSTESSRSVNRVKTPDSASPPPSRSSSTVDTHRHRSSTLLSLNPSPARPV TAKDHLTVSARGASSLQPSASADASSNSRLTVSSLLEQLTDIHDRQQQERQKEWDTFL KRRIRHMNDGQNSHKSNDLRWGSGLIGISQMGMSGKGGQEDWKSFTRLVRKGIPLKYR SDVWAECSGAKDLMVPGEYAEILAVHKNDLSPVHADIEKDVSRTFPGNVFFGGDGPGV AKLRRVLTAYSWHNPSVGYCQGMNMLAATLLLTHTDEAEQAYWVLTSLIDRLLPTNFY SASLLASRADQVVLNDLVTQLVPKLHFHLEELGVDLASVTFGWWLSLFTDCLPVETLF RVWDVTFVEGHDTLFRVAIAILKLNEVEICATESVSDLFSFISNMTSRLWNADRLIAL QHSYKPIIRHIDVVARCEKAVRALQQEMGDV
I206_03362	MVRTVKPYQRPDTYRKGNPDAPGSWKHDLHSAVNQSLASRIGKN SSSSSGPSSRSSLANRISGGQGKELLPASSLNTRLHGFDGPPPANMNNANAGIELLPV SGKRPKNSGRGVNNQSKDQLNAALGTGAQRVRDRPSREQMRGQPSQHTVSIMGAAKGN TWVRVENLAVGTTAEDVESAFAPLVILNAKSTPPVNHNTVTVDLELENKADAEGLIKQ YHGVVADGNTLSVTIINQGLKNRMGASSTTTTNASAIAPVQQYEGRTSNAGRELLGSN SSGKLYSDQVLASHPSSSIMTLSDEPLFTQSPEAAATVQRSDAWRRNAPSLASRMGGR Y
I206_03363	MARPSLFIIWLVTLIQVQLIRADNLQVYLQTPDYECVYACYVAL TPVSFGDITAKKPTIANQCNSTDFASSLALCSETYCDEKHQDAGWTYMTQTCKKSKIT LKKQATYTAQIDKSTVTTVDAIAQKKKTFNGTVLINQRSWEVGYRTLSHGFGFAVYLF MVTAVLIGVINRLISLSVHQFAKDLSPENASSPTVTSKHSIRSRTYTWWRKNITLPAS FGYRHAQPWGWVSIPTRLQSILIFFFVAINIIFMCVGYDLFDENILDPDDKQAQLLDY LQYRTGVMCVYNMPLLWMLAGRNDVILWLTGWSYCKLHSDQKSLFSSINLWHRWVARM AVLQALIHGVAYTIIKKDSLYERFFHRMYWATGIFALICFVFLVVLSIKPIRSRWYEL FLITHIALALMSLVLLWFHLTHMKGKYDPWVWACAAIWCLDRAIRIARVLVLTHKALS KKGKNTVAIMSDNESGLIRLSITTSIKMTPQPGQYYFIYQPFSIKPWENHPFTLASWK TDDASKSTTLDFLVAPQKGITKKWRKRILKTEKKMDHTRFLLEGPYGHSNPVQNFEHI LLVAGGSGITSMLAYIHQLQNHSEDLKQIRTKTLSLIWVVKHTAYASDVLDNELKAFV NDCNTIPGIRVDIQLYISRSGDSSPNRMAIGSLNSESSTSTPAMTRKNSDWDNSPPTT KNNSMLHSVPLLMRKESNHTSPTISSMEAFPEKAGEEDAEGQLQHIPLEDPLVKAEQE KQGVIIGTSGLTLHSGRPTMNNLIDLGLERLVGGERLAVSACGPAKMVDDMRQAVVNA YGSEEGKINGSTLEYFEELFSW
I206_03364	MVPASTSSSPGFDSSEKYVYPKESDLLPVLSGGRWYSKLWDSWD KPREERRFLLKLDLTLLSAASFGVLIKFIDQAAVNNAFLSGEWKVSDSLSVYGNELNY AAAFFAAGYVFGQIPSNLILAKGIVKAHIWIPILEVLWTVTTFATSSVKTAGHLYACR LFLGLFEAGHFPAVMYVASSWYTPAELGKRLTLIQISVMVGPMFSGFLQAGIYSALNG VQSRAGWSWLFLIDGCISLPVSLIAFLFIPDLPFNITKSWLFTQEEIDLARVRKPLES YEKNPPLNWHVWRGILTTWHIWVFPTLFTFMGLCSNPSTSMGFWFKAWNTIKPHSYSV SQINIYPTPIYGVSVVYGLIGAWMSDSVLRGRRWPPIALAFCITFGVNIALATLPVYS QRKAVRWGLYYISNLVLGNFGVMWSWQAAATEGNPIKRAVVGATMNSMSTLINAWWPI VLFPTQNQPVVKAGNYATAGLSAGGLLLIPTILYLQRRDRQRSNQAEEQTEPDL
I206_03365	MTVINSEDIPVASGGRSIQYVGASQAASGDLPYIKRDGSLPRRW ILNALTSNTPGMVITGAWRRPGDRAAEYNTIKYWTDLAQLLERGKFSAVFLADLLGGK DTFGGEIGPALQVAGQSICSDPTLWISAAAAVTSNLTFGITQSLTYEKPFTVARRFAT LDHLTNGRIAINLVTSALDSAAHNHGLTEQIDHDNRYERADEYLDVLYKLWESSWAED AVVLDKEKEIYVNPTRVRKINHSGEYYSVVGPAIVEPSIQRTPVLYQAGSSPAGVAFG AKHGEALFTNMPSIEKARAKVEEYRTSAVERGRDAYSVKVVLGVTIYVGSTDEDAQAK FDDFKQYTSKLGAEVLFSNRVDQDLSVYSEEDDLREVGTAAVKGILKNLQITYPDHHD WTRAGLADLLGVRGLPYPPIVGSPTTVADHLQRIVAESDIDGFNIAWSRFPDSYSDIV EYLVPELQKRGVHWLDYPKGSVDTGLTARESLTGVGNRHLPQDHYGSRFSWKAGQNAP PLEAEIRVGQHDTTASNGHKRARVD
I206_03366	MIAKTLISAAMLASVVTAGPISLVRDLREYKTDVEIHSSCNSTQ RRMLQKALADTFEVASFAKEYVVTNGPEDPVFQMYFGKEKEAYSTVVGVWDSLLTSNK EGVLLRCDNPDGNCGQPGWRGHWRGDNATSETVICDLSYTDRFYNEYFCMNGYTVAGF PLGTHWSIDLIHRMFHVPAITNELVGHYSEDYASALELAEHNSTYSPMDSDALQAFAA HVYAVEIAQGGETCIGQVAQDSHDHDHASASTASTTPSAAASAAQSCHTHVDGAAHCE DDNATAYTTIASAAAETSDANAGKDCHTHADGTIHCV
I206_03367	MSLTILFALLAVAAVNAQFGPASSLSASGSRSTSARAATTSQSG APINSSASSISTSPTSSGVPAPPTESVGCVLHVDHYHCTGTAPGYEASPTTGDPNTPN PTESSYCSWHETHWDCFDSAEELEATGSAEDTGECIIHVGHTHGDCSAEDLACGAVLL ENFNMPLHIGALFIILVTSGLGAMIPLVTGWARRRSSSTESLDTLSTLGDFDQQTAFG RKAGIWSNIFYIAKHFGTGIIISTAFIHLLFHGFVMFQNECVGHLAYESTAPAISMAA AFVTFLFDFIGSRAAHKKYEHTQSVESPRAEKEAERTHDHSHDGHDHGYDLVLEGKQN WGVVLLEMGIIFHSVMIGVTLGAGSGNGWATLLIVIVFHQFFEGLALGARIALLRTIS KPKQFLMALTFTLITPVGIAIGIGVRKSFSQNGKASLLSVGILNSVSAGILLYAAFRL LSCDFTDGPLRDAKPFKVIVAILAMVAGMICMSVLGKWA
I206_03368	MAPIDTLSFKCALITGGGGGLGKAMATELLKQGKKVIIAGRTEK TLSETAKEIGATGYYVLDTGDIPSIASFVSKITKEHPDLDCLINNAGVQRPLEVTSSP EHKGYGFDLDSADQEININIRGPIHLAVTLLEKHFSKLENGAVIMNVSSVLGFVPFSV INPVYNGTKAFVHFFTTNLRQQLARQESKIKVVEIVPPQVESDLHRDRTNPDDNKKKS GAPALSVEEFMADVKEGWKTNQDTVAAGPGIEMTQLWDETFGTKLKAMAK
I206_03369	MDTTQSYDDTSPYIAYQGDWSIQQTSDPFLGRYKNSTFHSTTTD GDTVVIVFVGTDIQVFGALRPNHGFLSGLIDGGDKQYLNGTARDPELYQQILFEAHNL DNKTHTVLMTNEALYDTSSVGGNWFDIDFFSVNGTPIASTSDTASSTDLPGNPAAYTG SATFETVPPSGVVPPHIAGSPTAVQLAVSVAPSLAIGQRPITDNIASSSSLALSQNNV LVLLSGLCLAWSLMTLSRRG
I206_03370	MSGTGPRESVFPTRMNLTLTKGRLKGAQTGHSLLAKKRDALTTR FRTILRKVDEAKRLMGRVLQLASFSLAEVTYTAGDIGYQVQESVKKASYTVQARQENV SGVVLPAFDGVRSKDANFNLTGLSRGGQQIQKCRDTYVKAVGTLVELASLQTAFTILD EVIRATNRRVNAIEHVVIPRLDNTIKYINSELDEMDREEFFRLKKVQGKKKRDAEKSN ESREGQNAEFTEGGGELHKDEGIGGGNAGGADMLDEGKDDDVIF
I206_03371	MPSYDEDEEDEYYNDEEEDDDDAINGGNGDEDEADQEDGIEDGS DEVGSEGEEDADENEEEDEDEDEDGDHDHDDEEDEAEDHEDDDNDEEGELDASTEDEG ERADNADGDITMDVAEEETGSIRKSKSPSLKAISTQNLPPPPHQIRRNLFIPSYSTPP KSLSIEAIVGIPLPSPVHSLASTSCLSYLLAGSQDGYIRAYDFWGSVNGGQMMTAQQR SVVGLGETINKAGVGRGWWANEIEGVNGGVVSKRVEPVYSIACEGDGLFAVTGTQSGP INLTTLRHAPGHLVHSLRGHTNVVSCLSLLPNEKSLLSGSWDGSVREWDLNTGQTVRT YPTHGAQISSLALRPFTHPLSPSPSPHRRPEVDDDSEEIDGDITTKENTSISAGPNFF DKKNEPESNDNEIDQDPQPIKDDTSAVHSVNGMNGADIRKDDETKENGDVEMREAKSP SNDSLFGGDDDDMDGEGETAPPSVVPSKAPTPSRDITPTLPASKLKGMGLALPGQPKP PIPPAHTDQSTPASSSTGGGPLFSLQSAGAGPSTSRQAAAHIPLLSSTTYRSFSDDVF LTSSMDGQVVLIDKRVPAGEGVGVGVGRLMPGDRAPPWCMSACWSSNGSQVLAGRRNG TIDVWDVRRSSSSSAPNLLRTLRTPTESGPISCLVAFPDGQHIATASQDNIRLWNTSD YFDLDESLKKKSSKPPFKIIAGHHGGTISSMIVDPTGRFLITASGDRGWQGESTKVVL IHEVKW
I206_03372	MSTSCIIVALTILSTIPSLVFAATYNSIFATCAGSGYVPNGGAQ SGAWSSASACAAYCYARDTSYIYSAWTSTTSGCSCGSNSFQTGSVAYGNPGNCGGNYE VSITHTTFNFISCTNTYQFTGAIIQSSSTDFFAIFNQCRSYRYMAIWPTSGNTFLYAC GSAYTLPSTQTCDYQVNRLYSHPADATASQLARREQVETAKRRLDSLNQQESMSMKDQ WCPRGFTSCQLEDDPNSFECVDTMNDLESCGGCLYNPYSPENTGQKDNATISAGQDCS AIPGVALGHSSCDQGRCKFDCKIGWKSDKNKCVKFWKTTYSTKSGP
I206_03373	MSQLVQSIEGMVEAGMKGLMGLAEGVLSPTSSSQDELSGQEDGQ AASVEVNEGNVPPPQPSKPLTIPQPTSSTPVVLTAMSGSKLNLPKQEQLIQIMDVPSG WIHLQSTYLDGDVGHKKVRSFGLRNIAESEVEVEIESDLTTQLIFWLGEEENSTSSSA SSTSSSSTSAGSPSLHITLPPATTITIFFAFQPSHSVPATTPIDSAVSPIDDIAYTPR VKPVSSRTHSSEVSPVIVGSPSDGRSSELSSMSGGSSQAGSVKGFQSSRRPEPVHRSF SVHGSITIRAITSSVLLSPDPPHAPPTVSHQTINLPFFATVCRSLFTAAPMDPVQGLV IGSQISSGEMIVDFGNNSVVGGRYHRDILLVNRSEIELVWKTTVVSSPFKEAVWFELR DLDSENVFGVDHSSRPVPLPSLSSRHLRLEMRAKGIVQDNFDFTFLISNVNQSGNVIS CKAVGTILPSKSDDSLAVLSGNNLDFGQVLDGTWSKQTITCKNNGGKPIDVKFSATEG YDVVFRLAGVAGEDLDEDLHLPFNQNQTSKERQRSGSAATSGTMALTRSSTKESSIRG REPIQQQQFFQQPRETRDTRAESPLSTTYSRRSKAPSSIYSSEALGAKTTSVPGDFGR PWTVASPLVDVDRNSAGGISEACRDHSQPPSRPLSRVTSRTSSYLMHTGDEMDGESIE DEDEDFEPPFFGGGATISNIGIGNEVTSHGSSMLHVKSSSNTSFTALTNEQVIPNQIE EVTMRPGTEYRIYVLHRPAVDKSSPPEVAGRLRRSNFKVHLETISGTAQHSRSTSNNL SSKDSSSTASSKQLSMSSTTTSSIATGGNRKISINCTIEHCSSFISLPEGKVLDFGKV TVGASKTLSLKIQNLSDLSTKIEIATISKVINLSSAKNIVVIPPGEIIEERLEFFPRR INERYEKECFVRNLLNRGNDQVVEIRSQNVDVYNLTLHSHLYRILTPSGSNFLDFGNV VINAPTVRTMHLENLSNTKLVLDLYASQPEDVELYIKAEDIPSPKTMIGPNRSTAGYS ENESNLALERMISPPNGELKERFMETLQELSEKNSANGGVVKSKINKVREKSVTRAKK EEGDKEGKSVGQQVAVALKKGGRGRPVQLYGNSVVFKDRNLLEPHEYLDLASGPPVCA HRSPRAKRFTLLDTIELEDKTKLSGKHDKVPKLDFAAVAKASGLVGKEAKEAKTKKKH SHVQHNSQTDPKDHRQVASDTPSNLRSPKQSNNASSLTSPQPKSVTVPPTHNPSPVSI PPANSSNKPDMHLNLATLASQVMQRIIPETGGRKSPALTAKRPVDLKMTENTSNIPSD PSKMSIDELLLAIEQNDSIKSKITHSTLEEEEAYVRKTIALKKELNNVITSGKLIPAR TVSVDSKKTKAVIVVMTPNGSTRPHVGIRAKRADSRVFIKLLEFDKSLLNEAGRGLSS EQTGQMDEKLIEKITLELPIRDLIIRSSCVRSVLEVQQSSINFGGCDKGEVRSKTIVI HNKSDTLGLFRLRTSGSIASGDLKLGLGRYGVISAFGRKEVPTFSFTPSLTGNYQESI TIENVLDSYNDQSVSVKAVVRKIPAFKAEPSTLDFGIVNLEACGSGTTMYDSIDRKSN DNDKKGKNKQQQSFILTNISKHDKTFVITLNGIPSTSFAQINLIRDENDVGIALSKTE EEEVEGIMQKLKIARRKKKKEKIEKYTNRLIELGVQDVDNSSFDGEAEVDGELEDDDE DKSDKGKKDKKKDKIKEKDNIQSESTQSGSPKTSTSLMITKETTETTGVERDATPAIA SQSDNTSSPSPQACVTTLNLTLAPNQKTKILVELSSVPQPPITVGDSSTIPGGGDYPA INDVNEDDIKATITIHDKRNTDETISITVNAIKGTPENTQKETMEKAVKMADRAKNTT SVSPTAFCVGSTLFLPSSSKHYTSLASTSKFDPFPSAYGSPKSSTPGDISKGLILGDG WSRQIPGNTHAEANALTNFRTKYGELQASFGGWGNNPTSSEQTVSSDANPDVKEPSKT TLPGIEEVLKDADCYATMEPCSVRTSGGPSCALELVRAGVKAVYLGVEEPPDFVQCEG VKILEDGGVKVIRTSGLEEACLKAARRGRN
I206_03374	MANPRQRSKAKSHKSTKPSIHRIRKLHQKQRRAPPLKGPEVLQQ GWDKKKTVFQNYAALGLLPSIPIPNQSTSSRSQRVKLPIIPSTEKIEEQQPKVGFGRI IRDEEGNVIDIIIDEDEELEQEGNVPFAEDEGEKEPVEGKTEVVKQLEQLSSTAAPVT RHSSSSEKTWLSSLVQKYNDDYESMARDRKLNVWQKTQGEIKRMIKKAGGIEKLRNSA 
I206_03375	MFSDLECDYINPIDLCNKLNQFVLPEMIAHAFLTLCFLLSGQWL AFLLNAPLVGYNVNKIMAKNHMYDATEIFRTLSGHKKESFIKLGFYLVSFFYYLYRMI LALISESD
I206_03376	MDPFHLPGFFPSKPLYNPLEDNPKGLPGHIILPIFLASVAPWTL FFVLLIRRTTLRPSKRNTAIVLVLGDIGRSPRMMYHTSSLSKHNIETWLIGYGETTPI QNLIEDKNVHILPLDEPVKLLSNLPWIFRAPIRILYQIYSIINIIIWKIPYNTEYIFI QNPPSIPTLAISQFIILNTGSKLIIDWHNTGYSLLSMRLGMRSPIVKFAKWIEKTFGQ TAYAHLFVTKAMKDYLVKEWELIGRKVVLHDRPPSQFKRTPISDQHDLFNRILPSLEP PLPPSMSIQSDDFTPFTQIKSDIVTLREDRPALVISSTSWTADEDFSLLITALDRYQE SLNNSNSAENKLPKLIVIITGKGSLRSEFENEISKKNWKDIIVKCVFLTSKDYSLLLG SSNLGISLHTSSSGMDLPMKIVDMFGSELPVLSKKFNCINELIKNDKNGKIFENGEEM GKQLIDVLTNFPKSEKLDKLSKYFNKSSFDDTNNDWSSWDENWDKVVFNGLISDSNR
I206_03377	MRNNGKEAVRLCEHIVRQAFGEVICRVASTLLNRGRLPLSTISR LSALPKPTTSAALIILIQHDLVQTNGASFKNTGDDEQYEFDTYTCLLRLRWGRILAIT LQTYDEVALEVVRTIMIYGKMKVPDIIDACGGTNDAIRAEVVNNAIIALIRAQFIRPT SPEMHILDSDQIQRRFNLHRIELIKNKGTAMLSAVDIENCEAKAKHEIREEKEDLQDI RRILIERPKLDKDLNNKKKKRSKVNMILGNVEEEFDYELQQNIHLRINYDRFGILIRN ELLVQAAEERWNKAAGIVMKAILQASLKDYSRLSEERSGDFIGTNSIVTLIDEKDYPV LLAGLAISSRSTIPEVIRNYLNILSGEDGSAGSAPFLRKDNGTNPGYIVEIEIICRKL RENVLYQLVREKLGDKAARVLAVVSKSSKAFETTVRDCAMLPLKDARMHLANLQRLSL VETQEVPKTAAKSRMGLPSSAEYHLWAMDETRVYETLLTNVYKSLGNILQRKSKEIEE KRIVLARENKAEELGSNKLMLSNKDQEDLLELDDYLKKLSLAEMRSELIVFVLRDLPG SPGLK
I206_03378	MLNPPLYCSICSLPCTLPRLPIDIPSNLSDIHQINFDPEKWLSV WYIIKLSLGIIDSSKIINNLENENNNINSNYTNKILNLNNKNIESEFESESKSKSESN LKTITIHLYCLKLISKTILKSKFSNGKIHQENQLLNWSIPKWTGYGLWNKKNLTLIEI EKAFIIDDSSKSSINKLNSGYWGGLLDQRKRYIKFGNHLIKDDLISPIKIPFPKSQIS FKSNYQKKRLNQISKLPKLPINLIERIIDFILDEPNYKQILNFINNNPQTQTNKSLII KLINPNSIKTLFSLFQTCSNFYYYYNFEILSSNKIWILLIFDSIKKFNNELIGRWRSN PTGVGSALNLWESLEIDFEIPVKNVIKDLINFQQSQIKFDFNIKSNSFSDDQLTKTKI NFDMKDIWIWWNYNLNWKNRRKIWRCVVYATATARDADWW
I206_03379	MNGYYNGPSTSSAPAQPVGGLPGGIDVPLGQTNQPRLLVRNLNN TETNFHLSGVELAYANSLRRVMMADVPTIAIDQVLFLQNTTPIPDEMLAHRLGLVPLI SKGVAKGLRYTRDCECDEGCYYCMVTLKLKVSFRGADGEKFMRVTSDMLEISPSPGGP PPPNPYGPPPDLTDDDRQIINNRDSELGVPVGKGQPGVPPILLAKMGQGQEIDLVCKA YKGIAKHHAKWSPLSTVAFEYDLYNKLRHTTHWFETDERAEWPLSSNAVFETPPDPSE PFDYNAVPSTFYYTAESVNSIPVRSVVEQGLDLLIENLAGVILAVQKETGVDEDEEDG GENGDVGVVEPDFSGVDGYGAPGGYGAPGGVGSFGANGGQWGAGNGMSPLRR
I206_03380	MSTLKEQLKKAEKGLEAAKERGSSSVEAYEEKVEQLKKELKKPQ PHPDLQFGPLAQSIAIMVALSLAMSVMPLPFEMIPFYKLFSTITTIAVICYVTKTSII YYGYNQALNKLPKPDDPEKERFRKLTTSKKPRQDLWAHTRSHPSAFLTTKAAAPRLFP FPLGKTRPDATIKDELWWEGGNAPHVGHFNKPKLPQPPAPDPDIMMKRVEASMKREAQ ENMWKKRIRSIQLLCVIVILSFVNQKIAIACLSYLIYHTISTEIQSMLTPPPDMEQVW RYIDKISMNKNESAPKPTQMTGGMSYLYEKGQTNQEVKELANVPIEMVPPHVLMTTQN HWYVGPGNEMKGEK
I206_03381	MSNKFTKEQWLTATPSEFYLGTEEMTIPAGEQLISQSGLIEGLT NEKKKVLDIGAGLGQVTSSILNDTKNRKPTMSQLEITVGEIDDSLLKELNNRKEERKW DLVKVEKTNAISINKPNDTFDFIYANFLYFLLQDPIKGLRESIRVLKSGGKLSFSTWS YSGPFHLIQHAIALLPYYPLLPPSPTPGGQWTHPEYLRQILQEEGMTEIEINPYEFIQ TALNTEDMARKMHHVVKIVTNSWGIEQQELGWKVFEKIEELLRRNQGDGEVKITSVAL IITAKKP
I206_03382	MNRDKWNSTNWLTANSYEMYKGTEDITSPAGLQLILQSDLKSDI ERSINVLDLGFGLGQISQQIIKFVSDIDKNEIRIVAGDTDDSLLEGLKIRKRDQQSEG WNIVNVEKIDANAIDRPNDTFDYIYCNFLYFLLKDPINGLQESIRVLKSGGTLSFSTW AYSGPFQLLQHAIALFPSYPLTPSPPSGSSWTHPQSVRKILEEHKLKDIIITPFEFFQ YADNPRQMAKKMWPVVKVISSRWGEQQGELGWKVFEKIEELLKRDQGDEEVKIASVAL IVTAKKP
I206_03383	MAPTAPTASSSSRSRPIPKRSEAPTPQPAAGRVTRLRAAKDVTA GPAVGSSKGPAQPRTPGLLAKSKEGFRKVSGKIGQKDKEAAHKEGPGHEGNGYSQSLQ AYLRIRPSPTDSDGRISSRPYLEIQSETDVLMRAPTEQSRHHIPKPPHIYSFDKVFPP TTPQSSFFTTTTLPLVERLLQGENGLMFAYGVSNSGKSYTIQGGSTHSATDRGVLPRS IDVVFNSIEGLESTANLKPQGLADVVLSDDRDEVITLSDPLATLEPKIEDSIKVDRNY SYAVFVSYAEVYNEKIFDLLDTVLPTAPPTPGLPKGRATNTGLPRGSNAYGFPGTLHS SFNLAAIANGGGGVLKRHALALKNDPEGNGKYIAGLKDVRVRTREEALAVFRSGQSAR QVFGTIANRESSRSHGIFTIKIVRIHNGAPEDPDSAQVSRLAIVDLAGSERTRNTQTT GDRLKEAGNINKSLMVLGQCLEVLRANQQKMSTNPGAPNSKKRLAVVPFRHSKLTEVF QNFFVGDGRAVIIVNVNPYDTGFDENSHVMRFSAIAREIQTTASNKVGFPLLKRQIST QFSAFRNAVSGHHTPNPMKIKVTVPVLPKPDKSDNDGKKLAMDRESQGFVMVEEELEV VEESEEDQSDEEDGKDALVEYLFDQLKEMKTRLYESEMKNAAIEVEVREEVAKEMQDS LQKMHEDFGRQLSEQLTANELKTDRKIDIVTRTMTPAVHRIAPFHPSSASSSNIDEES QEDVSFVSMLNEDESFESAIDASLMTSGDDSVLSQEFDPFVVKSPGIPQITLTRDSFT PIKQPTFVRSTAREKNADISVDTGIISDGTEQDEAAENTTKEDIELESEVDDDDTDAV KPIATVVSSEEESVLDTDEDVDEAEDEDVTENEESEDEEEEANEEEVDSDESAFTLSD PEAEEDGDSEEEEESPIRRASSRRRTAGSPKKPVTALSPVKKAKETPAKKISAKTKVA NALAQSPGSTKKVDPANMPLTPEPLRERISQLQLSDDEEMPIKTTTKKKRTLGKKIVT EDEMIHADSARISLAGAEVRRMIR
I206_03384	MQVQSVNQGSDWDKVKIITPSPILGYGYPEEDLWRGVEEHGADA IIVDAGSTDGGPDDLALNHTVVSKEMYERDLAPMIDAVYKNGLKLLISSAGGAGPKAH VDFLKEIITDLINQKGYTLKVAAIYTDVSKETVLSKLKEGKVHPCGEIPELKIEDVEK TDAIVAQVGHEPFLKALREEPDIIIGGRAYDPAPFIAYCLHRLGKVSHATAWHMGKIM ECGGICAIPKGKVILATVSPDNFELLPMDPNTRCTELSVAAHTLYEKTRPDKLAGPGG ILDITNAKYQQISARGVRVSGAVIERKPTAFKLEGARQVGFKTVFLGGIRDPILIKCI DEFTQKTWEMTAKMFPVLKSDPSVHQLQWKFYGRNAVMAHLEPCSFDNVHEIGLYGEV LAPTQRLANAIANCARVGILHGAYPGQVATAGNFASPGTPLELSAGPACEFTIYHLMD IDDPEDFPVDHFTVGKGSDSRPTKPVHGHDTTHEQTKELIPLNPEPAINNFLYGGSPF FLPDLARVVRSKNSGPYEITFDVMFATLEDYQFVKGQDKLNKEFVKKSWKVENDDDIL ALMWFEPALAWKCTIKRSWDQGSFGERDTFGTAQHAPLMMLKLGEGLRN
I206_03385	MNTENSSSIPHDLEKTEVSHNENAVIDPTSEEYLIDKKAERRLL LKLDAVILPMTVLMYLSANLDRGNIGNARLQGLQKELLDNSDNKYSVVLLSFYITYML VSIPGTLLSKAFPPNYALGAGCLIWAIAATCMAACQNYASIIVCRLFIGVGEAIFGQA VVLHYSLWYKKNEIATRLAAFIGAGVLAGAFGGLIAYGVSHIHSHIDTWRILFIIEGA PSVVLGICVALFLPGRPDRSNFLNEHERELEFLRLKSQNLDEGNNGIDWSGVKRAFTD WKSYVITFIYSCMQLTLSSISGFFPTIIASLGYTNAQAQLYTVPPYAVGFVAMVIINH FSDRLRMRGPFIIGVFSINLLGWLLLLIVTHNQHVRYFGTFCIVIGGYATIPLIQSWV SNNTGSQTQRAVHLGFLNSFANWAALTSPFIFPTSEKPYWHKGFGMNLGFSCAAIIVT ACMMLYYSRENARRDRIEGKPVPGQRVDQARLHDLAPGFRYTL
I206_03386	MPKNGRPSVSCAECRRSKLRCSKTWPCTECQRRGCPDICPNGTV SKRRTMRNIIEENNELKDRLTQSVNTNNPSTSNHTVNITAADAFSTFPSPPIIQERTP TFDLGIISDTYDSNLGTTTRTTLLNPNLSVPPVGQLSIGSGGRSKFFGPTAAAHVLPD DWEDQDSADQSSTLPDNESALGPGPTFPLIRPSPSARRSFLNEARNQVPIGDLKETWI QLYWNASSWRFEPITREHFDRIVLDLSIGNASSSSRNSARVGAQLGVLFAVLAIGCLF DPDLPPHSDQAQKFDDLSMSCLTAADFMTNTSVPSLICLHLHCCFLLNDSRPRTDEIY VLVGLALRLATMAGFHRDGTWWDLPQGEVDARRRIWWEILTLERVNSNRFGCPSFINF GQFDALRPSDQSPDSFLYWRWEYDNVLHTLINSIDTIRSSPNLDGLQEADALERSYWG RVPSTLKATNLPLWIDDKSIVFALQQHRLALHYYTGLLQLHRLGMNRALRLHSAEPLD SQFSASVKVVIEEACKNVLDLVDEIYKIDHINTRHMVIALDLFSTLVPQAALVIRSPR SALATLSHHQLVRGVELLERASKQTPCSWYSGLLQRGQKLAAKATSSLAMRWGTSLVP MNGEHDGVETLLGDVTQLHQQQHSAVPTPAVGNDPGTALAEDLEFERWISSLIEDRPF MTDTSWQTSGAI
I206_03387	MVEKGKQKAIVIGAGVGGTATAARLAHAGFDVEIYEKNDFSGGR CSLIHHEGYRFDQGPSLLLLPPLFRQLYHDLGTNLEDHIDLVQCNPNYVIHYHDGEKV ILSSDRAQLGAEVEQWEGEGGAARLEDFLREAGIHGQLSYDEVLGRPFDTFFAMLRPG VVMNLLKLHPFGSLWGRCARYFKTERMRRAFSFGSMYLGSSPFDAPGTYTLLQWTETC EGIWYPKGGFHSVVQSLVNISESHGAKYHFSTPVSQVIHDSKGRATGIKLEDGTTKEA DVVVVNADLVWAHNNLFDKVGGTQGVKKEEKRLCSSISFYWALDSTIPSLNAHNIFLA EDYKGSFDDIFKRKGMPREPSFYVNVPSRVDPSAAPEGKDSIVVLVPVGHLHSISKQE EDWDALVDRARKQVIEVIEGRLGITGFKDKILWEGVNTPQTWKDKFNLTHGSILGITH DFFNVLSFRQQARHPSLKGAYFVGASAHPGTGVPIAIAGSKLCTQAILSDLSIPEPQT YNTFNKKQRNNSLDEIQQFPLLYTLEKILRNSIPIILGSIMTIFGLIIYTMIYGSSPT FIIERDINRFTWQYFRSVFTTGDNEIDLVISIVLAVTAMLCLALKLAPEGEPRWMTEA REAQRQAMLYEKQNTKDMEYQAQFKAQQDWIAKYGNPPTEQS
I206_03388	MSLADSRILPILYDGLASPPLLSPPLTPKSRYAFPDQPVCAICP LTPRSTNVSTPINLSPTRPPIGKSTSSSIAYPSAPSSTLVDLPIGSLQPAQLPLPAKL ITAHRFTNGKIPGSDSDSLTRELKGKMPALSHLLSVGKGRGLSIVADDKNIYTGCQSS DNEITVFSRTTLQPTYRLMGHEGSVLALLLIKDKNWLVSASSAGDVRIWSTKTLELIY IIHPCDDTAGDVYSLAWDEREGGTLYLGSQSASIEWVNFSGPGPVRRRRVSAASASTV EVVPLSQNSVDPAQSRPGPSQRTGRYKPHHFFSNPPEGASCSASGSSTPRSPVIASTP NGSSRREFFSSADLDKLSLNERAEHPATELEISAESRIAFAHYGYVYALEIISRPHGR KWLVSGSGDSDVKIWDCAPGGGLNLVKEFDNLPGGVLSFAIRESLLYAGLQAGEICVW DLETGACIRTIEAHEADVLSISVLGGDVYTSAADGRILRLNAEFDCTAVWKAHAGTVM STVIASGTRKGGWELITTGSDSFVKIWNVDLPKTSNHDSEVDVEGEGDVMLYALSKLV AVPTVSDDAHRESCRQGAHLLKKILSQLGANSEVLSGEQGKNPLVLATFTGRDTGKPR KRLLFYGHYDVQPADEEKWETNPWELSGRNGYLYGRGVTDNKGPVLAVACAAASLRQR RELDVDLVMIIEGEEEAGSRGFASTVRKHKSDIGHIDAVLLSNSTWIDEADPCVVFGM RGVVYAKLSVSSKDEDLHNGVDGGATTEPMFDMVRVLGALSDKSGVKVPGFYDTVRAP TDEEISFLKDVSSASGRSLDELIRVWRQPSFSIANIVSSGSGNKTVIPKKVSADISMR IVPDQQLDDIVNDLKKYSEEVFGELGSPNSFEIQVTHSASWWLTSLDSPYFKALESSV QDVWGVKPLKIREGGTVPTVFWLEKEFGAPCVHLPMGQSTDAGHLANERMRLLNLRNG KRVIEAYLTRLAGI
I206_03389	MSPSSSIPKSEPTHIHLNGRTVSPLGEGEKNGIIPSSPVGNGGG NVERRPTGVRKARWKFGMELTVGFVVLPLRALSSMAENQKIQDI
I206_03390	MLQTILLALGTLVALPTVWSQVTYSSQFATCATSSYVPSGGAQS GAWSSADDCAAYCYNRDTSYIYSAWTSTTSGCSCGTNSFDTDTMAQGNPGGCGSNYEV TITHTTWDFELCTNNYQFTTANIQSHTSDYYSIFSICAPYAGMAIWSTTNDQFYYACG SGYESTGATSTCDYGVNRIYSHPADATVSQLAKRSLAERRRIAEREKRENYWCHKGLT ACQLEEDSNSFECVDTQNDLESCGGCLHGAYNPAGNNITVPIGIDCSSIPGVSLGHST CSSGKCDFDCKKGWEVRGDKCVKAESLKRSVKARRFLRALPSF
I206_03391	MSDTKSRPFSNSSVISPYAALEVIIGNDTASYQSYQPINQQRGG SKTIIMEESHRPLTPFDNEQESNEPFFVRARFNFEATDNSALSFNAGDIIQVFSRLES GWWDGMLNDQRGWFPSNYVENIDEEEYFSKNGMTGYTNEVQAKEEEEEEEGEVLKIDD VLEGSWGDWNGNSGLDQLAREMLAEDDDDDDGEAFVKAAQRRRLLSGGLISSQADEFG LSSRRREETDATIRPSAASTHSSTTQHPLVKGKQRESIQSAPQDAWIPSITPDGQVYY HNTQTGEDSWELPMEEMGLEEDTGYSQQNDIDFFANPTLSFNSNRTATLLTSSADNDF RPPPKAQADIPYPWAAKLSDDGGEWYYYNRLTGQSRKQLPTSKGDATSIIDVGVGMKR LSIGSTSKPLRASVELQRKAVEEWEQKTADALRAVIQPEKRPTMGFLMDNVNDALREI FEASVAGSAAEEEMSRAQDLNSESGMLAAVMREENAVEMLVSAHKNTLSSIRQLLNCF GYVGPLDRMEELPRPSWVGDMTLIGSIGLLSANTHAAVTSKRIPETGLSIWAEVMRSA SKVKDVIANFPNTVLSGTIQHAGLDNAEGSRIDAWLGIDIIGEPLNAKWGFGRITNKD GDLALLDQSSVIECQKLKNEFDASLRNLGVSSEDGLMELIRLSTKFQETVATLDIASV IDVDGDTGDLGNGMRSREDDLREYEHLVDQARQAITDLENSSRQINQLSIQILLQLDN PIENLRDLIDNLSSIMTTSFRALPTLLIISGEQIAAVDQGLIRGQIGFRSYKFAQQSS SFRQSTRPTSMISSHSRNSRTSFSGAGNSRRHKVRGLEEEFLDADDYGEMRDRPAKMP HASASASTTSLVPQRSRTSSTTSLAYQQTESDSGSQKGNRTSILKAFRRNRADSDADG RGSQRNKTPSKKLAKLLGEDMSQIPINASVPPPAPPETPWYLSDDFIPGEIIFDDKGG VKAGSLRALVVRLTQHSSTDTPFFQAFLLTFRSFTNAHELFEHLIERYNISLPDGLNL EQAHEWKVKKQAPIRLRVANALRTWLERHYIDHTDSEVLDRIEEFANTTLLANGSELM SKQLLTLVGKRRQGEPEQTRGSASGSLLSPPAPLLPRVTGRQLRLTDVSPLEIARQLT IVEFIHFQRIKPSECLNRAWADENTGSINAPNVRNVILTANRMAGWVALHILSPKDVR QRATAMKILIQVAAECRHLNNFSSMAGIVAGLNSAPITRLKRTKELLSAKTQSMKSDL DKTLDSTKNFANYRDMLKTINPPCVPFFGFYLSALTFIEDGNKNYIQPGAINGNGPRQ KGLSTSESSSSLNNHKPLQSSTSTVSTNTNNIQLINFFKRSLNAEILRDIAQYQSQPY NLAKCKPVLEWIMRGLEEVEKGGDLYEVSQALEPREKEEERITRMLHDSVGQ
I206_03392	MGQQNSRLEYDSTLSQTHQPQPAFTSLDPDPFHHSPPNQEPYQL SQQPISPAFYDAPHSAPVVPVSSKPKGLIRSLSAKRRGESRHINTSQSQFRQPELPPL GSLKALKTLGAQGADLKSSMREFEVLVQKAEISAGIRSPSPITLNDSNYETSSTFPRR SSKSPRENGSISEENFIKLREGNNSPLPKLSVTPAYLRPAPFRRNSCSSSIHSTRVSI KSARRAEREWRAKVAALSSGLSPHTQINTSPIRVNSKTKGGPVPPRRNNRSTSPGIYL TSMTNRSTSPEEGTVIITPPHSMTTAVSFGTPLSNKSFETLGHYPNSSITESPTPIST YTDNVRERKPSVPHSAYSITCSTIKDEDELGRPISLASGQWLAPSALQPSPVKVKINT AVDDTGDRYTPNSLYVNGTSTPTSPHHDNLPPLESFKSSPRMVNSQVLPLVETPKKNG NAQIEREEIERKHLSLPSPPRPNATPTRRPSLHIPTLNIPNTPPCLPPLTFSPSSVEL TTPRNKPLTTPTKLSPIVKEPSTPYSPTTAHILTASSPSIDQMAYSSFVNSPQRQNER DPITPIRRHPFTFGAEPSPEPSNQCSPELEIKNESILPDKFDPKYEKGGMAIPRRSSI DPFIPRQLPSTTRNSALTLRSQGNIQNIPSIKNNVIGNNVREEAGLKRLMKAKIDLTP GMENGCRPPKSGLPMADLERWLRNASM
I206_03394	MSFTPHFSNNYSSPFDKDQATLSNYLEIITKHININWEINWNEK IFNGNVELKMESKKNDLNEIKLDSSFLNIKKVEIDNKIIEYSLGDRIEVMGQALTITL PKSLNKGEIVTIKITYSTTPQCTAVGWLEPNQTKSGKNPYLYSQAQAIHARSMLPCQD TPAIKSTYEAKVISERGLEVLLSGQRKNVKELNQNGKREFTYSQPVGIPSYLIAIAAG ELTHKSFDNLKGRNWSTGCWTEPLNMDKAYQEFKEDTANFVKTAEDLTSPYKFGVYDI LFLPESFPYGGMENSCLTFATPTIIAGDKSQVDVVAHEISHSWFGNGIGCASWSHFWL NEGWTTYLERLIMRETHGELDRQLSYTVGRRGLVGDLERLNPRFQKLVIEYKEHEDPD EGYSQVPYEKGANFLLYLERTLGGLENFIPYMKDYVRTFEGTSITTDQWREHLFHYFG QHKDGEELTRRLGKVDWDEWLHGGGPDLCVDIEYDDTLSKACYDLAAKWDKARNGDVA GFSKDDIKEFSSTQTVVFLDKLETYDTLPPKVVASLDKLYGLGSTGNAEIALRFYEIA LKSGPEYAESAAKWVINKGRMKFCRPVFRLLNEQTPELAKKIFLKHANFYHPIARKMI AKDLGVKVD
I206_03395	MAAVMQPSTHRTPSMDRAPSFDQPRSRSTPPFVSPYDSSAPHVR TNSTSSNTSPYNQPFSGSSYFPPQHQMYGVQSQQSWTANPVPATAFYNPPFQGFPHPN GGHPQQFVHNQFQQSQADFAAWANAYQHMVMASVQNGGAHSGGMTPPASNHGSDNSNY GERRRTSSGPGGQAISAIHNGGYFDHNSYQKPQQQHQDYSSNTPPLQLAHSKQTQQPQ PYHPYKRGPSAKSSREQLPRSTSMPTGLQDQLISSIPRSSSRNLAQEQQRFSSVQTSS RQAASIDRQRDSNAEPIVPPPKISDHSRTNSSGSERSNSREMPPPPISTVRTSSPAPR PSTSNPPASRTSTPLHPGPITNATNTPSASRPSPLSQPSSTPEPTDKMVKTGGLKGRL RKALDKDAKKEQRSAPTPQAKPPAPISKQSLPPKHFASPSESSTRSATPPATPPQDFR APAAPFTMNPTAMGSEISLAETERTATGTEQKEKGKRSLFRMKNMSTDNISISSTVSS ASMMIRKMGSIGKLARRNSLMGISRIFKDKPKEGEDAALPEKDGKKKKDKKKKGKGEA APATVSHAIAEPDRMAEEDDRALAGLSPAAKLARQHTLRSKAEAAKRDSTNGPTGEPT WDQNTATRQQPGALPSLGSVGAQSLSSPTGSTGPEVVRVGHSQAPTVVHAVAVTEQEY DSEDDSSDGETIEDVTMTLAKTRLSAEADAEFQATWGNAWIDRSAVPKRGILKAVSSY STLDDAAANDQRQRSNSTHASSSSTAPGPLAQLPPSNPRFLDGLVHPSPQVDSTYDPF SPAFSPFDSPQPNGNGDSFYANPNQNSSAPALTLLGQKAMGKPPQNRAMTAPVRRSIN WAPECAVYQTYDSGTYDRRSEPATCNRLTPELAMFIKQELNAFKLEMPVHPSSKIYTH YFA
I206_03396	MKNKNKYHWETIPISRPNYIIEDYIDFLNLLLPKPYLKKTNTFN YLNKNSIKSSKSFNEHKSGNHIKRKGSKIWLKSKRIRLFHSSLRKEKDLNQFPNCTTK EIEHGISMDDTDENWQIITIPFVSIDSTETEGSHSQFEEEINEGIRRIKAISRENQSQ PSIQLYESDISVVEKSEDDLSSTSFHNRISNIHSSIGSESEERSSKFNLNKYQFPSSP STSFRSNEIQNSIQNDCAIQNHSRYVMHKRSWLEGGPLTILEERQEIERELPNDHPFN SPIFTHSFTSSPLFSAHQSSHYSVNEKLTSSLENNLQSNQSHIPNNQIEFNEYNHFQN LFYSLKIMKEILYENNESTIKLLYLYEDIIPLSILREIENRLNKYWIKWTNILNSLGQ KIVYNLNQFNISKISSSSSSSSLSLSPLSKKYLNSLIPPPIIQDEIIRLIWSLEEKFQ IPSGTYKRMFGNGNENEKIKKMTIDEELEADQMRLRDWMIDEDYSKQRVKWRENKR
I206_03397	MPSLNLFTLITLLPLLVFASTDSKPRWRDPAAPPLGLKKRDGNS TATPLNFESVGDTGVSAQMVFLGNNKKIYVLDKTENNPVEITGQYGTHPAWATEYDIE SNEYRTMDVFSNTFCAGGGVLGNGTWVVFGGNQPVTTGGVASTAAEAYSDTDGGTAIR MLNPCTDESCEYIQGETSYSTSADNTGGYLQMTGRRWYPMVEALPDGSLIIIGGDKNG GYVNTQAQDNPTYEFFPPRADDPQDLQFLADTLPINLYALTWLMPSGKLFMQANRKTI LYDYNNKTTTNLPDMPYAARVYPASAATAMLPLIPDNGYAATLLFCGGSATTQWGNDG TAGYNVTAVPADDTCVRITPEGSAVYEDDDYMFEGRSMGQFVMLPDGTFWMGNGVGMG TAGYGDDDYSVGQSYGQAPVYMPAIYNSSAPQGSRWNRTGLVASTNERMYHSTAILLP DGSLFIAGSNPNKDFTTAQWRSRTDSERWYPWYYNEARPIYEGFQDTLSYGGNSFNIS LNSTDSATAQNTKMVVIRGGFNTHAIGFGQRYLQLNSTYEIDMNTGKTTHMVSQMPGG STGPNLFQPGPAMFFVVVNGVPSQGEFVMIGSGKIETQATQTNQDLPSSTVIAVVQPE NTTEANSSSGSSSKSGTSGAFSTFSINHATLGASIIATLIAFTSLL
I206_03398	MPPMFTPPNPSSSSTPPNITYSPIPSTSLHRHHRRNLPARSSLS NSFSFAPTPPPLGIASNSIQDISLDENSDLSFSFTYPSKRKLNQNGTERNLNFIGSSE QGNRGISPLSPRVRNRYDLKSNGSSRTATPSGGRGIEGLPNSNINTQGRIRSRLGETS PEREERIRQESESKRRTSPRKRNTQLYTNDDEDKEEDEERNWSMVDSMRLWRHDAIMQ HLYETAAFWGDKILSWTGDSNDAFWLAQTHFLTGHYLRAEKLLTDPLSQQPKNSLGIR NKGKGKDEDDLMNGHAPIQPYENGKGGPSSVTNGEVVVGERRLVDESLACRYLAAQCL VHQEKYHEALELLGESNPFKESGESGPDEPSQDEGIKLHSSICHLRALLHLRLSSFAL AKESFMEALMLDVKNYDSFKELIEGGMMSEKEEWEFIRNLAYRKQLSEEDGNFVKLIY MTKLKKDGHVHEVAQAREALTNQYGLGDNCDVLVGLADELYSKYKWEDCYAVTTKILS RIPGHPTALPLHLACMHHIHRLRSSLFMLAHDLVEQDPGAATTWYAVGLWYFSGKRWA EARRYFSKANLIDSRFAPAWIAFAHSFAYEGEHDHAITAYSTSARLFQGSHLPLLFIG MEHLQLSASNLAEEYFKAAEVINNSDPLLLNELGVVAYNKEDYDQAASYFRKALRTSW EMQGVKSVWSVTYCNLGHAYRHMRNYEKAEHNYRLTIRLDPTNSTAYSSLGMIYQLKG DIRESIKIYHQSLSLNSQDPISTVLLEMSLKEQMENLDPTTLPGLPGRLGERNMDPFN VPKGNPIFGPLPIELDPATLDDAGGESIIHHPGLAKGYNSSSLFENQIEGAHNHNEKR EISQIGRIDLGIEEEVGEGSTMEIEDD
I206_03399	MQPPIYNHHRLPPAGAPSQQQQSNQQPSVLSASSNARLNEFFDL IKNEFEQVGQDGNVWKAQRDEYEAKIQQQINELGLIRQSLYELESNHAKVRQEYEGEI ARLRRELEARGGPGGGPSGPSAGGQTSPPDLPRPGNDERPPYGNSLPGPPLNGNEPGG SRSPYPPPGGIIPRPASTDRERDRRNRERAIAASNVPPSPPVHLSDLDPDNVSRELKK EGGDWQAMWSSQMRKQLDVALVHTLEHETVVCCVKFSNDGKYLATGCNRTAQIYDVKS GGRVTTLQDEAANRTGDLYIRSICFSPDGKFLATGAEDRQIRIWDIKQKRIRHLLQGH MQEIYSLDFSRDGRFLVSGSGDKSARIWDIEKGLCVFDLRIEDFIHNEHVSPDGKLVA AGSLDTMVRVWNVQTGQQVERLKGHKDSVYSVAFSPDGKCLVSGSLDRTLRIWDLTGT KREAEILAPGSKDIQKNLGTCQSTLNGHKDYVLSVAISPDGQWVVSGSKDRSIQFWHI STGQAQLMLQGHKNSVISIDLARSGGYLASGSGDCMARIWKYEPYSGRE
I206_03400	MSSSQQSSTKSSSKPTINASITFRKQSETDRQETGLKWKFDSSV KIVDESKKRFFPFSFLGRESKVEQCRKDIDSSLRKFCLANNERGTALQSDDMSAGDVE YNVRDYGDTVKGRWAQNYRNYCNFEFTAINVSGMSATSGPMSYGL
I206_03401	MGASTTLSSDDKAKVKKAIPSSSSTNKIVTATVARVYQAKSSAQ SWSYAGAEGALVFCADKAKGGLWFRVVDLSSYRGVIWEHEVPNEIEYNQEKPFFHSWQ GDNSQFAFVFASEQEAHDFYKKVANRSKYATKVKEDKKEKATSTPTKKKKGGKIDKSL ISGPSAGSFKHVAHMGFDSEKGFSSSGVDPSWQALLEQLSMKGISAKDIQQNEKFIKD FVQQQGGIERATAAKRPPPPPAPTSRRKPAPPPPASRSNRPTSVAISTPPPPPARTSA PPPPPPPPVAPPRAGVPPPPPPPPAPPRAGGSAPPPPPPPPGRGAPPPPPPPPAGGRA APSAPLAPPIPSAGGDSARSALLASIQGKGVGVLKKVDPSEQRVSPLAGGAASAGAGA VVGAGAAAAVASTTEDTPDLASSLAAALSKRKADIGSDDEDDSDDDEWN
I206_03402	MLSTLISILPYSLLFLWTSYGSTKGNFKELSNLIKYKSNLWFPN YLKEDNYGSAYDKEFIDFFFKEIWIDIKGKCYLRGINEFKCISKNNECKFEKMIKEIE CTKDILGNWIFNLIPFLTIYLQTFYSILTYSIILCLCFNYLIKNKNKNKWLNKKELKF FRKFILLIMILKDFIGIFGLIICLISLLFPLLDSSINHIVNIKIGLGIILNIFTVFGS ILLTVQFKNWSKILIEQQPFENGIILDEESISIVEKIPNYIVSSVSRNEKSTIVESKV ENEKGDISKIH
I206_03403	MAPHASAGGSSGDSRAAPTPLAVPSPAPQPSTSASAGNSLSVSQ ASQTTSVLTEDEEDLEDYRPGGYHPVNIGDEFNNGRYMIVRKLGWGHFSTVWLARDDH TKRHVALKVVKSDGHYTETALDEIQLLQRVVNSSQTHAGRCHVVGLVDNFRHTGPNGS HVCMVFEVLGENLLGLIKRYQHRGVPQHIVKQIAKQVLLGLDYLHQECRIIHTDLKPE NVLICIEDVESVVQAELASCPAAVPTKLVGVPPSQGRLGNQTPRKDGLFIVGSQPLPS PSSSYSSSPMLDKYGFGMSKISGVDAFPKTNSVAGGSNVNKGTTAEAIGDGIGNVKLG ESGLVWEKTKAPVPPTSGPSLLSQQMSAAPSSPPLPPTNLPASSSTNPSTTATPSQTV ISTPATTPDSTHHDPDDPNRDAPVAGDPNHLPPPFPYDPVSLERITVKIADLGNACWV DHHFTNDIQTRQYRCPEIILGTRWNQSVDIWSAACLFFELLTGDYLFDPQPGVKYDKD DDHVAQIMELLGEMPRSLALSGKYSHEMFNRRGELRHISRLRFWPMISVLKEKYLMEH SDAELLSSFLMPMLHYYPDSRATAAELVNHPWLDGVVVQGELEMAHNRHQSEVAQSSE AGKSGESRGPPVPLQDVLGLGPSVKGMVGMGRI
I206_03404	MGSDFVDKHYYINEKTGSTPFQRTWKKTSPIEKLLYIIYLIPLI ALLIILGLIMILPFLFIRRTRPLLPKSEEIDPIYKKKKRGPGLHRRIWEMFEIFLNLY YMTLKQGLQVGGCLSSIILIYMQIRMIIHDLNNPIIIDYSQQAQISNSDPADRLRTDH DCAYGVGTGIANWANGKEPLAWYGMYHAFIIILCICLFGDELLNVRFGCFAPQWNRAG VGYTTMFLAVATLSTSPNWDFPETVQFRYIILILIFLLGLYNVLRTIVSMNGCTKSEI RFEDGRVGRKPLRFWTKGQWKSEWFRLNFLPPPGKGSYFWTENLQNYIGDWNFPLRIR SRKSLEKQIELQQNEIENKFDDGRIQKVYQKSEKELIWQRGRISRVVIHGGPRKMPPN LKAEIDAMKRIHVGQLPDYDGLLSDEEIEKIEEKVLAELEKDKGYFGIANWVPSVRVY AWLWFDVRRIFCIICGIGLLSIRAAICSFDLSAGAWYAYLDEYTKAQDNWKINGGPDD NTCQYYKGTSVPIFVLPGGKAVTGVAAMYIWVSLWNMFLVGMCLGVIGVAISNNMWWG MHLGLLGPIPVPITLIGPRMTSMSMGLTLGFVAIATLQQGFFFHNDFGIIATKIFCYA GVVGMILSLFPNEPLRPDFTRSPFWPWTSRYAFRRMDRKKWKYGWANNNDMYT
I206_03405	MRIAVQGCSHGSLNAIYDTVIQYQQHTSKPIDLLLLCGDFQALR SKHDFASLAVPPKYHSLGTFHEYYSGKKKAPVLTLVIGGNHEASNYMWELYHGGWLAE NIYYLGAAGSVYVDGLRIVGASGIYKDHDYRKGHFEKVPYNNSTLRSVYHIREYDVMK LMQLSHRDHTIFLSHDWPISIARHGDTGALLRRKPFFREEINSNTLGSPPLYTLLNHI QPSYWFSAHLHVKFAALYDHATLTSKAIPKLEATLPSIPLAGDHALERNPDEINIDDE DEFDYQQPAPILATGNPDEITIEDDEFVEPQSQAAQENEEGGDPDEITIEDDEFDEPP TAPLDLLAGQPEVNAKDELRVDDSADLVEEARKKGNGIKTTETIGAPVQRVKEVVEAS KEEKVSPEGRQTRFLALDKCGPGKDFIQFFEIDTASSVVMDRPPRLTFDPEWLAISRA LHPYFSTSIQQSTLPSHAALEQLISDEKKRIEEEGLLVPSETVNEDGTVDLVWEKGLV EVERVQKFWPTAPSQDQLGPNGEGGSGDQWYTNPQTEAFCGMLGIQNKVNPAPM
I206_03406	MAPTLAADAKIPCPTPQHLREELDNLAWALEPEEKEDTWEKFER AIIRFAAVTRGGGYKHTEQYVEGVGRSGVGKKLVKCMLSDRGRLSGVSTDLLQTFAPR LSTNFKPLVNLYLEPVLALLGRPNKVFLKRAEKCLLTILTHCQLITILPELRRGLNDN ATTCRRGSAIGIERAVNEWPGELWTEKYLSLLEESVKKMAVDKDPEVRQTSRRVWAIF VDLWPERVENFSAPLTPTIKRYLGLPAANGAGPSKPKPKAPIRAAPAPVLAPAPPTRP NSVASSELPHDSAPIQPSAASRPQHHRVNALAARPLRPIYHAPPPTVEAGPSRRQSPR KEVDLLPAATEENLPDTHLAQPSLHRSASSSNQLHQDLIPPFFGRQGRSVSHNVLSST NLPSEENKQNPLSKPSRPALHPSLSAPPEGFDTAPPRKFAPPARIMRIIPSEEEGGLG LGDHPNALRGPQRNHNAGLGKRTNALGGQAHRRVVTAPSMPEEGYFAKTPGGAQGRSR ERTDAVEYGEDQRNITAHAPKSFGSPLPKQIISMDSPLMPTLIRSASGEVRSDENESD IIDMKAHTDVYPASPIKVSIEANEERKVVEVARKIELPESPVKTAVNLPEDIPEEKVE IITEDDDETVEDDITDNASVRSLSAALDIDGHKDVEEGSDIAMKGEPAFVAEEMVEKA EDHSPPSNAPETTDATLNKVDDVASAADIAKTHKTLTSVNEVNETVKSAQLTANNTRP VPAASKTRIAGTVTRKPPVPTARTTARAVSAPVLRKAFKPTSLSAPTAASAARVAAIS KPASATTAVSKPLVATSTTSKAPSASTTSASNTTKPTLNGSTSSKPSTAPVRARVVSA QIVKHEPKITTKPPIPPNQPLPKAATRVVSGPKTMRPPTAHVTLPPVKKEKVVRKAPL PSFRPTRGATSGTASLKASTSSVVSVGTAGGRAKVKPENIKLPESPFKTPTEVPLPPS PHEIPLPHSPHPRAPSVMSSISNNNPAVGSPLKVEMGGRARVHKDSIINLHIPPQSPM KTLSPAKTLSPLLTSTNSEEILPPQPVFSIPAESLVKQTKAKFDTTDLFSASRPATPN SKSSNGTTTTEILNASSSSNDDISDDKITFKSLSPSRPISFRQENNKNIAHGNRSDVS TPLSKASALLAKLEGSEKGISMIGMTPERKALSVKDANTPHGFGAAEDWDVSA
I206_03407	MSSYPRTRRYRDIEKTNSDSTFSSSSSSELDIPLPHSSWNYNKK KKNNWFKKIKSKSINLLALFTLLFIFLKISNIKFEFPFPKPKPEHEHKELQKFIKEGI KQCEIINKSIPNFKPSNSKRQLNDRFVKGTKNYWIKNGTFWTGNKDGNEILNEIDILL ENGLIRKIGKSKDIKEFIKDKNVEEVQLDGAWVTPGIVDTHSHSGVDAAPSLAGNEDT NSIKGSVQPWLRSLDGFNTHDLAFNGSISGGVTTMLVLPGSAGKAFPFKPRWTHENTP QSMLVEPAWKIENGTWARTNAWRHIKHACGENPKRVYGQTRMDNAYDFRRAYTEGSTL KEKQDRWCSSPTTQTEPFPSSLEWEVLSDVIRGNVKVNVHCYETTDLNALVRISNEFQ FPIAAFHHAHETYLVPDLLKQAYGEPPAVAIFSTNARYKREAYRGSEFAAKVLSDEGL KVIMKSDHPVLDSRYLVTEASWIHHYGVNISESLNSITTSPAKAMGLDHRIGYLREGY DADLVIWDSFPLNLGATPKQTYIDGIPQIIKPIIHKKPSAAQDLPKKQINWEKEIQEA LNTRGEPNLKPSKSVKNVIYQNVGEFHLSLTEFNIQQNDIEAFENGNGIVIVKNGEIT CAGTCLIENEEALKGGLEFEVVNLHGGVITPSFITVGSYVGLLEIRQEKATSDGAAID PLNEESEVTNGLLAHAVDGAQFGGKDELLAYKSGVTTAVIAPKGSSWISGISYSFSPE AEHLLSKGAIQNKANALWIKLDNSKISVSSKIAILRKLLAGTHQEDENELVEAFKKIS KGELRLVVKTDKADHIASLIRLKEEIAKDAKITILGGQESWLVADELAANDIGVIVAP VRSYPGEWDTRRIVPSIPLSNHTLPSYLTSHGVIVGLGIQEEWQARNTLYEAAWTYAN SPSGIFSKRDALDLVGKNLEILLGLDSSPKIAGEGGWVAHQGDPFEFGSKVVSVKGYG EEKDIDLF
I206_03408	MPAPVMSQLENTSAILGEYMLKGWTLTDLHCDKCRVTPLMREPN AAATRESRDRIQFCALCDGRPETRISNSTRQLPPPTQSSSMIVNNQEYEEKETLNQSD EAALSISDLLLKGYSLLGENCPNSNCKGIPLVGYPKKSNGMKDSRKLCVSCNSRWIDE SSIEKEGMTIINQSQESPKSKAKWELYGLDSFGNAQINQSEGKGEKKVLDNEEFDREA RDSVKRLQSGNTEKEEDDVNMEEIDKDFDDESKAKAQTSSNMSSHPIRPMPTPRPINN PIPPTSDSQLGQALESTSNSLSSTLQNLSSSLENHTSRPPIQKRGVVEPDSGKWFVDL KLHTEAIKDVLGVLGQVERARRVGY
I206_03409	MSSKPFVAQWGILGCGWISSEFVTDICRSPSSRGINDISHALAA AGSRNITKAQEFLDKYCPKGAAAQQDGLVEFKPKAYGSYKGVVDDPNVNIVYIGTMNV CHYDDAKLALESGKHILLEKPATLNAAEWRSLVSIAKEKNLFLMEAVWTRFNPVLLSI QKSIHQDNVIGDIRCLYSDLSIDALGKRGDEDRLLSAELAGGPLLDLGPYPLVWTMMM LYRHPKNDRTPPSKIGSTMMLHHTGVDIATNFALTFPKITAMANCTANLLSPTQKSQH TRIVGTKGEILVQGITSRPQSYIIRRLKDSTKENGEYIEDELIDMSFKEGGLVFEADH VAKCLKDGLIESKDMPWNETELTMTIFDQIRKEGGYEFLPGLERVKLD
I206_03410	MPNFLKFFRSSAPHPVRQKAKNVISNFARQNGSSQTDLKGKSKA IWQDPAEIGGDLLHDVIYICSDVINESNILMKFLTNQNTNSSLLTQIMILIETQKQEL EEINPYTGQRKYPALITLEQIYHKVNEDVKETTNLSGRNAEKKLSSLIQVLQEGPDYL IDIIFITTIIHQASIISIQFSNEIRGILDKAINDHSNLDGFRIAAQSIIAISSAINRD LLEDKESEEAYKKIGVLIKLLQARISPSTKSTQIPSIPANRSISTSSDSASSIIPNSQ GSISSTSSPKFTSLSTKEIQNQYGTGKNLPGLSSYQSTTYPNMTNRISSVEFDDSNSK SSSEISIWEYRGQKLTSSALQLILTEEIQLFSDSSGQYSGEVTYDDLRKTWIPKHFEK LKKSNLKEEEDGEIPDTTNLGFKLKEKDEWGNKIGWYDNGSGIKEMYYLEEPSFELKD DNDDDDD
I206_03411	MSAPAGYLSDEMIWTDKYMQDARHTCGKALSSTRTQIKWKIPSF TQLLLLSHQNHTFARNGYATRLLSLLHHQLSNLPPPPYSFGSLPEVSATTIPKAIGSM LWSDVGSTFYSKCTSSSDRPGWVVEKPLVTELIWKILPPQSTKLDDGWEWLYLDDLPS IGAKLSNAAKLDLSKRDTSQKTLFQHDPASEGTLSFIPTKGMWQRPLIEDPEPVGLRF IPSDSQEQETIIIFSMRMINIGDRLLITYIHNLSPPQLPTVLKAMDILGHKAGQTEGW IWGLSASRPNLVKTWQGLEDRQVKTGQRQEIDGHLLGVAWYGPLEENGELIDGQMWTW A
I206_03412	MSINERGGRGGNRGGTRGGRGGADSFRGRGVWRGGPPPGSISRG SSPAVPSSSGSPAPRQSINDHMNDMKKIAHDDKARQGKGFQTDTDISKSSGPVERELK PWVPDATSPPPSNGPAANGSAARDQDTFGTLVTGVPWDQFETNERLFGAKTDYQEELY TTKLNKNGVDYKRREKEADKLANEIMGTVTKNTHIQEERGLQDSTKDEEEKYSGVVRA PGSYVPPGARKSFGQGGSVPRISAPTGPAAPKTNGSTAPPSAGLPPKPLSPAPPPAAA VAVVPPPNPRSTSEDPSTTVSGATAAPAALPAQPAEAPAIPTVTAPADQKTDGQLGGV VDQWRQFVGTERERAEAKKQSHMKTERERQLADLKNFHANFKVPLPMPKDILPILAKD ESKQKDIEAKAANQLEKAKEDRKTPAGSNSTVKSPIKSSAPMEQAKTDQPAAKNLPPK KPFMKIPEIPPFNPANKRTSALPTSTAAITAGIEKIAIAETANQNIPQQSNSPTPSMA SLASASQAKLNPKANTFVFKPSAAVFKPGQSSASPANVPRQLPAVTSSVSSPAPAVAT LKNPFFKDKVPEKVSVDVRNDFNPWKHGSGSVPSASTVGLQWPYPGRKSHIPSFNAGG PFGSAPVPPHFAGPGGPGSVFDDETNGGSPSPHPPNPMVGGMQPYPGYGYRFNQPGVP PQFSGQMNSPMFSPGGPQFAPPPGQAQHQQQQHHPMIHGGGPGPQANGMPMYFQSGMP QNPQFIPPQHMQFNPHTPQRHGPGPGQGPGGPGGYYSHQNVSTPHQTPQMVPHNLPQF QQQPQQFHAHPHSPMQVNQSHPGGPQQQGPPSGQGSGPGSVNNHNGNS
I206_03413	MSAKDYYGNQQQQQYGGYPQQGGYGGPQGGYGQQQQQGGYYPPP PQQSYQQPGLYVQQPPQKSGRGAGGGCCAW
I206_03414	MIIPILNKIINDDFEKSKSKSKLIIKKQNKFKNVQNRLKMGKSF ESNDKKIITLPECLVEEEQDKRNEIIIRGDSSIKEISSIQLKDEISTITSTSTSTSFS AKSTMYLQSFPSNFPIQTIKPIIKNENNKGYLFLKNSQVIDSMLIFITIFTSCLILTI IFLKINSIFFKNKKENKGKIDNVIMEEMKWAEGREVIERKIIYENNNNNDENSLNERS KIKISERGGRKKKL
I206_03415	MIMTSSPILTPSCRSIAHTPSPSSSPQLRPVASTMIKPTSNTSS SSSAPSQNPFSTSNGTTITASSLPTRSFVRGPQARPYLATKTPKTVEGDTRKFADLVS KMVNQFDQQKRKEELIEMIENVNLSSSPKPILGGSLNVPKRNKSIGGGSLAGSWEVER AELIVDIPVWSPGCFQDLSTLHALRDTTLSHTHALLSHLLNVHNTPATYRLLARSCVQ PNHHDHTSHHHGWGCIRLAPSISSPISAPLELKPRERRASLVHTRSSPNTRLELGGAG AGRGNSKSPTRYGQMINDKVIVESEDEEEFEIKLREGYSRSNISTDSESEDDEEESAD FIVGREIEKRGGKEGMAFLMTLFGQPALILT
I206_03416	MSQNKDIEQSIPLTSMPRASDVERDLTHGEHIEVKIAEPRAPSN QPKKITIPAIIIIPIWMACSISVILYNKYIFDRFDGLNFPYPVFLTTWHLIFSAISTR ILQRTTTLVDGAKDIDMTRDRWLRSILPIGALFSGSLILSNYAYLSLSVSFIQMLKAF VPVAILLISFAFKIQEPNRRLMAIVLMISTGCALAAYGEVHFEVFGFICQCAAIVFEA SRLVMIQILLHGMKMDPIVSLHYYAPVCAVINAILIPFFEGLEPFYALHRVGLLVLFT NAGVAFALNVAAVFLISVGSGLILTLAGVLKDILLITGSVIAFGSPIAAIQVFGYTIS LGGLVIFKTTGGK
I206_03417	MASSIASTSLRRLPFKEAINLLRNGNDNLILSNDIKSITIKFIA KNSESGPRQFLKNHLPKISYINPKIEIKINRLPDPRSKSKDPKLIKINKEINWENGIM PKPEMEIGFVGAPSQTVPLSHLDGDKILAQLISVAGEERVRAVDGPNTL
I206_03418	MPPLRSKKSKQSRFPVARIKRIMQLDEEVGKLASATPVMISKSL ECFLQQLIDETCKETRSRGSKKMTAYHLKHMINASETFDFLREIVEPIPDPILTEPKA GPSKPRKVSGPGLASGSTMNESQNIAPKKRIKRNNQDELQPNGNAQEGFSYSQVQAGN GYSNGEQQNNYSQYGTTPITNNLPNIGTWKSDFSGTGGTGENGRGLYDDYEEDEDDY
I206_03419	MVARKFFVGGNFKMNGSLKEIETIIERINEAKFDGEVELVVAPP ALYLLKIQEELKPPAQVSAQNSYTEKSGAFTGEISPNQLKDANVHWVILGHSERRSLF GDTDKLVADKTKAAVEAGLGVIACIGESLQEREADQTQAVVERQLEAIANEISESEWK DIVIAYEPVWAIGTGKVASKEQAQEVHANIRQWLAKKVSQTVADQTRIIYGGSVNGKN SGDLATAADIDGFLVGGASLKPEFIDIVNSGKA
I206_03420	MKFIFQFIIISFSSLIFVLSNTFKECQKPKNQGNQLEGCPNGTL YVSQNDPQAEFGTIQSAVESLIDDHSNQYILVGSGSYHEVVNVTRKGPLTIMGVTSSP SDYTANTVHLFNSSYINQTTQNAFQDNADAVVLTISPSRNASLVGSGPTGAPLQPLFG NIDFRLYNIDIANRAVNTGPSAALFVSYANASFYQCTFASYQDTLYVGRNASSIFVGG EVVGSTDFIYGFGTAYFENTTLSSRNTGGGLVAWKGSEEFYGPDTFGAYFNNSRVVKA ADANTTLNLTGTRALGRPWNNQSRVVFMNTYMSDIVLPQGFIEWSPSDPRVFPNVTHF IESGSYGPGWNPSARNYSVESVISPEEAQSKYSVSAVFGEFPNWVDSVYVYN
I206_03421	MTYPFSNSDEIHLLYVLLDSNLPTGGFVSSSGLESFSKHGFLSN NSPSYNNDNNYKRNITEGIIEFSKSEIENYSNTTCEFVSKAWKIINNALSIIEFLENK NENNDNDNDNENIINKVIKKIIKLDEYNEITLLSHVNKRSSKAQGVAMLTLFSRGLSE PFLNLNNNDDEENENQNENENRNENENYQIKLKKEKEKKEKITKMIIEEYKKQIRKNR SPGHLSICWGVITACLGLSLNRSIHLHLFLHSRSLLSSAVRLNLIGPYASSQLLLYPF KKIINDQVELFFLKEKNENQKSKNFENSFDSIFSEINYNLIDDEEEEESFWKWSLKSE NFGPSTTWPLGEILSSRHDLQHSRIFNS
I206_03422	MSVEVEAPRKLSAFPRPTSLYVPDVPRSVPILDTTSFSPYPTFP GGRNRDPYGLNAYLLHPDDSLWHYVDPMPDSKPPTGPVEPIRSTSLEGEQRSALPKAK SIRKQRSLSLIKKPSPLSQPPVSSEEEDEVDNKPVTLQRRRSNSLPASPVIEIELDDP VMAELNDEIVTTPKTPKSGKKSLRRVFTKARKSVFGTIDLPTDIPPVPLISDSPAFLA PATTSFSSGSTDPSTPMTSRSNSNFSTVSSASSTSSSEGVKTPGEGFSLEVAITGSKL ADALQEAGEGKNKGKKWRGWLGGKKNGKSALVRDLDNSGSNTPPSDLSAESTPNSSTS DLLSSIPRVTLTPSPAIITPPRGSTPVLPPSEQLARQHTWASEQLRRVSMRKLNQLRS PSPHPLALSLRRQNSRLPNEVAFSIRSDQRVFPMSVNSHKGLEGDLAPAQGGLWLNIA IQSIMIRLDQGEQPDALLKTRKNTKKSIVPRPKGALDFVNRPPYEERNMVFYPDNTFS PIVMARPGYGVWDLDFSPYILGLSEIYEPSTLSWPALPRTSAENPNLPTEFLEVMKAL EGESLSASEESIEILKTPDLQPAQDSSAALKQLTANAPPIDLAPLVTEAVSPKREQPL SSFQPPGRHSMQNDAGSSASEEDESEEEEDDDEPLAVIAKRRSRSFHSQPNHQAPTSG SNLAVRPTSTHMRSHSQPAPADKISSKRLSMSLEAEMKFKALQAQDAMSQVAKARELR AQNAAGHMERLADRERLKEKESKRRLSMMDLRENPSASTSANKHKRNSSASAGNYLST PTTTICKQHEDIARRRVQSHSHSYTHARSHSRPENNRANSSNNVITAGERKRYSSFYE QKANSTTSFGLNPPPQIHPHQSQHFVHQHHQMVLPPQHLNRASIYGNGMIYLPQQMQM QMQMGLYGHPSQISKVPQMNNNRPRMT
I206_03423	MTIKQDNELLALDCQHLTYSWVEGDEPVLNDVNLDLKKGDRCLL LGANGAGKSTLLRILAGKRLTKTRSCKILGQDVFMNPPGGVVYLGTEWSTNPVVRSDI VVSHFLDSVGGYRHKARRDRLLQILDVDLDWHMHQISDGERRRVQLCMGLMAEWDVLL LDEVTVDLDVLVRADLIDFLISESETRGATIVYATHIFDGLRKFPTKICHMQLGSTPR GTMTWPPSNGPEPEQDLFNLALGWLREDRELRRVKEEAKGRIRGPKTDVSYQYRV
I206_03424	MADIRQRPTGNEKEQVKVPLPQGKQIDKKDVNKKAEHFQTLDVV RLLLSLAGLSAVAWFGYKTLYPFLFTPSSVIETQTNLPGSKFGKKGNSVSSGEVFSPI GGIKLKADVEKRKAVKDAFIWSWNAYVEKHAWGADEFSPLSQTGSNLTSAGGVGYTIV DSLDALLVLDLIPEYERARDWCENELSFDKDATFNTFETTIRILGGLLSAHYLTSTHS SSKIQADAPLFLNLAVDLGERLLGAFSSPSGIPWSGINLKTRNGIPDRDNQNVASLAE AASLQLELKYLSHLTGDYVYWKKAEKVTEIIRSQAVHDGIAPIFINPTNGQFVASEIR LGSRGDSYYEYLLKQWLQTDREEPVYRDMYDEAMGGIKKHLIGQTHKSGLIFTQELHP ARHPRDQTQTWQVVPKQDHLVCFLGGSFILGITEGGNREVDWKHLDEREMEDFVVGKG IVESCMSTHETATGLAPEIAMFVQWSDEQRAANEDWYIKPNLNGVLIDGRNILRPETV ESLFLAYRSTGDEKYRQWGWEVFQAFEKWCKVSSGGYAGIEDVQTVPPKQLDRMETFW LAETLKYLYLLFDDSDHIPLDKHIFNTEAHILPVFKPEYISSFATS
I206_03425	MASSAKKLQSKIFGGTGQPSPSSTGSGGIEQPTNDSPNGSGNVT PLSGEQLKINIPAPQHSQPSEKREKENLPKRPSLATSETPGPPELAGSPHPKDGGSDT DTPGTIGQDRKSLRERLQKSLGPRYRSVEEYRLDQSDRYDVHWKRWGPYVSERQWGTV REDYSANGDAWNSFPFEMAKSRAYRWGEDGMAGISDNHQRICFTLALWNGKDPILKER LYGLNGNQGNHGEDVKEMYYYLDSTPTHSYMKYLYKYPQAEFPYEQLKEESRNRSREV NEFELMDTDVFDEDRYWDVFVEYAKDEEFADALSIRITAYNRGPDPADLHILPQLFFR NTWSWPKELPKNMPNVRQEAEGVIQATEESLGSTRLYCTPSPAPAAPAKGGVVLVDGP SVVPDLLFTENETNFERLYNGKNRTPYVKDAFHDHIIPSHRPAEPESPKSEGLQGKSP SETTGSINVTDNNVENIVEPPPRPTSKYGGRQFVNPEKTGTKAAAHYEFKDVPGKGGC VVVRLKMTPYSPDEDPSILDEELFDDNIEERRVDADEFYGMISRGSISEDLRNIMRQA LSGMLWTKQYYQYIQKEWMEGDPGQPPPPPERKWVRNREWKHMYINDILSMPDKWEYP WFATWDTAFHCIPLAMVDPSFAKKQLDLMTREWYMKPDGALPAYEWNFSDVNPPVHAW STFRVFKIERKMFGREDLDFLERVFQKLLLNFTWWVNRKDADGNNVFEGGFLGLDNIG PFNRSEPLPTGGTLRQADGTAWMAFFCLNMLSIALELAKHNPTYEDIASKFFEHFLYI SDAMTFVGSNDEQLSLWNEEDGFYYDAIQWGYGHSQQLPVRSMVGLMPLYATLVLEPQ VIKQFPGFKKRMDWFIENRPDISTRNIANIREKGRGDRRLLAIASKERLVRILEKMLD EKEFLSEYGVRSMSLYHHENPFSMNVNGEEFGVGYWPGDSKSGMFGGNSNWRGPIWCA VNFLLIESLQRFHQYYGDTISVECPTGSGDYMSLAGCAEEIQHRLIHIFSRDEHGRRA VNGGNPKLNRDPNFRDYVHFYEFFHGNDGRGLGASHQTGWTGLVAWSIMQTGEYCRLP KTPRTPRSVAKHYFDENLNTPSEYNAEDGSLYSAYSTHSDIDNPEPEDL
I206_03426	MKFLTLFVLFLPFLFSINATPSLFGDDSNSSSSSSSSSSSSSSE SNESTTSDGTNTEVAFLQTLQSAQISSMSCLITLVNLTYSPIGECLGLTELSTLISNP SSNTSFSNQLNDYLTNVCSKSCNNDDLNQIKNQLYSSCDSQNELIGVLIKIIENYQSS YKTLACQVYFNGTSELCLPSTINSTDQANNNQFFNSLLTGNNLNQYNDNVFKSSKCTG CMYELFKAAQYTTPNIRGNDITTLFGNHLKYDCPNDSSNPGNINWDDVDNQQIPNSLT VSQNTNPQGNGNSSNAKIKISKYINKWIEIGLIMSILGFIL
I206_03427	MTFTTNDKMDRSFLTASIPELIKQMTEEEKISILAGKDWWHTVP IPRLNIPSIKVTDGPNGARGESFYHMCPATALPNATCLAATFSDELAEMSGSLLAEET KARNASCLLAPTINIQRSPLGGRAFESFSEDPTLSGLMASSYINGLQNKGISATIKHF VANDQEHERMGQDSIISPRPLRDIYLKPFQIVQKKSKPWAFMTSYNKLNGIHCSENEL LLQNILRKEWNFNGLIMSDWYGTYSVSDSLNSGLNLEMPGSTKWRTKELISHSIYSHK IDTRILDKRVLEILNWIQKLSKLNENLVYQKPKSEKTRLENKEEDSKLIRRIGGEGIV LLKNENNILPINKPQNIGIIGPNAKTKVITGGGSAQLRASWSISPWEGLIKNKPDGIE LSYTLGAATWKFLPILGDEFYTTDGKQKGFNLKHYAIDENGKQVQNPAVEEIWDTSDL IMADFYDPNLGEEYFTEILSLFKPTKTQEWEFESVVTGQGWLWIDDILILDNSKYTHK GSAYFGSGTEPKKAIFKVEAGKTYKIRYLHDSRFPSTLLEIKSTPIKMIGVRLGAFPK IDSEQAILEAVELAKKVDVPIIIAGLNADWESEGFDRPNLSLPLKTDELIDKVSKVNS KTIVIIQAGSAISMPWINQISGLIYSWYGGNESGSSISDIIFGKLNPSGRLPLTLPIK ETDIPSYLNFKSAKTKTYYEEGIWVGYKWYNEKQIKPLFPFGFGLSYTTFNYSNLKII NITNDIVNADEWKLEVQVKLTNTGNKIGSHSIHFYTCPPEETSTSLKHPKQTLQAYKK VKDLKPGASEIVNVTLDKYAISHWDERWNTFRAEQGEWSVKIGKDAQTMIGEVKFTID QELEWTGL
I206_03428	MSSSTREEVAPLLPSTNADSEPSGLRGESSESTPGPAGNLDMVP TTRDKLALGSIGAGLVLVLGTTWYLVFSGDLKAMGWFAVHPPMQSLAITAILLGITPL QPPSTNSSIKQNRFKSHQSIMLGLALPILTIGSLAMVYNKYIHGSSHFTTWHGKLGLI SIIWIITQAFIGASSVWFNGKLFGGKENAKKVYKYHRLSGYLLITLMLFTIYLAGIHS DWANGRKHLNLRILAYYVGLPLIWIGLEAKQDEIHLNEIVRSHLQSP
I206_03429	MGLLGKKSNEYDNAGIGHTGTGVGHTSNPYTTGNPTLTSNQGTY EHNQGSLGHHTGQGISGTGTTAGGNINTHQPPIVGTGQVNTGPAPLHNGHNGITPNSK EAIKLEKKGQREEKLGNLLHSTSMQQKGATHLSEANHLKMQASELNEAERLEHEAGLR RQRAVGLGADPMHAHGQTGHGPHHI
I206_03430	MHHDKVQLTSMSTCTDKATTERNARILRDLVKQPDNKSCADCRK NDARWASWNLGVFLCIRCSGIHRSMGTHISKVKSIDLDIWTPEQMENIQKWGNRLANL YWEKHLKAGHVPPDHKIESFIRSKYESKRWAMDGPQPRDPSVLENGSGETNEAPRPTP TTSAPTPAPAAAPAPKHHPLLSRTTKPSPAPTPAPMVDLFGSDEPPSLAPPVTTSAPP KPQSTAAGSSAPAPGQTAGSQPPAPTGNSIFDLDFRTPTPSSTQNQPKNAKADIMSLF SNSTSPSNVPAPAQNGFFNAPPPPQQSGFGSWNGGITSSAPPQQYQQTQSALPVSSSG GWGGALQMDQNAWGSSGSQSQSQPQPQQQQQQPQQQQHQQQTGGFGGNPWGTSGNTST TADPWASSNTSTNNSGFFGGAPNTGLGGSAPQQKKDDRDPFANIWG
I206_03431	MAEITEGDSNFDALFKAFVMIVVSEIGDKTFLIAAIMATRHPRV TVFGGAFASLVIMSILSAALGKVILGLIPKVWTLWAASLLFLVFGIKMLQESFQMSGG NSHIQEEMREVEEELEEDSAFHDSHNARGTSNSSSSSQIPLENLEEGRISNKHLNDSP SLKNWIIILKENLRNTIQLMTNPVFAQAFVLTFLGEWGDRSQITTIAMGGAHSVPVIA FGTILGHGLCTAGAVIGGRYLSTKISVKHISLIGSAAFIIFAFLYSLEAYCYKPELDG AY
I206_03432	MSAKTFIFAAAAVIGAAVVKGQAAPNWQYIPSACQDQCSSTLES AYTCETTYSSSTEVYGCFCNNYPTDVDACSSCMNSNDATALASLLTSTQNACTTAKQQ CFFECSFDTCASSDISCQCDATYLANIYNCASCNTANGNTGATQLTDFQSLQASCANQ NFTGADQTFTTSGLPSIQTDGYSAPSLTASGGGAAATGDASELAGGSATGSSDAAATT DAAGTTAAVATASLAATTGASGSASKAASATGSASKAASSSGSKTSASASASAAASSG ASSGALNLVAPAFGGVVGLVGAVVALF
I206_03433	MSDFRRDKLILLVDTGTSPHIRRTAAKQLAELTFKAFLATTQPQ PQHEKDDDIKPDIESNGDSHVTISSGGNEEDAWNDVLETISKVLPLLRSKVSDTRHAA AYALGLLASFLPEWSPPSATNFGIGEFPSPVDLQYLLKNGSTLLASAGREYIAKPLPG DKAKRRKAMMGSIGLGDAVGWGEDVDKVIGDEDDDMNDGEKERSTAGPSGEPSVTSQP AKDIFEGLSARQITMLKRKKGNMAEEANNTPASRATSPVSTPPEVPSSNNVNQEDTKS EVITIDPGAKARAAGGTGGQVEPTVDADGNPITTSSTRILTLVKGQIPWTTVLLELSP GMHEPLWQIRHGSAQAIMEILRFLGHSYSSKEPSLLLHLARQLLSLLVLDRFGDFVGD TVIAPVRETAAQALGIVLKYIDLSGVKEIHTTLMGMVKQPWAKRGKAAEGLDKSEKFA WEVRHAGLLGLKYEVAVRNDLLGVTKIDEDVKTETDVKPDIGAAELNILKDVVEAGIL ALADSDDDVRTVAASALTPIPEIIASQLPQKELNDLLTTLWDCLKEGGDGLGSSTGAV MDLLGVLIKQEEVIEYMCNHSRRDPLPSRIYKFMRHPIATVRLSVIKILLALGKNTDI ENHWLEDNYTSFLFQNLLLEERADIRELSLEAFNSCFEQVAENAGEECGLLELEDWFN LVMTPVGAPFDPVLFKKAKGATTGHNVDKAMMAGDMSLVSMDTALQTRLMGAKALGHI RGYYDEDDDESNDLSYLQQYLDSASAHQVYIASAILQEWATSSDRLDPEKNFTRSLGA SDDLIKPLISTLVQRIESPPPATYHEMSVILQRIYTECQALLNAFNVEGKIPKDRIPT LPSKIDPLNSAPDVFSLITAQQAIGPTFEGLSKLLIKPAQKVALNALKDRQRKVMGSI GYFSVMKERYDTQVMAGIAGALVALRVMPSKLGPVIKSLMDAVKKEENEILQTRAATS AAAFVKYTTTPLFTGKVNPSDKVVKNLFTFLCSDTSVTPIFASGQGSSSGIISLKEER ALSANASKKGVGKDVPEESEDQIAARVTRRGALEAFKALASQFGDKLFEGVPKFWEGI STALISNFAPGANITEVDKRLAENVQAGQDIVDCLTSLRLITPELDSALHSRLHMLFP PIILALQSSFAVIRYTAAKCLAALCDVMLNEGMRRVVDDVVPLVGDATRVFSRQGAVE AIHHIIKVLDIKALPYVLFLIVPILGRMSDPDEHVRLLSTSSFASLVKMVPLEAGIPD PEGFSAELLAKRDEERKFLMQLLDGSKAEQYQIPVEVKADLRQYQKDGVSWLAFLAKY QLHGILCDDMGLGKSLQSLCIIASKHHERAARHLHTKSVDTSHLPSLIVCPPTLTGHW YHEILKFTPHLKPLQYVGTAGERTLLRSRIPFHDVIISSYESVRSDIAELTKINFLYC VLDEGHIIKNSKTKLSVAVKQVKAQHRLLLSGTPIQNNVLELWSLFDFLMPGFLGNER MFNDKFSKPILVDREGKATPKEREAAASALEALHKQVLPFLLRRLKEDVLNDLPPKII QDYYCELSSIQKHLYDEFSKSQAAQEAGEEVSTETKAQGHVFQSLQYLRKLCNHPALV LGDQPDRFKQIQKKLGAESANDIHDLSVSPKMEALKQLLTDCGIGQPIDKLSDDVNQH RVLIFCQLRPMLDLIERDLFGKSMPSVSYMRMDGSTDPKKRHAIVQTFNSNPTIDVLL LTTSVGGLGLNLTGADTVIFVDHDWNPMKDLQAMDRAHRLGQRKVVNVYRLITRGTLE EKIMGLQRFKLNIASSVVTQQNAGLGSMNTGEVLDLFKVSAEGEPVKSKTANNSGPTS MSKMLEGLDDLPPEEEYAELSLDNFMSKV
I206_03434	MAPPSVPAFEGVVPSSDIPPVQQAVSLAPAAHAAVDVTPPASPA LPSATSPRPTVNRAASFVAPASRPLGSLHPPAILKGIDYEGMPEEPKWEDAMGEPDAV LELADGLALAGHSFGAKKSVAGECVFQTGMVGYPESLTDPSYSSQILILTYPLIGNYG VPERPNVATSKIPTSEDAHNVPPPTHLLDSLPLEFESSHIHIAALVVANYHPSYSHHL ANSSLGQWLKEQGIPAIWGVDTRMLTKRLREGGSILGRVLAKQGASAVEGQQRGRESQ SGVLGGVSRLLNGLSAPSMARSNSTDNVALNWKEDYETIPFHDPNGINLVAKVSTQQP TIYTAITGSEQKVNPRTGKQLRVIAVDVGMKWNQIRCFRERGVEVKVVPWNYDFNSET EPYDGLFVSNGPGDPSMVKETIANLSKALETSKVPIFGICLGHQLLALASGASTRKMK YGNRGMNLPCTCSSSGRCYITSQNHGYEVDVTTLKNGWEAFFTNANDQSNEGIWMGKN GKPFFSVQFHPESAPGPRDTEFIFDVFIKSMVDSAREGKLVPIDMPGGELADNIAARP KEHVKKVLVLGSGGLSIGQAGEFDYSGSQAIKALKEEGIYTILVNPNIATIQTSKGLA DKVYFLPVTPDFVRKIIKHEKPDGIYCTFGGQTALSVGIKLKDEFAGLGVKVLGTPID TVITTEDRDLFAKAMEEIGEKCAESASAVNLQEATEAANRIGYPVIVRAAYALGGLGS GFAQNDEQLAELCNKAFATSPQVLVEKSMKGWKEIEYEVVRDCRNNCITVCNMENFDP LGIHTGDSIVVAPSQTLSDADYNMLRTTAVNVIRHLGVVGECNIQYALNPYSKEYCII EVNARLSRSSALASKATGYPLAFIAAKLGLNIPLNEIKNSVTKETSACFEPSLDYCVV KIPRWDLKKFNRVSTALSSSMKSVGEVMAIGRTFEETIQKAIRCIDDRFSGFGEHLDV EDIDHEIANPTDQRLFALATAFRRGYSVEKLNKMSNIDPWFLTRLERLSKTEKLIGTY NASTVPNQLIRNAKQLGFSDRQIAKALNSNELAVRRLRIEAGISPFVKQIDTVAAEFP AFTNYLYTTYNASEHDVTFEDNGVMVLGSGVYRIGSSVEFDWCAVRAIRTLREQGMKT IMINYNPETVSTDYDEADKLYFENISLETVLDIYDIERSSGLVLSMGGQTPNNIALAL HRQNVKIYGTSPEMIDTAENRYKFSRMLDKIGVDQPLWKELTSFSEAKSFCDKVGYPV LVRPSYVLSGAAMNVVFSEDDLESYLTQATDVSRDHPVVISKYIEEAKEIEMDAVARD GKMVMHYISEHVENAGVHSGDATLILPPQDLDPETIKKIEIATAKIGQALNVTGPYNI QFIAKNNEIKVIECNLRAARSFPFVSKVTGIDAIELATKVMLSLPVTPYPDVKMPPNY VGVKVPQFSFSRLSGADPVLGVEMASTGEVACFGKDKYDAYLKALISTGIRPPKKNIL LSVGSFKEKLEMLPAVHKLHRQGYNLFATAGTSDFFQEHGIPVKFLEALGSENDLNPQ KAEYSLTQHLANNLIDLYINLPSKNRSRRPASYISQGYRSRRMAVDFAVPLITNVKCA KLFIEAVLKKPTFDITSVDYKTSHETFSFPSLVSVQAFVPGAAEPNSNDFSEASQAAI RGGFTVMQMVPQGVNSAVEDEISLQRAQANATGASHCDYFFSVAATGDNASRVQDALA AGAKALFIPFNNFFGSVNKVTSVAQHFAAWPADKPIVTDARATDLASILLLASLNNRS IHIASVSTRDDIALIALAKEKGLNVTCDVSIYALFYSQADYPTAKCLPSAEDQQALWD NLATIDIFSVGVLPYELGTALDKPVSASSGVAESLPLLLTAVAEGKLTLDDVSLRLSE NPRSIFGLPEQSQTYVEVEVNRKSSFSPSDNKTWSPLDGKSIAGAIHRVVINGHSVFL DGLSFSMPLGRDVSSAGSTRPPAAKQARGSFLSQKRPSITALMSPTQERSSSFGPPAN DKLMSLSSAPVNTSPVRNLLSLTTSQAFSRRHILSVKQFDREDLHVLFNLASEMRAQV ERSGSVDTLKGRVLCTLFYEPSTRTSTSFEAAMKRCGGEVVQVTASTSSVQKGESLAD TIRTVGCYSDAVVLRHPAVGSSKSAAKSSPVPIINGGDGIGEHPTQSLLDVFCIREEL GSVNGITVTLIGDLKNGRTVHSLVKLLSLYDVTLNFVSPPSLTMPDSVKSEASRAGVK WTESTSLSEDIIAKSDVLYATRVQQERFENQAEYEAIKDIYIINNDVLAKAKESAIVM HPLPRVNEIDPEVDFDSKRAAYFRQMRYGLFVRMALLTLVLGA
I206_03435	MSLSRPSTAFDSLAVEESFFDRERSKLIDEISAGFEELLGHTNV LNRKLEEVYGVGKEFTTVAALWGKFRELIKEQQTELAQSADVGVPGTGSTNFTSSVAN KNTS
I206_03436	MNNKSIERPQLSIEINHSNNLSSDSIHTFTSESPLYSASCTTPS SSLPTLSRDSIASIDSYSYSTRIQTPINNQQQASSSTSFYPPNTASSITSFQSEESYD SKMTTTNILSNHQPYSMPSDYNCNSTFNDPHSESQVLSHPYQLPIDYLQETTNHSAGT YEPSLSHAQHLGISGWAPMLSSFTINPQLMEATPSRSLSPESNATLSPEAIATEDIPV PRTIAPRMVIIKSASTTFSTDEWYDGPSVWIRSVAESHKQRREAYLANPKAWPKGEGE PKELQPLTDHCRFPHLWEVEQARKDLTEEAVVIKLMKKSEATISKEFARCQKAGEEFK PPRPMNSAMAFADFRRPQWGDMYTDMKTGSISTWLSAEWRALKDLRPEEFDWWTRVSK KYWDKYVEDYDYKFTRAPNGEGKGSKKRKAKAKENAAREKAIRLSNEAARRSSSRSIN NGNRRSGNSRGSLAPPMNIILPEHQQHQQLHQFQPFGSPNVLGLSGLPQHHMTPTTNI TPGGTSMPYNTTGYFDGYTFPSTEGSRTPSPPHLLTPLDATHSSHVHSPGYHPQQAYF YPTQAQINYAHHHAQQAQFQQQQQHQINPQHQQLQINICNTGTYFQPPSQTIMNNHEF FTHSQHHQQTPTQGINHHLTTLAPNNIPSPQ
I206_03437	MSHMDPNIITPLPAPVPLSPVSRKIAYTNQRTNVLTLDSPPSTP PINLPSYSTTTASPAWLHRITSYMFNKLPPGVRHFIEQNTGLTLVACAQLFMVMMSLT VKYFLSTTKITPFTLIFVRMSITAIFCTLSLIFIVKDKNPILGPEGVRGLLFLRGFIG FISLLGMYQALKGLTVSDSVTIQFLAPTVTALLGFFLLGEKLSKKEIFAGFCCLTGVI LVSRPTFLFGNLAEDIPPISGGGGTRLDLPPPPPGEGDMEGTQTPQRATSVAWAFVSV FGTAAAYTTIRGIGDKAHALHSIGYFSYLCTICTGLYMLIDPHPLVFVENLRDFLFII LIGIFGFCAQTLLTLGLQREKAGRAGLALYTQVIFSLVLEFLIWHTIPSSLSALGTII ILSSALWATTSKAKAAEKEREFDPEALPFSRSPSPIPPPQSNRPTLRGEHYSYESVPT SDVEAGNGGFVIGEEEMEGGSRTSSRKGSGVLLNIPPSVVMLRRGSNASEI
I206_03438	MDDPGPSSNYYRSFASTADGPIHPPSPPHSPLLTSTVPEHTHHT DLYALLNLPKEASELQIREKYRSLATTFHPDRQRNDHDRFIAHERFTEIQRAYEILLD ENKRMIYDLFGEEGLKTSWELGPKNKSKDELRSYFINKEYEHKSMEAEALIKSKSDIE LVLDARAVFLPKSFFKDPNVIPHDPISRIRRIRPGRSVMKHSFETPLTTFGFKNLQCM IEGQSLSRNGKGGSNILGTIKYQFSPKLWMEFSTSALQPRVGRFKGTWTVDEDQYITW NLVQQTLGNPPQVGLTYGRRLYADSTGFISYESGSYAIGPWGKSPISIPSSLSVGVTT TRRNGAGWTVQTTAGSGASRIAADWSTKIPGGLRLKFGAEVGLGSSPSLFINADGKLT ENIRGGILLQCEVGGGVIMKFKFNRLGQRLSIPVLLSERLSPTIIFCSTVIPAVTYLG AYRYVILPRKKRRLKERITDLREENKEFIGQKKTEALDAIHLMEKDVEKRIKLERERN GLIIVSAQYGLSTSFTSRGIKESEKDEEEIIDVTIPIQALVQDSKLYIPGGKGKFNII GFWDPCIGENKALRVRYLFKGKLHEVTIEDTASLRAPVKAHALEVA
I206_03439	MAATGSPTATVNNPTGTVEPQFGTATTGTHDIEKGHRQNHPGGH VNSNLNEAQPGFPIYHKQRANPAPLGLFAFATTTLLFSLINAHARHVNLQNVAVGMSF ALGGLAQFVAGIFEFCVGNTFGMTAFCGYGAFSISIAISYWPSSGVLATYAPTPADPH RLNAAIGLFLMCWFVFTFILWLGTFRSSVGLCATFFFFWLSLLLLASGYLANSTGVIK ASGWMGVITSLLAFYVGAHSFLTAEAAPIQLPNPSLARKRV
I206_03440	MPSAISALDAGNPDGEDDSRKASKLAARAARFNKVLPGNRYKEL EEMRIKERKAFEAQGLIKVGKTQLGDAVDMRGTCETMCSEYEREFRDFTREVHPFERA SNEGRMDPSKAVAAYSRSDAGAGHGDSAILPSDLRTPATLVRTLDYLFSVIMTTPPPA TSASSSSSSTPRKALGYSAGFIRDRSRAIRKEFAMQSSWGHQEAIESFERIARWHILC LRELQEESGTNVDLHIDSAELNRCFTSLRQHYNDRREELNIETPCPNEAEFTAYMLIY DLNSKSVSIPFSELPSIILDNPIVKIAWEIRRAAQRNFDTQKEGSKHNAELGMNLITR FVKLLKRPNVPFLLAALVEIRLREIRRSALRALRRPYPALKSDAVRLNEMGEVVERKM ILLQSLNKILGCEEQEKEDSAWDDVDYLPKDPNRESVDVVKKFGFEVYEDQSGPVGSL INLGSTYDDNRDAPYTRRWNLISEKRGNMSYVDIVNGNAGTLITGSLSSQLAKPSSTS IFSAPQSKTSTSAFSFTLSTKPSIAKAAPAQKGPTFFAKTPPEHLSTVAAENKTSLPS RPPIPAESSKSTRAQPSNLIAKKEQDLYKQADNSFGPTSVAGAPTPKPPSSTFTFSPP TSAAQQTVNEKPSATNSKKRRSDGEDNAPPAKAPLFSSTGLFSAALAASTQPSMAFSS GLSAPAPMTKPTSPTLPPFSIPKPISPKEPILSVSTISPIRQRKRLASSVLSSSTSKL SSSILRKSRSEEDRERKKQLQALPDICDLLLDEVIESMISDHLLSDIERLVKQQKAAA EYQRRKVLRSEAILDWSKGVLEKMVDQETKRISRNALLEEIKRRYLTRRAIRHWNSWA KAQRCHREDSEKKRSDMLIHLKGMGLSKSTSRMIGSIESLEATELDDEMEEIEHLDGL QIDIEINHAERTKDNFFSPSTFLTAITKHVGPLLAEEASSESIFLPSFHTVISIPSFI YEGEVFGSPPDIEVQLWLNKKFIPPNQLDDDDDDDAEIFVSNNVQYNTKVLEYGRKVP SWDSIGLYVFQVPLESDDEEKNAENIAECQDRIGILVKALERNDTRYVPSLILLTFDE ETIEALGERLQISDELDKFARKSIVSLRYSDDLDERFSKALQNAIPELVVKDQFIVRM NDVISAIYPSWSRFLDISQMQLNNRIGDTQLSSLIFKNGIELVNSISSLVKETLEPIK FEDDRQWKPLILPEFKSEEGDMSFELVDRIVEYMSDDLLVGIDDIELVLGQLRQSAQL GHSLPIIPILQSLSYLTLSELNNLSLKTNLFFFQQNQNQNQNQNKFEFNNNLKNMNQI FINKIKIKYENILNKYINEIFILKFDKSFNSVKIKSPINSKIESSPSFQNNNNNNNKN DRKRKNSFNSNENISSIKIKKEETKFQKNLKLLKALKEVEKTLALNEMETNDLGIM
I206_03441	MARPLRSIFTVAQTSIAGPSSLRPLNPALKTASPYQVRTAFNLS GWDRYLPKLPKWTSEEEQPTKSEGGVTMIEEKGKEITNEETSTGGLFDEYSKDKDADQ SGMKKRRKRGDKPWTEHKYSSALHKISHRKLNDLSRQISNLPIDEAIVQMQFSEKRAS KWIKSTLALSRDHAIDKGLDRSKLVVAETWVSKGPKIARLDIKGRGKYGIKHHPSTKI HVLLKEGKTPEEKLAEKFAKDLRKVRSAGVVREDGKIRRKVISGWTW
I206_03442	MPPRSIGDTGALLAGILDNTQVPHQSLCVINDGLAFSGLNIYKD ILSRGIRRGEEITLISILHPPETLLPIGSTSTSSSKIRIIDLSNSISGYDSVETIDSI KDKILSTYTSGQIFIDALDILAEDYSPSKVISLVRNLLNTIKKAKAPSRLVLLLPPKS TLYNTIIPPTFNPTLTLITAHPPKLIEHLSKLYLSPISTIPSPNLWMILENSIKRSIN DDLSFKSDSSSIFEFDPNWYINSNAIIQVLIRKSIGGIKGISRSLEGIKLLEIGDNDD KQIKIVELDELLNLNPFNKPSIELGESKDKQINNHSELDLPFNLNLTDEQKKKRAQVP LPYAHEGEGASGDLIWEDEEETDDEEI
I206_03443	MPQKIILDTDPGVDDVLAILLSLASPELQVVLISIVFGNTHAPI AHGNLLKIYHSLAKEIESIPNAQARYGSLTDQNQKTILALGEDGPIGGEKSVAAYFHG PDGLSNITETHPEFTPPKLDPLVPHEHLDISSKPSYEVMLDILREEEDDSVVIVALGP LTNLAHALRSDPKTFSKVSRVVWMGGALDHPGNTSPVSEFNCFADPYAANEILEGCKK GLFELILAPLDITTPHAIPFSDLIHPSIMSFPNKTENEIKTNATPLELFISSMLVRVR GLQASFGLEDSMEMHDPLAVWFTLNHSNQKRNSNPLKGWELKQKDFKIERIGELTRGM CVIDKRGTGELNSDRSKDENLKSFGILSVGEKNENKGRMGENIEKSLQLPWIITKTPG IQELRKVLLGRVFGTKV
I206_03444	MSLPSLNPEKTASGIIVDPKTLERVIPQSRRKDGTVRKEQKVRP GFTPQEDVGRFRSNRQVQEDVRNSTKPTIPGSNKIGTQTSKTSSENVFANELKEKTKA QIKNEKRREKRREKISMSWDEDDEDDDQNNQDGGLGEDFKNVDKQRQLNNNTTFGSEQ SYPPLENNGGPPEKSLIDDLNENNENEKKENSSQENIITATTQIAPPAPSNSKPETAL ENKMTTNNSEKPSLLNDRKKEIKSHPIQGGRKGPIGLANPPPIEESQSQSKADQIDDW RTVKKPQRQQSNSKSGKGGKSQQQIRSNGNENRQSQSQIKPTSNTTTSQPRERKEHKI RQGGANDISSLASRVRNLVVANTVGNSKDKKEEPKTSAQNA
I206_03445	MPNRGRSSSVTNALKQIPLNQQQEQSYGKVSEVKPLSGKPRPKK RKASKDDLPDNYISRGFWDDLKTGRWMLIPSSAFLIMLISPILYWNHKLLTQYGILKP DTPNPFKYLLWISGELPNGTYTKSIYDFAFLAQHVVFWSFVRQFVTIHILRPMALSLG IKGSKIMRFLEQGYAVFYFTIMGTLGIFVMRGLPTWWYKTEYFWIDYPHKQMTWELKT YYLMQAAYWIQQTILLAAKIEKPRKDFKELVAHHIVTLWLIGWSYNVYLTYIGVSIFV TMDVSDIFLALAKCVNYVSEWASPPFFAFFVGVWTYFRHYLNIWILWSVYAQWNLIPE KERSRFAPLEDQWLVWWMKWQVFVPIFLLQLINLFWYFLIWRILIKAVFYNDLRDERS DDEDEPEGDQTTTEKLKAQ
I206_03447	MPPRLPVRAISTPLSAARYASSSTSKSPLFAPKAGQSSTASSSS KVNSNEPSSSKSVENKVDIKGKSKSGPQPIPPLPRPLGISQHPTSASKTWSQRKQELL DDDRHKAKRKALVKEATQGYFHDYNRAKGVGGGKLWIAPNVLIREDKALYFPDISGKS LLGNEVHTTDLLKGRVTLVSVIATRLSEEHEQSFTQPVLEDVAGHPEFNFVQINHQEN KLKSLLVSFFISSLKRIVPEDRWGSYMISGGEWSSMDITSPLGIDNKLLGYIYLVDQN LKVRWAGCGTATPEESQALRRATAVLLGRIKGEPALPEAGDRKAEVEV
I206_03448	MMRIPKPSTSTLISRVKPISPSAPILRKGYASTSAPPLSSASVV TTLPNKIRVATEALPGHFHAVGVYVDAGSRFESSRTSGISHLLDRLAFKSTDKHTDDE MTRLIDSLGSQVTCSSSRETIMYQSTVFPQSLPLALELISSTIKHPQMTSEEIAAQKE AAAYEIREIWSKPELILPEIFHTVAFQDNTLGMPLLCPESQLSKIGVGEIRGFMKDWY KPERMVIAGVGMPHNELVELSQKFFGDIPSTSMSTLASTLHTSNQPQPSGSKSFATVS NISTPSDYNDLVNAKAVYTGGEHYIENAEDQLVHLHIGFEGLGIHDPDIYALATLQTL LGGGGSFSAGGPGKGMYTRLYTKVLNQHYSVDYCAGFHHCYADSGLFGIAASVYPQFA PRIVDVIAGQLHSLTGQMFGGIEEKEFRRAKNMLKSTLVMALESRLTAVEDLGRQVQI HGQKVPVEEMCAKIDELTLEDLWRTANRVLRPTSSSSRLNYGLGSGKATIVAQGPKLG ALGDVKRTLKERWGLGL
I206_03449	MTSQSNTVSASSLGELHNDPSKLSYDPTLKLSKRHLEHAALVLD IFQGKGTMTKINNGFTEDSIYEDPVAIGHDRQEVAGQLLHISTVTSSTKTNKFNIIDL TSNVETETGSKRKVKADLIQVEFNHDLNFKIGPTYNLDTTLQIYSTEEGIVRLQDRPG DKIPDNGFAMALRKLNGVVAPKVAGVPQNQKEDAEKALKQDII
I206_03450	MSTSAFAEPDLLWTPSNPSQTQTSLFREYINSTHSLRLQTYEDL YEWSISHRGDFWSAIWDFERIIGEKGEQIVNEESSPEENPKWFKGSKLNWAENQLRYS SLNQDDIAIISTSESTSTYIPKINRTTWKELDKLVGKVQRSLKLNGAKKGDRIFYWGG NVIESVILLLASSSLGCIFSSAASDFGLDGVKERSNQIQPSFLFLTNGVIYNGIIRPL LPLLPKLLESLNESPQKVIVINHLPEGLVPELLELKGKIQGWDEWLDDSEGKTIFERL DFNDPIWILFSSGTTGKPKAIVHRQGGMLLDSLREHHIAGDMGKGDIYFYYTTPGWMM YQYLISGLATGATIVLYEGSPLKDPACLFDMIDILGITLFGTSAKWIEVISKSYPSPK DNHNLSTMRQILSTGSPLPGALFDFIYENVKKDVLVGSITGGTDICSVFAGRNTSLPV YRGEIQSRMLGFALDTDGPPNQPGELICKKAFPIQPLGFWPLDGYGFSEEDVLAAQKR FKESYFKGTEGVWYHGDYVKITPSRSSNSGGIVMLGRSDGVLNPGGIRFGPTDIYSVL EGNEYARMGVEETLVVGLMVEGGADEKVILFVKMKQDMMLDDKLIKKIRTDIRLARSA RHVPSKIIQVSDIPVTLTNKRVEVPIRKLINGAAVSSINPATLRNPECLEEYVELGAK MREDEGIES
I206_03452	MKPTRTLIPRLLPILKHFPTTSLKSSAFINLSIPTSSRPFSYSE QQTEQHWWMINKSQNISSVPTSSNYHNQFDLRTQYPHTSIYTSSDHLIHSHNPKIRFN DTKQTKDQDGITNVPPPTIAWNDHLSMV
I206_03453	MSISPLLARSSRVLSRTSSRLISRYTPSSPSSSSSIRSLVTPTS SGSSSNGKSSSRKYLPYALIGIPASLLIMPTISAESPSDEDKPVKPLSSLEASSLSSL IRSYLVYTLICTPGLVDYSPTILHSFTHSFIPGLKGITEYIVRLTFFGQFVPGETVEE CLPTMSNLHERGVGSMLNYSAEADLGASPAEEVDLEEQAREERLQEVFRALQKSGEFE KSLPERDRGATSFALKVTGLIDPPILTRASTTLLRCRPLTYSAQPISANSPPQVPYPG TPQSTDARIVARDPSMGDGKELLSLNGVLGSMGVLNTDEGLRKGDLEELSNLWGKLKK IGQAAKDNGIVLLVDAEYTWMQPALDAYTTLLSAEFNIPPPKGSEEFKSWRGPLIYGT YQSYLTRQPTHLIAALKHAEDNGYALGIKLVRGAYFDKERKKWKNEGREGADPIWPDK SATDSSYNGSLNTVISTLSTQLASKKPELALSVIFGTHNPDSCDLICDGLKQVGLATE LPDGRLQLREDVQGKVGVAQLYGMKDDLTDKMAAKFVFDGRPIAIKYIAYGKLAEVMP FLGRRAIENKSLMSGDQGAAAERRRVGSEIWRRMF
I206_03454	MTIQEMEINQGDTNAVMEEYEPKGPKPIRKLTKDVINQIAAAEI IHRPSNAIKELLENSLDAGSTSIKITLKDGGLKSIQITDNGHGINKSDLPLLCERYAT SKLSQFEDLQSLNTYGFRGEALASISYCSHVEVITKTKSEGCGWKANYQDGQLIPSKP GSPAEPKPTAANDGTVITAEDLFYNMPLRKRAFKSPSDEYTRVLDVITKYAVHNPHVA WICKKAGTSLPDVSTPINSNAKANIANLYTPSLANELLEIPLTTLKPEEKLSAKCRGW VSNANSNWAKKGGWLLFINNRLVESSRIKKAIDALYTAYLPKGASPWAYLSLELDPAK IDVNVHPTKSEVHFLNEDEMIDGIVGIVQAALAGANTSRSFSVQTLLPGVNQPNQEKR GESSGSAHPVIRKPAPNYKVRMDPSNRTLDSMVTVLDPSQLSGFAESNDQLLQDPRPS KRRALAEGSAEEPLNIDESDNEREEEEEAIMWNDGYVGKGKGKEKIIPESQCEFDSIL DLRRAIKKGGHSDLNEILRRHAFVGIVDTALCLSLIQHSTKLYLVNHASLGDEHFYQI GLRQFGAFNRLRLEPPPNLRDLLKLAAEDETGLQDAGLEVDNVVQSIFDILMEKRGMI DEYFSLLINEKGLVETLPMLLKGYTPNLDRLPHFLLCLGTQVNWEIEKECFETFLKEL AFFYSPRPFSDNSLKSDNQEELVTTEEINHQLWQIEHILFPSFKRYTEWPKLKLKDLN MIANLPDLFRIFERC
I206_03455	MPGHVRHSAPPVMKRHYTMRKSPYLVSLIFLLAATTLTLLNIYV PSLLHVIVRNPGPTQFETRYGLYRRCTRSTPVANSTFLQPSLPPITGQTFQGWDLGPI NGPVYGDGDGWVCQAFPTRSECQQFGEKFCVLWSTSGYAAQLSLVPCLASLISLLFIF LHRGQRTARAKARRQQWKLVSGTMVIHCLLQILSIALILHVFRTDERFEAKGSHLDQS FYYGVSSAIVSGVMAILLTFTALAARAGMPWAAGKSAKHAKRHKRTRSGKVIAVPAGT EIPPEQVVTVGEVRAAQEAVGETTGLLDGQEGGAAGGRGGERATDSSV
I206_03456	MSSSLNPEIDGHTYKRQKTDDLNSSDPKVARRAKFEAVFDVIAE ELLNYVKGEGMPKDAVEWYEKVLYHNTPGGKLNRGMSVVDTVEILKGRQLEEEEYKKA AILGWCVELLQAYFLVADDIMDQSVTRRGQPCWYKMPNVGNIAINDAFMLEAAIYHLL KKHFRSEKYYVDLLELFLETTFQTELGQLVDLITAPEDHVDLNKFSLEKHHLIVVYKT AFYSFYLPVALAMHMTGIDAKSAYDLALSILIPLGEYFQVQDDYLDCYGKPEHIGKIG TDILDNKCSWNVNTALKFATPEQRQILDENYGQKNSESENKVKTIFSQEPISIPTRFE AYEKESYEKINGLIEQVDENGTGLKKEVFRSFLAKVYKRSK
I206_03457	MTIHIPLGTITSDTGPSISRPKYVIDTRNSQDDIRDVLSCLRSS KKIIVLTGAGISTAANIPDFRSSSGLFNGRNDKKGKSKEYNAKDLFHVKCLSSHKSLA SHHILINTLASLSESASPTPFHQYIESLSRNDQLLRCYTQNIDALELKAGLQMGISST SKSKSNSRISKLQNRNVNTMSLDHLINPLLEKSVLKTTVEEQIVIQAVPLHGLITDLR CTFCHTKIPMSDHLPLPPYAIPCPTCKLHSDIRDALYERRRPSGLLRADVVLYGEEHP QGELIGQMIEKDLKSVDCLLVVGTTLSIPGIKRIVKEISKKLHYSSNRGKSKKNKNKV ILINNEYPKGNWEGVFDYWISGDIQDITNNYLTNTVYLEELIIKNNENEKKLPITPKK ERMRSIFPPTPESIDRITYKLDHKIEEQIDKQYETPMQNKRKRNRKEEKFECLIPSPI SLKRKYKKKEVMVEINRDRENTPTPLSKPV
I206_03458	MATEREDEDLEDIFDSSLFTLFSIPPIGFAPNSKNGYYTYKPPL IHDNINFEPVKLKIPEPPSKFYNTLQAQLIWPSSIYLADLIFKEIIKVKDKTVIELGS AAGLPGIISYLKDAQKVISTDYPIDEVLNVLKDNFQRAEFSKTKGAEEGHGKKCEWEV LGHCWGQDTSELIRSISFQSDEPPDTPQSKFDVVLAADVLWTTSSHSILLDSISALLS KSGVVHITAGLHTGRGPVERLLNSARERGFQVDYKGEVRLRGDGGWDMYDESLAVKGE EERGVVVWFTLQFME
I206_03459	MPDPNILKKRIVMNPPASFIQYAATLQPSNIQSNGLMMIDSNGQ AVLLNSNTQQVESNSQIQNQNQQQNQNQDSLTTTNSIMENTTQQPEKSEKDETNDDGQ VVLKGDQVVLVAPSSTLNPTSTEIISSIISMTSSTTISITSASAISISTTSSTTSNDF SSFSTSNFIPTSTSQIPTSTSFISSTTSSTFSKTSSISSTSSHSSTNTSSSASGSHKP PSAGIIFLIILLSLTIFIALISLFRYIIKSGRLNIPCMKRRNNNNDDDDGLSDLVRGF DTPRTLGGGGFNPYLHTINYPSLEENNQFENENENENELKRRSSLFSHEKPNGNSPFL HSQSISPNPNYYEQNQTENISKNLNLNLPQYPISAYHQQQQRQRQDENVILPLHLFGE TGPLEVRNALPGEVDNDFEVENEVEVVGIDGLVGLGLGQGSPRFLGVNDGGLPVPWST PLPPRPSSIDSFDNNQINPNPFGSSSTLAPPLRFVSPSLSHDEILPQRSATWASNLRN TLYNAISAARAPSVIGNHIVGEEEEEDKFTRTVGNIYRSSSNRRKAIPTFDLEKGGNG LIVVSEKDDSISDMNGTKSGSSDGRSSSMIPRKGAGERFKGYYARSKSSTSVSMTDDV GSEDGEISQVQEEFRPPTRLAGGARAAGARTGSFVVV
I206_03460	MGVCMSTPSEDPEADKRSKEIDRQLKEDEKKLAKEIKLLLLGAG ASGKSTILKQMRLIHNKPFEPVEIEDYRKLTFSNIVGGMRTIIDVMDELNLAVLPENR KYISLVDSEPPINTGEVYPIKYLNALNSLWADPNVQECYRRGNEFALAENMPYFYADL NRLFKSDYRPTSDDILRVRSKTTGISETRFPLNDVVFRLFDVGGQRSERRKWASCFEN VTAILFLVSLSDYNSCLIEDRESNGMQEALLLFDSICNSQWFIKTSIILFLNKADLLM EKIKDPQQQIKKHFADYEGKPGSFNDAVEFFKGKFRSCNRTPSKEIYCHVTTAVDVQN VKIVMAACQDTILKNALRDMAII
I206_03461	MFPTSSRSRTVNAAKAYQHESPPTPTTKGEDSYYGVKSVSSWGE QSEVDLEIPKSPEPDIENANSQVDKDNQDQRFEDEDELEMNTTTEDRYSDKTSSLGLG LGITSTQDDVFLPNIERHTTTQAISPSRPIHTKLEQRIVPPSPEDTVHPLDRHFDEPT SSSPTQSISTTGITPLTLEHITRSFDSPYLPTTSEPSSPASFTSMPSYVASLSSLSRT SSISPMGINEYNPHHHAGSGSIEDDLVLPTLALPSESLSLHMSLSKWQQYDNHLAGSS IALLGPREDVERCLRRLRDSEHLVEIKSGVGVVRDGKIVLRIITTLKSVEHVRSKILY TYNTLNALLHPQMRVDTSAQAELRRLVEGYVGRSDWIHGAIALGDTPNAKDLDNIVPV QQFPLLSSSMEESNLCSPTNAKTPCIRYQEIEPTPKLSEETSGYFAPRTYTPSPSSSR EASPIRSVSHPAVQHLLDIVNAPAALTDESINAFLLWRSSQVASHREDQQYLSRQSIC DETRQGILAGERTMTSSITSASSGVGAMPTVARAQGGGEWEATLSRRVAQRRESDSNR DRNSTSLRGSSSTNGMKNVGRIRRKKSSEKLNKLNNSTDKSHSSLFPTYPSKGISEKG LGIDKLMNKTFVKSVRRWTQGWRGLLVVGLVVAVGCGWWISKKV
I206_03462	MDRSNSTAGSSNLDLSSLEKLALSSPKTPSTIASPWSVVKQPFS SINTDDDISLIASNINESDDELQEREEDGTRTPTLANNLIMRQGKIADLEKVIDGLKA QIEEYEFTIRYQSLEIKLLRETLDSSGQSILLATPNRDSSERSQMPGRDGNPPKSKDN TDSTAITSLHNQIKEFTDPSEKILQYLNYKAQTLHTQSQDHPTLQEDIDKGIVFGFPD DDVGGYRSQLIEEQQSHIETINCFLSTVNKHKERIQEFENRIQQSDTRLSEQLSFNSQ LIDVTEDLNNKIMELKQNVIDVNNENEVLRTIRSTIGEQANEISELHELLEDCKIEYR RLLRKYTKIQKKYDEISNVSMNET
I206_03463	MSQSQNIQGRTDPSLDIAPTPQNTPLDTGVLQNRPANLGQPTVE TLAEGEESDGDDVEEIGGANPASLLAQNPALLALAQSKLGDLIGASSGYIESLPPAVR RRIDGLKGVQVEHSKIESEFQMAILELEKKFLSKFSPLYERREAIITGKAEPTETEIE AGKASDSDDEDDEEEEGAKVEEIKDDKDAGDAKGIPEFWLTALKNHVPISETITDNDE AALKSLTDIKLSYLEAGQPGFKLHFIFAANEFFEDTELTKTYFYQEQVGYGGDFVYDK AIGHDIKWKEEKDLTKKVEIKKQRNKSTGRTRVIKKVVPTDSFFNFFKPPQPPTPETL ESSDVDEDELEELDARLETDYQIGEDFKEKIIPRAVDYFTGKALRYEGDFEDEDEFDD EDDFDDDDDEDDEDGEGQAEGSAAANPDCKQQ
I206_03464	MGCIPCTTLQPEVSHLNACYPPSKALSTSGPEYRPLSQDLSKLT YFATNKPSKLAKIGEELEKRIAKESTRSTGGYPKYRASLLISLAILRALLIECKRDIP LFAKSALRSINNALNVKVYQRNEIDLEVIGRASAAFIAFTTFTDGSLIGVEEGITRNY FEILQKFSKMAIFDDVDENIKEKQNQDKEKQNRTRLISLASLNGAILSDGLYSSQIEF NKQLLILLPPILLNFFENLKSENTMDQIINQTGKIELDHTNNPSPYFNDFSVKRPLND RRAPSLHAHIPGEKGPNNQDVLYANLKSFKSLLQQSKISQATFIIDKIVDFLDKQFNN WEDLDRCNWLAERLIAWITLQYRFVVPTRLIELLVDDQTTSQPKFQSMKQSTILAMVI TILNSTISLVGLGVSDLLGNLITLIIRRIKIDERDSLLPALVACVSALGRHIYYADQI NDIIEEISIRIAEISPAEKNRSEIIRVLTNCMIGVMVTTDQGDQEADLRINGNENVNG NIPSGSSCTPNDSKGKSKSPAPPSTVSTPHTENPPQKIHHKSSRRNPISPEVWQETIP LLCEANYSVRITYARALLLFIQTELPRLPIANGTKLPNNDAGLYRFLNALNASIYTLL MSNCLGVGMGELPSPEIVLNTSTAPTPLPDTHPAIVPSSSEDKEENGMLDKNNISSER NGSILNKEKGVSFNLISPTSQNQSNGQTPTPSDGGPNGSGTVTPNKKRQPRRASLPLN RLQSNLNVSSFDQVATPNDFSIIFRILIEIYTEILPAQAIFVGIPMLLALDRDSGNDL IRRSNDGRNASWVIERKKAIRELVCYVFRAIGEKWGVFNVQDIAQRSLASLAEPYLIP PFQSYTASSNLELPEQALSFVPINIEGESSSSSKSLIDPEILLDNLSESTSVQKATGR DATSLRKRMGTKWTVEEAIKDSIERYSSARVEPEDHGIHNEIANVLMSMNNGSYQSFG NVNGNSINRPGSRSIDIGDLREALGGKLDDLNVSTSSPPSIISSSYLTQEDQINLSNA IQKSISQKGNSRKLNPNQDVKEVLKDIFKDKRRSSNHSSSGSGLSNSAHRIRTVSTSS GLGKTSINPNGDHSPNTISTELPKSHLNLDSNANGDGDVQINDHDIASTKKVDNLNNQ IFELGKTIA
I206_03465	MSNLNKGDEVSWNWGSGQPSGKVSDIIEEGKAEVKSKKGNTISK NSSKDDPAIIIERSGNNVVKRAHELNETED
I206_03466	MASRAANTRRAASRTRNDENAAPTQAGLRTKTSLSHLGPAQKVS VASTSGTTGAVKKPVAVKAGAKRTALGGVVTNIVKEENVDDAKKPLKAGGKPSNEVRQ PLASRTNNVQPTRPIASIPHRSKTTPSTTYPSTDIKSEDALSEMDVDTRRLESSAMPM AMLTVDEEAYEDESEEDEMEEEDEEDWLRMSEEDAYAAQEQLDLIRSTFKDDVDLFDT TMVAEYADEIFGHMEVLEESVMPNPRYMDFQTEIEWTMRTTLIDWLLQVHLRYHMLPE TLWIAVNLVDRFLSVRVVSLVKLQLVGVTAMFIAAKYEEILAPSVDEFVYMTENGYTK DEILKGERIILQTLDFTISSYCSPYSWVRKISKADDYDIQTRTLSKFLMEVTLLDHRF LRCKPSMIAAIGMYLARRMLGGDWNEGFVFYSGFTESQLVAGATLLCERLVEPDFESV YVYKKYANKKFLRASTFARDWAQNHAATAF
I206_03467	MILLESHSVIINDVLTDRFDKPSRADIQFVDYDNVRFHLSTPSS KSQILLSMGIQCWPDLVKYGAREHLQSEYEGYWLSEQDTEPEYNVSLIIDLEKIPEGV DDRLALISKLAHLKSTAMSSPFLSAFAEQASLQANYKDAAGAQAKDLGEQGETKGDLK IVRYREEEAIYIQASNDRVTVVFSTVFKEETDKVLGRVFLQEFVDARKLNALQNAPQV RYSNREPPLEIRHLPGLHNGEDWGYVTFVLFPRHFSNSSQAQSSINRIQLFRDYLHYH IKCSKAYMHSRMRYRVAEFLKILNRAKPEVAAERRTATGRTFRTR
I206_03468	MPSKEDREWNRMADHMDQFHNHFRYEFNRVYTLADGGFRKEGLS LPRFIREAQQLYSHLDMHHRIETYIFPVLAKKMPQFKAGARESGEHLRSHKAIHDGLD KYDKFLREALDGSSEYNAAKLREIMDGFREVLFRHLDEEVHDLGMESMKKAGWTLDEI RRMPM
I206_03469	MLNSYIRTGSNEVSNTRVNEETQEEKIKNEYHDQLASNANLHNN QKEVEFAAQPFIGDELISHDQSPLKRLTNDTKSTFTSLRSPNMVNAQSNSDHKEKEEI NDEVLQNDDHTVCSSDKQLTLQAQHQNQLQALDLISPTSPAFSYQSKPDLCRSPVFGK NYNQEQKVQSPNMSKEDVRSNVNKLHPSQNNETTPDDHQNRSSRCFDSSHCHSPMEVE KAKVCKRAQQSILPHIDEIICHGEEEKEKRKEEGNSKEPSNSALNQSTSSTSNCFSSS VKSTFQSQNLFINGNERISRYQSINNNNNNMMNHNPNPDYPIDQHQYQYHQHAEYMGL PPPPRSANFTPPLYNRQPLLLPGDRKTSIDPYESDPILAGPSTNSHHVPMSYSPPHQS YPHQLTYANPPFGNSYYQPGSHSYHPSSNDNKRRRGRMATACIECNRRKQKCDGSNPC GLCVKRRVECKYPTTITDTSYNDYAQPTKARQQPNAHSTSPTRQPSKKSRKNLVDDES VADSGNVETNTSYKGKKRAKEARPIVKTREDEQVEPMWDMRNERQEDSRIIDSFQRHI QHHLKPIASHPVSSERSTPILPQIDSTQSRASSESSLGRFWRSYMDHKPTPMPRPPPT VSTSAGNMFGNLNVGSDASDRDEVTSTSSERLMEENEARGVGSMRTHRGPWDDGKGEK TFFGTSHFGPQLAAKVIRSMPTVPLSDVRHAPYRGASRLDSVKPYTLESQTRELISHL PAQEECDRYVRRFFDRYNSHNDILYQPDFMISYHKFWNDYNVKRSSEVDLRYLALLLI VLALGVLLDHDPSQKSSRQNEIDRLELTERQSTTIRDMLEGLENQTLSLKDREEKSLK WSWAAKRALTESSNFFGESMETVRAGLPIALYLNVCRRVPEAWAAIGTAIRSAQAQGM HVDGSSWKGMSTKEAELRRRLWARKSWFCNSISLFLGRPVCIQDNEFTTLEPSNMHDE ELEEPSEIFPRSLNIPTKTTFLILHCRLAKIIGTVQLTCFNLTARKYSDIQMCEELFS NFKNDLPPHFKLDREGTDFSLDNQKAYNWLPIQRQTLNAKFHLARISLHRPYLLRSLS VAKTSNPRVDNPYVSSRNALLQSAMADLQLRFLFNELDPLDRFKWMTVASGFNSATIL GILCHMGYKDSRFPKGSLRKVLQNYIALEEKTLRRDEALETELVVLKMMESKALQRER EETDITMRSANASGRTTPASTGTRRPCLATAEHIEDIQQSTILDYTVQTQLEDIHRHT YFAARSHLQAGEPEASIQVHPSSGDWPYPQSQATVETGIRHPTTDPFSSLPQHHQSTE YPVEERIVPDAAMVPLPAPTIFSRSTPSLSNIADFTNYHNDVTYNQGQQRNFVDSEQV QNTQNDWYIPSDQDPTTSGLPDSSDPLGWQALLGMDWMNDLDFDYELDFKVDKSVQGH QIVDTIPNHSRETRGSER
I206_03470	MYPYYQPPESIEHPLQTQPHVYLPHPPNQNFYLPPLPPYSAQRF TSQPPSTETQGHARRPSQLNPEASLFSFEFRPVGGVSSMDDGYGRLKRHHDDPSALGI DYGDTGETLERRVSPRKQALKKPIESIPPHQSQSHGFESRYPTSLPREYDFPPQLPSQ PSSDTRSNVPITSAPTLHIQRESQHYGTDRAMLGISSSAHISPQASVPTSHTRDQYHK YAVPPPFNRAWTLHSGLTTSLSPHRPTTQGLPLTDSSLPHPHSQGRISPPRSVFSAPY DNRSPTSERRLISLSPSLGRKRANSPFEGERKYRGYRAKEGGPPKAVLGGPGGKTFDE RLALKSTATSPNLSPQKGPTDTATPLRNTIGVYTTSEKASEEKYTYRGKLIEVKLPPT SYSPPDSPPKAPLPDSNFDKVEQDEREMTMVVERRPRKEAFPWPESKMRLSPPGIPLP LSPELPGKDTLKRPSIDWPVIENTGDVHVWRGKEVQVTLPDQDCWERLRPPMPSAAEL DTEIDTDDEENGKTEAEISAVLQPDTPTSQAGKDNTSVNTVEDAIHLSDNETQEDSLA WDECLISPVQDRSMREKIEHSSGNTFFESTLIPHPTLPPRPITREDSIAYDPISEISS PTKRSCSGKDLGNADFVKRQLGALLKDTDAKTTGHATSHNREVSIGSTISGKRSDQDS VQEPDQQRETFDINDGATPVLVSKSVASKKSKMRAWSDDEDDSAIEDDRLNEDDSRPS GEDSLSESVSSPARLEDVENQSIHHNTAQPAQERQAEIAHAPRTAVQTSSKMRAWTLE ENEVDVHEGRGISGPARIPSSSHNHSQKTDRWKNDRLGTADFEDVPLIVTEVSQAKSM ESTESNGRLDSSSLGTPIRVPSSTDEISELGIREARLSFETEHPRHSDIRHTREGSQS TSSSSSERPPRDDRSPIKREVENSYLPSDTPTLPLAEPIVSLKEITIYPSNPIQLPPT LRPNAEAPPDVPAPQSITTSTGSADPEVQPPTHVHSTRCSPSIPLSPVPARDVITSSP AKIEEYATPPQSTGDTFASAAYMTADGSPLIPTRTFVDTSPQPLRGLLPDLSSWREPV PTILEMPYAGQSSDASEELDDSYEAKAEDGLVCPKPYIERQRERGFSRSAAPSPPSER QVENPVNETEPSPDPMVVNTKFRHWIFPLQAGDSDQGHKARPSITRRHTMPLGDYLDE LENLHSTENNGLGVASTFASRVGELRTYFRADEEMSRGNSAEFPFRKQKRVLGVVNID NIDKKSPLATPTSNGNEADGQNTRLDEILHLLRKGSGKDRDMKDGIVEALQAFLAQSH LPLTHNGTFETIKPILEKHSRLLTSIHELANLPSTRLPVSADSPEERDQTRNKELFAA ILTGQHAILSKFEEVASSQSTGSATISQAIEALQNAQNAAEQRHLEQESQSKIIMALR EEIDHKVISITEYRAQMDVLNQRLNDTRCDKTELRDQMQGLMTRMEEMTLKSSKMENE LNGVLARALAADFERDALASSLKEEKDIQDSLRSELKEYQQQLEKEHRNHQAKLAEKQ VEFDSMQSAIHTQLSEIKEQRQAIKTLQETISTHEREQVAETEKRHVAQESALIEFSQ NALTHQEEIMARLNKLDENMYESMGSKVKEYETVLDRNRTLQSEVDSLRERLAASADR FAKLQLTTTDTVSANTAIQLAISDKLTDETKRKEEAESKMEEMRKELEKVKEEKINWH LVATERQAMARMQEIQLQALCQENVYWKQFALDADRRRFKDFMETKPFRNEDGSEFVN IDGKTKEENQGTC
I206_03471	MALPSTYQLRHSLPNRSPSQRPRSLSLSEYQPLNFDRDALESAY QASLNLPSSHASSITGHSNSQSISFHGASIETHDTSLGLSGEDVLTHPKGAFAGVGSG HTLTPPQSPLHKATSELNQASMLPTIPSSPNVLQNPKPPTPPPRPNDTDIPETTFRPS LPPRQPARPIPPKLPMRRSQSEVDMMGHEQWDGAYEWIEGAGNGRSRRRALPPVPTNV PVPEPPQWEPDYKPQIPSELTAPSAQHAYASLSAPPPNLPPRRHQRAQSQQYNNMGSS TNLSAGPSNASAGPSTFSRPPISTGASVGTAFSNSSASASAPSSSNTHGIPMSPPVGA PDYPPDPNVPVVQPVKRDAEKEVLLRAQDRMCIWSTHYLDPTLKESIFQVPHQVSNAA NIALSGLSSGPKTRYDSTIGAPIDGLAKMAKEWVVAPDARFMSEHGGIELGLGIINSH QVEDAWAKDKGRKKARVEVTSRSGGIKVDLLELNPDRQIDLKVETKSGDVLVLLPNEF HGPIHITSSRPPETLSIISPLLKPISNPYSSFYTTFMVPLSLSRDAKRSNSVEYEGTV RIEKIFPKSFKEQSDLFDQLYGGYASHSRNDHSKITIKSDKGRVVLALRESKDEVDLE KMGLRVGVKAGEGKKRRWWRT
I206_03472	MGKKSTSIIKPNAQANSSSSSKKILQKNEKAIEKTNSIDDIFAT SKKRKAQELHPISPQNKKSNKKVENSSTSKIGSSLKPKNVENEKGKKKISQIEDDDDD DEYDSDEFNSENYSTEEEEEEEDQKEEKNKVEEVFDPSSLIEIKKKIELQRSKFKLKQ KSKDKQDDALFADSRGLGTGRKTEEGFTIYKEVDLQIDPTAGGTPLCPFDCDCCF
I206_03473	MSPFTAYHSLLLSNLSTVQTIESGLSNVTWLLPGRFEDSELASE GLYALLGLVSNYHDEILYSHIPKHLSLPPHPFISPTQNSLPASLSTSNGNVIPNRILP LLPAQSEHTRYTRYWSTESSTYRRASKALNTIGYVQLVIEMIAKRKGGDRIRWKVVLI IEMIKTFLRLTILRITKRPVLSQLVPQRVIDPSTLPPETISSTSISNSNGDLIKSIPL TPSLTPYAPLKDHLYPMINNLPESYLDHPLNLIQELKGKEYISEIIWNSIGLINVLLL IRSANQNDRYKSYSLPTISRSYIPYLSIIRLLLMSRYLRPKINLNNHYSFNLLIENNS NQDKKLLIKAFLTGPMWIGFTRSKILKISKSLERIPLIGLLGELIEGYLPLVDDYFYY TSS
I206_03474	MDQESSPVTPSSAFASGAEGEASSSTSTPASNTVEAPTTEESND GIKVYNPISESSTPKTELDDTFFEPTLSDAQSHHSSVLSRNKKLNEAPLLTSKHREAE KLEKERLKKGKWPKTTIRIKFSDGTIIQNQFPSDSPIQPVYEFIRTALNDKVIEKSFI LYQPPRNKYPEHPIITSTSSSKTKSTYNKTSIIPPANYGFVRGSTVQGLQGGTGGSET LYELGLVPQSVLLVRWEDDDEMNASTYPAPIQDQLKAQSQPLPPSIPKQNPSPTNTST GQVKSGTGLPATGEKKIPK
I206_03475	MAHAAPKHRQLPDKESKLFRELLTQYELKQYKKGIKAADTILKK FPNHGETLALKALTLHSSLPEPLTISAVPKKEEAEAMARLAIKKDITSHITWHVLGIL AKSRKDWDEASRAFAMARKQDPDNIPLIRDSIALLTHTRQYGPALAARHHYLLLRPQI RASWFALIIAHQLNGDLEEAIAVYNDYQTTLKEEGATPPEKAQILLHILRIYIEAGKD EEGLEKLRQGVKDGVISPRGECTVLKAQMLSNLGRQDDALQTYQELLEQNSDNLEYYK GYLRNKGIDLYESRSKILETLSSFAEVFPRSAAPRRLALDFSSGDKFRELARAYIVKG LERGVPSLFVDVKGVYTDSTKMKVVGEIVEEIVSKLEKDASLSDDDSISPPTMLLWAY YFFALHISYPSQISPDYTKSLELLDKALEHTPTLPELYMAKAMVLKRSGDLLNAAYEM EKARLLDGQDRFLNGKAAKYWLRAGEIQKAEELLAMFTKKDLPPTQDLTDLQCLWFLQ EQGDAYRNQGNLAMALKRYHALANVFQDYEDDQYDFHTYCMRRMTFGAYVSLMRYEDQ LRSHPGYFKGALAAIELYTKVHDDPSITEEKISPEEEAERKKQAKKAQKAEAKAKKAA ATSGEKADPPVPDADPTGIQLLKTETPLDDALKLWKPLERLAAERIETWLTGYEIYIR KKQYLAALRALRTAQSINPTHPALQYQILNFNKIITSAELPEQIKSVITSELPSLLPS SPAEFSQSLVAKAKSPEEIYYAAKGIFEVNEKDIGNAKEVLTKLANQDIIPNIEFMFK ALELIEVKGTIEEVDSLREKYIIRCPSAWVLLSSSEKENKTKLYKEGIVGFQGQGETE EKK
I206_03476	MSEEDQDDPPRSIASLRSKFENLAAANEFTVVGGVQKASSGGRN GYTSKTSVDLGKISGTTGDVQINGLKPVYVSRPTTPVPSSPPQPVSTKVPPPRPTTPK PLISAHNSDTANNHNVTVASAQAYLEPEPPKEQTRSSTVTPLASPSLPSQLIPSSPKL ARRSSHGVPYKPSSAANTPTGSDDGVPNEDPISSVKSLRERFSFGINAEASSSTTSLP RSISAETPRPSAPIMTSKQVSAPTIKSTSTDGQTENSVSVSPENENKTNFISSPQPIK ALDVGHRAAPPPPLSRTSSPAPPPPDRSHKPPPRKTSSPIPPPSRGTSSPAMSQEPTS APPLPQRRATIVVPESPEPTITPPPIPNNKPYISASLLSSTSTSDAGPGPPPPRLPSR SRASTISKPENETIPPSDVQLPPPRLPARTATISSSTFAPPSHPSSPSRSRENTNEIL PPPLRSALMNSASLSSSPPSRRRTNSTEKEKEENYSEDEDDDATEDSTPIAGLSATAK KMLEEFPDSTEANRRSPNFKPDLKIRDCHHVTAFATFGRHVCTGAHHVKIYDTQLSEQ PISTVDLKETGLEQKSKDPKVTAMCFRPGATMAEEGRYLWCGTKDGHLWELDISTLTV SSTKAFAHTASIAHIFRHRKNIVTLDESGKMLVYDVGDVEGKQPILIRTLRVGEKFTF AKMLCGRLWTSSGPVARSTTSASTSKGPTIRIYDPCSEGNMPPAKVLFTTEWTGAVTS ATYIPLEGDKVYLGHEGGFVSIWDMNEFTCLQVLKISTTDILALEGVGDRLWAGNRKG QISVYDVTHRPWLTINQWNGHPDHPIQALVVDPYSIEYSGRYTCWSFARDCMRPWDGL LSVDWIDKQLVTRQADFCTYRDINLLVCSWNIDSAKPTDLTGSEANSKWLEKCLNSVD SPDIIVFGFQEVIPLTDKKITAKTLLFGGKSKDSSSSSSDKVSHAYRHWLEKLTQSVR MAMPSDIPYVKIHSENLVGLFTCIFVKSSQKDSLRALDITTVKRGIGGIYGNKGAIVS RLVMDDTSLCFINVHLAAGQSQKSARNADLAAIMEDKAIFPSAGEEIPFVHGGNGTGI LDHELVVLNGDLNYRIDQRRENVISSISAGELSYLLEHDQLRKEMRSNHAFRLRNFEE ATINFAPTYKYNPGTDEYDSSEKRRIPAWCDRILYRKSPHMKSINYKRYETNVSDHRP ISGGYSITLKAVNSLKEMDVRRELAVEWAEKEKEMLLSMAEKIDSYYT
I206_03477	MVESLKKDIRHLSIHPRPRDSSIASEGEAGDIFDLYGSEYDHEK DINGNRKGLINSNGNRTSTIRLESNINNERKGSWSEPLNVHSQRDEGRRWSDTTNTPD ISITYDESYPSNEVIDENQIQIQNENKNNMRRDRDSFNLRKSSLISSTSSSYPDQLKL SPSKQKQKSISNNGIKRNSLEQISSTNTSISEIGRKSSNNSVAGSSQYPGEEDDAYMV RNTYARLEKEGVHGDGWDQGVERTRGGPAIVSGKRATVYPAAKSGDIGEQERQFLASL DRYGFVNEPLRNRSETRVALIPSAPLMKIPKLPSSSPLDVKPPAEPSNNFMPSNDNGP SPKLPPPSPTLKASEEDKIRKKEFNRVDKWSRMMSIKRRDSGGNILEWDWSSRLMERR EKLNRRVYKGIPDRWRMAAWWTLAQEQTDKKGKGKANKSVEDLERDYQNTLDLPSTFD VQIDLDVPRTISGHTMFVTRYGAGQRNLWHVLHCFSQVCETCGYVQGMGPIAATLLCY FDPERAYTLLVRLHDDYGMHEIFEPGFPGLLETFYVQERLMEWLIPDLYQSFQRNMIS SSSWGTKWYITLFVNTIPFSQQLRLWDSLWLDGRDVMIITSLAILWSFKDILSSPKAN FESILSTLSSYFVIEDEDILMNWIKKLINQIEIRKKMDEWRKEWKILVEQGKSETALL 
I206_03478	MAAAGLDEESTARRELTSRCIAIMNRADPNLIQYMKYHIDNVDA SKGANYEKVKKFGQILLENCQEVVDKPPVYRDVALPTAPHTEVSAKGDIVYSEISRQN VRKLESYVKEMASGGEVEPAVNLDKVQNDIDKLWELVNSQPSITPAQKAAIKSMYGEV IKSLGKDAIVENPAVARTKGAKQRRSSSSFLRPNVEDRTEVEEAHLPFLHLKRKTGTS FAYSQKLTNIYFDVLTEIATSGVTFAKKAALLTGVGKGSIGVEILKGLLSGGCTCIVT TSRYSRAAVDYYKNIFHEIGSKGSKLIVVPFNGASKQDVEALVDYIYSTLQIDLDYII PFAALPENGREIDGIDDKSELAHRLMLTNLLRLMGAVKSKKAARQFVTRPTQVVLPLS PNHGIFGNDGLYAESKISLETLFNRWSAESWGEYLCIAGAVIGWTRGTGLMSATNFVA EGLEKLGVRTFSAKEMAFNILGLMHPLLFDVSQIEPIWADLNGGMDRVAGLAEVMTSI RLDLNKVADLRKAITVDNAADFKVINGGDAERLHQKVAIAPRANFNFDFPKIESSDVL AELNHLQGLIDLDKVIVCTGFAELGPWGSSRTRWEMEAKGELTIEGCIELAWMMGYIK HFDGKLKNGKTYVGWVDSKSSDPVDDKDVKSKYEKDIIEHAGIRLIEPDLNFGYDPNK KSFHQEVELSHDLEPLEISAEDAERFKREQGDKVDVWAQASGEWFVKFKKGARVFLPK AVKFDRVVAGQIPTGWDAKRFGIPEDITTQTDRTALWALVCVMEALVASGVTDPYELY KYVHPSEVGTSLGSGMGGVHSMSAMFKDRREEKDVQKDVLQETFINTVAGWVNLLLLS SSGPVKIPVGACATALQSVEIACDSILSGKAKVMIAGGLDDFSEEGSFEFANMKATSN AETEFAMGREPNEFSRPMTSTRAGFMESQGCGVHVMMSAKTALEMGASIQGIVAYTST HTDKAGRSIPAPGRGILSTAREITPKDALPLLDIKYRSRQLTFRRRQISQWLENEHEL LRMELEARKDAKDNDAWFQSRVSFIDDEARRQEKDALATFGMLEGSSPNIAPLRRALA VWGLDADSVGVISCHGTSTKANDKNESSVYNLQFEQLGRTPGNAVPVIAQKSLTGHPK GGAAAWMFNGMIQTLNSALVPGNHNADNISEELRAFPHLFYPSKPIQHVRLECGLLTS FGFGQVGGQVAIVHPRYLYAALQPHDLEEYKKRRSERELSTFARMSQALVQNNLVQIK DAPPYSAELEGGVLLNPLARAGPSKNSFAFQGKLPSAVPLNPKNAETLKALFAQANDV KGVGVDTELISNVPTSENFRQRNFTDEEIKYCTSAPDPTASFAGRWAAKEAVFKALSV PSKGAGASLKDIEIISSGSGPEVKLSGDALAAAGNKNIKVSLSHSDTSVVAFAVAQ
I206_03479	MASLFSSSTPSRPLVLHSSSTRVSILVPASPLSAWVTSEVLAQQ FHDSRIGKDDEPAPIVDEEDETPKAPSQEPQVKLLARFLSFAADKVNADQDSSELAQV LLSAYNRFNELFLTSVNVHSLVQTFEPEARAEILKAYFKAFATAKESSGDKVKVAHAS ALLEAAKSGSAELYALFGGQGVNEHYFGELELLYNTYTPFVKPLLSQITSLLLDLGEK ADADGYAYYSQGLDLISWLNGSSPKPTVEYLASIPLSLPLIGVAQLAQYVVSCRVTDL TPGELRSSFKGATGHSQGVISAVAIASSNSWQSLNENILKAVKHLFYIGLRGQEGFPL LSIEPQLVADAVANNEGVPSPMLSVSGLSTKALEGHIKKVNAHLPSNSQVGISLYNGP TNFVATGPAKALYGLATALRKVMAPAGLDQSKIPFSKRKAVFTTRFLPVNVPYHSTYL EGATGKLVQKDLNGEELWSPSELAIAIYHTEDGTDLRQLETSLTASLSDQIFIKHIHW VKATNFPATATHAVDFGPGGNSGIGPLTGRAIEGRGVRIVVVGEKGRAAAELYDANKI RREPVWSKEWSPKLVKTLDGKIHIDTPFSRLLGKPPIMVAGMTPSTVGASLVSATLNA GFHIELAGGGHYNPTALRAKVAEIQRRTQPGVGITLNALYINQRQFSFQFPLWQEMRR EGLPIEGFCVAAGIPSSEKATEIITALKAAGIKHISFKPGSVEGVRQVVNIAAANPDY PIIMQWTGGRAGGHHSCEDFHQPIIATYASIRQNPNISLIAGSGFGGADDVWPYISGE WSVKMFNLQPMPFDGVLYGSRVMVAKEADTSPSVKQLIVDAPGVEDAAWEGTYDKPTG GILTVRSELGEPIHKIATRGVKLWREFDDTVFAQPREKRAAWLENKKDYVIDRLNKDF NKPWFGQKADGTVVSDLGKMTYEEITQRMVRLMYVAKQDRWVDISLRNLVGDWLRRVE ERFAGVDGIRTKESLIQSFSALDKPSAAIENFFNTYPRARTQLVAAEDKAYFLAICQR PGQKPVPFIPILDNTFEVWFKKDSLWAAEDIDAVFDQDPQRVCILQGPMAVKHSTVAD EPIKDLLGNIEGLLAKKILDQYYGGDESQVPAIDYIGAKAGKPKSGLVAESTSEGVRT IKLGKSVPSTDDWIEVVAGSEVSWLRATLTSVNVVQGAGYISNPFRRIFTPRPNQTIE IKSDDGKVSSVTLYGSARSFGPHPADFKAVELSFNSSTNDITLVLNEERRGVTVPLHF AFKYKPDMGYAPIHEIVDGRNKKIKDFYWRLWFGDNETLPELALDTTFTGESVTVDAQ AVQRFCDVVGNQGESFKAARNDKVSAPMDFAIVLGWQSIMKAIFPSDIDGDLLKLVHL SNGFRMIEGVAPIKAGDACTAEARVVSVSNSDSGKTVKVKGYVLRQGEPVIEVTSSFL YRGKFNDYQNTFETIDESDYVVELTKSTSVGVLQAKPWFEWDDDSVPLEAGTTLTFKT RSELRYRDKTSFSAVKVTGAAFIRSSTKALVQVATIDYEAHNLHGNPVVEYLKRHGQQ IGQPVPLENGYSLIEDPTSAVFTTPATNEPYSKISGDFNPIHVNPYFSDLASLPGTIT HGMWSSAATRKYLESVVADNHPERVVSYEVGFVGMVLPGDQIQVKLTHVAMRDGKKVV KVEAFNQRGEKVIDGTSEVRQPPTAYVFTGQGSQEVGMGMELYNNSPVARAVWDAADI HLTSTYGFSIVDIVKNNPKELTIHFGGIKGQAIRQRYMDLTYDTVDEKGQVKTLPLFG DIDLYTTSYTFSHPQGLLFATQYTQIALVVTEKAAFDDMKAKGLIDTNAAFAGHSLGE YSALAAIADVLPISSLADVVFFRGITMQRAVQRDAEGKSQYAMMAANPSRVGKTFNEM ALREIVDTISKQKDILLQIVNLNVANQQYVCAGELKGLATLTNVLNMLKIQKIDLEKL STMISEEELREKLAEIIDGCWDMMVEKQKKDGQVILDRGFATIPLPGIDVPFHSRYLW PGVLAFRTYLVKKIDPSQLNPDRLVGKYIPNLIAETFEVSKQYVQKIFDQTASPRMEA ILKNWEKDAWDSAAQRQQLAYNILTECLAYQFASPVRWIETQDILFTAAKFERLIEVG PSPVLAGMASRTLKAKYEAQDGAISLTRQILCHAKNQKEVYYAFEDEAAEEAAPAAAA STSAPAAAPVAAPVAVAAPVAAPSGGAAAAVDDVPPKAVDTVRIIVAQKLKKQASEVP LSKSLKELSGGKSTLQNEILGDLQVEFASAPEKGEDLPLDELGAALSVGYAALGKHAM ALTNRMVAAKFPGGFNISAARAHLNKQWGLGPLRTDSALFFGILNEPPKRLGSEAEGK AFLDALAQSYASYSGISLSSGAAAGGAGGAGGGGAVMNSEEFDAFVLKQEEQAQREIE LLSRYLGKDQREGEKKADEAKATAEELQAKLDAIKLEHGDAYLDGIAPSFSALKARTF DSSWNWVRQSSLQLFYDIIHGELDPSTVFDTEPRYRPAS
I206_03480	MAPLAETAQVSYTNAVPEILLIIRTTFQNVDQTSDRPIESQLIS QASIAFQQECFFNSEFVRIHKSIVEAEHVRREYVLKQREYATLSAALYNLQFASEQAD ILGAPLPQVLQDHIKEAKEKLKLLEESTRQLGLKLPDIDKLETQKVGCLISRQLFQIS LKLIFPKPTKVEIENQQAEIKAISKELEMVRKKQTELEKKLKLKEKQLSKLQKVEAEF KNTNADLSAAKIVMSQDQDDLVNKNTEINKLERKILDIEKENTGMKQLIADVNDLLEK KNQPLPTATQVLEDIPHESKVESQRLTGADQRVKPTKQDYYTLFDKHHRQTVELDQLN EILEDIKFTILKLEGEPEDI
I206_03481	MTTAHGVPTSTSSSTSTSTSTSTSTSTSTSISKAPSLEELRILV ENKDYWQIHHDLDEWAQKQGYKYILSSSGLPNQRNFVFLKCNHSGEHQGTRNRGSQYK SDCQHYVYIRSFSPLDDPARGRFTVGRCRNPQSQPHNHPPNLAIQNKPVSTIPGRKLQ HVKKCGSCFFNGIRFYGYHMPSDYPTTRLVQSPPSIPAQCGADIIDKRSDDVNRKEDK DEPSGHDKEKPSEHDREESHENDKERHSKNDEEENLEDGEEEQSENGDFSDSENSSSS VEFMGTNLGKLEENLKLVEENKDLQARLKNVETELKISKETIIDQQDTLNAAQAEHSR EIKKHKEMVKTLKDSLYVLQNKHNSKLTEIKRQQQPRADIPFKLKVIGLLTYESPGLV NNERLQKVALERLNIVVEGMKRKWDEDEYQRKVTEKKRKLDEEWMRAAE
I206_03482	MPKEWSFIKICSCSKISEEAKGAVNIDKLFQEAFAIIKEEIEFF HGDIKQQLFLPLMGLLRSSGNRASSLSEYVFKYGLAFDNLILAHRNADLLEFFIGTEA EPSRKLLAAFRSCFVMSVFSTRPSTFAMILMCLNFRHFRQMIKSEESSSSRNAIFLPN CAMSNLSTALQLSSIAAISCTTHMIPKQSSIVAAKEESIAAARRNRRMGPPNM
I206_03483	MTSSPTASQSSWSLIDEEETNIASSSTSTLDHNIQPAIGPTALP DLDENDILRSRISDLELKNTIYEQQIKEHEYTTFNQSEEIAEIRQRLNELEDERIYIS AGLDPSRKELESDIRKLELSIGEEGDKTRNEMLIEYKKHLKSNGENIEPSKKDFAELE VISSNQSKRIKELTNQLNQMNDENYQKELLNYELQYIIQSIEIVHIKVNNELKELGIE NHKLDNYIHSINNIEKRYKKKLEERGNQLKVEYENKQNDIKLENDKNLELKTKNKKTE KEIKHLKKNNDKLSKIIEKVKSENDDMTTQLEESQVEIDRLKEEIKDKLKIVEK
I206_03484	MSFLPQYKRDKKGDEESLPFLTDENDDLPAYPPRVGESSGTTTH NVTYTFVPRWPIKGSSQDALGVLGNTKEETIAIVQRGFSNLSEYPSHRIEFLSPVEID SDGKVKGDKWAKIFDEAWPGFKNNPPTRLRVQIADLPGDEERRENREKWINIYVIAGI FSPLWIGGPIMIALAAMGYLD
I206_03485	MPLLPTYQRKEPNEEESVSLWSSVVENTHQDEEENSPPAYPPKF DQSKSKSQANGNLNDDNNDRREITYFLHNNWNDDYEAIGLLGKTKQETIEIVKRGFPS LAKYPSNRIEFYKLIEKRWVRIFDEVWQQTMYPSLLPVVVGGQIQNEISLPKCLKIKI GDKVLDVKEEENRKTSICFVISIIIVMFIVLILYFILGA
I206_03486	MSLLPQYKSDKLDQEENLPLWSSKDEYETSNDEENLPVYPPQLP IVNGSSSSNNGMKIHNITYTYLNRNGKKEEALGILGKTKEDTIEYVKRGFSELSKIPS ERIKLQILNEQSNKKNQDKYISILDEAWFQFQENPPKSIKIQIIETPKEIEKRERLEF TIGCSLISIIIFTILYLIIRNFGGGSNDENQSKNVIEHIIIETVTATLTM
I206_03487	MLPEGTQKEQIVVDLEPGSEWRFESEADENIAIRVLSHEPVFIN SEELPLATWYPIHRYTKGAIYSPTQARVEVTSLPASQYTSTSTIHPQLLNVHLALERT RLLARRYLLSSITNAEGIQRGPRVMVMGPPSSGKTTIVKNLVNLALSSGMGWTVGVGG LDPSSPSNLIPGTLSLSTPSHPIPTHHLAHPFGSPPTSTPSNTLSADIPTIGWWLGGL EPSNKNAEVWKALVDRMGQEWNKRCETDKTALASGLIMDTSSAFTNPLLATKKDDPKA RYTLVSQAVDAFDIDTILVIGHEKLHIDLSRLPSLQSKGVNVVRIPKSGGVVDLDDQA RELVHSFQIRSYFYGEPPLPIEISNLMGKMVGIDGSLNPYSFQIGWETLVVLRVGEEN SAPSSALPLGSSRTLSPTRLTRVDPSGPAHVVRLLNTVLAIVDIKPEDRIKSDKKPKI EEVKTEGEEIEGQEEEEEEEDMEEVPFVEEIGTREVLGFIVITAIDAQKKKYTILSPS PGKLPSTVAIAGSIEWVDSA
I206_03488	MSGLATKRLMKELADIQGKGTPEGIVLLSADDLQEWIFLISVLG EETIYKGETFALRMKFGNRYPIDVPEVTFLANDQYQPPLHPHVYSNGHICASILGNEW SPVLNAVAICITMQSMLASNKKKSRPEGNDRYVRSAPSNPKLTRWHYDDDTV
I206_03489	MLVSRQGKVRLAKWFQTLPPKTKAKIVKDVTQLVLARRTRMCNF LEYKDTKVIYRRYASLFFITSISTGDNELITLEIIHRYVEVLDRYFGNVCELDLIFNF QKAYAILDELIIAGELQESSKKAVLKIVAQSDAIEEGESLSNGLKEGGFV
I206_03490	MAIRFRNATPGTLVCLIAAALLAVVSFNTPLLKSLNFLKATYSS GQYSGEITLGTLGFCHTLDGTSTCTGPQVGYEFDPNDVFGVTIFDIPEAITKYLTYVL ILHIVALAFAVLSVLCGLLAHSPTFAICWATTMASLASGITLLALIFDLAMFYIARAR INNVSGASADIGICVWLTLAAWIILALSGCFFGIGSCCGGCRGRDEGGDPKRRNNKYD NNGGEEDYKMRMMAIDNERQRKLKQEQGLPSFQELVKDDGEDKYLIEPQQQQHQGGGL RRDGSVLQGVGMGYGRRSNKSPANDYNNIPGGWGGNNGYQTIAAPPPVARRLSDTTSA GDFVGVGAGGGGVDRPPQQQQQYGGNNGYYDEPYGNQDPYNQTSYNDPYSQPLHDQYN QPSSSYQQQDGYNPYSDPYRSSSTQPYNNADTYPPQVPIAMPTPGIQSSRSPQPQIQN AYDTSFGSTDSHYNDPGPQVRDADPYDGYDDGLGAIGMAVTGNNNTGRHERDYTGQTF GQNDQNNGGYNDNQIQPSYNLNDNLHQPSPQHLINPTQSNLLRSPISPIDNQRQDIIG TYNTGYENTQQPINQDQGSIRPPSYSAGDYAPAGGSQNRNEKSSYRY
I206_03491	MRLNYLIYFLTIFSINFKFGLSQLDDDLICPNEALTEYLTNLLD ILFSNGLTTFEQLLVHYSENDLGYEFLNDLYNSNQKLTLLVPTNQAFNEIGINSPFIG LTEDRGMELGELHLLQGDWTSGSLPQLGHGIASTSLLIANELNQTDSKSNAYQAVTLE KGNDNSIIIDGWWGNSTSWNGPLDLSSSNGLLDNLMILPIDQVLSFPPSLSTALQSPG LTNMSSALNVIGKSQELEQLTENGFTIFVPLDSVWDENVKNLMTDSNKAMKIIQNHYT RSYTLFSPGWTGSDSMILTVESGEQLIVSNKQDGSNSVKFGDIEANIIRSDITLNNGV MHIIDKILFPTSSSNSSETPTSSIPSTAQPTGTTSASIPDGQDTDKDGGKAVIPDASG SSSASKSQVSVQLLVCVFTFWFILFR
I206_03492	MAAVKEGDYDGIREQVRKILKQPGYDDGSAGPVLVRLAWHASGN FSLVEHSGGSNGAGMRFPPESVDPANAGLHHAISFCLPIQAANPWLSHSDLWTLAGIT AIEAMGGPKIPWEPGRTDYSTAEAAAEHRGDVSARLPDGALGADHIREIFGRMGFSDR EIVALSGAHALGRCHEDRSGFEGQWVVNPIRFSNQYYKLLLRDIWKPREWKGPFQYEA TVAGTKLMMLPTDMALVQDKEFKVWVEKYAADQDLFFKDFAMAFAKLIELGVERDDTG FAQLVKKAAREGKPLDQTQRPGGGGCPFAGGQKRDAKL
I206_03493	MADSPPGISAAPKDDNLRHFDVTVTGPESSPYEGGIFKLELFLP EEYPMNPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLGAPNP DDPLANDVAQHWKENQSAAIEQAREWTRQFAQ
I206_03494	MSMIRSNFSSIQFLRIPMRSIFTSTQKLTKKIPSFNKPGPPQLP ASDQAEFEALIKLNQSIGASPKITESENPSKLIEELKQHKDIRKGPKPDFIGEINPKT GEMGGPKTDPFKAGDQDWSYAGRVTDF
I206_03495	MEVSTIAEFSTDQASINEAGPSRKRHHYDTARELNALIDKESAR TKLRRIAAQNKDPRNSKPFNPVCSQTTLESCKAIRQICDKAHYEPIIRPWTDISLGYC SYLNLCYGDPMFAKNPSLGEGNGPRGGVKECRYMHFQVVPSAKTHNSKKPDIPHLSST TIKRLLGDEEKKIGERYNPPPVAQWINCDIRSFDYSLLGQFQVIVADPPWDIHMSLPY GTMTDDEMRSLPLRSLQPDWGILCLWVTGRAMELGRELFSVWGYRRVDELVWVKTNQL QRLIRTGRTGHWLNHTCEHLLIALKLPADHPKNAPIPWDTHPSLRQLRKEVDTDVVVA EVRETSRKPDEVYGVIERLAPHGRKLEMFGRKHNTRPGWLTLGNQLGDSQIAEEDLHN RLSQKYSSQRFVYVSV
I206_03496	MNASSLPMTVEKAKEEHDHPPHHAITPGAVDFMPGPMIRYTPLA DISHLPTILPAPLAAMVTTIATSTRMTLRVTAFLIESILETSQYSTRMSLGYMRRLLI TAISSARRVYLMSTAAMEGDLLSLISGGPIIIPNNNNGKVDAGSTDNFLGILDKYTNL GIYFIHHTFTMVELFTMSGFFFTSNLIQSAHTAAIESVSLFDNLFGSNESSRALSAII TMVRKEVLQDERAKKKSITSSLSGLTKALTAFACLQVATWNRTSKRMRMKVLYDCTIQ AEQIDMYTSSQSVENPQPLSIAALEDSLDGIAGPDPSTIAAVARASPSHPHNPRLEEA NRKLWQHMATTGDDNPQVAYSGTKWSEEDLQDLLGESDNDPPLQKANDSTQRERTRKQ STVEITDEIMESYTVTQLFGTVDQYQSDNSTCRPLLSHRRRQSMPEFVVQALEDDDED YPIRSSATSIISSPRSESIFSPDIETSTDVSVEETPNSEWSEVDNILHKDDEERASMH LPSRPDEKKESVGTASYMDALEHPQHNSERIQVVLKTMTNKLLQRKRTIRQIMREDGS GESSRRPSRDRAKSARDHLNNVGWDKPRSDPSSGTTSSPASQTPSNVSDTLFSRRRGT SGTHSGSRPPSRIKRMLKMSTPSTSSSVPPPTAAEIFDSPDSTPDTSTPPFEDLQADL MIDIPSPKQGVRAPPPSPYHSMLIRSSPKERTDPATTSRPPIESSPYVSVREASHTSS SQVQASTSHTMPDEGDANSDNLFPHEGLIRNIHRFMRYSSAAYGQNFLRILGLGSSDF MFPSTGKHHANSWAFAQHTNIPIDCLLLSSFTESSATLLQQEAPPLVHYVAVEHSLQA IVLTCRGTLGLSDVLVDLTCEYQSIEVDQGDPDASYYVHAGMWQSARKLTVKQSTVHE TIKEALTRYPTYGLVLAGHSLGGGVAALLAILSAMPAKSFSKQNAKLKNPFDHPKIST PFVTNFESGLPPGRPIHCYAYGPPAVASPDLTAYSRGLITSVVQDSDLVPTLSIGGIK DFKNIALTLSEEGNIAEEIVGRVIGLNKRKFNFQKNKEKEKQQEQSRAGAQCEKGGLT KPVIGLEENTPGSKEGNHEPDLGDGEILSDWMVSLIKTMRADMDNEKLYPAGMVYIME HFDVYVTEDQADTLPGAALRGDKKTIHKQAHRVILRQCDSVEERFREPIFAKSMLQNH LPSQYERSTHLLYQGLGQGKL
I206_03497	MSDIADLTAKTYEITRLIPFGKVTSYGHIAKLAGYPKYSRHVGN ALKVLPPNTDIPWQRVINSKGMISPRSDLGLGVARQKERLENEGVQVDTLIGNGGEKV DLKIWGWFPDSLDDPWSD
I206_03498	MFSRSTIRSFRSLSSQISRRSLSTSTSSASSSTFSRNAAIGITT LAVAGLTITSERRRVLNDDRVRESVLDQGSLKEPIHKREGATKSNNNTDKIKSNTETL SEKAKDVKNQIIEKTQDVKEQIDERFEKVEDETINKIKETKSKVENKTDEASHLIEEK SEEAIQPSQGAFNEETGEINWDCPCLGGMADGPCGEQFKEAFSCFIYSEAEPKGVDCV EKFKHMQDCFREHPEIYGEEIDDDETDLADAPIPADDGVTVEDVKAPFS
I206_03499	MPSSAALWCLTILSGQVLSSPLTTRVVQRPYEVPTPIEPVIYDE GSSSNHSVILDLLAQYAPIFKLSELESFFPSSIDYMFPHYNFTESPSGDIQTLNKSLL SRSQLNRISPNTFLSIRESHNPQPFLEEEAEYLFGPFDRTELSQDGRGKVEEEVYGFG VDQGDGIVDLWYWTFYPFNFGKPVGLFGILGNHVADWEHLRMRTVNGTPVSADYTTHT GGRFSAGTFRWEDIEKIDNRPVAYVAAGSHGIGKLFKLVDITDDEGPIWDTKDHVVPT VYWDGPESRRRLWHRGNSSWLNFRGNWGNKGQDDCWWHRVVGYCQVVDAPWGPNRYFG TPPDCILAPLVSGRSTYSFKFSSNILGWAKEHNIALVKVEQVCTRPKENDDDDDDEPD DVYVFDDEAEGEIEIWNVKSLTEFKGIERHIVDLSPCKGRQSAVRAYRLSLCLINGKC LSSSRERRICTYEEGKKGNRFGGAVDLLDVDDWRWDY
I206_03500	MSGRKYVLLFPEEQPSATFSSARLSSRHQLLNESDSVIDNSLSL VRVNQLFDTSGQSSSPDAIEPVHNQAQEIAKWWEIISERCHGTTVTDLESVMQILRDD GTFSGTLDREYVEKKIIRHVELFKGNHPLTRALAFSVNTENKISQADRLLDYNNLFNH CNKIEEISLISDCHRERYRQLYHGNVQQLARKYGWRTEPPSDGRPLIYTEMTVDGQEV SYNDTIDPFFDIPNIREYTKENMQKSHLALLDKWMAERDPSNNPMSAADKAKMIKREV ESVNKSWESSVKQWDQQARTLTDNDIEKRLKFNNSPLAIADGIGTLITESTLYPEDEK KVDLEAWKAEKNASKEAYTLSLKDFSQRTATRCSLQKELEKKYHVKEETKPHSRWRER LSETLSTLIPRKSQTSESTQMELVPYSGSS
I206_03501	MSQSREEEEQRMSTSASTTHSTHTIKPPRPPSIASLRHNRFYGS SSSSSAGAGPSSRSSHSHSRRQSLDIAETQPPSPITYFPNPSTFQSNSASYPQPPISS TSNSISTSPKNSTFSMSNSVVSLPEESEFEGPTPKMSLVNLDSAASSPRMTRGGGNSQ LEQRRRSSVAPSTSQGMRQFSTPVNGYGSTHTSNSNLGLGLGRTPSLSTLNNPNQDAT LSSPRRISTSRVPPGPSTNRFTVTPPQGLLNLPTHEAYYSASQPGTATTSRFRDKDLH QSHPLPHSAIPYSNQLATQMTSNSNSHRRHLSVNSIIHSPLFPGRHSLPHSPTGSVMR KIKRTASTIGMGFGRPDHYDDDASRGKGGEDEMLEDDEGERANGTRVWYSSYVTIDWI HDAIKDSSRVRRLRHAAHRSIRGKLANSWDRIQGWLVVTIVGVLSAVIAFFIIRTEMA LFDLKEGFCGSSWGTAKRFCCAPHHPSGRGDAGDEENCGDWVEWGEFFAPHQRGGPEG QWIWGGPEFIAYAVVALCLATLASFLTVYLTSAAHHTTSKDSTFLTTPTEHNDNKSKQ PKQIPTMNNGENSNERQPLLEGIANEPITPITEAFPPTEPPRKIMYYAAGSGIPEIKT ILSGFVIHGYLGGWTLITKSVGLALSVASGLSLGKEGPLVHISSCVGNIVSRLFLKFE CNEAKRREILSAACAAGVAVAFGAPVGGVLFSLEEVSYYFPPKVMWRSFWCAAVAAIT LKSLNPFGNGSLVLFNVTYTKEYHYWEYVIFVFLGVFGGLYGAIFARLNIIWSRQVRG GTWLKKHPIFEVALVTILTTVISFLNPYTRMGGTELVASLFEECQPSSSSSFCVNHPH EIAPVIWTIGTALLIKGALTIITFGIKLPAGIFIPSLVVGACFGRIVGLTMEYIEYSY PTLGIFDVCKETDCIVPGLYAMVGAAATLAGVTRTTVSLAVIMFELTSTLNYVVPVML GVLIAKTVADGLEKKGIYDLVIDLNQLPYLDQKHEYLWGSRRASSVADKSVPILRADK QHTVRSLTGKLLESVRLGMTDTGFPILVKERSCLRVIGFLGMNELEHALSELSDEPDA TLNLMPDDATSHRRRSSAMSIFSFADSYADGQWSPYDLSRYIDRAPITVQIHSPLELV QQLFVKLGARQIIVTNSRGVFQGMVTKKNWLNFLGELEEDGH
I206_03502	MPLSPPFRPSPNSSTSPPPIGPLPTLPFEIIRRIIFHRLSIPPS YPSPLENDYVPSWDSRSGVKGKISAQKRLDERRDVTRSARGLMTVCKAWKPLVMKYLY SSPYITTNLSSLASCVLNGDSKWSDINIHIFSIPGRYITLLDLSTISFSVHPTEIRKA CLSIFPSLPNVNHLKLPSGELPFGLEEIGWSPFAKNLKCLEGVEVDLDIRDDGKDGLV ELLRKLPNLEVLEVLGSTIHDHPSSSEDLGPPLNLTKLHTIKLEDVKNGNLLTALSQA NLPVLERLSITSFFGQPDDLTFTFQEIHGTKIRSLTYLQGKLSPFALGSEMIVPTEHI LELYPILQHLAFLIPDYEQLDTIVQLLKDSPHHSLNTLTIHKFVQIRLNDGQPLNSRS KDTNTFLKGLIADSPKGMKRINVDGFKWVKPELGKMALDTGTSGEMRKLSNLLFSKHG IELGDMDGNLIPSPQSTVTACGKERVYGPMSGGGRRRSSGGQSMIRMNISMNGTCIGV NNGIERGNEEEDGG
I206_03503	MAALRQTFASSSRLTLHHLPTTSTPLYTYKFASTLAQTLSQPSR FDNIPSSTTYTAPVQESKGKERGSHIAPAPSTSRRKVDLKSKKAAISMTPSAISRLKA LISSPTEPKLLRISVKSRGCAGMAYHLDYVSPPGGRFDEVVEQDGVKVLIDSKALFSI IGSRMDWRDNRLSAGFVFDK
I206_03504	MSDKGDKGSMKSTNDATSENKDSTEATILVDKQTEQTATRYYPS QGEEICEIEERSKLLDRELYLFIGDYWKKIEQRELLDGWMNVQNDFVLGKGSRADDKK AYDDRCRELRNIYSSEITEWSRENASKVTEEDSASWLGHYLGNVKDLLLGSKHDSENQ 
I206_03505	MTSRLSYDFPPNQSNRSSSYNQYPSTPYADDATSHKSQYYEPGE ELDEPFDVRADFDGDGPRWSERKGARKEGSLRSGGALGMGMMEDANYRPVSTHFPPSG YADTTKSVSREEMVSVPMLGPEWQKSELHELSRRGQAELKGDKRSKAWKEWTRDQRGL CGVRWLTRKVLVFIIFAFLAALGVTLFFVLPRVPNFEFYEDSPFTVDNSTVNFNRVPT NFSFSGNLNLYGDGSSSYLPIHFSSLQATLYDETTNKAIATGDWGNHYLEHKDQQPVI LPVRFAYSAVNTSDTTWNNMYQACGHKWTGVVRPDLKLRLILKMSIVGLTNKPEISTQ ISDIECPFELGTNSV
I206_03506	MSAPTYEEMEFKRQEMIASFREIAGAMTRCVKIIEEYTSLSPPN LKKPDLSIFQNAMLSNGQPISEFLSKNQLLLQPVKERKKKDKKPRDPNAPKRPPSAYI FFQNEIRDEIRNSNPGMSYKDILGVISLKWKDLTDSQRKVYEDAYAKAQIDFVENEKA YTAVKPGDGSSSAITTGNQAVIDPTLIAGEEEDSDDSDDSDTDDSPEPPKHAAPIPTA LPLQVNPSTLHAATARPPIPDFSEPIVSSTPADKKKDKKRKTKEGEVTPAADAEKKKK KKSKE
I206_03507	MDTSGLAAALIQGSEVILKSDEACLDFARSSEGVARYIAQNLDE RQELVDLDDEGNNIFDALNLLWAKLAKSFDPSQATANHSEGWASEDSRIQLALGLGKL ERNLIAGLQTFQDIAEQHEESLRALIFHVTTFIRIADERFFTLQSVLAQLLCNLISPS SAQPGADRLADKYLQLYLSGGRNEDIIIRLLDSRDTKTNNATLHLLNNVVRGNEARLR LLLSGVGVRWLAKILNRMDEWVEVQNGLFELGASIFNNIIDHSLHPELFQLLSDPAEV ITPSQTILLKVLDSHLSSSTQSSPSPSPHLFMIGLFHNLAKYSKISIDSKQDDPRLPK IFEGLILVTEGLSAIGLSVQSRKDENYSLQEDLNGDEEVVRTMKDGTNGVVKPSIDLL RSLDTFFPRINPRAKSTGASITSISDELKPFSNLKRNLVQLLGILTFEDTLVGDQVRE EEGIQLILGMTEIDENNPYLREHALLCVRNLMLNNPSNQSIISQMNPVGVLSPDNGEL LPVPEKMKKK
I206_03508	MSMGPPPSPSSARFYSKSSSASNDKRPPSPSPMTASNSSTGTSL MPSKKPVVVLGVCAMDVKARSKAMREILTRLVDIERGGVDVKIFGDVVILEEGKSSFS SNISHWPPVDVLISFFSTDFPLPKAISYTQLPNRTPPISINSLSLQSLLWDRRLVLAI LDHIGVPTPSRAEVSRDGGPRIPRVLRRKVRRDLGLILPGPKAKDEDDWGELIIPERW KGKAKKQPVPRGKEVILREDGDAIIIDGQIIEKPFVEKPVDGENHNVYIYHRGGGGRR LFRKVGNKSSEYDPNLYHPRTIGSYIYEEFINVDNAEDIKVYTVGSKFYHAETRKSPV VDGLVRRNADGKETRFITPLSEAEGEYAKDVVEAFGQRVCGFDLLRCGSRSMVIDVNG WSFVKGNQAYYDKAAEILSGVCQLARERKISTIQSGLPAVVADNEVGGGSTTSTLRAT VTIFRHADRTPKMKLKFSFPAHEPWSKPFLRLLRGHREEIILRDTRQLQYILAAAEES SQTPGITSEVLTKLGQIQEALGKKMSLPGTKAQLKPSFEKKKGDKEKKDKKEKKEKKG SDEEDELTETEGKKKVHDWLRRGSSSGPHENPNVKSTEDKDNLSTIDETATKVSNGDV SPACAEQPPASPLELNGEPLGDDDIPEGLEKMQLVIKWGGESTHSSRYQSRDLGDAFK KDIMIMNKDVLNNVKVYTSSERRVINTAQIFANALLGLEGGSSSHSSTIAGNRNPPEP CPQISHLIQRRDLLDDNNAGKEKMTEAKKKLKILLRTGETEKRPDLAWPKSFKKEPVE VVKDVIEQLTQLRSIMRKNYENGNVEKIPQQRWCSGDSPWLFRERWEKIFEDWVGVKQ EKFDPSRVSELYDSIKYDSLHNRTFLFAVFDPEGKGQNFKPGVENQDRRLHDLYGMAK ALFDLVAPQEYGFDAEAKEEIGVLTSLPLLRKVWEDLNEAKTTGKSLACFYFTKESHI TTFVHLLLSSGLPFTNVRIPELDYCSHCTIELWEKSSGNASTRGQKDFSIRLSISEGA HSPAVLDSNVDARHSLTVQPRKKLSSHIDYDLARQCFSKHFDKGLSFSTTPLEGDEVY LKKPVQDESVLPLSSLHSRGEETPRMISSASSDRSHPGASDGGW
I206_03509	MGLLSQGIDSLSLKSSKSRRASSASALSSTSNHGQGHSETQIDD GDPDDTSTLDKEEGNVLMALISQLRPGMDLTKIALPTFVLEPRSLLERITDFFSHPEL IFGAGAEPDAKERFLRVMTYYLSGWHIKPKGTDKSHRYNPVLGEFFRCSYTYPDGTEG FYVAEQVSHHPPVSAFFYISPKNGLLVTGELKPKSKFLGNSAATIMEGEDRIRLLDRP EDGDYVITMPNTYARGILFGKMLLELCELSTVDCQATEYHADVDFKAKGWISGGYNVI SGKVTGPGRTDIGDLSGHWSSAMDFTDKKTKEKRTVFDPSRAKVVPKNVLPESEQEEY ESRRLWAKLTDAIKTADMHGATAAKTTVEDRQRELAKKREASGEVPDSRFFKHVSGDR WMPKLDVDNLPKDRQEMEDKVRQWIFGDKSPKTSSGSTVTSPNAPTSRKSSVPESFHS STSSVPSSPTTTTATKSTPPTSATAAVGDTASIASADTTGTATSVPAVTTAPGPPATG PKFDHPV
I206_03510	MSLARTIRHARSVGFKEWFRQMTYIGDAKMGKLVGTDQLGNRYF ENTDPNEEIPGRHRWVDYSQDDFNASQVPPEWHSWLSHIRKDAPSEDPIVKSVTPPWK IPYVENMTGTRGSFKTYSTTAPKIRAWEPIVKPRGGNQSTQ
I206_03511	MSHRSSSPFNPNPPSASSSPRRTGSPLPSRGRTSSPRPPPSYAR ASLDRSPSLNPMVLDDRPRVPPPIYLRSSSPGTSGKGSMKIHVPAWGVSLVRPPRHLD LHPLEAGSTTLEPPSEDTVLSGSLEVTMKERRRVKAISIGVQSVCRLHMGANRGWEED GIFERGVEVLGPTDIEMEEGIWLEKGSQSFSFTIILPATLATTDFHNFGRVSYILTAK VEGIQSSTSFSSMFKIASTSSPALDPTIPNVGDFERVLARSDKLVSTGLANRGGHTSQ ESLVHQTRGLALDINDESQEDPLWDNDAITVGEGPSSVQGLYTRREDPPRPCSHVPPL SLSPDQLPSNPLSRRHSHSDAYAKTEKNGWMKGDLVASKALIVHANPSRTGGVTQLDI RKEGFVDGLGIWRFTANADVFSISSVLLISIKLPAPSSTTTVFLARLILSQSYSIVSP RTPNQPAHSPETSRQHILYQVGRPHKANEKYPGREVEALWRGKDVPGKGKRDEADSGW VIRAVARLPGHDKIRPTTCDGTITPIRVKHEMLLQIFYSVDGRCVHNDPIEGPGELRM MSVKMPIAVPSCCLTLNALDLPTYEVAHSAPTENIDSIISSPPGKNLCMCGSTFAELG EAAMRRMQSVEQDEEDERINQTLNPPPIGSRQSSGNGNGEKDLESRRDSHSGSGPGPS GSQ
I206_03512	MSKLQASSVRGSIKTLLAQSSLDTHKEAGGKKRNFVETIELQIG LKNYDPQRDKRFSGTVKLPHVPRPRMQLCILADAADVDRAKQLDEELPFMTVEDLKKL NKNKKLVKKLAQKYDAFLASEALIKQIPRLLGPGLSKAGKFPTPVSHSEDLQRKVTEV RSTIKFQLKKVLCLGVAVGHVDMEEDQIMQNTMLAINFLISLLKKQWQNIQSLTIKST MGKPQRLF
I206_03513	MSKFPNLSTLLSRRSNPSILPISPTRAGNNKPKSLQKTKRTFKP NVTRVDWPINLLSETVGTDKDVLPKLRGVKMQMRRVRDVEKAGGSEGLLLSRASKDLT PFGAYLRSQVFNQLHRIKIDLEAEKRAEAGLPLESGLSGASPIEEAQKAQVPLVEGQ
I206_03514	MPPTPCSLCHTARALVKRPKTGQQVCKDCFFEVFETEVHNTITE GKGIFERGEKVAIGASGGKDSTVLAHVLSVLNKRHDYGLDLFLLSIDEGITGYRDDSL ETVKQNQVEYGLPLKILSYNELYGWTMDKIVEQVGRRNNCTFCGVFRRQALDRGAAQL GVDHIVTGHNADDIAETVLMNIMRGDIARLGRCTAVTTQSEDTIKRSKPFKYAYEKEI VMYAYFKKLTYFSTECIYSPDAYRGHARVFLKDLEAIRPSAIVDIIHSGESFQLEQSV QKGMKAMQTCLRCGYISSNDLCKACALLEGLEAGLDRSALRQKQDSTSAAPTGHRTIP MFERYSNIGVTQPTEGIEKAIQAIEIR
I206_03515	MQRNIFCPSPTKEDLEPLLKLPQATLLKQINEIIFLKGYQYIIK KGDKSTKKYPEFILSCDHHGDKTTTKNGYFKTNCQHKIIFKPKDFNQKWWIRRRSGFL KSHNHAKKGLRPGQNDQELKRSVWNLDEREYDNFRNEEENKVDKSVRENHSPTKVSAN SSPSTDELAMKCPLTATTSKTAPDIPISIDPKTDKFLEESPDVESDDEFDPSVQSFVL SFDNIIDTAKQTEKKPGLGNSGRKNTTKETDQAVHVVTENNTHSRPCNDILSDQEDTL SIHDNISIGSTRINSKTGKINEEPDLINTMEEITGQQNVRSLAGETLKTHEEEMKTLR KTIQRANLQRTANEQEITMLEAMNIKLTEQLTNVRSEHDAIYRNLKNENEELKVELMT PLMKGQDDEKPPREEKRKFEVDIRNEVDCKIAKKMRYHEPFRQIWDLCQIQ
I206_03516	MPQSSAPPVLYSYENVDKLTSSLANFILKAQTDAISHRGVFTIA LSGGSLPNNLKPLVDVKEIQWDKWQVFFADERIVPLDHPESNYSACSKAFLDLVPIKK EQIHTINTELFREQTRIDPTAEIKSGEEDDAENEAVEIADDYEKQLVNTFAGANAARY PTFDLILLGMGPDGHTCSLFPGHELLSENDRWVAEIQDSPKPPSRRITFTYPVLNHAF RCAFVAVGEGKQEMLSSILDKPEEGLPCSRVRPISPGLVFWFVDNAAAAGVKYPKTEY KWIEKATDDDLITGERKRLKEEMDAAVEAADK
I206_03517	MSTPKISIKVSTPGGPSVTSPAEPVTADATGGDVVTHDVATAPG KEREREPIYSGEIGNISGVGESSTATAQATQDEPMATSTPGVDGEAPTPAQEVERPTP QQLHDLATTYLAAQTHPLVIPSYSSWFSLSTIHPIERRSLPEFFSSRNRSKTPAIYKD YRDFMLNTYRLNPGEYLTVTACRRNLAGDVGAIMRVHAFLEQWGLINYQVDPDTRPAA LGPPFTGHFRVTLDTPKGLSNLVHPGTRPNTGALSLSAQTNGVTPHPSNLDLRKTIYN STSKSSKPISSGEAVKLVATNGDVQPKTQSFACETCGTDCTRTRYHSAKDGEYTLCPT CFVSGRFPSTMFSGDFVRLDEETFKHSSTGAGAEWSDQETLLLLEGVEMFDDDWQNVS EHVGTRSKEQCIAKFLQLPIEDSYLTSDPTSTDLGPLKYQAGLNGLPFDGSENPVMSV VTFLASTVGPAVAAAAAQSALGELSKGLKRKRGDEQENGERAVKAEKTNGEDTNVEKI IVDGEEVLEGSSEKINGEGEEESNDDVPNTIEVSKVANIALNSAAIKAKKLAKHEDSK ISKLISRLINAQVKKIEIKLKIFENLEENLENEKRLLELGKQELFKDKFLIKKQLEKV ELLLKQAKENPQQLILNDSSTEKKNLIEEIKIDLNSNNKSTADLIEPVYGITKVPPIP IEEGSNNLQKL
I206_03518	MSQSLNSTLSSLTRRLNDLDSFQLPRLKSCLGPLDLHKELVEEM RNDLELIRYNIDVAKEITYSITSFTDKEQIVNRIRELEEQYISLKNQFREIMLESKKN ILSRKSRIHELSEKSKNKYELNQSKIKGDFLNDDDDDDKEKAKSAIEFGMGGDDELQT KTNEVTIALKRTTELMQIELEKSVLSIQTLDSSTQNLISTSNLYQNYKSILNNSNQII KLIEKSNFFDKILILSFLSFFLIIIGFIIKRRIFNKTFGFLFINLFKGFEWYFLKSTK LIKNVSKFDNSYSNSPKNMKTNLEKGNERLDLQLNKQFQDSKINEDSAVISIISSNEE EEDIPIPEPGSVPKQPFDLTKVQKR
I206_03519	MPLYELFCIAVHNPASSVNLRSVINSLSNQIHSTGGVVRDLKKL GINLTLPQRMRRMRQYHERGDHFTMTFDTSPIVLKRLDETLRRDPSIIRWTLLKRASK VKDLHKPLNPSIEFAEIESLKDKL
I206_03520	MSDTPPHASAHVNGRDKTPELVSSREKRRREDEEDDRDANRDRS HRNHSGRSRREEGQDQDQVENDQERWRERERRHRRHREDITEEDQERWREREERHRRR REEEAEEERRERHRRRDEETEEERKERRRRREEETEEEREERHKRRRERDYERERERR YRDRSRESHRSSISHRQNPRSEGNGDERLNNLREMTREEKQAEREKRDREMAEEKREA ARAREARFAEIDRERELERRKLRDEERGMSPMRRPRRGSPSYSSPVPPREREREPRDP ATALLQEVEKENRSIFISQLSARLTSHDLGSFFEDKLGRGAVRDARVVTDKVTRRSKG IGYVELDAVELVSRAVALTGTIVMGLPINVMLTESERNREGLALPPGLDVDAHRDAAI PFHRLYISNLSFSLSADDLQQVFEPFGEIEFVDLHIDFSGMKKGTGYVQYKDLKAAQM ALDAMAGFELAGRPIRVQTVQDRAYVAAEQIEDNGNYGTRLDANQRQQLMFKLARTEP TSLIPRSKTPLMNPTPFIIVSNMFNPDEETERNWDLDLAEDVKGEVETKYGKVKRIKV EKLSAGEVYIEFGEIEGATSAIKGLNGRFFGGRQLQASYISEALFKAHF
I206_03521	MAFIFTNLLNWLRSLFFAKHLEVTIVGLQASGKTSLVNVLGSNQ WSEDVVPTVAFNLRQVRKGNVTMKVWDVAGQPKFRGMWDRYCRGADAIIYVVDAADRS SINTSTSELHALLSLPALASVPLLVLANKNDLPNSIGVDELIKEMKLGEINGRVVSCY STSNKTKHNLDIVLAWLTQRAH
I206_03522	MNTFIHYHTLDLRIHDSPSLHKSHQPNNKSTHFLPIYIFDSRQL DLSEFSNTPKTPAPNSTENISNQIDDVKFEPNQTRCSPKSRLGNFHRTSPFRLKFLIE AIYSLKESYKQSGGNMLIAYGLPEKIIPKLIKHLQNKDGKIEGLFAQREYTLEEISNY RRISSIIEKQNLGIKLEFNDSKTFIEPKELPFNPIEDTPDIYTEFRKKVEGLGIGLDE MIKQPLKTSEFDNDKIRVKIGNEQLKPFPELNEINLNEGEGGFLTSESMDEVYQKLVK PLLDNPPIGGWSSTSIPKDTIPKLHPESAIPFEGSEMSALKRVDDYIGISREDRWEGG HKAKHYKETRNGLLGEGFSTKFSVWLSLGSVSPKEIGWRVGGLLEKEGRDKEVWKNVY WILFELLWRDYFQYTVLKTSLANTSSKTKEELHPNSSLFNPDGFSSQISTYPKDLRPN PAEWHQANLNNKDDPARRWCEGRTGVPFIDANMRELIQTGWMSNRGRQNVASFLTKDL YCDWRIGAEFFEMHLVDYDTCSNWGNWQYQAGVGNDPRSSRQFNPIKQANDYDENNEF VKIWIPELKDLPKEYIQTPWLFNDQSKFQGYPFKPIIELSSWKKHYPNQPSTRNRYGK NGKNKAKFNGKGPKNGNKKQNESI
I206_03523	MPANIALLGSGVFAKASYLPAILSLHGDVLNLHSIWSRSSSSVE SFLSEIKKESSNSNLTSNSKELKPELKFGENGLNEILLNKEIDGVLIVLPISSQAEII KKCWKSNKHVLSEKPIAKDLKIAKNLIEEYEKEYKPKGIIWRVAENYAHEPILREAGK IISNTPELGPILFWQLNFQGYIEDGSKYQSTTWRTIPDYQGGFLLDGGVHWIALLRTV LPLGALPNSIISIKSLHRTHLLPYDTIQSISLPLKSSITNSHGPKTKVKTAEFNEKDI IGEIGKSFPTGQILMSFAISELPNELNSKSQINGLKIHFLNGLIEITCEFNEITKQRE FIFELIPSIGSSSKNLKNNKINGPMNGVEIEIKMFCNAINDFKNEKSILEEDDFGKPR DALYDLSVIQAMLESDGKELKIDNSIDN
I206_03524	MEFLAQPQLNLERPKNLYPCLAHIHIIPSSDPKATRRLSTGSYG SDDGNALTRVISGGTRRKSSFGTSDNTSNPPSVGGRRLSFGGNKDNTPGGALGGFARE DDKDLQGKWYWRVQVGVTETQLILLPLTQPPNPVLTSPPAPLSHAMPSHSTAPSSGIR SEGSQIEEDGGLVGKMKNLFRKSSTAKDTSETINTNVSATNASTGTATVDTTSSGSAG FGQSGQAERVIDQTPRGEMLPSAKANEMGATNLNANAETGYPGIINGNKLNGIVIPLQ AIDKSKVVNGGGKKGEGSWVTVPVLSHFSHFAQSALSEHGGVGSNKPEAFPKSGYIKF EFDKDWIGAKGESELLHHHLTHAINVLPENKDRQPHLAQFHLGGHKQHTSTLPQTREY GPNDESAFEEDDEGPTGQPKDRYTGTGAGMGTHGSGMGLGNATETGMNDMAAGVTGDQ GIALGHPVHAGQGGSLSGSSVSGKVGGESV
I206_03525	MPPRLPSRQCVELVQLGFLIPWAGKRSTHTPTSTSTSTNATGPT SPTEQHAESSKMALQRRQGLSTEYPSIIHQYLSSPNPPSPSSLLLMLRSRHQHLTLSA GQALSVYCVRMGDLKSYRRVWKLMSENRVAPIAMVLNNMAKKFPHRSKVIDTDSVSKS TKFGNSFDVLRHFVSEKYKFRPNRWAVKMFPPTSTISRMRYRKVELLNHLHYLILQGE SPTFKESISLFRESIDYRDSENLYENINFLNLHLAYLHRLPEQDSEKIDGLDLVEIYQ KEFPSAKLNKQTLHLLIKSLMQSQNQENKILACLSYFIRDHNIIPGPETFRILARYST YLRSDELAHVAWQGWIESMKQLNKSQPFQKRKFNFPVDNVKETTIDVQQEIPRIRFHR FGNMNKRWTGIIDLYKGVGWITQHEYELEHYSELDGLDIEKSYKWLGEKGRLDMEART QMDRDIQVEKEKKSIIEEGINVDNAVLHANEGFVNISDLDQEMRKEAALQSTLDQTIT PETLLSTSNIVTELDLSGILQNSPEDIPAHTDSNASIDETQSMSNIPDTPNTHDIHQT PYFVIIRDGSTIKIRSKAEQDQKQLEFEDHLNGLSIDDKPIWE
I206_03526	MLRSAWSIRQLVVNRTIGQRGLHATTTPFPNKLAFAFDIDGVLK QGHHNVLPQAKRVLELLSGSDGRLPKPIPFLLITNGGGVPDEERRAILSEELGVELTE NQLVQSHTPIKEYVEKYKNKPVLIIGGKGESCRRVAESYGLKYPYIPQDIIAWKPSIW DRTELTNEEKSFVKTTDFSKISFAAALVMHDTHDWGRDITLILDLLSSNKGIFGTRKK GYDKSNFKGDVELIFSNADVEWRSDWPIPRLGQGAFRLSIENIYKSITGLNLPFIQFG KPFKSTYDFSELMLRRYLKQVNRNSNGELNVYMVGDNPLSDIDGANRHGWSSILVRTG VFNDNNGELPSHKPTIISDDVEKGVEWAIQEEIRKGNY
I206_03527	MADSPTSTAHDPVQNSAPLPSESTPFTFSCKCFNLKVNGRIANK DERKISKSSKDRIKVYLPVGAEVVRLNGYVTYDQDDFKQPMNNDVEEDGDDTLGPSWR TCWLCDTKCYEATGKSRKDGAADEEWVTVKLGDGILFGDDMHNLSQELLTFSKLRLAF SKTHSNFGKPPNNHEPTPYPLPNQTSDPSRLIPPPHDPFFLPPPFIPNNAHLRDLCDH AGDHLKQAHKKLEDEVKRYISSKAQEMRDLEEKVRGEVEMLWIKYKDGPGKGEVEASE RARSSSVSRSGSISRPISKDRASPLDQPNQSKNPLPKAVSAISPPSNAPGSSLLAQSL SANTFYAPQPINNASASVKDEINKTLDEVASTYDKRDDSRAVAMSYVLSSLSDHMGGS TSGTAGIVQSKRRSSSSKPEGEPVADKDSWIDEERVTLRGLSGKSNNMSALVEEDGES STPRPKAVKELQGEKVKGKGKVTFEEPEVGSPQKVEELQDDTEDTVFDMEMDDHKDSK PVEEDSSPAEKLAQLPISRTRNIVEANLSRTFAADAPSHRAAWKKIEENGSMYATLRR GSSSSDDDVVVEDESQISKLAMSMPMAIHLPKSKIKQEKISELERKTSLSDKHGILVP PLLKAMRQRGISQEGNSLGLGLGIPATTTGVRGRSLGKDGNTIISRTASVSREREQLQ SYQNDPGALYESLGDDDDDGEEEENGNDEEEGTLRDKKGFIPPHVLARKNDKEQLPNV GWRSMVQS
I206_03528	MRTFTAFTILAFVASAYAAPAFESSITSTPTITEALPTDALSES LSDLPIPTGTSGNGTMSPSGNFTGSPDHKFGHYGNFTGSEGNFTAPPGNFTGPDNSSS PSMDDVPTGVPTDIPTGAFTAPISFETGAIDSESVAVPSASASDVEETD
I206_03529	MFVIKATLKDETRRLNFDRSKFPPYTEVQQKIRSIFNLPSTAHP YWVNVLLFPDDAQEARIMFKKHVCDAAEYEAAQAPFTHSGLPSPALVFTVLLASDPRL NAIHGYHRANSLLTSAGDLAIHISTIEEDLAKRVSLLTALEDKLESCKKDNDQTGVTF WNDRVIDKKASVKHLQDELLDCQAEFTRMNDQLNGSSLSDGYSSQSLRDYAEFEEREE VGRAQQTEDELAAWKAGNDFNAESQLFPPLDHLIPPHGPRHRGFPGHGGRRGFRHPPN FHPRFAPPPPPPPRMPNGSYGGFGASPPHHHGVPGDRGVRNLFDRVSDALNPPVPAEL LPAREIKSMLDTFLVNLSNQLANTFEGSPRVATHEATSETERPIPGAFVQNNSQPQAD AQIQTHEEEKKSDTRSAHLGKGGFRHRRIWCDGCEEGIRGVRYKCEQCPDYDLCGSCL PLLHSSDLHPSAHTFKAMLHRDLTERIKLNPEGQAEETVRHPATCDLCSLAIKGVRWK CLNCPDWDSCNSCAATITETHPGHSFVKLHKSSDYVTNSSVEASDNVHHPHVVCDGCN GYIRGSRYKCMHPSCPDYDLCEACESSPLRVHPVDHPMLKMKLPLKLNFSSSFEPLPE TEASQSHGHRHGHGRRGHNHERRVNGDAFGPRRAGCWRQHESPERFNRREHVTSAAAE TSVNNLHALEETSQRIPGGFVSRNLYDAQESVGNLSEPLTPDNKVEPLATTDTAKVRT LTSSVAGPAPALLGLAKAVTTIIQESASAPPAGREGESRSVSGTATPKEPVTPLDILS WVRHLTIPPGCTLPPGAEFTKTWKTKNFASGSEYKFGKVKLHLKSDGILGPASNQVVE FTKEAIKDGEELEVTIEGLKVPEEIERGIEVVEFWRFEDEQGVAYGQPLRLRFTVEDL PKVDSLGSSAVIMPSSDSSVIIPTPPLRDEEAAEEKNLVNQLSSSLSSPPSIVGETNS EVYTAEEGDNEGESVVSLDSESDDGSLIDIEGVATETTSTSSRAPTVIDEDEDDDDGF EIVEGTEDELTADEL
I206_03530	MSAASPTPSNMPPPPANLKRSRASGAGPSASQGSTGARTKRRKP EPGSDEGDLPTKKGTGKGKEEETVDPTEVKTKIDFNDLPVETLYKYLEYHDLLPRWDV SPWSEEPCTPPNQLYTLSSTAPIIPPPSTIAPQVQLQEPSQIEDASHVIHTDTALPQT SAQYPNPENTVNVGITSQSSENHGLNQPMNTHDDPLPQLPPTSSDQIPSVNEISNKQI DQTILSENPEANPVEPKVINNAPEIKNGEEEEEEEEVVLDNFEPPTTRSKTLPIRRQT SPEILINESIPQIKRGVITLSDVRAAKEVLAEKANNHWMKGLGGGQNKEGETIVHFLY KMKVGQGRLLRVYNPAPANQSS
I206_03531	MIKPSDPRLKENVEKAAKKAEKEKAVEEKKAVAQVSSSLFLSHN SDLGPPYRILVDTNFINFSIQNKIELVQGMMDCLMAKCIPTITDCVLAELEKLGPKYR LALRIAKDPRFERLHCDHSGTYADDCLVNRVTVHKCYIVATCDRDLRRRIRKVPGVPL MYVVKRRYQIERLPE
I206_03532	MTTSTPFRNKSRPRTDENHHAQNRYSPSKRQKLLTSSKQGNVIK DEPPKDENAFMNELLAGIDASMFNDSQSSPIKAISQLTSQDLGSPRKKQEPKRKHHKV KLEPLSPVKKLSTSPRKKKLLKSPSRPKNAFAPTLINPVKIELDKPVVKRNADLKQES ITLVDLSYKQEEGIKPNLEDGDLYTFDFDLNDMSAFDEDLLDPKTRYPMQNPPRPPPP SGYTSTPWARCIVNAVCTGVRFTNGVIPILEDIERDETGGTSFGKTLIVTIAKTEAKR VVHLKDQWSNLHIKKNDIVNIISPVILDQPFTRPITLTLKDPSSFLIHHPDLMLTMTS VSNAMPCPRKPILQTLIKASGPPSKPILYGTLLHSLLQGALAEQSFDADSTFNRIDSE LKKEERRMEIWSTGMGIMDVREEIGMRAGKGFEVFGNRWIGDEPNGEGELHTAPGENP SLLAINGLHEVEEDIWSPKWGLKGKVDASVQAKIIRDPSKRDEAEEYVAPLEIKTGRS VGVMAHRAQTMLYTLLMEDRYGVPVPAGLLYYSQLDSILRVEAKQNEIRALIIARNEL ADWLSIKRRIPKPISPADTDVTGIEDVEEPFLPPSIDNARECQSCYAVDACMLYRKTI DNDPPNEEEPIAELFQEKTGHMSQKDIEFYKKWDTLLTVEEQDIGRYRSQLWTMTAKE REKTGRCFGDMIISKYSNDVGKSLAKIHRHAYTFVRAPHAGTQIANTSLLSGHIAKGD PVSLSIEPDLLCLSRGFVLDLTAESITIGVTYIIDVEALLKRTGQHHALSEGEGKVVF RIDKDEMASGMMRMRNNLASLFYAKNRDELRRRLIVGLEEPEFEPSWRPTEKELPTHL NEDQIKAMKKVMTAREYALILGMPGTGKTTTIAEIIKALVKRGKTILLTSYTHSAVDT ILMKLVNEEFGILRLGNIDKVHPDVQHLTLEAMEQSTNMEQLDKRLMGPPVVAATCLA IDHPLFFKRKFDYCIVDEASQITLPTCLGPLRMAEKFVLVGDHFQLPPIVRHPEARRG GLDISLFKLLSSAHPSSVAELSMQYRMNEDIMLLSNKLVYEGKLNCGNQEIAQRGLTL RYRKECQKIFDTSKDSETNHPQGCWVQRLVDESAKCIFVDTDGLPARDSRIGDLVQNE VEAKLVQQLATALTNSGLSQEDLAIITPYRQQIKLISSLLKPLPRVEILTADKSQGRD KDCILISLVRSNENGNIGDLLKDWRRINVSFTRAKKKLIIFGSATTLSRDPLLKDFLE LMDSKKWIVKLNKGDEILHQVNPNIKQNHHQSVVKLQSGEDAKILSEQIHTKAKKSNV IEEEDLFVKSNINSRNRKKVIRAGSEVLIKGAFGKEILVSS
I206_03533	MSRAEQAALVAYFNTFKLSKQVTAFKDLSDGKALMEVLASIDST HFKNISNRTPTQTASGKRLYRLLLSFPLPPPHAANLSLSTLPEPPFSTIAKAPSTSEG SKGLVQICRLCLAVGVWAPGNEKVIAKIQGLRESYMAELMKSIEEVMATLPQEERGEE ELKSPFGTSPIKQPTDLSPPLSGLRQERDKLLQDNDELKSRCGKLLEQVETLTTNLQE VKGEREDALERLAAARTDSNGSAAGLRTSQTAATAELDRLRTDLGRAEEDLAHSESAL EKQTNLVSELTRTVEELKHQAAEAIKLRDQMDEYRHAADRLKKSENVIEKYKKKLEES AGLRRELRNVEEENAQLVNTITSLEVDIKKAGSSKGLIDSYKTQIETLEKASGEQATM IAELNHQLELTRAELDDVSREYERDQSTLEAQETRLKEIELGAPALKRQGSRSSVIGE KSTLDDELGILAEDGEGKADTKTDLRLKIRALQRELTELQSGGPGDSNRLLVLETLLA DANKSRDRYKAEYLQEHRSLLRLQATMERIRSGYGGDSSQTASALREQLNDIIEERDE LLKQKEALDVAREGVERDLSIAKTDLSLVDKDQKAILSSLRETVQKDTIKLEDEIINL KEQILSLKEKDHQHLEDIKRLLLEKIDLQSAGIETKERELEKEKEFGDLRASFASKGL PQDTQQQFLSLSSQNSDLSGQVKTLDERLQKAKSFIKQQDKMFREDHANIVRGSCYTR RTKLIYLSQEFGNFAEASKSYQLQITRLKEDLLRARQNSAAIENRYKLEQRLMLSAWH DLGQRTIKEHLNSAGLRKVPKPIANSWLGRQRRMQDEATFAR
I206_03534	MTTPTNPRSTSLPSPSTVIVTITEIEDMLYDDTQIKRLLVKGES INIAQLAYKIHILDPFTSDLHEIANTQSAIATVLYEDPSIKRLLVKGTSVNLDSMAKR LTETKIDDLRIKRKYSCSPLQTPEKQGTSKETGISDAENQNLASSLSNSITPLVRQAK RTSNWTLNTLPCKKSKITFGNSSWVSYYEC
I206_03535	MTIISLGRCIGSPAPCRRRILKQYIRTLRQSIRIGDKVKGFSST ARIDKTFDKFKVLFCGSDEFSVASLKAVYEARDLWESIDVVVPPEKEIGRGGKQHKSL DKYIPALKQYADHHALPTHSVPSEGIKSWTPPAPFDSINDSHILLTASFGHIIPSRLL KLFPENHKLNVHPSLLPKYRGAAPIQWTIANGDIKTGVSVQKLVKYSKGVDAGEILGS IGNIPVPKDATYNSFLPQLSNVGGDLLVDVLRQLRDGTAKSTPQDEGQITYAPKITHE IARIRWDEQSAEDIDRLHRGIQHQVTLWTPVLSTTAHFISLKPLNPSEYPSNLSNEVG IAYLEKYGKNRRLFVSCAQGSWLEVLEIQMAGKKVLEIKEWWNGLPKDVRDSGKIKLS 
I206_03536	MPALAYIPAVAVNDTSGLNFTDSSTIAISWTDPVGTYSGAVSFQ LQADVPTGGTTAGALVHFSESEMGVNLTTSTPWIAYISCDLNETNASQEWDIFTLARD RGAVSALLYTAHSQSCLLNSEYITDFEKPLDVFATKTVQVARLIDNQFVHTNTSFENY NGTLLNISGSDVNNSLADNAPAYKSFLMGTLTARNSTGQATATGIPNAQPTSDGSSTG GSNKKTSAPMIVLYTITGVVSFMFIFMLIMGARRAMLHPERYGRREDDDEHGPQSTAR GLAQAVLDTFPIIKYNRTDGQFTGPPKRLSSENNLDSVPLHHLSRTEDLEGRRTNGYY DGDNYAESSNSGGKSGGATHVSEVERRMSSIASASGHHTPKSSEEVEQEAGAIEGQQC PICLVDFEPGDDLRVLPCEREHAYHQSCIDPWLLEVSSSCPLCRKDFNAPSTSVLSNT HNSGDSGTPSPHSSTYPSDQVQVQPPTQHGFARYLAFMRRERRDVGSANNNRRSRVDN GVGRGRSREADQTGPGGY
I206_03537	MPISPAGMTFSALVALTGFLFMMWHTWHYDRWKCLLYSKDDWFR AVMCHILLGSIACLMVFTWIDVHVLYAEYYIYLPQTEQTIIAPWQLWSELHQKLYRIS LYFMTAGWGFLQAIHLEEFLYWGYLIKSIKTPGGPKSTWLKSGFFKIWICLFLSSFAL LIGSVHIETENLDMMRAYLFVVGSSMSVLLALASGILCFIFPSFLRTVKNQGASFEVL ERLHFFSEMNQIRTICRIAYSVSILILSADGFTESKTINKSAFWHDILYLIGQLALFA ATCLSIVVLLPRNMTSESLPPLTKDQTFLPMAPYNPNPKQLKGGSKLINGYSEKQFFE LGERLNVYQDNSNNISGGYPEIKVEKFEMSKFNKDQDKNKETSSIILEESAPFTEIMD KSKKARLSEFPTLPNVVSKFKSPFETVQPKTKGPTQVFVTSHTVVESQ
I206_03538	MVLGRLAHYAIDALAISTILAGVKKTTGYAPATDQIPDSSIKSI TDSYLSAGETVFSIIAGQSVTSQYFKKA
I206_03539	MAHAPHPLATLDQIIKSPSSIDGIPKEVEDDLRTTGCMLIQEAG VMLGLPQDTIATAQVIFQRFYYVSSLYSFGVNDISISSLFLSTKLCETPIRLRDLINT YLFLLARIQYLLNLPPDTRLISNKISNGSSWQASVPGFHDGVFWEWKDVITASEMQIL KRLGFNMQVDLPYSHMINYLKILDLVFEDQVAQMCWSILNDMLLTSMYVIHPPHTLAC ASILLATRLLRIPLPSEWYILFDAEYDDMWTCCCHVMKLYSDWGLDKLQGSDSSGLAD SDERQKGKENRWRRAWVLAQSKKAVRRWLEEREKAIA
I206_03540	MSDDWDIDDEPTNSGSSTPALAALPPKAPARGRWQGEDEEEEQD DWDVSEDEKPKTAATTAAPAKKKMSLKQKLAEKERLAAEAREKGGDGDDIIETMTEQD RRRLAREREQEADLAVAADLLGASSLDDTPAKTLQSIVTSNPKTKSDFTELAKDIFAT LIKQHESNPLYATLAEELSKIVCESLTAVQTRKISSTLSVLGNTKQQEERDKASGKKK ASSKPKLGAAKPASKIDTEVYDDILDDDDFM
I206_03541	MTGLPATRTEVTANPSKMGVTVAASGAELESDIQRKLKLWGVVQ AFRDGRLPDNHQIDKALSYAVSNSPVEVQQLSPEGRELIEDFRDIIETARAIVAEKNA DELFQNAVWASYSGDATRAKQSGVVPVSKEDAKSDASQAAAHLRVLITLFLTNSEARK LLSDFGIIGRDIFATGAAKVADKARPNQEQLDSVDQEAPSKEWIGADGQKLGPNDTPE LQVKGPDGSQVRYNPKDDPRSAKVVDTDGNARPAGQVYDQAQQKKNEAQAKKEDAKAD AKGSAKEHASDLNNARDPNASLSQQKDQVLGRANEKTPNDVDVQGGNVNRDTDADRAE AEGQVRSKAQQLKERIPEEHRAKAADALQDTKQFFKEQLPEERREQFIYRLKKVVVEC QEHKDYQEAISWLLDTLENYQGHAKHVANKGANSAQAVADDPSIGDSTLKFRTLLERF ANGRSMDGITNALDQIYSDAQNDDHLRGYFSRLNDYVHRVLLEPGYILEDDSDREATQ LKEDGKSFFTDKYKGHQERLFDELQVWFTAFNDDPLNRRLGEDIKRFTKDLLFNSEGN LTFKPKLWNDVRNVLLPTFIRQIGYVPIPRAEYSDNQIDLVIENLVLSGPNLFPNVVY IESDNSFRFSPYPAINKQIPDSHHHKMRLSLSQIQADIRDVQFAFRRKSGWPKISDHG LADVVIAGKGIGIDVELESVENRRDSVFKVNHVNVAIDTLAFKIRDSKHDLLYKFVKT FATGLIKKAITAAVQTSMRTALGHLDDQLVEVRNRMDEAKQSDETTRSQALKDLYARK KATAEEKAREADAKTGTFKIVTDRDSQLNPDLSHSHEKSSAQRAFKVEDAASSGKEWR SVAFDILDSKHPAVTGQHHPAAKQGAGAHNTSAVNAART
I206_03542	MSFLSGPFKRSEQIDNGPVTYRHLLSPQAMTVFNPLRTIAHCDI DAAYAQFEQIRLGLPDDIPLICAQWQSIIAVNYPARKFGIKRFTTLDEARKMCPQLVV QHVATYRNGEAEAGYWGEVDPLTHKVSLDPYRRESLKILAIFKEMVTKGEIEKASIDE AFLDLTPMVIDKLLTDHPYLSTIPDDAPEGLDSPLPPPPPINWSKAGNVFPINGDTEQ ASQENNNNGDREEERSDDGNDEETIIRRHNNQDTWEDWALCIGAEIMKDTRDEIFRQL HYTCSAGIAHNKAMAKLCSAWKKPNNQTVLRAGATAAFLRDRDFTDIRTLGGKLGNAI ATEYGAKTVGDMLLVPLEEMQNRFGEESIWVYNILRGIDHTEVKGRVATKSMLASKNV RPNVRTPEQGHHWLSVLSGELNVRLREAREVAPGLWPKTLVLSHRQGIDPSRSRQTPF PFTRNLSTDYILKFARKLWEEATAPMKNGNMKLNNIALSFTGLERLEGGQQGIENFFG QPKPQASETLGISEPELRPTVSSANSSSGNISTISNLAKRPLSPDRTPSSSSLISSAG PSPKKPRLPTLHTGTKKKMGLDAFLSKRGEPSAVKREESPTPSLLDVMVPPVSTKETI VITDEDYTAKAGPSTPHVIDKEVDQDDGKWICPKCNLCLSASEDLKEARGDVLKAMKQ EHEDWHFAMSLQEGSGSSSASNRARAESREVGTTKKKKKKPEGIKAFFRPK
I206_03543	MPGERFLPASFNPFSRSSGSSSSNPTSNPNNHDRIPPPAQPASS SSSTLLGSQRYASSSTSGTGTIPMTDPHRFLSEILATSHIQRDGRFSNSMKAALMRSL FQCIWQKQDWIKYFLPPDEDITIPSFSHLNDWLMNENDTFITKSWSLKEAQDKADASL LAKGKGSIRKVRNGTICGKVLNRFERTFTCKTCAINPSVVLCADCFQASNHEGHEVLF GQSYSFSASCDCGDPSAWRSSATINGNLNPGKAVGCSHHPPLAKNEKPTQTLKYEIPD NLLLSIHRTIVIILEFIIQTLQHSLLPSEYSSLPKTETELRDSDNPTGEAKERRSRGP WSVVMWQDEKHVLNEMTRQLRDALGIKWEVAEQWIREVDEVGRKVVLVSPNPVVAFHA ANMIQQIDAPVSLRLALDTYREELVGVLISWLNDMVQCTVDGDDTVFKRMLAKALYEP RLRNSGVGAGTPLSTDLKDLEWGKIMGGHDTRRIDWLLQLDSRLWKKAKWEMRQIYCS VLLFDQDVRKDLASRFAMNYPRLVEHYLFQDRELDTNIIYSSAYLIFTNGAVCVHATT KGQLYNNVINVAHAWYTGQVIKTDGCDRLVVPPNYFDQNDPSAKGRMDVEVPAFRSKK GLALLGHLRSMVRYPEMRKLVVRQPQLFNRVLSFINMFVGLQPQRREQEEHVEYEVDW YRSFIILPDMAKLCREVGEIFQSGSPDNVLGSMVVVVNRILTDMMLMSNTLDKEKYKR PIEHDVQDVLYAGSRFSLIKQSISKIEAFSFHHFLNFLLAEMVKSSKDMFDPADGKLK GLHFKEVIEQFVLRANNPSDSERMKLLVIEWSIQTHVVLSQIRADMWKKNGAAMRMQH HHYREMTVREATIDQDFFILQLGLTIIDPLKFMVALIERYGLAQWFRGNPKNPDIWLH HSTEPKQRINLLEDFLLLVIHLVSYPAIIDGWSRDKITRKHIIHQLAVQPLTYSEIYK KLPERSQETSVTPILRSVADFREPTESSPGQYSLKDDLYDEIDPYWHYYTKNDQRGAM DHLVARAKKRNPSQSEEPLILPRPLELPRSGQPFSTIGDFLHTTVVSDIVYWTLSHCL HIGSADQWALIVHAATPAEIKTSPVIPTWDFVLDYTLHLIMIALSVAPKEFAENSLQI KGAEGDHSTFQNLWLMQTHTAYKPYKARVDYILDMIVKNLPMEYTVDYRANREAENLL ELSSPTRKTDPKAAAAARQKAIMAAFAKQQQDFAAMMDDESGEEDESMMAEDETINEG EETYGQCIVCQEDITPRNPGGMMALLQPSRTLREAVHDRDWLEESLLAPTCLDKPTRY HRFAHEPIAATQSSASDPITDLTSEPSSTQGYPSSALKFGVNMSACSHYMHESCMANY FDATKTRHTQQVQRHHPENAVRLEYMCPLCKSLGNVLIPVESSATIKKTPIVIKKDGE KPPSLSQMIRRVSSEGLLRVADSQRIWDHHFETGEVIPWFSDCVFSVHSLDHVHRRGN MRSTSRMADRMRGLIRPLSEQSQRIRGKKTHIYLPDDLVGYTVSMSEITQRGLGGPTS INGKQVLSVAEQIPELQLKLIKKLIGLLQLELDLYFGPNFDRTALRVGIFARFLPDWY RSSTLPSPLLLRRPLGMIVECAAIAPDLLQSVIVMAYFAELTRTMLGLSLFIKKSYAH PLKPPSPRSTPPEDETYADGLELFEGFRGIMLSVLRNAGPFADAEGVLNVISDEALSK LLYSHTLPFLRRAAIIYYAVSNQYPVSTPSNLEMIQSGNGKMSEYKKLLILLGIPSPK ETLIDPTTTETPIVARWLTQWAHQGRILPTLEYPGTYELIRLPLKWEDLILKYQNEKC KKCKTKPTYPALCLFCGELLCLGGDCCSFGEEGECNLHMRECGAVVGMFVDIRRWVIL YLYAGSGSFGHMPYLDQHGELDISMRRGHRQYVHIGRLDELRKATWLMHTIPHLTARK LELTSDGGGWGCL
I206_03544	MSSRPSTSSSHLPIQTPSIPPALHSLANPIPCLIDSQLPNYLLP NLLNLLNESSKYVIKKKKIEENQLRLEGLIPKLEKGKGKQIINDQEEEEKEINKLINE EVNKKIERIGLMVGGYIAEKLTIARPPLANHLDIIKFICKDLFLYVYSKQIDNLRTNH RGIFVLQSHSFPPLIHLSSYKGSSNDIEIAKSHLLFPQALIQGALSRLGMQAIVTTET SGLPQCTFQIREIKLSTPNLSTPSTSGTPNLNFNSNSQNNNLSSNSNIISQNRGISNT INKNPSTGLGINQTGI
I206_03545	MSTSSLSGSQTLPPINNPNSPNPNAPIFRPSPARATSLTGTPFQ YKTRAASQPAGERIPPSSSTPGSGRGSPGVNGNGAGSAYGVIGGARTPGRFSAALAGT NGTQTRANSFSAGEVREPRNMILNRTLSSHTEEFVPSRSQSTSPFPTFSPNSTPSTSP AAIRQNPLPPSPPKAGTHISRSRSQSLATGVRPSHIERPWLGTMSTLENVGAFSKIDV GWNISPNEGSNMSPFSRGLPSLGNNPAREEVYGRNGVHSSASRFKTIQEGYNTSGWGA GSSEQNNSATALSNSVHKALGGGEHYNQASSGEGYGNHHSNTNGNRSGTSSRRHSVSV VGGPGGRREFAFGEPGMGISSISPPTTSNSRGLFGFEDDMGNALNLNIERPRRGDMQD KVPFESTSLPQFGIDDRFPHEGHQRIPSLSRPKNGEIFSSFGSTPPRGRLDVLGSTAT VEERDRAISSDSTANSKRFPMDSAAVGSPVIAHEISLNGNGNALSGSPEGTKEALPKM SSGPGIIGSSLTGTNFGSGQGLPPPPPFMPGQSYTGNSRPFGNPPVTSNGAFGPPSGL GLMSSGSSGLMGSPGVFGKPPMGPGYGGGGGYYGRPPIGSGNFSPQLGYNGHSLPPQG GFTSPPSGPYPPQGLPQGSYGYYGGPPSPQPPQQTSPSFSQLSLADLGKGISLNDLPS STPLYIVTFKANRRDVFYCPDPTLLITTGDRVIVEADRGSDLGTVVYDLTPMDIREWQ ERQATAALLSGASQHQPPGLTLSNSANPPPAKEQKKRISGEFEYSKADLDGLLATCGP SGQPDLSGTTIVRGPLAKEIQPKRIFAKAAQTAEEQNRMREKANDEHEALMICREKVV QRGLPMHIVDAEYQWDRRKLTFYFKADKRVDFRDLTKENFRIFKSRIWMSMVPKDDPR N
I206_03546	MKFGTLLELNSNIEWWDYYVDYDALKKLFPSNPLDPTYHSTAQD SETSSILPLHRGRKKDKSANPEDFKKALDKERNKVTEFYHTKLEELFRSYELLEEEIA GLEERDLGQDDTIKEVDEEDEDLIDGNGETRETDNLLSPSLSQTFPSKSPVRSRPSIV GRLGGLRKFGRRRSVMNNPDEADLMEASIRPRERSSNRASSRNGGNGNGNLGDSVASG YFAGEENGPVSSPMGGRVPLPKKGRRSSADLESSTDELVPNNSNGTGTIDRRTSFSSI SSHEGDFSWPKRRFHSLGLVQMDPATVPEWAGPKNNHDEEEGIQPNHGFAGPNSRKPV FVWTANNDYGTVLRIGFKKRISSVWLEAYALKQYVDLNMTAFEKILKKYDKNTNNKMK KQYIQDDVTTNSPWTTEAKEELDELLAKILFLYRRVAAAGDEDLAKEQLRSQLREKIV VDRETVWSQMVSGHNKSTGIFRSVTPEELPSSFDVSKNSIRTPLGRFRKPTWLTPRVL ILSIALAVLVAIVQISPMDGIEESNCLAMLVFCTILWATEAIPLFVTSLSVPLLVVLL RVLRSTDGETRLSAADGTKYIFSQMFNPTIMLLIGGFTIAAVLSKTRLDVMTASRILN AAGTKPSVVLLVLMFVATFASMWISNVAAPTLCYALIKPITDELHPKSLFSKCLIIAI ALASNIGGQASPISSPQNLISLGSMDPPLSWPQWFAISLPVASLSVVIIWAFLHVNYK WETDLAIPKMRKNTDTLTTTHWYVLIISGITIALWCAEKSMEGIVGDMGIIAIIPLLA FFGTGILSKEDFHSFHWSIVFLAMGGIALGKATLSSGLLDVLNGVLERLVEGMSLYSI LIVFSLIALVIATFISHTIAAVLLVPIATRIGDSLEEPHPRLLIMATALICSAGMGLP VSGFPNMTAITQENKLGQRFIGASDFLKNGIPASILATFVIVTVGYAIMRALGL
I206_03547	MPPLARSATLPTLLSLTRLLHAIPKRSEEWVIAASKPIEPEDPP DSPAFWWKLGLSVVFVLSGGVFAGLTLALMGSDDLNLRVLATSSSEPRERKAASKVLK LLARGRHWVLVVLLLGNVIVNESLPIFLDDVLGGGLYAVIVSTTMIVIFGEIIPQAVC VRYGLAIGGACAPMVWCFMILFSPIAWPTAKLLDYILGKDEGHTYKKAELKSFLQFHR EGEEPLRDDEIGILNGVLSLNDKHAKEIMTPIKDCLTLPSDKVLDHESIDHILLSGFS RIPVHEPGQKDNFIGMLLVKRLITYNPDDEWPVSKFSLLPLPEAKPEINCFQALDYFQ TGRAHLLLISETPGQKGGAIGIVSLEDLIEEIIGEEIVDETDRYEDNHSKKMAKRSGP AAVMRGIIERRRVINAFSRRPSRTNTSQAESPNPNGSQAHNTISLPGQTNGTTKATNT QDGILIQIDNGNLVAEPVGFTGDTENSVSIDPSKRLTIGDGSTSIESDNEPISKQPEN LMDTIEETSPVTPSEEQVKSPVSTTVEETKADVTIEVNDNEMLSPNPDQSEEGSADGS GGGSGGGSGNVSEHEQENGEQTNSANGSGSKKKKKKGKKGKKGGNAKENILDDSIKLS MSDVVIPFENNQEFTKACLIYLERYKSNKGKREEGNPLLKGKNKGWEWIENKNLNQGY LYRKLIKYLKYESNLKNETESNNQEEEEEEVEEEMLEENIFDEAFVNIIHPVTIKSKC NDNDNENENEIEIQSKLRLIELEEYIIYSKIFKCSQFCFNAYDENGSPISVNDLLSLN FFKSISQTIPTLEKSLYDDNNPFNNDSSSSSSSSFSLIQSLKHPITKQLVFGINPFKV NKLVKEILLLDMNMIQNGNQEQKQNQNQESKLEKKVKWLECWLMVISNIVDLDYP
I206_03548	MSHTIIPTHPMTLPYSHLFISSKVPYTPTLRIKVRPHLLVPTPL ISPLVTGRRVEECLETTMPVGGKVKFNLSINSDQPDLGMAHGGEEDIKSCIHRMFTLN HSCLGLQSIDPFSNLTHTTASSSTTTMSITPLSSSPTHNLLSNKLIPSHHPVAFLTAV DVHFEWVMKPAPRSRKSDEVENRETHGHDVVETEEEGEAEILYMEDDSYRNGLKDFHE EEGEKILYMEDDSYQDKLDDAGSWGVSRNGAVPPAEPHSWLSTQVRQGVDKLLRLHFI RRFPLIFDGELLQHSTTFTLPRQIPNALAHILLLSNTHGTPLAPQMCKLIKSITSGCQ EELKSIVEISVDLPTRQKKRKSESQESSSTTSLSAKKRRMLQDSKISEVAINYVGDID PIQSYLQAVAEKRKTWEIEGRSRTEQRLSRIIGDVLGQIGRSNFAEKWRRSGKMSYNK IQLLHDLDDLDEESLPVPRPDNEVALPVPDFQKNCEGEDNMELLMEGEEWDDSLTKDD LPQQFSGDRGDSHAVYDKDEDGEDHDDDDDDVEELYLENEGEEVEHGLDIVDQDNEED DLLLLEDEDLCNHPQFHETIDKKVKSPGMDLSSDVNETRRTIR
I206_03549	MGLFSLFKSSPSASTSTASSSLRNTQNDSNNQSTSSNGSTRPTT PNPDTHLSLGDSKLQTPSRSRLVRPSIPPPSPSNSSIASKLRWKKGKGKEKDQGQVQV QNPVQSHFPSPDTQDPIAGLPYEQTSSFHIPQTRTTSLPNSPQSTKRKPPNEDSRQRR RSTLLVFDDPISKSPLSNSIALPVAESSAVTHVSGPIEGHDQGEQECMIDQHDTTSTM SRKDRIASGQFRQIGGILGQWDFEVDDGSKNSSTTEKWLKRHLDGPSVPTSNIKEPSL LLPNDLNANDPILPHKQQGLAPSITDESIVLVHKDDIPLDPEGDVSQISLTQGRVDNH EHHHEDNKVEILESAEKKNKFWKRPRRSSKSYVDEVERSDSPTPRKDTRNTTSLDLPA DRLPVDIDQPIPRQPRPTQLRRPSSSFFHNPFNRSASRTSLAIDYENSRSADDGSFQL KGFRHVSGMMEIEGAGELENYLTHVRKDPRSSISSADLLTSPVIGNNEFPSPSSRTQN VIPSPPVSYALDQPPPIPISRPASIAHSIGSATGEDFITANKVSVAAFRRGIRRPSEN LVTKSDIGHGSTTPRLTSGQYSPLHDAASDEDDDDVPLGMIKGKDIRREKSSQSLYSM TEFSTTRSSASNMIMMDSKRPAMMFDQIDRKASPSVPDGQSSSARQQNQPDLKVDGKS PALSPGQEMIRRASPKPELSFDVNRKKLGHQRNGGGSGGSAFVVKSARSTRDDIRLKD TSGQNTHRQLGNPAVSGASTPIPLPELSGQDITTSLVTSPEESEPIDGYFSHLAPFIN DQPAQSSHPSRVGTPKANNSRQSQSPSPSKAPGPPIAPLPLPQPGVPSPESLNLPLPP DQMPDTPPKNPSVVPNVDANSSLSPNARKKLSLLEEPMKIISGLWHSPPTNDDAFDPD FVLSSMDALGGDHESDKPTKQNGEVIAKGSDTPDLVERVRSPLAERLAGIASSSGMKS PTVPEAGAGVGILQDQGHPHIALPKEEFTSSFARIKARKTSKHDSTESEDESDSESTS AAESSGLTSQSKVRASPRVGAMPAATAKTRKVDSPPTFTGERQVPLGPRKPSTGSNRK RTSSLYTSPGSSMTMNNIHDNVKNDDDRSLGSKLGKSPSVSNLSTLATTTSLSSDLAR RDMPIGGSRPKTLIELGPVVQPLKDLSNDHSTSPTSMTSFRPQLKSRAQSRDRSRPEP PRQQTSSRQMQPISQVYQRHQQSPPSRNIVEERQKSPPSTQRPIKRSPAQTLIKLPDS ETTRKTASPDSSRSTTTGGTGASVNYQPMTPKESEVRILQGDQTLPVKTTKGAVDENR KQISSYEVSENVKPQSSRTEDPNRQRSYSSMSHNHSNVQPQNPWNIPPNMGYNQNQMM NMGMMPQLGMDPEAMRNMMKQHWQMQFMAAALRASEEQWERQSGVSGQTNHTLPATYA QASQMPNMGWGMGMMGQYHNPMGMYGHPQGFQGQGQMMFPNQFYGHPMVPSSPSAGST LGLGTNSDRGGVGMYSYGTNMGAQSVFGGEFGPPPITPSQKYMGSQQPSIPTNLQSQN ISSPRGRNGRDSSSFSQSVYIPSNLSTGAGSGQNSPPPPSSWGRRSAYGSGDWSNVNE SQNQSYKNVRPQTQIIN
I206_03550	MLRLQGEVSRNPFQGMRRHSRSYMLALVCYWGAMLFGYDTGVAG STIALPGFIKDFHLEGNPTHVSNLKSNVVSVLQAGCFFGALSAAPISQRFGRKYTLMA FAGIFFVGAVVQTVAKHNLTPIYVGRVLAGIGVGAMSAVAPPYVSENAPKELRGRITG LFQFILASGVMISYWIPYGVSVHIPSSTLQWRIPIAIQMVPAGCMVICLLFVKESPRW LAFVGKDEQALQNLAWLRKTSTDHPETLQEFAEIKTGLEDEKNSTSSNPYRELLKKGQ WPRLLIAVSMMFLTQWSGQNAIGYYAPSIFQSIGFEGATPSLLASGVYGIVKFVATGV FLMVGIEQFGRRKSLMFGAFFMGMFFFIIGSILYTHPVKQGLTTIPTSSIAAAVMIYL YVIPYCFSWGPIAWVYVSEIFPTGTRATGVSLAAATQWLWNFVLAKITPFLTERLTNG RLFFMFGSINMLMATYSYFIPETRGLSLEDMDVLFGAITPEQRKANLEARERGQFDNV NQADNFGEEEKEKGEIAHVETVQNFKV
I206_03551	MTAIATRPAPMAYENPKWGFAGNMNIEDNSGSRIDPEALGWLEP LPSDASEEDIRTKYERDGVVWVKGLIPREKVLAMRTKYFEYVASSGVLKEGSNPTDGI FCGGDPHKFAGPGVASHLGVTVAECDFLAKSVSAHQEDFVKAFAQDGDIMKMVTKIKP DWKDPLLFKRQLLRSNIPNSEGTATKVHFDQIFLRAAPPTSLTAWVPIGDIPPESGGL IYLENSVPLGYAIEEEFTRINATLSDEQRMSAFNVNMVERGTLSRDCGKFAKEQAGEK RRWLIADYEAGDVVFHHPCMIHASGVNRDPLGRIRLATDLRFANRAAPYDHRWANQYF IPGDGL
I206_03552	MGPRPPGLTVEDHIQTYKENLEAAKIFDPVVINVQSGVDYWSRE DSIEFYRRSLKIDAEVGLEGKVCHETHRNRSLFHPYIAAEILRAVPEIRITADISHWT CVCERLLDISPEDGDVLNQVIPHVQHIHARIGTTQSSQCPDPTDPGYTKERVFFENTW KEVIRSVAAKGERDWVTIVPEYGAYPYMPLHHATNFSDLANQEFRRLKPIFDQFTDEI QT
I206_03553	MGVITKGDLILVSGASGFIASHTAKQLLQKGYKVRGTVRSEAKG EYLKDLFKGLGEFEYALVDDITKDGVFDEAVRGVDAVAHLASPFYTEGVKDPQELIGP AVKGTTGVLKSIQKNNPGIKRVVITSSVAAIMSTDSRPAPNLYTEDDWNQDSIPHVEK NGTKSTGGHAYQASKTLAEKALWKFIEDEKPSWDAAAINPPLVLGEVIHQVDSPEKLN TSVAYLWQWLSGKKTESDLPGPLGNWVDVKDVAEAHIKALEVEEASGQRFITGAGYLI GQAIVDGIHKRFPDAKNVPVGKPGEYEETTKKMNLFNGDKAKKVLGINYKTLEQSTYE TGESLFKKFGH
I206_03554	MPAIKPGSLVLVTGASGYISSHTVETFLDQGYNVRGTVRSTGKG EYLANLFKNKKGKFEYAIVEDIGKEGAFDEAVKGVDGVAHMASPFHFNAEEPEELFTP AVQGTVGVLESLKKNNPNVQRVVVTSSVASVMDSNVKPPHTFTEKDWNVVSPKECEEQ GKNASGQAKYRASKALAERAFWKFFDDNKPSFDGVAINPPLVLGPIIHQCDSPESLNT SVAVYYSWLKGEKTEKDLPAGGMNYVDVRDTALGHVLALTVPEASGERFITGNGPVSG NEYVLEIARDFPDLKNIPIGNDDAEFKKKLNTDAIIHDGSKATRVLGLKYRPVEETLK EMGQSLRERFNF
I206_03555	MTVTFPYSSAPAKQIKEIQFGVMSPEEIKAFSVAKIEYTEVMDE ATNRQKVGGLMDPKMGTIDRNFKCQTCLEGMAECPGHFGHIELARPVFHAGFIIKVKK ILECVCYSCGKLKVDMRDPMVGNVVRRVKAQHRLRAVWVLAKDKKICETDALEDNQDG DATAEDQFAAEQGKARGHGGCGHEQPLWRKKGLKLNGVWKPTDKGEDTAEPEERSVSP GEIHNILKKIPAEDLHIMGLNAEYARPDWMILTVLPVPPAAVRPSIAIDGGALRSEDD LTYKLQSIIKFNGIVRRMEAEGVPPSVVNEQFDLLQYHVATYMDNEIAGLPRDQHKAG RAIKAIRARLKGKEGRMRGNLMGKRVDFSARTVITGDPNLQLDQVGVPRSIAMTLTYP ERVTPYNIVYLQTLVNNGPATYPGARYYVKDTGERVDLKYRKSGEPISLQFGWIVERH LKDGDFVLFNRQPSLHKMSMMSHRVKLMNYSTFRLNLSVTSPYNADFDGDEMNLHVPQ SEETRAELSQIAWVPRQIVSPQANKPVMGIVQDTLCGIRKFTLRDNLLDWLQVQHILL WLVGWDGTVPPPAILKPKPMWTGKQLLSMTIPKGINITKKNNEKPSPIDVTDENVLID NGELIHGTIVKNMAGAANDGLVHVIFRELGHVAARDWFSACQQMINFWLLHFGFSVGI GDTIVDKATMAGITNRMVEAKEAVQRLISEAEANKMKPKPGMTIRETLEASIANELNK ARDWTGKTTQDNLKADNNVKQMVVSGSKGSFINISQMSGVVGQQFVEGKRITFGFKHR SLPHFSRDDYGPESRGFVENSYLRGLTPQEFWFHAMGGREGLIDTAVKTAETGYIQRR LVKAMEDLKVGYDGTVRNSVGDVVQFLYGEDGMDGAAMEKQSLDIIRLSNKAFERRYK IDVLSTSGGFKKGVLQAGIDQSSVELQNLLDEEFRQYTEDRELLRQHIYPDGTPGHPL PVNIQRVIQNSQQIFHIDPRVPSDLDPAYLIEQRTALSERLVVVRGDDKLSRDAQHNA TLVFNMLLRSHLATRRVIEEYHLNTEAFDWVIGEIEQIFNKAVVNATEMVGTLAAQSI GEPATQMTLNTFHYAGVASKSVTGGVPRLKEIINVAVNIRTPALNVYLDPEYSRTEED AHQIMRKLTFTRLRDITASVEIFYDPKLDSTDIEEDQDFVDAFFAIPDEDIRLELHSP WLLRLELDRAKVLEGGYEMSQIVNAIAETVGKDVFVIHSEDNAPKLIIRLRVVAEKED EELLGDEDMFLKRIEGTLLDQVVLGGIKGIQRVFISEGKQVVLSQQGEYDQAKEWFLE TDGINLKEVMAVDGVDGPRTYSNNCYEVYETLGIEGARNALYKELNGVIEMGGSYVNY RHLALLCDLMCSKGSLMSITRHGINRTDAGALSRSSFEETVEILLEAAAVGDVDDCRG VAENVLLGQMAPMGTGAFDVSLDMNMLKDVIVDHRLPVQNMLAASGGLAGGMTPGGAM TPYDNFSPMWDGAKGSVGSAAFSPMQTSNNEEGGNFAYMGYGTSPLPGGMSPGVGYSP SSPAGYSPTSPFAVTSPAYSPTSPFAGAAAGAASPWVPRGGYGGNANTVTSPAYSPTS PQYSPSSPQFSPASPSFSPSSPTYSPASPAYGGAGMGAGQGMNRASPYSPASPAYSPT SPMGGMGVTSPQYSPTSPRYSPASPAFSPTSPTYSPTSPAAFQATSPRYSPTSPQFSP TSPQYSPASPAYSPASPAFSPASPAYSPASPAYSPTSPAYGGPNGNGNTANGQQTNNR PNGQARPGWGNGNGYGASPSWKS
I206_03556	MSWIWGSTTNPQFEELAEKACSPLHLPYPQSEDIATSLEVADMI RSKSVQPKIAMQSLKRRIASKNGRVQMYALGLTDTCIKNGGDHFLAEIASKEFVDELS GLIKSPITNAEVKQMLLKVFQQWALAFQSKKELAFFVDVYNELKNSGISFPPPPAPIP SHLLTTSTAPAWVDSDVCMRCRTAFTFTNRKHHCRNCGLVFDQACSSRTMPIPRFGIT EEVRVCESCWVKSGKGKTPDGPAPAVPGRTPRSRADVDADLQRAIELSLAESQPGGSN FIGSEPPIARKNATAEEDDEDLRLAIEASLRDMERARPSAPTGYDEPEYKPLPTFDLA PRETETILTFSNTMDQMAAYGERDLRRFPHAHILAEQAYSLGEKLHRNAEEKSTKQQM LAEMQGKLSEAVSLYGQILDGQQAYSARRIQEEQQRRYQQNQSMYAYASPQQQNPYSY VQQTYPTANGYGQYNPQTLYQPPQPQAPAHAAPSLYPQMPLQAAATPQTNYAQTQQIP YRPETISPHPVQPVQQYYNPQAQSSLARHPSLNSQLVSSPSAPHRQVSVTYGSAPTSV AIDTTQQQAPPVPTASHPPSSPPTSLHSVAHQASAPPAPSSQGSYKSPAPLHNQLDPQ PQWNAQPSQPPQQQWNGVQEQQQQQQPQQSYQQGSYAPSQAIQQSYEPYPQVQPPQQQ QQSAQHTQQHQQQIQQSNGILPSGVYNVNSFPSAPGQIFPDAPSEIPLKTVEKEEKEE ALLIEL
I206_03557	MAEREPLTSGTGGEAQYGGVVTGQGRTRRQMNRMSTQEIRIKIR KMADLVSSEDLGDREFTDLTLYEKKSLLINRELDEMGMGKYQWCVFTLCGLGYFLDLG WAQAFGLVGGAIQQELGVPDSQIGALSTAFNFGLCIGAFGWGLLVDIVGRRWCFNFTC LFSTVFGLCFAAPSNYSLTCLLACLIGLGVGGNIPVDATITMEFLPTKDRYLLAALST FQPIGTVAVSLLAFALIPKYSCDTSLKSCNISEAPCCSKSNNMGWRYTVLVLGFFTLV IFFLRFAVFRFRESPKYLLSKGHDAHALDILHSISKFNGSKPPTLTIEDLRALDAAEE RQIDRPNRPQQNLLRRQTSTAQLAKQAAVGGFMKTFGHLGGMFKQRIYLWLFISLAIA YMSLFWSFALAGYFLPLILKAKGIDAEGSIEDTYRSYIWIYTPGITATLVAAWITGFS KFGRRWIMVISSALMGASLALYQLVNSRVANIGFNAMEYWFQSIYAALLYAYTPEAFP ATFRGTTGGMLSTLGRIASIIAPIIGSTVYHGSNSPGVLWMAAAGAWISTLAIGNFF
I206_03558	MLRGTILGPAATPGQTLYTRQDPGPSNDSSKHFADSFEVDQSHG GHGLPGRCLQAVESSGQDDVEYYEEELVWYGRTVVWSRGSQVFRKYTYELEKEDVSKA VFAWFRTGEEQFSNDTKGKKVASSHTFGPFHSSQYEHWGTPKLSTDSSSASKTPRSER TLVVFLRSRAHVYYPSGEDVIIHLPFLMENAWSISSLKGGLIVQRALERRELRKLGKV RQKSGNSLLKGLMDHSSTSILDDLVDLEDENSPTSPRFYTLNNPFDEFKPIMEGRVED GFNSDDGRLLSQVHPINPSTSIIHVSSYPYPFVLTYNSQSGEIVFYRQTNIQEQLKAP PIPPNPRTMRPEDIIGLSEKPIPIPQPRAGRPSLHRNPSSFGPSTSADRRMSSISDPL DRTQRRAPRISRASGLGLTQDSLARTEELQATLDPIPVIPPPITTKRKSRGMSILSTT TTSQDMNRRTSGVNSSFMLNDLHDKHQVGLSAVAELDLRETTMMMGLERDEIGQRSDM VFDRIWSWKIP
I206_03559	MSVFLTENLSATSVNINIHLSQPGHTPQLYTFQATIRSSPYKHF AITPLPPIECLSAVPIISTRPIVLDTVLLSQAGTLYLITSGNRQIPFTLPVSKEEHRE VAHNFASSLRMANDGRTSTSSRRNIIKLSDPIGPRFTATYEDGETIRINGDFRIKHKL TRQCMEALSYALPAQGFFFVKRELIHSSHGLSDVERTKGKVIWTIFSRVLRTMLNIEV ESKPSSPLDSVLSDSLASGNPISRRLAKRVLRNRPQSSSPLIVSTSTPSLIFGENLRV EDAAPVLLALHLVAQDLRLSSTSIIELGGLVNLISDLATQTERLDWKDYWARLLPSAT STLRPTATVKYDTSILDQFDEPPDILTYLQRQLTTRTTTFPVPQTILTPSHTSELGRV EPCSQLVLVTSIYSYFSKQTPITIRAASAVQHLVDLGLGQDWILDLPYGISVPIMEMI RVCQYNPPKDWNSTMYELVGRWDLGVRASGAPYSSGREESASGLGLDRIPSIKELMAS EEGDKEGNSPPSDLPHVRFGSDRRVQEVERIMQTTRIRTISIQDPKGASEADIARYHQ SVVNTIAHRTLSIPVGQGMFEFGTRSTNITDIWNVPLIELSVKPGLNKPTLKAEIIGD SAEWPCFHNGVAAGLAISPECKGLDSSWIVFNRPNILNAEHGGFLLGLGLTGHLRNLT TYHAFPLLEPRHDFTSVGLILGLACSYAGSEDLLVTKVLSLHTHALLPMGSMELNASP IIQSSALVGLGLVYVGSRNLRMAEVTLNEVGRKEMINVDGFGEYQESYSFSAAMAFGL IMLGKGGTITSEVDRRMLNQLRKCISGDSSPSSGDNNSRKRANTDVYLGIDHNLTSPG ATLALGLMYLKSSRKDIADMISIPQSMFELDQVRPDLLLLRTYARSLILWEDITPTLG WIEDQLPSFIRQANKNPKRTNTMELSTELAYLNIVSGACFAIGMKFAGTATELAHTNL MNFFGVLSKASSGSSMTYEGRIRRTAARQCLNIVTISLAMVMSGTGELGVLRRLRVSH GQEGSGVNYGSHMAMHMSLGMLFLGKGHYTLGNSNLAIATISIAFFPRFLSAPGDNKS YPQAFRHLWALASEPRCLIAKDVEDNQTVYLPVKLKVKEQSSKDVNGKKEIKIRQQNL ISPTLITPFENIKSIEIDSPRYWSIFIDFDDSKNERSKKDLIKNRIIYVKRKMGFLDY NSDPKGNRSLFIKIGSMTGIDLHYDLISKAKPLNGLQNQNKELKELIKLHSGNPYLIL LSELFDSGTSLKKEEFEEFIKIIILECLSLDKPNLINIYISMFLNFKKFIINNQESKE MEIENLNQIKMLKFFYEKIFEKSFTFSNNSTILEKKFSLIRPNFINSLIRQLSSSSSI SNNDKEDYYEENLNIENYLLGELNVIKWNENLVKYIWNKNLPCLSLLNLLKEKVRQSS IDRNILELKIKDVSEAYRNRIISKFDEFQIGDKDENVNEGDGWKVDSIRDSIIIWTE
I206_03560	MSPSCKLCHGPLTSVPTTNQIECHVNCLPFACPGCVKSNKSSFT ILNLKNHIYDYQCSECMIENENKSKQISNSILKVQNQIRDDHVIC
I206_03561	MNFYKSAALALDHLEKNQGSVKGSLAAAGIKCTPGEGKRILALV IETLKYKPTLLELLTTVPLLSLEKLTFPKRVPRGSPSSRSLLLVLLHDLLFSSKNKIE ASDLWPPKPSLLKHQARLKGELVKLQIKKGKNRKEDLAISSSSNDLYRYIRYNSNYFK SLNDLFNELKNLGFIKLLEFKYPLKEKEYFLDNHLNDFILVFNGNTNWWKGNEWYENG GIILQDKASCMPAKVLMYNWKDDEGECIDATAAPGNKTSYVSALMSNKGKLHAFERSS HRYNTLTRMLEKAHCKNVIAQRADFLESNPNSGEYKKVTRILLDPSCSGSGIVNRLDY LLEDEVEESDLKTERLEKLASFQLQMILHAFKFPSAKRIVYSTCSIHAEEDERVVYSA LQSKIAKDKGWKLAPREQVIPTWERRGRPEELGGDEEMAQGIIRCLPEDRTNGFFVSC FVRDDAVGISIKTSIASKSETVVEKTKSKGNKRARDEDEEGRREAKEEVLVTENNVED VKVVEDQVKATEEDDTAEKVSKEKTSAQLERNKRKKAAQKEKAKKRKLED
I206_03562	MQTEIDQQNVILQSKTSTLGRGLPSNIKSNHGKREPSGGAFTMF TPQQVKQFKEAFTMIDQDGDGRVTENDLKVMLSNLGQTPTPSLLQNLLTSRPGSVKGI SSEGINFTQFLSMMGEHLIQLDNEKELIDAFSCFDENDKGWIDVNEVRKWLAEMGDRM NEEEIERLFSGPFTDRQGRFNYLEFAKVLRVNDGEEERDDKLST
I206_03563	MSYDRIGTPSQQIIQADDEDLDALSFSHPNPASSSSQNYPSQPS QAGPSNPSGVSGKIGQNAQPKTERGFGGVKMETRYTGESTLDEPVTKTIMRDLNSIYA KLLQVLYPPKGGGNNQLLRDWDLWGPLVICLTLAIILSIDAPQEQSMQVFSLVISLVT IGSVVVTVNSKLLGGKVSFFQSLCVLGYALAPILLASIVSLLVHTLFVRIPVSLACWA WSVWASMNFFTGTRLPESRTFLAVYPMCLFFFVFAWMIMIQ
I206_03564	MVLADLGNRLHGALNQLSRASVVDDRVIDALLKELCAALLEADV NVKLVSQLRTKVKAKVKKSLEEAEKAGGREANKKNVVQKAVFDELVSLVDPGVEPYKP VKGKTNVLMAVGIQGAGKTTTCTKLAVHYSRRGMKTGLVCADTFRAGAFDQLKQNATK AKIPFYGSYTETDPVAIASLGVEKFRKERFDVIIVDTSGRHKQESELFEEMVAISQAV SPDMTIMVLDASIGQAAEGQSRAFKDSADFGAIIVTKLDGHAKGGGAISAVAATKTPI IFLGTGEHLHDLERFAPQPFVSKLLGQGDMQGLVEHMQDIARSNPDKQKDLAKKLEQG KFTIRDWKDQLSNIMSMGSLSKIASMIPGMPANMMGEGGEEEAGAKLKRMIYITDAMR QDELDSDGLIFVSFDKAGNPIGLNRRAKRVARGSGTSVREVEELLAQARMMAGMAKQA GGANGWMSAMQKMQAAAGGKPLGPNGQPSPAQIEAMRKAMPPELMRKIRAAGPQGAQK MMQDMMGGMGGGGGPGGAGGMDMGAMMRNMMGGGGGGGGGMPDMSQMGEMMKNMGMGG GGGGGGMPDMSQLMKMMGRG
I206_03565	MCTVYHWDFSGTLDWAIDKFEKEGQFPNAWSPKGENYDKYNILN YSNRLNESSTLEIVDSVIFDICDENVLRSSMDGIPTVAIEILKEAGVISIEDLRPIVP MCTIAGLTDIAEMIKRREELRFVLWVLRLR
I206_03566	MSSPQPTSCIEEEQYQNSHEDPGYPLDPIEYARAERKVVRKIDL LLLPIMLITYGLQYYDKNTLSTAILYGMLDDLDLTTTKNGVVYLTRYSTAAAAFYYGY IVAVLPMGLIFTRFPLAKTASFFVLIWGIICILTVTVHSYHGFVVQRVFLGLAESAVS PAFVAITALWWKPQEQAKRLGIYYSSTGIFSMFTSIVNIGWGKTGGSHSWKSMYYFGG SFTIAWSIIIFLIMPDSPLNPGKFFNEKEKLILKKRFNENPFGKSQQKIKFKQIIETF KDFKTFIYFLMGSSIYICNGSVTVFGAKIINSWGYDSIRSTALMIPGGAMTVITIYIF AWFADRYKNIRTILLPVSTIPVIIGCLVVWQAPWHPRVGPLIGYYLVAAFGAPYVLLL SLATANTAGATKKGLTTSAIFVGYVTGNIASSYLVFAQEKPIKYRSTWIAVIVAMIFA SFASLILRYIYIKENERRDALLNSSSMPVQSQEGLQIQGDEEKIGELGGLSAIVDGGN YEDKTDKERPEFRYTY
I206_03567	MTSIRSSILRQIPSLRSINQIHSQPIASSSKYIIPSFRRFSVIH PVIPCQRKFNTTPKRWNNTSSSNAPQIEAPTGNEGKQKIGQIEPRLQMTFTCTANDCG HRSTHEFSKRSYTNGIVLVQCPECKSRHLIADHIGWFKESLEDGKLKTVEDLLRVKGE KIKKGRINMDGDIEYEGDD
I206_03568	MHAPLGNPGRQIACAELIEALEVCHAKGMIARLTGECNSQKSAL SVCLRKERKDREAKNHESAKLRTIKKKQVWEELEKEKSQEVESA
I206_03569	MFTSSLFTLLPLLASIQSTFALDDTSARSASVYQIVTDRFARPS SVSSTCNTSDRKYCGGTYASITEKLDYIAGMGFDTIWISPIVENIGGTTGEGEAYHGY WTLDPDGFNSNFGSADDLKSLSSALHAKGMHLMIDVVINHVAATSSSTFQPDSAYGPF SDSSDYHPFCWISDYSNQTNVEDCWLGDDSVALPDLNTESSTVSDYWNNWVKTLVSNY TLDAVRIDTVKHVPKTFWPNFVSSAGVFTQGEVLNGDATYVGPYQNDAKVNPFNYPAY YPLLRGFNQTSGDLSELVAMTQTIQSNFNDPTLLGSFLNNHDNPRFESTVTDTSLIKN AHAYPFVSDGIPYGYYGSEAGFTGGADPANREPLWTSNYDTSSEMYKFFASLNAARKA AGNASDTFYSTQMNITSPSSSSILIAKQPLISILSNSGSSASDSSVTVQSSASGWTAN TQVIDAISCETLTTDSSGNLAVTIKTGLPRVLIADSKKGSVCSSSSSSNSSSGTSSGK SAAIKLDVQAGWMISALGAVVGGLMMAL
I206_03570	MTAPIPISTSPAAPANLPNGHQQPFSPSMPSTTPGSSMSLSPQT PFSPPSANLGVSSTPTQGTSSLFKWAGSFGKSPNSLTGGISPTKQQKGFDIPSSVNEH DNDHEHEYHDSFEFGDIKAKSWNNSSSVGRRTMSLSFEPKSPSSNSPIASMLKGGFGG ENSGGGVNAGGGGGAGNTIGIGNAQTVPSGVLADKAAKGQGLLRRLSLTGGSGYRPAF LSPPLPSGPLPPSPPTQANVPSIPAPALPAPAPVPSQSEIQINKPTVITGGPSSTNRS RRFSEGTRKRGVSPMGERLLRDHGHF
I206_03571	MSTQELVENTYLPGYRFVRYTLEPPRAYFQGETYRNFACTYLQI PSEPKEPVYYTHFEFDGIKISREDVCKAWAYGLNGAMFHDIVQISTKQASASDINSSI ESSRAEISYREWYGCYRELEYAMALIHRWEKQGIILDNLQKPKRYTLHDLTLCQSRTT EHTKPQELTGLFIYAFKHIMELEKQGYADEFKSIFRTLPDCEPFEPFILPNVDDKSIL PPPNETHSEPVSAGQGQDGSIQTKHVTWETVSTAKTMKSDTVDKVSVSSEDSSKSSVK KAVRSVTHLFKNL
I206_03572	MSSNDDVDSWIAQLMQCKPLSEPEVKKLCDKAREVLMEESNVQP VRCPVTVCGDIHGQFHDLSELFRIGGNSPDTNYLFMGDYVDRGYYSVETVTLLVALKL RYRDRVTILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIDNQI FCLHGGLSPSIDTLDHIRSIDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFG QDISEAFNHNNGLTLVARAHQLVMEGFSWSQERNVVTIFSAPNYCYRCGNQAAILEVD DALKYTFLQFDPAPRAGEPLVSRRPPDYFL
I206_03573	MKNSQINLFSTRRNSKISLKELIEIPITPIPNSNSIQSSTLKSN FLEKTKKLIKSKSNSKSKSKIPSTTKSLNFENQIIKLNSKENENEKGTENKEKRKSKE IISPTIDFNENKIRKQDLSSTLSKKIPSTSSSTINHTENQNQNQTQTQSLNDELAICL FGYSKQIQTYKIEDKLNFISSKEDKSINLPKLPENLLNMYDTNYEEEIRQQAVMAEVL PDAEMWAKWEKTEGPLRQGRGWYPRLDRHFLELLVISEIHALSHPTTSNSIPGQSDRI QRHASRVRRVACERIGSERLNAYCERVKEAFEAYMLGGWSNQQHQQQQQPQQQQLCQS ELTNENEIENANDEEEGVERKISQEFEANHNFDDFSETQDSHEGKEEEERERGRTMQK GKRIKNRNQSSISRNKGKYIGSDVSEIDIIPSRVNYSKKQDEEEEEDDDDDDEMDEIP LNISKSTSEETEKDNSINYSNKATKGISDNSIMEIEENSNISTVKSEENDSNYYGKVL SGSRINIPTIDKLRNS
I206_03574	MPPPPAYLPRPISLQAILDESSSNSTSPSIDLQWEPFQKHVESF LNAIDAYTIAAKTEIAARATDHVNTMRDLKAEKEETERRIHLEREREGEMLATLETER HTLTDLTSSLNHLQSSLQKVKDQSSSLENEINHLRKSNTSEKTEKDRQQSRLNEMRKR DEDELIELEESIGLRVEAVKRDLLMIRFTLLDPTDPQREFSFLIDISKQDYSVPNCDP PLANLPDLLQQLNHDREFFAFIKRVRKSFRALIPIPAPSTKFDELSGPGLGLRTPAPP TLLGSRILSSSTRENVVVDSHAIESLSLTNRIVK
I206_03575	MFSRVLKSTNQQAPSPAPTSTTDSSKSSSRSSHIKSQSTSAPQS PSKIPVSTNQSSRSGYVHHSNSGSKENIPAPTGGEGKERSNYLSFLFSQSQQGAPTTP VKVNKSIAATAAPQPGHSYHPHTTAQGHNATANESANRAEARYAHESDDIHMQTMKNT INPAMLKQLAQPQVQQAQRANLHTQQVPANPYAIQRAGLNAYNEDVHMKTQRYETQHP KERGLQLWERELVESAEMKRKATVAQIYFLDYYFDLLGYIANRKKRLEGFKADTEQRK VSGPEYQKELSSYNGRERVLLRKRRTKLRVEQFRIIAQVGQGGYGSVYLARKADTNEV CALKKMRKGTLAKMDEVKHVLVERDILTAVKTPWLVRLLYAFQDTEHVYLAMEYVPGG DFRTLLNNSGVLKEEHARFYAAEMFMGVNELHKLGYIHRDLKPENFLVDGTGHVKLTD FGLATGSLNPAKIDQMKQKLDQVKDEELVFRSTLERRTIYRSIRMAEPRYADSVVGSP DYMPPEVLRGKTYTYSADYWSLGCILFEFLCGFPPFSGSTPEETWANLKNWTRVLRRP VYDRPEDLIFNLTDIAWDAVTRLIANPKERITTLDEIQTLPFFSNLPFKNLRNIDAPF VPVLDGETDVGYFDSFNSPEDMAKYAEVFKKQRDVEAVEEKGIGNRNNWVGFTFGRNA NIAPAPRGVKPEGEALQTIF
I206_03576	MTIIPSTPRRSTRGNIFTPSPTSNNSKLLKSTKLNTIYKWNSAP LNSLNKEEEEEEGKIKYNSFSKIIKKNNRNDLTTITPSNLINRKIKIEKEDEESRFTI GDGVLINLEGGNQGVAILIGLYEDFNYYKKNNHDNDDQEKEEEEEEENDDNDNDDDFE EKKSKMMAEIHWAFRKSDLPSIMKNVNIEENEVLLAASLNSKPVTSIIPIEYLIKTIP IYSKQFYRDQFPETKSKVKGWSYIRQGIYWCYRAYDKFAKGGRDWKIDIDFWRENGKK TSNWNVPFQSINQFHNDSDDDDDQEESEDDHGSLIQEEQEEEEEESDQNESSASEEEE EFKTENRKRKRVKNGRQPRKKSNKKLKRTILNLPRKKKKPHPKSSTKNNLPCSEILLE NLPIDPYERALRLLHVGSTPESLPCREEEFIDVLSKVEEGVESGGGGCLYIAGVPGTG KTATVHAVVKELKRKAEDGELSTFSYVEINGLKIPSPQHAYSVLWEAISGSKGASSKT ALKGLENHFSRKGGGGVRGPRGHTFVVLMDELDQLLTAKQDVVYNFFNWPTMRDSQLF VIAVANRMDLPQHLAAKIKSRIGLQTILFQPYDRASLISIVQSRLIPHPLSPSGDPKV LLPDAIALAATKMAGTNGDARRVLDACRRAVEVTIETSKKNPNKIFDEMVNENQQHQH PHPHPVTAKEMMLILNSMSNSTISKFINECSINQKMLLTCLIRCIRREGINEIFWKNL KNDFDNLLKSFNFFGEKGQENDFIRIIDVNENEEEENNYNYNNNTNLLLSNSELLIIL NSLISSHALIINNNNNNNQQEQQFKIFDEKKIILGLEIGECGRVLINQGENWRRALAG V
I206_03577	MASRASRGRKQAKKGVQLTLMVVGASGTGRTTFVNTLVESVLLE HRTANLLVDPSNPHSGLDANSVQQAASQANVEEPIRIKPVNVELEEDGVRIALTVVDT PGFGDGIDNEYAFQEIASYLERQYDDILAEESRIKRNPRFRDNRVHALLYFIPPTGHA LREMDIELMRRLSPRVNVIPVIGKADSLTPTELRAFKKRVMEDIEYYNIPVYNFPYDA EEDDEETIADNSSLRALLPFAIVGSEEEIMIDGEPIRGRRYPWGIVEVDNPDHSDFSR LRSALLMSHLTDLKEITHDFLYENYRTEKLSRSVGGNDPDSSILPEDMANQSVRLKEE QLRREEEKLREVELKVQREIQLKRQELLAKEDSLKVLEARLAAQGNGHSRDGTL
I206_03578	MSRSSTPSYQPRRPNLGSGLNLPSTAGSTSTSRVPTPLSRGGIS LDEWESKSPLTDSQLQSISIVKEKFSERPLPEKFTKEDQPVAGPSRPSTPIRRLPRAG WNSPIGSPSRSPQPDSKFPSSQLSVPDPLHPTNINTPQQFLDHFTALTLSTEHEQDSL YRDHLFEIIGLREKCDGLIELLESGELEVEEMLKALAYVEERSESLRGACEDLLEEQT HLLTHTSQLAHRLTFFTFLETAQKMLNNPGNNLVLSPDFLPMVKRLDECLGYLGEHRD FKDAELYLIRYQQCMTRSMTLIKLYFVSVVKALGQEIAKRLGDKGLSETAAQALLYTK FVSLSQPIRPLLAELEARVSSNPDEMAPLLSECHTAWITTRQSLMGGRVMSEVGAMDP NRSDLVDLTRAGCSYLKQTCLDEFNLFKYFFLSGEPMLYGYLETLCDYLYDHIRPRIL HEPSLQVLCGVCTVLQALMVQDISDEDPDEDVLFSPGSTPGGQSPYTIKDGDDYFSSG QGGRPRLSRHNSSQSFAKGSIMRRQSSYTTLSRSMSRQNSSSYPNTNGYPTSTRTIQR KKRKVLGRLHIEILLKMVLQDAQTRLVFRAQALLSNDVEYYAPKEGDLDYPEKLNEGT KSTDGKLVQRSKSLGFMDDGDDDEPSFLTLPPPEAQESWYPSLRVTLWILSCLYTYID TAVFEDLAQEAIPVCRKSLSSASELLSAKKDKSIDGKLFLVRHLLILKEMTAGLGLEA GRYKRRDWSNLGDFLKSLLDNAGTLLGYQKGSIVKAEFAPDARTDVDRNLKIACEDLI SLVVLRSTLPLKGFLDKCTAYLAKSQRGQNSDLSGQEFSKSENVKIIHNEFKDLVILE FGEWKKKLRNYLEDEDTVMVLIPPAQNAIVESYRQFHDLIRAEYDFSTAASILTPSGV MTLLQGIP
I206_03579	MGIPKFFRWISERYPLTSQLITPNSIPTFDNLYLDMNGIIHNCS HPPSSENDPHFRITEEQMILAIFAYIDHLFTKIKPQKVFFMAIDGVAPRAKMNQQRSR RFRTAKDAVEKRREAEKKGEKLPEEKAFDSNCITPGTPFMARLSQHLKYYVTKRISED AEWRNVKVILSGHDVPGEGEHKIQEFIRLTKAQPDYNPNTRHCLYGLDADLIMLGLLS HDPHFCLLREEVTFGRKSKKTTGLANTNFYLLHLSLLREYLDLEFGDLATQISFKYDL ERIIDDFILMAVFVGNDFLPHLPDLHINEGALERIWGIYKEILPVAGGYLNEHGTISL PRLQMMLDKLAQFEADNFEAEYADQNWYKGKQGKEIEAMEKARKKGKMIITKDQQKIL NQVKQFISNHQAKPTSADRCVLVNNFTARDQRFVQELGDDLHLVTTWDEVDDYGQNLV VMTFNLEGVSEDETSAGAGEDADGEEWESEEEDEASEGALAIQRVFAKYNKAKVVENV VEDFEEAYEEKMKENLDDWKKRYYKEKMDIDFNVPEEMHGIVYRYIEGLQWILNYYYK GVSSWGWFYNYHYSPRITDLKGIPDFKFDFDLGKPFTPFQQLMGVLPEESKEHVPSAY RDLMYEETSPIIDFYPKDFALDMNGKKQDWEAVIKIPFIDEVRLLRAMASREVRLTSE EKSRNQNYVATQFVYDGEQESSYPSSAPGYFPDLPKSQCRSSPYHLPTLGGGIELVLG LLDGVHLGAKALAGFPSLQTLPHQGTLGYHGVNVFQSDSKNQSMIITVTSKHDRPNTG EIAKGLIGQRTFHSWPYLHEGMVVAVSDDLFKYELQKMGKSAKVVSTPHNPFQAIAWK KTADHIEYHNSKRFGIITGNIDVVLHVRPLKGMKRLHTGALVKDYEAPEKEIAQAYQL AVNQVTFEDERYIEQAAPPMSQEFPDGEKVIFLGHMAYGTAAQVIGTTETSLDVSLAF FPSEKQENLNFSKLVAHRPAGVYYPSPVLSRRLGIHPLSLSRITSTLLVLLNDGSKTN IGLALKFESKGLKVLGFSRKNDRGWEYSEKTAGILREYKEAFPEPFANLDNRSGDIVT SAELCPTAENPDQVIKAMKKWLKERDLVDPDTVSLFAEQLEKESVEMIEKLANQYTSQ KSHSHIKRAVMKSIPRQAVLKPSHAIYRLQGQQFAVGDRVIMVQDAAAGGVPLAMKGV VVGLGTRDIDVVWDVPFMGGETLQGRCSEYRGSTVAFTSVLNLTKPQFAIGVGGESND NRGVGDSQPFKPQLGPRPVLQMQNYQPSAPGRRTFNNSNTHQQQPQIMKNPNRSQSGQ HVNGNQSYGNATKGVKSQPQVDSTPQAGPQSHGEKLANVLGAKHYAHRPAGHAVPPQS QHRSPVQNTIPLPLPQIPVQHSQQANGHGHGQNGSSGGRGRGGFRGRGGGGGGGSQQR GRGRGGNRGGARGGAPANE
I206_03580	MSPSLSPSRSRRPDVEEEEVPAKRMRTDRKVEDKARGKRLFGNI LGTLQKFQKDDKSSRTSEAAKRREQVSERIAAKLRSENTLFNEIAESEKEIKTLKISV ESSEYILKHKEVALQARHDFLKPTSKFLYTSLPPDEPLIFETNLINPSPIPLSKGPSR EPPHGKELAPLYYLPKILLPSQASTLKSRQANIQEIISEEVDSIEKERENTRSTAIRN KDRIQELQEKLIELRKQVKSSKGEEDNTNEARSRSSNRRDRDRDDLGRTPREEMEVDQ PSETKDNEERGVVIKGDEGDIEVEY
I206_03581	MSSDLKNQQEDQTSKEFEKWRISLSNLTGLGLTNEEKERIIMEK DWNKCEKWKKNLITNSPMITFLLDHLKKSGCEFNSTSIQCHPCPETKSGGFSIEHGIL LCQNRFFNKKHLEDTLSHELIHAFDHCKFNLNWFNLRHHACSEIRAANLSGDCRWTRE VKRGFYSFNKQHQACVKRRAILSVIANPSCESREMAEKAVNEVWESCFKDTRPFDEIY 
I206_03582	MSVNFSPYQPPPDVPSTDPPESSSKKGKSKRPWFTRDQSSYSPN SYQSGGSISDPTSQAQAYSNDPEAAGLLNGGIGGGGGGAFGEGDRANAWESRFGWRVD FMAAGTYLGGPITALLFLILETQNDYVRFHAYQSALLTTPLLIVFLIFKLIIPLPTFL RVIYILAAIGGTLYTSFRAWKDAQEGLSRYWIPYIGEIAERWSIPITRHYILNIPLFP AILFLLIVGFLLNQIKPHPHPPYRPYNQQKDLTSSPFLVDPSLFLPPSTKTNKPNYLP EPLKPKNNEMLIPNSVHYVYGLKNPKNDEEIEELPYYAYLAIRSAIINLKPEKMYFHY KHLPRGPWMELLLPYLTLIETEVPNEIYGKKLNHFAHKADVLRLLAMKYSGGIYLDID IYVIKSFDDLLYFPITLGMEASPDSRRTSLDPEGLCNAIIISHPHSSFIERWLSSYES FDGNNWAEHSVVKPWEIARDYPNEIQVLNERAFFWPMWHGEEIKKTHEEGIFDFEKTG QYAYHAWESLAMGYLSKLSPKSIRENDNSFNRMVKK
I206_03583	MNAALMAQIQQGKGLKKAVTNDRSTPAVAGGPAGGSGGGGGGSG GGGGGGGGGGRSVSSSGAGTTVSGSDGPAQQLAGLFAGGMPTLKKAGERASGAASSFA KAPPIPGLAKSKPSAPPPPPPPGPSPASRSGAAPPPPPPPPPGPPSRVAPPAPPAPPP PGRAAPGPPSRVAPPAPPPPPPPSAPPRAPPAPPAPPAPPSVPSRTAPPAPPPPPAPS HPARSAPTPPTRVPPPPRSSAPPPPPSAPSRGAPPPPPRPHGAPTLPSRPNSTAGRSI PPPPPRPTSSSGPPRAPPPPPRPTGAPTLPSRTPSTSTPSRGLPPPLPSTHSLAPPTP PRRPSSNSVSSGSAPSAPPTPPAPPPPSSGPRRLPPPRAPLPPPRQPSPEPEPEYEEE DEAPPPPVGISPARAPARGVPPLPPALPNPASRAVPPAPARAPPSPTPERIKPAPAGT HFPPPPPPPGISPASRAPPAPPVLSNGNGNGYAQDEDEADADYGGVPEPPAVVGSWTF PMKGMFPAPRPWAGGRKSYASGRSGGAGLGCTD
I206_03584	MATNITFHPGAVTEDERNSLLSQKGATIWLTGLSASGKSTIATA LEQHLLHKKLHAYRLDGDNIRFGLNKDLGFDQASRVENIRRIGEVSLLFSLSSTIAIT AFISPYISDRELARSLHEKHSSGIPFIEVYVDAPLDVVESRDPKGLYKKARAGEIKDF TGISAPYEAPAKPEIHIRTDQVDVAGAVEIIVKYLEEKNLIPA
I206_03585	MNYSQQPTIYANSQNETVNPPKDKWDHIWDYIEREYKHLENSMN ETDYSSFIKYKVVETIQKDVEDCTGKRFSFGNLSTRQVTDFAKIYEKMKGKVKQCEGR EGYLYLLTTPEPTEGFNHTEEIESTKAQNNWWDFFSGSSQVAAPTPAITKTLKGFGYS TNISANLSVQQRDKILESVQQLENNHLFGTFWSRKSGKDAIDDVIWRAQLYAGHCNID VIEMDQELANKLGFITLSKRSDDNPDGLSVTTSQVRQSSLGGPAGVSEEADCDWNW
I206_03586	MSTEHLMGDIGAVLEALMGTADGPRQEAEKHLRTLTIDTPAEVL LLLSQIGAQGHRLLTLILLKRLAFKPLPGLFLNENSQHATAPFDVIRETTRGRIETVL CAGLKDEMDTRMRKGLGNCAAAWAQESAARHRPLLPLPPILLELTTSPHPFHRFTPFQ LLDMTPTLLVDSVSDPLPAPQLAQILLAGLHDPSVDVRVEAMKAVRSVLMEGVTGKER EEIGSQLIHQAFQSLPRLPSNLLSHALVPLVDLASVHPNLFLATLSDTIPYLLSLISP PSSSVSHQFSQYPPSRMGQEDWEEIANPATEILLSLSELRPTQLEAWESGRAARELVG LLIGRQVGSFEVDCQEWLDTTNLDEEDEDYPVFAEEALDRLAHALGGSTILPALSSQV ETLLTQPDWRCRYCALVAIAAVAEGCLEELQPRIRDVLTIISPTAKDSHPRVRYAFLQ CLGQLCSDCDGVMQREYQNDVLQVCLSLLEDPVTRVRTHSAACLTNFFQDVEPESFAT YLQPLVGSLLNQYRSGPLYLQEQVLATVSNIALGSMETFLPFYRDVMDLCIHTLAHAT TDEQRKLQGRAMECASLTGESQRTEQTFAGDAVRVAQLMIVIQNSLQSDDKRSSYLMD AWTSLCQTLGDDFEPFLPQVIPPLLKAAAYVPTKSDALLSSFEESTPDAEEALVASNT SEMDEKVQAYENLTLYAFTMRAKFQPWLMQSMELSLGGLVDRYSEGVREAAAFLVPGL LQVAKDARVWNDSPSNLLEVFQRVINAIAKENDPSFLALLYKSFTDSLHVVSLSLPSN LSTQLINSTEAHLRDLKSTRIDREIQSEYMDEADKEIYLEEQENENSALDQIENSLIM IKQFDEQEEFTSRIDKVQGLRTEVRKRGLKEDETDQM
I206_03587	MPTLGPSLLFAAGLTLGVGAGVLYPRKPATSPLPNVQLPPSPPE GGRQESRALIPTATGSTVLSHGFPGPIPDILKRTAYTAAYDRRLQHPAWTAEHLTATS LAKTPPPSAPGNTPIPLEQARKGDTAGNNDKVVVKGDRSRSVFKEDEDIPEMFRAKMQ DYFKSGYDRGHMVPAADAKISQKAMDETFYLSNIAPQVGDGFNRHYWAYLEDFCRRLT TNFEDVYVFTVPLYLPAKQADGKWRVSYEVIGNPPSISVPTHFAKVILASRPDFSYPQ KPSSNPNYPSSSSPDTIKELAMGAFVLPNKEIPDQADLRSFIVPVEYVEKASGLQLFN DQVKVKTKQLCAVTQCQVIVRRFDDARKSIGKK
I206_03588	MSSDLPTLARQQSDASEWTTDAEKGAVKHLDHATVDVPHTISED DEGPNVGLAAYEQSKTMGEVTPEQNKRIRLRIDLLLLPLFLITQTLQYLDKTALNYAK VFGMEKAMGMHGNQYSLGAAIFYIGYMVAQPGWSYLLGRLHAGKVLGASAFIWGFTVL VMVWSKNFSHVMVTRFFLGVFEAAVTPGLSLMTGWWYKRDEIPLRQTIWYSAVGWGGM IGSLMAAGISKMDDHPTPRWKLIFYILGSITMALGVCLYFFLADGPSTAKWIKKEDRP AAVNRVAQSGVGLKTTNFNWKHGFEALKDPKTWFLSVAMFGSSVPNGVLTNFSGTIIK GLGYSTFNAALLDCAGRSLQVISLLIAGLVATRWANTRLLMMTVGNLICVLGTAMMSF LPFTKHYTWARLIGFWLVNTQSIGFTIGLVMVSSNIGAYSKRVVTSSCIFVAYCVGNI VGPLTALESEAPRYQTAAYCMMAGYILKTICHGCLWVYMWKSNKTRDKKYGPADPVLS ADNGMKGMTENENIHFRYVL
I206_03589	MPPYGFQFPTTTLLTFSSLLTDPSGSYTNVLSEATAARTKLQLS LKSVSDKEPGSSALAIIDAVQVYLPYLKGIIACLDADELLFRGEPNFPWTSPLTSYTL SSPILHLPSIHSEHLFVLLTYVLALSNHAHSILDALPSFEPNPGQKSIPHMSKEDDQR TTAGLSRAVDLLCQASGIAEWTSENVSLKVDPVKQACGGRVGGQKWPIESNRETFKGL SMMLLADAHLTAIRKLLLPVLPHSLFSPPGPPLPSNHPSPSLLAKLYLHVTSLYNSSR ALLKANSSSSSKIDSVEGEMIVELKRYLKKESQLSMALSYKWLGIDSAEGKSQKLGEG IAFIKDSLERLEDMENSKLKSGIKSLSMRNSERKKEERRNRLGRVEREIEDTNAWLKT YIKLNDTVAFQPIPPSSSLVIPPGRPIFAAKLFIPPSSKFEPIHRLPSPDGEEDSGQK EDNDAEQRGEYAGKGNYF
I206_03590	MVMWIYKRDGRKEPVAFDKVTARINKLSYGLDPNFVEPAEITQK VIVGIHAGITTVELDNLAAETAAYLTTKHPDYAILAARIAISNLHKETKKLFSNVIQD LYEWVNPKTGKHAPMIADDVYKIVMDNKETLDSAIIYDRDFAYNYFGFKTLERSYLLR VNGKIVERPQHMIMRVAVGIHGANIDKVIETYNLMSERYFTHASPTLFNSGTPHAQMS SCFLVAMRDDSIDGIYDTLKTCAQISKTAGGIGLHIHNIRAKGAYIAGTNGYSNGIVP MLRAYDATARYVDQGGNKRPGAFAIYLEPWHADVFDFLDLRKNHGKEEVRARDLFYAL WIPDLFMKRVEQDGDWTLMCPSECPGLADVHSEAFEKLYEGYEKAGKGRKTIKAQKLW FAILEAQTETGGPFILYKDAANSKSNQQHLGTIKSSNLCTEIIEYSAPDEVAVCNLAS LALPAFVDLERRTYDFKKLHEITKVVTKNLDQVITRNYYPVPEARNSNMRHRPVGLGV QGLADAFMALRMPFDSPAARELNIQIFETIYHAALESSCELAQELGKYPSYEGSPISQ GKLQPDFWGRTPTDLWDWTELRANIAKHGVRNSLLVAPMPTASTSQILGWNECFEPYT SMLYARRVLSGDFQVVCPWLLRDLINLGLWDDNMKNLIIAAGGSIQNIPQIPTELKAI YKTVWEISQKAVIDLAADRGAFIDQSQSLNIHLANPSFSQLTSMHFYGWKRGLKTGAY YLRTKPSANAIQFTIDAATLKQAKSNAAAGNAPSPAPSSAGPSADTLVAPLRQVKIAT TASAAPVPQSQPIREDSPRPSEEEEISYEEAKRRAEERAEAALQCSIENKDACLMCSG 
I206_03591	MFLPPVSALILINLPFISLISALNDNLTGPGLAWPNKLWVPMGG FTSPGTIISSYYNWDSNPLIPSIKDLSNSIIWEIPFPFIPMLWGCNETYIKPFQKNLL KNFNNILLTSKKEILGFNEPDHPQQSFCEPKQAALIWKEILEPLKLKGFRLGSPAVTN GEIGKKRFKDWFDACKGECNPDFLALHWYDIIPQNFIEHIEYYHNEYNLPIWITEYAP QNFSVYNSETGQYDGQATYIEVQRFMDITTAYMKSVDWVERWFWFGAMYDMQGVNELD CLFDAGGKPNRTGALNELGVQYAGSNGSVTIQNSMSSGTEDTTSRASGKTKLYLIGIT CCLIITEYLIEQLK
I206_03592	MQSNQVAIATSALLVREGQTNFAPFTQPDLSIAHVQWLQVLKSR MDQLENARELSLNFDLGGTFIDSDLVAPLEELVDEARRMVYSLNGEMRRRSEGNLPPW IINKISYEISKSKMARANSAGQ
I206_03593	MSGSLTHILFEGASGYALFTVSMQEEIAAKSKQLQDSINDITIF SRMIQLASFLPFTTAAQALENANDVSEGVLNDHLKNLLNLIVPNTAGKTNKKQSGVLL GVVERGLAGAIQGELGIPCDTSERALELIRGVRLHQEKILIKGGMQQGDVAIAQLGLG HSYSRGKVKFNVNRSDNMIIQAISLSDQLDKDLNTFSMRVREWYGWHFPELYKLVPDS HQYASLAVLIGDRTKMTEDLLEEMQTILDDDETRARNVLDAARASMGSDINEIDLINI SNFAERVVKLAEYRKSLRRYLVEKMNVVAPNLSALIGETIAARLISHAGSLTNLAKYP ASTVQILGAEKALFRALKTKGNTPKYGLIYHSTFIGRAGTKHKGRISRFLANKCSIAC RIDCFSDVPTNKFGEALRAQVEERLNFFETGTPVSKNADAIQKALTAIAADLGDDEDE DDDEEGDVKEDDVADAVKQVEKDQKTKSTGGPLDPELAAIAGGLPSAVSTSTPSKKEK KEKKEKKDKKEKRKSEAMEIDTPQGEEKKSKKDKKEKKDKSEKEEKKDKKKKRKSEA
I206_03594	MTAQTSNGNGPKAKPLPPVSVTFLGTSSGGGPILSRNCSSLAVD LGSEVWLFDAADGTLMRLHQSSIRIANISRIFITHMHADHVLGLVAIMMTIMSGVGVK PGENEELAKLGKTKKATFHVYGPSGIRNLIRTTLKATSINLAGVYAVHEILEQGESSS AKCEEGDLHSNEAVGTDFVANANGVWEDILEQGSGKGGKGWSVKAGPIHHRVPSLGYI LEEPTPRLQLDTSTLIPLLQSNAEALASLDPPIKHPLSLLSHLTSLPPPPPFTLPSGD VIHPPAPSGIPPRKLVIFGDCSGGTENATFQKMCEEPSLLVHECTNGHIPYKVQRGDK GMKIRKQDLEPSLEEKRDKLFFPKQPSDGKKQNGHIDESEKDEEKRKAIREKALSRGH STPQEVGNFAKAIKAKRVIINHFSAMFPAPHYANSQPFPSILSPISPHPYPTPFTTTA HGFKPYVEPHDLTKGELHTRLIMQSLADQITDIWNTDGNDQIQRMAIPSRDFMTLRIP SHELSESEQEEIKTYRNEVEHVMRSWKENGGVWIPKENGKIWLGVDNPPIAEPSHIRF DE
I206_03595	MPSNIMDNRPDVTSPSNMCKCDESLKRSKTVKTTSDNISDTSIL GIFTFGGLACLSNFCVFLSFESKTIEPTWFSTGTMIFSLLSIGVVLSETPIMSCHAPI CPCYRIQYWTEEQIIFRICGFLLLLFNLGIYYLI
I206_03596	MSTTYTAPSGPPPSSQNPTSAPNPDDDPFDPPPAYTPSASNSTG GETSLATGPNRMDFSGPPPIPERLEQNITGVGIGFGRRQQHELGSQFTGQSSPPINPQ YTNQSYIPPPLPPRNPSISSNLSGHPPNKETQSGFNNNNNNNDAGPSRPPPPPTQDLS PTEIPTPGRPLLWKGHLLVYPKGFWCHKCNNTGYKSNDPSNPHETDWKKYGKPYNSAL SISYLQSTRPGSNPSTISSSNFQKPLPSFSSNQPNQNIYGNLPPPPPPPGSWNSFPGN HNFRPNLHQNQMLPPPPTPLLPPPMAGQQIYVQRGPGYIPPGALVVTPGDPRIGGRPC HNCGGSGRENDFLFGFDVGRCYACQGLGRVFR
I206_03597	MPPIYVKPENALKRSEELLALGTPQSQQQAFENLVEVFQSKKFK HTPVAILEPIVFKFLDLCVEMNRKAHARQALVQFKNAVQTTSVSSIEKVLNHFIAQAE SRLTAATEQAKAEVAALPENPVVDDELPLQPSALLLDTFVDSVGDRERIERRLIAPAQ KFCWDAYDISLDIAKSNDRLEVIYQSVAHRAFQFCKVHQRKSDFRRLCEQRLRKDLTN AAKYGHQQHAINLSDPETLSRFLDTRFLQLETAVELELWQEAFRSVEDVHGLVAAGKK VAKPAMMANYYEKLTQIFKAEGGKQTAVFHAAAWARYFQYAERAGISNDKAPGSVLLS ALAVPLGEVETKQRLIALLNLPKMPTRESLVKDAAAKHLKRVLADIRQIYHILEADFQ PTTACKNLAPLISSLAPEYQPYLPALREVVLSRLVQELAQVYDTVTLSHILNLVKPFD NTPWATEKPSLEKFLMTACRRGDINASVDHVAQTITFVTSTAQPNRLSDLAIVLYNTI QYLNPAPVTSRADAFAAAIAQAEEERKQIAHRRQIVTKRRELMEEANLRKQREESTAL AERLKVKAAEDERKAKEAAKQAEFDRLRKQMDDARRAEAEQLAKQLADKGALKVDIKN IEDLDSSKLVALQVEQLAKEKREHAERLRIVGKRVDHLERAMRKEERPLLADDYERQK VEDKAAHERLSAEAKALAIQQQKAAVELKSRLGRMLPDYITARDNVESQREAEFKVAR EKARAKVDEEKKKLRERLIERIKSEKAQREKEEREIEERERRDAEEAEARAAEEAARA EEEARVAAEIEARNAEAAERAAAVKAEREAQRAKDLEAARARMAREEEAERRRAERSS GAGTYRRPGAAAPPAETASPSSTSAAAPSPALAPTKVPGGSWRERAAAKAAAEANGTT SPAPASPAATPAAATPATADGENASPASGGKWVPRRGRGGGALPPSGGRTASGRGW
I206_03598	MAASLQHLGRGALRVAKNYTKGYTDIQTKVRDSTSNDPWGPSGA QMNEIAQMTYNQSDFVEIMEMMDKRLNDKGKNWRHVFKALTLLDYCLHAGSENVVIYF KDNIYIVKTLKEFVYVDETGKDVGANVRQKAKDITNLLQDDARLREERRSRGAMRDRM LGNIENSGLKGDNDYGEPRSPVRQAPKPKPNRSRDEEDDLAKAIEESKRMSEDEAKRR SQLTKEEDDLRRAMRLSEEEEEKRKRDLENSNQTALFDDNLNIQSQNAYQQQPDLFAQ QTQQMPMMPTGGWPLVDIGYGQQQQLQPQYTSYNPFHAQMMQQQQQEEYMRQQMALQE QQRQQEEYMRQQQFLQQQNTSLFPQPTGYGSNNPFAFGGGQQQQPQQQSYSPQPQSSF LPVPTVTQQQPQTPQPVEPQHTAKPAFTPAPKRDDGEHSGLAALLARGREDGLDTFGN VGNLRIPVGSGFHGSNRVAVQQTGAQGFGANNPFGQLQNQNTNQQQPQQQQRNDQPFF SI
I206_03599	MSSSRPKVNLRSTAVKRIMQEASELANANVDEDGFVAAPLEEDI FEWHCTMRGVSGSEYDGGLYHLRILLPPSYPMSAPDIILMTPNGRFELGKKICIDGLT SFHAGSWQPAWGVRTAVVGLRSFWMQTGEALSAIGALDYSKEERKRLANLSKDWKCLK CNLKNHEIISSISSNNKINQQSIEIDKLKSIEEKGNLLKDKPLIEDQNISINPNNLQE IPTIVPPDQIPTTISNTNTNASIDTQAIPTEIIPPALEKPPDESNDNELELPNDNTSL DQPSIESNSVSVDELPISSPPTISMNTIPSNTPTPTTSSNSVRLAQTPTPTPTQQQRQ RSPVFFLIDSMILAGISILIMLIAKRMG
I206_03600	MTDPTPSLSSHQLPNLAPIGNGPHDTVDVDAGPSNRPFTDAQVE EFREQDRWLPIANVARIMKTSLPTSAKVSKEAKECVQECVSEFISFITSEAAEKCLNE KRKTLNGEDILTSMRSLGFDNYEGVLRVYLSKYRDSHHNLPKRGGTNNGEDDEEDNSS IIGGGGVGEITTTTTTTKKKRGRGRQSNAVNAATNGNQIASGTIQTDGKGKKRKTQNQ DDQNGVR
I206_03601	MPKANKSSASAGTRKKNARKAAKGADEEFNPDDLPPPQKQGTKM QRGQKKLSKAQKKALPKIKQYIPPPKPPAPPIPDPLDGLGLARTLPAELVVVLRRLGK KDDVTRRKGLEELRDGWINELLSQKHLGEEEELERELKETALLSAIPVWLHNLASLLQ SPFHRSSAIQLHSDLLSIPFIRTSILENLSLSLLPGTQNRDILGSWMVAALEEGRRAG GAGLKSWDNSTFWTKSSDNGETSSSDTERILLADHLGDLVEYLTLSILDPATLHDNIH PAPVSSAPVAPSPTPKKGQNTKGGKGKAAVVPPTMRQQASVAQPAEDEEVVEERLARY RVGGLVGLNYLLQQLSKSPTATLSDDLVNLIRNPGLWLALSSEPNDDSEDLPSPNGLG TAQPPIRRAAYSLLSTLVESHPDLLEETETLKMLSDAVLGSCWSEKEGVVWETAGMAI AKFIRKWPSCWTITQDRSRRDSTQDDKFMDAKDGEDEDQQDHGDESEDDDEDDESVDD RVDDQAEGDLNNPSANTTSSHYANFLDFISTICPSLPHLTYPLLLVVISTLPDDFLPL SPSLTPQVQNLFSHIWAPIDSRLLSTHSLPGQQSAFQVFLQSMVDCTGYLISKALSSE EGTETASWLVKSQLGDRVWTEGVLEFGGRGGGRRAQKGPSQELEAGSFGKAFGRLSTS SPDLAQDLSEIVTKALLAACFPENPSSTVLPRATSILSALQNSNDSDVVKKTVERSFA DLYDRSVGHMSQSLLGEGGSAITIIEALVEILKQDSCPIQSDAQDALISIMQQSRFQL ASLLPSTLLTALLEAIYSISTRQTDIQAFATSLLQSTDLEPSERFALTRSLLEVPSSG LLQPGSLDSIATESTELALSSNSAEPTSVAISCLKATQNLSKDAEDEVLALVCTAIHD STEKLLTGHDFSEETLPSAAFKIFAAYAITHLEEVIKSDIWIHSLISVHHVIFLLPRV PGHIASTFGKDEVLAELWTKAGQLADSDRQLILARVYEALREGIQRVEVEVDPGTLID VALATTLGDSKAPTVVELAKLLLPFTDKLIEEISSHTFQPSHPSLPIIDPLVPYTFEI TPNISVESEFDLVGRSQAARSVEAVVALLRADRQLVASQPTLLRAALAVYQLTQDTLS IPGSSRGLYAQSASIVHLTDLIREVEGALSYALSFVDEVSPTWHTDTVQILKSGNLPG KTDLLQNMLFELKDDITANGGDVSARTFRDVLTRHLRQSSAGENEAEVWLNYAMSLID KAPQLALAIIYAIKPILLDHKSFALAQNRLANALTSVKPSPSAVAKQGIPYIRLLIAS APSADAASVFLPQQRAIFVLRHVNSWLTADNDDEDMPEEVEYRIAQLETELAPIIQDL SGGHWDAIFDLVESGLEGSSLDDSTTSCLLHQSLLLLQQVRDLCQSNKSLRASWTAKN DHMKAVLNLFLHRKADVEKIVANSTPLLMIQNLLLDLLGDVPEKVMENASLGQLSDLI HLSSFSSVQCAAYRILSRVIKHNTLSLVLEVEASIAEAGEGHTHRTIELPKELVQIVD EGLNTDWMGEAEIHTVSDSILGQLLAWQAVLDHFEDASRTLRWAYLDQLNSSKLLTDG LIPMLFAMLGVSEVGAWNFPASQYAVDEFYPELLDPEEIADLTPLASYLFYRSLVTIP SAFRSYYESIKSRQLSMSMLTFVSKHYSPVIISHEFETLRQPSVIKQLTDEGLNIKIS QGGGATLAAAGGSSEAIASYIVDEQPMEIGIRLPAEFPLKAVDVRDLRRVGVPENKWR GWLMSVQQTITSRNGLILEALTVFKKNVSLHFEGVVECAICYSIISLTDRTLPTKPCR TCKNRFHASCLFKWFNSSHSSSCPLCRSLF
I206_03602	MANFMNKFRCGRTTPQTTNHPFAEGADPPPSIAANQSNFLPSHT SSSAYHQSACSPKKPTSSPASKTSTSSPFSTPVMGKSAISSTPPLTTGEYRVSPTKTS KTPTAKPASALPPVLPAPSYALPPTPIASPDRRSSMLFVGTERTQHAKKPSLGLRSQG HAAPPSSFVSPILHKHARIGSELPHKPQSPSHSRSASSPCSTSPSKKPPIHRSNRCVF DSYNVSESMGSNIGQSVARPTEAEPFDYHEPRHRPAALRLRTSNLNLELAEKSKMRIK ESPSIDLDYGGILVKSSALSPLIFENLPQSSFPPSSKEKSSTSIPDSASPEILITPAS IFTSLSTAAFTKPSNHFKPFENLPIPWSTIATSDLYKELGEEKYNTFIDTNLSEIKRI DIKLLHPDIISISFSEEERLQIELNRLKEKHSLLVNQRESLIKRIEIGLLTIDQIQLH KLIQHLKQIDKRVDRISRQIYICNDQIKQIQIQSKEHIIGVLKIALAIKRKAVDNETL QHSRKNDHHELSIDERSLNSRSSDMISNCPTTLRADVPHTIAIRFLEPELSSSSPTSI TKSKDEIKESSCQCSRPLSTATVINVNSFPFPIPPNRKKNSDEEGKPENKSTLFKSTT RSVRKTHISAKQDLRLPNSDDQCGLEVEIGQDLHDQDDFGSTDHHNTDISFIETCTDI LIYPPGHVRSISAPLLGLEVPLSAYTLSTDHNFHNACDNDRFHERSISENDYHPSSST SSCGSTIRVTAPLRINTKRRMKISE
I206_03603	MPPPAPFWLCHECGAQMRPVMVDGVSHCASCNGEFIEILDSEVN PDPFHELPPPPPTRPNQSQLSPSRNTPQSPSRQYADPGQGGQSFFSSFIGNILGAAAD HSQGEGSNSSRQDQGRETSPTRNTGGSGTGSGRTFSFNFPGGGRGQVMFGSFNGAGMG GMGGMGPFGPIGQGRTTGGMGFESLFPQGFGPPPRAGSGQHGRPHPLGPGDPMDGGEL LRALMAVMGEEGQMGPGMFFGGPGRGNLGDYATSEQGFNDILERLMQAAGPQGPLPAS DIVINGLPRFKFDEEKKLAQSTYKDCPVCKDDFAIGDEVVRIPCAHIFHPDCLIPWLK QNGSCPVCRFSLVPEEEDRARRSAAPPQSNTTSAEGTANSAQEGSQSTMTSILNRLFG QAGGTTSNPTSPTGEESNHLPFGAAGEATRPAPSTTAVQAASSGDVSRPRSVPDGMPP TDQSGQQSGSSSRQHNNEDPPSPTLPASGQPSLSTAIPEDYRARHRERERQRQQHEDT EGSDYLDLD
I206_03604	MAPPEAIWNNPQALADYYLDYAIPLRPQPGVLVASIIVSILGSY ATLLVLGRRTSSRGWRNHGLLLLAAVVFAACAIWGMHFVSMISIRLRASPDVTWYIQF DKGMTALSLFVPILATFISFWLIGSEIDFHLWRVLFAGTFQGLTIGLMHYSASFRLPT LQVSYTAVTVVFSLILAAVAAIVALFLFFRLRAQWEESWWKRAICALVLATAVCGMHY LGLGGTSYRYKEGIDPMILANAGGQSTRLTIAISVMCGVIVLLCFVIALLDAITRKEI RNKARNIVIASAAFDKTGKLLVKNDGTIPMQVIQTDADLQRVLGELDPRQSTFQWLYQ LSFNWPLVTPFVPRILKSVVDRQKGKSQQPATLDRSLNSAWETLMFRSRFVEASVLLA QQLDLSVESLGAMFDRVLTTGTRLPDERSDKSAEEGKAGIKSDDESSIHGITLRMHNS EGVMLFLVREIGDGSPSAWDNPNADKNQLRTNDTVDSYTSRGYRMAETRFFSKAMADH FGVSKPEMDVFLSACKTYAKRGTRPVVQSGGAYLGLFGVRPTGTHMQGLDVLVYNFAR HQIPAYRLPDVSFPLNATMKAWVRELANANMGEVLRRCNEAVTRAENSDDGSASLHSQ IDESLYEFQAAIAVAIEALTTALRCWPTLLDIARLSPEILEIPASDHDDKAPAQMVVL EVVLPAPDARLTPVQSRASGVQAPTLASGRHESDKPPAPFVYTPFSLFTKSQAMLLRA KAFQEFSKNTVVDLNKVYPLMPTDVAAELDAYDSGEKGADGTGNPFAIGRWNTKSKTA SKGLIVDTSSANLGHQRLQSDATSMKSPGVISSDGSEATYEKGFGTISPQIHSLVNAT HGHARRGSRPNTGASEHSIVPSDPVDAVKKGLTSLVNKATGHNDEESSVNQTEEIEVG PPVYTSVRNKTDGWFMRSMRDLERSDRTGALEHVGWQDN
I206_03605	MNPNDPFNDSNQRNRLAPSPRPSHYPPPNQSQVYPPHQYRTPHY DDGGDMGYGGRMGGGVEINGQHMPWVAGEEDDELKPLTSDTNASTTSFIPHSPYMRPL SHMPSSNSVGADFMRRQTLPRRGVTVKKIKLTKGNFIADYAVPGPVSSSVEDKWIIGD KTNEFSHMRYTAATCDPDDFTPENGWRLKTASYNRETELLIAITSYNEDKILYARTLH NVMLNIRDICNTKASKFWRRTAEEGKPGWQRIVVALVADGLGPMDKQVLDVLQTIGVF QDGILKKEVDGKPTVAHIFEYTTQLSIDATPQLVQPHPGDANNLVPVQMIFVLKADNS KKINSHRWLFNALGRQLNPEICVLLDAGTKPGHKAIYHLWEAFYNNQNLGGACGEIHA MIKGGKKLFNPLVAAQNFEYKMSNILDKPLESSFGYVSVLPGAFSAYRFRAIQGRPLE QYFHGDHTMAARLGKKGIYGMNIFTKNMFLAEDRRILCFELVAKAKDKWVLQYVKPSK AETDVPEQAAELISQRRRWLNGSFAASVYALIHFFRLYRSGHGPIRMLFFHVQGLYNF FNLIFSWFALANLWLTFSIIINLVPAGSNINLFVTAEVTYWVNLVLMWIYMAFLMLQF VLALGNRPKGEKGLYLLTLWVYAVLAAYLIVCSVILSVVAFKGALSDPGNIGQKLGNL FNATNGVLVAAVMSTIGIYLIASFLYRDPWHMFSSFPQYMLLAPSFTNVLNVYAFCNL HDVSWGTKGSDQAEALPAVSSSKGKDGEVAVVEEPQRNQDELDESFRSVVRRAVAPYK IDEKEEKPSMDDENRTFRTRLVGFWLLSNAGLAISIQTLNGLDTTKQLVEKCVPDNYD PYNGNVTVPMNGTCIQDALKFNTVELQNKQQFYFQALLWATFGLSMVRFLGCLYYFFA RQLGRCCRRN
I206_03606	MISTLGMGLLVGAALTIIIPEGVSTLFGALPDNAGGHDESAIHA TGLSLLLGFALMLLIETLTPHPSPSQPPSPISSRSPSPASSIDSTHRPSPAHMNSSTP LQAKPRKMHVHDHDEHDHGVSGGVHGLSATLGMVIHGAADGIALGASSLSEKGSLSFI VFLAVLVHKGPTALGLTTTLLSLNLAHAAIRKRLIIFSFAAPIGAILTYLIVRGFGSA NVGQGQRGDVDSIGWWTGIALLFSGGSFLYVATVIQPLSSHTHDHHSHVESGDPNGQR TQEPQLGKYERTLLLVLGMGLPAMLSWLVGDEH
I206_03607	MTSSSSQPFSTESYFQTQKPPAGLKEKSEKMHNFVEKWKAVKSK KVVLVTSGGTTVPLESNTVRFLDNFSAGTRGATSAEYFLSQGYAVIFLHRLHSLRPFS RHYSHSLNPFLDLLSVVQPTTSSSSSSSTEPSIIVSKEYTKSLLPILQAYHDSQNSET LLSIEFQTVNDYLWLLKSVTASMASLSRRGMFYLAAAVSDFFLPEEKVAEHKIQSNKG TLSLEMDQVPKVLKPLVQEWTPEGYIVSFKLETDEALLIPKSRAALSRYGHQLVIGND LHRRKYEVVFVERRNLLQKGKGDERLKGAETPPIIESDEENKSELNPTALIDKEEYKE TWLRLDDLKNGTAETVNGKGRDGEVEIEELIIKELVDRHQRWIDAKM
I206_03608	MITTITRQLNRARPSSHNPSAVTTILILICLICTPLIVLAGDPE VTVTRVENLPNRLFYFDDTPVVLFHDPVKLSVMRSPDEGKTWSSISGPQEGEAVRLID HPHNNKMAFIIGRDTTHWVTYNQGDSWQSFETPREASLGASMLSFHAEKDGWILFQAR ACEDTGKGKWGGGRSCWDETYYTQDAFRSTPELLLSQTSQCLFARSSDAFVSAPESLI FCVAFDESNKSGGMHSVKESRLYSSQDWFENKKFVDLGIGKKARGVVGLGVVSKFMVA ALRVTEGDARRASGGDPMHLYVSTDGESWRQTQFPHSALPDLKENAYTVVESTTHSIA VDILTSPSANIGTLFVSSSEGTYFVEALPDTNRNDYGIVDFEQLVGLEGVGIANVVSN REEVVGWGEAKKIKSKITYDDGSSWRLLKAPQTNMDGDDWSCNVDEIESCSLHLHSVT TPHNIGRVFSSTAPGYVMAVGSVGSSLLPYEECDTFLSTDAGLTWKMVQEGAHKYEFG DQGSVLVIVDDEEPTDTVKYSYDGGATWSQLDLGVTVRGLVLTTIPDSTSQKFLLIGT LPRRDSGKGGRHAMIFLDFAPVQTRQCTSSDFERWYARSEEGRECLMGHKQWYQRRKL DAQCYVGNKFEDPVGHEENCACTDDDYECDFNYVRQDGECVPVGPEPVPAGTCNKPED KYLGSSGYRKIPGNTCENRSDKAKDSPIMKDCSSARPQEGAVSHVTHAFDSVITQHQY FPGSQSILLQLGDGTVWQSSNEGFSWKQLYEQETFLGVTMHTFAGERAYLLTDSKKIY HTTDFGRSWNVITAPELPNNLGINILDFHPTKSDWLIYTGAVDCTDTLSTSCRAVSYY STDHGRRWKKIEEYVRNCAWARDARLKIDEREIICESYKNKKGSQVGGDYNPLELIAG PNYYSKKIKLFDAVVGFASFSEYLLVAQLNELAGTLALQVSLDGYHFSEGQFPPSMKI ENHAYTILESNTDSVFLHVTMNSDVGKEWGSIFKSNSNGTYYNLAVEYVNRNTAGYVD FEKMLGLDGIAVINIVSNPREADLSGRKKLKTYITHNDGATWKPMNPPPKDSLGQEYD CSTTSCSLQIHGYTERRDPKATYSSPSAVGLMLAVGNVGEELAVYTDSDVFLTRDGGF TWEEVHKDAHIWEFGDSGSILVLVNDEEATDHILYTTDEGLTWNEYSFGQTLRMKSIQ TVPDDTSRRFLLIGNEPGKSDRSILVHLDFSAITNIKCQLSIEDPNHDDFQLWSPSEG REENCLFGRQTMYHRRIRDRNCYVGQRIDQPKTVVRNCTCTPADFECEFNYRRDANNN CVLVDGALPLGIDTEYEQCDGTTDYWYERTEYRKIPYSSCEGGERPDRGRRHECPGLI LRGGLGGLFWGSIAMIPFAFAGLAGWYYWTKGSRPGSIRLGEHRAFGDDSPAAGVLSI IASVPIFLIAVTQEGWAWICRKVPFLDNLSASRTPYRSVPIDDDAEILGNYEDD
I206_03609	MILRKSTSSARRLLHTRVQTFFSPTPSYVESHIAQHAKTDSTSL FLLSTSLENLPQHLPILQKYLPNSIGSFSITPPGQEPTLSLASFSESVQTFRSNLTGR PPAEVGRFQRPQTQRNQREEDLKGAGPGEAEALLAGEGWAGMWKGEAAIERISELEGI DAASFLLLTDGRPNPVLKALDLMYPSASKIGILTAATPFITNRPYTLLHNGSIYAEGT LGLAIKKRPNVKVDFGLTPMTETAIVSGAQGNMLLSIEGTNSNPTQVLIQAIQQRGGT GLTKEEDFYLGILDHGEVQKVIKILSGDPSRGAMSLEMEDSLRSGQTVQFMHRDSPMS IPKPSPDTFTFSSLPRSDEAGDVVTGSPRVEEGFLALSEGGFIYSNPTASICNAPAAT IRASW
I206_03610	MMLLSALVSALIVGAQAAPTDLNRSLVSERSVNTGWDYGAEKVR GVNIGGWLVLEPFIIPSLFESTGNNDIVDEYTFCQYQDKGSAQSALKKHWDTWFTEDD FAQIAAAGLNHVRIPIGYWAYDTSGGEPYIQGAADYLDMAIGWAGKHGLKVMIDLHGA PASQNGYDNSGHRGSANWATDNNNVVRTKNVIATLSKKYSDPSYFGVVTMLALLNEPA TYMNDQLLQTTRQYWKDAYGAARYPNGNSDKSGLVLVIHDGFQPLSTYNGFMTEPEYE SVFIDTHNYQVFNDDFVGWNWDQHVKGICDKASTYSQSPNWLVVGEWSLASTDCAKYL NGRGIGARYDGSYSGSSYHGSCSDKSNDVSQFSSEYKEFMRKFYDVQVQTYENNGQGW FHWTWKTESAADWAYQSGLSNGFIPSNPTDHKYSIQSLCG
I206_03611	MRRTPEDPTSNIQHLVNQTIESLRNLVPNAPTTVADEIQDAVFD AIHAIDNDMRALNLAIHDNPELGFREFKAHENLVKALEKLGFKMSNPSSLPTAFVATY THGEGGRVFGLNSEFDALPDVGHACGHNLIAVVGVAAAAGLKAALKACNISGTVKLIG TPAEEGGGGKVILLNEGVYDDLDACGMAHPGGGYGPSPFTGSCPIDGPATLARSGFEI EFHGRGAHAGAAPWMGINALDAAVQGYSAVSMLRQQLEPTMRVHGIIQGSERWAQNII PSYAKVSYSTRALDVKACLDLRRKTIACFKSAAEATGCTYDVTAPDEEVYADVQNNKP MSLSYQAFMEKTFGDKIEMTGMSTASTDYGNVTYKCPAFTPNFAIPSPVGSGNHTAGF TESAGKPEAHRLAMKVAKGLAVIGAKFLSDDEFAKEVKKEFKKFKREVGEA
I206_03612	MYKEPLDPVLHHPYILFWYWEVNDNTPFELACMSQWFATSFKDL DHPHLIFKTAEHYMMYRKALKFDPEVADEIVKAPTPEEAKERGRKIRNFDKKKWDEVN DGIVERGNYLKFSQNEKLKEVLLKTKGRTLVEASPTDRIWGIGFSVDEAEGREDEWGA NRMGLALTRARDQLLKESKLQ
I206_03613	MSFSISSYIPLSERDLWNDIKPIPQDDGPNPVVPIMYSEEYRDA MDYFRAIAAAEERSERALELTEMIIRINPAHYTVWQFRMATLLALHKDLEDELQLMNE FAIQNLKSYQVWHHRLLLLTHISPLDPSSEIDYIHQSLLPDPKNYHTWAYLHWLYSHF YTLGRISQKQWQAELQWCEEMLRVDGRNNSAWGWRWFLRVARPGAEKGKDGLEDELKY ALKAIHSIPHNVSAWNYLRGLLRHFKLPLTPLLPAILLYTSRSPSEALTCPEDFNYPG PPTPLPKDTPLPVPLALEYLGDALIEQGSNSQAGEVFSDLSTKYDKMRTGYWEYRRRE CVEG
I206_03614	MATTGLASSAAGKFDSVTPPKSSSLPEDLVRQLLVNLDDKISEL KSDKSLDLEGLLAYQRVANYLSAAQIFLRDNGLLTRDLKTEDVKKRLLGHWGTCPGLN FAYAHTNNLITRHESDQDSPYYMFLTGPGHGAPALLSTLFMEGAITRFYPEYPMSREG LEDFIGKFSVPGGFPSHVNAETPGAIHEGGELGYCLAVAYGSVMDKPDHITVAVIGDG ESETGPTATAWHAHKYLDPAESGAVLPILHLNGFKIGERTIPGTMDDLEIACLFTGYG YQVRIVEYVGASSDREHDVAINYDMAASMEWAYQEIRKIQTAARSGNPITKPRWPIII MRTPKGWTGPRKLADNPIEGSWRAHQVPLPEAAKDDKEFKLLQDWLKSYGPEELFHTE ASGIIDDVALRIIPKDQQRRMGMTDATYRGFQPLKTPDWKAFTHKLDEPVSNMKAVGS YVAEIIKLNPSSFRIFSPDEITSNKLDEALQVSHRNFQWDPETANNGGRVIEMLSEHT LQGWMQGYTLTGRHALFPSYESFLGIVQTMIEQYAKFIKMALETRWRGDVAGLTYIET STLWRQEHNGYSHQNPGLIGSFVALPRHLARIYLPADANCSVSTIDHCLRSKNNINLV IGSKNPTRGWLTAEEAERHCIAGASVWPKYSTDGGKNPDVVLVGVGVEVTFEVIAAAA ILRNNGVRVRVVNINDLLILGAMGHHPHALSTDAFDSLFTSDKPVIMNFHGYPKDLAS LLFSRNAHVGRSRFDILGYIEEGTTTTPWSMLRLNNTSRYTLADIAVRRIFRDQPNHP VGIRTHELSSFWQHQLVLHDKYTKENGEDPDWCGEIPELEEGAV
I206_03615	MIAVQHPLPPPSPIPLGPTSTVLPTSVPDNAPEHCPGVESTQAG KADACEGCPNQSVCAEGPKGPDPDLPLIRERMKSVKRKILVLSGKGGVGKSTFSAGLS WALAADEECQTGIMDIDICGPSIPLLMGLSSSTIHTSSSGWSPAYALDNLAVMSIGFL LPSSSDAVIWKGPKKNGLIKQFLKDVEWGDLDYMVVDTPPGTSDEHLSIVQYLKETGI DGAVLVTTPQEVALQDVRKEIDFCRKVGIPILGLVENMSGFVCPKCKNENEIFVPTTG GAEAMGKELGIELLGKVPLDPRIGMTCDQGMSFLDEYPDSPATTAYLDIVQRIRELLG DE
I206_03616	MSKKSDLLVRVRYLNPVPNPPFPPKLLNISTDINRLGEPSYLNH LAASTQLPMLVDSEMGMPLDMNAYDGIWDGQDQSLNPTLDAGRIHHPIDVQLLAPFNP PPELNGEAKPVVTTEVSWMRNNNYLTRKNNARRKEAAEAKAEEVIDASEAAQIIAIER TFHDLHDQDPKDIRHPDKKKKGLRVVESYDILPDIDTWSNTYALVRFPERPSAATAIN PAATASSPRLSKAILRPIREDEDQQMIEFYLPKEEDLSSLDEAYEKAVSPDEVENIYN VSAEDPNDPALTEIFPHVQYDRIRMYEVLSTAPTTKEILLSFQEGDQEEDQEDIDERP KKKRKGVYYHGIDFKTLLRKTRAKRRDEVAESADLWDKAVVGYRQPGEDENDTRNQAR GKVADPTWANAELRRLRGGDNMAELQGEAIQDEDVELDEEAQKIEAAVHEEDVDDD
I206_03617	MTPDDIGDIGSATGQQLLENLIKEGLTPSGQDTDPSKYRKLIQV LLQNCILKPLSRDIPPNLQQAEYTLTILQRQTKLHPGIMYSVTQQEQSPFYYWLLPRL AQAAVHHIEDSLYDDLVAATVNALKATGRNSSEEDVSWAKGPRRLGMVVRHINSFCQD VLSRRKAVLFGYAELPANANTLIFLLSVVLQIDSPFLQSITSTSASLLSQTHKSLKRP YLESRYTAAITSALLRTRSINLTQGCIFVSSLTSYDEGFKREKIVNFYEALTQADPGI KAEVWWSLLDQAEALKIDQDIDFAKICFLVTPIVPYISSSMIENFITPGLLQRWSLSA QSDSLKADSFGKALGIDGTTSKKRKRSNDGSNTAVAVQGLALGIVPPAGNIEALSSRE VHEIGPGIACAMAGCSSHVAPFLQTLSDDIFDFWIKLDRDDAAAVRTLLLLIEHTPPQ TLATGIQLSRRQPVVDKLLRQTASTERPKRILASRIISLLYQAQSAHPDRKVAERNRH QMIDRIANHLNAALVVRETIVLLLGDLGRHSSDGQLCAILKLLIQQLGAKSAPLRSRA FAELLGLSRYHHKTPYTLLSPFIRPISILLAEHINRSPEMVAETMQFIGLTRQNFLET NLKHILPALIMVHNKDALQQVASIVKQKMGVILTDHVSHILAQAFLHPDRTDSAINFL VKLLQDMMRGNPKALSNLSIPSLMTACMVDLIVMLIVELGDSDRGTRKAAQNALVKAS LHQRKDEDVGAFLKPQMLGVISQLNDMLHDVQGKKSVEYKRKIIRSFGSLIRIAGDSM AGFSPQIMASLQSTLGIPELREETLKAWALFITTLRYSDVGPFVGRTTGALVANWNTF DACEREIATDIINNIAENAKNLSQYVDEIVGLDDIKELKSAASRLTAQRLNHKIQEHI SKVLDRSDSKNIAIATASTKELRSLLTNRQQEVEALLRGDTFDPIMSRLMTSLLATAT RDGDCQELRDLSYECMGIVGALDPDRLGIQPDSGTMTIAANFTEAEESKDFALHLVTD LLVDAFRATNDTKHQTHLAYAIQELLKFCGFTTRLLSSTEKVNSKPIRDRWLKIPKDQ LETLSPLLESRFSISDAPIKSFSHPIYSSAPTYREWLQNWTTDLIGKVMSISGDDIAT RDSKTIFGAFRGVLRNQDVTVAHHILPHLVLYILLSGLPNWRKEISSEINAVLQEQVN PTGSADKRTLSAQVIFDLMDHLSKWLRLYRLGKNDRSTQIKIVEDVIAGIDTELMANA ALQSKAYARSLRSFEERIVGLRNIGKDNLILQIYFERLHQIYSELDEPDGMEGVSAFV ISPSLEHQIREHESTGRWTSAQSCWEVRLQQSPDDVTYHVGLLKCLRNLGHYDTLRTH IRGVLSRHPEWSNELASFEAEAAWIIGDWDTVKQIGANGPAIGQTLLALHEKRDVPPV LLEARKTIGSNITAKNYGRAYESLLQFHLIREVEMINTLKQEIEETSNDMNARAVKQK AMKSLVESLEARFNFTSPTFRVREALLSIRRTAFGLAHTPISQAEIGDAWILTSKIAR KAGYDQTAYSAVLQAKGAEAPFAFIQQAKLNYANGGIYKALADVNNALTPLLKEASAR SDEPIDLTEERDFAAERKLAKAVLLVAKWTNDADRFERNDIIERYQEAIARSHNAESP YYHLGHYYDSLTGSPDQMANFHYHTCHFYSLALHYGVKYIYQTMPRMLTLWLDFGEIK DARLWVELKIIDLVNRVRKELPTYQFLTAFPQIISRIVHPDQRSALILKKIMAYVIYK YPQQALWPTVGAMQSKRAERKQACQESLINYKTQTTAVLIKDATNFSSILLRFTDDKV DDKKRQMSMASDFSYVAKSKTKMILPLQDALTCSLPTTSETVRSHSPFPNAPVSIAGF DDRVDVMPSLQKPKKISFKGSDGKTYPFLCKPHDDLRKDARLMDLNSMINKLLKSASE SRRRQLYIRTYAVMPLNEECGLLEWVANTNALKSILEKGYGRYGKKVYTNDVHAINEQ ARRTWSKDKENLEPLIHAFKNLILPKYTPTVFHEWFLITWPEPSAWLASRLAYGRTLA VMSMIGYVLGLGDRHGENILFDGLSGDTVHVDLNCLFDKGKTFEIPERVPFRLTQNMV DALGVTGVEGVFRKAAEISMAILRTNSDSLMSVLEAFVHDPLIEWMKSVSFITGRSKS EKDIKASADRNLKPIKAKLRGIRVDGTVLSVPSQVEALIKEATSQANLAAMYVGWAPW L
I206_03618	MARSKEIAPNVGRLSRSQVAAKRGLYKGKKTSTAPAKTEAPAHT EKTVGGKSNGEKRLVPTNKASKYYPAEDVKKPKVSRKTVGKTALRASITPGTVLILLA GRFAGKRVVFLKQLDSGLLLVSGPFKINGVPLRRVSQAYVIATSTKVDTADVSIPETV NDAYFAKSKSAKGSKEGEFFGEGKEKKAFPEEKKSEQKAVDAALIASIKKVDNLAKYL KASWGLSKGDRFHELKF
I206_03619	MSDPNFPPNLDIEPLLLGLTQDTDLDTKQQRNAIATYGIAGRVW EATRPLLEYFTPSHCFDPPCSLFLPESLKPHRIVELGSGQSVASLHLAGYLDQNDLIV TTDLPEVIPLCEQSIAAWNPPSTTHARVIAQPLAWGENPSHLFKYGPFTHILMCDLIY FPNLYPPLLHTLLQLTEPLEPLLAEAETFGPEVILSYTSRTLALEESFFDSLALYFQT TPVRGGDWEAKVFLCKRWKVTEEWSLPDIKDVMNGGKGVVRGRSFGLVDDLFGALEWD DD
I206_03620	MAPFDLDACIDRLRDKQLLGEALLREICEKTKEVLMRESNVVHV ASPITVVGDIHGQFHDLIEIFRIGGSAPNTNYLFLGDYVDRGLHSVETISLLTCLKLR YPERIHLIRGNHESRAVTQTYGFYLECTRKYGSPAVWQYFTDMFDFLTLSVVIDDAIF CVHGGLSPSIHHIDQIKIIDRFREIPHEGPMADLVWSDPDPEKEDFAISPRGAGYTFG ASIVKKFLNLNGMNHVLRAHQLCMEGYSVLYNDQLSTVWSAPNYCYRCGNMASILEVS PGGRRYFNVFNAAPENERDGPNQQQQVKAIEYFL
I206_03621	MLTRAPFFRFGRHHADYDDYPSVRAAASGANHQPTRPVDKDKTE SELTVNIKKATSPEETAPKQKHVRKCIVYTWDYHSSLSVWNGLRTQPILADEVQTFKA LIVVHKLLQEGHPVTIKEAHAQTGWLETCGRTVGDGGKGYGGIIKAYTSFLLSKLRFH RHHPEFNGLFEYEEYISLKNIDDPNEGYETITDLMTLQDQIESFQKLIFAQFRGSANN ECRISALVPLVKESFGIYKFITSMLRAMHRRTDAMDALLPLRERYNAQHYNLRRFYYE CSNLKYLTGLINVPKLGQEPPNLIDNGTAPELPERPPTEKPQARQETPRPSSPQATQG EIDEQRRMLEEYERKQAALVAQREGAQRRQEEDKRRQEAEYAEQQRLQQERERQAQEQ LLREQMNQQYNQQQQGQVGQLQQEMLAMRGQYERDQMLLEQYDRRVKSLESELGLIGA NVGAQMSAKDELISQLQKQIEIWRNKYEALAKLYSQLRGEHLDLLNKSKGFQLKANSA QEAIDKMERMERDVKTKNLELADMIRERDRARYDLDRLKSSHREEIDRLKRDLSFANE RAEDASRHKSSEVSGVMAKYNRQLTELEDSLRAKQMQIDELLHKIDAKDSEVSRTIEE KEQELLIMQEGMDSTLKELSDLRLTSGDTSQAFDAQVDTLILDHRKELNAIIDSILQA CMQKVDDAIYELESPTQTGNTTATPEYTLSMIEKAMTNATEFASTFNLYLGRKSGGGH VDVIKAANELAQALSETLVSAKGITRFAETDEASDKLVKVAKEAGDSGYRFFLNLQSF RLLAGGKSEEAALRNNAETRGALSKLSDTVEKFIPKAKTSTLSQANGDIGDIVSQEMQ NAAKAIEQATQRLQALMAQPKSNKYTSLDVQVHDAILEATLAITNAIGRLIQAATESQ EEIVREGKGSSTTQQFYKRNNRWTEGLISAAKAVAYATGLLIESADGVISGTHSLEQL IVASNEVSAATAQLVAASRVKASLMSKTQQRLELAAKAVTDACKALVKQVKLISNKQV DDEAVDYKAMPSHEFKVREMEQQVEILKLEKDLGAARRRLGEMRRAGYHQETD
I206_03622	MGQAPSSGAPGGGKRDQKDNKDKKSKWEPPIPTRVGKKKKRGPD ASSRLPPVYPTTRCKLKMLKMERIKDYLLMEEEFVANQASFSGEDRTAADRTRVEDLR GSPMGVGSLEEIIDDDHAIVSVGNGPEYYVGIMSFVDKDLLEPGCSVLLHHKTHAVVG VLADDTDPMVSVMKLDKAPTESYADIGGLETQIQEIKESVELPLTHPELYEEMGIRPP KGVILYGVPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPKLVRELFRVAEEN APSIVFIDEIDAIGTKRYDSSSGGEREIQRTMLELLNQLDGFDTRGDVKVIMATNRIE SLDPALIRPGRIDRKIEFPLPDAKTKRHIFKLHTSRMSLADDVDLEELVMTKDDLSGA DIKAVCTEAGLLALRERRMRVTKVDFTSAREKVLYRKDENTMSQAELGTSTTPSLQTL NGPSMFSNNNTRAQTSTATRRLMKEYRDLTADPLQDTITAGPVTEDNMLEWEALIQGP EGTPYEGGVFAAKLVFPADYPLNPFTMTFDPPLLHPNIYPNGVVCISILHPPGDDPLH YESASERWSPVQGVRSVLLSVLSMLAEPNIESGADVEVSSSARDSSRNTGIDVPL
I206_03623	MFVLKSLLGKMWGNPANPELMQIPAGQLYLVRPNSIKGSRECIF PDAVATIRRTGVEYQYQLVVTRAYDEGEEQLLDEDAETDDERVFLIDHALGFRFGTLD GDSTCAWRDLSGDDGDLWEFVSSRSVTKATNGLFELTLLHCVFERKHGRSHEDATDTE LEALKFNDESVSDQKSVAPATPKKEKTLPEAAVAEDPFKDVPILHRSSAELYLFDLET EVFVIQEKEVYADLASNGEYDTWIIVRHNSTPFISAPIDAEMNPRFDMVNHAFMFTFR ETEGLPGMTWCLRFDEKVFEQWKDKFTIFMWEGKNRTSYAKAKADEQRYIQEAYEDVE MAEPDEEDHRAESDEEEEEADRSSADEPDEYQSESEESEADAFERGSKNQQLAVGYKN DVSFVARGDMIGVFAHQDDKLRFRTAIDRVKNLEGKTFSPKKMMLHNQDGDMLLLDPS NQNSVYRMDLEYGKVVDEWKVSDSVEVNNIIPDSKYAQMNPQQTFIGHSHNGLFRIDP RVSGNKLVESQFKQYATKNDFSAATTTESGKLAVASNKGDIRLFDQIGKNAKTALPAL GDPIIGVDVSADGRWLVATCKTYLLLIDTLIGDGRYKGSLGFDRSFPADSKPIPRRLQ LKPEHVAYMEDPVSFTPARFNTGVNEAEKTIVTSTGKYVVIWNFRRLKQGRTDDYQIK QYDSRVVADNFKFGADKNIIVALEHNVVMANKRELAKPTRSSLAPRTSLATPVRKIRQ SHSDIVNSPY
I206_03624	MVDSSSSRPSNPTNPQDAGPSQPSVGKLPLLPTAYTVWLTSHNV ARRSSEKRHHKIEDLTSSIDYLNLESMNPFPHGNTDGPPTPPETTMSSSESISSTEIN DQLTPLPKNMTNSDRPSLLRRNSSSPRMSPQPNFSSPTLPSLSELLVSPPLPDDYDRA QSYFPPFPKNGSSSKSQLDYADLAGTTESSTSLPHTPSDGGLPIKALSDSGTYALIRR ASKERSPRIRNQGGVLAPHGSFTTSRNRSSTINSNSSRSSLNTTTSITPQESPQEMSA QEREKLFKAAAKGDQLAMHRLGWRPVRPNHRHTLGSAEDIWGAMYSPTSTTRRSSNAS QASLTGQPSDQPPSPANIDSPTTTHQRSYTQSDLFSDAVNLTLRSNALTNNRNPFPQT TNSTVRVPRKPPRKDSV
I206_03625	MGLSISKLLNGLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRERITE AREELQRMLSEDELRDALLLVFANKQDLPNAMNAAEITDKLGLHSLRQRSWYIQAACA TSGDGLYEGLEWVSACTLYFVVCKLTTLFTAFN
I206_03626	MSQIAEFFWHPFSSAALKLLPKRGVGLRARSKRADRIPDDPQRP LLTDYHSINDPTIRVRVPKKVPTPVKVEAKVWFANERTFISYLSMGLLLSTIASGLLF GARDSPARWFAFAYALISAGVLIYGWAIFQKRLTMISARDAGNFDLLWGPMIICLALF IAILANFIFRFREARKEMGVNPLSFQNAWYEAGERSTWL
I206_03627	MDIDKSLDEIISSKPKVRRGGKRGGGAPTSARARYASNVPKAAA QVAAPAKPLTAEAIKIIISNLPQDVTEAAVRDLMQSTVGPVRTVQMSYNATGKSTGVA TVVFKNRGDANKAHAAYHNRMIDNQRPMKVEIAIDPNQAQSLASRVAAAPAARGGPIP RGRGRGRGGARPRNTRPAKKTAEELDAEMNAYKETGTA
I206_03628	MVSARPPPNPARGRVPYPSAATYVPGDTDNSPTYLGVTSEPIQK TTSRDSERERRVQGLKNWWKGFREHEKLERAEFSRGNKRGVFGEPLSESIEYASVQVS TSGPDGSLYVWGVIPVVVAKCGLYLKENATGIEGTFRVSGSAKRMRDLQTVFDTPPKY GKNIDWKTLPYTTHDVATIFRRFLTQMPESIIPFDFYEDFRSLLSSHRSGHLSADETI ASYKSLIQALPRINLYLLLYVLDLLSVFARKADQNLMTAPNLALIFQPGVLSHPLHQM RPKEHVLSQQVLEFLIEHQDHFLLGMELKPKKQRKEKAPVPQPPPLVKADSDLMLPSD SDDEAPAGGYYVIEGPGRPTSPASPSSQPSSSLPNISANLLPSPPVKSKIVPSDLMEM SESDEEAPPGGYEVRTGDPASARAALLAKAHLLNQAPTVQRPTSNQAPIRSAALPTVA SGSTLARRRTVPSRAPGEAVPRTRRSTKEAP
I206_03629	MPAVIKKLTNIFQSSPSSSPRLSATTNNTHVPPIVSKPNRKTTQ TVDRTGMPCSCQLFDLFKIMAGGNTDSGLAGVEPDVEEDDNSSSGLSASSTAVEEAAH DDSNTEEDREGLQRWLDETLKDSEKERNSLSAKLTAMSLSNLTSLPKHHKARPALPHV VIEQSPELALDSDDNSQDIQPTTSAAIGVDNEVSEKDKLAAIIEEFGDVAGLLEGDEP ERILADTKGALFKGVMMLGNFHLTTHRLLFHAIIPPEHESSSADSVDTRGPDVIHAGP VTIHRAGIQQARRVWMELTPEMVTTYPSATENDRVRPIRSILFSAVRQCEPFDPQQPC DFFVTHETPSGLRRTHFTVDTEQSASQWRRAFDGALYKNAKAKWRLEKGDLSDEWSYL RCCFPLDRTRIKGISPYHSFATLVGLDVSLEQTRQKDVCNISTGIVEGDITTEDEPVH KIRTPTSENLKRSLSSPRTPPVERSASPARMQFFNNVAAFSSDETKSNVVNHMDVNIG VFNEQVWFAKALESAVVAASQRKFKLNVQLPPVVFQISGHDLVTTDEDMDETIDSARS SMHSDEAIGGDEEKSSGGVLLLAARKAERASMAAKVFGLKEEEGVWIKRCYVASGFVP VRGHIIISPRYICFWRRNTVGADIKYRFRVEDLKGATSASGTKVGFVGMALHIHGHRD LKFDFWNKHSRDDVISHINSLLIPHPVPTASIMSDQKPAAPQYNPSPSPTPRSESPKP LNPNTESHPADILAPSKETMYQAKALPDEAVTFMPYLANKVQTNTVRLTPRTFACLTI GSRGDVQPYIALGLRLLKDGHKVIIVTHPEFKKWIEGYGIEHRQAGGDPTALMKLSQE HKMFSPGFFKESLGGFREWLDNLLIESWEACHDADVLIESPSTMAGIHIAEALKIPYF RAFTMPWTRTSAYPHAFMVPAFEMGPSFNYSTYVLFDNIMWKASAGQINRWRKKYLGL KSTDMTTLSVTKVPFLYNFSSAVVPKPLDWHDDIIITGYWNLEDSDTDWSPPPELDEF VMKAKQDGKPLVYIGFGSIVVPRPDEMTKSIINAVEKADVRAIIAKGWSSRGGDPAKE GQDIQFPSNCFGVEKIPHSWLFPKVQAALHHGGAGTVGASLRAGVPTLIKPWFGDQFF WSVRVTKLGVGLKVPSLRSDDIANALIKATTDRVMIEKAARIGEKIRSESGVDKALEA INNNVLRAGSDRRNLKWAS
I206_03630	MPMLTAMGSRLSHILHQLVFSLPSPNNGTDSLDSIGHDSSDQEA LFVEPGEGKAFAGGIGPLSFVGSGYGILLVIMAILLNRIHHIVRRPRPPPPPLPHPPR TGLGRLRQSASQALTHPTTPKYIRLPGVFALTRAWIMFTVVLLQVANIWPKIDSNRTF ARPINRIGNWVGEMEMEKVCWQIFVSVCTGLACGALSNGLDRSRRRDVGASFNLFGYS FLLHLYSSPLTHHHPTGVNIRPRPDVHALFQLWLGLTELAWLQAIELSPVLRDNLLLP TGICGTLGLGHFVYALLTAPLKFPSFTFMTHLLALLLTVVITFTVVLKAITYLFTYGY IPSLVSLLPHEGVIPNVHDDFGVTLLKIGTACIEATQYSGLRNELVTIEENRAPWIAM SATGSEVHKTFLTGVSSGYNTEITNIEVSELANPHAESKYWKEHKAFWRACATSVKAL AWGTVMATPLGRKAVDMTKKFWLKRWWYGPRRWRIWRRDAWQEPPLAVARRRIARRVD EVQAARLAREISRSRRGRSLVTVSPDLSTATTTAVEVNSHLHEGEAISYNQFLLGQAE IEDDEDDWQDDASSTSSNQSDDSEVEEQALYQDLIAQPNEEDDDGIQSVLLAHLTSRN STPLTRRRYAALLTNGSPSPSPSMGLQEVAQERRVASATYHRDEDDDERRRACVVCMT QMRDTILWPCRCLALCNDCRESLAARLSASEHMCPCCRRKTPAVSLQHIQTEDVIRTM PIATELTRMLGIKYPIVQGGMQWVGTPPLAAAVALSGACGMLTALTQPSPDALREAIR ETRRRIGGSKGRFGVNITLLPSINPPDYAGYARAALEEGVDIFETAGNNRNDAVPKRF IIHKCVTVKHALSGQKMGVDVLSIDGFECAGHPGEDDIGGLVLLARAADELSIPYIAS GGFADGKGLAAALSLGAAGVNMGTRFMCTVESPIHQKIKEKIVQSTEKDTIHIFRRTL RNTARVYRNAVSTEVVRLERRPGGAKFEELRELVSGARGKKVYETGDSDAGIWSAGIA VGLINDIPTCHDLVRKIDGDASNIIKRMNSFVDNGNESASVRAKL
I206_03631	MLSDHSDIEENGGPSNRRSASPISEASDEGFFGLDDLLPEPESP LPAPYSFSTYELPADLELGTIRSRQLILRLVGSHPLWGHHLWNTARVFSTYLLRNPPL IKGRKVLELGAGAGLPSIVCTLGGSKQVVITDYPDTNLVENMRFNVDVNVAGDLRGNV DVVGHLWGHDVKHLMTRTENEGYDLLILSDLVFNHSQHDALIKTVNSTLSHKPDACIL VFFTSHRPHLVKEDNAFFPRLAESGDGWVYQKVVEEWAGAMFEEDPGDVKVRGTVHGW KAWRVKDGEEPGQLNTIP
I206_03632	MASAPVAPIRQPRGPSANSFGAPVPTQAHNGPPPPDSESLLRRA NTVSTTRHQPSSSISTNPAASSAFHGSRAKSGMNRFRSGSLSSGTPEGGLVRKGSGRE VRTQDVVPEGEAEEGSSGMETSNWAKGLSRQSSLPSRRAANPTLTKQYENPPEVPKIS MGPPPRPPRRISVAAEDHPSSPPSGQSPSAHAPSHSLSSLAMFNRVSLPVQQDEIKAT VGANVSRTQSLRAQAKHPENGNLGRSASLKGTSEHLHRPVMQALSPPSQINRNPFTPP TPPPVSSTAAFPPFQLPVPEMHTVQADYNPGADLKRHQSLTQGYGSSNRVRDRLERSP AVLTLEQREEIKKRMALTPSTELEHPPISPIVPSVWSPGIPTGQDDGWNRAASQQLQD AFDAMNLGRKMMGVEGNGFQSSDRNLNLENNVLRHPQPATAGSHMVHSGEEPSWVTSL VGANHTPLVAPRSAGPGTTSWQDRDYGFRQQSFTPQPHQQFTRGGWPDPNAYMQQNIG FLQQQHMLAMKGQQPPYLGAPFSPAYPSPPNTALLQTQPMSAQDRDVIELARQKGLNP ATYDCRPVAARFFVIKSYTEDDVQKSLKHEIWSSTVLGNKRLDNAFRESSDTGPIYLL FSVNGSRHFCGVAQMLTPVDESTNSNVWAQDKWKGIFKVKWIFVRDVPSQALRHIRLT NTPENKPMTNSRDTQELPYEAGCEVLQIFLEHQSKSKTSLLQDFAYYEVCSGAKTKD
I206_03633	MSGEIRRKLVIVGDGACGKTCLLIVFSKGMFPEVYVPTVFENYV ADVEVDGKKVELALWDTAGQEDYDRLRPLSYPDSHVILICFAIDSPDSLDNVQEKWIS EVLHFCQGLPIILVACKKDLRDDQKTIHDLSRMNQRPVSRAEGMSVAQKIGAQGYVEC SAKTGEGVREVFQTATKHALQSKKSGRSKKGKGCVVL
I206_03634	MSAHPKDNTEDDLAPTETQGYKVGQSKTVAELAALDQEDESLQR WKASLGLGGKAGAGGSKKVILKTLFLSSPTLSKDITIDLTQSPTELAKLKKEPLTIKE GVEYSVGITFTVENEIVSGLRFLQVVKRTGIAVDKTEAMLGSYGPQEAHYTKVFASEE SPSGMLARSGTYSVRSRVMDDDKHIWLDFEWGFKLGKEW
I206_03635	MSGEQPPPPFFAVNPGSTHNADPLYDNDLCQNFAIENSYGGDDQ DGWSGGVGKKRKIPINNLQSTSHPLNDQESTFTHGNMKRPATPDDLHSVDNDHPSSSS DDISPNDGTLVNGGGPLVRKKLRLSPARRLIQWKKELFVKRKANFITLYLDAQTALSQ TGITNQPNQNQTKPINDTKIPVINNTKSAIIGESNGKINKSTRKTNFPDVSEFEKLLP ALEDINISSWTPDRQGWKSNQPLLPTTWRIPIYKRSLEKKKKVERKGWFPEGSFEFEL ETKASTSLRSKAKEQSALLKLANELRSLIITANKTTSLVTTTTTTTSTSADIANEEDR LPSKTRRKLANKDTNIPTSTSTADPKEMSQPMSQSQSQDSNETKTTANGGIGGNTNKK KPKKKKRSVLANQSNPHHVDNYRPSRTVSPHGDPYEPYASHMSLFNPPPIMFLATRPK RRVTNSNGEEVMMNMDIRPNEDDFICCFCEYDLYYSTEAQRKKAIRRRRKEIKRKELI KSKAKNVAEGKKGSLRHDSESEYESQEGEDEYDDEEDDDAFAGDDGDCHGDDGHGRCT CGRRVKKQKPDKDRDRDKDDG
I206_03636	MLARKHLIRTARLTTRSPFVPQSSSRPSIRTLTPILIRTYAQGP PRPPPGGPPPPGGFGGMRFPGGMGGPSQPEKGATLEQFSVDLTQLARDGKLDPTIGRD EEIRRTIQILSRRTKSNPVLLGLPGVGKTAILEGLATRIVNKEVPESLHGKRLLSLDL SMLLAGTGVRGEFESRFKALLKDIAEEEGNVICFIDELHTLLNLGKAEGSMDAGNMIK PALARGLQLVGATTLDEYKKTIEKDAALQRRFQPIMVNEPSVESTISILRGLKTRFET HFGVSIADSALVTAAVYSDRYIPDRYLPDKAIDLVDEASSALKLAQESRPTQLENLDR EIVTLEIERESLKNEEDPFSVSRREKVESQLEEKKKEQRHLAELWQTERERVGEIKQI KEQIEQANIELENAQRNGEFEKASKLRFSTIPQLQNRLPKAQAELDSENAQEPNMSVR DRVTSEDIAVVVAKSTGIPVNNLLKGERERLVHMEDSLKTRVVGQDQVVHSVSDAIRL SRAGLQSPSRPLASFLFLGPTGVGKSELTKALASFLFADEKRGLIQLNMSEFHDKHTV SRLIGATAGFVGYEEGGQLTEAVRRRPYAVVVFDEIEKAHPDVANILLQILDEGCLTD GQGRQVNFKNTIICLTSNLGSEALYEPNACHPDGSITDVTRSEVLKSVGQFFRPELIN RLDELLVFNKLPPSVILDITQLRLKELQSRLDSRRITLDVTEEAKVWLANKGYSEQFG ARAVQRVIRDKVVTKVAERLLNGSIKDGEIVKIDLNKQDNEILISSRPDPSQPITQDA NLKSKVFASASNGDGNAARPEPRLLEVLEDGIDEIDEDEDKPRRVVYG
I206_03637	MRESNYTYPPQNRAVTNITHLIYDRRALDTNSPLPLLNSLTSLT YLTSTSPRIREILTVDGGLERLLDILRDSCLPKDTSQQPDLWGLNGPSTARVITVDRA NSLRHSLAFQCVVNIGVRGSEDIRTRVVQSGALDLVAQILESWLKDHGIAIFSGSLGS QAAVDAVAAGLPVPGVEALKKRERVEKERGREALLRHIDRQQQLSDPTGNHPATGIAG SIAYQAAAALGFNLNITGWNEGNQDYTPQGSVQGDTDVDMADAEGGETDDASVDADDA SIDVDDGFSAPPSTTPRASTSILPMTIPPRPSIPAEEQSQTSSADASLSGEETNAIPR NTSESNIAAAAAVHGLRPPALNLSSRVPQLAQDPTSTQSSPMGTPTRHAHEAVEDSRR SGRRGTIIARPGNLAPRNDRERERERLRDHTAGSGTSDGGEDIDLPTATIAAGIAAVN AQAMENNGTIETDEPAEPPAVEIVETNHGQEMDEIDQEAIAAEQARLDMEAGAPPGQP GAAQTPRVTPGEAPTPRQVPGDPPPANTPDQAAIIIANSAPRGFHDLGSYVGISSLLN PDGNRYSDDSILLALQLLAYLSKYPHVRTAFHHPRRPMHATFELGLNSVTNPLPERPA YSETPDIFSLVERFTFRPSPSDPLFCKIPPEIQYWAGVIMRNACRKDEARGGIRQCAN MSCGRWEKFSREFAKCRRCRKAKYCSKECQSRAWQEGHRFWCSSRTDPEPGSTTVVGN TGIPANTGAPEDGQDEDEEYSLGMQMALSPEVVNRAIAAARAAGILRGQGQAGDMMDA NRRGTHSGEVEQGFLPRIPQQLDGRPPPPHTATQAHANLIGGQAAQDLLNESMGRLQR ADHPGRDLPGTATGAGGRGGDAWRRFHNVGTLLGLRNDNNNNNNNGGTTQHPTNPGPT MDIPWETETRAGPSRSRERDEHRRGSGGVTGLGFNMADQTRR
I206_03638	MPVIVNTVVQPYRPPVYTTNQLVNQSVILPNPNLAGIIQGYPTY PMYPTYSIYQQEPIVPTIQVQATPTTIVKERYLPSPPIHCHSPPRVRNQVTIPSTIIK NFNTPPATIHTSPKRHIQIRRTRNIKFPESKLVIDPSCQPALQPAMKTITTINRTTRR TTTKGQGRR
I206_03639	MSSLLAGYDSSDDESPVVDAGPSTVYVNGGHLSQPDLDEDEDDD KLEEEARKDAFGLSSSNGHQSGSSSKRIIKEVVQSAPEVLKEDPNGASLAIITRPTDK VVNVNLTYEDMMKPVAGPVDPFNQRKNKGMNTLSGHVEEQSMDNYSFAMAQRTFDVHG YALNPSIHTGESQPIVGSLNQAHQNGYQSIDTIRPSRSERKETKRKRGGKGDTGVVDG EGAYMGPWAEWAGDKEVDPVVEEEAEEWREEKRRREEAQQVAKEKMKVARDEKSIFHG KDLHDYAGRTYMHIPTDTDVKLNPSDGAPPPNAYLPERCIHTWTGHNKGISAIRLFPR SGHLLLSASMDTKVKLWDVYHEGNCLRTFLGHSQAVKDVSFNNSGTQFLSASYDRHIK LWDTETGQCIQAFTNGKVPNVVKFNPDYDKQHVFLAGMQDKKIIQYDLRMKEIVQTYD QHLGPVNTITFVDENRRFVTTSDDKTIRGWDYDIPVVIKYIAEPYMHSMPAVTIHPSK KYFAGQSLDNQILVYSADGSFRQNKKKRFAGHTIAGYACAIGFSPDGKYVSSGTGSGD VVFWDWKNGKIQKRLKAHREVVIDHAWLPNEHSKLVTASWDGLIKLWT
I206_03640	MANVIASLRAIPDEAGPSVSRGTREIRRAYLSPDVLRTHKLVAG DWVLLKSANGFAVVQPWPRVGIEDDAIILSPSHLSNLSESPVNLHRFKPDIHSYGKAA LLTLKEVTVGLGKAKTKLLATEDSQREKEWLRAALKEILISMSYVRPRLNITVGETEK TARTYEIDSIEVSQKDNSASIVDGVESLKIAENDNQKVVYEMSWKSEIVIDGQKENGK TTKDSNAAKSSVDGVARTKSSVADGPIPSYINLFTPSQPPTAAYGLLGGLQPQIDQIK SLLDLPMLHPSLFSMFGLTPPRGILLHGPPGTGKTALARAVASSANCSCIVVNGPELS SAYHGETEERVRGVFSEAKKRSPCIIVLDEVDALCPRRDGGEGGEVERRVVATLLTLM DGMSQEDAGEERIFVVAATNRPNSIDPALRRPGRFDREIEIGIPDANGRRQIIDIMLS RMPHSLSNQEISSIASKTHGYVGADLSSLIRESASAAIQRWHSSNPAEGSTPTLTNTD VMTILPTIRPSAMREVFVETPAVKWSDIGGQEEVKQKLRECVEWPLTHRDTFTRLGVE APRGVLLYGPPGCSKTMTAKALATESGINFIAVKGPELLNKYVGESERAVREIFRKAR AASPSIVFFDEIDALGSARSDDHTHSGVLTSLLNEMDGIEELSGVTVVAATNRPDVLD SALMRPGRLDRILYVGAPDLETRKDIFKIRLATMAIEPGIDIEELARIAEGCSGAEVA SICQDAALAAMNEDLDAPYVKKSHLIHSAKTVRRRITYDMLKFFEEWRDQSGVRNA
I206_03641	MPLTVRAVHTFMAEHGDELEFQAGEEIVVLEKDEAFGDGWWRGR NIKGEEGLFPATYITENPPTPKDQPLGLPETTAAPQPTHVNGTAKSSEAYLSAPTIPI PDESPRGTHDAEGGLLESAVAAVETTAVSVGNVMGKTIGEIQDAIESITAKPESDDEE ELGIGQNARAKLAEQARLANEQREKNQNTSNGGVAGLVYSDESEDEEEEFTRSPRAAG IRSIESPIINGFHSSPIALEQSTIPAKSATAPPLSAQSSTHSVKRFDPVTTGSLEPPA HIPSTPPFEKPAFTTRSSGSGIPSKPVPTWNVDDVVAWAQAKGFDEGIPDKFREHEIT GDLLLELDANLLKELEIPQFGKRMRIAAAISELRRPSSMISSNSQQLSPSGLPIGQAG NASLRGMSAPPSSMGQPFPSTTPPLTTPPTSASTGATNDDIPHGVWAHSRKSSNVGLG MPTPMAAINENLAQTPSSNQASSTAASLPASPVTPNSAVTKRESTGSMGHKRGKPSTD NKERLSFFGRARKPAPPSSVSPSSEQQRTSSRLGFPGSNRVHQMQPATPEANRRISGN GVGNAAALKQIGTPDYSGYLKKKGDRYGTWKQRFFVLKGSHLYFLKSEHEDRVKGHIE LQGHRVIVDENTNPGSYGFRLTSGPNDKAHYFSSTEQIAIREWMKALMKATIARDYSV PVTSSCNIPTIPLAEAQAMAPRPPSPATREATQRATRRENPNQLTAHDANILMSLDTT SGERRRASQQLGAASPGRPSRDNRRPSSNYNGSARPTSSFNESARPTVHSAHQTEDTA RNNDPESVKWVNSLLPQAYPRASAIPKSFISGEVIFLLVKHLSGVEPSPPVPPQAFAP DASGQPGLDGLFSMMDILIDAGVDTAGVSINDVRNGDSAQISKLLNSIRGWYEERAGT AQ
I206_03642	MSVTKGVLLIGGPSKGTRMRPLTLDCPKPLLPIAGKPMIWHPLS AFAKVPGLTEVIIIGFYEDSVMSGFIKEVKREFPNIGISYLREYKALGTAGGLYHFRD SILRPPVPQNIFICNIDICSAFPFQELLQLHSSHRGVGTIMGVNVKKETAQKYGCIVT EPESSLMVHYVEKPDSWISNTVNGGVYLFDKSLFDEIRKAMDEKTARAAEDPLVKPDE ILRLEQDVIAPLAAAKKMYVYECKDFWRQIKTAASAVTANSLYLARIQKTNPDFLAKS SPNVISPVYIDSSATIDPTAKIGPNVAIGPGVTVGAGVRVKDAIILEGTNLEQHSCVL NSIVGANCNVGAWARVDGKPEPETDVKGQISVTILATEVSLAPETLVRSCIVLPNVS
I206_03643	MASIESKETTSSSNAGPSISTPSSPLPKVVIDEVPNTSLIDVEE IDRIQAGYHAPPIQPSEPISTPAPIRSELIIPDAPAHPPPAKGLPLSTPSSSLTPTVP RPPQADLAEFDPFATPISGPSEPITSINPKPQSKNKVTPKQILPETESSGSINTNSTS EPSFNFSGFLKDLRMKSADPIARYLKSFLSNFAKKPFTVNEQIKLIHDFLAFISEKMS QVEPWKSQSIAEFDNALEAMEKLVMNRLYNYTFTPQLVPSQPITTDDLERDAVFAQRV RLFGWVREKHLDVPEGETTQGFLGFAEQELLKINHYKAPRDKMICILNSCKVIFGLIR NVYGAEATGADAFVPILIFVVLQANPDNMLSNIEYISRFRSASKLQGEAGYYLSSLSG AIAFIETMDASSLSNITQAEFEKNVEDAIQELPPSPTASTSRALPPTDMSPFSAVTSG EEAAKPLSLTTTVQALDGTKRFFQRTGNLAQEAVSKPLSAIGKILETMQSPSDDQSED GSEGEEEEEEADSSSRDVFRTRTKRSQFNRAITPESPSRNFQGFGLGVPNESSTPVSR AGTPSPNDQINLPDFSSLQLQSTIDMSQESFSQIRRANVQTLHQMFPALDEDVVEAVL EGSGDDLGLAIDKLLEM
I206_03644	MAAQQEEKITEVGANRFGFDSRVQVDTLRSLYLTPAFLKEGTKE VDIAGVGHKDYGSESTYYKETDSIQYTTVAKFPPVKNLPNTERKRILITGGAGFVGSH LVDRLMLLGHEVTVLDNFFTGSRTTVSHWVGHPNFEMVRHDVVNPFLIEVDQIYHLAC PASPPHYQYNAVKTIKTSFMGTLNMLGLAKRTKARFLITSTSEVYGDPEEHPQREEYW GHVNCIGPRACYDEGKRVAETLTYGYQRQDGVDVRVARIFNTFGPRMNPYDGRVVSNF IIQALKGQDMTVYGDGNQTRSFQYVHDLIDGLILLMNGDETRPTNIGSSHEFTIMEFA EAVRDIVEQIQKDEGVANPKRVNIIHKEMPIDDPQRRRADTARAKESLNWQPRWSVRQ GVEEMARYYMKQIAEGKL
I206_03645	MASLVAILDVKGKSLIQRSYRDDVPPSYIERFLPLVLDMEEENI QVTPCFSDEGINYMHIRHNNLYLIALSKRNSNAAEIIFFLHRLCSVLTEYFKELEEES IRDNFVIIYELLDEMMDFGFPQTTESKILQEYITQESHKLEVQVRPPMAVTNAVSWRS EGIRYRKNEVFLDVVESVNLLVNASGNVIRSEILGAVKMKCYLSGMPELRLGLNDKVM FESTGRAARGKSIEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLSQSVKPL VFVEASVESHRGSRVEYMVKVRGQFKRRSTANNVEIYVPVPDDADSPKFRASVGSVVY APEKSAFVWKIKQLGGGRDYMMRAHFGLPSVRNEDLDKRAPISVKFEIPYFTVSGIQV RYLKIVEKSGYQALPWVRYITQNGDDYVLRTITDAKSSSIIAPL
I206_03646	MSEEQGRKRSDSTAGSIPSMETSGEQLKDETVVVVLGASGDLAK KKTFPALFALFAQGLLPKDVHIVGYARTKMDEAEFYKRETQYIKGDDSKIEEFKKISS YISGQYDGDEGFQELLKHLEKLEGDRKTKNRVFYMALPPSVFTTVAKGLKKNVYSENG INRIIIEKPFGKDLESCREMMSELKAQWQENETYRIDHYLGKEMIKNLLVLRFGNVFL DAAFNKNFVSNVQITFKEPFGTEGRGGYFDEFGIIRDVCQNHLLQTLSILAMERPVSF SAEDIRDEKVKVLRSIPAIDQKDVLLGQYVAEGDKPGYLDDDTVPKGSVCPTFAAMTL WVNNPRWEGVPFIMKAGKALNESKVEIRVQFKDALQGIFTDIPRNELVMRIQPEEAVY LKMNAKLPGFATRAVPTELDLTYKKRFVDTNIPQAYEALILDAFKGDHSNFVRDDELD VAWKIFTPILHWIDGKDAPKPEPYPYGSRGPKQIDAFTSKFGYKRSPQE
I206_03647	MPSVFQAEVVYCLTTSNALPPAHDNWESGKKKRSSGLALLRPKS THSGGRNNIFSPEPTVKPLSSYPIPPPPPPSQADGNDEDVSWKKDRYDTQRATLRHTR SIPQLPPLTSSSSPQKRITSSSFSNRWRRGVVVIHVHGEGGAQGGGMTICENDEIIYR HTLRALPEINWSNDDIQKIHPSVYNKPFVLSLYLSGSNRPSPDSRKSLSYTGDIRLKS NISTLSKMGKRTRGYTITKIDTTSTASSGKTNNSANFDEVPLTAREEIIAPETSISTA NFDQPEHILLLEFSTEKEKNEWYVLLRSFSGNSLPRIDRRLQIRVLDLQESIPFSTLH LGSERGRRGQEDFTNTAISFDQISARSSEPQSSSRIPGIVNKNDWKSGWAVKDKLKIE IYTDGLLIGQTTWVQAEDRSEIPFWAELFTFENVREFSNCVLKVCRMRSGKGVQPFAT VNLPLVPGFMKAKDERYPIVSSSGHVVGELRLIVNFTVVNVVDMNVYPLPEVFHGMGG TRTIYYMMSKGLLDQCIDLFTRFNWALGTTYNRLVEMSEIEAKASGDTLFRGNTPMTR LLEATMRLVCFDFLRLSIGPTISVILENEMVVSHENTRSIIKLLDDCWEDMYSQRGLF PNILRQVFAVLFKNVKENHEERKLRYKAVSSFLFLRLIGPALMRPHLFGLAKGLPKLP VQRTLTLVAKVFHTLAFFTWSDTARDPELARYSVFIRKNHDSMIDYLSSFATPLDDFQ CRPESPSSIEIFLKTRIPLLSIEFAQSVPMLTVAGPVEVDTDAAVFYELLYQRRKAKV GGAEMTREDGIVPGEEEEMKDLIRTMDQFISNVHKSSYENVIGDNGSSIHSDPLDSQK DLNKSLKSIIPSRPSLQIDINSAQHDRKLDLTRSKSNIFENESSTSSSFKRNINQNNS YSFGNNSNNENNNNNNDNGGYWKWLNLGWMSPTFKPIGMGYEIDNSNGLGISK
I206_03648	MTTQAGPSRDILRFTTHRHLRQRILLSILSGKSIRVDGIRSDDV QVGLRDYEINLLRLAEKVTNGSTIEISVTGTSFLFHPGLLPGGNYTHTCHIGRSLGYY LELLIPLAPFCKKPFEINLYGVTGEEGRDMTVDMIRTVTLPHLHIFGVTDGLELQIKK RGSAPLGGGQAIFKCPVVRNLKTVQFLEKGKIRKIRGVAYSTRVSPQFANRMVESARS ILNRYIPDIYLITDVYKGDDSGKSPGYGLTLLSQSTTSVLHCSETLSKPNEIQTPEEI ALNSTRLLLDEISKGGCIDSKHQWLVSLFMALGKEDVSKIRMGPLTSHTIQFYRDMLE FFGTKYKLTENLTTKEVDVSCIGIGYSNVNKSMA
I206_03649	MSEQEVKALETAPGAEEAKAVESKSEESNTTEENVPAVGEKRKA EDAPTEVKVDDKKAKTDEITNEEENKSDEIANKGKGKSTEEPTEDSEEEEEEVNDEDE DFQPRRSRRTGGRAKENINYADPKAWENAGLDINAAEDESDGGEVDAPESPDDDEEEG GEDDEVAAEEDDDDDDDEEK
I206_03650	MSTDVPNDDAEDQPLETPGAGPSRLPTKEQVIHNDIDEEELERL SFLKKQADYVQLEQSVNSSDELLSSLASYLSTFQNDLSAVSGQISDLQSRSAEIESQL KGRKTIIPPLNALISDITLPPSLVLTLRDTLPTQNPELWLNAIIELDSKISLISNRST KVKGVKELIPIIEGLKIKALIVLPSFLLSLIKPLKSSSKGLSTNLAILQNNLLLKYQP FYEFLYKHNGKIAKTVERGYVNAARSYYETGFRRYARSLSLINSRNIEKSELIGTLST SSDSSTIIGNGNSNGKGQWNDDDDNAEKLKFKDLDIEDEDGSVVLGYMSDDKDFRSPV EALFRSLSLVLLDNASSEFTFIVRFFAKSISSNSIKPITQSIKSPIETPIDSPNPSFV DLLSEADKSTTPITITSNKRKGVNEINENLKESEKIWHEIFDSSLEYTTNFFNSILNP PITTLSITNTSGINNQSIPSIISLLIIIRLNDNLLNVSNNRGCLPLIGYLNGWKLKIW PIFKKEIENNILSLKNLADNLENKNIFSINFIKNNFNNNLKDNQLKIIFKKYGKLFSQ IVSLSIEAEEVMIFSSMIRLRNELIRILLIQSSKIKQPNEKYSFLSSIYEIIIHELIS GPSSNTHPKLQSELSFFKTREEEARRKISA
I206_03651	MSELFKDLPEFVESNIGESVTARTETLATFRELGPPDLCHVIKS SGSKTAQKDLGSYHYCSGVEASSSASLAAYLNSLQFSVEEDTAWFGKGNGWRVRSGTY CCFNAFSRVDMRVDVKIPGGVDAYVVDLRGDKHAATPDLWQETYLSALLRAIRYADDA SYRLAGYRKLDPITTPEAEGRFLKAAEALFFKGWQLGSDPEIQVATVVTNHLTSAVIK YFADSFRLDRAANLFERMMVNEPEVAALLAKSYIGMNEEIKAVKIMHSALSLNPQSYP ILHTQCDFLLSKGKPEWAQQIAQQAVNSAPSEFVTWAKLTETYIELGQFDQALLTLNS CPMFTFNERDLHRMPTPLKTHLPVKKFIGESGILDEESARDNEADVALIRLPAPGLRG TFAKAYSLLTLLVSKIGWDELLKTRSQVFVMEEEYRLHKTSASVELNGFAHENASTTG IRSSNSNGGGDSVPNTAISDIPTIRISTESTRTPNGTQLQHQKKESVQMDTVLEKPEI AQINDDEPNSPIGIKADDPSVNSSEGDVVDGDEGDNAPSAFANKRLCERWLDNLFLVL YEDLRVYTIWRAEISHFKTQHMSYRKTGTEWEILGELALRLHHKEEAKDAFQRCLDSK FSAKALIKLLEMYATEGDLQRTLNSAIRLTTYHHRWYMDTAYPSMIAHYLFKLGLIHG HAKIQYTLLSMNLPVGIFEIMQGYMKYGATFNVEGSEF
I206_03652	MASSTIKKATVEEAESSPSPPSSSSKLPEFSTNSQQEENVTDEP IKNLEKDKDDDSQEEEDEEEWDPSSEKLLGQINLKEKGKNKEIDNEIKKDEEEQPWQA VWAEQQNAWYFWNTKTGQVSWTNPLINLVESEIQPPLPPNQPPLPNQSSLPSTSTLNG IGITSNQVQNEFDINQGQYNLNEQPEIDEGLAYLFGGGGGTSSNLYGIDNSLQKASFN SRTGKFQSNQINNNPGYLDEYNRSKRMNNYYFDVEQWEKEKVKENELKRKRQEEQGNL PEKKITKKDIERFKKKNQERKQRSQAWLRE
I206_03653	MASSSSTKGKGTVSEIAKHTDAEGYEMPWVEKYRPALLEDIVGN SETVERLKVIAEDGNVPHIIISGMPGIGKTTSIHCLAHALLGDAYKEGVLELNASDER GIDVVRNKIKSFAQRKVTLPAGRHKIIILDEADSMTAGAQQALRRTMEIYSNTTRFAL ACNMSNKIIEPIQSRCAILRYSKLRDAEVLKRLKEICQMEDVKYNDQGLAALIFTAEG DMRQAINNLQSTFSGFGFISQDNVFKICDQPHPIILKQLIKDCQNCQIDQALSRINDL WEKGYSAVDIVVSIFRVVKTIDDLQEYLKLEFIREIGWTHMRILEGVGTLVQLGAMIA RLCKLTLPPQALKI
I206_03654	MIIRHSTRVISKASIPLRSTSALFNHNSRQHIRSPLISTRWNSN IPKQPYTPPRINEKPSLQEDQSGTGQSSKGKPLNEKVAQAWSTPTRWYPIPIALGALV LLAVQYRKSTRSDIEVESQGEGGAVVKKGGKRVDGPWQVRVLGALPLRSLSQLWGYLN GLVLPVWFRPFGFKLYATIFGCNLDEVPKDLKEYESLGDFFYREMKEGQRPISDAPMV SPADGRVLHFGEIVGSRVEQVKGITYSLEALLGSESSIHGDTQSVQNKGERVDEKNFA NINDISYSLSSLLGRGSDNGETKSYEDASLPKTSDKEADASHTENGPEKTIHDGKVAK VLGKLAWFGHKTSSKSELLPKINTPENNLYFMVVYLAPGDYHRFHSPTTWIVERRRHF TGDLFSVSPYIANRMKDLFVLNERVALLGRWKYGFYSMIPVGATNVGSIKINFDQSLR TNTRKITHPPHTYAEAVYSSASSIVNGQPLLTGEEMGGFKLGSTIVMVFEAPKNFKFQ VEPGQKVKMGQTLGKLEGEDE
I206_03655	MMDTQPIVSFLKRTYPNPEVDPVWVQECTRALLEAGQEVTIDTV HTQFLYSDLAQSTLASRTFPSGDLHEKILFQRPTILQMHHISEIGHSAFQIKHTMEQR SEVLSGQSLIRRMEDEVVEDEQEQNIDQGKVPPYPRSMLKLELSDGRRIIKAMEYKKI NNLVLGQTSLGCKVVCNNVKCLRDTLLLTPQNTQVLESSVEYLELTQKEEFMKDLNRR MGKLDDGSTNIPAQRRIKPPPPIRPKHNASIKPPAAQIRSKITHSPEIIPTAGPSRTS HYFQSNPAEQVHPPHLVRPKPIRAQGLKSSSSASNSRKRSMNDDSEDEEEPISKSRRS RTAAKLATAKVTQLYHDIPDDKFTEMDEDFGNENDDEFDYDIDESFIRQINEVEAKAS GSGIYYKNFKSHKYNDVEEEEEDDDDDDDFMIIDEKIIKQIDNLSSSSFSNQNKGIGK EKENQNQNRKNYINQDNLNDEKEILSTEDDEFELQLDDKFIKNLNEIEFKQNKKDKDN YKSFQNKNNRLFSLSLSRSNSDSLISNSNSNSINNSQKENIQPEIIEISD
I206_03656	MKISSTLPILLLPFTLAHAHGIAPAHHPRRNGSLRNSNLDARFD KHLEGRQQSDGLLGGLVKVESTTSTTSTISTTIRTTSPVTSSSTILTTSSKDQDVDDD TSTLVTSRTTSSIGSTTSQTVSAVISSVVSGSTSASSSITPSANLTTSANSTSIVTST VPETTSSSSASSAASVQYTTDAAGQTQLVTVILTAAASAADATSSAITSAKSKSDGDS IPVAAIIGISVGVGVVVLVLIAFAVWRMKKRTGDEDEAIRWPELNRHGDSDAHHALPA RQTGQHGIETNPLSRSLSNSSSIFAPPSSHNHTHGNLGSQPMTTMTLNGSSFGASNSL EDEYSEKHLIIEGNEQSTHSHDDHDNYTSFPPPLQESNYQGNHLNHHLHDDLEEDENS YVGMNMLNDQHVTIPNHYNNGQSHSQIRPNFRLE
I206_03657	MPPRKSLPASLITPVRVARTETISSKSTLKKSRSSKNQIGSSPD PIALKPSVRSRGKAKNQKEIEENHDEIQEEDERKVDNQEGGKGNELNPEKELEAWQDF AADHYEMVEQLPLELHRNFRLLRELDDGCIAQTKRLHELIRHYVNERLELEKQIQKRK DVSVQIEEEEEVEEIEKIDNPVESDSLPENGHIEVTGGNDIEVGMKDSKDTAGEHAEE AARDEKNDEELLNGKQITEGIPISDGQGGLLIPVEPRLQEPRDELPQETTKDDVPPRL EFPPRSEDSVSEKKQVRDNEDSMQIVGPGATGISPPLPVENGQSSKETLQAENKKRKR QDGPHAHLPEIARLSREVIRTAEEKVAVAVGAYNAIDRHIRALDSALTAQEASILLGL RPSTLPSTNAEDALNLAGDLHAAPQFEEEEMNIGLGGGRSRKKGKNRKGRKGAPIVEE QVVPPDVDFVSSNEPRYCYCNQVSYGQMIGCENEDCPIEWFHLTCCELETAPTGKWYC NLCKIETGLGKGGSVRLGNKAVIGNHSRKKR
I206_03658	MVIPLSSDPTALSNPESYLSLKKFNRRIKKCPRTNLSITFSDIG SENGIPLLYLLPSGCSRWIAAPMDPLLKIYGVRMIVVDRPGCGGTSQVSLDQRINQSC EMIVSVLEYLNIKPTNILASSAGIYYALHLLTRHSSIFSTNLNPPPKLYLLSPWCPLL SPDDQDYWHFKWDWIPTPLISTQHITTPHLIKAANQAEKVFVEGMKLYTTSKTFATKW YKNITEDPSSLLSVISPSSTSPINISTNSQENEIINTSSNNSNLGQSASDILNNIRGG SSITKPSIGEGIDVSNPVNESSSLEIENSSASNGNRMWGKCEEACCVACLTQSYMTAE NAQGIGQEHLICLNRGTDETGSEWLKTTIKELADTIEFAQLGELPSNAKRKIPYPIEI EIWWGWLDDMVPRKGQIWFNKILGSYPDAIDLRIHDVEDGDHTDL
I206_03659	MSNSYKYKLNSISYTIPILHSALHPSSTILGIFLASIPSSSSLS SEKEKEEEEKIIEIDEVIPLIHIYTNLSPITEIGLSLINEYCKLKNKKIVGIYLAKEF DDSITLNKTSEKILNVLKENFNGIFALVLNNDKLSKGQFAYIPYIPTSSNTFKPLTSL IDTIPESFSISNSNLPSKVIQIIRSKKIHKGLKDFDDNLEDSDADWLENKSVKEDIKK YLS
I206_03660	MASTWDIISSILFLGVFLGVVFLAVKFSSVLSTSTTSAQSSLKS QGITYQQGRLSVQTDRAAPSRDEYIASTQAAFNRGAKTLKSHADAFSFKKGQNQEDSS NGQASGIDTSIWGYVKEGARV
I206_03661	MMMMTKKRRTLPVTTFLTSTILLSVILPSLANASISNAPPQALS SRHEDDDSGEDIHMHMDMVNDQVGNLNDQGKIEHTHSTEIVATTTSAYINDEIISTSS ASAVLPTSHSHNHISSSVKPPSGHDHGSHAAAKTILDDEGIHVWHHFPPTYLDVDFKL DNDSAIFGEEFDETWNVDELESHKGLMALHVILFYGAYFGLLPISLALRAADHPSHYI ANAIFLIVAVSGWLIGKAYKAAQEDRYEGSVHESFSNVLVLLSICLTVVDSIEIIKRG IAYCKKSHKKSWSTFVHEVLSSEYKRDVNWPTNRYEMIGLVDGEQDHENQVVFALGED EDEERSNHGEYIDEVENLPNYNDRNGNKPRRPSLLIRQWTPPSRNSTGSEGTLHDSPE TSSSNNSFIHKSIHNKIGAYDAHDHDHENEIHQDSEHVQPIWSRQKSKGLKRASEIIL TWIRRSQVVFAYVVFLTEFIEYTGMCRAGLINSCAAHYIKGSIFFWYGVLTFARYLGA YADLGWAWNKRPGGVGISAEMVECAVIFTYGITNTWMERFGSSPGDPYTVKQVQHISI AVMFWFAGLSGMLLESRWVRKVMGSFISGGRKDVHEPPTYAFSFNPLPALVIGVTGLA MAAHHQEYVFQVQIHSLWGKLLAGGSLFRFLTYFFLFLKPPIESNLPFRPPTEILTSF GYTSGGIVFMLSNEEISWSAIRSGWDDMMAFLNFTIGLNCFIFCWILILMTLKGWAGL RMIRNKNNNLDIV
I206_03662	MAFHTSQLPQSFNGLNGLNKSFHSLLTSPTLPSRSDPRPGKTAQ PGKDTRDAPNYQFWPDAIAIGTLTGTTFTQILGAGCHQGVNPNDRLRRGNSHIAFDFK NEQLVLRADMQLPKDKVPPALAPLDAELSFDDVTSHGFYVTYHRVVGNMFQVVVTVSC RRPPKFYVKFEHGRMDQHAEKKFKQDRRRATAMDFAITDSVSERDAGPINAIPEGACA FPTFWNTYRWTFSLNGQDLHRLLDCTKRIRALADGDPDMNIMANTGNSTRWSVDILSK DASDSIYGQPNLDDIRFSARTLMEGLIAHGLVRPIDLKPLWTALHEVAVVPAFQDRVL ESMYSWQERIRDVTVVVRKTASFLRQKKREISDHLVLVRTIQVTPTRLLIGPPQAEPS NSVTRKYADSIDGIIRVQFTDEEDKLYVVNMREIDTLRPDIGIMARVRRALHHGLIIG GRRFLPVASSASQQKDHAIWFIDHKVIDNVALRRWMGDVSETVVAKHFARMGLPFSTS RIVDMKITLGQELKDIQRNGRTFTDGVAMAGLEVLQNAAFALGERKGLNASPSAIQFR LGGAKGVLAHWPDLCQKDEVRLRPSLIKFQSNLSDLNVVRIAKYQVAFLNRQFINIMC ANGVPRELVVQIFQEAVADIKGLRERVKSNKMTKGDHQLIGLCSDFPLGQLIKAGFHQ NPFVLDIADIIECRALQDLKWRARVKLPGGVFLIGIADETGTLQEGEVFCQFQENEEG SKAKMVVGEVLVCRAPARDVRRVRAVNNPKLKHLKNVVVFNVQGERDLPSMLGGGDLD GDDYTLIWDQRFVRPLNVYEPMDYEAPIPVKVAKVTQTDLNENFVSYILNDILGQVDN NHLALSDQRTPFDPDCLELSEIHSVSCCYHRTGKAASLPARLRPTSWPDFMDKDDNKK TYQSNQVLGEIFRIVQPDPHFSPADIRKLGFPAENRISQYPVYKSLLDRLKPVKAHYE KALQYDMRRYRVFEPEIPTGIAIKNKKRKRARDQNLNEPLRDSYQMLIRDTRAMAMEQ VQNIEFRTRLSPELMVARHAYALTYEKEYVQDWEKELSAGQWHAAPRGPDDEQVEEDM KPKPLISFAWVFWSELIQLAGMAGVKAEDEVPRDL
I206_03663	MFSQIAIIALAAMSTVVDAHPHNARSKGNVSRHVDKRAIATVSG WTYSGCYGDAWNRTLAASSKSDDNMTVQLCTSYCSGLGYTLAGLESKNQCFCDSQIRG TAGTKYADSDCSYQCKGDTSQACGGNWRLTVYNTTKSSSTSTSSSKTSTTLTSTSTSK AATSTSTSKATTSTTTSKAATTSSVATYYGCYADQSSPRLLSGANTESTSMTPSTCQT FCSGKGYSLAGVSYGKQCYCGNSIDSTKKQSSETGCSYACTGNSALKCGGFYYLNVYS SSGTIAITSSSAASTTSASSTSKSSSTTTSKASSTTSSSFSASTSATTTSAAAAAGSS AIGAPNPPSATGTKQLFAHHMVGNTYSYTQSTWADDISQASAAGIDGFALNYGSDSWQ PSRIADAYAAAKADGSFKLFLSMDVTSLGCSSTNDAQNLVNTVATYANNTAQAKVGGK VLLSTFAGENCKFGQGSYQDAWNYFDTLLAAKSINVYFVPAVFADISTFSSSTWMDGE FNWNSGWPMGGSPLGTYSDTSYMSALGSSKGYMAAVSPAFFTYYSPSSYNKNWIYRSD DWLLARRMEQMISQRNLFDLAEIISWNDYGESHYIGPIRADQPNSQGWTNGMPHTAWL EVIKYYAPAFKTGSYPSANDQLVLWSRPHPKDAVPTSPSMARPTGADNTDDLLYVWVV LKSAATVTASSGNNTVSWNLGAGVNKVSVKSAAGSIGAKIIRNGATVKSYDSTGSFTY TNTPTDYNFNYFVASA
I206_03664	MSTAQEIKLLTLLNVSAVKRPRELDLPGGHRGSPSFSKTPSLVP ESEDGKEPKKRRKSVVFGGEVGPSGSTYGKGKGKGKAKKIEETPVVNGTKNAEEIPVN GNDEVFEEEGESDDEGEASSSANDDLFNVHFGPTPSILTKENISLSEGNKWKAERKSL KGFGRAVELSAGSSVSNEQLKTRITPTISASLKASASTNPLLSTSLSHLGTYKDLYLH SLDGEADGAETQVLGKHKEAMRQAAIIHALNHVMKTRRKIIRNNEKLAHAASSDNPSS IPEPPRDQSFTRPKILLLLPFRSLALHYIKTHLLPLAPAGTQIENQRPFSSSFALPED EEDPLASTSAHDDFPIDHLVNFRGNSDDNFRFGIKFTRKAWRIVMPPANEEKLLDCDI LVSSPLGFKMAAEKEDSTDLLSSIEILIADGLDTMAMQNWEHVQFIFNNLNKIPKSPH GCDFSRVKPWYLESQAQYLRQTILLSRYDTPEIRAIFNRNCQNLQGKIRLEKVDYHGV LDLVKPGVRQVFERIDLDTPKVLNGEAAVEEVDKRLEWFTKKTIPALLRSAISRQNTL IVVPSYFDFVRLNNYLRKNDVTTYAAISEYSSNSEISKARTLFFKGKKAFLIVTERLH FYRRYKIRGAKTVVFYSLPDHAQFYSEFMNTPFLGSKGQGEVEVDEAEVSSRVLFSKF DALKLERVVGSTNARRLLTSGEGRLEFI
I206_03665	MSNDLRHSLPRTETKSTEVGVEGSQDRGEGVRKRVESAPLVDTH VNPLSPGMIKLYGVWCVPLLATFMAGYGVSSMTAINPMPSYQNYFKFRDVGVSTGLIF AMWPIAACSLFWLGPILADRLGRKGGMFISSLVYVVGTCLLAFARGFAMLLAGRFFLG AAVGLMQPAAPPYIVELAPPLNRGLLTGLFNCCWLLGSALATLVSIFSNDIQTDWSWR LPLTLQLIPPIIMASAVLFLPESPRWLFAHGKPDQAKAVLSKYHGNGSYTPLVAKELD QIVVSLETSPKKMFDYSNLANTKGKAYRVMLALLMGAFGQLSGNTLMIFAPSLYKQVG MKSVRQQLIMTLIPTLVGLGSAILGTYCTDRLGRRPMLTFGTFLCALFLAFAMACSAI SLNGRATISVQNYNDAAAKGTIAFLILFYAAYSWAYIPLVAVYPPEVLSMEQRSTGMG LMVLTLNLASVLGQLTTPIALQKIGWWTYLPWVCWDLIETVVWYCLAVETKGRTLEEL DQIFDAVNPVRSSLVVAGKEQG
I206_03666	MSSTAMSFYPSGTPSFEPMSPCFEDRMHPASLSICGIHNPALLE LIRTDVSREMVYYLADRTSSVIACSTKIAFPPSPPPTPAKDGSQTPGLPSLETFIAVV CEQSNVQVSTLLATLVYLERLRHRLPKVAKGMPCTRHRVFLATLIVAAKYLNDSSPKN KHWTKYAQMFSIAEINLMEKQLLYLLDYDLSINEDEIVENFEPFLSRYTFDSPYASSS SPELPNTPPTPIRMPSQSQSTPRRPGQGHRRVSSKGDITYIAPPLDRSGSSSSLESED MPLTPQQVSPPVLAKTKSGIRSVSSAQIQVPPAIYEAPTSASYHLPRSMTTNSFRGYE GKPVSVIAPVPAPVKEGFLNRLLRSDRRQKPRSKLEEDEETVAALSWTAI
I206_03667	MSELLSPPADGAGLALTQTQKDLIGGSIGGMTQVLVGQPFDIVK VRIQTAPPGTYASPLDCATKLLKADGPLGFYKGTLTPLLGIGACVSIQFGALEGAKRF FSTRAKGRELKLSELWLSGAFAGVANTIVANPVEHIRIRLQTQPAVTPRLYDGPLDCA VKLYKQGGGLKGVFKGQVSTMWRDGVGYGCYFMAYEALVQKHLRSTGLTREQISPLWA VTYGAAAGYALWFSIYPVDVIKSKLQTDSLDPTKRLYKGMIDCTRQTWKTQGLKGFLG GLAPTLIRSPFANGATFVAFELAMRAMN
I206_03668	MDLDTPSSSSSSFKIKSESLKDPSTIDGPPPLSTSSLSPATVQR PLSNHSLSFSPTHSSHASVTGSIGDMEGDKSKKAKDGYWKMKEAEAISANTNKSSSSA VEYIPNLSHTANTLQTQQENLNTPQSISIPPIQSSSSSTPVPLPLPPSNQPKKRGRKK TSPPPLMTTKGISLVFRMPPNGNENGTSTPPSVQIASGSGQRYDSRASTPSQNGKVSV TEENNSSSKKRRTDANLSGGRSTRNRPSPAGTPLSGSPAPSGTGPLSIFAPPPPTERL PQALQSSLPNSVDGSIRPDIPDADAIRKEAVAGFESRLRSRAVLAGQRRDGGERTGVS ASGKDVRVGGTARTSEKATNASTSNASAAAASNNKKKGKGKADVDIPNQDFCSACRGI GRFLCCDGCPRSFHFMCLEPPLRIDELPEEETWYCKQCAAERVKLKPIPTVFKRLSKK VEGENPAQFRLPTDLITYFAGVSEAPRGEYVDAEEARTKYDRKGFQEDRDPLRLRDGK NKPISCFQCGGTCLPNHSLTTDPESPWRQILSCDYCTLSWHLDCLDPPLSSMPNSARK WMCPNHAEQALPRRRTVRNDIETIDVDSRNQPNNGNIVIVPEPEPPKGPQLDYEDMII NRKKFRVPEKIIKLDFWEKCKNRSILRSQPELSQEDMDTANLILSLGLPRSQNPQPNG EPNGGDNMIIDQNPNANRNDPFPTPKSPNSNSTPNLHPNRQSQNATKQPKIVLRMPAL SNPK
I206_03669	MSTTTTTLLEQVPIGTLKLNGNEIKSKTSSVAKDDGHDSGHATE DEDEIVVVDPYNYVGETFGSGPGQNYPFTDFLPHNPERTESDPPLPHFDITDRGLKAH PNAARLRAFVEKRGGKIKDRLVAVGTVIEGDIKLEELGEEEKDDLALLVAQRGVVFFR NQHSLTIEAQRDLGKHFGPLHKHATYATPKRGDLDDVVVVYADHHSRPDLYAFSRAEL FHSDVTYEVQPPGTTILRLLTTPEVGNDTLWSSGYAVYSSLSKPMQKYLESLSAIHSG YDQAASRTGITKIPRRQPIETVHPVIRVHPVTGWKSVFVNPGFVTRLVGVPKAESDLI LGFLKDSFAQQTDATVRWSWQSGDVAIWDNRIVNHSATFDAYPSLRHGLRVTPHAETP ISVEEYESNTGKKAKDWLEERHKSLGIKPLARDDGKTKKRAFRD
I206_03670	MASAAYSTIKLFAGSSHPELAHLIAKRLRIPLARANITQPPSGE TKVTITESVRDYDVYILNTGAGAVNTHLMELCIMIHACKIASAKRITAIIPHFMYARQ DKKDKSRAPITAKLVANMLREAGCDHVITMDLHASQIQGFFDVPVDNLYAEPSMIQYM RDHIDVKNCVIVSPDAGGAKRATSIADRLNIDFALFHKERKKANEVSRMVLVGTVTGK IAILVDDMADTCGTLGLAARNLLEAGATKVYAFATHGILSGPAIKVINESGMEKLVIT NTIPQHENTEQTNKIDVIDVSHVLAETIRRSHFGESVSYLFHEVPYSNGIA
I206_03671	MPAEPKPSNSSTAGSSSSTTIAAGGGSKKRSLAPKRGAIACVRC KERKAKCVPAPPGTSPVPTCTNCLTAKKECVYLERTPNEIFLLEYVSQLEARCAALEV EIRKSNPSSSFALDHIQIPAEARSAAVNKLNQVYPPPPTQPDLGLTSTTAPISINSNN NNSVEVKVEEGPSSVPSTGSGGPELTVDGEEDEQDLALGIGMLSLGGGEEPVYVGPSS GINWARVCATALRRPREATQPRSHLSRFSYLAPPELASVQSALEYTLHHPTPPLPPPA LANHYLGLVYEHIQARYGCFDWTVVKNWHENRQAICQGRPLWGVGTGNDERRNLASFF LWLCYGYGARLSEDEKLEGAVSHEVYYNAAVACLSTLTSHHSLATVQALVLLIIYGLR HPTAEVSVWQVGGLAMRTAVELGMHRRLRSRSEREKDPMRYEMKKRVFWAVYTLDRMM AAQLGRPAGIQDRDIDVELPLNVNDDFADAKALYAMQNRQIELMNRRRPGDEYDKGYG PVSSMTSAIHNIRMNQLKQMITDAIYRLDKPLRPRDPDIKTGDPERQSYDEVDELLRR LDLWRACHPQPKQGVPMLPHEQWELEYHNCVQLLLRPIVASCKAAGRYVTLCLDSAAA LCDTQYNYLQPPVVQSKLSTWRFYRLFLAGLTLLHIITTFHPYLSENDRQKAENAIRK CQSALGVFGSKFRAASRHEGLFRELVGAWENGMGTVGTLEGTTKDLGNDASGSPGPGP GLAGIGIESTAEEMIARLFDIEPTPPSMTFDDPPGKIQSYSNNCHPNQQPSSSTDFSS SSTIGNHTNSAHDSGQGYELAQPQSISTPQRNTLLPNPFTSPITNRDYNSNNNHEHGL SLSSGILSGSFPSHSQSPFLQQQSNSSYSLPQAYQKQSGPSLSPLQQSHHVHGQVGGL QSTDDWASMLGEMGGGMKDDFFSLMSSFGLETHTQTPMITSETSDPIFDFLNSPPNQI STPATNTSSNLPHNHNNPQNHQSGFSNNTNTNTHTIFPGSGNSGSIWDMNRRDSNLGM SDWARLFDGVPSSTHHLHTNMQQNNQNQHDNQGQGSGQGNGIGNGSGSGTGNWGLC
I206_03672	MSIIRPFDPTDILKFNNVNSDAWTATYHNGYYASYTAQWPDFCL TIEGSFDKTIKAYMIAKHEPPSPDPQHHGHLTALSISPEFRSLHLAKTLMNLLEILSG SNPRLKQNDHNHDHSNCNGHHDHNHDHMKNEIEINNNNKEKEEEEEEEEEEERSKGNI DGVNAWFVDLFVRCNNTRAIEMYEKMGYSVYRRVVE
I206_03673	MWSLLKNALLTLSPFIPSSLIFSDNPQSASKAYHGPFTDGGAWS EAFENAREVTKHMTIEEKVNLTTAVTGPCQANSGGVTRLNIPGLCFNDGPSGPRYTDF VTQWPSEFTAAASFDRDLVEERARRIGKEFRGKGINVQLGPVTGGPLGRSPYAGRNWE AFTPDPYLSSTLSFLTVKGMQESGLITCAKHYILYEQEPVCVGPLDDEGGRTGCKDVS SEVDVDKTMKELYLPSFAETVRAGTGAVMCSYNKINGTAACESDDALNRLLKDELGFK GFDFGATHSTIPSVLNGMDMELPGEYYYTLTAGSAQDGQRLLRAIKKGSITESRLDDM VHRILTPWYAAKQHIYYPKINYQKYDLSDKKEVNGHTWKNEHVDVRGDNAIYARKVAA ESTILLKNSGVLPLRSLRRIGVFGTDADYPSTLSGCGPDLFCLVNSKRRYWNGTVTIG GGSGAAYADYIAAPIEAISLRARQNGIRVDHVLQDDSAHYGSMGWIAYQSEICLVFVS LFLVEGWDREHLKLDKKGEDLIKHVEKNCAGEVVVVIHAGGQVIVEDWIDLPKIGAVL FAGYPGQETGNALVDILWGDVNPSAKLPFTMGKSPKDWPTDNIVRKMGKGHYPVSKFA EGLAIDYKWFDKQHIAPRFEFGFGLRQALTGSYTNFEFSGFDIIERYEKDKDSIQKTN EKYLGQAGLYDTLYVARVNVTNIGKVPGAEVPQLYMSFPESEKEQPPKHMRGYGKKYL EVGQIATFEFPLRKKDLSVWDVKQQLWKIPHGTFTFRVGNSSRSLPLEMDFSIYSSH
I206_03675	MFWKKKPSAGPSERSVSTSPTMPSEPPKPILRMNTEGQGVSSIR FAGSSRSEQNQGSPSSSSSAIRPPVMPTRAATAPTYTLNDASRSGPMTSDRSRTHPPA PRVIVSSYDSYCSPTIQPHQRMASYDSSPLSTQPRMTSSPRRSSRPTSPSTSSFGART RARTEPIPTKSDASPSINLSQFSVVTPLDTPPMSSSHVTTPPTAYVSTFQSRAPPPPS PYRPTNERRRSSLTRNLPEQLPMMTDFAPLSSDTEGLLPSDSRSSVHTLPNGPDVPML NIIPATPQNQSEEFTSMWESHYPSTKSSSAGLEEAVNIQTQPQEMVSIPLQPELELTE APSIDVQLDFSPFQPLAELPNTDTSLEDLSEFINAEALQRLELDEISYEDEIEQSDDV DEGFDGDDQEVPSDPLPPSPPFMSYPSLPSLSSHDHESIRSSASESSMTSSSSMSSLA SFPDVEEALGSMLASLSDSSMSSTVINTPTKNQATDMVYSVNIMPGLGLGLGIDQYSS SSTSSMSSNTAPLSPRRRAPPPPLDLTNTKFDKRHKRGSMIQSAPIINHRIAFYTTAK AHPNSPSSGIFTSSNSLASSSSSSSTISLSQQQITPSEKQNSYRDSISLTSEASDEDL CTASIISLTPIVKGKIGGIKEVKEEIINEIDDEYENENEEVESNMLGLGLGLGLDIGS TGFNKGLTENVVEVGLAL
I206_03676	MSISSTSSSSPSSSLGPNIPRVGAIRCYWALLTPRYTPLPPNQD GTPSTGSKLELKFLHPDPMLGYHLSKQKLSMMERSVIEFIHPAEREQARKDLTSAISA DDLQGSVTRVRFARLSRIRTILGCPPEENEFPADADKFAEDDEYLILDLVLNWVADGL LLAFFHAIKDKDPVANNDPHLHDAEWSNWCGTKVMPEEQIEALHRNISEKIPSAPSSN YPPSRVFQLHYSTPSLEPTAPSASPQLIFSWPPPRQIGVKVSPDGTYNAAEYCELMKG VDMDPSQLNAGPGELRTNCTTRYGAQHSITTEGVYRHVTSVFIPYGNLIFACFQTTRM YELPAARSNGASSSATTFNGNNGSLDGEPSLGNDWNTGLSSIGSSAGGPAHTQTLQTF AAPHPAIQTTATWAHSDPLALPPRQEANWESGPSSAYHSSMPPSAHSNYIAPPPAPYP HSHLHAHHHSHYTDHLQTSMPQGAVPLSATMNASHLSNGHSPPSMSNGHPMSNGGGSN NGASSSRPLVRPPGNVDCCVMCGIRESPEWRRNESGIKDLCNACGLRLARQVAKREGR QKPRKKKDSNGNSVRGESSTKDQYGI
I206_03677	MSISGQPTSPVLLNSSFSQLDYFATGNSGPVFTLNLTTFPTSSA NPNRLSTPEQGRSPPPDPVSHITSVELDGNSPQVLSSPKSGVKKLNQRRSVQFGKETE KSSVNWARPLRVEQDPAISMRIFGASLQRNRRLNRSPVPSPSSSDDEPLTPSPVTPSA DDAVQIPHRGWSVYPSARGLGVSGVAEWDDAPEAPLEESILDKIQDFGFDSLGLPLST SSISTLTPPPPPKKHIKSNLPRSISKPIISPSIQLSSKSSSSNLRILATSQSLNSFSS PSNLQELRNLRQAAVVLENEAKKPIHMYCSINSSPSFNQIGQRERKIKRKAVPSIAES DIIDLYAGSTSSQSSTSTSPNSTLATPDSSAYSSSPIGSLTSSCSSSTLTSSADAEDG ERKNSSTDTPDPILISRQLPGMGISIFTQHKELYKNHIATRSVIEVSPRTSSLDSKFN DHAGNLTTNHPRIQSSPPMASPAKFQLEESINLKSSTSTRSTSFDVQQSTDTTTDSPL SASQTEYFLSSVENAFKKLEYERAEIEQHNNSNTANPGQSKHKRGISRFLTTKKNSLM ASTS
I206_03679	MILVRLALFVLFLTRLDLVYCDTCEPITATATATQTATVTGPTV VTVTTTVHVVQCTETQSHTTTITDHRRTDTYTTTTRTPTVTRTSTVATHHITVTSPGR TIFVPCPTTRVGSTEDEKRQWADKNGLSNSKRLQLGLPLAKPHYKKQVQPSDRVPGRN NRVIASCTPTYITETETNRITTTLIPTTTVTTTSCAWTTTKDTTSYGRTTVTDTSTHI QTRVGPATTTIQPVTTTQSMYMS
I206_03680	MLWRFSFASNSTLDALLTRDIPPSIEEILDEQEILNECKAQNNK LMSYLSKEESVKSLLTWVVAGLDELNQQAKEADDQLMNKALTSTDFYPSYKPSFQSLS IPGNGPNSPPLEPSLSLGPPSNLVSVDLSEDKQDQIADMAQHNKAEVYNGLGDDDDQF IGSDVGLGKGLRRKSEAEEDVQRTRYPTLATEILCCAELWSMSETIIHHSDYLLAPFW DAVLPPLDVDDPKAEPIVAPSTTTSRQRAGEKERARNEFWSDKDEERDKKREVIRGMW VRVNQALLAKRAPEMIRFIQSIPNLVERVVARIASPAIQDILIKIISAEEGGGNGVIE WLADQGLISRLLEFLSPHYQTSLHTTVADILKSIIHYCTPTPFNPQGGNTMEQQAGQG QNTGSRDNRLIRDLMSESNVKMIIGFMLDDLALTDKDWKGVNAESEESEETAEFTSSP LDPFIVHPLPSIASATSSLTNICSVLVEVIRRNNSDFSEPHLFHTLRNRLMSVRMQPN GNGNGNHDVEMETEQEKEEKDRRNMEEALADMSSKMGIVHLGHLLDLISQKFDKLHQF VKSPRSQDRAASAAQPKPLTLERFRILELYAELLHSSNMSILNRTPGTGPTYTEEGIL SGGLEGLEALGEAIDGDQAGEDDTQNEEDQVTQARELPVSCGSTDASLTESEDDVTSD DEEMLENIDVDDVNDITPSNSPSASKVLNLPSSNDSSTPTSTLNVDVPPPPSPADVER LRDVMGIESKPTSLSVISELGGGSNIAIANSSTAPSIESSLDIDVTEQESDAMANLPE PTIALSTANSTSKPIPPPIKIVEDISKFAPGDKLKKQYINNSVIPDVIRLFFDYPNND FMHHVVYDILQQILNGRLGPGLNSELVVELIVKAELVERVLDAQRLNDRLTAQPRTPR LAYMGHITLISEELVKFFARCPPDLYERIKDSFIPSEWEAFVGSSLKEAKARDSKPLA GGKPMSNNTNGSIDDESSSDEEDDQDREQVVKFGEPLTRTSAGDGFINRGGGNDEFDQ FDEDDHADEEGMDRFWRNSGVGLDRRPTDSSDDDDDADWLQPTSHSGWANNASNDDDD FGAWETAGPSRQNGTDDFDDGDGWGNFTSGSPSFASPNPVHDPDAKDPFADDNDAFDD NFEAKFTPSVIRAEPPSQQAQSQAHVNQVSEIPLTPRDWAEQFDRAFREGGDPATANP DEHGVTAIVVPEDDEDEDEDDIAESGGRRMSMSAGTNSWTFEGDDEGVDLPPTESPTI PQIPGFENQDPTTSFPAPPSRSENTMPKEAVSSPQPVSPRRQTGAAAQFQTTSSAPIP LNSSISNHPSLTTSPTSSPLAKPINIPQRKLSRGHAAHPGHPSGSGSFDSNSSTTTSA SISSSASFEKAFSPPDPSLVAAASEDSPLGPGVSPDTKINHGLLEREVDGKMVRVPQD EIVEAIERAQDDLVIDDD
I206_03681	MNKHLHDSKTKFLIFKEGRPLMKRGSAGKVLYLSKVQIGDRLGE EFGSAPPNAASDTKKAYETARLPQTQPALVFLGIDDREAPTTNESSVVDHHNPQGTPY FALDVGSAEWESLIEELGGEWGDARSAGGSMHVWDAGVYAQGRALIDWNVRNKFCPAC GSPTYSLWAGWKRNCLTSVQPVEGKEPCFSTKGLHNFAYPRTDPVIIMGILDSTGEKM LLGRQKSWPKGMYSCLAGFIEPGETFEDATRREVLEEAGIEVGPVRYSSSQPWPFPSN LMVGTFGRAKDGQTIRLDLDNELEDAQWFPKTQIKSLIASPTGSTFSKKDYKQLEDAT QQKADQESSAALAPSEKTPEELASRTTESGLTKVPPSTAIAGQLIRQWAEGSLELVSK L
I206_03682	MSIPDPRRNSTEPLLPIPTTESDENIHQPNSNFPLANMGVSLST ARVIAPASFIIDFACQLYGMLSSPNMKDIHDANPCSFSPQPFAIAGFFTPQQVLQLIW LRELYRSDRQVEGNTLRYVPWYALGNVCIAVWMLFWNKNNLKGSNVLVMINSLTQLYY TFALRDSAPKTYQSKLTNAVNITFAGVGVLDFFHNLTAAYFPALAPNLLVQIATPLLS AITALQAPLLFSTCIAYDLFGVAVGQHQLASKALNGLGGGGGEGWARLLGAVGLGVVG IVGARAYGGARWL
I206_03683	MSCIPTSILQVTSKAGPSRLKFSSIPICRCFSTSIRLESGHNRW SKIRHKKGAIDKERGALFAKLSKEIINSMKSPLSPDPAFNSKLATALQRAKEQGLNKQ GIENAMLRAKSVNDGTGQNVIYEAVAPGGKVVMLVECLTSNSARTVKRVKEILSKNGA RTSPVLFMFNKQGLITLRPETTSREAGYDHLFEFAVEGGAEDVREIESEDGVMEYEIT TSTSKLSSLTNLFSNLPHSNNYSIQSSDLVYIPIDPLKILEEGEEDKGEGINEETFES VMKIVDLLEEEGDVVKVWTNLED
I206_03684	MLLSPIRPPRQPISLIPSPSTSINPFDSPLSSSNLQLPIFLDTP HSSITPSTNTPSPSREVKTAYVAERTSADLIGVLNLLTPEKMSKIRGKTISPPLTPER SNFPNRSPTSPVIKTTTSPKITGPEWTIERETTGLKSPPRPPRPPTSPFDVEDDRVEE ISEGQPYSLSAFNSARDTERNFTGPGQRRMDQSYWMWSDDTSSVAQSQADHPYIPYNH NRPPTNYTSTYASSESVPQDTDKSFLRMTRTTIFSDFSAPSTVPDIPDLSTLPIPFRR PSASNGMTILSAIPESGVAIEEEIVYSPTDPMDLNKLQGDNDEKADAERRSSTSTFGY KNPRSSIVATSRNNSYDNSTINPFHSPPSKIKSGLSHTPTRTRGRPLSMSSAAETTQS NSAYSGRALDDTAIAARKALGVFAPPKGKSMHKHQESEAEIQDHLKKQSERNERHIIP TDHTPELEPPIELDEDYEPGARRRTKNTSKLERMLGEGAENARVTMDMDRKALDGVLE HKCSSPSLPPPPRGHKASASTTLSPSQNRPFQSFGSHSRSQTVANIDSLLSTPTSSHA QSHLRSSSIDSLPSLHPSLSDSINTLSALLPPSPTKPRDEKRPTQSSLPGHKVNSYFA KKNQKIGTNAGSTYMTKNNDANWPKTPPSSGIGRRTPEWERDDVVPQRIKSYTSPDPS AQSALNRSRSTLADRAKAALGLNSGRELANGSDSTAFGRDDLKVYVQRSLQEAHTISR GNSFNKSTQNGINRSPDDQHKTQADSPTTPTTATTMNSTNWPLSEKDEEESIRKNRRQ QLTKLHRLFGAPIPPELLNPNNPTAPSYSPPSHQTTHFVRSPSPSQQSYMSFEETPTP SKWSSMLKVPLSSSSFRKKASSTDPLNVTDNASFIDLGDTNKGLSKEEKSLARKREAK LEQVLGDKLPADYIYRSSSTSVSPLSSPIPPLPRTPLSPAFKFDTRSKSTSTGISRSS AYET
I206_03685	MTRPPDSIDRSNIPEPNSSSSLANGKYPHSNAVQASSSTHETHE GRSSFFAVPTTPVIGASGTISPCKPRESTNTVNPQSSSRHTHGTRLHPSSIYNSNQNE PSSNWNTLQELVGDDEDEEKEEPPGSAGMSSRLSSRSLRSLFIPPTPREQRSHTIDVN RIGQRIDELPTITDHLTGSPPQLNGRPDCIAFVEPDTPTETRPVSSKLPSSLRAGYGA TDNLENQGGSKIPLTPSLLYASGLKRKPEESPTVNSLWRKIWPLSPVAIAILKCSLAY LIASLFTYVPFLAELLSTQSQVDAHGRVTYKPANSAHMVATIVVYYNPAKSLGNMLLS TRYCLVLAVFASFVSLAAMGTIELFDHFSPSHGTIWDWISEMGDWVVCILWIGGSMST LAWSKLWVGNASYNSGCSMAAIIIYSVVIKEGALPRLLEALYIVAVGVIISSIICFTI FPSSATTKFQTSVDNCLNSFSTLLDLLTSTFLLEKAVIRGNRASLRDAIKSHSAGFKA LKITLAEAKHERILDSRIRGRKLHLYDAAITSLARLAQHLASLRGSTRLQESLIRAVR EGKISTEHFHVHEGKGYGKLSMSVVNKMDDRPGPEMRRNTDIEKSVRLFMKFRGMAGD QMNELNNRCDQALEAVQLLSQHELHKSAVDLITIRADLAQSLQVFSSSSSRAIKRVYA GPKRRRGIYESDSDTSDGETSSISSISESEANEKHEDLDKGNIIGGPNETIFLVYFFL FTFEEFAREMLFLLDTMQEIVEVEPVSTWKHLKTVIFKKRGRKEKKSKYLYKHLQNLV PIDPSKLQPPPFPKSGKDSTGPVLAPDSRYLSAWGKIKQGFWRFGERLREPDMRYAIK TGLGGAMLAAPAYTEAGRPFFLQYRGEWALIAYLAAISQTVGQTNWLSLARVVGTIFG GTVAIVFTKIAQGNNVVLPILGFFFAIPCFYVITQMPDYTNAGRFVLLTYNLSCLYTY NVRNQYDITVEEIAIQRSAAVIIGVLWAAAVSRWWWPFTARRELRLGLSDFCLDLSYL YSRLVTTYSKGAKDPAEDHNEDAEDGETTPLTGNDVEFSHLSASVRQFMAMELHLQSQ ILNLRSLLAQTKNEPRLKGPFAFTFYNEVLLSCERMLDRLHSMRCVTTRDEWDHAMRE EFVIPVNKERREMAGHVILYFYTLSAGFRTRTPLPPFLPPAEESRQKLIKAIRNLNVV KRRSVRGGGRHLLFFAYATSMQEVIAELEYLGEIMQDAYGIISHSTKKDFEDLFEIPS LLKTDDDSLEEEERIR
I206_03686	MSGQPGASGEKRKAQGGPSTQKHKFYKFKGQNRGGRGGGGRGRG GGGRGERGGGSTRLPRNDGHDDGKPKLNPLGKYRINPLPDILTAPGICVTTIMNKERS AEAELIDYLERIADEIYPETIEDDVEREGSEDLDFEAQLKKDLESMDQNNKSKRFRLC VHDMICVIYINVLPPLSPYKLVRHIMEQAESSARTQLKWCKRIIPIDGTSKATVKQLS ELAAEIVKDGFKAEDSRPIKYAIDTNTRQSDRLERMSMIHTVAKQVSLLDKSHSVDLK NPEKTILLELYKNSVGMTILDDYERFKRYNPSSIASAAAQAKGKSQMNENSELSRSAK PVDVASQAEETNNQVTGTPKHIYRERRAEAIASKYTQNDLNKNDGQNDDHVEKDAEAG EILEEPQGILGEDWEERVANGKLERVRKDGN
I206_03687	MRFTTQSAVLGSFTHSSTHQINSSKKENEEQQTSSEDDPMWFGT SHIHHPPIIINPSLLMPNVDPIKLINLRDKYQTVPKHISQSTSLAYCHSIIARSSKSP SSSESQLDSERSINSEYEAVIQDISNEQHLLKAALIQLEKKKDVPAKNDNEDSKVNVL FVQDENELLPFTPERPERMKGWQNALKTSNHPKFVDHHSEVTPKDDARPSGDKKVLHQ FVEIDNRTSGPNDTRYEPGEKTGQVAAQSRLSP
I206_03688	MPSKNRIDPESIPKDYLQAYPYPAFVLITPTPTSQQNQAGTSAS AHTGQGAFQPFEVFWGNDSWKNFVKESTLLECLDVDGARSLGKWISGIKSLEQLSSSP LNQKVLGLADHLANFSLSLPATSGRKLPQDGKPETDNTSESISEIDAALSPRTALRPS ISNQEDQDQTAATPTPSSSFASTTDDKLLLLDFLRPVQVKFELLKTYMPIWCASKGSG RNKLATHSFVIITTIPRSDYSPSYHKIPKVEPAQDVSSATAAEEGGLELDAKPTTKIL TPSDSPTHHTLRRPIPSAYSSDPSVTNKSVTPTEELTGFDFGAKKSTAIRYGRDGAIT RPAQWNSNIVDVHDLMQSTDWAATALGPRESWPQSLKTTVSLVLHYPHQCCLWWGKDL TLIYNEAYAQMMIKHPHIFGMSGAVAWAEIWDALGPISELVLSGTPVTKEDDFLLFKQ LPHQGGGSIEEYHTWMWVPVLQEDGTFGGLWNATIATTSKVLAERRMSTVQEMGQRTS VARTMEEFDEAVIDILAANARDVPFAAFYHVDLPSVNARKGTGGPNSAEMTKDVDQFS GIKANIRLAGAVGIPDNHPTTPSSLTITVRPRVRNSLASLRSGPRSPSLSITSSMSNA LLASSSAHSDIEEEDPKTWPIKEALLTNRLVMVENCESLISGYPIRVWDELPTAAVVI PISNESNDGVPSAVLILGLNIRRPFDEDYESFILIIFRRLRVQLASGIAAVRSYEAER QRVEELAALDRAKSLLFSNVSHELRTPLTLIAGPLDDLLQETAEGPRKENLVMARRNV RRLTRLVSTLMDVSRLEAGRLKGSFQLVNLGIMTRDLAVLFKGAMKQAKLEYLIDCDL SPQAVYIDPEHWEKVVYNLIGNAMKYTMEGFVRLSLKYRRGEAVFAVQDSGVGIPSTD IDLIGERFHRVQSISRSHEGTGIGLALVKELIKLHGGAMSINSVTALESVDGSHGSTF AVRIPLGSDHLPSDAVEDDQIVSKHSQTTYGQGIIDEAIQWTRDRDHSNASSVDGSEI GSTSEETTSRGSKSLDPSTLYFKREDVIMLVDDSFDTRRYMSSIFSPLCTIIEAKDGL DALRLCEKTIPDLIISDVMMPNLDGFGLLEALKTSKELSITPVIMLTARGGDEAKVDG LLAGADDYLAKPFNSRELVARAHMQLQLGKRRRSLEEAFEQRTSELRALTEYSPVGIF RTTEDGTITFTNNAWHAMSGYPTDEPADRWLEVIAEEFRPPMLEFWDRVLRRDEDDEE ISKSIDYQFTNGNWVQLKAIRLEKLGEKVGSKMKGILGCVNDITERKLNEESQKMRVV EAEQRRKEAEEAKRQQELLIDITSHEIRNPISSLMQCSSLVKTNLLSLQEQLEAVHSN KTSFIPTKQLLNNIEEDLDALESIYQCGLAQERISNDVLSLGKIQLDKLQMFDVEVDM ARETQKTLSIFQNEARMKRIKLSLVLGEGLENLNLKMVKTDPVRLNQIVTNLLSNAIR FTSASHIKRITLKFDVSLDPPLDEACVIPKNQTEKIINPTQIKEDQPIYLYFAVTDTG PGMTEAELEMLFQRFSQVSLKTHTIFGGSGLGLFVCRQITHMMGGKIDVTSTKGKGTT FRFYIKARTTQPTNSLSQISTADGIKESGDLSKKSTLVKSKKKDIFQFEGKKPHVLIV EDNLINQTVLARQLKHCNITCDVASHGLEALEKIRKVCSINSTGQPFDCILMDLEMPV MDGLTALDHIRKEESLGQLKKNLVIALTGNARQGQIDEAKSRGMDEVIIKPYRLDDML QKVEEMMKIRNYEQEQEDSNPTPTTPIIGSEQQA
I206_03689	MSFFDDDDDEEDDSLINNGINQRGSSSRTTRENSSLFIDRLRST VSPHSEEIQIQIQIERERERSKASESIFDESNLSLSNFNENNFENQIQIQNYEKDEED DDYDEEEEDLNDIKKLNKFWIKERGIPELSNWQGDLIDEIFDKLEQQQKMVNTLNSDP QTSEEEHFKLMLVQTEMERVKYLIRSYVRTRLHKIEKFSHYITVTPNTHHLLSGAELS HAKRYTDLLHTHFEHSVLDSLPEWLRKMDDTYGDGLSMISKPNRNTPVLIYCKRDCGE ITLEGGERAALAKGTTHLVKYKLVDRWINLGWAEVL
I206_03690	MPSLADTLDTLSTRTSELAYLSTLNNKPSGPFVQAYLGGSSTSS SSKTSRKKGNVLSLIRDSNESEIRLFKFIGESSIQNGISSGGGGGGGGGGNKKVEKRE NNLVTPLKELKKNKNQMNGRDEIEIVLKTALKLVDDYRPMPRARAHIANLLDSHHSSR ERLLELERLIEEASKPQSSTSVSSAKPPSPVTVSETSESTPTDQPKLTADEAIKAEEA ALRALEASLIPLRKAHQSQEDRQPTGYSPPPPSTKTLLSSPPTNTQTPAKTPGRTFTT TNSMQTPAKQMPHVTNSLVVNGMTPRRVDRFSPLRLLTPKVPIGSSNLGNENPETGGG RRSIFGRPSNVRQSVLNTPASSSTIPKPAAGVFSTPYNNYIAEGNERTPAPLPNVNAQ QQAIEEQEEDDQTIRIPSSPPVLAPPIEPVATPAKAEDEVHNVETMNPMPAVTTVSDD GTLNGVDLNAEGVKAGIAKVWNTLGEMMRQGMKDGQEVTQDVESSIKHLIHLSTSDLP APPSPSSSSATSITTINISKPITSETILFSHLLLSILRSINSKQQSLTNSINGQEVGG VDMNEMKESLTSIAKARNFDGANTVGTKIIYAAVGKRTISIDRKGGSGKIRFAI
I206_03691	MSLSSKLSITDVSLKGERVLIRVDFNVPQDKELNITNPARIVAA LPTIKYAIDQGAKSVILMSHLGRPDGSPNPKFSLKPVASKLSELLNKDVKFLDNCVGE DVKKAVLAGENGQVFLLENLRFHVEEEGKGKKDGEKIKADPENVKKFREDLTALGTVY INDAFGTAHRAHSSMVGVQLPQRAAGFLMKKELEYFAKVLEHPERPFLAILGGAKVAD KIQLIENMLDQVNTLIICGGMSFTFKKTLENVEIGTSLFDQEGSQKVQSLVEKAKKNN VKLVFPVDYVTADKFDKDAQVGEATDESGIPSEWMGLDAGPKSRELFAQTVSEAKTIL WNGPAGVFEFPNFAKGSNALLDATIKAAKNGATVIVGGGDTATLVANAGKESELSHVS TGGGASLELLEGKTLPGVAELSEKK
I206_03692	MFSYPRDKEHNTPLRENLQGQRILTNNPEFPEQMKHCQQDGCDS DISDDELADSLKLLELEQQRLILKKKQREMRKATSGQMTTNDSQRIQKLPTFSPGYGF RVPAPGSDTLPPPEELVTVHGSRHRMYPAPAPISVPINAHEGWPPASQVPLVETSPLP QPASPGGAMVAWEGGVVYKNPELNHEGIPLTIDKLLEVFDRSSGRFGDRAAADQSVGG NGRSDRSGFRTLPRDSNWNRPPPSGERRGWGERSGNAREPTPEEGEAEQTNGWDEGGA DSRYTGQRSGVGSECYNCGQTGHFSRECPGRKDEGMHDEQKSLQQPSSYQAPIASQAF IHPSRLAQVSTYSHQQTHAQPSRVMTPRQEDDETGGW
I206_03693	MEQSIAGPSSTTNIPISTFQSSITPSSIIPPSLPTILESLNNLL INQQNRNLSLTHLNPYVSNQERKGKSKDERQIINNLKESISNLRYILNESNDIEEQNR LIRGLKEISNHQNNLLSILPNFQPNLSSSSSSSSSSLNEKSISFPSNLLLLNPIELLE KISLEIKLQCFIEDSQFGLMKSSLAIAGNKFVCDVDLIDNISYSQNQQEEEEEDDDDD NLEDENMNNINKNNNIKKSIENQNLTNSEKIKLSKISFNHITFSENTEKSEYITFILK FLIEDYLNIFYNDQIDNWKKQNVLENLKSGLKELKELDEYTTEQGKDGFEELEKIVKV MEEFKTNESQSKIYNTKSLSIFPTFHLLPPTSSSSEEQSNNPIIKIRPPNPGEKVPSP TFDEEGNEDVNMDNNDLGIKDWIIEIEDELIVRRNFLNQEIELKDTLNGIKIENLLYL PYQSSPIITSSLNQQIQMFPYSSNFIHSSNFNQNGNSRDKDNETQGNMIEQRWSMVQP GPTAFVIGRIGIPSTQLELSKILNSIRNQIILNKLFKSIFKVDHLVPDQLNQEEEDDE DQTNLDDLLSSM
I206_03694	MSRPIIARSLMASSSRAIQPRLNLTVRSFASSSKIQMASTSSAY VGIRSNNNGLRNKAVVYDGAKQQRRTMFIQTETTPNEASLKFIPGVNVTQGGTHEFLD LRSALSSPLATRLLTIEGVTGVFFGPDFVTCSKDESLNWSILKPEIFAILMEHFSSGQ SLFKEGSNDDSAQAEDTKILESDSEIVGMIKELLETRVRPAIQEDGGDIEYKGFEESN GIVKLKLKGSCRGCSSSSVTLKNGIERMLMHYVPEVQSVEQVLDEEELIALEEFSKLE ARLEKQKQREGNPSKPE
I206_03695	MSSKSSSKISLTSPIYLLSRLTKLTSTYSGLDASLMLVQYSSPL VIALLLRLAALKSKFRSNKNGQAFGLVKLAEGWAKMGGSVGEARVVFRAFGLLPILTW LLSLHPNPLQSIPKLVYSINKQGLAAFSSPKTLPTLQALSLLLYYPLEHLTWLYSKGV IPLNAQRAAKAGLWSVRFWALYVLLDIYKLRQTYSSLLQRTKLLRNSKPEIKEKEAEG YELSKSEQTPVQQSTEEDKITMKGALTKDWKVWKNDVMINAGYAPLTVHWSTPGGLWA HPLIGGSLGIMAAIGRLTAEWRKGD
I206_03696	MRLMTLISLLSVATLAQAWPYCGTVTDTITKTRYSTEYAPTVTS IHTRKIPVCYETEHITKTKTKHARSTEYETTTKHHTHTTTETAYPIQTTVFKTRVIPT CTSGGGGYKFHKRSFVEGSNIEERELEGLTNAERIQRGLPLSRPNQIQKRQNVDVDAE IEVDAQGRKKPRPSCYPTHIHTTVYTTKDKTQTPTKWETTTKCAWTKTVDDVVYTRTT ITDVHTHTVTERGEPVIITTEITPTRTHTSTITSRTITGECRPTHY
I206_03697	MLKTLYPLSNINNHHPIPKSTSSNKKEKHLSKSLKSNKIDTSLQ FQKLNKKSKSNKRLNNEQLIPSAYLFIGRMNLTPFVFCNIGIISKNNLNWIINGIINE NDYLKEIDFRRLARFENKFWNDSTNEMERKITIVEEEKKNHKDFTFVEVVDLTSV
I206_03698	MSSRRVSLLDLVHSRNSSPIPFTKPFISSPFPSSSSLNRNSNQD KDNRYHYTNRFNCDDNTSPDPLNCLSAHSLQNENLNIDPDPDPDKDPLNCISPPSIVP VLEPINQPYRRKRGRPKNQRRNNKKAAQITEKDQDHFKTPRPIVAGSDPIHEPLKGHR GRSKKQKSTDVQISHIVIDKHQDFTEDRDEDKSNNIERDRRGEHCLEEYIPTHQADRS TRYRLTPSELHKQPGRAYPTTRPVQIQYTTSSERSSTLPQTDHSEFTVPGSTGVSEAT TPKLVQTCCLDSTPVTPRAPDQSSDSPIELPRIKDTTEKNSVACTSPIHLRSSVTPYR AISLEDSPKRRSSSPPVTPLISPSEGDLLPLALLAQAAFQQILPAPPCILPGNIDLKS DIRPPSATRSSSLITSKLGAPTLESHALKQNLSLLLDHSSLSSLSPVPTEINLSPFLL LPAIPRPSLHFIPAEFIENHGLAHADPRAEPDASIKSPLAVYNIKKPPDKTILSDSSA QDLDITPSSNHQILPDQSSVSVQHTQTKVIVGVKSVSPYICTTTERSAKHAIVSGSAS TTTVPKSRNPTTRQPKIKLYIPRRHSTRIASRTAQDGARPVQTANSLVSVLIEPTSRQ LTLPIKTSTNPQISNSVVADQTDNIKTNFKMASLPKREAKKPSRFQSPLESSASPPPP SAPTPPMESAPTPKDEGFSDSSLTPPPLSQGQITHSQRSPPAETAPRTILEEVPKKKR GRPSNAAIGKQVAEAATPSDDKDKVGRQGSEEGFPKKKRGRPSTKRLLSTSDQISSSA TSTAEGSVSPTKIPKIKLSISKQPKQEAVPSNEKPEQDASTSLSIKKSVNTAGLGKKR KSEVVEAVSNVDNQEPVKKTKIVLKSVKTSSGSPAPPIKSLDEKVEINKKAKQTKGDA IEKPAVKSETQVKAKRPVRDYSSSSESEEEVVVKPKKKAQRIIEDDEEEERPKKKVQK LETTATVEGQDKLRSNGKEPPVISQTAIEEDTSVTKADKADDEGEDATRSSRSISPEK EKEKHLSKASSPKTLPKIIKKKPRPSELAEKAKGPETPINKSEGLVKSKAPVEEGSTK KTLPPKKAIPPGVKSAQAGTQASSPATKPSGHGMGLLGNTLALLQGTSTPKAKDPKIV ERKEKKEIPKVNKRGGWTEEWILTPEQQREYDASAPQREAARKKREEWMKNPVNLQEA KDNYKVDSMQPRTIAVPGAMGIQTAGKPSQMPRTSRIKSKLHTSAVSLPSKLNSTTSI ENQDIPPIQDFIPINEEPFTSRSKEQKKADFVTAIQSAPHPYLIKSKSHLKREKKKLK LLNSSTNLDSIENALNFILPQISPSIEEDELEKKKNLKKNKSKLELEEEEKLKKIKDL EKKQKDLLEKGKVSEGKGRTLNEKKRRNVIQESSKRIPAVLSHPAYKSNPWATIREHA GNSIATKSITTKK
I206_03699	MSLPIASSSIRSLRSIPLRPIFRSYASLTPYSPPITSDYTSNKR PNRPNSSEFFTGRPKFNESLNELKETINLIQKKLRKKFVYPLPNELPNLNPPQTNWLN KEELSNLLDIKLKTNTLRQVIELLNELNHLRYISELSEQFDLVKEINIILNNYERLNS SNISLLSEVEKEKGLTIKEEEELNGIDEFGRSYSMGRKKTSSSRIWLIPSNKFREIEN SINENENEITNNKLEQSQVLINHIPLSQYFIRPSDRETILRPLKITGYLGAFNIFGFS RGGGMSSQASSVGLAIARALSNLKDDAKDILQADGALMRDTRTTERKKTGRAKARKGY TWVKR
I206_03700	MEIDEQSESQTILQSMETKLRSNLKIEFLEFIDTSGNCGSSYSV TIVSSDFNKKITLARHKLVNQILSHEISQLHAFSQKTLTPEQWLKEKSK
I206_03701	MVLINEKKYACEKCIKGHRVSGCTHTDRPLYEIKKKGRPTTQCS HCKDKRKTAGSSVHTKCSCGDVKTPSTTSAVIQPIANQSNLSEQTPTLQDVDQDEEIE FETRKGQPGSKATFPRGFKDVLELAAAANALAGLVKEDTPYKVAERSVNALLNPCKCQ SGGPCKCCHPKKAERSDQSGDTSPSSGGGCCSTSAAGAGDVVSRPGPPPNAIPYLSPE NMHHPAHTSPHVHKTKLFSPYSTNTASQSRHGRRDTISSRSSGRSSPLPSKILRPPPP TIKPLTDFGRLIGAAINQDGSINSEIPRSAVGLPNLPGISTFDTAAENGGAKVEPMEY EDIDIDMPLSFPTSEDVVIGACMCGEDCSCPNCATHDNGITSTNSENGHRHKGGCGES CKGRNDCNHSISVPSGVTSIAHLISLAAAHVPPPPEAQAPRYASALDPHDTRVLPPSA QLSADVARTMGIVQLKPLECCNGRCQCPPGQCVCEKECCGCCVRCACSEEDEDARMSN DDDHTTSQAAVSVASSRPKSSCCGGKQNVDGPNTQPSPVTASPTGATIASSSYQPSPT LLSPGHAQLPLNYGSRQQSPVTSGSTTPVNLPPVSTTTPTNGAAVRRAISISSRSAQE GHDVSSASHRRATVTGNLPAVTGPNSAPIKASSKTINPYNAHHHRTILPKPSNSHLST NTSATIAGNSRQPSPSGQKRGSTSAGPARNESPSGERRTSGSSVSTRDQMGTSRQYQP SPTVPSQPSQSELPTTNQVDNAHGLPDSGLGYQWPPQYCQEILQSQYPAFGVVSETMN QQTDIASFTNPNQNNYPSSMHILGNGSDIDPSNATLLAFLQQFSQPNSQSSQAGLQHE PAPMPLNQAPPSNPTHSQASLPGPPHFDQSWFSQVQQPALSHDVSGTTSPELDLPFDL DQFLAQTLNSNQAQPHSESNNNQPQHHPGVAADLNPNFNDFFFNNAVTPNVAVQSHSQ PNSSGQTNGVQNANNDLVQTDPPFIPLVPGLPIEHTYSPNWTSNGMKEKIVEDQRRQL RETNEITNSHQHQPSRQDILINNQTRRQIETSTGDIIDLSKPLDSDTLNKIMMALQKH NETFIPDSNSSTNAQPNINNELSSQNITNLPDQGVIPNNPNPNGNTKDLDDMFNQFVT LDGVVNSNPNSNSNSNITSNADGMNLNNINEDSNTNTNTNTNTNIIEDPNSWLKIQCN VDGLNWANNEMWGNNSSSSGSNYI
I206_03702	MSYGYHSPQDNRQLSPAPTYQSNSSPYQHQQQNQQAYNDPLDSY YTDLQRQPSPGFTDPHVNGYGQSAPSPSLTGYQQGYRHPPTPLDPHAEMYGQPTSNPY SNPPGGGGVIGVGEVFDNRNQHLAPQPQQQQGFYQQQPAQSRPSFSSHHSDYYPEETK SYSSTTHLASTPQKEWDVGSVVPAIPSNPRDFNYNNNNNGFYPPRQTSFSNMNGNGPP SSVGGTSHWHTMRNQLLERRVVKQIPLNNGNLIMDVPVPKGVIPTSSKGLGLMDGEIE SLRYSAATCDPDDFMSRKFNLRQYLYGRKTELFIVMTMYNEDSTLLLRTLNAVIKNIA HLTTRSRSKTWGTDAWKKVVVCIVADGRKVVDPRVLKVLQLMGVYAEGVMKDHVAGKE TQAHIFEYTSQVVVSDTGEVGFGSTPVQLLFCLKEQNKKKLNSHRWFFNAFGPLIKPN VCVLLDVGTKPSGTSIYELYKCFEKHPNVGGACGEIFADTGKFGKLLFNPLIAGQNFE YKMSNILDKPFESVFGLISVLPGAFSAYRYDAVKNHSDGTGPLAAYFHGEMMNLPGAT ASIFDRNKFLAEDRILAFEIVVKKNARWRLQYIKSAKAGTDVPSRVPEFISQRRRWLN GSIFAATYAMVCFWRIWTSGHGFFRKIALTILTIYNLINLIFNWLSISSFYLAFFFLI SSSISGGSDPFGGAGDEIFQVFNKVYIGLIFIVLVCSLGNRPQGSNWMYTLCIFMFAV CQGLLLYCAGWTVYQTVPHTTEGWKDVSGLFGNKTFLDLAMSLMATYGLYLISSILYF EPWHMLTSFVQYLLLLPSYVNILLIYAMCNLHDVSWGTKGDNGASKDLGSAKKVEKDG KEMAEVALPTKQEDVEALWQQARQELRIPAKEKIEKRSAETKRADEDRNFRTNVVLLF IGSNMLIILLFTSSAFTTWVNNHFTSATETTFNPYLTVIFYAVLGLSTLRFVGCVLYL IFRLFGF
I206_03703	MEHLNPNANDEWDDQDDPRCLTVAQQYYFHTPEGKKPYLSSTPP EHHYAPRVNPVVELNKSSKPIAELYERPKSVAELDKSPPSNWSYQVYSNCHCTELIEG LPNDEDSLRKIKNILGRWTCPGKEHSDNFVQRRSTLCGKSRDEVTNILLDHQTDLHAA PTAITFQTNFSKELEAKRGPRDLKVLHLRKIVSEQRSQTSLVQMDAGDITIHQMVSGI MTHKKEESTALLSRYDPCGCCRLTTLAEKCPGLGTEYGRAWRRELGSQLKYCPLSHTN TNRTICQSAYSRVITSDDSACVAISEAYKQHHEEGKTTDVSIYSNRMDDSTYDNLVSN ARRRYQRDSGEFYRPYYGDTDKSFGSKGCFDL
I206_03704	MAPFSARLTDTVGVTPHLLTPDFAISKPLWVPSGARGVFGGQVI AQSLAASARTIYPPLGLHSMHCYFLLPAFAHPDIEYRVERLRDGKSYSNRLVRAYQGD REVFVLLASYTVPPTILPENFGASTLGETSDTKQQDKMKVSHTLRFSLSQSEAKSPKG KRKMGASTVKANYQTPFPPNLKPYEECYPEEDRWQKFFDEKCKDWTGARRRFLEEYIR ERRESPVGISRARFNGREESQYSDAEDGVQNTRMSWLRARLDPTEKPDTETVKAMIAY MTDFQFIGTASRSVGLHQSSSPRIGMLASLDHSIHFYPFPDDFDPSAPLLHVMESQSV NVGSGRGVVQGSVYTQEGILIAVTAQEGVVRADLRGLEARGLIEGGAVGEDKEEGLKK REAKL
I206_03705	MFSRFLISFIIISQGVSAVPVIESTSANFIVGIPLYTEYVDIDQ TKFGAISVGINLTITNTAQEDKKDFNWITYAHPAELDEVVDKPLWNMSCTAIAKKAFD GLAEFTLKDEYPWITAGVNASGVQDGWAGIQCPQGQCLSNCDGHERPVIDTFLNSYK
I206_03706	MFSVKSITQLGVLVGMLGNQVLADSVNNLDMHAKYNITPAEHTR FVDIKTNDILASYPDAYAFDVLGDEGTGSKTFKWVYVDKNDDTKEEWSIELTAYCEGF WPETDVAVITLSLDRPWATLQASEITNSWFQSDKTTYKCNVPLCGDAPAFGVPAYSYV RTITSRS
I206_03707	MPHPDSVQLGILHLGLSVNLPSSSLMGWTPLISSLVDSDNLFDE QSKSTAQLLRLALSDVGLGFQGEWLRTAFGIAVRCWILPSDAPGSMWKSMVSRNRERI VKELLRSVRGIWDDADSQGDILMAIKAEDEKSMQDIYSEIPSPHDPHFAYSDSIPDRE LFDSLEAYENPYGVQTDLYKYQIRSVSKMVQMEMQPERLADPLFIPMQEAGREGTYYV NVSTWDIQRHPGWYDLPRGGILCEQMGTGKTLMCLSLITSTLYQPTLPPPINIDISPI TTDVAERTYPFSNDSDIRALTGFPRSQTRLMFPSLFELCANIISIQDPSAKRSPLIPD MMRPSLDRRTFYCTLPLDDECGRFVKKKTASQKVTKIYLAKGTLIVVPQILIHQWQAE IEHHLEKDALKVYHAVNEELPDIEDLLDYDVILMDILRKVVIIFEPDSSRSYFGFSGF GAEETQHRRARGLKPSTLLKARWKRIILDEGHTAHSKISNSMIFSRQLSVERRWLVSG TPTRHLQQGGEIELEEMNAELARNHTNSETHPQNPVETRANGIGRAWDKFDLEDAYRI GRMIGGFLAAEPFKTEGGFERNVIAPLRNKEGPSFGAVRRMKYIMNGLMVKHAPKVID IEAQLPPSTIVTEMLQFDPMQKITYNVLAALVASNVYTSGGEDVDYFLHKDNRDAFLR VVDNLHLACFWYSARDMGTAACLARTQNWLEKHPDADIHVREQLGEAVKHLQTAIDTP GWEEWMTNAVSMPLNGQFLPPLIKASWSDSFDTDPDMVDVHSFNILRELNRPGRTAQE LHIEGWDYRNDKLDEFQKEMNKYMEKHAKEQKKLSKAGNSTAAKAPKAVLKSTSSPKK GESRRKRKNRDEIDDQLDEAETNALAAASTSAVSSAEKLPRPLPAVIYTKSKSAKANF IAKTILAAEKEDKFVIFGDAYELGHLTEILDLLDITSTFVGSELFTKDRRKALDNFQK PEIKVCLLDLKVGARGLNLVVANRVIFLRPIWSLDVQAQAIKRVHRIGQTRPTKIQIL VTEGTFEEDIANRSTRNRSQVDEQLYSRTMIEDPRFVYQSREEEDAFTVRFTPNNERS SFAPNGMGSDGKTSHVLQEQQQVPEAHGLIPGDEGRDMARGQNGHVDFAFDDTVDTQS VKQEEKPKAKKRARVMFA
I206_03708	MGPRYDVESGQHEDSRGLSEKPFSLFSYIPKRFSKFFRKGSSTR WIIAVTILLFLCWIISPTLSLWKRDLGYIFRPIWDKPERPWNHITQYAIPGDIGEENV RKKWCNLHKWDIRSKNETPKIVDAILFSSELDLLEIRMREYEPYISKFIIVESNMTFS GSPKPTYFKNNRNKFNFIPEEKIIHHVVTNFETNLPVGSFDNEIKQRIAIGDELRNLA RQGKINQGDLIIESDVDEIISQQTLSLLTTCKSYPLPLHLNVDNYRYSFEFPLNDGGY FRPKIVEFTDTNSLDYNHQRKSNDLLGGSGWHCSFCFSSLSEIKMKMMGYSHNDRVRN KGLLETKRLRKTVCEGRDPFDMYPEAFTFKDLIAQSGRPRKANSFNHIPIALKENPEK YDYLLDGGCSRPE
I206_03709	MFVSTLSLLALLGLISARTLATHKEDRRNDGWFGYRNVGYFTNW GIYDPQNFYVTNITTQDLTHINYAFANVDATNGTVFLDDPEVDIEYEYPGDNVDEKGN NLYGNLKQLYLLKKQNRRLKTLLSIGGATYSINFVNVIEPEWRETFINSSIEVLNNYG FDGLDVDFGKLGPVNKDPEAETEQGVAFTELLKGLREGLDQAAKKNGGGYYELTAAVG CGVFGWGGLDVSGMDKYLDFWNLMAYDFSGEWTPTALPASNLYHDPNPANDQYASGSE CVQHYASEGVNSRKLVLGMPLYGTAFNGTQGLWTKWTDLGGGDYDQAGNYDDKHLPLE GAVMFYNKSLGASWSFDNSTGHVVSFDTPTVALQKAKYVMKNQLGGMMYWSIDQDYTK LQSNGKPNGRIWPGVWNKLKGTSKKYNYGKWKGAPWPLPDYIKNRQRRSEIVNNIESS ELQLSKRGNNFGNWKNWAGWGEWEDPKQWKLKLDDNICTNIGFSLIDTVKTAFEKYGG GLDKTKNNLKYPNSVYDNIRSGLR
I206_03710	MPKVTWDEPSDRLVLTNTPNPKKLQKQPKGVRFTSPITDEEVFG AEAYPTPDPTPEKTKLLSNKGVKKKPSWIHWPFQSNHHSGSTSNQQIKLPTMNDTPSP TGSDCSWHHHSSYFPEYTPIYPPVNPSTIYPTLYNLTEAEKQAQEHTRKVGHSVVVHY PMLPTWAEYGGQTDKSKNGWQTANNSLVNGWNGYGWTEDNLPKTGELTFGKPAPIGEP LEGAKKKKKKKKKKGGAGGGGGGGGGGDEGGEDDEEGGEDEEAG
I206_03711	MAFRHVFSSIPSAVAGPSRLPRLMNTSSSRSLSFQPRCLASLRS HQHMTGLRRYASSSTASPQSIDEDKPERSASPSQNEFNSQGGLPIPGNIATSSTSFSG TGSTAGHDKSATDATQSAISKRQEQLGSDASSELPNYPTLPSSSKSSYTSPNFASPNI PSLGSSSKSASINGTSAARQFRLPQNVNIPPEVRERISEWSTNVLQHSKRVAKDAEKR LVDLGLKVNQMTGYQEVERLKALVFEKEDHLQKLRESARSAKSAYDEAVSSRSDAQRD VNTLLERKHSWSDSDVLKFTQLVRQDHSSSHLVGSTSIELKEAELKVDKAFNELMQTI LQRYHEEQVWSDKIRSVSTWANLMGLALNAIIFLGAIIIVEPWKRKRLVSKLEERISE MMNNVDASLKSLERNLKGTTTTTTIGDLEMIKATKDNISTELSSALSTIKQEEESTIL ENTPMPIHIPRLDTPVPLSDTRESTRTVPVILNPIIKTNIEGLPSYLDPITRPSQERD MAVAGMTGAILMGIITTTVKWLIS
I206_03712	MAAIALPTSSSSLNSRSSGTPELNERQSDGIGWHGYRSVGYYPN WVIYNSDPFTVKNINPKDFTHIIYAFANVDMNSGQVYLADEWADIDYPYPGDDPDNEQ GNNLYGNLKQLFLLKQQNRNLKIQLGIGGATYSSNFLGIVNQAWRETFTTSAIELVTN LGFDGLCLDYGNPMTAQTEPLVDLFRRLRTGLNTAASQTGGGHFILSWAAACGAFNWA AQDVHGMDQYLDYWNLMAYDFSGPWTDTALPASNLYPDQRSSDVGASGSQCLQHYKDE GVNPRKLNLGMPLYGTGFTGTQGMWTSWTGTEQFNVADLPIQGDTVQYDPTLGGSWSY NPSNGRVVSFDTPALAVQKARYVIDHDIGGMMYWSIDGDYSRQQPKAASLPGKRDEPL TSGHRRRFGGEPGGEPHNETVHSGHGWGHNNGANRGGQGGEYNNGTDHGGHHNETDPS NNVIENSEQRGRSNFPIDTRIGYSLVDTVVTAFKKYGGGLDTTQNKLNYPTSEYDNLR NGF
I206_03713	MSSVSRNQDKIKDDALPVETREKAPNAPTPSEVDDYLCSKLLHP NYGKDLNFKKGIERANENNIPKIQISPLQGQFLSILIKSINAERVLEIGTLAGYSTSF ISRALPSNGQIDTLEKSELHSKIAKENFLESDLFPFPNLHLGLGLNLLKKLNLPEEGP YDLIFIDANKDQIKDYFIESLRLLRKGGIIIVDNAIRRGKILPSSKDDPTIDVEGLRD LYDWIENDNGKTVLTSGIQTVGEKSWE
I206_03714	MGFGNFLSQKFGSKDNNVSVENSGGTAQQFYGGHSGSGGKRVVG YFVNWGIYGRKYFPQQIPINDLTHINYAFANVNKETGEVYLSDSWADVEIHFDGDRWD EPGTNLYGCFKAIYLLKKQNRNLKVLLSIGGWTYSPNFVNIVNKSWRSKFVETAVKLV EDLGIDGLDIDYEYPSTSQQAEAYVSLLAELRTGLVRLAQANHQPAGQYELTVAAPCG MQNMEILRAKDMDASLDFWNLMAYDFAGSWDQVAGHQAALYADDPGANSVDKAVRFYN LQGIDKSKLVVGMPLYGRAFTNTDGIGRPFQGVGEGSWEGGMWDYKALPQPGAEIIND SRLGASYSYNPHQSLLISYDTPEIARQKANYIKREGLGGAMWWELDADKPEHSGLSLV RIVTNELGSLEKKQNELNYPHSKYENLRAGMPGQ
I206_03715	MLHAIPTSSAIILVSTLLTYTSAVSTQDVASQYGLTASYNFTFP DETLNSADANTWIDGQWSLYNKKGVDWGNSDIVFSPDPSTSTSTLVRRQESSTSSSKS STKTKTSSAASSSSTASTTTSVAYPASTNLDGEAPVLRIEYPQGSYSKKTGGTQFYAD PLMSTDAKVASSSSGSNTTTTGQYERMMLSYDVWFPSGYAWNQGGKLPGLRGGPDPKG CSGGNQTDGTTCFSTRLMWRPNGAGEVYAYIPTSQKNFCSQSQVTCNSDYGTSLARGS FSFVTGQWQTIQLLVILNEVGTANGIVELWYNGVQALSFQNLVIRTSTNLNSVGGLFF SSFFGGDDSSWATPTDQFVYFKNIQLYAGAGASNVTGDKATVSSATPVQVILGGWTIL GSVVLALFGLSVL
I206_03716	MADVEMSSAPVARSNLRYGQNKGRPTTVIPKTVKPSHKKGVKTE KKTFVKSVIREVAGFSPYEKRVMELLRNSKDKKAKKLTKKRLGTLLRSKRKIEELSNV IQEQRRHTGH
I206_03717	MTSSSANSSPPVLPNQQSDKPNSTKFHKAKVNLEYLALGSKASI VPASLTTRSALTTTRYVVKYIIRRLIRYAKYAAVGAAIAAIGGGLLGTLGSGLAFFAA PGIGVGMGIGVLTAITKFGWRHRGNYFRGGIWEGWSNMKERAQAGHDGSKDEALDAAY KEEERRKNENKQKRNDVWMRI
I206_03718	MNRTLALSGKRGFLLPIRPKPSPLPSNLARPKLPITPSSQLTVA QALFPQLRGSGIRFYAKQTEPGDKEHPQSKGPNPGPNPETIHISNREDQNSTSGAAAE LKGMTKDFASLIAGSSPQAQGLGAREVSAQGGSHGSIAEDFYSVTKGMFTSVPKPVLY TGLAGTIPYLGTSLSIVALAREASLAAASGGESPAGLDLATCLSYLHTMEHIQITYGA IILSFLGALHWGMEFAKLGGEQGYQRLLIGIVPVLAAWPTLLASHGIALAAQWFGFTG MWFLDQRAAIAGWTTNWYSTYRFYLSIIVGFSIIGTLVGTSIYGAGAGAITDPTSPHL NHTTERTSALKRLDRVKEKNFPTHDKNAKVNRVEGKVAGPIQVEESNESFLKLRNIEK EEQEAKEAEEKEKKEKQEEEKKQKEQDEKENKQKESSKGMKSGSKDREGGENEKDQGK KKAAEQGADKQSEGVEKEQDKEGDDKGGEEKDESKDEQKGDEKEDKQEKSNDNQGGDN KDDKQEKAAKEKGAAGDENTGMR
I206_03719	MSDSGFELIRLDRALTGFEQSIPEITKSSIRENWDMDKIKEETK SKVDNYVTTQQQNQAKSSRLSHFSDMITGPLKSKSRSELKQQIIKKQERLNFLIENVD ILPKKIKDTERELLAATVEDFFIEGLWMKPRIKRNHPLCRKDVENSLSLSVRIPSDLT KKKWILSLRNSEDKRWNKDSYYLDLPIFPFKRDGVVQTRLANAEKSLLPTIVPRFMER ARTSILGLCDDDDDDDDTNSQVRRHRRGGYNIPKLANKVVVNSALISRITDDLENALA RRRSSGETGRGSLNDLDFKASIETDGLVEDIHLGWTSEDRGLGSFFDTEHFPPRIRIS DLVGAGSRRRRLDAASSQWSE
I206_03720	MLPIRSHPNSDRESSLNDTKVDCHATYGEDTTRIDDLKRTLFLT FSGISRKSYSDLWKKGFVNEKDLARREDVTLTLKRMLDDEEKRSEVDIERGGRVAKFV RSLFTYSDQKTIGRLESLQATILRNVKLSETRFRENHEQILNVMYNGWIKTRKYDKAT LQIADCPTQSIIESMSEDLLDVTEDFAREIMVNKILKAIEANDGNSLSEVYLRDMSLP ETVIKDWTSTRSYTDQKLDFEDNGLQRQHKCTLESDQTDCNNEKWTRPFHMLNFPFRR NSSVQKLLMEQEKRSLWPLEFGKSVLERLGSEVPSALKKASQEGDSDLKKLISASDID RIVSHMSGDILLQNKLEDLLKPTLKERESSGRTGQGTMTDLSIMVEPFKNSQGELDFT LCWSNEDQGAGYFFDAINLPNSSTRRIHPDCSDYSSLSALLKDGRC
I206_03721	MSTSTINNDPSSVPDSRLPNAEARLRALRSRNAIASRILQTDYT LFEGLAGKNVQDSVNRLNERINTSSGNMPTTESHTMPTSHQTIESLPEDELAMIQSEI QTQEGIGFRIADTIHLLPPAIENAKLETYETIVDEFCESRSDDPDTVLAMESLGVDGL ISDINEELKAEADKWSHDSIVIQYLNHVNSLPNRKAAWQRLDRGIVEEPSTGDISASP DNNHGFYLQHLEEHRGVPTESGLHVPHFPFRSGGTLQMELEKPEGSKTLSIVQNINRK VPKSLPSIANNTEFKAQILSQYMLPHYVLTRLSHSFIKHPTLNHELSEAVGKMITSRK ESGTTGQGALSDLEFKFRPHIKENGDFEIEAKLGTASYADEFDLENASLAQPFAMSGY VDLKTFEAKFVPSK
I206_03722	MPRNLEVNEDEGRESSVDPLDLIPRRPSRSTSLIPEVVIPQSRS RSTPKSRSSISNSTSRSVSPVKDSNKGPRGRGRGRGRGRGGNRSSQSKSKSKSPEKRS KIVLPLVNESDAGSHGDQQESELIDDRENVKRRRLNSQEPVLSPENKYVIVANQQSAE ESVNSDAIDTEANPGMHEVKTENQTYTEDTQPPIPTQADLPQVSPTLEDTQEDDIPNH DIPMEVAQNLPTVPVAPMQATEVLNDQTSISESLIDSSGETTKEPKDLVAADVQDSLK IENEELVEQPILEQNNTTADEDDVEAANTEKQSAVSLVDRLPQDEDMEGSRVHPDTAL EGFTEQPLEDDEKKESVDTKNAGEKLAIGSLNEDQATQAVSKEERPLKEEDVGPVAED SIVESAVAAEGADLGNRQFEQEELALEAETSAQTENMDIDEVNTTTGMVVDQEDENEE VAQSEGEMELEDFPQEDNDVEEIQAEDDAPSSRRTPTATPAPSSPAKSISTSQASTSK SVKKTASTAAKKKAEGVTGKGKKVSIPSSTNKGTGKKGTGKGKGKTKVEELKATSKAS SAIFNETSQPTTPTRPTSSYNSPNPSPDKNAVYCVCRKPYNEEDDDVLMVGCESCDNW FHPDCVGLTEDLVDALDVYICKSCERSTHQYTIYKQLCKREGCSKSVAGRSSKFCSLS CAFQHSQSLIAAISNKNTLKQLAKTFISYPEPKLGVNVLNHAQAEKPAKKSQESSVDR LSDLEKQLKKVEEARRLVVKRQKILEFAVERAENLPTINNFPEEQEEEIEEPRKKGKK KKLNGASVGGVKDDRPCGWSKRLILGDEELLSSDQNGVELDTGNGQEVDGDVCMRGKR RCDRHQGWQKTIAVQLEVELSSLERIQKRLNNYVDGIKSTNEVESFSNEIRNGFMERK GLHKA
I206_03723	MLTRSTILGSLLLANSAFAHCQLAWPFPLHSPLNPATPEAIKDY SMTSPLISDGTYPCKGFINNPSSGMGSVASLAAGSNMNYTVAGTATHGGGSCQISMSY DQGSTWNVIYSVVGGCLVDGMTTTITIPSDAPSGEALFAWGWFNRLGNREMYHNCASV TITNGGSGLNAQDYPTPFVANADVNGCKTIEGVDVVFPNPGKNVHYGGSYASTKPTTP TGFTGSNCVGPGATESSSSSTSASSSISSVQASPSSTTTSQGIAVSASVGVTVGNTPS SVSSASIVHPSDVGYSLSLGPSSTALTPNDNAAEPSSTASSSSGKTCNRSRKRSKGNG KPHQRLIRRPRAASGRVAASKAEHIVHQRKRGTGRVAALPATHNI
I206_03724	MEQIPVVGPILSAIDGESSAPGEGKRLVGYYGNWQAASYTPEMV PFDQLTHLNYAFAKITESGEVILSVPTTDTEYPFRPQNAFGDVATQQGHNLYGCLGAF FMLKKTNRNLKIMLSIGGVTYSHPFKGMGSALWRNNFVKSAVLLVENLGLDGLDISYE FPSNDHEAASYAKLLKELRNELNNLAERLDQHKGQYLLSVAAPCGPDNMKHLQVKDMD QASSLDFWNLMAYDFAGPWSTLTNHQANLYSKDANDLSVDKAVKFYKTNGVHSNKLVI GMPLYGRTFEQTGGLGQVFRGDNTVDYKTLPLHGGQVSKDTHLGASWSYDPHKKQFVS YDTPDIAMEKTEYISKHNLGGAMFWELAGDKSHKALDSIVKIVHDNIGKVEKRENELN YPESGRP
I206_03725	MTFYQVFLPPPSLAPLTLPVADRTNTYQELKFLTLSSSSDASQN LSQSQSLRNRLNRNDTTWTELSQAGLESLERSTVLGKRYERNDQLEEEQIVDHGADGS SRRENDTTVNEEVRDEESILQEAVLGKVEGARVIARDFEERRVSNRITRQSVSLLHTL PQIYPSAPQKESQEASIDTLSSTTSSFPSQLLTNPGNTSVSNLPRWSIPLHKLTSLSS LLTSTSTSKRINSRLNKLHSVIVCVLSTEPVVQRQRKVEKAKGKEGSLFIGKWIITAQ PLANEDEVTSIVRLWDGSAQEWGEKVRRGDVILLENIEVKSSTSKEPIHLSVSPHHSP KITILYRTLPRYETSHKDYIYRPRLQIGAETKGRMLLEDKMLRPDLRLGRSEAGIRKV EGISRWFANFVEGESPT
I206_03727	MVIGNLERLSDVEKLSAHVTRLLGQNPGLMTLQGTNSYLLQPPS NPHAPLILIDTSSPHTATQYIDLLMTHLHHLALESGTRETHFESAYAQASLKNLPEDK VDEVKASIVENRSKDPRHTEIELLEYGPGSGWKSTYTKERKLPNIDHIILTHRHLDHV GALPLLLKTLKEKGCNIPKIWKLPSPDEEQLALNEKDRPTSDAEIWNSLPKGTYHSFS PFQPFHPIIPGLMISIIDPVYKHLLRHDKDGRPKWNEVPEIARVSLRCLKTPGHTQDS ISLVMLEGEKGVFTGDTVLGSGTTHFSDLASYMTSLRTLLALKPNVLYPAHGPHIAKA EKAKAHLQNYITHRQQREDEILSILQKSAEGNDGKTVVDTIIEMKIQLHQKLEEENDA KGPLMFDRRKPKKLSDNPFEDERKALEKAEQDGSRPAKALPLSVLVRILYKSEDEKLL TAAGKNVVAHLVKLEQEGKVRKLTGKWCKLIAGTVGEKLEDIEVWEYIGEKEHMKVE
I206_03728	MSVTSTVSDIVDTATEHYLKLTHVRNKLNEDAGVLAEQSKGKII KTLGLDRSSKGEYRYQITTFPCCDSVAACIYTTHLDVGPNLAEEKRRDRTNCPVSGCM QGPCSWQRSVWSRDGSNDMNSQISNTCTLKDCNHQEDPTMNIIETKEFTDKDEAATYI AEKVASRLTNGREAVSAFWHRLDSGESAKWHERHPSGFSTGVSSINY
I206_03729	MSTSTDGPLGFYFDRNKRPKVEEIRENLSRDADSTYEVKTCTGC KIIKVICSSGSAATQYPKDHRTYHILDCMSKTYNSKTYHSNDSAAAAVTEKIDATLKA EPSVSLYIYNGYKKSILDFPPSVNPSKNEAYYAAEHKRQTEEGKDATEAGDPWEFEVN AEGY
I206_03730	MSSKIPQQISKRPFRIFALPLAKIPKPHSLPLSPPPNSQRTPTD EIPSSSSSTTTTTTTTSSESNLESAKTPLMLFQITQPEPSKENGPPNIASRALNKASD TWLNLGKKPKDSWTFWFYAKGEKLMDRIEYEEWGLKAIKENEGVVINKEGKIVGEKIE IPLLRPGIKGTTLPPLLPKLHRFLLHRIPYHRKMMYRSLFATPLTAPFAIIPVIPNFP FFYVLWRAWSHYRSWRGALYLENLLQNGLIVEKESKELSEVYATKPSSIESGKNENKA PDETSSQGVNLEKLSTNHVDTMNTNGGAENLSAQSKGETEGAIDGTSTPDSLLHKPSS TTTTTTTSTSTKEKEESSDKKSIQQPYPAPAPGPITSKASHPSLLLSPSQIPLLATTF NLKPLEIMDVTRAVEQADYRARTADKAKADKENEAANEAKRGDKKEDDGKKNTEWRGN LHR
I206_03731	MSRIPSGPPYTHQLPPQPPSGYYHNQPLPGQQLPPVMQHQGLPP PPGSGSGPYPTEAGMYPFEQRHPQNLPASGGQWANPPGPGPPLEPYGNAGPSSRNSSS GDPYAAYAQPARSSPSQPPLPRSQSQQVQGAVASWSSVHPTSRGGGVKLEDLVDHRSN PQPQAIPMSTQNTNAIVANESKIRPSTAGAGEAASGNTGGGKEGGGGGQQGPSDFIKK LYKMLEEETATFGKGKAAGQPRDKGGKRGSVGWGRGGTTFVVWDMNDFTTKVLPQTFR HSNFSSFVRQLNKYGFSKVSNWFDSLSTVWEFQHPSFVAGGKSDLENIKRKAVAARKT VGGDNEDTSPKAFGMSAEDASRINVMEDRIVNLEGKLQRALEDVREARVRESGMMNVL KEVISHMAASERETSGSPMSDGNFSPRILHLFKAFDSIPQSGSRSTPSTGYGPPVSAV SYPSQLSNQYNMASAPSFNPLYTNGTNQTSPRTDGTPRRGSRGSMSGPVTSGMRNVSG VPSRLGSLSGPSVPQSAPPITQQSQALPSQVAMPISATGDAVPKEEIVEPTLYTGEPL NVTPMFAETPAWLTEGNQATMPMYHRKSSDGSTLRMMYDVLSGGGMQNANGRFAEDGN PLPGSAPNGVAEVSGLETIAEQSNSVSVNGVDRTQSAAPVAGAISPPSGGSSSKSFKK SSPTSTGSGSVIKPHWATTPKILVVEDDLVYRQLSSKFLSKFGCVTETVENAQGAIEK MNKDKYDLVLMDIFFGPNMDGRKATSLIRQFDNYTPIISMTSNARPQDVDSYFQSGMN DILAKPFTKHGLFLILDKHLMHLRQAQIYEKIIPASVGVPPLSDQHVQEALAISVATL QQGNAGLLMGLNGVDSGASGTIQNVEDGMEGTDENDEVVIRNPLAGSGWSDETYQLVL QQFLTTGMMPDVNSLSTGAIGTGIIFGDSSNFNNLNNGNANNRKRPIEALNDDGTMSV MDNDWSNSNDLNQQQQQQLNGQQDFSGTNGGMGMMNGITIGFLPSNSTFLAPNGNSNS NITNPGDGSREAKRVRGVMG
I206_03732	MSKSPVVILTGASRGLGLAVLRILLSKHNARVTTLSRSISTELQ SIVKEYGQDRILPIQGDVGISEDNIRAVDETSKKWGQIDGLILNAGSIEPKRIDSVPI ESLTSYVQTNLLSTIYLVQPALPYLRKTKGKVVLISSGASTSGYQAWGLYSLAKAGMN SLARTLAAEEKDNGIAVFAIRPGMVNMQALLRNDGPATMHPDEMIKFQNAYEKGELLA PEQPGSIIAGLAISGPQELSGEYINWADERLKSFSQ
I206_03733	MSVENQIKAAAQRNDELLAILAETDYAIPDLNQQRSYISDLENQ LSKVNKIINDLDKKREKDLKDHLKYRDSVFKRFAYKASGQKEKFQDKALKEEKDYFEG LRELQLNEDTKNNLNNQLNQAKLFENNLIKIVEKHKNSQIELDKLYDSIFQGQSPNFP DEDKLEEYSNQCLQEYHNIRENLDSENQIKNLIKEASNTMRISLNHLNSAESYSTWDM WGGGSMSDMMERNELSSADRSWSKVQMLILQAKHLSPLVRDLPKVQVAGGSIMSDVFF DNIFTDMAFHDKIKQSQYEMNQAADIVEMNLQENEIRLNQLNNDIVDKSRRLEQSRKD LQSKRSDIFRQIASNF
I206_03734	MPKITANSANQKQPEQLGKSKVGNPSKDQPKLYSSFLPIPLVLP ALTPIASSSSSKPITSVKHYLYARPHTSKSPSSDEELPEGRTLFVTNLPTDAGIQDLR SIFGKWGIVEDVRIGSSDVNVLEQAVKGLPVEEDSDHEESDEAGEEEENDEEEEEKSA EPQFQGDIPNKLTKNQRRNIRKRAKNALPPSVPEIIHLPTLNPRSTEMGISGSRSCHI IYLDSVSINRLMSSTIKESIIFKNYPTESIGLNYYNELYSKLRPSFEDVKNFADSSMA RFDYLYGLLLSSRAKQKGSGALVDEDGFTVVVRSGKYGRAGSRGDGFGKGGVGVASTG FAKKLQNKKKGLGAGELKDFYKFQRNERKRQELADLRSKFESDKQKVEELKKSRRFKP Y
I206_03735	MFVFHVLQILPLIGITSATVISKKEIINKRCSFNDNKVKFEINF SDDAGFEPMDSNFNSTGKKQRGEYTAKFEWNEDDINEKDNTNNPKNYFKFYTYDDDNI DSKESGKMQCTLTANEKPGYFDCLTHAYTLQGKYNWFVSKWRHEEANLQCYLEDRDLR GEADITTSLMPLQATIDDNDDDDDDDDDDEDDDGSLWDTVLAGIPT
I206_03736	MFTPLSKGSERAQDRLWDNTNSPHRKKNRPPLLNPSDPLLPQAG PSTHLSINAKPKGKSKANDVDSSKKENADKGKGRLLSNDIREVASNLNLNIGGGHRYK RKLRRFSGVEIQNDSEEKWTKWFFRPSRKTVNGWLDSWWKRHFVLVILPCIVVWIWVS IPFPVLDPYKDDPFPFPDIPSWPKKPNKDGSDIPDSDSDGKNLPLDVNFYFFLIWYFG MYLAVALFFITNLFSLYRLNWWPSKLGGKVSYALTWSSTLLLGLSAHHLDLFGLRKRW ESNKDPVDVVDWERKTFWVTLSFVAMLMPAIACFSKLKRDKRHTYRQPVSTVSQTFLG GHLSRRFPASWLRFLWFMTCLAIACFSLIAGQAYASLYLTTLPHTSLDAGTWVYSWVI TVQLLAQISSFILSSKVRSRALLFLYKLFFQLVYHVFYRNLFARLRSPTQYATVQLLS SISVIIIFPLQMSHTYHRLLQILIGYPISWEEHVENVSTSFYCRGLAQNVTMVGFLGW LSILHFGPNQHIYPFFRFDPTPEDPYTFPLTFTASSIIWGSELISSFLARLLISLAFG VNVSQIGLDEMREYPELG
I206_03737	MRGSTQLTGLIRSTRATRTLKNVRYATSSMRMPAMSPTMTEGGI TSWKLKEGESFAAGDVLLEVETDKATIDVESQDDGVMGKIIAQAGSSKIPVGQIIAIL AEEGDDLSSISIPSDLSPPGEGSSSSSSSSEAPKEEPKKREAAETKKEESTPSSSQPS SGTQQQETGHGHKEIKHSKPMFPSVSRLLQESSLTTEQISKLKGTGKGGMLTKGDVLL ALGKVKNAFGSAEKLNLDILGPSGKRLSENKATSPKEGSAPAKKEELLDGPALRRLIL AGLSKATEPPKPVVEHSQTPPLSSDYEFDSIISPYASLLPPQKPNVNIPSADKLAAIE STGSAVSPSAKKDEWAGLF
I206_03738	MSYLNTQPNSYSPYSPGGNSEPLAFFGGTQSTSGGIGISGPSGS SPYYPGSRSSLEGNVGGIGNINSIASGNMNVNGRILSSGEGKWWEAFGTGGFEGEQSL MEELGINPSHILQKSLTVLNPLSKVDSNIMDDADLAGPFVFCFAFAFFLLLSGKPQFS YIYGVGLLGTTAIYLLLNLMSENGIDAYRTASVLGYCLLPMVGLGGIGMGIGIDSLFG YILSIISIVWCTFSASSIFVIVLRMNHQRLLVAYPIGLLYGCFALLSIFNVKK
I206_03739	MVASRLISRALARPPTPRVLKPALSRNLAAVHPVTLPSLDPETK TSVLSNGLSVSTETIPGAQTSTVGLWIDAGSRADAEGASGTAHFLEHLAFKGTKSRSQ TQLELEVENLGAHLNAYTSREQTVYYAKSFDKDVPQTVNILSDILQNSKLEESAIERE RDVILREQEEVEKQLEEVVFDHLHSVAYQGYPLGNTILGPKEHINSISKSDLQNYISK NYTADRIALVGAGSIEHDALVKLAEKNFASLPVSSNPIPLGGQAHPPTDFLGSEVRVR DDTMDTLNLAIAVEGVSWKSPDYWPMLVMQSVFGNWDRSLGASPLLSSKLSHIISSNN LANSYMSFSTSYSDSGLWGIYMVTENLMNVDDLVHFTLKEWTRMSISPSIAEVERAKQ QLKASLLLGLDGTTAIAEDIGRQLITTGKRYTPKEIERYVDSVTPEEIQRVARKYLWD KDIAIAALGRTEGLFDYNRIRADMSSMIY
I206_03740	MTHSSFFSPNNHNHFRHSHYLQPEPSLAPPSSFSKTSNGFLKKI PSVLFNKEKTPIKKKLSKASFRIHIDTSPKFRSTQIISAKPITEINSSIPTVSGDKKE KNKKPRKALADLFGWTNHHNNISQPRATSPIHEKAAYAPVVPPKDNPSMLKKLNRPTS TKSNQSNYGSLRPPVQPIITSRPSMGDDPFVRSAEGAEVVDHVHRHTAQTPSAKSIAL DRRTSDSSNKAMSYKTVSSDVHHSSEPVERLRQSIPMPTTDQRRASGQPGPAQLVDLL PRSASLGIFLGSTTAAPLSRLNESPVEAEEQPIKTLKKEKTKSRVWGLLGRNKSKKQK SADSAIGTPTLTNREPWSQPTLIQPTGSLAAHIDDKYAAATIRSNVSSMRASRRQRPP VLHISAPSADLAQALDSASPSTNSFSYTAKRSTFDEFHSSLNTTPSKYPVSSGNGGVW ESVVGTSVIRPSDEDPCRAIEALMGPARLPKRKSLTGLFGLAIKKSFDRIKPSSPRRA HRIGFSPPRIATEPALRPLSEEIEEAVKPFEDAIVPSDNFTSRFSVVDEVGQKQEPLR RDPSLRRVASATDKLFTLVSCLDFSPASSASPTIRRMESKTSFNGSPTPVRRVRSVML TSKASGSSLRPPCVNVSPLKLALHRAQAAANHKNISPPRESLVRKGIRNVFSPPSPVP PRHGKPSIPVEDFVTGRVTDEKVGMGQTMGPTIVEDRNQSTKCSLASDVPADLKALIG VTFEALEDAPSPIKTTSLGLPAPPPENRIAARRPGRAPPALSLPPVPDTSEPGVTPAS ISREQQDHIAEDIDDMLSVADNDRRNSFDFTSEYASLDLGNQRASFVEALKKVNSNPL FFSSIPPVPPLPEAASNITPTSEIVPSFHISKPSDSTSLHDDSEDEDDEGEYGDDETF EDTAVIDHVVGIAKTSPVRREPFKGQFAFQQHVATMPRHQVSHASFGAPEPVLSVPQD AVPNKAKRGHKRGESGVSIATMSSIGSVIGTGTEREYTNYFEVNFTNSQNAAHTRHHS ITETIEEVSESSPPRRATSQDSISSSVSCQAAGKPRPSTRRRHHHRRNSSIVSVESLS EIIGQNFPVGPPVSLLNGRRTSYGYISRHRRNASSESSFGRPDWAAHRRNSSSISTTA SNFSTSQLIRPGLGDRMFQLDGGVQLTSITGSPPDAGPIPSTNRSSSYSQQHQRNSSW DSLFDGTHSKIDDSLFDRFQQSSDSICDSDTSYNRSSFDGDSLFGPEQSSNQKDFFLK GLRPVSTVSTATSVSNPDDTFHNVQMYMKNVVTPVKAMAKEVQACLQANGEDVSNMTP LGKMKPNSASGRQMLGSSISRPTKPNRRRPAQLVLTEPPLETPGLTSPSASETSSRLS LDTNAASVTLGQRIRSNGAGHYRQKSSAGVKVEPTIHEMPSMATLRAKNSPPPNSIVS REPTIVGVDDLDGSEDVDRMRSVRNWVEWEREAVDEFRKTKNCWMDSEESNHALEDWK MPTTPAEIAAFLAQSSQAYKPLDQIPLGRSQVAHRRKSSLSDSRALCSPYGLPLPKPP SDVQKPKTSLTTKYQKKGSTSSTISASSAFAFAFPFPDDVPEAPSAPSTFHQPCKPVF SSNPPTSVAPSLELNSISTFCWNKGSKPNDISPLVVPDHFGVKKLLESDNKENKPKRN RVTSTVRRQALGWGRRRNSDGPEKIIGLGYNKHEVPPMPNSAVPLQMRNTNSTTVTTS NGGVKLMTKRSGGNKKEKRNMKVFQDGQDKKNTFAPSESQDQENCLNLQSSKSKLVIK GRSPTKKKALRQVVSQPRALRI
I206_03741	MSPSTPTVRDPSPSTPSDAVAPSSHSISTRVGAEDDQELRKKIS QANTIDEKIGDPSSKEYDERGIHEIEEEGQKRKVMVVMEQRTGKEILKDVGGGPYTQP RWRHSLPFVKPKHPPPPPPLSLDDAKVTPEVTANFFDKLFFNWISPMMALGSARPLQE TDLWKMDDARSAKGLAEKLRISYADRTKKANEYNARLSDPNTPLPFSKRMTYPLMPNR QKREKEYREKHGKKHASLALALNDVFGWYFMSAGVIKLFGDVCQAVTPLLIRSLIKWS TNWQLAEVTGQPKPKIGNGVGMAIGLLLLLVTSSLSIHHYFVRSMGVGVMSRAALISG IYQQALLFTQKSRGEIPNGKLVNHISTDTSRIDFAAGFAHIIWTAPIQMIVIIIILLV QIGYSALPGIAFLLIMTPLQVMFMKNLFLIRKKAMRWTDKRAKLLQEMLGGMRIVKYM AWETPFLNKVHQIRGMEIKYIRSLLVFRSGMMAFAMSLPTLAAILSFITYSATSHNLQ AATIFTVITLFQLMRMPLMMWPMTLSAVADAVNALGRLEAVFDAELITETLKIDRSQE EAVIVEHASFTWDAAPIEDDDGMMKKLQGRYGKTLGGPAVSGQKGTAEKTKADKPKKK KRMFWNKKTKKITAVDEIEAEMASGGPHDAEAGAQAVGQGAPFEVAAMNEKNEDGSHV PEQKIFQLHDIDLAIPKGSLTAIVGAIGSGKSSLLQGLMGEMRKTEGKVTFSGSTSLC AQSPWIQNATVRENILFGQPWNEERYWAAIRDACLEPDLELLEDGDGTEIGEKGINLS GGQKQRVNIARAIYFNSDIIALDDPLSALDAGVGKALFFNAIIGALNSKTRILVTHAL HFLPYVDNIIMMEDGHIGEMGTFNELKARNGAFARLIREFGNEEQHDVALETEAEAMN SSGPTHTYDRTKMVAKGTAHTLMQAEERNTGALKKGTYLQYLKAGNGVFMVPILLVAI SFAQATYVITSYWLVWWQEYKWDYGNGFYMGIYAGLGIMTAITMFFQGFSNALINYFA SVKIHKNAITRVMFAPQSFFDTTPLGRIMNRFSKDTDTIDNTLSDAMRMAIGTLSNIV GATILLAIVEPYFLIAMAVVSLLYVHNAAFYRRSSREFKRIDSILRSSLYSHFSESLS GVATIRSYGESDRFFRDNVYRMDVENRAYYLTIINQRWLGLRLDFLGSLLSFSVAIIV VCSHKVSAASGGLGLSTIITVQQSFSWLVRQIAEVENDMVGAERIMHYANELDQEAPH QIKEVQPPPTWPDEGKIEFNNVKMRYRDELPDVLKGLTLNVGANEKIGVVGRTGAGKS SIMVALFRMAELSGGSIKIDGIDVSKIGLNDLRSGISIIPQDPLLFSGTLRSNIDPFN TKNDIELYDTLRRAHLISNTPRPSTSNRLSMSNNESNSKRFSLDTLIEEEGGNLSVGE RSLVSLARALVRNTKVLILDEATASVDVETDSKIQQTIRNEFKDKTLLCIAHRLKTIL SYDRILVMADGKVEEFDTPENLFLKNGIFTEMCGKASLSLGDIRAAAALRF
I206_03742	MRSVVFLSSILLSLNQAQAQNITQTSSISFSATPISTTVPSATV ALDTPVPGQGDYPPIQYLCENGSNTTYCAGVLLQDVQLAGIFPDSKPTNGTLNDTLAA FNALGSNLTIGTVETFVEGNFKGEGLDLEQIPIEGFVQSPAILDNISDPIYKAWTSIV NSYWTLLIRETNESSICNGSCDSSLIPLNHTVVVPGGRYREIYYWDSRWIIEGLLKSE LYTYAWNLLQNMMDFIEIYGYIPNGGRKYYINRSQPPVFIQMLDAYIKVTSNTTILDR ALPLASAELEWWANNRTFNYTSPYTNQTVLVAHYAVNNSAPRPEGYVEDYETVTGTSP ALNDSAKAELYSELATGAESGWDYSSRWCKQPLLNATDNNPALRTLNVKAIIPVDLLS LLSGDHTLLANLYDLYANATGGASPGSNSTSSSGNSTDIVQNNSTGTSSTGNSSSSTS SSPAFYHRQQAQLFSDAILDLCWDPAKSWFYDFNTTSNTRSDVYTPAGAWPLWQNITP SELTNNETAALQFVSGARFLLGKYSGIPSVASLLATGLNWDFPNSWPPHLHTTIKAFE TLGRLYPNASTLSNLTVPYAQVAPGQLGVEEADLQPQPASTIGNVSLQTQEAANKPWP LALSIEYANRYLGAAFCSWYSTGGAIEGLLTQLPLSELNATGTYTAGQSGVMFEKFNA TDTDAAGGGGEYTVQVGFGWTNGVVLWAAGEYGQYLPTPTCPMIPIIEVSGNGNSSVY SNGTTVNGTGAIGNGTSGNATSSLLFAGYRIPREE
I206_03743	MTSLNLRPIASSSSSSKSNQKPIPSPSSLAPWDFSYSPSPDGHD KNLLIMFHGLGDSKIPFFNLGKQLNLPSTAILSLSAPDPIPLMDKPSFSWYKTFTSEF DLIPTENQNPTIHLTKLRSLLIKLNSTELGGWKLNEIHLFGFGQGSTISLELCLFLNK NPIENQERFGSIISICGSLLSFQSEEQNQNNKLKTPLCFFTRYNSNSKIYKKQIMNLK RVFQEIIEIHATSRNQNQEEGIEKMPENKEEWWEIMKFWGQILNRKSDDQGWKGSGEV YEVIR
I206_03744	MLFCPYCANNLTIGDREDSSDKCWICPTCPYQYIIDRQISMRTH LKRKEVDDVLGGKEAWANVDKIDTACPKCDFRKAYFRQLQIRSADEPMTTFYSSTLIA NAANVHINGEKIRPTWKMFVSARCLYII
I206_03745	MTYPDNSASSVNSLLALLRSAQDGSTPSISPSTSSQNPTQPSHR SPIQAQTSSTKPNIPSKRQLDDLLSSLNARAPTGKQERLIEPFGPVGEITSPSKPLYD PQNQYSSSSRPQSDTSADNFGRKGKQSTERNANNTPTPPKTKLKPFERINEQGYAFIS FSKALPILSELLEDDNFKIELKKMKKEQDALERRLWAKREKIKAEHEKTIQAEKEIAK IARKVVPLEKKEAWARTLSSTLSTFYLQQCLQATDGLASKQRQILIELGVPGLGDDGE KGKDRVKRIMELLEAGLEE
I206_03746	MADSATASVAAYPSSSSSSSSSSNNGLKAYHHLASGALSGLSSA IVLQPLDLLKTRLQQGGFGEEGNPTKRRRIRGVVRQVLTEDGVKGLWRGTVPTLVRNV PGVAIYFYSLSSIRHRLSSIPYFAVTVPLPNIQSPSSSSPSSPSSKSTSRSAIIKLSS GGNLMAGAIARTSVGFILSPITVIKARFESNRYANYHSIPSALVSLYRTNGIRGFFQG FTATAVRDAPYAGMYLVFYEKGKELLGRITGIPNAALHSGSGVMAAVAATLLTSPADV IKTRMQVNPAEHPSLRKAVIKVLQDRGPIGLFSGTSLRISRKAASAAIGWTVYEALLL FLRDREGNNQEQFSKLS
I206_03747	MGSIFESDSYLFDPSSTEYETSNFLSFSSFSEQLSSSLPSTWED FPSIDVKQPPPRSVSDASSPSIADQCEFVTSSFEPFTPAETADFGIFHTPTKKLANSG EDPTVFFSHRSSGSVDSWGEPITPSLSGKSFAAISGNTFTPPNSTPGFAWDETTPSFG GSTYTPPTPSYQAQPHRVTSNPIIGSSSRQPRPLHKPTSMPVLKEEEVTTQWLDGTNF LETPLEIPSAPMDVPADVNELDDFVWNFNDQTLSFDNLQTEDTIFTAFNEPTNAVPMM QSHSHTGVFPSQTPDFTFNPNSFETNFPSWISGLPATQDVVGLGQFDLNQHNQPIGLL PAFTINPTAIMGSNSGNNDDDSSRPSSAPGLNGGESSQGMLSVPTADVMTRSLSSEGF VPSRNAPPRDLFSYAPQPIPSGPPGPQPLYTSDPSPSYQQFDSFAYPRMPPTPTRSTS RKNNLSIKIHPPVHSTFVPSPYPPTPQSAGFPTSLAVAQSQPQQPIAMQRAGTQPLPQ TRRLSGAGINQPGLPPHLARAQAIVQAQQAEQQAQRDAQRREAAARRVGMFEASVMQP TETAPAARRNQPAIMGWEGPSAQTGSLPVLTHTPLVTVHPAPAQYMVTGAPIPAHRVH PPPHPAQSVPVMASQQQPRQIARLPSSPNRSRKVSNQLTPSKTTPKARTPSSASKRKA SNNGGFSFSDPFINFTADDAEKLLTGVAPSGSQSKRKREEEAAAAARSGIMIDDRSKR SRSDE
I206_03748	MATSMRGLTQYISDLRACRVRELEEKRINREMAHIRQKFKDGNL DGYQKKKYLAKVVFTYILGYKVDVGHMEAVNLISSGKYSEKQIGYLACTLLMHENSDM VRLVINSFRKDLEDHSEINNCLALHAIATLGGQEMAEALGENVYRAMISQTSTTFVRK KAALTLLRLYRKHPSVLPASEWAERIIYMMDDYDHSVVMTVTTLVTAMAQDHLEAFSG CYQKAVNRLDKIVFDQETPAEYIYYKVSNPWLQIKLLRLLQYYPPPDNQEVIDMVNGI IQAIIDTSQETPRNVQHNNAQNSVLFEAINLAIHIDPESKVCHSAGILLGRFIMAKET NVRYLGLDAMAHLAATSSSLDSVKKHQNIIIQSLKDRDISVRRRALDLLYSMCDTTNS KIIVGELVRYLQVADYNLREEMVLKIAILTERFATEYEWYVDTILQLIAAAGDHVGAE VWYRVVQLVTNNEDLQNYAAQAVYQHLQATACHENMIRVGGYILGEFGHLIANEPGCS PIEQFQALHSKVNMCTAPTRALLLTTYIKWVNLFPEIKDHLINIFERYTHVLDAELQQ RACEYLALAQRGDGDDLLSAICDEMPVFPERESALVNRLHKRGDQSHDKRTWVIGHKE DNKGREAERFKSFRKGTADSNTITADLPQQQAQPRPTPPQSQPQPQPQTQRSMSIGAD TMMGTTSSGPADDIMASLAELDLSNGGTGEGTIQDEPLLMPAPTGAAADLAQLYETAP SAQPQAEQSAQSNGVLSHKATLGGVNPALLATLTAGDHIEKWFERLTYSNEGILYEDT ELQIGVKAEYHGHLGRLALFFGNKGEATIKDFQIIIDNPIQNSVLVKFHDSPITEITG GAQIQEMLHIECKAPFAGFGLGQIPLIRLNYSIAGQNGEPKILVLKLPIVLSRFVEGV SLESGPFFERWKIIGGAPREAQQIFPIRLTSSNEVDINRNSRIVSGNRLSVLSGIDPK PENIVFAGVLHMSETGKVGILGRLEPNKEAKLCRLTIRSTNENVSSEILRLACKPLNV DVASSL
I206_03749	MSRRGFDTSLSNSKSTTKPNKPNRKNSATIPTPDPEHAISHVPT HTTSERAALDRKNSSKDVVVKDETPEEVDDDVCFICAEPITFWSVGICGHRTCHVCAV RLRTFYKKTDCTFCKTPLPSLLFSRSPSTPFPSEHHITPSPPNVIADAQAKIESLPKG KKWDEGITHPGSLDVAAFPYGDDILSVVFEDEDMMDATLLLLRFNCPYQDCPYQGTNW QSLEKHTLATHGLMICTLCRSTLSRFAHEQGLYPPHLISLHDPSKLKRGQRPPRPRGS EIELVKSWDAPHPLCEFCHQSFFGPDELFKHMRADHEECHVCRGLGDKDVYFQNYNAL EKHFNQDHFPCQQPICLEKKFVVFGTEMDLKAHTITEHSESMSSRDKAQSRQIAVDFS SDRRPGNRQQGSRGFTLAQPFDPSQRQLNKDRQEENRRRKAFATGLTREDGSSGSRVT QQAEESANPAAYASTSRDDVDEIVATRHAELLSRVSMLVSDSPTKLSSFRSAVRSYKN NESAAKDMVDTIFHVFDRDLDTTTGVVREISSLFSTDADGEKERNVLEALNAFRIEQQ EQFPSLGNVTPRGLGSNYAGITSGKILNAKKTTGRSGGTVWSRVEAAAASHPVNRPTP TTGLNGRFVPGSSARVPISSTSAFPSLSGPGPGSGSGTSSSSKANASTPWAGGSGGGT GNKTPSALAGPQIRSVNFPITSNSSKSKPLNNSAFPSLPTTSNKGLSSIEKKALFSKP TNRDESLKRITGNITPPTNGWGGGNGNNTPINLDNLDINENQLSTSSITDGNSSQNGN QGGGGKKKGKSKQLLFSVSARPSGGPSHG
I206_03750	MRIHLQLHPSQFDNPIQSSSSSSSPIIQLGGDLVLVELQGELSY EGNKSNGVVGVIGLDRPDKPTLHLGSHHLLHGKFQNLQKPYAIIRKSLNILNSNENEN DIIKVESENFENSFSSSSSSSSSSSSSEEEEEDLFEKLSNTTPLKRKKIMIDSSPNSS IYNEPLTPIDYSSELDFSSPSRSIDEFNNNNNDNDEESNDEEENIEKEEEERLNKRIK IENSFKKEKKQKQKQQLRKRKQGEIERIRSYQVIGIVKKKLVFALRPEPLVAPTILPE 
I206_03751	MSTEEAPRSVLPYPPIHADAPFVVLSDWDGTITDKDSNDYLVDN LGFGFDKRRELNLECLSGRMTFRDSFKEMLESINHPFEECKEVLKKNIKLDSGFLNFY NWCKNKKIPFVIVSSGMAPNIKSVLSTLIPEINENQNKENEIDIIANEVLFTDKEKKG KTWEIQYRHPESGFGHDKSQAILPYRNLKHKPILFFCGDGVSDLSAAKHADLLFAKVM ANGHSDLKTYCEREHIKHVPFRDFNKVLERVKDVVEGGKSVEDVLKEEGN
I206_03752	MVATTTRRPRSPSPGGEEEMSLPDDYKPYVPVAKRRAQMLSQLG GKREIKQKVKTAEEIEKEMEEELKEQAEDEERAREKARRERTLLQAAQEVKEQQALED AKKSAADKEAEKEAFLLAEMERAQKKLASAQDLAHGTVYTESLQTSWRPPHYIRSMSE EEHQAVRDKFHILTEGDGIPPPIPHFADMKVPKPILEFLKGKGIKKPTPIQIQGIPTA FAGRDMIGIAFTGSGKTLAFTLPAIMQSLEMEAKLPFVRGEGPVGLIICPSRELARQT YEGCQAMCSSLKESGKYPELRSLLCIGGINMADQGDVLNKGVHIVVATPGRLMDMLDK GKLNANNCKYLCMDEADRMIDMGFEEDVRSIMSHFKHQRQTLLFSATMPRKIQDFAQQ SLINPILVNVGRAGAANMDVIQEVEYVKQEAKMVYLLECLQKTPPPVIIFSDNKNEVD VDIQEYLLLKGVEAVAIHGSKTQEEREYAIRSFKTGAKDVMVASGVASKGLDFNEIQH VIVYTMPKEIEDYVHEIGRTGRSGKTGIATTFVNMNTSEQTLLDLKYLLMEAKQKIPE FLLSIDDPRAAQGGSLKGCPICGGLGHGLQDCPKLQEEQRRKQAANTRYDGGGY
I206_03753	MEAILDFSQDLDVGTLDQVVQAFYTSAGEQQQQAQKVLTQFQDH PDSWQRVPAILETSSSINTKYIALQILEKLVQTRWKALPADQQTGIRNFIVQATVEVS SDESRMRREKGYLNKLNLVLVQILKQAWPKDWPQFIPEICASSRTNLSLCENNMIILR LLSEEVFDFSAEQMTQAKTKALKQTMCSEFGEIFNLCNEVLEKANKPSLIKSTLETLL RFLNWIPLGYIFETQIIDYLVSRFLEQAEFRNVTLKCLSEIGALNVGPEYNSKFVTLF QVVMTSINRMVPPNTNMAAAYVSSDDEDQQLIKNLALFLTNFLHTHLRLIETPDNTEL LINAHLYLIKISTVDDREVFKICLEYWAKLVAELYEEIQSLPMSDINPLMNLNIGGLG AGLNGPHSMGLNGMPLRKNVYSDILSNLRLVMIEKMVKPEEVLIVENDEGEIVREFMK ESDTIVLYKSMREVLVYLTHLDVQDTETIMTDKLSKQIDGTEWSWNNLNTLCWAIGSI SGAMNEETEKRFLVTVIKDLLGLTEMKRGKDNKAVCASDIMYIVGQYPRFLKAHWKFL KTVVNKLFEFMHETHEGVQDMACDTFIKIAQKCRRHFVMQQAGEQEPFIDEILRTLHR ITVDLSPQQVHTFYEAVGYMIASQPNKPTQERLIEKLMELPNNAWDNLMQQAASNVDV LGNPENVKILSNILKTNVSACTSIGSFFLPQLGRIWLDMLGLYRTVSGIISDQVQQQG VIATKTPKVRSLRTIKKEILRLVETYVKKAEDLEGINTNLIPGLFDAILGDYNNNVPT ARDAEVLNVTATIVSKLGNILNPQIAPILDAVFEPTLGMINQDFSEYPEHRVGFFKLL RAINLTCFPALLEIPPHQFKLVMDSVVWAFKHTMRDIADTGLNIAYEIANNFAASSPE IANQFYQQYLLTSIGDVFYVLTDADHKSGLKMQGILLARLISLVETGLVQAPLFDPAQ VSDPSMSNATFLKGYISDLLANAFGHVQPAQISSFVNLMFENSSDPIKFKLTIRDFLI SLKEFSGDNAELYIDEKEAEAEKKAAEEREAASRVPGMLKPAQLDDDADL
I206_03754	MIKPRIPVRPIGLTPLRPIRSSLSINQQISSIRQSSSKSTDSTK PNSSSRLSSTFFLSHQRQLLNKSNSPIIRSISTTSIRNLSIPSKSFNSKYPVISIIIR LGLSSILGLIVLTSVILFHDAFTYSERHVDRVPCNPLSLKPRLGGKKNLPILEVNLDD EEDDIKKSMNKKPRLVIIGGGWGSVALLQSLNPHSYNVTLISPQTYFAFTPLLPSACV GTVEPRSLVEPLRKIIARVRGHYLMASAVDIDMAERLLEVEVPKDGGEGTMRCYVPYD KLVIACGSTTNNHGVKGLEHCYQLKTVPDAQAIRRKVMNNLELASLPTTTPEERKRLL SFVVCGGGPTGVEFAAELADMMAEDVLKYFPKLLSNEVKVTVVQSRDHILNTYSEKIS QYAEQRFARNDVDVVINARVQEVFPDKVILSIKDPKDKDAKPSSKEIEAGFVLWSTGI AMQPFTQRLVNLLPNQFHSKAVEVDNYLRVQGAPQGTVYALGDAATVKNDLINDLYGL WDKFDANKDGSLDYEEWQAMVKHIKKHYPLASKYFVKMRDVFDEFDGNKDERLSLNEV ASMFLGLSKKVTSYPATAQVASQQGKYLGGMFSKLSKQHKTLEENGIENLSDDAYYHP FDYLHLGSLAYIGNSAVFDYDGFSLAGGLLAMYAWRSIYWSEQTSMRTRMLLMLDWVK RGIFGRDLSKHGDFSDSAICSNQF
I206_03755	MPDRTPTSPRQIQIDPHLPQPVRNMSITSPTIITPTRQSLQHRN SHGGPRSYRPADDADARERQMQQDIESAMSMSRARSGSLADTSPPVVRPSAHHFPSTS PIEEGHFPMLSDAEEAEMNRARYHGGADNGSDDGHPHGRSHYDVQEEQDEHSPRDRRP DHHGHGRSHGQDDSVGGSSGYDSHSRLLGRRGSDGDQHGMSMDRQAPELRSMGGMGGI ARNRFDFAAMEEYANKEKENLFNAEGAWAIDPNNNNGNGSGEFPRRRSTLNQSRSNHG SEENSYRPGSYDTAIEPNKPNFNYDDEREHEQGVDDSMTEGPFSPPARTDRSGSIGGE QNFHRRRQRKLSQSNPVLRRQGKLALFEGFGSSSLTNGADGEVVSESTSTAFKAPRSG KNSNNAPLNSGGPNTGFIPYSDNAPGHDRPYRFSFYSNAMPVTIHARSLAELPAEGQS FEELFKGKNQSTSEQGTVGTGTPSKTGNGNGNGGSGNDTPINQQPIEPSTIASKTSML SRAIAGHQMSRDNSKNGAGGGGGNGDSSAVEEDPEQFTWWLDVLSPTDEEMRMLSKVF GIHPLTTEDILLEETREKIELFRNYYLVCFRSFDQDPYSQTYLEPLNMYIIVFREGTL SFHFRGTPHPQNVRRRIKHLKDYISVTSDWISYALIDDITDAFGPLIQSIEYEVDSID ELVLILKEAEQSDMLRRIGTCRKKVMGLLRLMGNKADVVKGLAKRCDLGNEQWLVAPK SDIGLYLSDIQDHLITMTQNLNHYEKILSRSHSNYLAQISIEMTDANNQINDVLSKLT ALGTVLIPMNLVTGLWGMNVHVPGEGVDGLKWFGGILGCLAAFAVLGAWATYKCFVVR 
I206_03756	MFKSVSPCLSDTIPHDMEKNEIYESLPPKYDEPEERSKELKRRL KELRKEVEEAKVDWYVVPSEDEHQSEEVGESDKRREWISGFTGSAGTALIPSTSNETD ALLFVDSRYWVQAGKQIVEGWKVIQVGASGGSGKDAVVGGWIEWVLKEVEDGSRIGVD PKLVSFELAEIIKTRFQSLDSATKLISLQINLVDKIHTPPERSTGPISHYPIHFSGED TVSKLERVRSKLSGELSANVASSRASKQDWIYILPALPSIAWLLNLRCPTDIPFCPVA YAYLVLTPNRCVIFIDNKKVQDENLRHDWDLAKIDIKPYGVDEVGRYVKNLTGQAEDE KKKIKVWTSRECNWALAGACSSSSVEVMPCVVDKLKGVKNATEIQGFKNAYLRDGRAM VRWMSWLETMLLQEKKKVGEWAAAQTLTRFRAKEEFFAGLAYEDISASGPNGALPHYA PKRGEDNLIDIDSTYVIDSGANYQDGTIDTTRTLYFGKSPSEEIKRAYTKVLQGHMAV SNAIFPRTMTADKLNMLARSPLYQDGMDFGHGIGHGIGTYLGVHENPMFPKNAAFEPG NITSIEPGYYKEGNWGIRIESVILCKPIQTINPDCKFGDFLSFERITQVPILTNLINY KLLTKSEINWINQHNLQVQNNLLPLLQDDQDNQVRNWLKKQCKPKRIWPWTGA
I206_03757	MASAALEPKELGTLVVVVGKAKNLVNKSRFGKQDPFCTVAIGEE KQRTKPIKRGGQHPEWDEELRFAIVEDLDDVLVRSESQPDSLHSSLNGAPPPPLKDSP SGVVTSAALASKSRKGPINKKGGKSMKVACYADDAKEPELIGDCVVNIDEVLKKGEVD EWYNINYKEKYSGEIYLELTFFSNDAPPVRRNVPRPSVHDYGAAYNSSPSGTLASAAS RTKINGNLTASGSVSGMSLYIPPYAQQGRAPSPAPNTQPQPYMQAHLPPSNSFADLGL PPGHRIDQGIPPTQPGQPAYPPHQPSLLSHDSQSSIDALTRPMSSMSIGTTYSAHSPI PAPNVPPSVSAQHHAYASHRHSIGGGSSDAPWAQRLPQSQSASSPTPHPRPMSSNDTL PWEHAQRLEQERLQAGATPVPRPTSGQGYGQLGNQLSQVPSQAGFGGHRIPSTIPESL RPGGPPQQDHHIPPRAHSFSTAAPAPIQHRYTPAPPTPAPPPPSHSAPPISPSTSTHY QIPTSSFSTLNQPIVDPQRAASPAVPQYGPPNESYHGHNTPLPPMPAQSYHTPTRTDT YPPRPQAQEYQQTPPSTQTYSTAYSQINQPAYQAAPPSGTPATYSQTPPPAQSANDSS YVPWYQQTHSTQSQGTSQQPAYPQSSSYSQAPPQSQYGQLPPSTSTYTPPPPLPQTRP PPPAPPSRPHVGYYPSDELYAQTRQSAQTPQPQQVPWQQQGHQARPSYEQNTYDAQRQ QTFSPVQASTYSPQPINQSAYQGIPAPSPPEHQTWQTTQTQYSSSQPSTSTHYNQQAT PSGQYSNTPQPQHHDYRAPSPQPPPDNYNRGPSPSPYPNPPSRVGYGRSPSPQPPNQQ YQTPPTYNAPNPPIDTGRAASPAPPIAAGAKTDWRSYMNSLATIGSGGGIIPARTPSP QPPPKDPSQQEQQQWYTPPPTLPTTIVPPEGWRSTLPAQKDGHAWRG
I206_03758	MPIHLTDLPSEILADVASYLDRKSCINFASTCELIKPIAEISIW DHLDLSLYRVNYRNSNSSIGIKRILSIRDAHAWRIGDDLSYYETTAAEEYSNLMQRLF KFSSTHPEKMKSIRRISIDIDKLLCQSFIELLKILSTTLKNLALYPPEYAFGSLSHQS QLNLHQVFQSLPFPLISLKYLRITLNENWQDTLYSTLICTPNLESIKISPASAHAGGW GRRSSFEAYDGRSWPILGNLKRIEVDEMFEQMSGMIIELLRSSTRIDYLALRDSAGCW KPTTEDELLHEIGNLNNLKYLGMSRQAYDVLVQSTGKPSIRMLSIEDPNVTYSSSLLK PHIIPPCEADILYLYGYSSITKGTTSFEWDMKNHQSNHRYSSNELIKQFQHAENLKLV LFPMDNSQEIDTDLIQCCYHTNEEILLRIGRGMIIRSYIDQNNVFTHIRTYTALHTHV STSHAHHAQEVWEDFTDLNKSPVMLGAVEHVYKTLGEQKQWIDGGRDLQAKFDAWKVL QGE
I206_03759	MTTLSEQNQVINFLDLPREILLRITSFLDFHSAVSFSTTCQAIQ PIAETRVWREIHIKQNDILGIPNDSLKIPNNSKRESQIQQDGIGEDQEQEENKNLPIS ISDTQNGICSMRSEAVLLHLNHLLNKKKWRKIYVKSFKIELRLKIPFQLLNILKNLLN LKELKIKFPNIMIDLLQFEKFPNPQIDLIEILKNLSLEEKPLYNLENLNITILKDWKF IIKLITNCFPNLKNLNINGKFLHIRNSISTNYFYNYNNKNLLIKPLINLKSLSIEEMN STFGSTLEKLINTSKLEILNLKDETMLWFPKENDLFLNKISKLKKLKKLKLSSNCFSN LCELNGWNNLEELTMIWSRNMIHSKDHNDIIIPPLPNLRKYYIEISPYSSSYNPYQNK PNSPSMLSTLLYQLPNSLLNSPKLHTIYCSSQTLFPNEGELQEEVIEWNNDNFQGIII YSYINENGEELIHCRSKNHYNNEDRLKQWMGNSSSWEEHIYYKSKPLSIRVLAGMYGI SGMTITNTSPGRGLYMDKKGWDLLKTWERQDESWY
I206_03760	MRGLPISNEKSLLPSTTSLTEEDLLNTNYNVNNKNKQNWLKRNS KNVGLFIGLFLVLQQFIISPFLNDKDFEKGERKSTCQQLDAIYPKSFNVSSLVIGEKE KIINWLSDAVKINTETYDDFGEVGEDNRWDKFYKFTEYLEKSFPLVHKHLKRTPLYTH AAIYEWEGSDPSLKPLLLTGHSDTVPVLPDTRASWKYDPFAGYFDGDYIWGRGSSDDK SGVIGVLSAVELLIKSGKFKPTRTLLLGFGNDEEIAGNGALAISKYIEEKYGRDSIAI LVDEGGNLEEAFGQTFAAPAVAEKGSFNIEIRVETKGGHSSVPPPHTGIGYISQLITA LEDHPHQPYLPVTSPLVNYITCAADKAPGIPKNLKKAANKLTDSLTKANGKFDKKALK AIEDWFVSGSVEDGTFQQGLGRAWVSTTQAVDIIHGGLKVNALPESVTAVVNQRINIA SSIAELKQQFTDAIAPTARRLNLSIEAFGEEVDTFAGPSAGKVILAGAKIGLEPSPIT PYTLEDPAWRIFSGTAKGVYATRPEAYLSEEEASKEIITVPAVGTGNTDTRQYWNLTK NIYRFAWKNDRNKNHHNIHTVDEAIRADDFVEEIRWLLNFIVNVDEARDV
I206_03761	MTRLTIIPLLAALLAALFFFMGSADASKGPVITNKVYFDVEHGG KPLGRVVMGLYGKTVPKTVENFRALCTGKDAEGAELGYGYEGSSFHRIIKSFMRVSKI QGGDFTRGDGTGGKSIYGNKFADENFKLRHTGPGVLSMANAGRDTNGSQFFICTVKTS WLDGKHVVFGQVLEGMDVVYAMEGVKTGRGDKPAEPVTIAASGELPIEHETDSEGNQS EDDVVPSLEEAPAPSEDEVDEYNLDEPEFEAYPTLSSPSKYLIFFAFFVIFPVGAGVY FYGGGKERVAKWRDTKGKGYAKVENERV
I206_03762	MDESDPLYTFFPESLNSSKPETILYEALNIQSSATNEEIRKAYR RLALQYHPDKHQSKSENDKEELSKKFQKIGFAYSVLSDEKSKKRYDLTGKTSDKFEGI QDGEGGWEGYFESLFKRVDRKILDEDKERYQGSEEEKSDIISSYNSTKGSFDSILNYI PHSTYQDEERLKNIINDLILNGNLNKTDKWEKSSKDLKLKEKRKKLGEKQAKEAEKQA KELGIWNEFYGNGIKGSRKSDKSSTNKEKQQEEEEGLGALILKRQRERENDLNNLEEK YKKLEEERLSKKSKKSKGKKVIEQENIGNMPDISDADFEALQAKMFAKKDKPQSNGKK VKSK
I206_03763	MNIGNISEIMQGIIEPDPYLQYQPTFAYTLPIQLLVIGITFTLL CVLLIHLLFTTQYHYPLAPLNYILQLLSILTVLISVVIKIVVVLQHTAKSADIWPYDL DYVAVTIPPSNWNTGRCAAWFFLQALNNGLSNITHIQFLTMLYPSRTEARLIMFVLGP LAIASSALVFSALSPHQTVLDISDAIRNVFNSTLLLIFTISLVIWGFFVNRRRAWRFD GGTAVFGVGSLLLAAISTSFNFVAVAEDGIDWLQHLLFAAVLWQIWLGWWWWVGSGMG IGEVEDIMERAERKKRKAAKAAARARSAAAGGSSNGNRLRATSFSGIADNITSGVTSI LKSSRVGSAGGTLTRRSTNRQNNDNHLAEEGAIELNEINNNSNDRSSPQGNGQQHVEF DQIAIGENEGSLSRGRNNTSGPGQTSTTSETSSTSATPSLHPPKTIGQFFSFPTTLLV IYLRKLRKAHEEAAKQQALDRAARRQKVFHESSPLGGNTPPINGAGGGGGGGGAVKDT TPIGSRGGSRRNSNAVRYERGIDNTGEDIGWGLGKFGIREHEESARRLKQAGERLNED RLLPNASNPGEMENQNKPIQGQQEEEEVEKLNDNVNEPQEIDLGQIQIPTSTPRDEGE WEDIDSSNTSSEPNARRNGRGLRRNRFNRNNQGNNGNGNGNGNGNANSGSGWSWWGPL RDFRLNDRSNF
I206_03764	MSNQAGHNYTPEEQAAAWAAYYAQQGYTQDQINAALGTSTSSNS TSSYGYGVGSSNQDYAAAAPGQFQNVQENDFFQQSTAYDPSISQDLLIGNASGSATAL GSNKNNNEKKKVEIPSQGQKKETVIRKGNGKVWEDQSLVDWDPKWFRLFVGDVSNDVN ERTLDEAFGKYESYCKCKVVRDRLSLKAKYGFIAFKDPEDFLKAWKEMDGKYVGNRPI RLSKIKDDKYGKIDTVQIGNKKARQLEKIRKNKGKPLDGRPTPW
I206_03765	MSGHPDVDVPSLKVAELKEELTKRGLETKGLKKDLADRLQAFQE SQHADKSTSQTETILPESTGNGYVIAQEAVKDNEGVGRTMVDGYPENTSTKHHASLPT EEIKDQINTEPAALDEEVAKVVAQEESKDVLSPTPSPPKSLSPLPKTEDGVGKVMVDG YPENELSKHHQTPSAGKDVINTEPKALDKDLAKFVAEEESKDVLTPTPSPPKRLSPLP VSEIEEDMQIIENEEDLIKNGESSKKRSRSRSITPSRSNKVKRIKFDLPERLSHIKEI PSSVLYINNLKRPLLHSTLYEYLKPCSKPKIDIPKNSKMPFVSSEYKGIWLSGVKSHA YVTYSSIEESIKIAEKIENKKWPEDTGDRLKIHFIPENQLHELIEKEEKAWENGRQKL DLKVYKSEENDNDDDNNQEWIYELISSGGLGKILPPPSSSSSKSGLPIIGREIPSGPR AIPLTGVNSIQPSRNSHDIPLRGQGVGIRGRANLQPNLQRGDRRYDKEIMKNGTGEGL RGWSDERDKERKQKEVLRMRPTKYRPRLFWKKGPGAIEGS
I206_03766	MSSNANPTEPPPPYGQVGATRPNVSVTNPQGEVRSASSDAVQNR PSHQRNPSNASDVSDLTDEDDLKLDDKEIEMRRSMDDEQRDLPEGWVRCFDPKEQHAF YVDKKTERATWLHPYDDPEYLKTLPKDHPAHPESKEGQAVRKQSEDEKTFQEKYKNTK DVKVAKSTTQGQGEKGIVIGGEERNWFQKKKDKMIGTKEERAKAKEEKRKARAVMERQ MREREVAYQKRRQELIQQRLNDPSISRMYASDPYEYSAPSTPFMRGGGLYSSPYGYGY GGGYGRRYGGMGGMGMGGMGMGAPLLMGGGAGLLGGLMLGDAMSGGFGGGYGGGFGGG DFGGGGGFGGGGGGMGC
I206_03767	MSTNPLDLGTPIGEHAEHPNLIVESQGRDRSVSGSESPTPTLAA TNGTTGHQQPLNEKTTHHHNHHRSPSLKSHKSHHSEKHAQHAGLPVTSNEKNLERTTS ARYGEAGMVPISRQSSTAPAVGLFGGVAPTGPDAEEGLRPMRSREEEDERDAEREKAG PDPWAVKFEPGEKLNPKNWGVGYRWGLTGIAGLLVLNSTFASSSPSGIIQDMEVYFGF SQEVATLTIALFVAGYCIGPILWGPLSESYGRRPIFIVTFVVYTGMQVGCALSKNTAS ILIFRLLGGIFASAPLTNSGAMIADIWDGDHRGQAMSLFALAPFAGPSIGPIVAGAIS VTGTDWRWVFWILTIFAGVCLVVIVTLVPETYAPKILATKAKKLRKDTQEERWYAPLE RADNRWRARLYDILAKPFVILALEPMLLAVTMYMSFVYGLVYLLFEAYPFVFVYNHGF NALQNGLCFLGFFTGGVIAVILFVTIIEPRYQRYAKRVAPLPPAPEKRLELCVISGWS LVIAMFWFGWTSYSSIHWISPVIAGGLIGVGTLGMFVSLFNYIIDVYLWSAASALAGA TIVRSLFGAAFPLFATQMYTKLGTQWASSLIGFLALVMAPIPIVLMIYGPKLRARSKF SPNKVAH
I206_03768	MGLFDYFNNDDSGNQEVYNIDPNNEEHKSKLSHELIGGAAGFEA MKAYENHLRTEGKPANHAFAKELVAGFAAAEVDKLFETKGLDAYDREEAKRHAKSQAI EALNQSGEY
I206_03769	MATRTTIRPIGVPTALHTDFTSNMVSGKRKSMSTNKVIEEDEPD KKKRKPATKKQSNFKPQPVPTTHSSDTPPDVDDDLHLLPPPSERKIARRRESTREIRE RHDSPTPSGMASTSSTSNNKRSKISRGRSKSIDPIRAAVNTSDDPLGGVEETENMKST NSTTSAVRVDGLNIPNAQPSRPSGPRPRSPPRRTFSASQTPVSTSAHPMGPPKGSFVR KTRQSMGLSRVAELSKESVVPVMESETPIIRKNQELRGQQARRSSLDHRGGRASSSWG RGEITMPHKNVDSKLFYRHIPVSYPEPIKARMLLVWCANRAMEESLRVPSSSKRDKGK GRAKSEDGRTEEGDKMLKEIMDEFVREMNRGGVDTSVFGVPGQESTVSGLMPHPRNVS NRKVEAAANADIRKFKAEEAQWSALVSMTKTKQSDILDKLRKSAVATKAPDMSKAEPW MRDALNLAEDIIAQGDSELESKGEFEDVEFKIDTLHQTSHVALQYVLQSSRFLDGIFS SLTSDLRARDRLGDGSNELPENSNEEGPDTVSLLSKTTISASSSSSKFSSTKKMDPMN LLRLLAEKESEGQDEKILEKAMSIPPVTLSSGSGSSTNTTSATPRRPTNTNTPGRPTG LLQTPRSRRGLTPGQQGE
I206_03770	MPNLALLIFLVVLLTQIVSWVGQTVLQEITFSIYSKIFLSKASK EQSKLRKQVLKDKAELGSTSSQDEFAKWAKIRRRLDKGLSDLENLNTQITSSKSSFTT KFKTSLWVLTSGSKFILVWWFRKKPVFWLPEGWVPYPIAWLISFPSAPLGSVSSGAWS TVCTRVLVSLEEIVKALIAPSIPAGPIPTAPIPSTNEKKGAKIEPITLEHEKLD
I206_03771	MSAYPLPQIQKPAPEFAGTAVKEGSFEEIKLADYKGKWTVLVFY PMDFTFVCPTEILAFNAALPQFAAIGAEVICASTDSEFTHLAWSQTNRKEGGLGPDLK LTLLADRNHAAAKAYGVLLPEEGIALRGTFFIDPKGTLRAMHVHDLPVGRSVEESIRL IKAFQFTDEHGEVCPAGWEEGKDTIDTSNKSKYFEKQ
I206_03772	MSMAYSTNISRMAQSARTFCSTCQILAIPSKLGESSRSVKIDRP RIKKGIFSSRLDPSSNDNKDQTSTTSRNAVRRGNRNIIDSVLSIKGSKQDVRSPKPGR SRRPIQMEETKAQVTAVALRKTERTLSEEHKMESIPTQELNLNLKTHFIGFDLQTSLA LIFSTKLPVYKSTLFNIRCISFQKLPIRKLPPYPINESEIWKFERKCIYFTILSSKNS VSKLAVERNRCKRRFKSALNNIINGKDIEEIEQKKLLNNQYAYIASLTSKMYDAPFDQ IQFEILNGLRYLQKAHLKSRITNDSLPLPKYIVREQVEVGTVPDSQEKESKVLENVF
I206_03773	MSTSTTTRPTYSTHPTATTIASSSSFNSDYHSNATTLTAITIPD IGDSGSHKKPRLEPILDSVETEEPTEMDERYADEKPRRISMDLSKKPSVRDRASQFEQ QQQTSSSSYTPRGRPLPEPFEMNRTRSTSPLRITRKISSNLITPPQVRRIPVPSTAPS GKLSTQRRTYEFENEPSISSDRLPPARLERGSGSAKKIIQQWESLPNTPVSHRVPTST PMGIGTRVMSKEYLDKKPLPIPRANPIPSSSSNNAGLNYPSTSYAKSSYAPSPLQNQH LRTPTQPNRKRAATLSPSNSSYSLSPSPSGEKRKRNGGRSPLKDMLNKFGGGIQAIGR KAKGKNKEKTFSRSDSFGWEDNGFSTEERLGTNGLPGGIVFSDRMGEEEMGISSEKTP SSNSNVVRTSAAMYLIPTPCSSVSAWGSWLSSWVTLTPTTMHITYCPIFQNPSSGHST PRRVLSGTNPSQSTPAVPFNQIPQPQEGIQPDVEMAMKDCVEVRSLRRDEVRGRGIPP VPEGVGTEVLEMVWSDGSKRYIGVEGVAGRLGWVSAIWDVLLACKSTQTPALPPPSPF VQPTTLSRNSTATLPPIPGSVNNPPSPFSSIDGRGGQTDFQARLRALESRSSGAGSSA PPVQKMGDTWVAGSALGIPTSDIDLPNPLVNSPRKQSLGSLGEKKQDSVGLRDSVQRM FDLGPDPDLTLERPKTVLLSPGQVSQIRSPSRHSNEMSERIRAWSNHGHDQSTQSSFS RNNSNKSTQSSLEASKSLDLPFTQPEIEGVLYGKSETILSFDPNDLNPSRSASQVRRP ASTVLARDEQSTKRYGMMIDQPILEESSITTTDTSERENNENDTEIFLSKPPLTHISH ITFPKPAIAGISMTNGQPHLGIINSGGSTTSSNETRPSTEMLTPESRPFSSMTNTTTL TSLLESSVMSKLDNHSNDHVDLTKQINGVEYGLKEIITSLNGFIKEIKGNEVNLPQNL DNKLDSLGLDMKNIENTLQLSNLANKGLPNVESDSKMNEVNEKLDKIANLCEQVLSQR STAGFGVDVPFVAEVGDIVKDLPGKTTSHIRNELVASPSEEEKSAGQEVAQIMADLTG GSSKNSPRLVGLQVLHNISAPSSPKVEPSSTLTPPNDLRSPSILSNKGQSISKLNGNL PEDVTQQVGQVLNLVTELKEARTLQTQQTTDIARYLNELNGWLEKFVLNSSGELSNLS KRLNILVGSSVDENSSLTSNSNGNGDNQNQPGLPDLVADLHSMMSEQKKRNDSEGSVG QRLDSLLSIMGEERERASVQQNTVEQVVSILERQRNDNEMLLRAVATDLTAEIRGERM RFIEAMQQATSVNVSMHVEEFKKLLSTEVNRSMAELGQMREEKKVLEQQISDLFALMA KHGGKGKKNSSSLIVPPSPGGMQQMLASPVYSQGQGRGLPLPPH
I206_03774	MVMSDEKALLPSSSIQGGNSPSKPGWFNRNRKSLTLVLGLFLIL QHFIISPYLLDLWEDASYDSNVTRKSTCEQAEAIYPKSFDVSSVVEGQKDRLVNWLSG AVKIPTEIFDVMGEIGEDDRWDVFYKFADYLETSYPLVHQHLKRTRVVTHALIFEWEG SDPSLKPLLLTGHQDVVPVLPATRGLWTHDPFGGEYDGEVIWGRGATDDKSGVIGALS AIELLIESGKFTPARTVILAFGIDEETGGKVGAYHLGQWIEEKYGKDSISILVDEGNG LAEAWGQLFAAPAVGEKGYMDLELKVETLGGHSSVPPPHTGIGYISLLIAAIEKHPHK PHLNIESPLVNFLACGADSAPKFPGHLKKSIRKVEDSLLSKHGKVDRKALKEVEDWWV EGSYLDGTLPKGMGNAMVGTTQAVDIINGGLKVNALPESVVAIVNHRISLASSVAELQ QQLIDVISPVAHKLNLEVEAFGNDIQYHDCHMHQDLSGPKVGKVILNVAFNSSLDPAP VSPFTVDSPAWRLLSGTVKGVYATRPEAKLSAEEAEKTIIMAPSISTGNTDTKRYWNL TRNIYRFAYQEGKGNRFNNVHTVDEFLPADMLVEEVRWFANFIVNVDESREI
I206_03775	MTSNQSTFRRPTNLSIRPPSPPTQPSSSYIPQQIGSGYITPTNL TNPYRDLYSPRRTALPPSPTHSNVSYASKGSSSGLGVGNREDPLPPPLPSKNDKKLPT LPNQSPHKYSIGGYPPGHGQKGWATGAYDNKLVSSTLSHLPPLPPVLPVPISSSSSSL HPTSSSPHLHPSPRPSISNQSSSGIVIYPDGTPLADHHNNHHHQNDLSGRIAESMTVA HTSPSSPWSLLTVHVLPLFAGSALKTPIEDLNHLCHSHIVATSQRYPPSRIVSLLTAD LREFIASGMLTLKAKFETLEEGKVVSRAAEVWIFFWAQVLPYVEGVFLPFTQLRDLPT SSSSSYLTALSSSIPVRHLLLSGFLLHILLPLLPRLLPQITDPPLSPTPNSYPPPTTQ ELNKILQMSLVLSTQARYSTFIGTSGQEARDEEVRENVEGLGKAVRWKLQQNEIDSQS PINNNDLNLPMKSSPNKVTTNTGGLQRGPSLSQSGRYRRKGWRASANLGLLSLNHFNE GIMSRQNSGDLLNNNQNNMVRNRLDEEDEDFEGDLPLPPRIRNTTNDSLNTMNGGSIV STLNGGSTITGGSTMGISSTIGASSTITGGSTIRGESLASYGETPSASILPTSSGGIR HIPGNQEGEMMYGRQVRRGRAESEGSEVNSARTGGRI
I206_03776	MSVGAKQYKAIGDDIWKRTDKVNAELFTLTYGALVVQLIKDYED YGEVNKQLEKMGYNIGIRLIEDFLARTGLQRCQSFAETAEVISKVAFRTFLGISPSLS FPPPSNNNQNQNLPQPNEFILTFDENPLAEFAELPRDAREGGLWFSNVLCGVIRGSLE MIQMQVETRFLSDVLRGDDSTEMYVRLVKVLEEEQPENDE
I206_03777	MASSEVSELFDLDQSFSPIAHSHESSHPFRSPDYEGPFHNSEAE MEAGPSRFSPSSPNFWSDESPPNIRLRSRSRSRSGSNLLPILTSPYNSDNILTSPLSS TTSGALPLPSNRRRNLEALDIQQGDTRGLSEILTERRNGRNQHNTQNDFASGSQVVPR RRSLIEIQDDSDDDIVFTGENINPNPPLVQTTSHPREDETRRDRIVRATRRPSPNRFR RMMDEIDELVQQRGEAHDAIINDQQDERRRAILSPPAPLPPPGTRPRIGLGGGGLYRR GQVTRPLTGVADRNERHQDYRDIPDLNMVENVVEPGILQRIMAARRIRDGSGIIAGGA EGLELGLGFGQLAGWNLGGLAGGGPADIGLGLRREEDVQTILSKVSAPKYPEPDKGFT TDFDMDNISNKEPIELDDDGNIIKRNKVKPSIICISCSSKLLVSSAYKSEEDRIWVLR CGHLIEQKCLNRIQTPTTNSEILSIIKQADNDLLGDNHMSKRRKNAKRKVKKVEIKQP DEYTYRCPIEGCGKKHKSIFVGEKWMSKDGEGAIAAYA
I206_03778	MSLESLTIYPLDGYSFIERESQPDEDNSVTARLKRLEDQNDESG TRRSVEAIMLVTSHGFPHVLVLQVANAFYKLPGGYLDPLETDSAGLIARLNEQLGVPF SPSGKRGDWKVGDLLTTWWRPNYDTFYYPYIPVHISQPKECKKLYLVELPKNKTFAVP VNMKLHAIPVYEFYDNAARYGPQFSGIPYVLSKYDIYNKESNGVEIDIKSEAKNDAKN GDQDQK
I206_03779	MKTTSAIIAASALAGSAYAQANVESIAQTALGYLSTGCITTVLN LTSSSSPLNQCLDGNLLGQIVTSNASIIAPVGTYLDTFCSSQPCSNQTLTAASQQIIS GCASDLTKFGITNQTVEWAIAQYPLARDVLCLKTTNPYVGNSTTNATSSAMNTTSLTN SSSSTNSSSNGTFCATSLLTELQSYLGEDLTPTNIATIALGGNSTGLDKIKSIPPTAL CDECIFGALSLVEEQYPQLGNITVVGNYTLNQFLETTCNKTTSGSSGFIISNNGTLPT NITESAINSTLTPQHINATSPQTNLTSLTTLLMPSASASGVKASVTSELSAVTASAPK LASAASSLIARAAVTDVKKRWIGQQ
I206_03780	MPPTSIEFTPKHSQPFTLEEAMGLEIETLVTEIKRLENSIKHLK KTQIELKSYINSEDGNEEEGEGEIELAYKENENTISSQSERITIIKLALINKLGSDAR LEHYGLSIDNNNNNNNNNSKPLPASNENTISQQQIRNEESLSIDQIPREIVQLQGGGS AGTSNQEQPVNEDVGLHL
I206_03781	MPLPPLLSSNLPLPTGTYGVGYIPISHKSISPFEYSHPTLNETD KPALKLNDIGYSVFYPTDLKGRTKGISWVPEPFWGVIKGYELFLSGKTGQSNSRSMKF LANTIGYIAGRLRIPVHPYAPLLPPQSKKYPLVIFSHGLAGTRHTYSQFCAGLASEGY VVLAVEHKDGSGPAVCLPSIEGEEETGKEGKILHYIRQSDLKWAKGEDKSLTHFRTLQ LDIRSREIYETYHNFKNLINNNHAEFIIPEIGNKEKEKDLIKKKEWIESLNDKVDYED LRLTGHSFGGGTVLHILQTTNPSSELPSLPIKQVIALDPWLEPIPLPSSSTKSHSSMP PILVINSVGFTEWSTHFKRLVNMIKDAQGSLVTVGMVGHQSFSDFPLLDPRSHNSAKN LLNKIHDLSNAFLSSKLSSLIDLKDKLPDQGNISKNQDGKLLGGDKEGEIIVHLIGKE 
I206_03782	MPLIGDPLLASLLYGASVTFDLKAATQTVAAIASVATATTVNTT QIVQEQKDDQMGMDSTMPRNLYLAFSGLLLLCMIISHPRFLARLFASLPTSSSQPKKK DQVIIYPYSKQSIESLRPKREVSGGDLSQGWFLRKGRNSRIEISSPISTPDTSSKKDP FNSAFAYDEKSLSSATLDSSSYSNPKYYPPPHIIPLLNHLPFSSTFQFVTPFSILPSH LKSHLTLPQLYLIVGYLILIAFALVWKSDLSPASKDKGYGSDWMRSGLVATAQIPLTI ALGVRGNIIGLCVGKGYERLKVYHKIVGRVVFLASTIHGAAYMYKWTVAGKFSAYAAK PFAMWGLLAYFALVLIVVTSLPWVRKAWHGVFEVCHFVGIVGLLVGLALHVAVAIPFC VAAMIIYLISIFFSLTKTRIAHAELQALPGAGTTVITIPGLKTGWRAGQHVRIRVPAL GLLKAFESHPFTIASAPDGEGLVLMCKRAGDWTNQLYELAQRSSDFEDRAEGGRNQAT IIIEGPYGGLGNTLITSFSSVVLIAGGSGITQSLSLAEDLISKSPTGVVRANTIDLIW MVKNEDNVKPFLTNLLKLINQAKQLEIECLESLKKGENLIKFNNSISLRIKIFITRCP ASSPLNLLSNYFDEEFKIEEEEEIFIKHKSIDELKKNNYLSKSDSTKNLKQKNFLPLS SISIKPIKPNFDILINSIIDENSIGLRKRKINSSSSSGILITCCGPFNLIENVRNTIK NVNHQKKLDCGGIEFEDEHFGF
I206_03783	MFCIPPHLHEEIFPSRHNPTSIDGDRAEQKEVPYSKSSLVLGVS PHQPLTFVQSYAVEKQEQILCKSVKDYEGLLPVYEKSKSIILVGMIYNLTTTQTIPTE DVDDDELNVSVIVQTRRGHRHSTLADEPQPKSRKRFLFPLFLSILALTIITIQQTSLI SFKNNLPSPLYSYFSNHQNLDLTHPIDPSFISNCHSLLIPPEGTHINRLNKLSKILPK NKIWISEPSPSAFYFLNGFSKDEWFLSERPFLIIISSSSSSLSSSSNEKKISLLVPSF EKLRANLIVNNLPKEVREKINWIEWKEDQSPYEILNKYLENTDVDEFILDDNVREFIA HDLRSILKHDENGLQDEIRLIREKKNDWEIGLLRCANQFTLHAIRKTRERMYFGITES QTSKILEEEMSKTGLIGGEGLVLFGEDAALPHGSGTDRRLTKEDLILIDAGGKWGEYV SDITRTFALPDSEIPKSHIELWETVRKAQKAPYELLLSKNGSLTFGELDKSAREVVTQ WKNKEAKLDKLEEDDDIDFSIFTHRLGHGIGLEGHESPYVIQGSKGNKQIEKGNVFSL EPGIYLPLNEKKVNGLNGIGVRLEDCFALSRNEDGQWEGEWLSGPVDHWGDI
I206_03784	MWSTSLSLLALLGSTLAIPNPKISSIRRPGWRPNARRRDLPTDS TDTSSSSPTTTAPKHNIWNSLSNDEAADVIGFLHSRDDLNLTAVEDAGAWDNTILVVD LLAPNKTDALSYMDGEGEKPDRWAIASMLFGATEEPYAQDWVVGPIPITNNSVYYPYT FGTHAPDAKIRVYDIDDHYSLFTDTALEMKDVINDLLNATIENDDDLGSTFDIWGIDP LWHETDENGNDRVIDWVGFWRIPETLQMNHGSINFDGETLLPQGLYMQFDITGRDSSK WFLMGILYGDEYYQSVDEFRSAWKASSFEKYTPNMPGKWINTDQTGKKLCLEEEAPPM SVQPGGQRFKVDEDNKYVEWMDFTFYLTFTRDTGMRLYDIRYKGQRIIYELGLQEAIA HYAGNDPVQSGTSYMDTYYGFGPYAFSQVPGYDMPLYAYCVNTSFHASEISRSHRCGI SIFESDLNHPIQRHSTSSYVSVTKGIALTVRSISTVGNYDYNFDYNFYMDGTIETIVR ASGYIQSAYYAKNDEYGYHIHDGLSGSMHDHALNYKVDFDILGTNNTLVKHVIEPITK EYKWFNGTRNTMHMVRKEVESEDDGKMNWSANSQEQVIVVNKDAPNKYGEPRGYKIMP SRGGAGMHLTITNSTNLLNSAGFATHAYYVTKQKDSELRASNAWNDYDTANPLVNFDK FFDGENLVQEDLVMWFNLGMHHVPHTGDLPNTVFTTAQGGMVLTPHNYLLSDPSRQSS QQIRIDYDDGEVGDVYTFDSQQATGQVDLTQISWDPTTYGGDVAVRKFPYDPQNPYAD TESIV
I206_03785	MPKAAPSDARIARAVLHAPYPLPFVITRPPQDLTHRTTSPTRSV PNFPTNGISQLHARIPVHPASASGGGVGTDFPTFAGQDDWRQSEPAFPPIPYPLISPT EKKNQKRLKKLLLLKMKKMSEDTSSEWELFSQLSGLDNSNKQMLDLSKLVGKISADGE LDEDDPFQDEDNLLIYSFLSSYQKGHFNSPDSDPPEPVTPTQATFIQHQRNQSFQEHK VSSVLEESGIDYMPGNLNNIQPSEVSYKGRTSRTPTPFLEAAPIRHGIDEEGPAFDVV SDAVSSFWSDGTDDEAVNEEEMGDTRGSLTNLVTPSASASQTLHQTRKARTRIPDKPL FLGQTNQHPFTFVPLPKKAASQSSISDVRDILGGGYTSEATSVRTIRQISRSKVNKLR MRDREKQVKRERSNTPTQRDYQVPPPRARTLTPYPSVGSPVPSIRHTSGQTLDFPVQN ANIIGPTMFHQPMANFTPPQPSMFGLDQFLFAPIAPLLRTSEVLSSPPSDPQNRLFPP RRENSWSPQPMSVDPRTAETQLNPTGSGLSWPHELPNREQVTPSNTSTTFLKQIQEHI NSSDADVPMPTALSRLSQNLYRQRQRCPSVLSNQDDKFPDEISPLPIGKACHNALYLR KLASKRKKKGNFDARQKRLKELVKQAKMEKRKIEAQAGNFTTSSLLTNMQQTQHQVNA PWPLNASNQNINHNANMQAQAQAQAQAQAHQLFGNMMMQFAAQAQQANQSQLTSQQPM PYNIGMPLGFYPHFNSLPWGMNVSQPNPYPFMQQQQQQENGFSNSSAQQAQPVPLQSQ TSFPRQTTLPFNFPTPGATPHTTAPISAYHQTYQPPFTPKSPENMNLVHLDHKPDKKR RREISPTSANELANMRKIKSPVIKPDLLPLNQNQYQPEKRLMSPFQSQSQNQIKIKDE NNVDIKPLWNNSIFNFDFNTLRSNNEFDEEEEEIKDKVERNYKWLEDNRIRVGSLGLG LNKDKGKGKEVMR
I206_03786	MIPFTPPTLYVRIPTSTPPSESSTACSPQSSRSSSPNSFSLKSS HLTYPMSSSNKRFRTRPIQGLLILSILLVISLPLLHYRREEVKGYFKSEYIKTDISQK HIIPPSGGISDHLKIPLTLEARLNYLLSKPALWQWEMELQNRHQCPFYTFSRNTYFFH DGKPEQWEKIGPTEIRRYRSKMIDYLRTVEREGGNLVWDDSMEKDIPIEDRRGIILTG GEGKTLERLKISLHMLRNVLHTTLPIEVYHFPDELQDANERADLVQEYDVRLKEVNGK APDGKNWLIKNSAFLASNFTEFVYMDSDNIPLIDPRTLFDSIEYQQSGSVFWADLNKD HPDNAIFRIVGKTCTDEHWPAEAGQMLFDKRGNNGLNLAVLHLSNHMMTNPDMYGFLS YGDKDTFRFSFYALGLPYQQAPKIFATTGGYQTQNGESSLDFCGHSMIQWGLTPLNSK HDPLYHPPPAFLHTILAKHRKNLQSNKLFSHLKKPRLDGISDFLLIRTLYEFTGDCFS LTLKGPDGSFNSENSMMDGQGVDILLLKDILGNGKVWKELEILSEKFVKINQD
I206_03787	MAPSISQVFSAIIHKPRYLALLILIPLAGLLYHQWSDNYVQSPR PIKLTGGTTHSPWEGLADLVEDQDELGTWNPGGVGDWLNWKQDKRRALLVTGGAGQLG QSLIPSLVDEYIIHVIDIAPRPTSLPTSVVYHRGSILPSSSALSDLFASTSFNGVIHL AAISLESWCAPKENECHEVNVEGTKQILDQIGDTLTEKRKRLWNRQVKTPWIILGSSM EVYPQEQGVSEVSGKNPTSALGRTKLGAEIALQDAVRNSSLDGFEGEAMINGMILRFP EVYGYPQSQSVPHAFIPSLLTNALTSLPIQFSSDIPSLDLLHVDDAIKGILKAISRIE DQSRLGVRSEGGVEEFNLVYGQRWQTQDIVELVRTEAHSMSPIRDIGSATSPQMPNFS NGRAKDILEWEPEISAPVGLGVALQTLSEDIAEYSRTWHQNHCSPNADFPSEDNVLSD HFVEDLRNKDLTKLDGCTVNLGFDHEGWLHHVKCEDGKHCSADGEKVTAMNWNQSVFI VHKAADYNKKERTVRVMFEEEKGMGYLGYRKTKEDEIGLELFEKDDSEGQIEFDIEVN RHGSFLRLLIPDTGRQIHALSNSTDSSTWFTLEPTTRWIDPHFDMRMNVLCCPSEGDW PLLLDDYESADIRFGSTGQIPFNSSRRLHLCGQAEKAIMHNFDRLSAAKQAVNKVENG QEAHAWESDHGVNTKSEPHSWALKDLPACYNDCNSPAICIQTGDCKCVQADHCQPRRE NSILSLNPIASPEISSDTAKSQLGSLAGYSPILLNAVSKTDWRDILLPSAREALRINP DFVKVHVADGYKGQDTIEASDCHKLQTKHCFSADSIMYKALRHMQVPAEEAELIIVPV YQQCKGTEFLLHDAMHHALENIPGTKTGEKTIALVLTHDWGICVAFAWEIWSAREQPL YPDWILDNVLVWSVMGDYDSSCYRSHQDIVIPARTCQSINLKEHFSEVRHIKPIRERE HLLTWSGTHWGTGKSDRLRLTCDRGGAGEKELIKGKGPQSNFENWDYMNDLINARFCP QPRGIAGWSPRLNDAIYSGCIPILIAEGTHYPFINFLDWSKFSIRILPTELDRIELIL NSIPLWKLEEMQSNLITIREAFIYSTDENPQDELNRKGPLFFALHEASLKIRTKYPVK ED
I206_03788	MTSITPQKVNRISAQTTCDEKISTPTRRSTISPSSPMNSNKIIA PGNTPQNTPISKSIHPINKRSTLPLPTSGKPINAASAILGRGVTNGNNGQHAHAVTPG NTLNSLEQITNRLADSLGGISDGENTGNKIAPTYERNFKAGLGDLHRRLSSPEITKDQ TSPIMSPTAPSSLISARHSGQTPLDNEWPSSFSDLDVASSSITSQTIRTPSRRRKSPE FEQK
I206_03789	MAGKDLIGILRTPYLSVPLGSQLLDNLYRGIENTKYLITFWNSE IRQIQGSLGSHFPLGWAFCCQPPIIDLNLQLNIKDTDFKACIEIKNKTGITVFDVFHY LHKCLDNNLPRKIREQYPKNIQNTLTWYDYLRLDDKSQKSRVISTIETIDFERSKDPY SKEMILKLGLSRITF
I206_03790	MAVPTPPTTASTSSITFEQWRSPPPKETPLAEPASLPGGGLLSP KSIPSESPSIAQIQMSKNDLLHPSFLPPCEHGQDDGDMHAHAFDLLSLSGNAQTSSRP PMPASKSTTNAVPSSVPAHTTSFLSASRPPVVNGNGNTNGLEKKENGNGSAPKARRMS SSTTGVLGRGKLGLTGTTEGGLGLGGMGKDIRSGSYNDSRPIPNRRPTISGSPAPQLF DFAKTDRRPSAPSVTSRTASAVPASLPARSHIPHTMNNEEDDIELDMEFDGDEEDDGE IKGGSDRSGSADIEMDEDDDGEISKLAIGTGSGGIKGRRKGMVFKCETCKKEYRHPSC LVKHRWEHSPHWKEPTALSMSKHQQVQMLEAAAILAHLDPRQLPGRSLPNDKSLWPAI LEGKAAGRPRSSSRAMRDPSILRSPPSSVIAPLTPSSLRDPMSLGTLAEQPNGKERKS SPGSDSTTSSMGAGEPYIPAHQNGLSIRNSNGYINGNVNGHGISSPRISKPMGINQDR RSSNGNINGTPHSIGSLPDMSGLNFHSGTPTSLGMSPIPKRTTRLMGGGMFGNVHTAV PSSSVRSGAADLPEEEEEDDVENDWSNVRGKSSSAEPMKRNGSPGEGEGEGWNGMAME MEL
I206_03793	MSINADAGPSRPTTIPRKPSAPHPSTSSAILVLGKRKIPPPLPN KPFRSSHPSASIHPNGKAPTFPLKTLQGISKTRSESTKDGYGREVIFVTRKTGLGMLL GRCRSLVIDEGYSSLRFHAIGAAIPQCLLLLHSLLDILPYPKGERGMWYEIKTGSVEC IDEISQRGKKSNDEKEGEEEGLEWLTDIGGVEEDKPERKSRIKSTIQIDLHISPKPRS TTSVNPDTNANGLKDQANDIAKMTKKSKRNRPSKAKRQQLANKRSAEKHNKEAMNDEE EMMNLQNEQANESEEEIEEVEM
I206_03794	MLLTAHPHRSQLDTLTQNLEHEVQAHGGEVTSDNSHSQSHRLTS PSPESIRGSLLHYRTSVSRTRSTPTRSRRPQNTPAPLSLRHRIRTHNSFPAGRTISSI SNGNSPAALVPYPFIGIETSSSSTFIMSRPTPSSARPTHIPMPPNNMTGFSPSGTPLA TPPIGGAAASPASPRHSFLGFMRTRGRSNTLNNVNQTQQPPLSPSLERTAPESRNTSR EGSQAPTSREPLTVGGIVTRSISTPLSGGGLNISTGIPTPNGVSAIPETTSSTSARST LPSQPPAADVTTTRNAVASSTSSRTYRIRLVPNLESTRSLAFDPVIREMLPIVVPAGV SPSVAAQSVSSVGPSVNGRPPALLLKVGRFTDKSNLPAPGNSANGTSNTAGSGATMGP NSSSRNGGSASLTIAGGGGDMTSSKVAFKSKVVSRSHAEIWCEEGGKFFIRDTSSSSG TFLNHIRLSSPNTVSRPTMINDGDVLQLGVDYQGGAEEMFRCVKMRVEIGREWQRGAN EFNTNALKQLKVYGGSATADQKKTEGAPSKKARASVTDCCICLFSVTVCQSLFIAPCS HVFHYKCIRPLLDKHYPGFSCPLCRTFANLEEDVETEDAWEIASRRASIISRKPSNHS IRLPSSTADAGPSSSPSAPNGQPSTNIGLGGANSASVTDLLNPGETETGESEETEGMI GSSALARQATAVAPGHSDVEMEVPEIVQEESELDETAHEGVPITNGRNGENDIGVLDA QTPMNEHFLSTLAIGDNAGIAQRFDLADEIVGNGTPTTASAIGSNNNSHSGSTRASQE EGRNAMYT
I206_03795	MDTQAQLQHPWTNDGFVGTPDLMDNNENNDYFSFNPSTTNYNIN TQGQTEFTPHHSLTHPGYPIIFPQSQSPFVHPQSTNTYNNGFQIYHNNSEREITSINS NGQLRSSTSNMSLRSHRSTSSASSQISGYTWSTAQSEFEKDLDDNTSLSTSINISPSL ISINQNEKHNKVPSLSIDPKLFRNSNQTKKEDETEMLETAKPKTPKSKKEELLGESPY KKQIKTRKERTKKCNTGNNGNIGIGRDESGGGKKVSHARKQTADHIPRPRNAFILFRK HVVDSKLIPASVEMRHQNVSIITAKMWSEAPKDQKAHFNELAKIEKEEHMKKYPGYRY QPVYRRTNVIRRRVRKDEAEEQKCKSVAELLIKGKSGEDLENEIKDKIRKGEKEIIIP EILEKKEEKPNSRRSSAACELSKGALRALRAQARQQSGSNESGNWSDISSSIRDRSES IGPRKLNSRRQSYAFEFEEEADFDLKDRTPDEIDQESNDNLFNNNNEQTMLGYGLAPS QIQSFAQQQQQIGNDSWSEIQQFDQINFDKNEEIQFHHFTSNKSIYDIEFQQQQQQQQ QQQEQQRQQHQQQHCQGEFKYPSNISVPDQFSIHQPQTSFSSNSDPSTSINSSFLYPQ PIENRQSDLHIQLPNTSINNNDFYTYDTALPFSPSMENFAFQHQQFDRGNDQTQMSIT NDQISDSINEGENNHSNGIYEFKLPNNSNTNHIFNTNAEDLGIHPDQNQFFNVNFESN KWNNDHDHNNNFSSLLPPSSGVPLENLPFDDHLILNDFEAALAHADESGNSNPAEGW
I206_03796	MAFQSPLISIPRKTTQDVDWTNPIRSIIAQSYGEDPKNYVEECS NLQRCRQDAVRGAGSDQTARDLLYKYFGQLELLELRFAEIKVPFIWNDAFTDKPTTQT SLAFEKASIIHLISSVLSALAQTPSRSDPEGLKRAYYNTRASAGMLTYINENFLHAPS TDLSREVVQLCIGIMTAQATEIFTEKLIEEKKSAALISRSANSTAGMYSTALEEMKEF QGKGIFDRNWLYVLQIKSKLFASLTQYYKGVSDNAGGKHGISLVRFRLADTLAKEASQ QAQSFNYGFVASSTPSLPHDAATSLMEITKSHQTICQEIKDQSVKDNDLIYHEVLPSE AALPAIEKLPATNPITIQEIYGNPEITKLIGPDIFIKLIPLAVHESASIYSEEKAKLV RNEVERVELSQGELNAGLDHLGLPSVVNQWRNILDDESSNNNDVDISSQVRRLAEDIS RGGSIETSLRQLDGERERCERELRELSGLLDNASRECERMRAKYTPQFTQSPSGPQTA NLRSNIAANLSALSSAGQSDSHLNTIWREIQPQIRLLSSGESQLENAAAQVAIGKATA PVNQGISLLDLQEDVVLKSGLAEAEKEELQKAISEASERLDRLGKISRERDEVLKDLK EKVQNDDVSNLLLLNRRSTGVEPQLFAAELEKFRPYQSRLAAAIQASSSILTELEMLV RQVEKGKGVKELQRSNKDRSKRVREWERKLIEAGEGWAEIQAGLSKGLTYYDSLNSAL EELRREVTSFVRSRESERNRLVGEIETRQRIGGSPPPSSNVGGARSLEERLAALSVDR SSSGYSQPSQKPSTPSFPPPPPQVSTPSAFPPPPPAKPTNPYDFSNLSSIPSAFSTAT SPSPPPTQSHASYGSSSYAYKPPQQASPYPAPPQQQSNPYPAPLSQPPRPAQTSYGSY GSSSQASYSSSPHQSSYQTPPAHQQYSSAPPQPPSQPSYPSQPPPPSQARYPSHPPSN QASYPSYAPPATQAQPQHGYYPPPPSQRPAYSSPPPQQPQQQYPNYPPANGQYQPPPP QQGGQYPGYQPQGGYQYR
I206_03797	MAASSSSGRIPQGEVIMDFADGGQYIKHKLENAVLEIERRKITK EEGIKAQKAMEALDVKPNGTTGVSNGVKSEDVDFIVSQVGCTKEEATEALKAEKGDLV KALIKSVQPRPRARSVDGGAEVKK
I206_03798	MYGDLALQLVTASHRSTLSTTPQLPLPKYALPLILSICLETRQL GQSITTAAEQYGQISLSQDKSLVCNLTVQHLSARRNKRCLLTYLSTRINGIKERWWDS GGSLAYLLSNEILGDNNNNNNELENDFEKEISNDKDLKNNLSPQEIDFLKGYNNLMLD YKSDFLDILDLNSNLNKPPKELMIDIRVIKDAGEIFLENGEKVDFRKGERFRLNRSNI ERLIVQGFLEEV
I206_03799	MQSLRSASTLARGVRTFASSTRAQAGYEDTIKNILINKDTKVLV QGFTGKTGTFHAQQAIDYGTRMIGGTNPKKAGQTHLGLPVFGSVRDAVKEAQPDASVI YVPPPFAADAIIEAIENEIKLIVTITEGIPQRDQIRIYNALKSQNKSRMIGGNCPGII SEGCKMGIMPGHIFKQGKIGVVSRSGTLTYEAVNQTTLAGLGQSLTVGIGGDPFPGTQ HIDVVKVLLSDPRTEGIVLIGEIGGSMEEEAAEYLEQHNKNSSNPKPVVAFIAGRTAP PGRRMGHAGAIISGGKGAASDKVAALEKAGAIIAKSPAQIGDLMLKAMKDAGKA
I206_03800	MGKSQSKLSADDLADLQKNTYFDKKELQQWYKGFLKDCPSGQLN KEEFKKIYKQFFPFGDPSQFADYVFNVFDEDKSGTIEFKEFICALSVTSRGRLDEKLK WAFQLYDINQDGFITYDEMLQIVRSIYKMTGQMVQLPEDEDTPEKRVDKIFRNMDLNK DAKLTYEEFKEGSKQDPTIVQALSLYDGLV
I206_03801	MFLTTFSSLAILLALPLTILANPISSFKFKKDENVVRLFTDEYT SLDFNQPSSLGSNLPGNKGLTYDLNFIDYDKAEFILKNYGSLIAGTESEISSDDGTPW MDGRLPISTQQCTVTIDGPINHLIKLAIPQSGVNLEADKDGAHIECTEPV
I206_03802	MVALTHPNIVDGWFREINDQWPGQAMTLKVKEILHTEKSLYQDV LVFESETFGNVLVLDGVIQCTERDEFSYQEMMAHLPLASHPNPENVLVVGGGDGGVIR EVLKHKSVKKVTLVDIDEAVIRVSKQWLPIMSQCYSDSRVEVFIGDGFKFLPEHKNEY DAIITDSSDPVGPAEALFKAPYFQLLKEALKEDGHISTQAESLWCHLPLIKELKETCT KLFPVAKWGYTTIPTYPAGQIGIMVCSKDASRDVTVPLRAVPDTKYYNSDIHRAAFVI PEFGRAMLEDGVNIMPKFSGVRPGPASNQTTKKKVLLLGSGLVAPPAAEYITKHNHEL TVACRTFATAEKLCANLPNATPMSVDVGSPDALRQAIKGHDVVVSLVPYTYHASVMEA ALQEKAHVVTTSYVNPQMKALHQKFVDAGLICFNEIGVDPGVDHLWAIKTIDEVHKAG GKIKSFYSFCGGLPEPAASDNALGYKFSWSPVGVLMALNNDGKFYKDGKVAEVAGKDL MASAKPYYFTPAYNLVAYPNRDSSVFKEFYGLKDVENLVRGTMRFAGFCEVITAWKEM GLLDDTPRDDLAKDAGSITWLELIAKSVGVEAKEATVVEKLKSLKSFEKDSKILIGKF RQLGLFSSEKVSPRGSIMRSLSALLEEKCQFQEGEVDIVLLQHTFEIVNADGTEQTIT STLEAYGDRNGGHSAMARLVGVPCGVAVQFILEGALTTPGVLQPYDEPTCKLFRDRLE KEENITMIEKVI
I206_03803	MSSDLVERSIRRRSSSSSDDSSEWSPHGTPTPIRHIPFNNDKSK LQSGKIIIQKPPSFSIPTNNKKRISSSSLKIYRAGSNSWEDTYVETSENDNENEELEE NIISNVEINLESSNPFGGEMSNTGNENEGDEIDMFLYEDIQNIPSSPEVFWNRNGNGK GRDRKGKKRARQDDEDNSIDDGDDEMETEGILSVKQKGKRPIKYLKQALTYPNEKSSA LGSNFSVVRNICNKMNGLHASSTVIAMNVNVPTQPSSNDYPQEKHSDLLAYEKATRQA GAGVNFDHAQSQKLRHKRLLRKRGQHKRCFEQLTASDDPLIALPVFRNWNHNTKVKSE SSPSTSTMDISDAEEVDQEMQSLTDIESNSTAGGHGHSSDIDMKSVSSLTPTIKSMNS SPKKVRNSTQLDKSHLLDSVEIALKKHGCRRFRPSHAFAERVPGQSTAQLHRTSPSSL LPARKYMTRSHKPINITANHKSRSRRSQVNTVSCLMGQTLISMLRAELSARQSRRSAM LAYNARTSNGIWRMRIGNWWRCDQIRKSTEQWWLSVKGPDEVEVEALLSDSMITQDEV MLSPSSSPKILVQAKKRVVQEVTEELMLGSEVEERWARRNELRSQELAPYLLNLRLQA QAKRAEERRARVEVIRRRLAEIAEQRRLEEAQQREEVRLAEEERRRLEAVRVEQEEQQ RLRVIAAHAHAQNQAQAEQQLPPMPGSPVQSESSTVLSDPPEYELPMFPIISARPIPR SRRIMVAPDVLPDYVPDRWNNRSPPPPPPYNARTDCQTLIAPIFIEDEDENSFSDSEN EDEGNNEEALISPMILARSRRGISPELRVIGSFPSRQLFQPTPIPARSRLIDPIRSFE NAIELEEGIEEETDDVEGHLDSANSNEDTLEDVEEDIVPGSRVAGVFQRVFGLVWGGS RR
I206_03804	MSKDPTEVEDCDLHDGNCDLIVYKYGHPSPHAVMTKNSFLSEGY EDDRGLHHKVVISRRGYRNPEGGELAIVDADPTDIPGIYSSGRKDYRYFMNNTPVQVG PDYLHFVPIVTGTDTPVIENGAVSVSESHVVRLQHLNDHSTSSGTIYLKPDDHYHLPR SSLRITRVGCSTFCYLFDAEAEKNAPHGYSEVAGDLFDVGNLPLSGDIHKYQFNLSSE YLPELNSLVSGQNSCQFDIRGHFNFHDIDGTVVGDVWTDLLGPSGEYEYKTKATEDDD EGMTLSVHRRGVSSLCDVEFSPRYGGDLRSDTMSFTYKADGKTINTRNFSCYFTLV
I206_03805	MSEQVHESVNPSESDMRIDEQLRDNRESSWGAPRFVGTLHPGGS MEVDRDIEVDPEDDSRSSGRTLVHRPSSAMVAEIYHCRNTDKPGVYNVEKAFEYSVEP QSDNLICVGSTKGLTFHPSNRQFSRDQRSEDSAGIEHIALQIGADSDSKTVYLGLNGK YSLPASNSGLGENAVITRIGHTDYCHFEENINSAEGDEDLVQIIDTSLANEARHVDLI TRYWKCQLGQLSSSTQRDARHTIKGSLKWYGESFTQGDEDLNIPNLPAHGTYGIRLEI KDYREIEFDIRRRGHSNFCDVTLKGRSPRGVHVFDEFTCEADGEPCESVYMTLKLDPV V
I206_03806	MSSQSQDIEITETDNREFDLQVQISAINYNGNDTGLEPITLGRL TTEGVFTFGKDTNRHGHLKAFHVLHKSGRSAESTVVRYECDPIDANRQYSCHLKEEIA LDECFYVEDQIITFRKSFTGDTHQSRDMETEHGLIALKNYERPNLGIIYLKPNNRYSC PFYEFEPRRQVQRIGHSEYCFLTQTDTGSDGVDRTVNHVINMMVKPVVWAATQNHLEL SKVSLHDLGSTSALAPAGYRKVFTWKFGPLLIHENDDKRELPDLDMNNIPYLEENGYH FWKIETDDKEQTITKITRSSGDFCDLFLCQLAEDGIDSGESKILDEFTCRADGMNYES SYLQLEGLQSVYLSNDERARAI
I206_03807	MVSRSLARLIHQSPFCQVSLSSSSCTKPLSLPPLRYHILKEPLP YPVGLKLQNDIINTRLKAKSKDPIGSKGRGDVILMLEHTPTYTTGRRDNSPNPNELHP EEKKVQNVGAEFYITKRGGQVTYHGPGQLVGYPILDLNVMETSTRCYVEYLQAMLAEY ARDDLGIQGVLAPHPEGHVGVFSSPTEKFASIGIHLSHRITSHGFAMNITPQPIKWFD LVMACGLADVHATSLHQLLLRDKSEPKLPTVNDVAQAMIPKFGELFSRDIIPLQQQSS ENKEISQITELVTAAEEEAKRLNEGKGWPEEPDLSRGQG
I206_03808	MSTSIGSSFVLPSRDSSGYQHPGPLPTPPPLHDQTTSAAPEAEP SVVRRPTLHLPTLHLGSFDTLSISGTAPLPQSRHFSQTSSHSSSNSLLREESVGKDRA EEAGSGKFSRKQQLDGTSQLLGVEPTRSPSHSSGSSSHRLHKAYNIPPPLPPPTMALP PLPNLSPISPIKPPSPSYLQSTMFQPQSSSSSSSSVGQQLPGESRRELPTVPARNRLL GHSLSIHVPGPLTPSPSDQEGNRIPSPILKHSPGVARRRTVVESTNTDLGSPVRVSGF TGNMSPPRDLDSSPTRNSDHKSPPIERGSILMPQPRPSPGVEQKASFETTPRRLEKKR SSADLKNTSPTSPTAKRSLPRPPRIDTSLPTQPSVSDLTARLPQSTSLSQTALPSNIA TAPHSQAEQQQNVLESQHAPIPVNAQSSLALPVTAPVPSSSWPPLNVNRSPTTAKASP LQTQTKQTPKPTIYQKHQANKSASSLPVVAGLADAGPFAGAFARPIQTGGVIAGNGLG LGRPSNQIINGQARGPSGKPQEEVCLECMMRDRDLADVVVQGEGVWERESDGDWKDLE WREEAILKSMGAQEDDATYKELFRAADESSEDSDSTSFSPPSTGNSAEEAQLRKDLDA RRKRRSLLKAKKREADRRISKEVGWRGHKWEEGDSGEGLPRGFRGTKGGKLTEAGIKG VMTKFPSASAHRYQTLQGYLRQQWHLVQEVRAEAQRLGRFPFPDEVVNSSSTFSSHEG PSAPRGIQATALYQREQYGRDGVYTPVRSTPTLSVVRPSPSTPANLTGLANPPRAAPL QRPLTHFLPDREPSLGAPRTPIYASPIAVSTRSRHGKQGSSPGLLERSPAPGTCDESN EELWSPGDEPGAGLRPFSFAVRAGATAGSDGHGGRKSLWGRFGGSVTSLFGGSQNGSG SMMDMHLGLDSDRRSRTSSYNVAPHPRAVSMASPTRPSFFSRDSRCSSDINVSEMPRV SRAISHSRLSQMRLDDDDEDDDEADERPKRKGIKGFFKKMKPKSSKSKSRSQGTAGTE GQYRTERNEQPQPDTPLAPPPPISYLVGGNRKHARNRSGSSSSMLTDDQDSTSGNKRY SANLPYGMRSVSAPMNGAASSSGGSLSASPTNSKFATSGPGKRESYASGRRRTSFGVN EMGEQQQFGPTDQDRRHSGMEMLNNNLNKGIGIYSTSPDMIYDEPSSMYRSNNMGYPN ANLGSAGSRPHNKTTSSLSNSSGTMAIETPPPAIFNSSAFFNQQSTQQPNVAMNEKAG SMTRSPSGPISPNRFKNLPPLPPQDNAGNKKSMTASPDSFVAAFPDQEVTLTGNHDPK YLSSFGYPTPQSSAKIDFSASTPIGSPNSIRFNQQQYNHVGYPQPQLHVNTQNLNQQF GSAHARAMANGRASFDQPSPRARVGGGNERAVKTMYGQPMMEDLRPAHDYGNVINETK KKKGLKGFFGSNKAGRIA
I206_03809	MGLSQPVGYLYWYTTSIIIGILTIINFSTKFYKFLTRPNKNAKS SSKALTITNQEVESPLSTSPNSSIEKPSKENDIEEQMEKHRLGRLNRSIRTFSIVIGK YFYLSGLAIPRKTRRYTLYGKVRKIHRKVYSTPDLVWTWGYTLGFLILCFYGTGWDTL TWCNQAAWLAVAQVPMIIALAGKNNLISFLTGIPYDRLNYIHRASAKLCLLGVWIHAG GHWRLTHGWNAEIWSKTISKWGFTGTFAITLLSVLSLPYFRRKLFEFFLVMHIVLVAL MLAAFVMHWRAMDVWIYPGAALWAADRIIRVLRLILLNKLWIRPSLSASEIPSKATIS LLTPSTLLVRFTSPSEHLNWSAGHHFYVVMPGMSRLPWEAHPFTASTIPSKPGQGTEA GELAFIVRVRDGFTKRMKDKIDEQKKEKGLSIDDTLDVEVTAAVEGPYGQKSDMSGYE GVLMFSGGSGISFAVSNLLQILREIKEGRSRVKFISIVWMVKSRLHLEWITPLLRDHV NDLPPDLSINIHVHVTRHLLPKLSLTHNTLPDVPNGDFEAYLKQRANRPRRRSRFMST FSWASWTGGSVFKFGGTEAGTRRGSLMPSESGRSEAAKSEAHSEVSNGSKGSDGSRQK HQRRLFGSFSSSNFGGPSKNNNRRRSSHAPSGSESEGEGPIKNNREKRRPSIPSFNWT DGYADNTVFGGNNQEPNMQQIKRDSLVPYAVPETQELEIVLKEPTPVDGVRSIAVMPE ALTIDTPPEEIRRGERVSIAPIRDIGPDEIDPFDYRPPSPTTPPLAAIGRRDSRGSIT WHKDSFSSIQLPPHGTPPRQRQISISEDLPIPRQKRVSISEEAPIARTGPPKNFPLPQ SRSGSLSNSLPNTPNSSTFNLGERKRSIPLLYGLAAKRDSIHHRRDSIHHRRDSLPFQ AAESIRPSSPKPSSILMPTPQIADRSTAKSLLTSGGGGIPKRPSMLNTVQANGFLNAP EMSRSSTVSSAASMASFTTPSPVSPIQLNLPEDISRPETPSMAFKQITELTDTSASEL RKSISVINQIQDPKERKQSMAASSMGLAELELRKKSSEGLEGLIRWHEGRADIKGSIK DIIDSVQSHTQLSSKQLEGVNEIEKRGGRVNVSCCGPISLLEAVKDGVKDEMNSKEVW KGGVVVEFHAETFGW
I206_03810	MFKPFYYLLITLGSSYVVSADASDEPQTTSPTEQPNGLYIQSSK GYSAQNLVEDEIKWEVEGGEAALLNFHDNDGYGHPRQSFRVTTPESQYKCSAVIAKAA EDRYYFNLTDVPRCSSSSTCHGDDWLVICWEDVTTLLQG
I206_03811	MFVKVFVCLLATLSTTSLATSIDTSTPDSIDIAHTEVDSVQHSV RADQELDPGSVQIYGADGVTANVDGYTLNSSSMTKVVKFNADHKKGLIDVVPVRWQNT VRCTLEMGPGFNVTYSFRLTEITENPSLPYTKEVEENGDTLSITCDLSVYMKREP
I206_03812	MMLKAIICLLATFPIITLAEPVETPDTIISSSLTQENTASLSAR AADHAGVVLVYGSSDVSAFIDGEDFFNDVDNHNFTFDSDHKNYFFDVTSDSWYDNVTC ALGMGPGFNLSYDFQLDDLDISRIPYTKEVKENNNTLSIVCYSNGTKVSEPEISQ
I206_03813	MPPRKSAAPASSAKPASKAAKPASKAASASKPVSSAKPASRRGG AIKEATPATVPEPIAENGDLPNGTEKDKEPKRTRKATSPPAVDGPVAKKGRVGQLVAE VKRGRRRSSLGTSFNNELPTIPPTASPHNALFIWGTGDMGQFGLGPDELDEIARPKLH SWFEEEIEDGKLSRDGKEGSGGLEAVACGGMHTLAIDEAGRVRSWGINDNAALGRITT NVTDPNNPDSVIPNEDLETVPLVVESLEKEGFRAVKIAAGDSVSVAISDKGELRAWGS FRSNEGVLGFDGVPGHPTFQFTPISLPVFSKIKISDVSCGTDHVLALTTTGHVYVWGN GQQNQLGRRVIERRIKNSLEPERLGLRNIVLVAAGSFHSFAVDIFGIVWAWGLNTFHQ TGLEGRYAEQEMIITPQQVEALNPENHNGSKVVQISGGEHHSLFLFDNGEVWGVGRSD ANELGLSEDHIAFEGIKERRTKTQEEREDRVSERQKKLDAFLQSNKVDESLKEKLEME LGEAQAALRVPMGEYVPEPVRICFPPIPESYEVVPPFPAYKDSKSTDNPIANISAGTR HNLAVSKSGHVYAWGLGNQAQLGLGADTESAEVPSLVRSKLLRPYSAKIASAGGQHCV LLATKKDE
I206_03814	MLETSPAPPVTMIPTSLTPSPQHAPSSYHSSRPSTSSLPRSYKR VKSTPSPQSPILHHQTSMSGSSGQPGPSRAKPRATVARRLIEASPQARRLDGGRRREM SVPLLSRELSHFSLSHSSPPESNHGNSTTTPLATQPFLTSPAQVIQLSRPASFIGQAK TPSSAAVIKDHTYPSTAPLPQVSSFLGSPFSTKTARGRYVYHDVSPSTNANKIAVTER IGGRDSFDESGLEWLENNDGLPLPPRRGSKEGKQTMVEGLPQGMGGSGRLRRGTKGST SSMDELSGSGASTASLLSLARNSMEQHSRPNIITVWDEVTYRLDEEDEGAPTPSRQLF ANIDSHMPLQMSSKASKPLRTSDQQVKTAARPFLPRSAGGKPQQSCKSSGSSSVEKID QDKQAFSPSKTGRSPLSNFLPRLLKKVSQQSLRRSFIEPGSEDMLTDTEESTSTKGSL REKTKTLWSRTRSITSARNPMEGGDHESSLGAGIGLGIRRGMPLNKYQRHADTGIDLS RSLSSDSSGDVETSPSRPFSARSSSSLFVKPSLPVAPSFDAKASDRSAFLARRKSVTG QNNNTPDHHLSNGLRSARDRPVLRRGITAPATHSASSTSHLSVESAFNTPTHILFGDI KPSPAAFASTGLVKKKSGLPRVEIPKFGGGTESEPVGEVKREQAAIQHGMLRTLELPS PISPIKPVHYPRPGLTATSTSTSISSSSATASTTSSNAAYIQIAQKTRGLRRKGSQMF NASGSIGSVDMMRSDSNRSARGGISPATPTKPGLQITPMGLGVTTPSPTGHHILYPFA STTSLSTPPHSSVYAPLDTVEPSSIERYNMPARVRQITNSHASDRGPLARASNPMLAN GFKASASIHTVPESPVQCPVPSINLFNGPHKARSKRETTRLEKDFTVIQTLGSGAFSQ VLKVCERSSGKLYAVKAGKPYTGVKNRLRQLEEVSILRQLSLTPHENVITYIDSWESA SRLFIRTSLSECGDLSKFLGLLGDFGGLGEERVWKSLIELASGLTHIHENNFLHLDLK PSNILINRDGGLVIADLGMAVICSNDSKGQILEGLSPALPEKDDQGGFIWQTSASTGA ATPDEKERRSIDLIPSPIIDREFEGDREYLCPEALSGQTIGKGADIFSLGMVVLEAAV NVVLPSNGEGWLKLRHDDFSDLNEHYRLRSLTNSFTPTSTDEMTDASIPLLSNELIQV VKGMMKSDSSQRWELEDIWENRIVKKIIGGKRGKALVEEEQGWLDNVLKQ
I206_03815	MVNQAERFNTDHSNQGKQFNPEDSKITWIGDRWCCDSDPEGATE GSEPSKNKNQNQIVAQSRRTHDGNNHEVIVSQVGKNNSYNIKYIIEDRGAPLRSRLDS FYVEGSRLPFYFDGPEDTHRIRIDFPNDGKS
I206_03816	MDGEPPQEEDFSQIPLVERSQHKNWKARVSAYTDVISKSAKTAS DTDPFFRPFVSDGALLKKWCLDANAVAQEKGIEAVLAIAQYSGETSAKIRPDVVPAIV DKALGSARAGTKKKGMDLCAMFVEVENSGEGVMEDVMAGFNAKLPKTVAGSITCLKEI IESFGVPALGNIKPLLKSLSKIFGHSDKNVRAEGTTLTLVLYTYLGPALLPALADLKP VQMTELQKSFDSMDAEGKGAGTGKATRFTRKTQRDREAAEASGGGDDDGDAQEEIAAA PIDPKSLLDPVDVLALFPGDLMDRLGSTKWKDRLESLEECTKVLTQPQNASISDKNVD SYGPLAQILGTKCKSDANINVVIEASKVIEGLAKGMGRSFGRFRSTIMPGVLDRLKER KVNVTDALGKALDALFLTTPFADMVEDILNSLKSKNPQVKEGTLKFLHRSLQTTTEAP GKDQIKPIAQALVALLGDSTEPVRTTAAECLGTMMKILGERVFNPFIEGVGELQMAKV KDAFGRAEIKYKAGGAPKAAPKPVGSAKTAPATVKKVPKPAPSASTRPPPTAATSSSK PPSKPVAAQTKTAAASSSKSGPSKSLATSPSEPVKYRYSPEEAASIAADTIPAEYHTK LADGAWKIRLEAAEEMVKWIGEEDGAEKVNSEVMMRFLGKTPGWGEKNFQVSAKLYQV MGLMAEKSPTFGKPSAAFVIGHLTDKLGDMKLKKPAGDALTIFAEKTSLAFVLAQGYE PMTKQKAPKAQADSLTWIKQQLIDFGIAGIPLKDLISFVKNALGSPNALVRKSATEVL VTVRIAVGADISGFMEDLNPQLLNTINSEFDKVSTTTPPEPTKTQADLQETAPSAGSK GGKGNSGADPLDDLIPRQDLDKLVASTSVIADSKSDAWKARKEAFEALNAILEVKSNN RLKPNMGEIGGVLKKAMADTNLSVKMLALGIISKIATGMGQPFDKYHRLLVAPVASVC ADQKAPTRAAATATLTAMADATGGLDGMFTGLGTSLESTNPILRATVLGWLAARVKED PPSSSADLSPLAGPILTCLEDRNGDVRKGAGAILPFVVASAGYDYVMDQTSKLKPASR ATIVPLINNARSSAPGPSSSATASSAVPSRGATTPATSRVAKSSAALRSAPGSPAPAS VPSLPKPSAVPSRSMAMKALSSVPSSRPVSSLSQGDDHRPTGLPKSRMALSRPPSSAS ISASHASSIPTPSSSRNLPFLGNDLSARSSRLKRDATRWIIDTSPKSTNDLSEYLQHQ MEPQLSPELFSSLYSKDHRAEEDYMAGLSTMSEFYDSAISSSTYDLAEEELQSTQLAN VDLALKYAALKLLGNNTQLANRCLELLSNIVDLMPRYNERFSDAEAKLFVPALVFKLG DAKFGPKLAPIFESLDKVIAGSQVVALLVQYGLEDKSAGKTCKNESLALIEKAYKKRG SILRTREDKGFYETIARCINDSGTRNAALSVMALLQLQGESKSLSAVIESMSNSSKDM LANRRSTMAASKTGPTNPLVSKMSHDSLPEGSPRLKRPQTGATTPRLNHLPRETHVDS PRASGSASPASKLPRSGIASPSLNRTIQAPSGLPRPGGIPAPSIPSAATSRLQRPTGN GDVFGAASNVRSAALQPLNRAPAPKSSGPDVIKAINEIRHDDLDRCVDALKVIQHMLS STPELFVDNVETLSDTLMDEMEFAFTPPENLHEPRYFRVVKHLIQSFSGLSSNQDLMR RLSYSQLYSVLNCLSLRLVQADKLGGAIQDMSRFFNLVLVQCLSTPDRLLVFKVMFKL LLDLTQDFSLDNVKPENERASHPDLVIKCLWKRCKILDDDFRSNRLKPGPLLAVLEDF LQGVGPSEYRRRAAEGIALGDMPLRTVKTIIQKMLVYTQEAGLEVYDILLNQFGDEAA STIVYTYVFRLAGRETSKPAKTPLMAVSENGRPASAASHATATSIPSERPASSSSTPT FESASILKEDSEAERLVKNLRSENQAKSLDGLYAFIKSRPDAEDEVNAAIAAHLTPTF QTYVRRMIDQRKNTDNPSPARGGVSSPLRSPSRPESMPPALKPIPNGSRKSIGGPRPS SLAINVDKNLPLDDQLAQYKNLFRNQANLNNSNGDGSISPSHKDISSHEVLGEIQPQD TNIRDSRGPRSSDVD
I206_03817	MSAHNPDHLHPAVRPQLQSRTISPKSKNMVMASHGRAPWYSPDG KNVEAYVIGIAGGSASGKTSVARAILSALNYVPTVLILSQDSFYCAHTPEQIQTAFNN DFDFDHPDAIDTPLFVKCLLDLKQGKATEIPIYSFVHHQRMPEKKYIYGASVIIVEGI MALQSPELRALYDLKVFVNCDSDLMLARRIKRDVSERGRDVNGILDQYLRFVKNSYDN FVQPSSRHADIIVPGSSNQLAIELLVTHVKRQLDTRSLRFRRMLAETGEEKSKARSRS STLLNDESEDGNVVLLEQTNQLLGIMTILRDRTTDRGEFIFHADRLSTIVVEKALSLI PCQSKTVRTPTGIDYEGLARDDRLVGISILRSGGPFSHGLRRVIRDVPIGAMLIQSDP RTGEPLLLKSDLPSSIKSRETSGEVKVLLLDSQMGTGAAALMAIRVLLDHGIKQLNII FLTYLITQPAIHSVHRAFPNVKIVTASIDPELIEMHLPFNPDSLQLGEVAGEADFAVQ TIENSSSINEKVDENEDENDLRSAIKNENELSSNHFKINVKDTEELKFSRSTSKNNEN EKRAWIISPGK
I206_03818	MSFVPRLSSSMRSSLPASSIRRITSIRNYHIYSKPSAEKGLTEP QYKYKFLEGNPTVGIVGCPFSGGQGRTGVDLAPNKLISAGLVDQLTSLGWEVHYNSQE QKYIDIPFNPISTSSSSSSSSSSSASSEVKIQKLPDEDIGKMKKPRLVSAVNEKVSKE VEEIAKKGWLPLTLGGDHSLAMGTVSGTKAKYPDACLIWVDAHADINTPLSTDSGNLH GCPVSFLLGLEGTDVAPFNEWLKPCLKPEEIVYIGLRDIDGPEKAILKKHGIKAFSMH HVDKYGIGKVVEMALDHVNPDRSKPIHLSYDVDALDPIVAPSTGTPVRGGLSFREGHY ITEALAETGCLVSVDIMEVNPSLLDPNSVEKTVAAGCSLARASLGETLL
I206_03819	MILTTCTSRTTRISKLSISILKRYKQTSSSSSSSSSKLQNGPII TPLYSNSYQFKYSIGLSYASKYSPPFINKNEKINQYGFYNNKENEISKWVNEMMNFKA GRGELKSDKEGGWSIETLNQVKKYGAGEDFFGIQKVGNDLHLSLSDGVGGWTDRVDPS LFSQALCYHYSNKALQFASSDPKDILDKAYKELLNDDRVVAGGSTLVGVRLGEEGDAS FINLGDSGYAIMRNDEIIHISEPQTHFFNCPYQLSKIPKDMQQNGVIHDTPSLADTFN FQVEVGDVIILFTDGLSDNLPISHIPLLSSKLNKILNSYENSHLNQIEKSSEFSRLFS DILVGYSRNAMKRTGNEKDWKTPFELEASKKVPQYGFKGGKIDE
I206_03820	MSIMFMTPISNDRGNPNRTPSPSDFLDLSPIPPRRPLPAFLPDP PASEIRPSPPCTTASPTRDDESISSSDTDTEMENSSPISLSTENLILSIEQLVNDHNL VGAGHDIHARPHVCDYPSCNKAFARKSDLARHYRIHTNDRPFTCTYRNCGKSFIQRSA LTVHYRVHTGERPHHCETCNKAFADSSSLARHRRIHTGLRPYTCKIPGCGKPFARRNT LLKHWKRTHPGLPPPSTNSHKHNIHTPVVNTRLTSGSYPSSGSSVEQYPATPSSASAP HGYATLHPPEGTAYTFNGGFAGSIFGGTSGQPSYAVTGHPNAYRQPQHFYHPQQAPDH IALTPISSTNSHFGESVHQGDTNHTPSRPATGTYESEVKQQQGHGGPHSAHPGLSPSP GFNQQGFTYTQYHSPISGYPQSTDYPFSRFASEGGSVIFGDTKPNVNIAPRSISNPVD GPKYQPYNMASGPFGHVGGGFHPSQLAIPPNYQSHLMPSYHSANMGLHPKMTHEIKSE LPQSSDESSSVEDDEPLVALHEAPPSFALHPPNGPAMSMPFSAVNSNNFGQFPGNHSQ NYMPQQSSGGRLHSAPPTMQRFNSLPSEPTANTWGTSTVPYQSHSTGDAKSDDQEWED IADEMLSREASVTDNTQVTSIEIEQKPLASIQEGNQWGEAISYPVPPLDNRKNPFSSS ASSSSTSSTLVGSMHTAPAVNHLPPIYTHGNHHMALTPINPNGFYPTPITPANWAHDA IKPHAIFQSPAIHSQHSERDKENETTQEITLTTPPKWMEQQRKDGRTVSAVGLGIANV HFEDRESDITTSKEDKEWNEGEDERLSDDDTIEDKSDEEFVLGRRPKGKTGVGRGRGR GRGAARGLGGRRGRKSQ
I206_03821	MSPQSDLANGTCRSKVTSPSDLMVLKMGKTKPYEILLGPCDTFH SIDNKYYHRIGTSNSYTVSDPRHWKSTGDKLPIGKEHIGSCSFIETKDPETLPNLSEY SPSSTEDADVSKSSKMIARIAGRDKHSVGEGITQCQVHDSGRFRQDIEFFRTSSMPGY SHVTHTHSHALNNPHSHVTHTHSHLTHTHSKPSESRFEEFTAIVNSFWVKADGKETVL NALANNEDCLSLELFMGQNDGDELLPKGHLAGSDHDT
I206_03822	MADLSPESSIPEKFKLYELPFNKKIEGCDLNRSPIDKFKLAVAD LCSKAFEEPIEKIYPATELGKKNVDISIAIVRFKKGKPTDLKVWADKVIDNFKPSAYL SSVSTTDNKFLFFQFNKDSFNYHLLRHISLTHEASLANPTDPTAPYGTTLEGNGKHML IDFSSPNIAKPFHAGHLRSTIIGTVISNLYEANGWKVTRLNYLGDWGTQYGLLSIGFD RYGDEEELLKDPIHHLFQVYVKINNAKAEQKERLDAGETIPEEDQIHFQAKKVFKDME DGEPKAIAQWARFRDLSIEKLKGTYEKLNVKFDVYWGESQVSTESMDRATRIVQEKNL TCEDRGALLVDLTKYKMDRAIIRKADGTTIYLTRDLGGLHDKYEKYKFDKHIYVVQAA QSLHFNQLFKTAELMGEPYADKLQHISFGLVRGMSTRKGTVVFLEDMIEEATETMHEQ MKSNEAKYAQVENPLETSAVIGTTAVKIQDMAGKRINDYDFDIKRCTSFEGDFGPFIQ YSHVRLCSVQRKNPNVPIPNSINEINISLLNETKINDILYQLALYPTIVKNAYQYSEP SQLVTWCFKLSHLVGTAWETVKVTGQEEEIAKARLYFYIQTRIVLANAMKLLSLTPIE RM
I206_03823	MTLRNTSGKFSLSNFGRKVSGVGEPNSPTRSTNNNQNNSGARYS DDESPSRENHGGGNGAGFDGIGKKLKGTLAHQSILPALGNKDTRALQDIITTEKGVMQ MAEKLAADNSKASASLPPYGVQEGPDLQDILTQSSNLLGQLTTALNIFASHQGNMRSC LKRIREREELLTDLRGRRRNTGNKADQAERKLAKMGPENKQLPQQTELLEKLRLDMRN MDQDIVTEETKIGDFKRQVIKEALSLKFGGLEELGEKMCIIGELGKLLLEEVPLEETP VGYGRAPYTGYEKTENAVNEATRCLSTVQFHASNSAPKPPGLPEPPFGQALRAPSIPR EREEPNVAAAEEYANYPGNVASPDQKGKGRDFALDTADPYGGITHNPYDATQSQQQSH IYGDFGGHRRETQPGEVMFNHDDHRDSVPQLPPLGDTAPIPSGSGEPLRSQDEPEHDY EYEQQKAMDAEEAWKKLEKEEQAWKEAAENEQLEQDHGVGSSELPNPHVPEEAAHAGV NGGNDNLKSPWEPLNVRRGNTPEPYSSANHSNGHQLNDKALDPTAGFGLGQPVEIPPV NTVPTPIVNNDVITSPATLEIPPVPRPSFTPAQSEFYTPTGTLDRDPLATPPIIPAAS SSAGGGRISAAAFRKGTKPQPRPSLDPDDGLYSPSGDSDSTSKMIRRLPIPPMSPSLG SNPVGTGAAGIALPASPAPGVENSFESGRFDNATHKGAPASPPPGYQPEDSLR
I206_03824	MKETNVRFLPPHKTNSNISLYDHPTIENRTKQPISPPPNGFKKR PLNSYLLSKKSLKIMNNNDNNKNNNGKINDDGKYWNDYYSTPYPINNFNKLPKPHNGT KLYSTKWKNENENKNKNKKQFKNDQAFYGNPMMSYGMMYPSMMGGMGGMGGMGMNPMS MGYGMGGGMGGGMGMMGYGMPRYGGGYGYGGYGGYPGMVDPMMGQYGAPPAANFVDDF EDAHRIEHAYRQSAPDAYGMGMGMMGGGMGINTMPGSQLLMYGRGMPYNGWYA
I206_03825	MAAATASAPTTMSDGSFTDKGKPTEVRLSNMNAAKAVADAVRTS LGPKGMDKMIQTSTGEVVITNDGATILKHMAVLHPAARMLVELSQAQDIEAGDGTTSV VVLAGSLLNAAEKLLNQGIHPTTVAQSFQKAATKAVEYLDDMSIPIDLNDRESLLKAA RTSLNSKIVSQYSSILAPIAVSAVTRLVSSSSSNVDLRDIRIVKKVGGTIEDTELVEG LALNQIAMSNAGGPTRMEKAKIGLIQFQLSSPKPDMDNQIVVNDYRQMDKILKEERQY LLNLCKRIKKTGCNVLLIQKSILRDAVTDLSLHFLAKLKIMVIKDIERDEIEFISKST GAKPVADIDAFTEDKLGSAELVEEHSQSGAKVVKVTGVKNPGKTVSVVCTGANELVLE ESERSLHDALCVVRCLVKKRALIAGGGAPEINVSRLLTEYANTLKGKEAYCFQSFAEA LEVIPTTLAENAGLNPIAIVTELRNKHALGDKNAGINVKKGIISNILEENVVQPLLVS TSALELATETVALILRIDDIQFTR
I206_03826	MSARKSRSAEKDMEVDEPVDSHEEVEDDDEEEEDEGEGEYEVEA IVDHKQKKGNQAGKYEYLVSWKGYGPEHNTWEPEEHVAHASDVVTRYWSTKPKQDIAP TSQSKKRGRTSAAASSTPVPASQKKAAARTNGSTRKSTKRQDDEDDEDFPTYEISHVD STAKYEDVPDWEDTVMSVDTIERSSKNELTIYLTMVGGEKVAVATDLAYKRCPQKVLQ FYEKHLKWKSS
I206_03827	MRSLTSLIALLSCSTCLIFAQSTTDTGLGDIQDAITSYLGPSYA QVSGSATSDDPLPSEYATSNKKTFILSNDFEITDQTQIREYNFEIKAVTANPDGYERQ IYTINGEFPGPLIECNTGDTIRVHVKNSLDIPQTIHWHGISQNGSNVMDGVPGITQCP IPPGQNFTYEFPIVQQYGTYWYHSHFANTMADGIAGPFIVHSPDDPVQRGRDYDNERV LLIQDWMHDQSTDIVAALKSADGYRGSPGAPKGDSILINDGHASPDDPNWPEGVECNP PPRAEVPVIAGQRTRFRIINTGAHSMLRVSMDQHEFEVAEVDTDPVYGPTIHEIPVAP AQRYSIIVNATLGQAGDKFKLRVHVAAGCMDKVQQDTWAVLRYTNNNEEYDDKGEEPE DKPWDDLAAFDSPCRDLDDQYTLSPRVAIDANVKPLQTLSLSSKFGTFLGTQGQNITG FAFNNISFQNQIWDPVLPQIIDNGKYTNENVAQVVFDQDGYVDLILNNLDGGIDHPYH LHGNEFQLIKRGDGNLTVEEAQAMDLSNIVNPLRRDTIFIPGNAYAILRIRTDNPGVW ALHCHIGWHLAVGKLATVIVRPQDMQKFKQPEDWKGLCAGQNSTQEGPARRSVAPTSA PVSHLRNPRDARSRDRSRKGSMRD
I206_03828	MKVFITGASGWVGRHVVPELQSHGHEITALARSDSSADALEKQG VKVIRGGLEDTDILHSAAKESDAVIHLAYIHDFSDYGGKPAQIDFEAIKAMASALEGT NKIFVGTSGALGLQGEQTEKDSSAGGPRKIGEEITFSFKEKGVKTIVIRLAPSIHGKG DKGFIPILINFSKKSGYSAFIENKPINWKGCHVKDASILYRLSIENEKIPNGSILHGI SDEIGIPFSEIAKSIGNNLSLEIKAFNSIKDEDEIKKHFDWMAMILNYDCNAKNQITK EITGWIPKEKGLLEDINTGSYFQ
I206_03829	MPSSPVQDQVFTSDIQAIDQIIPEGIHIHDELDLLPTTPSRPPS RKQPHSKSKKLTKWKGKRVRDLTLSEEGGCPLLDLPEDVVHTLLTHIPPRDLTRLAQT CKDLYEELEDESIWRQSYINRFLYDGAARSNQAKQDVKVLVQGCLNSGGRGWKKEALS RESMLSRWTDSKASMVIHSPPTGLIHYLSLSYPPFIPAPTKSLVVGKNHQIPTMKSKS PVLQPTTPIRTESTTPNAIASSSATPTEATSPTPNKLTHRQKYEALLAATTRPPPHVL SASLVYGGVVRSDPMSGKVSKGFWGPGRDANFHLRPHLDPFAEPSSLFLPTRTQAFIL WGLKTGSCVHTTMQSKHHSTHGGRASSVNVHSEVLDSHQGEITDIWAPENHETEHLKW VTGGEDGRVKLWQFHPGSATRSGKRTPNEVVPAKIECLFSSGATDAPLENRSEASKRR QNGRPDPITFARFDTTHDVVCGVTVDGDLRIWFSASSDPIEVRVDVGSEEVEGGIKDL HLNVKIVADQVEASILIHHRRSPTLTRYRILQNSEIHSTIYSTPTGSSITAIHTSFQP TCPISAPSSDQRPSARITAPVDTSESISPIIEPSDPFAVSNFAHSHRGYGQFIMAGDE VGHVHTWAWDADDIGFTQSPIRSWEAMNGKVTALDYSCGITAVGSFDGYIKIFDPLPS PPTMLRTFHASRLSPGELLVAASEESDARYYTVNKLIVENDLVVASIGRKVFAWRAGS GKGRTGGKESGWKRTNGSRGDGKGSSRGLDMRAIHRAAVADNSDLEETPTKVTSRHEA REVQAMENMGLEGDDALQYALLLSMEEQESHTMVEEENYNEPSIDTSSRNSSTGVIGD EIDEEGLDEETREAIRQVEMYKKQEEEELNRVLEMIRLSEASESGEQSAV
I206_03830	MSDHSLTGSATGNNQVSVDPEPEVCPLCPSTGPPQPSSDKSTNE VDLVWIACTKCATWYHSVCLLLAEDDVKATIPRSIFEEVEENRKDEGAWFNWTQWINK WYCLSCISKASDPANPRPPRYPLVATLKKGVPPFEAKVKRSVSSASLGQLPKQIKKAR TSTPPIAEDWSPDPLQLESTHHNGHTENVNGEDYKAGLNDSAEGKTEGRPKRSVRQLD YNNLNNSVATPTNQWLDLIADPAKYGRVILDANYKSVPAKLLSRSWLDGATSDADYPP TLFYGQQREPIIIRPENGGFSGLGGQVPGKDLTVQDVAKLVGPKKMVDVIDVSSQQSS QWTLQKWADYLLPPPTPDTTPSSSRKKVYNIISLEISDTELAKKVKPPRIVREIDWVD NFWNFTPTGGKGKAYPKVQLYCLMGMKGAWTDWHVDFAASSVYYTIHTGSKVFYFIRP TTANLKAYAEWSGSYEKQQDTWLPDMCDEVRKVVLNAGDTMIIPAGYIHAVYTPMDSI VFGGNFLHSYDIDTQLRLRQIEIDTKVPQRFRFPMVERLCWFVADRYTAQLRQHQAYK PRATTSLTRPLHNRVLSQLVHLTNFLLNQISILHNPEKEERSKKLVWDRIPHEIVRDP EGLIRELQWRIRKELGLDINTALTLDSKVNGHNLEHGDSQQNKESEKETKRKKAKLGK IFDKKGASRTWMFDRPKWEEAITNPQIEISTIKIPRPISLSAKTEEGHANEENEEEAE RTISTIKQLRMRTREVQGGAIVKEEQEVIFIEKRIVWGLHDVKAEEMEVDEKA
I206_03831	MLTSFFSLSIILICFSFLKLVRSDDLFIGCGDELTFIEATFQTP HKGDCYKMCKNNLYTYYTYKQDQNECDCYIYPPPAAEYMPGGPEECNGQLQYNIVKSN WKFQKCYNSPNMTETPSDSFKACMDGCAPHEVAIARPTGDYPGQTNCICASKNQLNHM VEAVCGLDQYYAYTHTPTPVPSGKRVGRSLIIAQRQAFSPRGPYGDKKYALLFREG
I206_03832	MCSSIVLNPLNICFILLTLIPLVLSNNIFVGCGDELGDIEYTGE TFAAPNKDNCYNVCTVKSYNYYTYKAPSKECVCYLYPPPAAEYMPGGPGNCGNQMQYN LLKSNWNFLRCYASPPIGLTETPTDSFKACMDMCKPNEIALAKPTESYPGQTNCICTS QAKLNGLSQVQCNYQKYYAYRRQPNMRRESRAIAIAERRADEYIKTE
I206_03833	MNFAKVPLTPSRSTNFAPTPIKKIDFSAVRAKTPGKKLGGLKFL HTPAKTHETIPSSPSDVCHIGKTQATSEKGDELQEQLDLDIGVLRIEDGFVSIVREEL EPIEDQVGNEDEEDVEGILEFSPTPSIPAALPAIDPYTTPSSPHSPCVLASPATPILV DSDEESNGSVIWNPTPRRTPGKRIVLSDSDSDAETGPSSSSAPQRVSHSDSLSPIRDR ALPKIRRKPAILRFIEDQAHDKSDEQELYDEEDDTLGSLRDFIVDDDYETEEEEISNS EEEDYGSDEGQRSEGDESDGIEILPTPPKAKTANTPKSHFDDEILYYSPPGRVHRLDI QLPDLDQLVIASSDSEAASHSPIRNKKKSISREKSEKKSFTSKGWAEERERIANVIFK ELDERVFERKLGIEGVGAKVIWNKRLLTTAGVARSKRVTKNGESTKEHWIELSEKVLT GEKQIINTVAHEMCHLATWIISNEYRNPHGRIFKSWGKKVMRVRKDIEVTTTHAYTIE YKYHWKCSTNYCGKVDIQNATIDTTKHACGSCKGKLVPLFETKQKASSAFQVYLKTNM KNAKNAMPGSSHGEVMRALSKRWNEFGENGNHEDFWKSAAAASVIM
I206_03834	MIILSRWGLSRPFIGSGSFPQRLLHPGLRFYATKQNAIQDESEW ETVIGLEIHAQLKTGRKLFSAASTSYGETPNTNVNLHDAAFPGTLPVLDLHAVRLSLI TALALGSRINSRSTFDRKHYFYHDIPASYQITQHYNPLAREGKLQIIQGDNNSQRTFD VGIHQLQIEQDTAKSQTVGDNTLVDLNRAGTGLMEIVTEPDMRTSEEAGAFVRKLQGL LKRLGSGDGDMEKGNLRVDVNVSVHRHGTPFGTRCEIKNINSVRFLQAAIESERRRHI AHYLTSPGAPLKQETRGLNELTLETFSLRSKEEATDYRYMPDQNLPAIVIDSAYLEKL REELPEMPWQVVDRLTALYDVQKRDVETLIGLDEYHFEGVRYYEDVVGGDKKIAKKAM NWIVHEILGQIGKGKTSIKWSSTLIPSTTMKEMINLIEQGKITGTNGKQIIKHLIFND SSISLNQVLNELSIELNDNISNKLDISEICQQAIKNQSKSVNDYKKGNEKVLMRLVGE VMKLSKGRADAKQVKEILVDLLKDGNGTTNNQNRSLG
I206_03835	MSLPRSTGSIIRSLPNQSSIYTSSIRLLPSISFPSSITKSISTS RSFTTSIKIMSTLQGFQIPKTQTAAVIQSVGADLEIRSEHPVKQAKDLQPGECLVHIS HTGVCHTDLHAKSGDWPVPPMNPLIGGHEGVGTIVAIGENTQKSPVKLGDRVGIKWMA DSCLNCEFCRRGFEMNCPQVKLSGYTVDGTFSEYVVSYTNHVTPIPEVLDSAGAASIL CAGVTTYKGLKVSNTKVGDWVALPGAGGGLGHLAVQYAKAAGLKVVAIDTGAQKEKLV KSLGADAWVDFKTSKDLVADVKKATDGLGPHASLVTASHKSGYTQAISYLREGGTLVC VGMPDAEMGANPFWTVFKSIRIQGSYVGTRQDAIEALDIAAAGKVKVIFEQKELKDLK DVYEDLEAGKIAGRIVLEVSKQ
I206_03836	MRIARSFGQLRLRPLSVNSITSKSSIIRLSLINQKVNSLPLSSS STYIPTRNLSLSTRLEKSKMSDVEMGEAGKAKIIDGNAIAKQIRQGISAKITQLQSTN PTFHAPHLVIFQLGSSAASSTYIRMKLKAAEESGMTVEHIQIPSDAESGATAGTGVKK VLEAVTKANSDEKVSGILVQLPLEGAGKTEEKAVVDSVDVSKDVDGFHPENIGLLSSR IGHPHFVPCTPAGSIKLIESTGFDLKGSNVVVLGRSDIVGTPVCALLRKKDATVTQCH SRTKNLQQIVGTADVVVAAIGQAEFVKGDWLKPGAIVIDVGTNYIPDSTKKSGQRLVG DVHFESASKVASFITPVPGGVGPMTVAMLMNNTFEAAKKAWESRRAKKLTPLPLDIKE KVPSDIEIAVAQTPKPVATIAEEIGVHPDEVESYGRYKAKIELSVLDRLKDRLDGKYI VVAGITPTPLGEGKSTTTIGLAQALGAHLQKTAIACVRQPSQGPTFGVKGGAAGGGYS QVIPMTEFNLHLTGDIHAVTAANNLLAAAIDARMFHEATQTDKGLFNRLCPPKKGVRT FSKPMLARLHKQGVNKTDPNELTEEEAARFARLDIDPSTLTWNRVLDTNDRYLRQITV GQAPTEKGHERKTAFDIAVASECMAVLALSKDLADMRARLGRMVVASSKAGEPITAED IGCAGAMAVLMKDAIKPTIMQTLEGTPVFVHAGPFANIAHGNSSIIADRIALKLAGIE QGDDESRNGYVITEAGFGADIGMEKFCNIKTRVSGLKPNAVVLVATIRALKMHGGGPA VTPGKPLDAVYTQENLELLEKGCANLGKHIENAKKFGLKVVVAINQFTNDTPAEMELV QKFALGVGADYAVPANHWAKGGEGAVELAKAVIDACSEPSKFQFLYELNQPLKNKIEI IAKEMYGADGISLSSEAEEEVARYEKQGYGGLPICMAKTALSLSDDPSKKGVPTGFTL PIRNVKLSAGASFVYPLVGDMSTMPGLTTRPGFYDIDLNPETGDIEGLF
I206_03837	MPSNLPSISDLSKSTADTTKAVASMMTEHGGYQNLFPHNNPPPF GAKSGRNSSTGSSKTGSTSQNSGSPSTTQNSGSPSQSTEDNSGKPESDDEKTVKEVSD RVNDPASPYN
I206_03838	MTSVFKIASRLHPSIQSALSSIASSTSGGSMKRYLNPITNRQNI IVTTNCPSSSFIRLIKPNSSFDNSKNKLNERLSKVIPGNRTINLISYNDNMLFQINNL PIQVDNGTIYHCKPFSYLKCKTSSIEGENNLNKCLGLNLFCPSFGESNNQVEIRALYD LPISNYNNNNNNNNNGDEDSIEFGNWFTPKDFNISDDSEIYNDKIIPLSDLLERLEQH SNESQQSLE
I206_03839	MLLSSLSILIFAPIYHGLAKRTPGKSHDDAFYESLTLHPLPDGR MSVLFEFETIFSSSESLSSIPQSHHSLTPPSLLLPLKANNVSELTISFTSGWDQIRSS SSGLLNYESSGGGGEIRGWLKDGNDIENRWNAVTNALGGLFCAGLGSKDLGDNVRDFG NIYRPKLDVTNTSHFLLSHPESHLCTENLTPFLSLLPSKGSSGISALLSQPGIIFSWE SQSEGIEVLMPSGDGQGRWKGWWEGVIDLVPEKGGSRRTSISKMFNKKIPKPFPEVQN SVLRLIVEDEGLQALPSGLAKQEWIDGKIRKVLEWDLQNSTLIGGDIEFWWDGEDRFQ YPRTIDPPPITVTRTVIDRVASDGTFQIILSNQDNYERKAIYSEIWPWWVKGWMSEVE LLVGNEQKLVYVSAELLDNLHYNPSTPPKISTTTLHFYLTIPANSKLILKIPFTKLTL KYTEHRPDAERGIEISSGVLTLLDTLPESQLAESEIFNSRRTGRKRIYTNRLLLDVPT PDFSMPYNVIIMSSTVMAVFFGLMQGALTRKWGWVELPSVAEKVVKADDGKKSD
I206_03840	MSFLIRSTLRNISNSSSSSSRITIPLIDSIRFNSTLPSSSSSNE ITTNTPLPPSPPPKSGELFSKPSSKTITNDSFSKLRFDNLSENNNNNNDETIDDSEIW WKKLSINSNSYNFGFPTNISTGRSITVPKGGEFNSSYKRLQGLLRQSNLKKELRLQEF HEKPSVKRRRLISERHRRRFKEMVRTKVQQVVSMRNRR
I206_03841	MSTATPSIKGVYIPPHLRNRPNPSPSSSRTNELPATPPSAQKGY TPAPNRSQRSPWASSPSGPSSHTARNNLFNNNNLNSPSSRNDNGSPFNSGRITDRSRS NPSASSSGYSSSPSLYVYGDSFVGPFKLLREDSVRIQTFKGSSAKGLNNPNSIKQVSR DLLPIINGLLAPPPYAYMPSAGRWVMLIFGNVDLQINYLWQLANKPISHLSFPSTKQP STSTPTTDDEAEDQLSSIQSHPRRPSNVLATATETSSRGPALGPESFVAGVVEAYTSW LEREIINGPIGERLIASRKQNEDNQTIASPGVFRRKAPPSKVLIAAALPPLVEDELLP RIPEKYVERLEEDHSKAQKAFERSNGNASPIRNSGSPKSRTPRLSNDDELEIGLSTLS VSDDKPLIPSSPKSTSSTSSTNSTLFDSQRTDPSTTTTISSVESTLHSPKESTESPSN KTSIEDLLRHDPPLCTLPVRVNMTHRYNELMKEFCEKYPDILEFIDITESMKSGSEAP SIHGEVDRNVWACPVDPTNVHPLWEPTLPLWKDELKKIGLPTDTWRISEDAEETFKAY EVDKRRRTEKRGDQRNTIEERIKLRDE
I206_03842	MSASSPLRIAGATAAVAVTGFLGYAVYFDYMRRHSSEFRKGLKK QHKKVAVAAEAQSKAEKERNSKLLREALIAIQLETPPTTPEQQEAYFQEQVAEGEKLA TLGPEYQVQSASHFYRALRVYPQPLELLGIYQRVVPPPVWALLIELTSLSGASAAAGP GAGAIPPPAQASVADIDDASPISGDAPSPNSASQGSGTEWEKLSEPAE
I206_03843	MGNCFSDPSSTHKPTQGQKLGSGPAKSPSQQPQNQTQTQSLNQN SRRNNEPPRTLGGGNGGGLVAIDNEGDPRERALRAAEERAKASQTKGVNTSNPKAGQL SAKLAAENKNKPTSPNANENRMMDRGEWN
I206_03844	MSHSLLRGINKTGSTIYKRSIHSTMSSRTPALNLKTINPLILDV HYAVRGELAIKADKYTQQLANPQLKSGSNTNNVETLNNEVEELPFKHVVTANIGNPQQ KGLNQKPLTYWRQIISLLEYPQLFQDSDKKELISKIYPSDVIERAKTLYEEIGSVGAY THSKGVLAIRKRVAKFIEERDGYPADPESIYLTAGASAGVSSILSIALQEGDGCLIPI PQYPLYTATLAYLNAKPLPYYLSESQDWSMDHETLLKAVSEAKKNGTPVKALVIINPG NPTGACLSKEAMEQVIQLCYEEGIVLMADEVYQTNIYDPSHRPFHSFKKILKSMPENI SNSVELVSFHSISKGVSGECGRRGGYYECVNISNEVMEQVYKMASVTLCPPVSGQIGV DLMVSPPVKGSESYELWKKETDLIHNNLKERSYLMAEHFNKLNGISCNPAEGAMYLFP KIDMPKKAIEEAKKRGKEPDVMYALDLLDATGICAVAGSGFGQEPGTYHIRVTALCPE TKEFIGGFEKFHNEFMEKYKD
I206_03845	MASQPNPKAFPLANAQLTNQILDLIQQAQHYKQLKKGANEATKT LNRGICEFIVMTADVEPIEIVLHLPLLCEDKNVPYVFLPSKTALGRACGVSRPVIAAS VTTNEARELNAQIQAVKNEIEKLLI
I206_03846	MTGGAFFASSGGDEEFDLDEQGNERHPVQWIGTANVKGPRWARL PLLTVGMLGIQCVWSIEMGYASPYLLELGLSKSFMSLVFMAGPLSGLIVQPLIGIFAD RSKSPLGRRRPFMLAGCVICVFAMMMLGWTRELASIVNGGKWLSIALAVWSIYLIDFS INAVMSTDRALVVDTLPPREQEEGSAWAGRMFGFGSVFGFFVGNLDLPPVLPFLGKTQ LQILSFMTSAILMITHTFTSWAVSERVLLRDDRPQSQSSLKANLKSIWDNIFSLPPGI RTICFIQFFASLGWFPILFFTTVWVSEIYKNSVPFTEGMDETTFSNDAVRSGARALLL QALVNIVTSIGFPFLVSESGVQPEASHQYSSLNGQGGLPENPPNSAIWKRAKEEIQAG GVVKKAIGLLGGLVEWVKDGSAWQIPYKGLTLIKVWWISQFVFAGAMAASWFVTTVSG AYFVIATTGFCWALSQWAPYSLLGELILIDGTIDRSQPLSIIRTRPSTDVHQSMNADS GYPYDPHPSTSNSPPLHHNEGSFVINDEDHETKEKVDEDEKLDKPVGGGLSPLIVQGE QAEPEPGLGSTVILRHSDEYSRSDISEEDDLPRGSTQSNHRTISPRPASPHLPSTDKG PSTADKAGVILGIHNVFLVLPQFVVTFLSSIIFYLMEPEKGLPAHNPHTIIPISGNVT DTSGEGIESVVSEIGDEVIKRLISRAEGLTSEGSSPDAVGLIFRIGGVSAAIGGYLCW RSSRDWAKGKGI
I206_03847	MSGARSPAISRPHTPNGLSPRGSYTNLAAALEASTPGSSTPALM EKERMRAEAEVREALLKAADGAEKAKKEEAGMPAGSPVWPILSYCIASIMMTVVNKFV VSGHQFTMTFLLLTIQSAVCVGCVWTVKRIGLISFRDFDMADAKTWFPVSFLLVAVIY TGSKSLQFLSIPVYTIFKNLTIILIAYGEVMWFGGSVTGLTLVSFCFMVGSSIIAAWS DISSTLARLSAGVAVVDPISGADVPLPTSVIGNLNAGYVWMFINCIASAAYVLFMRKR IKVTGFKDWDSMFYNNLLSIPVLLIFSLIVEDWGAASFARNFPEIGRTFLLSAIAFSG AVAVFISYSTAWCVRTCGSTTYSMVGALNKLPVAASGILFFGDPANMGNVSAIAVGGV AGVVYAVAKTNQAKVEKAKQARPGDSKA
I206_03849	MPEQLISPSEASTSAQVDASPIGTRTTGRTRVKSQRVLEAEDTK RFLLKQTKLEAEPGSTSTKPKTYSKKGKGKGKKKAEDEVYCICKTDNEGSMIECGECN DWFHFTCIDLKEDEAEKIHVYICPECAESTDKKTTYKYDISTFPSPSPPPGMVDPPKK KTKTRKVTPSSTSDDDSGSEAQIASSSSRASSAHPTPPPNKRPRLSSDVKRKSSINVD RKMSVDRKPSFGGGLPPMRKYVREKLAPLFQGLFGDMSVEDAQRFSEEVEDGIYSNFK EIIAGKENAGTRYKTQFNLLSSSIVRGLRPDLISSITSRTLSPVQIATLTSADLASEE QLKAIQKAKQAVLEQTVKSKEDNSTTIRLGRDGFEKVENVHEKEMKLLAQQEEFARLR AEEERKLRENPPTPIEEVEKSPIVNKFMDGPKRSESIDISSPLKQPFVISAWTGSTPQ KSNNEEEEINNFDQSNLDLSDIIVVGDEEPFDDLDETPIEAVPKSEMELFEAKEVLWS GGIVNPANPSPHIPPISLRLICRAGQINWKFLLPHDKIEITGRVPTRNSLQFLSDSRL NPSKELVTVAFSLDEKATDEEIIAWEEMVEYHIGKDRHALYLPYGNHPPAGAAKELYM IPLRPGDPSPEFTELIDGFSLPTTGRTTSVFLGVFVSNKLSTPTSVPPPPPQVSQPPS IAVPPPTVPSNPVIQNDQLQALMASLNPTAIQGLVGGITTPILGGSTPPIGGITPYPS YPQQGYSPYDNGGYTPQPYGDWRDRRDESRRDPRRRGDRRDGDRDRDNGWASRGGVRD GRY
I206_03850	MSYKPASEYRLGKESKLMNSDDPFADSSQHATLDTDPFSDPAKD PFTQPNDSSVSFGGQGGTTNAYGDYGGYGGSSAAADPRAAELSRREAELARREQDLAR REQESGTYRNNWPPFYPFVHYDPEIIEDSTKRQTIKLIGYQWYALAATLVINMLGCIF LLLAGASEGGADLASSISYVVVIGLASFILWFRPIYLGYCRTEGKTMAIFFCKSHIYF LFGGFHLLYSIYMLVGIPSTGSAGLINTIGMFTQGHILAAVFGTISVVGWAFQVLGGG FLYKRVWDFKNGNGDISMENATSQLKASSIKTIVLHQSRM
I206_03851	MSALAGPGPSTMASTSMVPTSARMKVKKRVINLVNPADPQDFST QSISPSASPNASSRSIEEEGDMNPPTLRFSQTTQTFNYTPGVKGILRSTGTPGSGNGV RFFPKNKFRIITPNASVHQPTPKPPPSPTNSFFSQLLAVTIPSISSPRRSSSDVGKAD ESWEVPGQEGEISLIASSTISEDENRSIIVHNWDEQVDEREIEDSIDIYENENEKENS WNGQPNIHCSPLTLPEEPDNTSKEISMISFGQNDKEEMISVDFNYPEDMSNLLSTKFQ SDENSFSIADPPSTSTIIAPHREILSPVREESISNGTEDEFWNNPSNNENQAVEQDIS IVSDRSEDLNDLSNPTIRRPAISTQITQPMDSPTPNPIGPYTSSIFADMSAEQADLTW PLTRRSEEEELSSNFVSPIKSPPSSGGNLQTPKATGDVTQFFDTTMTMSFSSPSPSSL TSTLVLRQSPQNKDLIVPTQKMIEAQISHTQALKAELELYKDLARKLQNEVSERDECL AKVNLRALDAELLHNQVKDLEKELARKSISPSPSPTLRAGLRPSSASTNGAMCDRTMT AQNEAKELEITLAKAKADSQDLVRQLNEMRIQKDGLEKELRQTQSQKMQLEEQERDKL VKDQAIQEELEILKRELEYAHRQIKQTEGKRHDDRQEEIDELQRELDDARQRISNADE MQDELHALKAELESAHNQLDEFESKADVEKELHEVQRELHEAHEEIERLNDKEEEVEA LHAELRSAQDKIENLESQSFKLSYEQDLQNANEKIADLKILNKELTEARLVDEDEIEK LVSQLDKHQGYKKSEENARKRIEEVERRFEIEQRIREDIEKKLQEEENFIHDLEKENE ILRQQLANSDGQSTLSRSPVDPSISALKDEITKLRSETASKDLEIVNLQKRKAELKED REMLNIALDSKQQEVELMKRKFSVKGIAGSTPLGNSRKINNNNLDTPSVIDETPLPGK GRSSIQNRRKSSLNLTQTPLPNIPKHLPSLQTPSNNKHGIQLYPSTRLTSRVMKKVEE EENVPPLKRRERMLA
I206_03852	MVSESTGESSSTPVQSKQPKQPKAQVQQGQGSKSEKGGEKGKSA KDLKKEKRAAAVAARGISETSTDSSSKTGLPNSNSVDSRQQSYSQQQHSNANSIGSSS IPKRPNHYTEPSALSLSTIQQNLFFSHLPKQIQPDISLALNTGKLHPIIIRLGVLMSS GQLRGANARTMGMMSAFREVIRDYECPDQAVLWKDLPIYLSPMIAWLEGCRPKGVGGG NAIRWLKSEINRLGEQGDRSEAEQKEYLVEAIGLYLRDRIEFADKVIADNAKEKIRNG DTVVTYARSSVVERVLLEAHRDMKASDPESGFKVVVVDSRPLLEGRHSLEALSGAGIS CTYILLPLLSSILSQTDLVLLGGSALHSDGSLYSRSGTALVSMLAKEHRVPVVACVET YKFGEKVVLDGVSLNELGSIENLINLPNNHNNSSTTIKKLTKEDLNKNLTPLNLVYDV TPPSLITAVCTEIGFIPPSSVPTVLGKASSVV
I206_03853	MSSSSAKEAMEQVKSLMSQAIGPRAQVSDKRKFQEHLITLPSYT EYENKALFGTLVPKFFGEFEDLQDSAIDVLLDLCEDEDEKVRIIGIKGLGPTGRADPR WVRGNTGVLLQLLACQPRELKYVKDSLHMLLTVSPPEVFSVMIDDCKNSEEDTGASRK NILEYLQTDAAEQRKDILESGKNPETEQVFRDGLFELLKKASEDEGRMILGMLEPIPT VSGKNATDETKTKYLKALINSLPPKSPTNKVKELLISFKRYIEKAAPVDSRLAFLLLA KHGEMVIKQGLGENDFSLRWIFHRLKDWTGQAIDKWSETGNDGDLDEDTLASALVKAL LPTFLDGCKTVTRRGNLSSMTNILEPVLYAIYSFTTLHDRRFQLVHRIDREDLLNLSK EGRVIARRIPKSSVDGGKWANIVDMAEVLADDRSKILKIVPSWESSSSRSQPSLTGPS TQNRPTPAMRPKNDVSQKIATPPIAPRGPRSIDAPAGPRNGDAGPGPSSSYNARRTPA GPPGTRYTIPPVQSSNRYRFDNEVRRDRSPDRRPLSPNRRPRSPERRPRSPDRNLRSP MRRPHSPASKPRSQERSSHDNNRESTSHRIRPMTPPLHEIETSLSFSSSHNRPPKTPG VTFTLSKADSKVIPEPSGSTLLPKTENGSSLSIRNLGRSQESTSRAQNINNPVTTSQS EKLIHTLQSENQQNSTSSSRVSLADRLGGSTSALPAKRPREVEDHQPVNSQDHKEDKD KPSLLSRLGSRDADIPPIKRVKEDMKAIPAGPRTEDPSVEKGRVSLFDRINGKSNLQV EEIHERKTVSSLPSKPLTTVDHAIPSSTRELNILNRSNTSSPQPTSQDRGISILSRST KPPPSTVTESKNISILNKASSLKLLPSNEKDEKVEEVIVRKGRGFREKSPEHKDLIIS DMNNNNNNNQNELVNRLTNGLGQSQGNGGFGFGIRGIRGRGSSNNNNNNNHSNFGSNR GGYSYNHNRN
I206_03854	MSHALLLRLFLSPYFSVSLAMQYLKTYPDNIGISHYLCWRMKKM PPEEVEFYWPQICHLLLTYPTQSNALESFILSRAEESTHSAMLTFWFMQAALRDLTPS RIVNPVPFMICQRILHKCHEIIFGDPPEPSRSPYRSLPQSPSMSPSSSSRGLTLLDKA KTLNAPSRVNNHIPPALVGMGVILASAPGITGLVDLTGDWAIIQGRRPRDDLAGRSMA EVDQTGGADLSSPSRWKRSNNGEEDGESDEEIVPGHGQTPTIKRTLSPLPQSMLHSPN PASTAPNLVSPPSRTHSPNPSTPPTGRPRKGSDPFGQIAQPQNQSGPSRTHTPPRSTH QPFYSVPELPVSRSASSNRLFPHDRPPPPEAILSTYSIDAQRQLLRSHYCRSEVRFLL LLEDISNRLLVIPKPARVSALRAELTSLNHNLPAEVCMPLWCAADHGHESGGETSAAH ARVVRISPGDSVVLNSAERAPYLIHVEILEGDLDFDPTRRDNRDLLKKIVIQEDAKRR KLHHPHPTGTTPGTPDLSFGSHDGGFGSPMPNAVPAEPEQNTPPKAEMSISSRKDIIP DDMEEMDLVEQLYGAKLSVHDALPDLSESVPLPTAPKNKQLDMVAWEKGSLSELPSRR SSFGNTLGPISPNPSLLPRTTDLLSAPSNPSVEVDISPNGGSVPPSPLFPPNAPESSS LKRPTITLEDYSERMRTAAVMLAQLNASLVLPTQENPQNASSTSSWIPGTGWITGTKT PSGSGGGDVQKVEVNTAAGGKLKLAAAQAAAIRERIMEEMMSLEEERVARMTDRPEGA EIQQPITDTNGTEETQGQTAEDEGIVRRELNKADPSAAVFKESWLAKKSRIRASSPWG HLANWDVISVIVKTGADLRQEQLATQLIERFSRIWKDEKCECWVRFFRILITGETSGL VETITDAVSVHSIKKGEYAKRLAEGGPIGHVSLKDHYVNTYGKPDSGRYLRAQRNFIR SLAGYSIVTYLLQIKDRHNGNILVDHDGHLIHIDFGFMLSNSPGNMGFEAAPFKMPLE YVDIMGGLNSPGYSYFKKLFKEGFEAARKHSDSLITIVELMQKNSKLDCFILFGEQTS NHFKERFQLGLTTQAVDSYLERLIVNSTGSNYTRLYDTFQYYRLVLFLSI
I206_03855	MMIILRRDDDVLPPSPFSYFFPLLLIMTLCGGYIYWHRFRRNDR TRRILPLNFPTGTSPGIRLSEDGPPATVFTHDNASTDSLPSHALQEIPEIQQPSPIVY SDTNSTSLPLSSLPRSMPNKAAKVLGIGKSRRSNKVRIKSPIEGDDTDDEYQGGRSNT FDIGEQEDSGSDDETELHPMGTR
I206_03856	MAADSRQAPYDGPWTKRARETDEWIKQHKVVVSATTASLLSTFA GFPLDSLKSRLQSSRENLSIPRLAAEVVKEEGIGGLWRGFPLPLITISIVRTISFTIY TSTKRILNSVPSTSASSSTWLAGGASGAVVCLGSAPFELVRRQLEYQIFRDTHPELYR SNPPPSAGASVLNNPAPTPKTPAFVPPSTIQAVKLIVKSSGYGGLYTGWKLHFIRDTL GTALYFAEYDVMRYYLGRSKSSISNHRQDLQGQGDIGSGATSEIQGEVPIWARNILPK GLIPFFCGSLAGVTSWALIYPVDLIFSFCVQTKAQQRGLSGLEPRTPLYQLKRLVRGT DKENPKPWLTGIARLYRGLGVSMIRSMLTHGLLWTIVDAAGNWIETNPFERLASGSTS TDLK
I206_03857	MSYRSIASTRNALPRPARLLISSPPRKQVRPFKSTIPHEPSIAR RKLHSTSRRRDDHVSPPHNLSIHAAEAKASFSDPMTRYSHLVQDNVLRADENQKVIIG KLQRLWEDLEHYDPGPIRDEPEGNSNGGFLSRLFGRSSSSSSSSIPLENVPKGLYLYG SVGTGKTMLMDLFHSTLPSQFRSTTQGGYGSTRIHFHSFMLDVLQKQHEVTLKYLKKG LNKDSIPEVARMLAEKGRVLCFDEFQVTDIVTAMLLRQLLEKLMSHGVVCVMTSNRHP DELYLNGIQRESFIPAIELIKDKFEIVDLDSGTDYRKLPRALSKVYFSPLNLENKKEM SKLFQSFCSNDPTNLNTNSNSNEIILNKTIPLWGRNLIIPESSGSIAKFKFSDLCNKP LSSADYLEITGRFGTVFIENVPKLGLSERDQARRFITFIDACYENRTKIFISSEVPIF QIFSDKHGSEIEDEHMKSVIEELGLNSNDIGSSSLFQSDEELFAFARCVSRLNQMGTK EWSETTWQEQQL
I206_03858	MSRKPTSTRGTIPRTASSASISMPPPSRIPNRPASVMSQTGTSH KDNEGTASRSSSPSRKRTPSGQSIKGKESAKTNGDGEINIQVVVRCRGRSPQEVSAAS PIITSTSGALSKTITVETTPVISSSLASFTTASSYGGSHQPMTKTYPFDKVFGPEADQ TMIFNEVADGMLNEVLAGYNCTIFAYGQTGTGKTYTMQGDLELTPLAAPKTTAGIVPR VLHRLFSLLDASKNTEFSVKCSYVELYNEELRDLLAVDYKGEITTANHGGLKLYEDGK KGVNIQGLEEAGARNLKEALNILEKGVKRRQTAETKMNTESSRSHTIFSITVHVKETS ISRGGEDMLRIGKFNLVDLAGSEAIGRSGATDKRAREAGMINQSLLTLGRVISALVEK GSHIPYRESKLTRLLQDSLGGTTKTCIVATVSPTRSNMEETLSTLDYAIRAKSIRNRP EVNAHLTKAGLLKEYTGDIERLKNELMAAREKNGVYIPEEQWLEMQETQSRQKSDYEE AKLRAGIIEVELVTIKKEFDEVTARFICTSEELVQVREAERQLTELLEETKLDLEKVR VELEEESVIAKAYQKGEERLDGVASELKRTVEQSVGDVGGLFDKLARKAKVLGSNADS ATKFGGELEGLSNDLRGGLASLQDVHEGLGKDIKLKMENFALRGQEMSNGDLQSLDQS FSAFSDLAKRLSASNDKGQKESAETSKAILAVQAEVQTNIQEWAEAIRTRSQGMVNEV LEYQEEHLNTVASVLGSTADLVDSVISTTLSHLQYASNEATKSRNLVLNASSTEVSRL TAQNTLLIDLLVEEKAKSAALRTELIGNLTKMIEGFIQQQDQSWTDAIGRVTVENNVA VTGMQEFSEVVASAYHTGDMKRREIEDTMRMNLEGGTQQRDLGQKALDNVKSNLRTKL SEYGTETSTQAESHVKVIDKQFERLGKSASAVFSKSSSRGKKNSDLLAAISNNISQTH ETSRSRLDRLSSEIEELSSTLLTSSSTASASFAQSSKSATNTLQRIMDTTVNFLESGI KEDLPTGITPKKKNWNIPNGWERTGSREAVLANWRKRQSGAIVDQDEDDQRYQVVQPN QINLLESRNESIDQLNDDQHEVENMPQDDLVSSRESLIQIPDSDCRESSDKLSIPIQS KLIPVQSLRQPSTTSNLPIKGKPINSKKLNGFNMGAQEERPNVVVLGEGVGVNVPRRA GRR
I206_03859	MFLSKVLLSLPLLATAMAYSDNSTLSSRTFGGSSSSNGGSNQQC ARVAGSYGWFKYDFGCLCIDDLDEYCSDNGINSNIQSAMDAFISKYGKTSWYPHNAQP TCDGRGGYTCGSLYKKSDGSCSSSACSTNHWSTNGSCCPRGQTYSNGRCCGTTGCKSN GNSCKPIYTCPSGQEFKTTQCCKTYLSEINGKCQCPNGFEDDSINLKCKSKCKENEKL NSKTGKCQTICDENNGFTFQKCKNSGNSICCSKGKIAFNTVCCSEGKEEIEKSGICCN SGVGAKIQNGKCIESTSKPKTPKTKRNFGIPIQLIKKNQIPYGLEENKENKLCPLNYA ACPIEGIDNNDNYECINPLEDLQSCGGCTSMGTGKDCSIIPGAKFMGCNIGKCQVYSC KKGWKLNQDGTACLRK
I206_03860	MLMLNALNKRTRYASCRLLSFASASKSKIPAKVIRQNSTKSIIF ESHKYNNESRKRNELTRPLKYHRRDTLVRQLLSKDISTRIYKNKHDPFELIARSPKSI CIVTRQILPSSFLINLRPTYFPPDLRNSESTGSLKLLPDRILTRGRSKKGKGLWISCN STIVKQLINGKGPHIGTLRQYPSITIPSNLKSIIQEQLLERILIELNTLFKKLQTLPR KVIPNESQNERAIHKPILRRLTKIEISKIGTSSTIDKSISTSNDRLSDSQIHGNIIAL LDLSKLESNDTSLFNNTHHNLKIDNIPDIPLINVNIEKELGISVPIFEITSLFSNFNQ RNKLLINLKKILSIERKLKKRFSNIDIDQIDSKKSLKVDSGNEKQLEFNKDKNIEHSS IIALYSYPIASTDDANLHKGDLGLPLFIALWRLRCFLGQGWEES
I206_03861	MSAPAPSLTPSQARLAALNRLKAKNKIIQSNNVESSSSSSRNPN PNGNGGANGHPYVHKANNVPSTSRNMVQAQQQSKEETQAPLRRDPGLGKYFEYDLSKL HNSRGGFLTEEDKEGDRIKSVIELAREKAREKQMMREGEEPAIRIDKSPRCEECNTLE INNQFLKVFDVKVCKTCEKKFPEKYSLLTKTECKEDYLLTDPELKDEDLLPHLLRPNP HASTYSNMMLFLRIQVEKVAWDKWGGEEGLDKEWERREVFKKRKREEKFEQGLRDLRK RTRNNIYQRKQEAEHIHQFEDIDEMIDDQGDKRVLQRCFGCGSEKEIEVL
I206_03862	MSDYKAILEEEFEVLESIFPDELESNSIFDSQLFNSLHELILIF PITELSETSISIRIEPEEQSLSDPIALSLIINYPETYPDVIPEISLEEIEDEGDLREG EEELVLNNLRSIAEESIGMAMTFTIASAAKEALALLIVERARKEKEEDDRRAREYEEA EAARTRGTPLTRGLFDKWRKSFTAEIKAKREKEEEDRVKAMISKEREEWKKRKERPTG RQLFESSSALATSDEGLYEEGVQEVDMRQYTREQREAERRREEEEEERRRSGLVRDGD SDNE
I206_03863	MPNPTEEEQESFQRIIGSAGYGSHLSKHFKISEIDELPPTDEKG WRKVNGFKMKFESIVTEDMSNFSGNMHGAAYSWILDTCSSATLIAIHTPTFWGLPDFA GVTLTMELQCLNPAPINTKLLIEVEIIKCSVRLANLRCDIKNLETGKPYATGTHLKMW KGPQNGSEKDTKAKL
I206_03864	MAPAGLVITKLGIQATATDDVVRGLMCLKISLPKDSEARPGARW ALFNSTPPRLLSTPIIHPLPLPVPSSRDPQLRTASKILALPLPSIYPPSSPSGLGGKP YIDISSTTGKVYLVIDPISSRRNSQTRASGSTSQSTSRKEWLICMEFEVPLENGVEEG ISKVLLPIPKCLDNTIRFQILSPNIPTSSSSSSSLSSLNQEVNILTDPKMLPLPANAF KSSTSSHRANRHTVRSSKEDKGKGKIKSTVGEDGWEDGEEDTDISDDEGDDSGGGSWL EGRFPSTEVLRLEWSFNSASSSDIPSLQISPRYDKQSSTISIAYVTQIPMTENPISFE IDVPDGWGWSDFTVQGENLMNWRCVDGGWGVSETNLDDSMQEAEYEDSFATVRAKSAF SRKLTPASSTESGHSDILPTIRSTNNATSSSASLMRQTFPSLHEKMEDFSFEMASVEH KPATPTSLRKSPLQMLLSSTSSKGHTSRERPRAGKSFNLYLGAEGDRTIAIQGTLSLI DSMTLVSPSIPMKIPFIRFENQTSQCQVECPWATYGSSKTESSQVELVDIALGGRLAW TGQDGSKFSTSASPLKGDVKVRLRRSPWGIINAFAVFPFPSSREEVGFSIDCYEDIRL LRSTIDGKDVARTMYSENHNQQIRLGKHDQKPGGVVEVEWEMTLGTSGVIGLPVFEST GDGSLKVELSGDEWSSSLPLIKTNMKRDSPNSLTYPLASSIEAKLSLPVPGIVKSRRK TLLSLSNLINLILLWLLLSMGQQLQRLKSEIDFVRDEYKDLRDYGIQLPNQVSEIPIT TATVTEVVITTSTQTADQQTSTSHNSDKEKGNLIVVERNKYDLGRVVRGNIHWGDWLT HPTVRTITRGVGWFWNTLWDLVLP
I206_03865	MEQDNDNSYHDPVLYQAIIYDLDLLTHQNQQVPQDHQDQQVQLD QQFQLDQQDQQDQQDQQFQLDQQDHQDQQVQLDQQVQQDQQDQHELLRTLSDESSADS QQAPEQELNKAFAKLLADWAASGNLPPEPVDVVRQIEEMMSTFGLRPYQSSTQTQYPY NDNFSAQRRPNAASQDSISNYTPGMVSAAIHPSPNGVAYQLPHPGAHSHSQSYYQGSQ ASHSSQNTVHQTNNNTNYPSDMFAQMNSSIPMSDTTLYNGRFRETHNYGATRLPAYSS SPGGQLLSPTSPSTHFPVGMNQSSASASSSSFPSVQTTPANLPKGTKGAKGFKGGKGA KNGKRKSSCSPKSWNSGMPSSTELDNMALPDQKWDMPQEEYKKLSPADKKKIRNKVGA KQFRLKRKMHLEELERAVKEKDEEIFTLRLQFQNQQNQLNQLREKFGLTQMESIEIQP NASSLGLKFDQNQIDVDDATTINGKAYNTTVPGVEWVRNGIEART
I206_03866	MADSIVAPTPQSGSLSPSPNTLAPPSNPSRPSSANSQRSNSSRG GRSSNISRSNSNASSRGGSENGRGNPDKKKQSGPTTTISGGVSLGASGNQPKKSNGGG KKGRSPTPREATLDGEKSGNHQQKDGNKSKKPNSNRKPNPINTNVQNPNRPSSQNSNS KGGNDTAPKSIHTAPSAPRTAMEAAVDAATKKHHAQSGGDALASLQKMISDLKTLPNS GPSTGSSNGSRSVSASATKESPISAATNQTTAEAVAIPNSSTSANSNSAKKLKADAPS FTPSFNAATSPVNSQIGLSISPIAPIPPSSVLHPRSVSHGSAANNRRTSTGSVAGSGF NQNMATSPIQVYPNPLPPIYQNLSAHPEVEEEHSQMSFAQQAELQYQQQQLLAAQQQQ YQYIQLLQAQIAANQQLAQQQAQHQHQQQQQQQQMGSFIAPRFQALAQQRAAQQQQQT ALQLAQAQQLYELQQAQLLKQQRDQEEARAKAIAETMKNQPVFAEDDEELEARQSSVG PTGRPQLAPSFTFGAKPKHVKSESISERGSARDSMSPPSTHHTSPPVVVNRSEGIGGA AATGLAGLAARAHKRTGSEMSSAMQQQLAIQQEIEALQAKQKALMQEDISSQGSTPLS QLNTALQSKQTPSQTLSRHRRVQSSLPSATIPTEMPDRAEKVDQPRALRTIGEMPPPP VPSNGGHSRRHSVNVFNKTAGHGVGFGSMGGEMAIPEDYVSNGDRATGHHRSGSRSGF ESGNWRVSGGGSAINNNVGNIQVADLAAAQAQLQSLAQFRAAAGGGHSKMASFSFPNM LPNLLAATTLQTPIGQSLWQQQQSFQMQLQQTSQGPQRKSLFAPYLPQASLPPLLQAG KLVVGVLRVNKKNRSDAYVATDVLEADIYICGSKDRNRALEGDIVAVELLDVDEVWGT KKDKEEKKRKKEENAVYDLKPSQAKKIEKKKDDVEVEGQGLTLFEDEEVNDDTKPTYA GHVVAVVERMPGQLFSGQLGVLRPSSAATKEKQELERRERDGDRGGRRDEPEQRPKIV WFKPTDKRVPLIAIPTEQAPSDFIDNPDAYGDKLFVATIKRWPITSLHPFGTLVEELG PIGDVEVETSALLKDCNFPTEEFTDLTMKCLPPLPWSIPDREYEVRTDLRDERTFTID PSTAKDLDDALSVKQNEDGTTTVGVHIADVSYFVKANTAIDREARKRATSVYLVQRAV PMLPPQLSEELCSLVPDVERLTFSAIFTFDEEGNVKDKKFAKSIIKSNARLSYSDAQD VINGGSLDSTKGAVNETKEIESDVKILHELSTKIKRKRLDAGAILSNKLKVSFAFDDN GKPVDVDAVKKTEANSLVEEFMLLANISVAHLIANGLPEQALLRRHEAPIDRRLEGFV TRAHKLGFEIDATSAGTLQKSFEGIKDMDTVLCLELLKKKAMQRARYFCTGMLDIAKY SHWALNTPLYTHFTSPIRRYADVLVHRMLDACLTTNQNDVKFLMDRDQVAKCAQQCNM KKASAKLAEEQSIHLYLCLLIHDLTERYGPVIRQAKVTGVLDAAFDVVIPEFGIEKRV HVDKMPVENVVYDEHKDILSLYWTRQNVLSYLAETTEDPHLLKIKALGEKLVSGSSTT QSLEENSLFDTAGSNQKNDKNSKQYLKSSLKSEIGFEGLRSDPSGKHKIQDIKELSNL PVIITSDITKSPPVLVVYACE
I206_03867	MTVPTIDLSKFSTPEGKFELSQTLIEAIRTKGFFYVINYGIDQE KVDKQFSIGSNFYNLPLEEKSKYIPDLENGEYNGYRPAGRAVLSGGVKDQTEVYNIPK FDGYHDRDHPEVIKENIKEIEEFARSLHTNVLDPLHQLIALALELPEDFFTNLHKYEN PSEDHLRYMMYRHFNEDQLKKLNENDGLYSLGHTDLGTLTLLFRQPVAALQIKDHKTG DWKWAKPLNGSLTVNTCDALSFLTGGYIKSTVHRVSVPPKDQNQFDRLGLLYFARPSN DLKLSTIKSPLLIREGFTQNEFEKGEFDVPTMGEFVKLKQTWQQKKRQNYREREDEII APGFKGKYHD
I206_03868	MGRIERLRRNKVADAFIDIFDWYPSHYSKEERKLLRKLDVTILI FACLSFFCKYLDQTNITNAYVSGLKEDLNAGGNDLNYFNVAYYTAYVVGQWPLIALQS KPRLAPYLLPTMEIIWAILTFCQARVTKVWHLYVLRALLGFFSAPSFGGTHLVLGSWY KQEELFKRAGVWFMGNSVGQMFSGYLQAAAYNNLNGVNGYSGWKWLFIINGIITLPIS FLGFAVFPGLINSPKKWYFSIEEWSLAKSRLSHNQGSGDNGVSFKTIKSVVRKPMFWI CVTTYICMIQAHYWEGYMVLWLKADTKLSISLINILPTFSYLIAALSSWLGTTLAGKV SRPGLWTFQASCSIFSLILMNIWNIPNGLKFFAFYFTGLSSMASPVFYSWLNSTMRDN PAERALIISTCMTMGYCTYIWVPLFTFPTVEAPRFPHGYPPSLVFAVAEWGITFFGMW YMGRKAIKGSDESLSVSEESLEGVRTPEVEEKDREFEEVGPAQVVTKARSTAVLS
I206_03869	MFTIYNLESLSSKLVDITNQATCEDIWDGTDFTPCFVERYINNI PFLIIGLSALYIIITTSIPRYIPSNTYTPLTTETLISPSASSDLAKLESNVILDAVAS NLQTSSKPSETGKLSDGEAEQLVKDWKRNSKRAELKWRRLRFWVGIIGALAWFELEIA RGVIEGSWRDAVFPGWLALIALIPSSPLTAILTIHVLPSLIAFRSNIVQPHTSALIIA SGILEVVYWIAMISIPYSENLDRLLEKGFSQGGGTSGSYGTKLPKHCEEPASVFSRAT YTFILPLLFKHYFQPITLQDIPAIREDDSASSSLGAFRAYRASRDKKYLAKTGETRVR NLGADLFWFFSPELAYQCIWAVLFVLFQYLPPTGLRLLLQFVKERDTSSQPGHVAVLY VAMMAGGQVLGVVLMGQSLFIGRRLCIRLRAIIVSDVFAKALRRRDLSGNVKKTKVDK SGKVVDDPEAAASEGKIANLVSVDAFSVSEICAYTYYLVSCPFAVILNSVLLYNTLGP ASFAGTATLIVLMPLQGLIGRLYTIYQKRFMGATDARLESVTEVIAHIKLIKFNAWED KFFERMGIARKKELGVLAYRFATSTLFQIFVWGTPVLVTGVAFAVHSMVLKQPLTADR AFASLILFNMLKDPLALFQDTLTRLLQAYTSCGRIQAYLDEPDTLKYRQISTPKAGEP TIGFKNAIIGYTTHEDLNQLVDGDAKPFALGELDLSFPLGGLSIIAGPVGSGKTTLIL GLLGEAMLLQGKIFMPDDHANREICPIDPATGLADTVAYCAQTPWLIGASIKENIVFG SEWDSKRYNDVVDACALRRDFEIFELGDETEVGEKGTTCSGGQKARIALARAIYSSAK TIILDDVLSAVDAQTARHLYDNTLQGRLVEGRTMIMVTHQVNLVAPASKYIVLLEDGQ VLSAGTPRELSSNGHLDLHDSSASSTPTAASSSADLSPKHPEGGETEDLIEPVLDTEP AELLEIQKQIEVDKAAETTSEVKLDKQLVAAESSGQGMVGLGTYWLYFKSMGSGLFWF LVIFAFIGSQILQIANNTWIKEWANSNDRASSSIPTISSFLTSRENIQQEIQIQLTKQ RSTVFYLTVYWGISGCYVLAVAARVGITFFGALTASQELYGKLVRRILGAKMRFFDST PSGRIMNRLSKDMSSIDTEAGEILMYFANSCLAAGAILLVVTVSTPAFLLALVLIVLA YWALGSLYVTTNREIKRIDSVTRSPIFISFSEVLVGMSTIRSYGDSARFMRKLFQEVD QNTRCFWYLWQINRLLNNFSNFIGAFVTIFAAVFALRNPDMDAGAVGLSITYALSFTE YVLWVVRLYAASEMSMNSVERVGEYLELEVEEEEHAKGIEPPAYWPSREGSVVVENLT CKYAPQLDPVLQGVSFTIGPREKIGVCGRTGSGKSTLALSFFRFLHQEGGKITIDGID IAKISLKALRSRLTILPQEAQLFSGTVRDNLDPFDQHDDSAIWEALSQCGLVNKSRYP SRVTSKADLTSLTQTKKLSTPSKNTLRGQASVRNLMKKVDNKLETLQVGQNGDAIADG DQVEEEERVMIRSLDEKVAVGGKNFSQGQRQLLALARGLLKLRSSSFLIMDESTANLD HATDATIQNVLRSEMKDVQMIVIAHRLMTVCGLDKILVLDHGKVVEFGTPYELMQKEN GSFRELCKQSGEESQLLELAKQVHESIGGQTS
I206_03870	MPVKETPAAFPVIDTDPHFTRVIRYMRPSDYLTWAGSTAIGPGL FWLYEKVDPTKASKAALRSSLRLTGFLGFAAGFMLAYQNSSARFWGWRENSDEVKKDQ IELSERYAAGKPLYGETELTPYLQGVAARNSTFSQLKLHAFPWFNVANHNVHGVDTSK YGADKQPS
I206_03871	MGSSHSQLQRNPSSPSAAQSPSSPPPTNTRHFRFNSLRRFSNLG RRNNDASTNSKRLRQGSTTSDSLSPRTGSESGGREEGKKKKARASSPVAPNPIPEEIS IASPKSTLPPAPESSDQVMEDNVEATSSSSMQTSAHAPTLAEPIQTASIISRSSPVCS AVEAPPIQQSILLTPTAAPIPLPTTPSSEISDPLSEERRQSLTLIRDTLGPEWQANST SPSSSSAGRIFDHFRRTSSSPDLNDQSGSEGRSIPQRTMSDRLTALLGFSTPGISSEA PQREIPTREPTSSIDDADRRLEESESAIQELTERLTQAREELAQTERQLNDAQDRVER RRVTPGAVLIIQGLAQTHAQPSFEDESVEAGNAEGNEPRRRPGMRARRSSEGSTSHPR RGFRNQSRDSDNRSNIETQARMIGGLLTVTAAATATTLLAPSSPPFPPANPRSPAASA LEAIVNRIRPRQNRTQTVEAALGNYLRTAIQGSNDRPFSTNPTVSPAPEGEGGSTANA DVIASEFQRFLEGVQGDLVGAVREFAGPLPVNLTTSASPALDAQPEMRDVAEEDSFVT APSTAPTPIPPSPSDDHEGATSNILPVDPIVPTFHSQLGQNLPRDSTAPTPQVTGGTD GQPRRLNFFRAHMFPPLPSTSSPLEDNATANQPIVPCIFIGVRSVRHDPNMTTDELAE HPNFPFVDGQAATMSPVSAAATTPLASPSDLSTTATDDGSAGILDSGSTHTSPSLQSS ALPDMSTSNISSGVSTERRSLRERVLDRLNPSRTRRHDNTIGEGPLQTYLVYVIGGNY PQNHPILSIPSLITGGPLTDEEMNLISELMGPAKPPTVDNEEIQKSGLKVVKGDQMAQ LTEKGELLDICADRCLICLSDYEPEEECRILNCKHGYHKECVDQWLIKGRNSCPACRS EAVDKTKSPTISTSADHATEELPIVNQDTSLPMDIDQVDSAAETNNVN
I206_03872	MNNWPFSSNLSETSSDHSVEDVGPIQWRDRTIWTVGKRGGWPID VVEQERREAEHHLLRILLGHPFYERISEILKDTSNHHRKKVLDVGTGEGTWAIEMADL FPHVKIIGIDEVAIQPVMVPPNCEMDLSKALPYPAQSLDIIHLRFLVNKVPEYLSLIS QSLHLLKSGGLLLIFDNFTIPQDDLNNTPKGIQAFHDSYNRSLKTAKIQKVITEDIIN ILRGNGEIKGDLIKVPINHGNGRMKQLSKIHLINLKAWVESTKYMIIEYGEYTDSEFD ILSRFTMLNNLGREIT
I206_03873	MSQPNRTYHNPFYTAPPPAPSQNPTSPPPSYNNSTRPGSQTNRP IPNEPVQYGSLSQLNKGRNVPAPPSSSSNTNSSFSYTPDPSRQGYRNTYDEPQSSNGR KLPPLGKDGMMPPTPPEPPRRNVATSSTTTSTGTSGQQSYLKQAQGYIPDRAQNTIGA AADRVNDGWRSIATTERKDQVMSGLGKLGVGAAKLTAKGAYQIGKFASK
I206_03874	MSTVIKPLTGMHAERSQVYTAHLQNSLLPELEMTRHNLMIMEND ISEYENLRGKIEELEKLDGNSIETLTEMGAGIWVEAKIPDTNVITLDLGYDLHMDMNL KEAKEYVIKKVEILKRKRDNFSKKEEFLVWQIGQFHGALSQPDAR
I206_03875	MVDDAFGKGFDIGLLAQQNLNSGNPNDSHVFPLKSQNPTPWYTN FEAIHSKVLDQVTSGAKHIISPSDFAKSLVNQIDKVEPRGKIWIGSFSNLMSWLMPLL DKFGLKDWLWKSAQNFINMVEKPNIEQD
I206_03876	MTVIKPSHFIVVPTAMWGHLRPLLHLSLNLITLHPNLHLTLLVT PSILPRVEHELKSTSFAHIYTKSPPGPGTSSPNPDLHHITPQEQIQEDKAIADKLQII TCISPEFNLPKEWSAETMAQEGMDYAQTVPKFIKALVSKEHRLAEVENKYDDIPPNFL IYDTFLPFIPEVMRGVMSELQKPMLPLIGFVPSNAAATWHTFAEEETGGHFRLASRLI EEDIANGMDTAEAHVKHAFGTYGKVKTIPGLPPKFDYEWWPNLATVPMPPQAFMGIIP SAKAAKDPAVHGLVCPTTAEIEPEAVEALEKEMGYRIYMAGPQFPESAWAGEHPEPKA KNEDDEKVFAFLDKMKKKHGINSVIYVSMGSLFFPATRPELIRYILKSLKDNGFPFVY AYASQMAPIPEDLQKELDDNEDSCAVKFAPQWDVVNHEATGYFLSHCGSNSTAEAILA ELPMVSMPFAADQGEFTSLLSEIYKVSIDLKQVKTFRTPEFNKLYDGTIVVGTEEAIK AELKQTWDTLRGPEGEAMRERMKALKATIKKSWADGRSKKDMLALGTCFE
I206_03877	MPCSLPSVKFPSVRHEAEDASLKLHYEGTLSDQSGDDTKYPVQI VTIHSLLTKRLDPNSEYCPTAEECEALDKVCVENRTNETTRSIGVNIVKTGNIDPTKP SGRHKFQVFAGDLVEDYRNSLFFVPISEDGKGMRKTWLMNRENHGRSMWSTVTAKEEV STLMENTITHAYRVDEEGGITREIPTITPIPWPIEGSVVDRVDLHTDIMENDRSDSWW RSHESDRVKDTACTLNVTALIPHDESEQKNTKAPILFLHGQVDVSQDKTDQWWDGPIT WYTPEQAA
I206_03878	MDELHRLLKSEDNLLTFRPHIKSYKLPDNQVVADVADKYIVTAP NPGEEYLMGSDGLYTLLTLWEIDGKELKDKAVKVDFKPQREEGASVVDIKDDVIICVE SVQEGHNTRYQIRVFHLFNEIEIAQPRESDEIIKNTRDMGLNNCPRVMIGREGLLIVH TTHDIKWTRWTEGKGAIWGHIEKHPYLGFSSHIGIYGHDIVAILGPAAPHQPSSGTSG YGGFPVEPIEREYLLLYKLDDTTRPHTMTRAQMVLRMPHGRNEFPPEGRDLIPHGIVN ETINPGRLTDSSNGRSQIIEVAFTIFDEHPIPTHMVEDSVPWIIVNIPVKYVREAVLS GIFPSRILPRNGLPPIHQNRRNPLRICRMKYKFPDLNNDEEYALRLCDCCVQSDKSEE IFRDLKVVSPDMWKEGSSITYLKGPENTHQDLRVFGTRHISYYRHYNEQKNTKGTILT ELYIENHRTETGYVPACHRSLGGLGGQIDVVVDRAQNVRSAVSEQPYICGHPYLHWDK STPFTSYGGCFEDVPAHGLVTKVIFDGEKVVFEHETGWVTIFDFA
I206_03879	MSSRAQTVTETLSSVIELLENDQNLKKQIRESIEPIDDLSRTAI TELNKLHSAPFSQHNEICQNSIDIISKTQSLWQDVAKLIPENEFYRYQFALGPTMRNL TTSIVLARFILYDDLTSAHTISKILGLKNDSTEVLQLSSEDYLQGVIGAVNELPRLSI NAVTSQNFELPIKISSFVNDIFASYSLLNLRNDALRRKFDSLKYDLKKCEDVVYDLTL RGLTKPRE
I206_03880	MSDLPFPPLILASSSSSVVSASGAQIILYNSQTSKIINSPQVEN KLQQNGFIRHLAITNDGKIVASVGDDKNLKVWDVNDDELKLRSTRGLIKKASHISFAN DNSIIVSDKVGDVYSYPLDPIPSDPSTSRPPMYSMVSDPTKNPDCTYLLGHVSVTTQH IITPDNKYIITADRDEHIRISRYPKAYVIERQLFGHDGFVSALHIPSSQSNILISGGG DPSIRIWDWTIGQLVNKVDIYSAILPHRKVRSYMRKNKWKGRTMKTEETNTAIQSRDQ EEGEETFYSAPEGYVLPTGQGVCIKKIDSLQISGKTIILFFSEGASSIHSFILPSDPS SPTTVNTLPLPYPLLDFTALPNDNGKILLSLDTAWGVLKTNPGPGTDARQDVIQRDEL SIEEKESFKDTLSILEVNEEGKFSFTPSNDISSIYSNLPKTDIKTLSNLNLYPLINLL PRWPGLEEDEGIDIPQINSNENGNIDISDDLISLAPTNLTTKTLGGTIKNYTIEELNS LNLKVLGRLKSNGVDVNEILKQRQKKSKEERKLKLLEINKKLKEEKEEIETQKEPEKK KQKQKQKQTKKVVILNEEDMSNS
I206_03881	MVSSPPLPPSSQPRPKRQRSRSRSPTAGPSGPRSSPPPSSLPPS SPPAPFSDFGDEADVGDDAEVEMRQMGRAREGDDDEDEDGEDLFNERMMEDYDANDNL DTYSQADLDDRSSIAEMTRGERLAAERAMERRDRGLGGRRAGRRDHMPAFLQSDDEDM EGLGEGPLAGINTRRRRRQYDERMDEDDAGEEEEMSLEHLGDVKAASIAEWVSIDSVR RAVQKHFRSFLMTYVDENGQSVYGQRIKHLGEINSESLEVSFLHLAQSRPILAYFLAN SPQPMLALFDEVALEAILLYYPSYDNIHSEIHVRITEFPTSLSLRDLRQSNLNCLVRV SGVVTRRTGVFPQLKYVKFDCGKCGAVNGPFFQDTNKELKISFCSACESRGPFSVNSE QTVYRNYQKMTLQESPGSVPAGRLPRHREVVLLWDLIDMAKPGEEIEVTGIYRNNFDA SLNTKNGFPVFSTVLEANHINKKEDLFAAVRLTEEDEKMIRTMSKDERIAKRIIKSIA PSIYGHDDIKTALALSLFGGVPKDINRKHRIRGDINVLLLGDPGTAKSQFLKYVEKTA NRAVFTTGQGASAVGLTASVRKDPITREWTLEGGALVLADKGHCLIDEFDKMNDADRT SIHEAMEQQSISISKAGIVTSLQARCAIIAAANPIRGKYNPTIPFQQNVELTEPILSR FDVLCVVKDAVDPVKDEMLAQFVVGSHLRSHPQFDEARDEVNVTTSVDADIIPQDVLR KYIMYSKEHVRPKLHQLDQDKLARLYADLRRESLATGSFPITVRHLESMIRMSEASAK MHLREYVRSDDIDLAIQVAVGSFVNAQKMSIKKTLERGFRKYVHQATDHEELLAFLLG QLIKESSQQYRLQRGENPEKVQIKLNQLEKRAKELEIYDIAPFTRSQLFNTNGYQLVE LQGHGKVIEKVFTRG
I206_03882	MAPTKRATNSGSSVTTSLGAPIKTDLINETDLSTLATDPLPTIT VNNANLGEIKAALDDIVKKHLQDQSFTPSILHPTVHLLLGYSSIILALSSILYSLKVE FEDSKPILWISVIGYTILQTILWSWKRWIEKGEVFKGKRRRMVKRVS
I206_03883	MSGVFGAVKNFFRPKGLIGYDLQGNKYFEIPNPAGGRMKRFVQY KKNRDLAEYSRSELKPPVQWRAWLSHTRVEPPNLEELKNDFKRQENLQPKIKAIELRE KEERIRQGYLLPDGSIPINNNNLKNQIFISNPSTQFERKAILNNIGKTSISQKQKQEQ NKQFYQIESNQKSNIQQQQYSNSLNSEKISVENSYNIPKSTFNSKQNQTFDPIKHSSA EELRKLAMEDTKRRISQNKEKQPKPEKKDHGIQGVGLGLADNSGGLQPRRRGKI
I206_03884	MLTKFESKSPRVKGIAFHPKTPLLAASLHNGTIQLWNYQMGTLV DRYDEHDGPVRGICFHPTQPIFCSGGDDYKIKVWNYKQRKCLFTLTGHLDYVRTVFFH REYPWIISASDDQTIRIWNWQSRTCIAILTGHNHYIMCAQFHPWDDLVVSASMDLTVR VWDISGLRKKNQASQAPMSFEEQVSRANQNQADLFGNTDAVVKYVLEGHDRGVNWASF HPTLPLIVSCGDDRQVKLWRMSETKAWEVDSCRGHFNNVSMSMFHPRHELLLSASEDK TIRVWDMTKRTAVQTFRREQDRFWVLTAHPELNLFAAGHDNGLIVFKLERERPAFSLS GNQLFYVKDKIIRMADLAAGTNQGICSVRKLGSQWIQPRTLSYNPAERAVVVTSPSEN GTYELITLPKSSAPSASDGKDVPSDGKKGNGACAIFVARNRLAVLDKATQNIEIKDLS NSITKTVKCPVQTNEIFYGGTASLLLATPTSVVLFDIQQQKVLAEISTPPVKYVVWST DGNMAALLSKHTITIANKALTQSALIHETIRIKSAAWDDSGILIYTTLNHIKYALPQG DNGIIKTLEQPVYLTRVKGQIVHCLDRTAKPRTITIDPTEYRFKLALVRKNYDEVLQI IRSSNLVGQSIIGYLQKKGYPEIALHFVQDQQTRFDLAIECGNLQVALEMARAVDRED VWNRLGAAALQQGNHSIVETAYQKTKNFDKLSFLYLITGNTQKLSMMQVIAQKRGDNM SRFQNSLYLGDIQARVEVLRETGQYPLAYYTAKTNGLDDTALEILEEAGMTEDDLPAP PQSSGHSSLAPPPISFPQSDSNWPIKNLGESFFDRALANGGVDESLGEPSGVESGEQL DAWAADVAIDGGADEGAEEGDEDEGWDLDAEVIAPPEEEITPEEEAGEADLSEGVTPG IPEDELWIRNSPLAADHAAAGNFESAMQLLNRQIGAVNFGPLKPFFLQSYQSAHVYVP ANPSLPPIKYNVRRNPESTELREFLPVITYNFDDLKANELAEANKFFARGKFLEALGA FRAVLQKLIMVVVDDENEANEIKELVILCREYIIGLTMEVERRRLVAEEPESVVRNLE LAAYFTHCKLATQHVQLALRSAMKVFSDAGNHATAAVFARRLIDTKPSGAQVITQARA VLAQGDRNPRDNNEIEYDQFTSFEICPSSLTPIYKGSPSILSPYTSSKYLPEFKGTIC KIDEITQVGLTASGLRNKV
I206_03885	MSRPKPRTSESASSSRVPTVAIPPTARKSSQPAVNTQSAGTAPR FYDGPTVSTQGSDVKAKAKKAAAKTAAVTKASTKRAVATARRHPWSIISILTTLPALI FFSLASTVLCPPPGQTLSPINKYVLSPLGYGPTQSHPILCYPANVYHQEVLQPYVYPV LDKAQNRFTTSPYYVNYAKPTYDKADKTLQTIYNGPVKPVVNRIVRGAKRFYFTFVQP HIPYLKARYHTITAPYTSRIRAFTNPHIETATRYANLASDNAVKGYNYASTHPVTGQI SKYAQKGWQVGSENGYKAYQWSKPHFLRARLETERITREILGPRAVKAIERATVEAAK IEAIVRAYIVRVYNTHLEPHVGPYVTQISKATSPYTALLDKHVYQPYIKPTFTQFFPA ALVAETKSKSFLGMLAEFLPVGGGDVAEKKGQMDDYYHNLENSRKPSEVQVPAADKVA QPKKKAPENVKSTQEKNARTNQKKIEKAEMERVREAIKARVDEQGKKSQRKVSEEVKR INEEFLSTQVPVLAQNLRSEIEREIDYILSGLDKLYTKSTSLTAQQVAKSSEQADLRL KKTIDKIKSRLDVQKNKINDQSKVVVERQGGLIDKALGNEYEELAQQIKWIDGITTKD WDKYNDVKKAADSYKAKFVSTSDDPKIVKPFNELRTELNDWHESFRERLGILRRTALD RIKAREAISSESSAEPSRVSILPIKEAAGAAAAAAGVGAGGILGKGKEQVLSALSAAG SATTTATGIIDQAQSSAESIISAASSNLHDATRSAISAVGGTPSPQSPREHAESAISA ASEGIESFLDSATSSVHQATRSAMKAVGVTPTPESIPEHAESAYSAAAEAIESLSSVT ESAIHDATRSAIKVVGGTPTPESGSEYAESIYNAASQGVDSIISSASEVIHQGTRSII SAAGSTPTPESFGESIQSVLAIASEGIEGLIPDLEIHQATRSIMKVVGATPSPENINE HIESISQIVSQSASSVYSEASETVSSYAKDASSIIDEVKSTISNQADYVGDVMNEKVI EKIRNPREIAASLVAKHASQIDRDLAEERLRGTTRIIGDEHGHGHGHGRSQQTKSAQK VREEL
I206_03886	MKYGKEFQHILEDSSFPPEWKDSAIEYRRLKKLIKNVVHELTSM GLSPNVLNKLLVTEDHNHVSSTKSDQKIQDMTSSRLEEDDEVLEFEYESPETTPPTIQ SGSNPTLTSQTHELQPQEVIIDPLPSSPPLKAPHPSSSSSVNNILSPEPHHSHKKFRL RLLSDTPSELPTISLDDLPKRPMSVGQFLEAQEKLREEKTPSPANEHRGRRVVRRAVL DGTGGVKAEYVLTGDPSNPIPQLRLHVQASPAPSHEFLTASASPSLSPSPAWSTPDDD TDQDTEDENDDITPFNVDNNTTPRPLDRLKSPILPSSPTLQKMKSALSPIFAIASGRD IRGGMRDLTIGDSIVDENSSPTTPKAKEFPFPKPRSITTDMTSLPEIPSSTEDLPEIS TSTSPSHERDFIIPLSSDLEFFHLLTSALTSLSTFHSKQQVLFKQAVENVCGTISSTI SPSSGSAAPQIVPIPPTTSPLDVSSIDAGPSVVSKYHSGKTSKKDLYIWREIFTLWIE SEIFESTSERTRGERTLEEAENRLKIFANEVVKRGLGDRRTLKGKKTRNAWEEFLKLN VWLLDLKRFQGANVMAARKILKKHDKRTALTASEGFPSFVKSTLSTYVDKDGNVSTWA FYNTSLPHILLASLTNTLLPILPSLDDYSCLICTSIAFKPIRLGCGHLFCVRCLVKMQ RSGKEECPLCRGKVVLLADKNSLDLTVMNFMKSWFPKEVKLKQKENELEVAKEHAKEA GIDTRCIIM
I206_03887	MSNFLPVPTKRALPLPSFSQHLLNYISTHFRDAHPEAFKRDVES LVALRKEWVEAKAEGHPEIVRGLMRYHAQLAFLSTKFPSDISLQFTYHLPFPPSYSLT PDAPISLASLTFERASVLYNIAGLYASMAAAERRAEAESIKRSLGYLSAAAGVLEHLI KNLLPTLQSELSSPHAAGYDMSDSFLSTIKEFVRAEAQECYWQQAVLQGSYKNGLIGK LSMKVSEYYKAALSSMNGTDYPSSSSFPANWIAHITVKQNHFEAAAQYRLSQEDLEKS RYGEEIARLRVAEGLAKKGLEAGKKGVADSVISDLKNLQAAVKAALDRAVRDNDLVYV CPIPPANQLAPLNGVGMVKVAIPNEVSEPIAWLMGGGAGMPALFSALVPYGVHLALSI YDDRKDTLVRELDGKREELDGIAASTLQSLNLPGSIQALERPVGLPPSLLRKAEEVDT TGGVERIRSLLEEVSRLSRANSQSLSDAMDILDQEATEEETLLSRQPELQSGRPPSHI ANQPLIATAQQYDATIRQAAGSDATVKVKWGEWGHLIEILAGGEDDINDYIPSSSSAI NGYSALPPSVRPIRASLEDLDDRIAHRARLVNDAKNIAAADDIRPAVLSEATRLAHGG TGDVKTEWFEDLFGRSLEKYDRIKEEMEAEGSKQDILLEQIRGQNEAFISERKDDPIV KERERKLQDMDLAYWKWREIVDNAEEGIKFYNSFAEMLNQFKGTCTQFLNSRRADVGQ ITKQFQNVSFNDSAPSHAPPPPTQEHQIFDTSPSYHPQSHNSTSSISSPTPRVPSPAK TFLAHPSSNQWQSGADFLPPPPPPPILRSGGIQTQPRSAPPPPSVSTPRRVTRASAAA AKGPIGDAEKNPYAKGTRRGGGGVV
I206_03888	MSQSPLARTRRSLPAFSHAPSKLSKSHSSRELAEIESPLSTPSN KASTTSQSHIQTPNGIDTPTRYRNANTSTPLTPKIHYSPYALSTPPQGMSKSSSIPFD MAASAKAARRAEEDRRSSTPIPDIQLKKKRFVRQKPIWQRISTIPTQLYDRLAFATPQ SIYDILPDEHLANPIALVIHAIHYLLVYPFFTYQDEYHSVLRSGRETTGVSRRWDQWE NQGKANGIGLLGGWSRYIFLILLSLLSVGNAAYLFTRFRTYDMQLRSVTQPIHSPHAS PVPAPKVRDESDHDVFVSSSSSFQTTSVGLAKYARLTGRAVWFILKFSFYSILSAFGK PQKDALKLNNFGANDKIQSLRVWDPPEFCLAFFCAFPPTSPILTHLLVPLHPIYTPLL HLSTTFLLSQLAQFYSQLVKDRMLLSAEVMREYDQRFVYKRVFANRVDRGVGTNESET IW
I206_03889	MYWPTATSRLVNTPSPLNSQPVRRLKSSRKGNFFVSLTENGLGV WDVRPTVLQAAVIRSSASIERFGHNVDVFWAHDGRGIIILTNTSHLLFYQLVPTSRPS YDSPGPSTPGPGEGDVVMGWELRCLGTAFVMGRCQSLVTQPHNLLLVLQHPPSILTVP YPIPIQLLSPAGSHFPPPPLDGDSESQIDCSIYDLSSNTEWLKDPLPTGITSFRIPGL PILYSLTNQDGRLYIMYQSSQLAQMTTSESLKSQLNNGPKYTGFRIHPPPKTSDLTKR VEALGLLRGEEDEGDVEDYTEQVAVNPRFGLVAVGLASGKINIISLPPYPAQPRLSHT LNLRMSANLHSSPGGVTSLAWTGDGYCLAAGYEKGWAAWSMGGRLGGWGVKDDDEGQV DQAVGVVDVFWAPGNLELFLLRPALDHSPQIEVVSFVKSASTSQQSPDNTRYAFLQMD DRVLVYRGADQPDMSVINPESDVWQSIKIPSAYIATNWPLRYASISSDGKLIAVAGRK GLTHYSASSGRWKLYPDERQEREFTVRGGLLWFHHVLIAAVDVDKTHQIRLYSRDLDL TEILHTQTLPSPVLVMTLLDNSLLVYTADNNLYHFLILPTQSSIKLHLCGSISFRGIV QVPSRVRGMSWMIPLAQKNLGDPVDDLIVATIIFLVDGKLVLLRPRRARTDEVRYDMQ ILADRIEVYWTHLHGVATLENSLWGYDGQNVRIWLDALTIEATRVNSTSDSYEEVEES VKLRLDFYPLSILMDKAIIIGVDPDSSLRLPWSIYKITTSTHLFLPQFLRYHLSSLPQ PSLLNALILAKQYEGLVYFAHSLEILLHSVLEDEAAKSNTNNKQFINLNSFPPTPNPE INGKNDILSAVVQFLDHFDNSLEVVVGCARKTEVDRWKLLFDIVGSPRILFEACLSQG KLRTAASYLLVLHGLNEDGAFDTIRLLKRAIKAKEYHLCKELLRFLHSIDESGHSLIS AIEQIGILDQSLISSKTVSSDQNGGNTSVQSNTNEVGLNEEIEKIVNTPNILLSSEVS SPIHVPTSSPPLPISSEIERIKDRSEERINSPFQLNQERMYKTPPSPRTMERFEGDSP IEDERTRIEEKLENAD
I206_03890	MKFTNLVTVLPFLGMHLLSAKALDISSTTISIGENNKLEWETPA GSGNWHNQTGGTMIWRTGQFAFKFTPSKDGKELFTIKCYGSGVDEEPHQIFNFDLRNE HPYIEGYSDKARIWCPVEEGKAANLPVPSDIDSDKNKP
I206_03891	MALTHRKNHKKDPESGDAEAEAKRHEAEEKKKWDGEEYDVLLRY VEDQKQKLKNKKDDNGDDDEKDVKYTRKWYAPWKKTKVAGGPKKVPSEWLETDRQKGL SSSDIDERRKHSGFNELESPSENQFIKFISYFRGPILYVMELAVLLAAGLRDWIDFGV IIGILFLNAAVGWYQEKQAGDIVAQLKAGIAMKATVVRDGHEQEIEARELVPGDIIVL EEGNTIAADAKIVGDYNDKDGSKSKTILDNHEKSKKAKGHQSDSDNEEDDDDDGPNKG PSVMSVDQSAITGESLAVDKFIGDVAYYTCGVKRGKCYGVVTVSAKDSFVGRTAALVS SSNERGHFQIVLGGIGLTLLVMVVGFIFAVWIGGFFRGTKISSPDQNNLLVYALIFLI IGVPVGLPVVTTTTLAVGAAYLARRQAIVQKLTAIESLAGVDILCSDKTGTLTANKLS LNEPYIAPDVDPNWFMTVAVLASSHNVRGLDPIDKVTIVGLKDFPKAQEMLKSGWKTN KFTPFDPVSKRITAEVEKDGKHFTCAKGAPNAILKLAKFDVHTVADYRNQAQQFATRG FRSLGVAVKEDGKEWELLGMLCMFDPPRADTAKTIGEAHDLGISVKMLTGDAVAIAKE TCKQLGLKTNVYDSEKLIGGGMSGSDIRDFVEAADGFAEVFPEHKYQVVNLLQERGHL TAMTGDGVNDAPSLKKADCGIAVEGASDAARTAADVVFLDEGLSTIITAIKVARQIFH RMKAYIIYRIALCVHLQVYLMLSILILNETIRVDLIVFLAIFADVATIAIAYDKAPYA RQPVEWQLPKVWIISTIMGLLLAAGTWIVRGTLFIGNGGIIQNYGSVQEILFLEVALT ESWVIFITRLAQEPGTPNVLPSFQLIGAVLAVDILASFFAAYGWISGPANNSGHIDIV TIVKIWGYSFGVTVIILLIYLILNKITWLDHIGRVTRSKRNEKLENFLTDLQRLTIVH ESDHNGSYFRFASSGSGSATPKEGSDDKKQDNKKPKSKDAKEADVKKTNDKGKGAEGG DKTLSDHAGKGDEAIKHHEEKNDKSRQPSSGPGSKQVGTHGEPTEVSTKETNQGNSDS NLNKGNSQRTDEESSEGTHVEP
I206_03892	MTQKEKLSITQQNNNHPRISEYPALPDLTIQTLNKSFVDVDYNE GLCIEYRWFDKNKIEPAFEFGFDSSYTTFNYSNLSVHPSTTILTKTVAAASDEVFDRL AIITVDVCNSGKVGGIEIPLLYIGSPADGSPLKVLCGFDIIGLDVGAKKNVEFQLSRR DLSFLDTYNESWSSPEGEYKVYVGASNRDIERLVL
I206_03893	MPRLAQYDWIFAVGTLFAMLDAYNNGANDVANAWATSVSSRSVS YRQAMVLGTVFEMVGAIAVGARTADTIKNGIIPNSAFRGDAGVQMLAFTCALAAASSW VMWCTRHSAHVSSTYSLVSAVAGVGVATVGASKVQWGWNKGKGLGAIFAGLGMAPAIS ACFGAVIFMLIKLIVHVRANPSRWAVWTSPFFFLVAATICTLSIVYKGSPSLGLAKKP KSYIVAVTMGTGAGVCLLSALFFVPYVHAKVIKKDYTLKWYHVFLGPLLFNRPAPADA DKAIVPNYNVVQEDEEEKPNVGNHEAKLDIGSPAITPATPFTLVNGERSSNEQNTVGT ESQDLTYKERMAQNEAKFHAKLRQKSGLLGWAMRTLHENQMGPGQIYETKNMKTLAKR IPAMIVCGALYGANYDIHAAQSGVHGTPEGDRMAAVYAHAPKYANEVEHTYSFIQVLT ACTASFAHGANDIGNSVGPWAVIYSAWSTGDAAKAKAPVPVWQLAVLSAMISIGLITY GYNIMKVMGNKITYHSPSRGCSMEMGAALTVLIFSQYSLPVSTSMCITGATVGVGLCN GTWRAVNWQRIGLLVLAWILTIPIAGTLGGVSMGILLNTPHFK
I206_03894	MSVTAEIHAPDEIYYWIEEYLMKHSSVSNISLNLDRHSQSESTS NPNIHKADDGSKMYFFKYLWDTIWPTGKTVPRTLIISSKRTKGNKDSHKKRTLTRGRA STGDKDTENDRKIRINMTPSLDVIQQVQFGNRTIQVKVKNNEVGSRIDGEKRTLVISS LFGTTNTLMSFIRAAKDEYYANSSSYVSIYNAQMTTYSSEWTRGAVQTIRPWDSVFLP EGMKEWLLKDCQDFLDEYEFYLKRGVPHRRGYLLYGEPGSGKSSIISALAAKLKLDIY VISLGAKGLDDEKLNTLLQNCPDKCLLLMEDITTDAENLETDQPKINGTSSNKRRKST ADETSWQSSSITLSGLLNAIDGVGSSEGRLLFCTTNWKDHIDKALSRSGRCDVWMEFK RANKQQAQELFVYFYSALHDTNLNGKVQDADSKIDNDFNCTGKHTQDVQLLAEKFASK IPHHQVSVSALQGYLMRYKRNPFGAVENVEEWVAGGCSQSPAITIFGNGSDF
I206_03895	MAYRPPQTQGQGQPGPSSTNLNTNPSLQGGNGNGRVSPMPNVPS VSSQNLGQPGSGSDVGMIPSQNSSGSLHPSQSGSAGQIQNQTQTQGGDKGPDYVYFER NPSQFGESIQGKAMAAKMKLELYYKEAVEGVVGRKERRTTLEKQLAGDALTPDSLKAR QLIALGRRESNFLRLRRTRIGLEDFRTVKVIGKGAFGEVRLTQKVDTGKIYAMKTLKK NEMFKKDQLAHVRAERDVLAESNSPWVVQLYYSFQDSQYLYLVMEFLPGGDLMTMLIK YDTFSEDVTKFYMAECILAIEAVHNLGFIHRDIKPDNILIDSMGHIKLSDFGLSTGFH KQHDSSYYQRLLGGEGNQTTRPQAGNASRNSVMVNAINLTMTSKQDIATWKANRRKLA YSTVGTPDYISPEIFLQQGYGKECDWWSLGAIMFECLVGYPPFCSENAHDVYRKIIDW RNHLFFPDDVHLSREAEDLIRRMLCEADKRMNVEQLKAHPFFYGVDWSTIRDIDAPFV PHLRSITDTSYFPTDELDQVPEVPTGADTGADAKKDLAFLGYT
I206_03896	MSSLPPRQPQSDPHNLSHAGNLQQATKNVNRFAKRDPALYPLSI IVTGILGVAGYFFMTKASEPDATRQLMSSGMVNPWDHKDKQDIGTSAAAQFKYRYKTR DGHFEDAHPTLNHTVEQPFFSSPSLAWYFCRRVTDSLTCVMVEVHSSKKILRTNTQPP KHPTTSEYYDRIDQDGMNHGKNQERDIFGYRFEWPF
I206_03897	MKEQRANEEIQGVIRRRNESDELAMKTESRSKQERYGELEHPVG LPEGRQVTFRARIHIQREISLNLDFPILRHRGFTIQALLGKSSSQHMINGTQRLPDES IVQVSNILTKPPIPITLSVDTPLELSIEIIHVVEHSRLHNRTLDFRHPTNQDILKIRS NLLKIFREKLNDLDFIEINTPKLQPAATESGSEVFRVNYLGRKAFLAQSPQLMKQMAI SADFRRCMRALAKMKETKLAPKYGYQLKWTLDFPSSLEGAIEATVIAALSAVTGEPVT FGAAVAEQFLVTNGIGNLRSKMMDRTYRGILKSLSLDKKVGFRGFFKVVGEKTYIRYP KVILE
I206_03898	MTSLTHSDQDPQKSNSIPSKWSLLLQAKLLRQAGSLGLSLLQMY YAPPIVPSPNKTIYIDSIFGDIENQSKKSIRLDIYFPKEEEEDKEENYKLKSKPCLIN FHGGGFVIGQGTDDSLFAKMSIEKSKFIFITVSYRLSPEFPFPIPIEDCLSSIIYIGN NLKDLNINEDKILLSGFSAGGNLALSCLNILNSLKTNSNEWGYKNSLKNQIIPKIKGI ILFYPSLNYNISREEKIKNMIKPENALPTNLTRLFDFSYLPGNYKNGNTLIEIDRKDI RISPVLASDDLLNSLPPVWITICEYDMLRQEGLDFVNKLKSLNKEVEFSEVKGEKHGW DKPLPITPKPSVIEEYDKALEAAERWLS
I206_03899	MIVARSHSIPVPIVTPSPAKKKKKKSFTVPTASFDTSGGAEENL TPILVTGKNGSSGERLSRGLNSIIETQITGHDGAQPDSAKPAELGKPPSVIQHYSSRP EKTLRALDPVHNDSTTIFATRKTDEKPTLKTEIEVASTPSENLTKTETKADEDENSTQ TEFLTSGEGEQVVVSAIPQTMLEEDINRSTVVSSGLLNAINGLAASERRISFCTMNHV KRIDPALSRPGKLIYLQIAVLHVSIIGNQIQVGVMYG
I206_03900	MPNWAGISAKQQHEIDRLITSTPTRELPTYDNLSYQDFLSNHLI PNHPFLLSSFATSKWSSSHDFRLKNLDNSTALPNLSALRKYAHHVVPVANTLRQEFSE FERTEKALGEVLDLWDIDEGNGLYVKDWHLMAEIEKEGRGVKEVYQVSECLRDDWLNP PYTPDSRIITSETEVNSASTSDFRFTYLGPPLTYTPLHRDVYGSYSWSANIVGRKIWW LFPPDKLDKVKDEYGELVFDVRELQDEGGAIKILQQEGEIIFVPSGWHHQVVNLDFCI SINHNFFSSPTLSRIYDTLCNSQERVEEAISDVKEMIIGRLGTSDNAWEKEWVEEVQG LLERDAGWNWKGFWTTIKQNVEMPPALDHLSPTIEMRNKWIYDIIERYETRRERIYLE EVQQIIADIKMVVGSFSNINTEHLK
I206_03901	MTILTSLKGIYLTAIISSDDEAYDWIQYYMNIQAPIIYDNSIPT SSSLTEAEHQNMYSLKTTLKEIVWPMGKAAPRQIMISTGVGNNRISIPPWMDGAAYES TDSLDENEEMERDVRLNIEPSSGDTRLIKFNGQSIRFVIKPDESRGNVTTHQRKWLIM WTFLGTHDTFTSLTQEARRLYITKISKRTISIFSPDPGNRWYRSASRPMRSWDSIILP HGVKEWLLTDTSEFLAEREYYEQRGVPHRRGYLLYGEPGSGKSSLISALAAKLKLDIY IINLGSRMIDDDSLNSLLRSCPSRCLLLMEDMEPARTEKTNQTRRILSRRTTWLCPIS TQDWSTNPGSSVTLSGLLNALDGVASSEGRLLFCTTNWKDKIDPALSRNGRCDVWIEF SHATRAQAKDLFVHFYKNDSNPYNNNQNNIPDLADTNHEVEIKTMAISPNRLSSLAEQ FASAIPLNKVSVSALQGYLIRHKRNPVEAVEGVKQWVETGFGQGPTMFLKDGKLEMKD LKPSPNRTSSETISLPNHEVNPKVIDLNALNNSVLRSHDQIGNRPSAQLSKEADFKDL VVNGKGIENIPNGHVVNPNEGLMKRARTHLRVLSRSKMGSAS
I206_03902	MITWIFHYLYTLVVTLINSLKSNPTIYDGIKVILAGASFEASRR LSRYLTDVITESLTLKARIGDNDEAFDWLHHYLSTHVPIQSDNSFLAKIDPYALRTIL IDSIWPSGVAAPRDVQIATRKPRGRWWYGWSSDSGKWGEDVLGSGKDRVKVSIVPTIG KTQLIKFQGVIIKMGMVKSDHWAFDSEKWFVMSTFLGTHQTFTNLLNHAHQQYQALSS GSTAIYSPKGRDEPGWYRSNTRPSRPWDSVILPGDTKEELLRDMQEFLKEREFYKQRG LPWRRGYLFYGVPGSGKSSFIAALASKLQFDIYSINLGGKSVDDDKLHGLLQACPSNC ILLMEDIDCAFTKRKHKNRKNPIESSSSSSSSTESSSDIDTDSSDSSDAPKKKKSKKN KHKVKPMFSFDRGYGSGLTLSGLLNALDGVGSSEGRILFCTTNWVDKIDPALSRPGRC DVWIEFCNATKDQAKDVFLQFYRTFEIVQENTPTSTESSTQIEKVSKHITTTHEKENS SRVMKADLTESPPPGYDISVLADRFAEAIPDCEVSVSAIQGYLMRFKRKPQEAADNAA MWVEDGCGKGPTLTFSKTGKELRQMNEKNDKPTEDIEMKNAGNNEKHHRKQKKSKKDR TKTDKKIKKKKRDENKGKNQLADCAEASDEKDKTTSVTTKTDDSELVANQSDDLDVGN RESEHKDT
I206_03903	MDFLADFRPTSIHPIPTTTISVDPPGGTNTHHPGGGGGGGGHLP LPTDTFPTPDLHYLHSTHTGQTALWIIFTLFTIGLVGVLFLTLRTEKRNRFFHGASAL VLTIAMLSYLAMATGLGISYIPIHNHGVKGSLHHFVRQLYWARYVDWLFTTPLLLLSL ASLAGLSPASTLAVILGDIFMIVTGLFSAITPSRWASGERATWAYFGISSFAFLLIWG ILFINGLKAANYRPRNTKGLFTLLAAMTFALWTAYPIVFGLSEGANKISVDAEIIAYG ILDVAAKLGFTYLLLFLHSHEENGPWTLPDWWVETPQGYGPDGRGTYGSLGSRGGDRD 
I206_03904	MPRKRVITPEPVRGYKEVTENDSEAWPLLVAFDLDYTLWDLWID RNGDVLNKLVDRRGQNLSFYREVPSILAELKRRRIHIAAASRTSAPELAREALGMLLL PSEEGGDHIRAITYFNTMEIYPGSKLRHFKEIHRKTGIPYDQMLFFDDEHRNFEVESL GVTMQLVPPSGTDRKLYNEGLAKWRKRKGIKVVHE
I206_03905	MSTEDAQKPVAVEDRNPDEEYDEKVDAPEDGNLVIGNNEEEEED DEEEYDLDDEEEGEDFEEDEDEDEEEYDDEEENGDNVDHKKVLQDFYNAEHDDDDDDD DDEEEEEEEEDEENTPAGEEIPESEQPVKGTKDTAVGPKRKAEEEVEENQGEEAKKVK A
I206_03906	MASTLSLEPKKRPHILTIAGSDSSGGAGIQADLKTIEAFGCYGS SVLTGLTAQNTKGVQAVHEIPTDFVIQQLKSVITDESPTCIKLGMLTNASIIRAMALE LKNLNTINILDPVMISTSGHTLLPEDAIEALKELYPFINYFTPNIPEAIKLSGFKKKQ KENENENEVGESNLTLNEMIELAKETNSKTGTFIILLKGGHSSISRKEVLTYKGKIPI IWEEGGDVNDDESDTIEVLSLYKKYTKIPENQKGLVVDILVNKGEIKALFVGKKVESN NTHGTGCTLSTAIACSYATESKDGQGEIFKRAISYTQSAIASSFPFGQGHGPLNHAHL STRRALPPPTKHNPHPFLSHLIQSNLPLWNSYVKHPFVVQLGNGTLPRECFEHYIKQD YHYLKHYARAHALGAYKANSFIDIKAFTDIAGHIARESQMHVTYCESFGISLKELENT PESSPCSAYARYVIDIGTQGDLLDLYMSVASCLIGYGEVGLWLKSQINLGKAKLQGNL YKRWIEDYSGEDFLKAVEKGIENLERRIAEDPPNELRLARLTSIWHECVRLESAFWDM GLNLIK
I206_03907	MSVNQNGPQGNDLKQKLDRWSKRLGTLPSLALPTDYPRPTPSKL VESTQTLPIPANLAPVLGKLTYEFSTLYPSSAPPTPYHILLTSFAILLFRYTPDPSMV ICTSANGTSHPLLLKLDIAVENTFFDVLRQIMEREAEASEDIVSINSLVDHLKPEGPL FRVRFFDSTQVQSDPSTSLATDLTLFLLTSPTDVPATRSAIAPLYLRLAYNSLLFTQS RITSLLESLLQLLSSAASKDPSHPIGSLPLRTTVQAEALPDPTADLDWCGFVGAIPDI FSANAKAHPDRICVVQSESAPGQTIMDGPSRGRRIFTYQQIDEASNVVAHALLKNGLE RGEVVMVYAARSVEMVVCVMGILKAGGVFSVVDPAYPPSRQNVYLSVSTPRALLIISS AGVLAPLVSDYIKENLNLRLLVPAISLTENGVTGSRSGEEDILSPYQQHAKTPAGVVL GPDSPATLSFTSGSTGIPKGVKGRHYSLTHFFPWMGQRFGLNETSKYTMLSGIAHDPI QRDMFTPLFLGAQLHVPTADDIGTPGRLAEWMADSEVTVTHLTPAMGQLLSAQATREI PTLRNAFFVGDVLTKRDCTRLQALAKNVCIINMYGTTETQRAVSYFAIPSVNDDSSFL STQKDLIPAGQGMIDVQLLVVNRTDRNVSCAVGEMGEIYVRSGGLAEGYLDPSATAEK FVTNWFGEGVQREDTLVKNKPDAAKHWFGIRDRMYRSGDLGRYLPDGRVECTGRADDQ IKIRGFRIELGEIDTHLSRHPLVRENVTLVRRDKDEEKVLVSYFVPIDGDDLDGLMSS SEADGEENGTADLGTEMIKGVKRHRRLIKDIREHLKKKLPSYSVPAVYFPLKKLPLNP NGKIDKPALPFPDTTLLAPTPAANADHTPTQKTIHDIWLKLLPSPPPSVSLDENFFDM GGHSILATRLIFEIRKTFVVNAPLGLVFDKPTIAGQAAEVDQLRNSDLRGADGAADGK ADTSGDVDYAADVPTLIKELPEKFDPLPSDFNEKKLTIFLTGATGYLGAFILKDLLSR RVAKVICLVRAKSSEAGLQRLRESGEGRGVWDENWIKDGKVEAVIGDLAESNFGLSTS EWTRISKEADSILHNGAIVHWVYPYPKLRAANVLSTLTALRLCTEGKSKQFSFISSTA VMDNEAFIAKSDEAIANGENGVPESDDLSAGAQGLQGGYGQTKWVCERLIMEAAKRGL NGWTIRPGYILGDSKTAGRLLVIIMVFGSKANLISSNAVTNTDDFIWRMVKGCIQLGL IPDINNTLNVCPVDHVALLASCSVISQLPNKKYSIAQVIGQPKIRFNDLLESLIIYGY KVLKVEYIIWRKKLEQHVIETQDNALFPLLHFVLDDLPTSTKSAEMDNKNSKELALIN KENPINGVDLEKIGLYLNWLIRAEFLEKPTEFENKNIKELPKLNGIAMKAIGRTTAGT 
I206_03908	MNEQFNSSNELCEDHEGKTALKRCRAIISGLDGNSGEEELMDLD EIQYPEDQSLSSGSMFSHKTSLQNDYIDTTKQQDFSLISPLDSSSLVFDNANVHINSI HSDPSVNTFNTSTDNQATRSASSPSIIHSNSIQRNTAIPHNPSFIEQHALDLPSFEAD IYIQANPRPLLSVKSSSSLTDNPPISRRTPISQSTPNNRPVPLRLGSSSASSSAASIR SARRLNQSPYIVPQTAKPTSSGSSSSYTMLSTGQVTVKDEPRTPVPLPSSPLYDIDVK QEDVEDVKTTPKLSLNTHSASRIGSSPGSMSMSHRRGSRPDFPPRLARQGSNNSNGKI DGSSNMRGLLTPLQSASSSSRQPPYPSPIPLTTAPLSPPPGTGHSHPQTKRYHQSPPS IPLSTAIPSTPNGKGKQSAYQVSLDEIPKDFVIKKLIQLASKYWYAPHSTDCHITIPP LHQRHSTQPHVPQTANNGGPSHPTRLGDSFFGPKTPTAASIQAAAQSASPDSAEANNK PDVLTSSVTTLEGFKDGDGGRRGSLPGGNQLEQCLVFPLHKDYLTTQSVLFRTLLNSQ AAHLSNPHPRDQDGRLIFQSPVIRGAKVLPTKSDRPKALYVPLPDPSSFGVILHWLYW HDIDHFNHCLSKGLVTWQGVIRNIEYLSLDNEIKLLAGKWWKRWVKPTEDIERKTLNP KLKSKSGSIATLKSIHRTHQSKRRAFSTSAAVIAKRDKLANMMMNIDHDEDEDEDDLD DEENGSDSGEEADDEDIVGPAKKSNLDGFADNVSLQLGNLTH
I206_03909	MTDEAHLQTAIPKKASTLIIGGGPAGLVSLKYVVEYGERWLEGE EPFLVEMESEIGGTFRWRGYENAELVSSKQLTCFSDFRYPLSAPDHPSLPNFVRYLNN YAEHFGITPYIHTSTKVISLNYVSDPKDGYKHVALLQRLDSYKENLGEPIEILAKRVI ITTGLHVTPNIPLIPGLNTEPKSPNAPEWIHSSSYKTRSQLENKEILVLGAGETGMDL AYESIMSNLNSNKEIWMGIRNGFLSFPKVLNNFKVLGVTFDGNLPIDGLITNLFEDTY VHKWISQSNLRWFISDFIIKRVLWILTGTMAGCNQWVGELPKEKQGRAFVFLNKSSKA MKFLNKPYYNLSKIHKYFFHYIDDYQSSNQKQKQIEIVPFPKKINKDGIVIFNELPLH RKKEINWKSKICKPDLIILCTGYKQNFNWLGKGYPKGPEECEIRGICSEKDLSIGFIG FVRPGVGAIPPISEMQTQLFQLLSQNKISIPTSPETYHLLHSPTSRIQYGVDHSTYMS TLSRDIGSSPGLFELWREYGWFVLFVYCFGAAFPTFYRLIGPFKSEKAKGIVETELWE TIQRRGLLGNIFMGVIPMAFYAVINITAYIIEKTWLFTAPLFGLPPPPDNIMQSKPHI KVKAT
I206_03910	MFFSTLSPILILLITTISIVSAQETGGVITTIDINIPSWMTMED YNHGNPTIYGPDHSSINIEEVPSHIKFYVNVYEQTPSPGDDYHTNKDPYWRMACSLIV QNDSRDPSNFALQRTQPWFTGVIAGNIFIECDKNEFICYRDKCEGEYAMPEDSIFINR PV
I206_03911	MSRSTMNFLRPLKNSRQIHSKISPSLASSSSSKNPIRIIKPILG SSIKRNLHTTTPRLHGEITRPEPGTGIKLTFKDSKGNEIKTIEGNEGDDLLSLAHEYD VDLEGACEGSVACSTCHVVIDPKHYDMLPEPDDEENDMLDLAFGLEDTSRLGCQVKLT KELDGLTATLPSATRNMYVDGAKARTH
I206_03912	MDEEYDVIVLGTGLTECILSGLLSVDGQKVLHMDRNDYYGGDSA SLNLTQLYQKFRSTPPPEALQLGRDRDYAVDLIPKFILSSGELTKMLVHTDVTRYLEF KVIAGSYVYRDGKISKVPSTQTEAVMSPLMGFFEKRRAQKFFSYLQYWKEDDPATHQG FDVNKGTMKALYEKFGLEAGTQDFVGHAMALWLDDDYLTKPARETVDRIVLYTISMSR YGKSPYIYPLYGLGELPQAFARLSAIYGGTYMLDKKIEKINVNAETGIFEGVTSGGET VRAKKVIGDPSYFGAGQDPEEGGKIRVVETGKVIRAICILKHPIPGTDNADSAQIIIP QNQVGRKNDIYIAAVSSAHNVAAPNVWIAIVSTIVETSVPEREILPGLQLLGNVVDKF ISITPLYAPTSSGTNDNIFITKSYDATSHFETVVEDVSDVWQRVKGEKLVLKKKETEI EA
I206_03913	MASSAPPVEMDPDELEEEAFDSSSQASSTATSTLTWINWYTSLP GHDYFCDVHEDFIEDDFNLTGLQAMVPFFKEALEMVLDVEPEEDSNKIPDVSIVESSA ELLYGLVHQRFILTKVGLSCMVEKYEAGHFGACPRVFCHATPVLPCGRADMPGIDTVK LYCPNCGDIYTPPSSKYASVDGAFFGTTFSPLFFQQYPELLSAPFFATGPAAAQSSSR TTPVDSSAPVGGGTFTNPNPHGGQKAALGRVYVPRIYGFKVSERARSGPRMKWLRERP ERFDDLDKVDWKSRFIVEGQNTEGDDELMASIGKQSGQGKGKLFDDEDDIVEDDDESE EEEENAPTTIAVPS
I206_03914	MSHLYDRKNSRSLTIPELWTCWDIMIDDPVREAKLIAGTLGQDS LPKEALNDEVTYKECKIFNNLMATILDMIKANENKFDTVKKLKILDIGYRAGISILCI CFAMDVGFLQRALRRIAQIKSMIEDLDKNKTIAPMKRELRKLHDDLIKYCKNRDPDHP LFEFDYRFEDAKYQFMEQMMRERFGFDMDMD
I206_03915	MARFLTIEELWASWPMEKNPDRELWKYKGEYMLKPRGLPEDARV KDKHVYPSQRTDYDVTDPIRLEWRQERRREKLNVVTVVVVSFAPRDGSYIPWPGLQHD VFSKLWEEAHLDNHESLTVSQKVELAVAMKEEANCTFRRGDWKTAWHEYGRAWMQLLP YHIDAFPAGSEERNSLAEIENVIFGNMMSCAIACDRDKTMKFEDKRASYSIGITAGQL LVEQRFGSTVKQLNKALETSENHHKWLNNELHQIFRSRRDHDHKLHEEDYKLEIGLQT YSVLESICDRYPDEQTVASSDIIPIQY
I206_03916	MNAGELRAHIEQLEDISEKLQAIPNTSYDGLTGQILKNVDVMKS YLANKAADFKLEHLDYRR
I206_03917	MNRLGDRSISRPLTLTELRVCWDCLVQDHAREEQMKITLQDDDL LPSNAKNDEDLDRNANPSEFPILRTCHDLVPTSWLVQRQTIRAIKLCMVRDVFTKAAS TSHKASEYEDFSVYHARMLSDEFRSFTFEDHLRKAMESKTKGIQVFRRGNFKESLLIY VPAWGALLPYHIYAFPQSDVRRVLCGNFEATIFNEMMIAVMEWSKTDTRLDPQARVAL LSLALGCGLIITQYIEAHTLGVGTMFLASRRILEIADRLQKLPATSNSIDIHRALQPR SLEYYRYFALHLQNAPKNKFLFDFESKLKGKFVQFPAGIDENDG
I206_03918	MSVQIEAVQAEHHESGFAIAHARPRLSWRFGSTDIKDWRQVSYE IIIKREGSPTEEIYKVDSEQSVLVPWPSQPLNSREIVNVKVRSTGNDRKTTEWKEITL EAAFLDRKEWKANLISGPIQPKDEPKKPFRLRKTFNLENLPKKGRLYSTAHGLYEIEI NGKKVGDQVLSPGWTSYKYHLNYQTYDITSNLIKGENLISVYIGEGWFAGRLGRPGSR NVWGDRLGFLAQLELDNNIELITDNTWECLKDGPIKNSEIYNGEIFDTTHSDDNIRPI KVEILDFPEAELISTDAPPVRKVKEVKPIEIIITPKGKKILDFGQNLVGWIRLEKDFK GEEGDELFIRTAEVLEHGELGTRPLRTAEPNDKIILGGNTKGWEPKFTFHGFRYAEIN GVEPTLDDFTAIVIFSDMRRTGTFECSHDMINRLHENVVWGMMSNFVSVPTDCPQRDE RLGWTGDIQVFAPTANYLFDTSGFLGGWLKDVYAEQIYWKGVPPTVVPFVPPNKFNDP WPRPHAVWADVVAITPWDLYKSSGDKKLLENQWESMKLWLDKGLPRGENGLWDPMAPL YGDWLDPNAPPQFPAHGRTDTHLVANAYLIYVTDLVSKIGKLLGKNIESEKYEKDSQK FKQIFQDEYLSKSGRVVADTQTAYALILKFGLLKDDNQLKRAIERLEYLTRWAYFKVS TGFAGTPILLPVLAENGLQHIAYRMLQERDNPSWLYSVGMGATTIWERWDSALPDGTI NPGQMTSFNHYALGAVAEFMHSNIGGLSILKEENSNYGWKKALIKPLPGGTIKNASTT YSSPYGKFSVNWKINENNNTLKVNIEIPPNTSAKVILPTIEKEIGSGKYEFEVPYEKD ERWPPKGIRGPQSIFMSDEFVP
I206_03919	MAFPRPKPTTMETLAMERANPPFNIRKMSIAMHGSEKSLILKEK FMAEIARHPAFKLSDIHDLSKDELRERTMEKFASMVYFVTNESIETFQLRMQLIGIAD PSFWTRFGVAYGLFLGALRSGATPNQLSYWIDRGVLGLNGVIGCFAMTELAHGSNVAG LETTATFDRSSDEFIIHTPHLGATKWWIGGAASTATHAAVFAQLIIDGKRHGVKTFVT QLRDTKTFTLMPGVNIGDIGKKMGRDGIDNGYIQFTYVRVPRAHMLMKHTQVSRDGVV TEPPLAQLTYGALLGGRTSMVTDSSNSAKKALTVAVRYAAVRRQFATGKNQLETQILD YPIHQRRLMPLVAQAIAIGFTGLRLQVMYEDMTQALEAMEPSDPNLNDILDKLKETHA TSAGLKAFCTWACLDTIDKCRQSCGGHGYSAYSNFPLMYADFAVQCTWEGDNTILSLQ AGRSLVGAWGAALKGKKLAPGVAYLNDSSTRTAKSDSSLSLDDIQKAWNCVAFNVIKK AAEEYVKLLKSGKSKDEAMERCSQSRFIAAKIHTIGYIFRLFKEAVQEMPDNEESKIL KTVAKLYGLWQIEEQQGYFLKYGFYNAEQIDKVQEQVDALCAEVRGVAIPLVDSFALS DHIINSPLGKWDGSVYESYFSQVQAANPLPKEHPYFTRLIKPLLERQNEDMEDPGTAM GLEDELKEIEEERKGE
I206_03920	MSIKSSHTILKPIDDDYLKLCITLESYDGNKNYGKEGKEEWQVT FDSNKDTCQLQRIVPNTTRLESENMLKDTVTSSTEFQNWQNGVKGYRTTFNPRIGPWA TELESKFRDHAIERLESES
I206_03921	MPPAVRKQPSRNNIPQSSSQQNSTSNSIQNSRREEALNEFQKRR LARKLEDLERTNSTDIPINSFIQQSSFQKNELSIQILNQKKKQSSNVRKILYSKKSLK DWLDELPPDPPNPYLTSISPIPLIPSRKICSSCGYIGSYKCQRCVEWSCTKECMEIHE RDGGCGIGG
I206_03922	MSVRKSPPPSGPGSAIIKRARVNDEDVENGTMTMTVASSGEGQR KNALIRSVKRTSGLEAPIVSLTGAHGGEITACKFDPSGQTLAACSVDRSISLWKTYPP HDNYGIIPNVHKTAILDIAYSLDSETIYSGSADGILISTDLRTGQRITKYSAHYGPLN SISVAISGGRELVLTGGDDGIARVWDFANDSKEPVAEFDDERDCPITAVEWSKDGNQC FVGGVDNEVKVWDLRSSEILYTLRGHTDTICSLSLSPSGHFLATYGLDSTLIIYDVRP FSSDPMRVYRSLTGAPAGFESSLIRCNWSKHDNGARIAVGGGDRNVTIWEVDTGKIAY KLPGHKGTVTAVNFHPREPIVLTGSKDSNMLLGELDAQDTV
I206_03923	MRTPTRSSSRPSSIRSGLSHTPSKPFPPLSQNSADIPIDPALLD EDNDLDDAEGELVDDDLDLLKYNKDEHHLQYDQIPPSSSIQPYHLLPNQNSRINIFSP SNSNTNDAPSPRKQARVARSSTSLSTPQPNGHKYQHDDQEEFPQASSSTPKSRPSIKE KGKGKGKGKGNAKTSEQIILAREEICSFCGGNDNINRKRQAERMASCSLCGRSGHPSC LSMGPRMSQRIMQYDWQCIECKTCEICKVKGDDSRLMFCDTCDRGWHSFCLVPKLAKP PKGSWHCPLCVNEQPPLSVSRSAPTISNSASRKGKQKAIETGSLDDVSTPSNIGRPKK HSKINLYHDEDYEVYEEIPPSRIKVKISKREPDKVKSGGRSRKRRDELEDEGTPMIVR LRVPHPRKAVANGVEDDEAGIVEDQAPYGGIIEGSEADTSKTKITEKDKEEYERSRKL AENRLGGPPPLATDSLPNLPNSPMASPGPGSPFYTPNKAKLNGSASTPSLSRGLRDRL LHQSLSANDASPGYPFPQTPNQHQHHPPTIEAVSSGKLEKINKIRFGQFDIDTWYSAP YPEEYVHVPDGRLWLCEFCLKYMKSGFVAGRHRLKCKVRHPPGDEIYRDGSVSVFEVD GRKNKIYCQNLCLLAKMFLDHKTLYYDVEPFLFYVMTEVDELGARFVGYFSKEKRSMD NNVSCIMTLPVRQRKGWGQLLIDFSYALSKKEGRVGSPEKPLSGLGAVTYKGYWKLSV FRYLIDSTENVTLVDISLATSMTLEDIYSTLLSEDMIRSHDDTPSSLSLTPVPNKTPK SRHRNRRKIPVSAKETDEDETTKIPDKYSIIIDKAYIEAVLKKHDQRGYLVLRPERLK YHPFLVTRNPLEQAEKRTQATLDIIGQDTSVNGNNIDVEKLHTPSSPKPTEEEIVKGT DQATLNLVAELSASPARSLRRKREFQDNSVSPIKNTRSRSAMHLNGHANGHDQTPTVT LSPYSRKTRNHLKAAETSPITLDHSQEKGELKQSLSLNGLPSTGQTVISTNRKRIIMT SDTEEEEQIEYDKSPLKNEMNGYHDPIHPEIDTKEDQEDINGDVQFTNDVSPNEEQGI NVDAEGEEEEYLEMDAEGEDEDAEGEEEDAEGEDDDEYVG
I206_03924	MFRWRDCSEGHVIIGLTLSTISWILLTLTTFSTPYIKSIFFLSI PNSSTHFGSFGYCIKQECFGPKIGYEWEEDQGELIKWLIKTLILFGIASLFMLLSWIT LILGLLKVGEFIWNPIYFRTTALLGTILAILAESFSLVLFVQGRRKFNDNGIQVKYGV ALWLGLLGTISAFLAAAIGGPAYQGNYMYRADRRQAYNV
I206_03925	MGATRANKEIYFEKLKALIEQYPSIFVVNIDNVSSQQLHLIRQS LRGKAVVLMGKNTMVRRAIRGLLAEFPQFEKLMPYIKGNIGFVFTSEDLKEIREIILA NKVAAPARAGAIAPNDVYVPAGNTGMEPGKTSFFQALGIPTKIARGTIEIVNDVQVVA AGTKVGSSETALLNMLNISPFTYGLTVVQVYDNGAVFASSVLDIEEKTLIDGFVSGIK TIAAISLATNYPTIASVTHSLVNSYKNILNVSLATDYEFEGSAKIKEYLANPEAFAAA APAAATESASAEAAPEAAKEEEKEESDDDMGFGLFD
I206_03926	MQVSSNTRTYVFRSIFLPYLSPRQPSTSTFSTFVTETSHTAAAT PTSVQCHSRWSSSSSKAKPIRRDDPNPAPSAKRYVSSLQQVRGHDPPSHIERQSIAQR RAREYLGLDQGHLENSARLSGRSKTSLLALQKVLQAYKADTRFHAGTFAGSEGKGKEE NAGPGGETTYPPVVSSDIAIPSTSNTANNLDPTTPIKAATEDSYKTARVLSKLTKDQI KDVSSDPITLPPDQTVTTSSPSFPPTITKLLSARLFRLSVFHVISTPEYATNHTLVVK LADHLEKQGAGKLAKRLRQGWDQGQSQGVDKTKKLRLYSDAKTQDTGGRFPPDYWKIP NIPPIRPYLDPNLSRSENLTEWCNSQLEYFLKHSQVATNFHTVLTQSTNSQFSNHIRL RRLLGMIDKLEKHRGFKPDRKTANLIVGCWLRSVVPSGRPSQCGLMGDFKHWRRYKDR EGNDIVARKLYSPGLRKDELRALFEVLQKILTSTRPTLNKSAGNGSTLSLPSISASSH KLGPPTIAELSAKERREWEEVVRPLGKMIIRSMKILEDSKGIGMVKEWMKDQRKVLLG EEVDIVDIS
I206_03927	MTQPEAGPSTITSNSPIPPSSKSKKTKEKPIKTPEPRPEPKQKP KPVPVGPFERPDEEEEKNVHEVYEAIAGHFSQTRHKPWPFIQKFLHSLPPNSIGLDSG AGNGKYLPSSREANLEMIALDRSSGLLEIARNENGGECVRGDLGFRGWRDGVFDFAIS IAAIHHLSTPERRRQAVKSLMRPLKLSTKSTYSKFMIYVWAYEQGTLSKRRMGTLTNA SAAESTSIPKETHPATSVESSLNQDITTDKEDENEVKEIEEKIQDLLVPWVYSKPPPP KADIGSDSNQNNGSIESEIKEKEEPKVYHRYYHLFVKGELREMVIQSAKEDNYQIIPD GIESEILENHLNDLRQNQQGEKENDKWIRVRGVGWEADNWWIECEVGKL
I206_03928	MPRMSLAYTIFKDIYQAHSIVINSTLALLLGLILFEMGWLTKSD YQPKGKHCYVTGGTQGLGKALAESLVRQGAHVTVIARDVEKGKRVEAELKSIAQPNQI IQFISADLTDPQSSLDTLNRATERFEGKVPDYIYLCAGFSKPKYMVDSSMKEFQAGFD GTYWVSAYTAHAAVKLMVTQRVLGKIVFVSSFLGYTSFAGYTNYSPGKYALRGLADAL RSEMLLHDIKIHIFMPCGIAGPGYEAENEFKPAVTLKIEEDDTQISPEQCAAALETGL RKGYYQITDNIVCDFARLRSNGGVPTNNFFADCFYLFISSFGLPIWRMTADATVRKGR KAVQEDYEARGVYDHSSK
I206_03929	MTTLKTVLPLLALVSVTTSSVLPRWAAPIVKLPYATYQGFHNES SGLDIFLGVRYAASTEGQNRWREAQPPLEQSGDGILNATVFPPQCPQATAGGIISAAD YNPLQSEDSEDCLFVNIYAPPNADNLPVLVWIHGGGWDHNSAREFDPTPSINYSNNSF IGVIIQYRLGAFGFLNSPLMKQDKGLNAGITDAAASLRWVQNYINHFGGNKDQVTIWG QSSGGGTILHLLSAQGEKNRENEKLWNNVIMSSPYLTPMGSCNSTYWQTQFDNFTASA NCSVDASALDCLRNTSTDVLKTLSHKFDSILGKGHPSAYEPCIEGEGGYLVGNTAERL KNGNIPNSYILAGSNYNDGFSMVSTSLTPPSNITNVSAEADARLANLLITDFPLIQGS EDVQRAIELYPLSDFVDNHARAAELYQDIIFACGVDWTLDKTQDSWRYLYAVKDAIHA RDNAYEFPYFYNTLSPYSPTLYGSYIGPIISLIISNSPNNYSNLRPPNDPSYPIYLDM GEYKVLNVTVANISDSYIGQNQQQIGTRERCDFWAQVRIDNYW
I206_03930	MSITATSSSASVVNDSPYTGSAANSDTSNEDEFTEIETLVIGAG PTGLGAATRLHQLGRSFIIADSAEAPGGLASTDITPQGFYFDVGGHVIFSHYAYFDDA LHRALPNEEDWSTHQRVSYVRSAGNWVPYPYQNNVSQLPLDLRVKCVDGLLAAAEHRA QTAGQKPKNFDEWIVRNMGEGIADLFMRPYNFKVWGTPTYKMQCKWLGERVAAPNVRT VVKNALTMQTAGNWGPNATFKFPMKDGTGGIWTAVADKLPNERFRLGKSGEVRLVDGA SKVVEFGDGRKVKYNNLVSTMALDGLLDLLDGGANEKVSVDRMKVAAKEGLVYSTTIV LGIGIRGQRPDRIGDKCWLYFPEDNAPFYRATIFSNYSPYNCPQADIKLPTLQKADPS LDYEKEPKEGPYWSLMLEVCQSSEKPVDLDTLMEETIRGAIATELMLPADEIVSLYER RFDHGYPTPSLGRDGALAQILPPLRDEFNIRSRGRFGSWKYECGNQDHSFMLGVEAVD NALFGTPEMTLHETDWVNGRRNIERRLL
I206_03931	MGLWESIPPEYQDNLTRIWTSPKKRAAAMAVLLMVAIIGLYASF GPVATSLPSISKENAIRRDDWQTTSDYDIVLSHYNEDLNIMRESIESVRSRLPSTHSK RVIIYSKGSVDQDGLQELLDMSDEVVQIPNVGREGETYLSHIVRHYDTASTNIAGHTI FMQPHVAWWWVFLPRLEKVLDKNTGFLSFGPYITHICGNDTHGQFHPRMADIYSMFQM DFCPPEPVLGTWAGQFTVSRHRILENPFKVYANLRQKFHEPKDHWIFKEGWWNNEPSN PTLGHALERSWPMIFNCTDPLIEKQCDENVQNSHCQCTDG
I206_03932	MRSTVILALALGVLSNAQQVSLGPETYTAAGEFPTSLFSTYWNE PTQTASQVQPVITDSVLNITYPLNLTDPDTISNNDTKDPLYYPRTNLTGSAAQTLYQN VTAKIEEIIQNGQGSNCTRCIEAMTVAGNLAKQAPKLVPQLLVSLCQKYKFASVDGCQ VYSAQAQVPFYAQVLAYANLSGSDGQYLCQNFITVSKCPRPPLPQFDSSEFWTKPKPS NASAPEPKGTNRVKVLHMSDFHVDPRYATGSEANCTSGLCCRRGNPISSLQSNFTASV PAPRFGYFACDTPWALGAAAVEAIPVLTGTDGEDKLNMTIFTGDLVSHDPYNQLSRDY ILYTETALYDLWKRTLNPSSPLFAAIGNHDQYQQAFDSPDTLPGNLTKQFSWNYDHLS SLWKNNDWIDDEAVKEAKAHYGAYSVQHASNLKIITINTDLWYRSNIFAFINTTQSDN FGFLKFLAQELQEAEDQGSRAYIVGHVLSGWDGTNPIIGPTDAFYQIVDRYSHVIAGL FWGHTHEDQNMIYYSNNATDISTETAQNVGWIGPSITPLTDINSGFRLYEVDADTWDI LDAHTWYSNVSTYGELDNQLEVGPSYQYEYSTREAYGQNFDWPENAPLNATWWHKVTE QMSNDAGALVNLYNAHQGKMSVRSPNCTSTDCIEAKICYIRSGSAPLGLNNCKPGFGS VQ
I206_03933	MASRSSPINLVSVNTVPERAKKVIGTVIENVKDKYNIVHAGNSE TIEGVKPLLESIQPPPSILFCASMWTPEQQEEIQKIARDTIPGIKTQATPTGLQAQGG PEGVVKYLMERIDDILAEK
I206_03934	MTNFSRLVRFVPKSSTSSKPLIGQPVDDQLDVGLASYDSRSIEV EVYSGDSVLNPGDKTGKKEIVDRLLSPLTRSEVGTIRCIGLNYVNHAKEVNQPIPTTP TLFMKPATALADPYPSPTVLPKALVKDNAADYEAEVAIVIGKDAKNVSEEEALEHLLG ITAANDVSQRAAQFAQSQWSFSKSFDGACPIGPAIVHRDQIHNLTEVRISGILNGETV QDSKLDDLIFSIPKIISFLSQGTTLPAGTIIITGTPAGVGFTADPKVTLKDGDEFRVF VSHGVGTLINKIVEEK
I206_03935	MAASPSASPSLFGDISVDHAVAGFGAGTVATLVMHPLDLVKVRF QLAESSSASSSAYNANSTIPHYVKKPRFGTGVYTALKDAVQVDGWKGLYRGLGPNLVG GAGSWGLYFLFYNMIKKQMQGGDPNYRTSSGQHLLAAAEASAVTAMLTNPIWVVKTRV FGTAKHDPAAYRGLWDGLTSIYRTEGIRGLYKGSLLALVGVSNGSIQFATYEEIKRRR ADLKRKRFAKQGREWKTEDEKLSNTEYIMASGSSKLVAIALTYPYQVIRARIQNSTPS LTTPKLTIPSVISSVYKHEGLLAFYKGLGTNALRILPGTCTTFVVYENLVWAFRSLAL KRQEV
I206_03936	MSKFEHHQPGDPLAEEDYELQPTSHSSDPLLPSYDEHKPHFPSP HQRLEMSRRHSRCRGFMTCVCLSLIIVVPSLALVGCYFGRDGLDTVKGWDQLPPDVKE WLDGVLPVGKKTDHGAFPTNIGYAGPTPTGSEAALLATAPALPMYTNVHPLVAPTQNS KNHFNIIQHWGNLSPFYSVASHGLPETNSLIPEQCELEQLHWLQRHGARYPTSYPEGP AAFASRIANARQNWKASGDMKFLNDWNYLLGAEVLTPFGRSQLFNLGVSARIKYGFLL DKMNGRLPVFRTESQDRMLKSAQNFAAGFFGIPTENQYNLEVTIEAPGFNNTMAPWHT CRASEGDFRSKLAEWDAVFLKDAVKRLQGMIQGYEVTIKDAKDMMETCAYETVALGYS SFCDLFTQKEWKGFEYRSDLYWWYSSSFGYAPAAKAQGAGWVQELTSRLTKTRLTEFN STTNSSFHNDIQFPLNDPLYVDFCHDTQFALLLPTMNLTTFAEGGDLPTDHIPKHRSF IASKIMPFATNLQIQVLSCSGNKNVRLILNDAVVPLTGIKGCPENDEGLCPFDAFVSS MQELTSEVDFAKTCALDTVGQTSDSLSTEKEGQSETEIIVVSPPKGDNEGQEATDDNE DGEGSEEKEFDDEEEIDYDSDDNDD
I206_03937	MSKYIIPLLCLLVPVINGNEEWHKFNKPVRSVAVIGAGPAGLQA AATLLKHDFDRVRMFERREGPGGVWFYQEDKPIREPYPDLPIEKAAFEPDIQDAGTVK YYEEGDHNLSLDERWREHNRPSPAWNNLHTNTPRQLTGLPDVKWPEDSKWIVSVHDIQ RQVRAYASFHGINAADEPWTDNSEQVASYNTRVESLTKHGEGWKLQLRKLEKVADSKR LKASWWSEEFDAVVVASGGFDAPHVPSIKGLKEWSKVQDEEGHHPVWHAQAYRRPDLV KDKTVLLVGASVSAMGISNDIGPHVAKLFVSVRPGNRTALFKRRSYDRIYPGAEHVGD IAEFQLFELNGSIREGNIALTNGTILSGIDEVILATGYRHANSYLGLLVNGTILGNED PEVDVKPVITEGKMSQFRNVDWRGFYIDDPTLAFTTVRPWTVGQYQALAFAKVWEGTA RLPAIEQQWKEYPGHGKSFFSRSFGGVQAEAAFRKYITWLNNESLVHGGRLVDYYPEE WREEFVYYASSAVGYWEAGIFSKQNFTDADQTPENEWQSDPPSEIFWSKFIDNEEDY
I206_03938	MLGWMMTTLVVGMTAQVAATPFGKQSPFLQSESTSEKQWHSFQQ EIKKVAVIGAGPSGLQSAAALIKEGFEVRLIERSDAPGGNWYHNVLTPIRERYPNDDV QTASYRPDPRANSVEYYQEGDEGLTLVDRWIDHIRPSPIWDDLETNSAPVFTTLPGVE YPTDAAWALQAQLVQRHVRTYASTHGLNANDRPWNASAPQILYYSTRVEQLKKQGHTW KLSLKKLTRLPDSDKVKAEWWEEDFDAVVIATGGYQAAHVPDITGLVEWSKVRRSNGH HPVKHSQHYRNPELYKNKTVVIIGASVSASEISRRIGPHVEKLYISLRNTTRNTYMAR RSIRRLYSDAEHIPEIVEFGTLPSNESIKEGSVRLANGTLIGGIDEVILATGFRRSLP FLKDYYSIGGKVEPHSEKSPILTDAHNLQSLSWTGHYISDPTLAFTTVRAWTFGRYQS LAFAKVWKRTARLPSRERLWDEYFNDNHWQAPAKVVFGSIEEETLGRQYVAWLNSESL IHGGRLVDQWPIQNREKFAYYSDEAWEHGYTSSDNFTRFENTPRSEWPTYRRAVEATY ELDSGSEW
I206_03939	MTSSLTTTDDIVNHLFARSPPPLEPGSKVYAPELTKRISGLKEH EYVIAAIHLANDDIHHCHLIAQDNEGDPTANLLHATLHRREGDYWNSKYWWSNVRSHP LIPSSSDAKSFVDSCEAVHKKKSDDTKLKARQWEELKKIVEWTRENYKA
I206_03940	MPQLMEEKPDIVSADGTVPIEMNDLESRGTGEKVQGLERVESAR SNVMDELAKGESVSYDELPIIEVTPEENRRVVRKLDLVLLPLTMVAYTLQYIDRSAMS YAAVFTFRKDLKLTPSDYSWLGSVFFFGYLFFEFPGSYLLQRLPLNKTMGTCILIWGG LLMCMAAPTHFAPAAVIRTLLGCAEALVTPGFVLLISRFYKREEQPLRVGLWYCCNGL GSFIGALVSYGMGHVHVASVRPWAWIFIVNGGVTVLFSLIFLWLCPENPQTCRFLTPH EKRIALERVRGNKSSLGNKEVKWYQVKEALFPWIDPQGWAYALIVFTISVPNGGIGNF LHLILQSYGYTAFQTILMGLPQAAMQVVFPLSGAYIARKVPQARLWVLMAYMLPSLVG VIIQYKTRNSGALLFGYYILGSYVASLGICFAAPGANVAGYTKKVVVGAMVFIAYATG NIVGPHFFISTEVPAYKSGMFACIICFTITIPMTFALRLYYVRENRRRDRLAEERGEG AYDETRGDFSDQTDLENMSFRYAL
I206_03941	MPSANQPPTTDILPNDMTGDQLALPTSERVTTETRFGQVTGGRT KNGCQVFLNVPYGVDVPRWSDPQPLPAGYKYPSTPFVYDAKYCAQPERTYAQTNTIRD RLGLGQPTENPFFADIYIPSDYTLSPRLEGAPLLPVKVFIHGGFLQYGSTSGQHYNQQ FFPAEHYNEIRVLLGHRVSVLGFLGCEEPHVSGNFGFKDCWLGLEWVRENIEAFGGDP NQVHLSGLSGGGHVVHQLVHQAARLAPAKAPFITAHHQSNAILAPPLTPSTRNIQFEA LCSAIGISPSTPNVLDRLRDINEFSTSRLIKAVQDMGELCTFRGVVGDDGWVRADQME YQHSGGLAKGLKEAGVLCVIAGDVRDEDFFYSGVHPCRTKADLLPNIARYYPWEKSER LLASYGEIPDNASPQELDLMLGRILADGQVHLPVRLLASDLAKYQFPVIRYAVESVPV AYGTNGKASHGTDLAVHQLRLSMLTPEETTATLKFNETLWSEVFKAVKGPDFVQRPDE EMLVLDKNGKTEWRQDWRWPLLREAEKVFRG
I206_03942	MALRSALFYSGSQIGNAFGGLFALAILELKGTHGLEGWRWLFIV EGAMTVGLSAIFATFIPNKPQTVRWLTPQEKERSIFKLEMDRSSKDATDEVPIMSALK MACTDPKVWVLCAVLFLDFIAASVTNFFPVVIAGLGFNRTITLAISCPPYVICCFVIV ANGWHSDKKNERFLHVVIPMAFIVLGNIIAVSTTNTAARYVAMCILPSSFYSASTVIL SWISSSMTGPASKRAIVYALINAICNTPNIWTSYLYYDSPRYIAAFAVDLAAAIGGIT MAGFLYLYLRNQNRKIERGEDLGKHGPTQVQIETGFRYQL
I206_03943	MTAYKVDTHDPIGDTKSFSHQIETADDDHTATAEKHDEDVDRHL AVRCPESLRHLSPEETAVMDRRITHKLDILLMPVLMALYILNYLDRQNISSAKIAGIT KDLHMTTTQYNTAVAVLFAGYVSLQIPSNMLISKISYPGIYICFMCAIWGTISACTGA VQSFAGLAVCRTILGFAEAAF
I206_03944	MYDAIVLGSGVVGLSIALELHSRGIKVAVVARDIAEDSTSIGFA SPWAGCNWFSFANGLSDPAAEWDRITYHGLDKVAKERPDLCEKVPVWNVLEKRKGPAE ALWYKDFVTNYKDLEGSSSNPLPGNKPFANYFETWILHAPNYTRYLGEKVKSLGIPII RHRLSSIDEAYNLPSTGKVSLVINATGLGSRSLVGVEDEKVYPARGQTVLVKAPLVRE CIFHVEGFFAETDDDKDETATPPQAAYMIPRPGPEGHVVLGGHYRVGDWSTNADLKEA ERILQDCYKLCPRLAGPNGKSWKDIEVVSHNVGLRPAREGGARMELEEKRIGQVGSQN LIPGSVNSSKGRKVGVVHAYGVGGAGFQNSLGLAEKAADLAIKYLGHQSIAKL
I206_03945	MHNPHYADHSANDKRPWQQRDQSQDQDDESNGTPKRRLGKVDRG REACNECRRHKIRCHPHPDDPQHLFPCSRCERMNLGCEFSKHNRGRKRKRPLPLLGGV DAVEDQPQKNGGPSSAPSMHQHAKNNKNLIDSSPKQSFGDSRFPFITAEAENHTRLHH HDRSIKAEDDYRQPKQMSLRHMVGEPTSDEESSEDGDQLESSSLPRSNAAGEFHGIAG GDPRRKASNKGKAPTRGPELVDDPIRAGYVDEVEARALFHLFMTHHNTASPMLDPTIH THDVVRAQSSFLYTSILCVASRYLSSLSRHTTDGPNMSPESAQSVHQQILVLAKDHMT WAYAEAISSIDVVRAMVILTLNKEPDDDKAGYHMNRAVLLAQELNLGRMPSVAEMSQM NEDDHKRVRMRQRVWFCVFIANSIFSMQFQQPMLIPQSDPLIASANHWLKRARPDTVL RDTQIVCSVELRRKYLKYRELLIGSIPNEPSYGSALALSTLTKTMNQDWDISCEAWIR DIIDVGGTSSNISKPRVWTAALRLNLNLLIVNQTLRLSPRDQIDQGSPSSIPAFNHCL NAASTVLLRFETLDRTQMTFATDTFLHFALYAATLLSTLCRGQHPYKFDGPEIEHCRR LITKVADALDAASAYPSDSPTLHAWYLRRLVQLLPPTSNTPAQTGPHLSISSSDLPLP SPQVNTQAPIDPSLLNVSTSMPVDPALTTVIGNEFDLFLGDFPWVGLGLDANFATSGQ SMQDPSQNAQWNNNLMGMFGGVNGMAGQNVGTLNNIELHPTSYQSQTAPLEMPYGSGM AMNTGAGAGTAMNMGMGFVGGMGFSDPV
I206_03946	MSIVSTKTTEASHGTHGTLRLRPSPVQPSPATSGFPITTDLESS PFHDVQTGPTRVVLPTFSSLEEERIYRKEHLALVFRILHRFKLAEGIAGHCSVRDPME PDTFWVNPQGKSFALMKQSDLVRCSVEDGRMVEGIAPTDASASSIHSQLYKSLGRGPE GVEALVHVHGPYTKAFSSLGRTLDMISQDACAYHNEQVLVSFGGAVFDNKEGERIAQA AGAKNKVVLLQNHGALSLGRLSIDEAAWWQINYEMCCQAQLLADAARRPDDKVVEAGP DEIQSTKGEMGTPEMGWFSLAAYIEEEEYHSGGRHKL
I206_03947	MLFKLLLALAASASALAARTYSEDKHDKYGDKPYGKVVSEPKYF TSAFSTRAVPSQVVVTNGTVDPRNSTAYGHFGFKINSDKDIICYDISLVNVTGGYSSP AFTATHIHQGPQGAAGPPRLAFPNPEFVGYDRNGAELRRSKGCLRGPFSTNITANGKD TGSDSGFTLKAIEDSPSSFFADTHTAQYTAGAVRGQLLASEVPVKKPDYFTSTLRTDA TGEQVVNGSSVSVPGSNGTKGVYVLHINSDKDIICYEIVVDGFPEGQDYFSPAKTATH SHSGIFGQTGPPRLALKNPKPVEDDWKSISLFNSLLGKKKTAGIRVASACVKGPFTTG LLDVNGTDTGSASGFTLKQLEQNPSAFNADFHTSGFVAGAVRGQLYRP
I206_03948	MAIGQATRRSKRSPSTAKHVKSEEGSSPPRKVQKIKKESTPSEA LKEIKNETSPTTLKARKLKAHEKNSLDGPFPTYLRPTPSECSLAHDILASLHGRRIRP AEVVASKSRAGCGDSPSVLDALVRTILSQNTSDKNSTRAKLSMDQVYGGSDNWEDIVK GGRERLQEAIKCGGLSQVKSKVILSILEQVNDKYGKYSLDHLHEASTDDAMAELLGFD GVGPKTASCVLLFCLQREDFAVDTHVHRITGLLGWRPKTASREQTYHHLNKRIPDEHK YGLHILFVNHGKVCDECKAGGKVAGKCELRKAFRDQAIKGSIGEETVKQEIVEGQKND VKEEVKEEVKEEVKE
I206_03949	MSYTPGPFVHPSWHEIVPPICSLTHPNQIHPYSNQFDLHSRPHL TSPPLPLTPPDTVDEFRPFKNGNSSKNPSPPLFDEPGPRRGNGIKKQGLDRFATSYTP QWLAQLLPPTNYFYLPPTLSCPPTDYASDIFPPKLLAEPLPIAQQCPSILSDLPSRAS VRPFQSDIASSDPTYYSRHFSELLSLHLSALMKDCQRSRLSCTVIQPFLIAGLQDTYR IYVPGIREDSPRLNIGDRMIIRGLYQQMQLASHSVIEAEVVGLEKAKSWVYVRSPHLI GLDGEQAELKKDHVQYSENESNGSIVGIRCQISFLLNVKPVCDMQDAVRTLGILQGVG FGIVQRWLFPEIHHAQSETSDRTRPEIGWIDSGLNDEQKNAISEITTREHRVPYLISG PPGTGKTKTVVEAALQILLNHPDSYILICAPSNSAADTLARRLCLPSHADSITNTRPI IEPGSVLRLNHPSRTFAEVPGEILPFCYVNPASNNSNTFGIPAFAQLMQYRVIICTCL DAGILVTANATNITMMKAESEMMETFHRVSKSGRTRDVIPHWTHLLIDEAAQASEPET AIPISVVIPYPLPNGFRSIDPTVVLCGDTQQLGPIISSLEARDGELEVSLLERLFQRE VYASHSNARSHQISRNDHPLSGWLAPFTNLVQNYRSIAPILMIPAAMFYDDTLIPVAR DVELLRWRGLPNPKIPILFHGCEGEENWIDEVSPSPSWYNSSEVNAVAGLVKSLLESN LRIRQNDIAVITPWREQVWRVRAKLRSRGYHGVDVGNVETYQGAEFRVTIISCVRSRE RFLNDDRKANMGLFNERKRFNVAITRAKELLIVVGNANLLRRDPYWNGFLQIMLRNNL YTGAELDLEMTGAYISRLESTMHHDEDHDPEQAVLRLAGAMARETLRDD
I206_03950	MSTTIASPIEPAGQKAHDGHIDHLERKHTVDHVDIVEEKINAVQ AGQQVQLKSSLDNLSFLQAVSTFRRSILICGFAGFAAATDGYQNQMSASIIANKGFVK EFAKGAKALEAPWVSSFGGIFSAGQVIGQFTIPFISDMVGRKGAMYVFMVILTISVIV ESVANVWYVWTVAKLISGIGIGAVQATLPVYINEHAPSQIRGFLIVAYSLWFSLGGLM ASVALKVRSASDPLDYKSPIYTQFGMIGLSLIIFVFLPESPWWLASKGKFDKARVVLE KKFSGIPNYDIDSELAIIAATIEKQREWDREAAAEGTWAIFKGLNGKRFLIGSWPKVL QQFIGLSVFSSYSAYFFSLAGNKNPFTVTVILGCVSLLSVILDATLVDKIGRRRMTLI GFSGAAAGMLLISIVGCFDYANAKLGAVLVFGGVTANFFNTFQSSTSYAYLSEMPEQR FRAKATGWGLAYCNLYAILFNFTVPLMLKVWVVKTAFLFVGLGIPGTILAFFIMPESM GRSPAEIHEMFVDRVPLRKWRGYKTHVEDDLDARLEI
I206_03951	MSAYPRTTEAVTYPLLPNITERRLKLFTEGHYAAQNLSSALDRM RVDSTDNVRMTLWSAPGLEKPTFEEATAQLKSGVGKTYKKGDWLGPSWTNHWLAVELI IPDTYKKYKEPPVEFDPGCEAMIYTTEGHPLHDGVPGDQEDRRIEHVVPRAAVVAGRY ECVIEISVNGMFGLGLNGFRHQQPDMNVFFQLALADIVLVRSEARALQIDFQILSQIG THPDSEGSSLSRRALRASNDIMNHFRRVNDDDDDGSLDDRIRQCREIGWEVLGPLDEQ SDQQADQDDSKARDDARIWGIGHCHIDTAWLWTYSQTQQKIARSWSTQIDLIERFPSH HFAASSAQQYYWLETLYPSLFKSVTEHVESGHFHPVGGAWLEHDCLLPSGESLCRQYL YGQRYFMEKFGVRCKEAWCPDTFGYCSALPQILRLAGIDYFFTQKLSWNNINIFPHST FNWIGLDGSQVLTHMTPVDTYNAAGNYKELVKGATKHKNLGVTDECLLLFGNGDGGGG PTPLMLNKLQRLGSAATHNPEMPSFKIGKVSDFFDNLCQKTLNGKLLPTWRGELYFEL HRGTYTSQEGIKKGNRKMEQLLRDLEYYATLASLQNDDYTYPRDELEDIWRDVLLNQF HDVLPGTSIRMVIDDALAIYERRSAQTEKLLEQALCALIPDSSMANGSRTTAEESVVI LDPIRQPRTEVIRITDGSSDSEAFTTQKDLADGWLGLVQMNESGIGQLISTPDSTSPV VEQLGDSFVLSNAHLKFTISQGRITSLIDRILNRELILAGPGAETGGLMLYEDFPLAY DAWDTEIYHLDCATTLLFDKVSIGEQGPLRASIVAESSFGNSKVTLKFSLDTTTSDSV RSSIRVQVNADWHEKHKFLKFALPVDIHAPNATYGTQYGLVERPTHRNTTIEQAKFEV CGHMFGDLSEPGYGVTIASTFKYGYAVEGNTMRLSLLRSATAPDPEQDQGEHEFSFAI IPHRDRFVESGAYKDAMRFTNELYQRKVANYSLDSLQKIQFHILGDQGQTVILDTVKR GEDDSKEGKTSVILRMFESQGAKARGVLRISGIIAKSMRWVNILEETMSDELEPQWRQ GDDESIQVDLSFRGFEVRTLQVIL
I206_03952	MSKTAEVDFKDQGSTLSKDDTEPPNAEIPILSSASNLPTYPEPV GFEARFCVSHEPRTLLMDTSRINFISGHYNLMDEEENVLLKSDGKIGHGKSYTDESGA EVLHTSTGIGNRKTVGKDPNGREVFWVKPDNWGTLSICVFTPLDPSVAR
I206_03953	MTRNEIAHSPKSSTDYSNHSPSTSSHQPLLADDVELDDEGQFWR ATCGEENAVMEGEVDEGMIVVEGEETITTFVWKLVFAAALSGLLFGYDTAAISGVLVT INDDLGNVLTDWEKEAITSATTFGALLGGLLAGGLSDYTGRKLVIVLADIVFVLGSLV QAACHSVNIMISGRFVVGLGVGLASCIVPLYIGELAPSKTRGRLVTINAVVTTFGQVV AYGIGSILQHVPSGWRWIVGLGTFPAIVQLLFVGFLPESPRILLLRSDLHAAHQILSR VYPLATPHQIDRKLNIMRRSVEQSKELSRRTTFGEKLRSLIEIGGNRRALIIGCGLQI SQQFSGFNTLMYYSATLFAVLGFRNATLVGMMVALINFAFTLVALKIVDPVGKRTTML TTLPVMIVALIMVSFFLRVLTTTTGGVLVEGADYPMTISICVLFSMLVYVAGYATGLG NIPWQQGELFRLEVRGIGTSISTATCWTGNLIIAGTFLSLMNAITPSGAFGLYAFFCI LSWLFCYYLYPETSGLSLEEVSCLFDHGFGVVESQRLRREKQEKSRLAEVDR
I206_03954	MSSIEIKSDNPSFVLHGIEDVKYDVREVPEIKNDECLIAVTKTG ICGSDVHYLCHGRIGDFVLDKPMCLGHESSGVVVKLGPGVKSDGRITTGSRVAMEPGV SCRTCTECKSGMYELCPHMAFAATPPTIYGTLCRYYVLPADMLHPLPDTVSFEDGAMM EPLSVGVHSVSTLGQLRSDQVVIVFGAGPVGLLCMAVAKALGARRVIAVDIQQERLDF ARSYAASDIFLPGAKDPNEAMDVYCARVADEIRATLNIPARDHGAVDLAIEASGAPTC IQMGINILKPAGTYVQVGMGRNMNVPLPLFHVINKQLKVLGSFRYGPGDYPLAISLVE RGLIDLKPLVTHRFEFESAKEAFEVTKLGRDESGKGVIKAIIDGPK
I206_03955	MPVKKRRLSPSASSASSTPAPSSAPPSTTVNTSTLPSSLAGPTE GLAGPGPSTLSHSQSRRERNDAAERREYAVLGAKQPGSGDGEEFGEECFLWTDIPIVK NFRYSPCAISPTPSPHPRYPFHRTIPYPPSLPPVHISWLDRSSYIRVSPSGLSISNDR GFRSARANVAVREGTWYFEVKIEKGNGSLGGGKGHLISGDAGNAHVRVGWGRREANID APVGSDAYSYGIRDVNGEKITISRPKAYSSKPFQTGDIVGCLIHLPSRPATDNLPRSD PGRIKRTRRQFIYKNQSYFESAEYMPSKEMDSLIDREGKAIAEKKAAEKKAAELALNP DYVSLEEQVNGNGSIPKKGGAKKGATTKNTKKKKEDTSLTENVSVLRPLRILEGSRVE FFINGISAGPAFDDLYDFTPLPPVSFDGKKINEDEIVHDDGTLGYYPMISCFGKGKAK FNPGPEFAFPPDQLGKNDRTSSNGDGNTIGPIRPICERWEEFRTEEKTYDELDEIEGT EKLKEVLEEEEKIKLKASLQGNSAKRKKGPGAPMKKKKVDITDSIRGDSMSRAGTMTP APDVVIIGIDEDLIKEERERSVSIAPSLGHSFSVPPTRGSSPISNKIYQEIAEQDNPV EDTPQSPATLEDHRMKEEDGDVIETRSVNGVNEGHESQKEGEKEEENADVQW
I206_03956	MTLSYLVSTAGHAQYQNEQYPHDVENILQLINDGAKEFEQEKVV GFTSRTENAGWTCDCYSFPELLDLSSRLVGGLVSEGVNESKDNAGIVSLLCPTGLDFL LGWIALMRMGYGVVLIAPQCSPSAVAHLYKASASTKLIYHPKYTELAHSARQLDATVT SIPIPLGFNDLPPTDLTCAVHNNDSVSHIFHTSGTSGTPKPIPNTHSLSVSVLPRRAL PSYSPSSSSTTKNALPSESAAFTTTPLFHGGISDLLRAWMARSMIYFYPTSDVPITTG NVVQAVAACLEANRFRVTSFLSVPYILSTLVQDPEGPGIQLLKNMDYVSTGGAPLDTT IGNMMVEKGIKLVSRLGSSECGFLLSSHRDYSTEKDWEWLRNDSPYADALVFEQLEGE STPGETRYEMVVTDRWLSKTKSNRGDGSYATGDLYRPHPTKKDVWKYAGRGDDVIVLS NGEKASPGPIESVLRSSSFFSDVLVVGSEQSQLGVLLFPREIPASSSLLGDIAPLIAD ANASSPSFAQITKDMCYVVNSTTKTLPKSSKGTIQRGVAYDVFREEIDQLYQERGGQV ETAPKRSLVGITIAVERIVKDAVASRLKVDTLGVENDLFSWGVDSLMATRIRTSLQKQ LNTGGTVLPNNVVFEKPTINSLSQFIFDLQEHKVVNGIDIEETYRLMESLVEKYGFFG MGQDTKHLDRKQDRGKVNGKTVLLTGGTGSLGSFLLNQFSQPSPNVIDNVICLVRAED DDAAYKRTFEGLSKRGFGLDPTFKVKFLAADLVKPDLGLSPAVYKGLVDKLDIVIHAA WPVHFTSSLVSFENSIEGTRNLLDLVAKTEGGKLYFCSSLASVLGKTSPRYLEEPSHD PSTASPIGYSQSKWVTERICGLASESGVLKDRVHIVRVGQLCGDTQHGYWNEKEGWPL LIRTAEITGSLPLLQEKPSWLPVDLASRAIIDITTSDHPSSPLIYHVVHPNNLEWQTV LNGLHAAGLSFKRVKPQEWLNYVDASVNDLELNPSKQMLHMWTEAYGDASKSPPEIMV DISNSSIASSTIRNLPPVDEDQVKRMVCTWRSTGFLRS
I206_03957	MVTTSRSKPQAQAPQKYHEPVQGTVFHGDGREEALLKHLQSLPS LSAEETSSLSLQDRSQRVLDAIHEFGKGENRYLMSVGDTKGKQVEELLVLELGTYVGY SGISFGRHLLELHPGSVYPGDWTSNTEEDRAGYISLEKSEVYATTARGGFKLAGLEKV IRVITGSSTTSLKSLRETLNMPQPLKFDMVFLDHLKPLYTIDIKVLEEEGLVGPGTVL VADNVVKPGNPAYLSWVRATPEQKRKSLQAPRLTTQPPVTPNPPTEDWETAFHGGPID ETRWAPEEAEGITAEGDPGLIYTSEMLDGWDPYTGEKDACEVSVCTGRQD
I206_03958	MSDLTAKVSKDSQGSIVVDGYEALKYNFHYTSPVFDVQHSKLAD IYKRWGRVLIVMDTIVHPIYKAQIEKYFAHYNVEITWKIVNGGEMNKTMDTMLEIVDA MDSFGTVRTEPTLVIGGGLVTDVAGYACASYRRTSNFIRVPTTLIGLIDASVSIKVGI NHKKLKNRLGAYHAPLHTFLDFSFLKTLPVGQVRNGFAELVKIASVGDKAVYELLVKH GKELVETGFGYKDGGDAVRAPGAEICHRGIETMLELESPNLHELGLDRVIAFGHTWSP TLELTPRIPLRHGHAITIDMAYSITLAHSRGILNDAQRDEWFNLVSSVGLSMDHDLFD DELIAVATDAIKKTRDGKQRFAIPDKEFGKCIFLNDVPIEELQSVLKVHKEFVKARFG QGVGKEAYVDAGDLGANPESYAKKNGTEKAAPADDCCTAHSLKRNGINGNHTKAAAIS AGGVPVDGTGVVTNGVNGTSVH
I206_03959	MGRQTPTAVQNFLSSVILPCLSLLLLPITASAVVTCMVWDKLFG DRRELRIGQITSEAQGCVVISGGRMSKGLTLARAFKRAGWKVIGVEEEGWGEYCPMRY SSAIDRFYILPIAAKSYQRYSKKLLSIAELHSATLFIPVSGAGSSVEDAKAAEEMFKA TDGRCRTFIQDPETMEDLHDKDRFMALVHKLGLTIPSGKMVESVEEALLFLRNDEQFL EPKYILKCMGLDENRGDMTLYPLKGDDKDLSKTRSTLEYLKLKITKDCPYVFQEFIPG QEWCTHASVINGQITSFVTCPSNDMLMTYENSTTEEIGQRAETWTKTLLERLQEDPTP TGKPRNLTGHFSFDFILSTRNGEMYPIECNARVHTAVIMLPLSKIAGCYEDQPSVSRS ILRPPANTSPRSWIYNDLIMRYLPVIVSSPETLEKIHPSLPACAIGIRSKAPTKPSEE PLIWRKDPTLVSDDWVPFVVLWHVYWPYLLLTRWWAGKKWTRLNVSTGRIFEA
I206_03960	MTGNSITADRTITLNSGVKIPQLGFGVYQSPPEVTSKTVLAALD AGYRHIDSAYWYFNEEQVGLAIKNSKYPRDSVFITTKLGLADRITELLNESVSKIDPT DGGYVDLFLIHSPSAGPEKREEQWRALEQLVKQGKAKTIGVSNYGVKHLEQMEAYAVI PPAVNQIELHPWCQQPSIVSYCQSHNIALEAYSPLVQGTKAEDPTLLKIAEQTGKSWA QVLIRWSLQRGFIPLPKSDTKDRIIANRDVFDFELSDDQMQALNGLDKDEHVCLNHTE LP
I206_03961	MKSAALSILLPLLALVHASPIQRQADKKLLGRHIIDGRQIAHGS GLSAGHALASTTIFLEDSEGLALVTSSNFTTVIVETPSNSAISTLIAAWGSQPIYSLG QNAGYILGIPEHVYAPFEFPQVDASDLPLQVCTFVFAETADSYTNYTAISRNAFVVED FAKQLNLADAVTEFCVRVGQAPTSTSTSSTVVSLPAPITTAGNNGNGNGNANPSVAPD APHSSQLPSTTKSTSPSTTPLTSDETSASTKPYSVSSAISIEPVSSKSQVQTSATSGP SSPSAATSAVISASSAVSSAPNASPSKGISGAASAASSTGSTTASRSASHSNAASVTS SVIVSASSVPVTSATSVGVSSIVSEYSSVGASASAPNLPTTSIHMSSSAAISTSLGAS ASVSVSTSASSSAASSSTSATPTLTGPDPAYQTMVTFAGKTYINKGLVGFGAIDGDAI DSFGETIGSLGSAIYLQSMKKTANGSYTGVMVTQPDRGHNTDTTTDYVSRRHFISFNL NPYYGNTSLEYQAAKSSFALKYESTLKYVEADGTPTTGLNPESVRNGSIPQPIASQSY NHISTDPEGLVILADGTSWVSDEYGPYIWKYSAEGILLDTIVPPKAVLPYRNGSLYFD AESSIGPDTGRVQNQGFEGLTVSPDGKTLYALMQSGLTQDLDKNGSGRYARMFIYDIS GQPILKHSYVVELPVTNGKGKTLAQSDVAYISENTFMLLSRDGKGNGNDDSESKHKDF MLFNLDGATDLVNTEYTNGVKPVSPKGTLESNITAIVPVEFIDMIDDTQLDRFGLHNG GTFDVSLINGKWESAALASLEDPQYPNDYFLFSFSDNDFITTNGFEAGEKYVDQYGST LDNQALVWRITLP
I206_03962	MTVTLENIPSPSNGPYPYKLGTYSRSIQTASRECQVWFDRGLLW SYGFHHEEAARCFRRALDLDPGCAMAYWGIAYAAGPNYNRPWKMFNEEELKRLLTKCH SAGSKALEYATDSLEIALAQAILARYPLDEQGNPTAWNLAYSDRMAEVYQEFGDDLDV IALYADSLMAIAPWQLWDLQTGAPREDSRTSQIQSVLEKALRNPSSNTHPGILHMYIH LMELSPTPERAIPAADHLRGLCPDSGHLNHMPGHIDLLIGDYRRAISTNLQAIKGDEK NNYEGATTEFYYLYRLHNYAFVIYAAMLNGQFSIAQETLDKLETHLTDDLYRRIDPPL INFIEGSKSYRVHLLVRFGKWQDILNLPFPDDREFYCVTTTILHYARGVAFSVLGDLD NASKEQSLFRQSRKKVPSTRYNFRNSWQDILNIAETMLGGELEYRRGNYEHAFEQLRQ SIYYCDNMIYSEPWAWMQPPRHAYAALQLEQGNLEEAAKVYAEDLGFSDTLPRAVRHL NNVWALHGYHECLKRLGRTQEARMIEPQLGLALAVADVPIESSCFCRRISSPPDEAGY C
I206_03963	MAPIAITDLSTNDTAYHQSTADLSKNPLERTWRGNKEGTLRIQG YPEFMYATDEEGLLKKRQWVKEHLAAAFRFWGKLGYGEGISGHITVRDPILRDHYWMN PFGVHFSAMSVSKLVLVTPEGYVHPTLGAQRPINMAGFHIHSAIHKARPEVEAAGHCH SLHGKAWSAFGRPVDITTQDSCLFYENQAVYHNFGGIVLAAEEGENIARALGPRNKCA ILQNHGLLTLGHTVDECAYLFSALDKQCKVQLMLEAAEGSGIKKTLIDPEDAAFTAAT IQYHENTYYNLHPEYELIVEREPGVLM
I206_03964	MGRSLKVAAAQVGAVHRTTSRAEVLDRLINLVTDAASQQVKLVV FPETTFTTFFPRYYITDDAELANYYELETAETGPVTQTVNVKAFFEKAKELGVDVQIG YGEDTGNGRYNTAVYVSGKTGDVLHKYRKVHLPGSTEPFDLDPNTTNQLEKRYFLPGN FGFQAFRATSLKDDCGGASPIVGQLICNDRRWAEGWRCYGLQGVEILCCGYNTTAYAP QLWGGDQNISREKAYADAMFHHKLVVQAHAYTNSMFCITSARAGTDDGRHPLIPGSMI VNPEGYIVAESKTENDELVIATIDLDDCKQGKERTFNLGKHRRPETYGRLVEEGGVKP PPER
I206_03965	MSSPLTRSEMSSHTSTSILVVNPNSSFSITRAIKQSLEPHIPLG VTAEFFNPSTGPAGISDPATAQSSCEACMEELPGLVDKYDGVLVACFSDHPLVPTLEL YAKDKNLCLSVLGIYHAGIATALLRTRGKFGIIATGSGIKTNLIEATAKFLGSTTSDR FVGPITTDISVVELQEGDQVEVERKMRSTTRDLVRKGAEVIILGCGGMCGMEPWIVDS AAEEGRQVQVVDGARMGFHMILALIHGT
I206_03966	MIDQYMLDSSLSQTYPFTIAGPSHINHSNAVAPFNPGAMNGVSN DAGGRPPRSRKNRPCDSCRRRKTRCYIASSGPPCSLCAEGKRECTFNLAPPARKPRQL ARPPSSEFDGIDNHPGVDTDQRASEEQDSPTSVINGKRRRSLEAVDRSGETRRRRRRD TTPMQSSSTVTSRRNSGLIHLADAASAFDHLASSGFEPHVLTNPVTDDLLPIQGEEIE KSTDRPHVRQISSDPKRPIFVVMQPRQETFRSGSAGMNGLTNLRTLISHQPVAFTEAS LIDAYTTHVHPARPILPKGKIAKFPPNLLAAILASSFAHNKNTRSLAGLAANLLQSAS EGTGESNLVTVITNIMMIGVRPGATNQGAYLLLAHTIALAQLLGLHLDPSAWSIPAWE QELRIRLWWMLRIHDSWMSFLNSRPSHVQVDNSTAPLPKLASLLESSCAFSPASSDSA KSFIASCRLARLVSRLQSEVCTLGAVANRTQTERREEVEDIYQATEDLLEDWKVSLEV QSSRPRPPGVNSLLLCLLGLRCMLKRIHVELEHGLGAMFDTPSDALNPFTDFVTFITA FTEHDLDGYFLSSSQSQPSTTVLNLVARLVRSLHQYQQIHTWDIAASALRRAATMSDR LQKLQEHSELCEALRGSSSSTPADINLNLSLSAPVVPITTGDVTVEPQLVWDWSGAEI DLDQILLGLSSATTIAT
I206_03967	MTSSRPIVIIGAGIIGLTTAVRLVESEGFDSSEHPVHILADHLP NDPLDAYYASTIAGAHHLSFAADEDVNQRKWDSRTFQVMHDEWKNGGEKTGLMLLKQT EFYVGTDNHLKIYEEHPEFTVLDQSTLSNSIDHSISFTSLTMTPAVYLNRLLRRLEGK VQIHRYHLSSLTNLNHSSCTALIGISSPLAVFVCAGIGALTLGGVEDQDVFPTRGQVV KLKAPWIRSGWTRQVGSLDGGEGGERTYIIPRPDGDVIVGGTREQGDWYPYPRPETTT DILRRAREIFPEIIPPYSVHSDTLSPLDEIVEGVLVGFRPSRVGGIRLEVGEDLYLGN EKVTVIHNYGHGGAGWQSCWGTAEDAVDTLLKALS
I206_03968	MTNAFLFDLVVKNGTVVTAADQVDCDIGIKDGVIVCLGKNLPHD DNCQIIDAEGGYITPGGVDSHVHIGQSASGARSADNWTTGTRGAISGGTTTVIAFAVQ AKGKQVMPAVEAYYELAKDQTHTDYSFHAIISDPTPDVVKNEIPKMIEFGVTSVKIYM TYPLLKLNDRQVLDILCAARRTGVTTMVHAENADIIDWMTENLIERGMVEPWHHGTSR PPLVETEATNRAICLSEVVDAPMLIVHVSSKEATAHIRKAQTRGLPIYSETCPHYALL TAEKMQAPGFEGAKCVCAPPLRSDPQEREVIWDGLANGTFTVFSSDHAPTNYYDAQGK QLGLKDPVKNPRGHFKHIPNGLPGVCTRTPLLWSEGVLKGRISPQKFVELNSTNAAKL YGLYPKKGTIAPGSDADLVIWRPKKTRKALTIKQTELHHGADYTPYEGMEIQDWPKLV LLRGRIAYDGESNTVLNKAGDGRYLKRGFSTLPGPRGQSAAWINGFNPHA
I206_03969	MAEAKVPQTPSEHSKEDAVLDTVATVPNGLTTIEEQQERRNLFT YSEGQKILRKVDMRLMPFLLLTYLLRGMDGGAISYVKTMNPKSPTNILHQLNMTTNQY AYASTTFTLFYALGELPSNLLFKRTTPRWHYMRIVALWSIAAICHAAAFNTAGLLTAT FLGLFEAGMAPGAYLHLTYYYRPDEIGPRIAAISAMFNFCNIFVALETYGLSFVDGHG GLGGWQWTYIINGLLGLVLLAILYFWMPDFPEDCKWLTPEEQQWIVGRLPAGSSRSND KNFDFKELKDAFKSWTNMGFAAMVVIYNTGNLGMTFWLPTIIANMGFSTTASAQLLNI PPAALYWIGGIGGNILTDRLTMIPRPIILCCTLSLVTAGMFALAYVRSIGALYAIICI MQIFASWSYQTFIPWRCQSLKGTTDAAFAMAWLTGAGQVAGLWSAQIFRSQYAPRYNI PFIVCAVLTIAAGLMVLVNWWRTYKSEKETRRIMALRRKVGKERDEVLQEDVDLGEEV ARRNNQS
I206_03970	MVTTASTSATAPTADLSFDFGPPPPKFTDKYVEREYVKERLALA YRVIAREGMCEGASGHLTSRDPVDRDCFWVNPYGLHFSRMTASDLLLIDHHGKIVMGG KPHQQRYNAAAFIIHSAIHAARPEVDSVVHAHSPYGKAFSTLGRNLPFYSQDSAVFWK DIDLYADHNGVVLSTNESKQIVDQIGSKKALIMQNHGLLTVGGCIESAVSWFMLLENE CRTILLAEAAAAMTGSKPVSIAAEVAQFTCKEIGTEEAGRFEALPFFDLVEEECKGAH RK
I206_03971	MSARVSTRISIAWSGAEPIEDTDTLVLTIDGYSLDLRVFLEGLD KGSMDWSTVAHVGEIEGSTKEKPKLRWDHIIDNRPETEMPDQGVFDTLSNGDVTETGT MFNPKTNLYEPYVETWRRKQLPSRTPYFVVASQQKDVQSYIGRVGDYALGLAKDARGD YYAWRDQLEQGHWKRIYEFGQVNKYLPQLPSEVSQDWAKGGSTILGGVTWDIKVVGQL 
I206_03972	MSPLAAIPPTPLSSSLQRASPHASSSRISGNENSPASPASSSRP SNDHASQPLSPGRKRRKVTRSKLGCLTCRKRRKLCDQSKPNCGACGRLQLECAWPPEE PPRRARRRSQPSLTSLSPSIVNPPPIPAAPSIANLLTSSNDTHNPFMMGSNDVLRSFP VTNTMDDFVGIFGNIDGNNTSHQQNGMNRNMQAPATLDAANLLDPSFGTQMNTNPASS DDTGLLDWLSGNGNLDEATLQLWAADCLAVPTAQTFNAFDSLNSVLLQQTPPSNTAID PSIIAPEHGSGPPRPVRSRTVSRRPSRSPSSDTPPGNSQAAVLTYFHESLSRLVSCTG ESAPSAFESFTKLANMTAGRGPAGQSLHLSILAWAARHMVNRGLAKYEAVSEKFSVQA TNHLDTRMDQLFDKRGHERASIAIEHHDPDTEKMTLLAAALMIMQFKICRGDVWGFST VVQHLTRLVPFVFTSEDLDSQPDSMHTSFFENVLYHDVLGSFIFTRAPMVPKSIAQKY SRAGLDTLHTLTGVSLPLFSRMHRLAELIRLRRSRKDKGWSDEHLLDVVKPALQIEAE LNEEKTRLDELVLAKPHIQAHRYLHEAFRTACLLQLRCFVLCEPPTSLNIRLLVRQCL SLLEAMFDQNLPGLCSAHWVIFLAALCSIPGGQEEEELDDRQRTMSLASAMLSGREKL YMNSGRRIVMEANL
I206_03973	MDAHITLSDTHDSTYSESTQYGKSLINNSVFELLETYNHDRARL ESKRSIHDTGLTNELSNAITTNRKGKKKSGDLPDKRAGASRLDGPQTVYGVGSFHKQF SAESSASSAGPPLNYFEEVPSQQTYAPAIGSSSGYGMNPIRSQPFGYSDNNSSPQSAG VRPLDQYDTKPTVMNYMDITPGRNYAETYGYCDTETQNWLEALSDGMAHFDSETLSRL RNDEPVFRQNISSILNERSTIFAEVYYTDWEGKGKVLAQQIIERAITRRYEIDQQYHQ QRQQQQQ
I206_03974	MSAPAAAQTTSKFQAFLNHPAGPKTIFFWAPMAKWALVAAGIKD LSRPADKLSVSQNVALAATGFIWVRYSFVITPVNYSLAAVNFFVGSTGMAQLYRIWDF NRNKPASIVSK
I206_03975	MKGTSHTRLVAIISIVSAVLAVPTSDQHIFESKKPRPLVIWHGL GDTALSSGILSFIEDIKSVHEGIFVHSIQIPLDGSLDDERRAGFWGNAEEQGWEGCEQ IENIPELKGGFDAMGFSQGGLFLRFYAQYCNNPPIKNLITFGTPHFGISALIPCPTPP TLSCLLAAKVARSGIYSNYAQSHIIQAAYFRDTERLKEFYQINSFIRDLNGEKCLGND LLLKLEEEENKKKGKNGSGLGVSNLNNFIAIMFDQDRTVSPAQSSQFSTYSSKNKTLI IPMKEQLMYKQDWIGLKKLNEKGGLKLEHCPGEHMDLGGKGGCGDQVVKDWVGWRE
I206_03976	MADNLPSTQDIMPVLADDLMRDRARQFVEFLDDDTQANYNYRES IKRMLDLEQVRLIVNLDDLRDYERSYADGLLLQPTSYLPALDAALTQLVQSLHDPVKH KIAGNEYYVGLRGSFGQQHCNPRTLRSHQIGRMISLEGIVTRCSLVRPKMLKSIHYCQ PTGKFHSRSYHDATIIAPSSTLTGSTTVIPQDDGEGHPLLMEYGLSTFRDHQTISIQE MPERAPAGQLPRGVEVVLADDLVDCCKPGDRIQLVGVYKSSGGGAGARGFQTSIIANN IILLSSKQGGGIAQTPLTDTDIRNINKLSKRKNIFELLSQSLAPSIYGSDYIKSAILL LLLGGEEKNLKNGAHIRGDINILMVGDPSTAKSQMLRFVLNTAPLAIATTGRGSSGVG LTAAVTTDKDSGERRLEAGAMVLADRGVVCIDEFDKMSEVDRVAIHEVMEQQTVTIAK AGIHTSLNARCSVVAAANPIYGQYDVHKDPHRNIALPDSLLSRFDLLFVVTDDTDEQR DRLISEHVLRMHRYLQPGVEEGAPAIENLTQNLDVGGDEAESRITETPVFEKFNPLLH GGVTTSSGRGANKKKEVLSIAFVKKYIQYSKSRIHPTLTKGAADWIVSVYSALRNDDL AGNQKRTSPLTARTLETLIRLSTAHAKARLSSSVDERDAMAAEEILRFALFKEVLKPE RRKRRKLNAGVSVDSDEEEEEEDEEEVTTGGVTDSQRERAREKNRRMEGGPTLRGSTP RSAPTPARDQRAVEDEDDIDMEASLALAEAEAETAVPAGSGIEETDVEISNERLDLFR NRLSNLFENELDADGMIDLQDLVPKINEGLETNELFGNREARTAVQRMNDRNEIMFAE GTVYKV
I206_03977	MSDANAIKAEANKAFAAKDYTTAAKLYSDAIEIDGENHVLYSNR SASKGGLKDWQGALDDAEKCIQLSPSFAKGHLRKGAALHGLRQYPEAVMAYEEGLQVD PSSEILKKGLADVKRAMDNESQSPFGPGGDMGLGKIFNDPSMITKLENHPKTKQFMLD PSFRATVSRLQSSGGKDMGSLMGDPRMLTVLGVMMGIDIDAMERPEGSNETPPGMQPF PPQPEASSSKPKTTPTPASVSTPQPEQESEPTPAPKEEPMEVEEEEGSDKAAEKEAAD LKGKGNVAYKARKFDEAVELYSKAWEVYPKDVTFLTNLSAVYFEKGEYEKCIETCEKA VEEGRDLRADYKVFAKAYGRIGSAYNKLNDLPNAIKFYSKSLTEHRTPDILTKLREAE KAKLEADKQAYINPELAESSRAEGNELFKAGDYAGAVKSYTEAVKRLPTDPRAYNNRA SCYQKLMALPEALKDAEYAIKIDSTFIKAYIRKALVQEAMKEFNGALETLQQATEADV EKKHTRELESNLTRILHQIQSQRSTETDEETYERAMRDPAVQEIMSDPIMRQILSDAQ QNPKALQDHMKNPMIAGKIQKLINAGIIRTR
I206_03978	MSDSFEIPSFVETVLVTGAGGFVGQKLVIQLLKLYPNIKIIATD IIEPPNHGVTDSSKLKTIKADLGKPNELAGIFEGEKIGGFFALHGIMSGGSEINFELG YSVNIDSHRKLLEAAHKHSEKYFSSSNLNEFKPIYVFASSLAVYGAVTPQSIVNPKTK PVIPESSYGVQKHVVEMIVYDYGRKGYLDTRTVRLVTVTVRPGAPSSAASSYISGMIR EPLQGKESICPIANSIEDNSLDYYLTWVGSTKNVVKNIIHAFKIKQNDLIKISQERII NLPGIQITPRQILNALVKYGGEDKLKLIKFDKDPKVIAICDTWAGAFDNTDALKLGFS IDDEKTGYEQAVQDFIQEELGGKIA
I206_03979	MSPVAAPSLTPLPFSPLSDLYVNLAKAGSNVEAKAAADAIALSL KKSPRTLNAIQDGKILDVVLVWASSKSNYERESAPVLVERICKSLGTGIEGVFLPLIP ALLNLSMDKGQPVRSAVNSAMTALIKATSPEGSRLVLDTLCKALEESKGWRSKVAALK AIEGLVKPGADDYVAQELGHVIPYIEHAMHDTKTEVSAAAQKAAATLCSILPNPDVLK HINLLVSAMASPAAVPGTIKGLSSTTFVAEVNAPTLAVMVPLLSRALKERSTDTQRMT CIVIGNLVKLVRDPTVAARYLGPLFGGVEQLAKGAAFPEIRAFAQTALDILIGAGASA NATPLPPRDIILAVTEALTIMAPHLDVDGLPSHPSLPLSSSMPQAPVLAHAIEYQANV VADLVDLRRWDASIWETKGLGSFMKLLLGAEQGSDATTAIRKAFMDIDKAKYAPAEED DGSEGQLLCDIQFSLAYGGLLLLNHTNLKLRRGRRYGICAGNGAGKSTLMKAIRDGKV EGFPPQDELRTIMVEHALQGEDGSAAILDFISADPKLAKKTREEISAMLLSVGFSDEK QRDPVASLSGGWKMKLELARAMLIGADILLLDEPTNHLDVQTVAWLEDYVCSLHEVTC MIVSHDSGFLDNVCTDIIHYEEKKLAYYPGNLSKFVEKVPSAKSYYTLAATSIKFSFP PPGSLMGVRSNTRAIMKLAGCTFTYPGAPRPSLKNVSCSLSLSSRVGIVGPNGAGKST LIKLLTGETVPQEGAVHKHPALRVGYVAQHAFHHINLHLDKTAVQYIQWRYQDGHDRE MMEKATRVLTDEDREMMDRSIEGKDGSLRKIEMILGRQKLKKTFQYEVKFKGMTHKHN AWLSRDFLIEHGFQKLLGQFDDMESSREGAGQRDTAASAVREVLEAVGLDGDIAQYNE MSGLSGGQKVKVVIAASMFNRPQCLFLDEPTNFLDREALGGLAVAIKEWGGAVCIISH SQEFVSALCPEIWHVDNGELTHKGKVAIVEDAFDNPSAPSSRVASKAGTPRTVPGTPG TATPGTETPGTATPSGSAAPSGTEEIADGVAKLMLKKKKKKKMTRNELKAQAERRAKR KLDWLSYGGEREPDTDDDE
I206_03980	MANKNGTNNDVERALPQNEVSAKDMVEKGDDNKNVKHTHGDWRA EEQEIEIPKNNLWIVMPTVGLVGFIAALDQSIVSTALPTIAAEFDTTPSQYSWIGTSY LLSQILMSPVNGRLTDIIGRKPALYMAVFFLLIFSALCGAAKSATWLIIARAFAGLGG GSVVSLSLIVVSDVVPLEKRGSYQGYMAAIWGVAGTLGPVLGGLLTTKASWRWCFYIN IPICAIALILIFFFLNLKRTKRGDVAELRRSFDFVGLVLIMAASALIVVGFSNAADAG FDSKSAYGVIIGGVITTALTVAHCVTTKKNAIIPARLLRTRTPLFFTFGSFFLSLMFL PATFLLPQFFQGVGGATSLKSGVDFIPFCLGLIVFSILAGEISTRLHIVRPLIWTGFS ISALGYGLWYALLTYDVPYATQEGIQVIVAAGIGLAISTPMLVIQASMPGKDMAASTA AWTLTRSIGACIGLAIFTAVFNTGLRSRFSKIEGYGTVFTVPTGTQGYHALHDLPEGE LRNSVLNAFADSMRVCWIIGCALSCTALAITLCTKSYSLERTYATNTNTNEAETPANE KGNLDNGIQAETVDQDEPVTELDQATIDREERAMMRMEDGLRDTGGSMPPTRITSRV
I206_03981	MDLGAPISQSTSGSSAQSRIPVTTESVPVTQSVTTTVNTPVPPA NEARVVPPSNIPVNANAANDHSAARQSIAGPVVDSGNTDHPAAQQVLHEQPHQAAVPS VPSTETHSSDPSRGTTAPAANVGQSQAPTASIDPNVPSSESAPTALPTNPATHPEEQK EAIQNEHPAVIHREVEKTKAEARELKGEKPKGTVVAGLEDDRLWAMLRRFDTQITHVL HPAHHLPSKEPDLRNSTLPNLPSHSEVLRSNLERVFAAVGPSTVMGIREVQRMMSWSP QERWRTGSFCTAYFTCWIFGYTIAGVVSFFIAIVCFPDCRRWFFPPVPPAPFTPPSAT DPTNQKGDESILGNVDGKTVHRSKAEQAEEQAFEATSILQAYTTRLLFDGRKKGKEAG NSTVGEKKRASESSTSSDSEPDDDVPPGQPGVTRSKQGKGQSQGLESGTVVVGGETVT PDKPLNEKEKKKLAQREAKRKRDEMVSKMTKATEEGLGAFADTIERLTNALSPPVPYP DSYARYKIAGAFLVPIMFLFTFVPPWIFARSATFFFGVGFWGQPLLIRAGKELINYLP PNWEELVDIRNSILSGVPTDAQLTLHILRVAEALNAPLPRPPPPPLAGTPKEAIKDTT PATVTADDDAELMEAEEKGGLTEATTKAKHKTKSHILEAFKGVGKKMAAFHGDVAVDG SKKQARNEAIDRGETDLTTGEKIGSKVDKLFFKGHIKDDGTPHSYPCKLDKTSGHIIL ENRNDILKEPRISFVPISGKSEHFVWPVDDIVEIKKSHVSMPRMALGWASGAEIEGLG MTIRFKPRSQQIAEHVGSEKDEGTTIHLTRVGRREELFVRLVSMGVQRWEVL
I206_03982	MYTALSALVCLSGAIGTLAHGSHAKVDPWNEHYANTPDLSFSGV TSFAHLPHVKCLDKPDQAFDIALLGVPFDSAVSFRPGARFGPYALRSGSRRQRPDRGY SSRLEVNPYTSGLYVLDCGDVPVTPFDPATAIKQVKAGYKSILHHPVVNEEEMKRLHM QKGLDGQYHPRIIALGGDHTIVLPILDAVSEVYGPVSVIHFDAHIDTWNPNRYVGSVS LQADVNHGTFFWHAYENGYIKPNSSIHAGIRTRFAGPQDLDDDVTAGFDLIHTFDIDD FGVEWIAEKIKARIGNGPVVISLDVDVMDPSIVPATGTPESGGWTSRELRRIIHSLVG LNVVAFDVVELSPAYDTQAEISAIAAADMVYDFLSILALGVDDDKMKATSRQVDEL
I206_03983	MPDIEMLKDRSAVGSMSTQRLPIVDISPYLDPSSTDEARKEASQ TLDKACREFGFFYVTGHGLDPEYMKDLLKLGHKFFELPQEKKDAIHIFKSMDRVRGYQ KLGENVTYAKRDQQEALDIYPEPVNPSTAQLEGSQLWPAEEDLPGYKATLLDYAEKMK KIGHAFMKAMSDVLGHEELFEQLQDDNYWVLRVIGYPPLSDSHDLESGISCGAHTDYG CLTFLLADDTPGALQVESKDGSWIPADPIPGAYVVNIGDIIDTLTGHQYKSTYHRVIH RGSRYRVSMPFFFEPKRDQVIQNLPGMLPEGEKPVEPFTYFDHLKRMIYAHFVANDEA LPEKPNANALTQRG
I206_03984	MSYEAKEKNLEDYSAGEPQQTVTDEINHQDADVNLALADDPHRG LRMRHVQLIAISGSIGSALFVSIGNPLTSAGPLGLILGVAIWCAVVWAASNCLIEMTC LLPVDGGFIHYAGRFVDHSFGMALGWNYVITQLALICFELVSLNVIVEYWTTTLHPAI IISVGLVAFFIIQIWSVRWFGEIEFYISITKVFLMIGLTLYTFIAMVGGNPIHDKFGF RYWKTPGPFAGEVNFRKVLTGIFDSLSWATFAVVGPDYISLIAGEVKNPRRILPKAFN STIYRILCFYLTGALCVGIVSSSTDESLLGAIAAGAPGAAKSPYVISMNRLGIPVLPS LVNALILISIFSTGNSFTFVASRSLFSLAQKGQAPAILKRVNKQGVPWVAVCVTLAFG CLSYLSVSSGTVKVLNWWINLVGAAQLVSWTCIAITYLRFRKGLKAQNLLNTGYLPVK GYLQPFSGWYVLVWSPIVFFFSGYYLFYPGSFAGPDFVFAYGSIFLFLAIYIGSKIYQ TVRMNQKQFIIPADQIDFVSDIDHIDALTQASEAKRARKPQNLGQKISDFFF
I206_03985	MTTVAVGQPVNGHSHLVKDAATTSKITSPGEEQLVLNTIRCLAA DLCQQYKGGHPGTVMGAAAIGIALWRYEMKYNPANPEWFNRDRFILSAGHACLFQYLF LHFSGYEAWTLDQVKNYHSPKTYGSMAAGHPEIEYPGIEVTTGPLGQGISNAVGMAIA SKNLAAIYNKDDIKPIDNKIWCFTGDGCIQEGVGQESISLAGHLGLDNLILVYDNNSV TVDGRIDNCFTEDTSAKLLAQGWHVIDVYDGSNDLAAVLEGFDKAKRLKGKPIFLNIR TVIGYSSRKANTGPAHGQALGDDEVAYVKTQLGFKPEDRFVIPPKVYEYFSGIKDKGA KVEQQWNETFSQYRRKYPAEHEELSRRISGEWTTEDWQHSLPSKADLPQAAQPTRKSS GIVVQALAPKYKTFVAGSADLLESTFVNFKGQVEFQKPGSGLGDYSGRQIRYGIREFG MVGIGNGIAAYQKGAFIPIMSTFFMFWIYAAPAARMAALQQLRFIGIATHDSIGIGED GPTHQPVALASFYRALPNINLIRPADAEECMGMWTLALDEQSKNTPSIFTLSRQPVPL LPGSDRNKVQSGAYIVHGADIEEPELTIIATGAEVYRAIDTAEKLKSLKKIRVVSMPS QRHFDLQSIEYRESVLRPSSNLVVAIEAWASYGWAKYAHASLSMHTFGHSAPQQQLYD HFGFEPSNMASKIDAWANKWISKGRLPGLGEFEELLLGYVQH
I206_03986	MAINGVHEGEGFSSEDQGAAIIKAETTIDTAVVGGLKDEGFSEA KLASIPTTQLFSLANQGVIVTGGARGLGLCIATSLLEASAAHVTCVDILPNPNQDEWT IAQDTAAKYGGKIDYRQLDITNEEAVEKVFGDIYASSQYPITGFFGAAGIQQMITALD MPIKDFRRIMEVNVTEGTFITAQAAAREMKHRGIRGSIVVTASMSGSIANKGLTCLAY NTSKSALLQLCRSAAAEWGEYGIRVNSLSPGYIRTAMTDQLLAEKKELEAEWLSGSML HRLSTPDEFRGPVLFLLSKASSFVTGADLLVDGGHTAY
I206_03987	MMIGNTSKFRGRVVQWAITAACGSAFLLFGYDQGVMSGLLTGSA FTKQFPEIDTTDSGSGSASLQGTVVAIYEIGCLFGSIFTFFMGERFGRRRTIMLGCSV LIVGATLQTASFGIPQLIVGRIITGLGNGINTSTVPVWHSETTHAHDRGKALAIELAI NIFGVMSAYWIDYGLSFVNSPAQFRVPLAIQMAFAVVTIVIILFCPESPRWLLKHGRE GEARAVLEQLSTYKGIDRMEQLDSDFGSIKLALIEEQEASIKDKNGNPVSAVRACFTL GKERYFHRVMLGVGSQFMQQLCGINLITYYAPVIFEQSVGLSHDISLLLSGFNGIAYF LSSLIPIWIIDRVGRRKLMLFAAAGQCACMAILAGTTSVSFVGTGIVAAVMLFLFNFF FAVGLLAIPWLLPAEYAPLPIRASAAALASASNWIFTFLVVEITPVSIKSIGYRTYIY FCVFNACFLPLIYFFYPETAKLTLEQIDLLFTGEKVLLSFPRSLEGAREGDHLEAGNP NSEAASAAADEKALIETSHNDQVSGTITA
I206_03988	MSGKAEGSTDGQTYRLVTLPLHHLFPGSSTIPANHTSPSSSDEP TGKAQEEDTEEKPSRALIACVACRARKIKCSGDRPVCVTCAKSSQTCIYNPHAKRKRK TKAQLVECAAKKRSQPANPSLLEHLPQIGHVHAPESQVTDTRNETQVILPEVPHLGVP GPSTYAQPIQNPSPIATGQTITPTSVVSPALNITFDNSVINPSTELEFINKIMSGLQP DQPADSIGLPSNGICADTHWNWDEFLRWDPAIGSSVNTSNNASGQTYTMNDQQSEQTS HIHNGTLKTRFRVPYFRFFGPTAIAPGYKQVQYGASCPPSPTTVPKGLSVLPTQDLSE QAGFMDQNDEYPHLDALQSLLPVFNLHFNYFFPFLRFNTEDIPSIVSSKPSYLLNIIC AISARFSPFYSSHSPSDTETMRLHNVKSPAARVWATKAKEQVSRTLSFSTVEIVETLL LISWYEFGQDRDGGLWMYSGMGLRMGQDLGLDSINRLISPHLPPHDTDDLYARQLHCS LILMDVIMTIGTGRRGMYLSTHTIVPALPEIASEDGNTWPDPYIYLVKILVLADKVAR ILRVPDKRPDVKSLEAAQNDLNGFHTSLPVELRFETSAFQRYAKMGHGGTFVLLHLWL HTLIILVYQPSLLGVENLEDYRLGSDGVDIAGQQIAASSAKTILDIATFAELIDAKAN SQPWINYPLYIAGCMFICIPISVSQCLDTTDETCSAGQIHLAKIARANFQRIIGLFDT LQSYWAGVRYIRGALLQKAEGTTHITLVDSVNEPLSGEIPPTFAAMWSGQSETNHLVG LSFTGTMNSPTDNFFSLVYPQASSTLSSTQVTI
I206_03989	MGCAGDQATNCGGSNLLQLYNNPDLATKNTINSGFTYQGCMEEV LGRALSPTSIITSNLTIENFSMSVRHLDTSMLVLNTPTNASLRTNLTMDQYFSNQCNI PCISNNGQICGGPNAITLYAYAMSRRT
I206_03990	MPVAVHQPEQPTQVPIKEQFQIQSGLTSGQHEPVESLVQAYSSF PREVTGPTVWRREEYIDHPDLWKKTWTAEQIKDLETSYEGFLKTGLDLPQITRETFPL SPSVTSFLIGIREHIVNGPGFILIQGLPVAEWSIHKAAAIYLAIGTILGVTVSQNRKG HILGHVKDLGNDPTQIHKVRIYSTSARQFFHTDAADIVGLLCLAKAKEGGESDIVSAH HLWNTLQKERPDVAELLAKPDWYFDRKGETSEGQNEWIKKSVFYWHDGKLISHYDPYY VKSITRHVEAGHIPGHSVEQLEAIQVLEDTAQSLSLHMVLAVGDIQFVADTHVFHART AYVDYPPPEPRRHLLRLWLSTPVSEGGWKRPYPDSDYYKRGGIQVNQQHETCPLDAE
I206_03991	MPSRPSSTGNPTLKNLLKSSDILLKPPTPLSSNPVDLIQRLKAC SRSLPKEWRRNTPEWCTEDETEQVGDNDPNIDEGRKKRRRDELVFVVGKRCFALIKAI QLILEKEFWPKELLTDDIGTNDFLLGTADLRLIRLMLSHTTFSYMLPLASSYTDSLPN VLPKTADSLSQALEALLKLLKTSAPPVPAAGPSSQTPTPPTTITQTLLSSHLIPIFLS TLILAYTPTTPGETYASLRAEFIKALTSLSPGHAISTLVNVLKLLVQGNKEGVKPNGW VREWPKYPKEIINGLLTAQVRRPGGVRGLMENVLGETAKTDDVTSIEGQRLDHIFNVL IRTPRHVTPEIYYPWLLSELFAMIPLTNHSHLPVAYVNTACYCIQRLWASNKPLLGDW LNNKLHSPWLPKISSNGLSSREPVVATTWEAIQRSVQNMRLLLLHNPSSHQFTDFLIG SILAPLFSLHTFLQQAISEQYIKEKKASDDPSTSLEEGVKSLLITWGKSVNEDRGVSG IWSIAESGRGWGKERDQEVRLFWEKAGDGVRLMVSRLDEVYNIQAIITPNNASSSREA EPLDQLTDHRQAGPDPELLCNLIESLDRPDIASEVILRSLDSWRIKLMTELQPSMESL ASLQLTIRMMEKLGSQLFTKPSQVLGFVERVLNDQVQSLSDVKVPSRHEKQEPFIVEL VGDKFNEKGLDDDVAPDGKRGLIEVACQLLASLESEGQLQDQTPILLPITSHLNILSH QSRSVSIRNAAREAQLLLAQRTTSAKREDTDPGRTSTEIYEQALKLISDNTIPVRAHG LTMLKDLVFSPDFDRSLTFDILDVYITQLDDKDSFIYLSAIKGLSGMVDALGKEIFSA LLGRYQMEVAHLGSYEGKEENLVQVEKILRMAEVIDQVIERSADTLGQYSTEIVPPLM SIYPLNTLPTVVRSSALSILSTCARVAPYSIFPWSDELASSTLDLIQIESVKSLPFKP VSDDDTTEKPAPRSPWGKSKLVQLVDDEPLPEAPPQDTSRRGEKPRIVDEQPIKRDDA KHPTLRRAALTLFNWSIRVILFVKFLSSEEHIQDVDDFNIRVPSLQSPIQVKSSSLAA TNSGRFVSPKVLERAITIVGYVAEFDDDEVVRQHAQSALKDLKVLRNGGIDFEGGHEG LKLLEGLKSLKISSRC
I206_03992	MPPRPRVSSGSQQSPLRPPESQIDVLYNTAVQSFVRRDHIKTQA VLSRLLSHLKTKRSTLPPSAVWYSPEFDIGSDTEEAEALDEWVIKAVKLSISSLTSLY IEPPSAAAQANLPDDIKSIISGTDPEGLLSHLQTICQENIFSEILPPQIVSTLILASL KIRQSAQALNFAHQITEAWITALPDSFIFAISPQSSRHRTKDAKKRKRIESAREGYLK VVELFVGEVLSREGEWEMARGFLDGETVMGSKRKEVLFKHLRSMQSAPSNQSIPAPSP SSSLILPSTRSSRSGSASSASSSSSELTARPNQIQSQLGLNSQSQGPIPARDKGKGRA RIQEDSAVVSDESSKLRNNNKAIQNISRDSFPSSSSSGLFDSSKTSTVQQIVNSALLV LPPTMSKQLRAIFGNRLSYLLAIPLPVILVLTFLLRRRRRRNAVTLLPSTGVVNNARD IRARLRLVRARNRGWYDWFLFYLNWWIRKFAGVWKLATTITFM
I206_03993	MNFTKYCDSLLKHPDISSQEDIDTLSTAGELRGRQVEDYLKTQN VTFDLQYSDTGIRHKLAQQCAAGAAERKKFADNMEKRRNRPLQWPNDWIRTDGRHKYS ASVQTTSKSEAQSSQPDHVPGRDTDPAASMNLNAISDCTNLNQQTHYPTSTPGQYSND GPAPLMGQSNLPPNDNRVPQLSSFVQSETGAATSDGSQVLRYSKPVWHGSRLAVFDWQ TEAWMFWQDDHYVDEHDRPVQ
I206_03994	MSANKVQDWDFEKFPIDLKQYKPIPLDPKKDQKLSQEQKDALIA NISLLRDVIVFFTATGAARGLAGHTGGAFDTIPEVVILLSFLLADEDKSKYVDILFDE AGHRVATQYLLSVLDGHLPVEQLLHYREAYSHLPGHPELGFTPGVKFSSGRLGHMWPL VNGVALAEKEKIVFMLGSDGSQQEGDDAEAARLAVAQNLNVKLFIDDNDVTIAGHPSE YLKGYTVAQTLKGHGLKVVEANGEDLDSLYSAIVEVVNHDGPAAVSVHRPMAPKIKGI EGSSHAHDAIKVEPAIDYLDPRHPKCANILRAIQPSTYSDILSGSTKEKGACRVQFGE AVSAVLDKTSKDANKAKVLCVDSDLEGSTGLSVIHKKHPEVFLSSGIMERGNFSAAAG WGAFKADRFGIFSTFAAFSEMIISELTMARLNYANVLTHFSHSGVDEMADNTCHFGLN NFFLDNGLEDAYETRLYFPADCAQMDAVIDRVFYEKGLRFVFSTRSKVPWILKEDGSK FFDGDYKFVPGKDEIIRKGTKGYVVAYGDILYRALDAVDRLRKEGLDIGLINKATLNV VDEDVIKEIGSTEFVLVAESLNQRTGLGSKFGTWLLERDLRPRYGYMGTNKEGCGGLG EQIQHQGLDSASIALKIKQLIK
I206_03995	MSAPLELSLKIPQPEEGENSKELNLMINCKPYLAMDPYVDRIRI NQSKKVWEINQILNLKERMKEMKNFINMGKDICIIQGFFCEYGFNITLGDEIFIGANC TFLDVAPIKVGSRTMIGPNVQILTPNHPIIPEERIGPKAREWGESIIIGEDCWIGAGV TICPGITIGNGSSIGAASVITKNVPPRSVVVGNPGRVIKRIKEDGTVERV
I206_03996	MFKNLPTFKSLPQAIGLPFLSTQEDAQLKFKSSSNGIKRLYSDE VISTTDATYWSQYYSLFNSSADVYSLISVQDVRQALNNQPQNLANLIFTLSHHLFTLL PSPDFPHSSSSLQDLSKEALNCLRVLGRILVVIYESEADLKEKLDHGLISHLEFEDSF AKKWLWSKSIVEPIQKETSDSMDELQVEEEDNDQFKIEDSDSEEEKEDEDEGVRAFQA TIGNSAPKPITKKEQGIVNDPLSTSSPKQENHDEADGRDGEEYMPCLIERLFSCTIDL LFCAGFTVPDSVRGPNVAEKINYVIWEKGVGSTVNVGSTAELDRNKTEVLRFLLILLS TTIYTPPHSLSTTPNLPLQILTHSFERRLVLSLLCSFINTSLTPLKTSFGISGQIPYN HLISKAAEDRRTLVRASLMVLLVALDHRVEGERNVMGDGKEENAFRYFISKLHRKEDF SFLLEGIIGILQEHNAVTNGYLPGSQRPIPYILETYILLWRLVDLNKRFRQYLLDSGK ALDVVCYILVTCLDLKDDPAHHGLLRLLSYLLQTLSADKAFAVSLNQTIRMAIPSKWA VTGTAADFMIVSIYSIATTPGLNPLFPALTISISNIAPYLTDIGVQASTRLLQLFKSF SAPNFLLADEGHPRLVYYLLETFNSVLYHQLNENPHLVYAILRSHQDFQTLATFTLVS GLRNIQRRKVLRAAAAERNATKTGLQRTPSELDMLAEKAALLGRDPDNEDNEVSSPAS QRRVLSPTIQETSESDDTTSAQPLTTPGIGVTSDPLDQPTAASPPPVSQGANSGLSEK QRGKLRATDTESITGSLDGTNEISIPDEELMRVAQAGVGPNGYVPTQEWVSSWQKGLP LDPVLVAISEILPKIQENQQLTGAPSSKVFNILKGVSLSEVLPPSPPIVPRRFQWSSA SCVWLTSLLWGDIYVAGLTTDGVWRDTTVRLFGVKQAPVKGRGAQVGRFLKTIGVV
I206_03997	MDFSQFNGSEQAHMTKVIEKKQMQDFMRLYSGLVEKCFNACAQD FTSKALTTNESTCVQNCTDKFLKHSERVGARFAEHNAGE
I206_03998	MSSKPNQSTTPPGTFAAESSSSSSKWFSGNFLPVNPFDLPETKD LVRQKIASGEITQRDVDIMDKGYKWMAYTPPAGALSFSALIWQLMKKQYPRPHIVTRL FWAGLSGFAGGLLGFGAAGVAAAMEVNDKIQDGDRKVAIFNSITEHARQIQEAKLNPV LEPAPIQVPASTTAMKQARSQSNLPRDFEFPPQREAAESLEYSKGSIRLAEEGKSIWG KMKGWAGYGRKD
I206_03999	MSDLPKLKSALKKKQSHSSNSSKAGPSTSASVNPKGKSKGSVTL SVKPTRFKGSDLESGSEGNASGFEGEEDDDDEDINMGENEIDTDEEIERSNQKDPKKS TKRKRAPTTADQFGTTLTSLLADPLTKKSKTKSIKQGNTTQQQQQQPILALSSSKPPT KSSVSIEAKARKQLKIEKEEKQDKARIQDIVEGWSGGDGVIGGQEFEKSLRKTAQRGV IKLFNAILLASKNSEAAMTSLSAQAKLKPEVGKRKEKDNILGRGGKNEDVLTKESFLD MVRKGSAR
I206_04000	MRLSILGIPALLLLSSVTNADETTIYKLHHRFLPHPSPQSPLAY QPLGNVKIHDNSYNFIVTSENTNDGIEQTDNGKGWYQVGVQLGENDLSEEWLITSTRS CYLYSTLPKIEIHLSSSSIPTSISILPLSNKGCHSNLTQSIKLPTSNQLLVEFSKSSH RTTSPNLAPPPTVDPSTGSPAPPEEEKSFMQKYWMYIVGIALFFAIQMGPDDPKGGSA TAK
I206_04001	MFRHLPKVARTTLVTASHPQGHACKRLFASSTSSSSSSRTSLIV AVAAAAGIAITYQSFLPSIKADEGALASKTDKYPGTKQPSGQKLISFEEVQKHTKRDD CWVIIEGKIYDVTDFLDNHPGGAEIILANAGKDATKIFKPLHPPDALETLDESQHLGP VDPLTVPEPEDEEPTEEELRIEEARKTLPPVDSMLLVNDFEDWAEKVLSGTAWNYYKS AADREITAAENQDAFKRYYFRPRILHDVTNGSINTEFVGMKTNLPVFISPAAMAKLGH PLGEVNLTKGAGKCGIVQGISINASCSLDEIMAAREKGQQVMFQIYLNKDRKASEALL HKVTELGASAIIFTVDTAWRSKRTRDVRAKVAVAPPPSSDGQEKSKAPLGVSQAISGY QDTNLTWSDIGFIRKHTNLPIIVKGIQSMEDAELCAEHGVEGIILSNHGGRQCDFAPA PIDLLYEMRINKPELFNKLDIMMDGGVRSGADVVKALALGAKAVGLGRSFLYANGTHG EDGVIRLCEILAEEIQNTMRNIGANNITDLKPELVGPAGPWVYGNAPPWGGKNKT
I206_04002	MPAPPTSSSSSRTNNMSRSTPPPPYRPLSPSTAQQAALSPGLMS TSSSLAGDRMSGNGNGSLSPSSVPSGSSIASSSTTPNFSSSSSFTTPNLSTAPTSPAQ SNLAARSWRPTANVPSHIHRRHRRVGSDEAQANRWLSEDEGVGSSSSGVRRRRSIGSR TRRGDDRDDHDHGDRGGDVGPSSLSGRRPSAKITNTEEAIDAINQSKRSSSSSARPIP QRLTSFDSHSSRINPSSSATVTSQPAVGTDPPRIDQLVPPPISSGLNVGLGITAPNSS TIPSMSGSQASEAGHIPLVSSGPLPDFASGNPGDGIATSVPPTSGDLDQDHGSAPTPL EKRQNMVEKLIRILGCALCPPVDGKAPSLHHPITLPCGHTLSAKHIFIPAPPSLQFTN EAAHEIHAAQQRQHQQRLSIWTNLMCPIPTCKRFSPTASASSVLAKVEVPGVESMSEG DENRSSPQRAGLLASGVHYYPPAPPPVPMPVPPPAYSSEDPSAASASPLLDVCVDRIL TLVHIERKRLEGHMLGRGGQEETDVDSSSSGSEPEEERSVSPPLHASQASLSQDFALL RSSSTPSGTSTLMSRTGSKRRRGDHKSSRRLPKTSLQQGNSDEFEKELLSTLECDVCA MLLHEPVTTPCQHSFCAKCLSRSLDHSSRCPVCRQDLPSFAFFQDHAVNKVLLTIIKT AFPEEYIERQQAIERDERDSRLDTPIFVCTLAFPGMPTILHVFEPRYRLMIRRCIEST SPRFGMVLPARGTGAPELQGLMEYGTMLEIQSVQMLPDGRSMVETVGTHRFKLLEKGN LDGYTVGRIERIDDVSPEEEIAMEREAVSRATMSRQTVGPSTSASATNVSGPGLLPTG PLTSATPASAPQVQSSLPIFGAPPGLGLGLGGGQVDFAALASQAITAPASGVDDTPET TEELMAVCQAFIEQLRSGSAPWLLQRLNNTYGSMPTDPSEFSYWMALVMPIDEYEKAR LLPIRSPRLRLKLIVHWVESLRSSWW
I206_04003	MKDISSIETLTVPEGVTVNLKARIITVEGPRGKITKNVGHIQMD IQLVKKPKGQQVVFTVWHGARKHVACLRTIRSLVENMIIGVTKGFLYKMRLVYAHFPI NAIPGDGGKNIQIRNFLGEKFVRECPMLEGVTVAMSEVKDELLISGNDIEKVSQSAAS ITDKCRVKDKDIRKFLDGIYISERTTVIVDEL
I206_04004	MQSSHYSPTQTLPQVQPHYGTAAISTPAPSMMLPPLRMPNHNDP RVNLPYASSAPAPLSVNHFGYSYTGINQFSTYQRSPAYLSSGYIPHHSTSSSTGSEIW TPMSATSSGGYPMYNNNNTSTSSNGSTPNFGAAPPPASQPPTPGTIQPSAFPNWQPTS DLRSKNLQGTISPLGFFGPGYNNSGSQGGSRLMDRRSSTPATTWERPDMSGKSPSLSD RSEDDSHSKSGSTAIKNGNQNENDANDDDESEQSEKPILVTYTTDAEVKQTPEIKRQC FNCTNKSPPSWRKSLLHPGKILCNKCGIFERTHHKPRPPQNDDQKLRKHTPLPGMAAG YGMRDIPPQLQAMRDDSDGSPVPPSPYSNAPAQATPVSATFSFPQQSYHSPSDSLLSS SGYRRALGGMWNQTSPSSLSPNTPATSTFDGDYSSPIRTGYHSQGHGSSPYAHAYANR RTYTQHPIRNAISPIQPSYASPFMTTTTNQIGNNNSFSPAFGASSMGFNWNSTRRHSD INLSTSSIGEIKSGGEDDDNEPLNSIKTELQ
I206_04005	MVSFILIVVSTDHLSGHLGHLSETQQATLTQFREELLAEGSIPA DREATAAKLGYDRYDDQTLLRFMRARKFDIPKAKLMWNNNEKWRKEFGADDIAANGYD YPEQREVDKYYPQFYHKTDKEGRPIYIEQLGKLDISKLYALTTQDRQLHHLVHEYEKF LSSRLPACSKESGHLVETSCTILDLYNAGISSFYKVKDYVSAASSIGQNNYPETMGHM FIINAPYLFSTVWSLIKPWLDEATVRKIHILGKGYKTELQQYISPENLPSDLGGNCKC PGGCSLSDAGPWNPNHTNVV
I206_04006	MAYLGRPSGGDSPFAIGDRAMRDTSSPQPPSQRNPTPRQPNNMG PPQQQGGFMDTPSPYGSASDEARRRYEERYAKKRAEDAAKAQAELQPSAPPPPPQQQQ QYSQPPPQQGLPGAYGQPQQQYSQPPPPPRQQYTSPPPQQSGFDPRQQQYQSGQQPQQ GPPGGYGQRPQSLGRPPQGYTSPPPQQYQQGGPPPPPAPQQQQQRPPSQRQRWSQQSQ NQSQNQYGQYNQPPPPPPQQQQQWQQQSHHPQQVQASPPMGADDAELHRMFVQFDSSR SGDLSTTDLQRLLAKDTMMDAREDAVKMLTKLFITDIFDTDKSGTINFQEFEGLYRYI QDWHGIFRRFDRDNSGTIDRKELHNALLGFGFSLPKEMVISLEKRFAPPPKAGQAPTK GISFDRFLMACVTVKHYTEGFRRLDINGSGHITIDYNQFMELVLDAPS
I206_04007	MTSESIPPFSSLPIDKSGPPYNAWGLYGSENEKGRLNLITAENI KRGKDTITEGITINLNLPLDFLPVHASRLPLEHNIKCSGHSNDDILNFNTQGSTQWDG FRHYPYQNWPEEGKFTFYGGMDISEASNKEIKKYGVQNYSDKPITSIAHLIDIPLYLS KNNLPSIDSFSNSNPISLKIIKSCIKEFKINIKSGDILLIKTGFIESILSKSNLERNE LKNRKKQGNQSCGIESSEEIWKWHWEKGISAVASDCPSYEAWPAPSNILSCHEIFLAG WGLPIGELFDLRELSKQCKKFNKWTFFFTSMGLNVPGGIATPPNAQAIL
I206_04008	MSSSSTNYDAHMTNNTASERLTRLKEEIEAFKSLANNRMKQSRK SYNLGEDPEKLAEGICDTSEFLFEAPDISREGVRDAKAMRDELYEAVKLVETADKLSN TAKSLHDRFSKCNTDRDNCPRIKKPELTGPGKTFLNSFIDLYLSKGIINKTIPQIEAS HPLYAEYEKYFDEGARTDLKDRSKSFKDLYWEYYLDPVNPFQYPTDGTSTQS
I206_04009	MSDTKDQTFILAGKPTIRMGLGLMGLTAGLDEPLPDEQAFTVIK TSLDADANLLNTALFYGSEEDPLSNLKLLGRFFETYPKYKDKAIVSVKGGMIGKFSGS GVGLLCDSYEYLKNEILIAKDLLKGKEIDIFAPARLPDDRPLEETINNLIELQKEGLF KTIGLSEVSYKTIEKINNLTNGEKISSNEIEISLQTLNDSEILKTIKICEKLNIKIIG YSPFGHGFLSGKITKNLNEIGKKDWRNFLPRLSNENFNKNLNLLNEIKNLSKKNNKSI VELILGSILNFNKIIIPLPGTINFQKVSQNVNVTKIILSDEEMKEILEIIERNPVFGN RYPDVGMGLVVSC
I206_04010	MMIPRLTNLFTLFLLVLPFTLAHRIDIDPGEKECYFESLQPQDK MTITYEVGGSTSGGHLDIDFYVVDPHGKTIYTQHKKSQGSFSLSASSSGKYTYCFSNE MSSYARKVLSFNVHGQLYIGDEEQIAPVEQEVRDLSAGLQLVKDEQAYLVVRERVHRN TCESTNSRVKWWAIVQTVILFSLCAWNVHYLKSWFEVKRVL
I206_04011	MAEPTEQVGAEEHDPHFEPVIKLTEQVEAKTHEEDEEVLFKMRA KLFRFAKESLEWKERGTGDVRLLKHKETKKIRLVMRRDKTLKVCANHIVSSDMKLSPN VGSDRSWVWNVAADYAEGEAAPETLAIRFGNSENANLFKTAFEDAQTANAGSGSAAAP SAEESKEEESAAPAATEETKEVEPVNAQETSAAAEKEEPKEEKAEDVTNASSTHEPAA PAATTTTSEEKPTSTTEETKEVDQLAADLKAQAITEKSEAAGEDKKEETTA
I206_04012	MAFAQNISRLIVPIAIGATVVQSSLYDVPGGYRAVLFDRFKGVR PDASGEGTHFLIPWLQKAILYDVRIKPRNISTTTGSKDMQMVSLTLRVMSRPDIDHLP KIYQNLGLDYDERVLPSIGNEVLKATVAQFDASELITNREIVSARIRDDLLNRAKEFN IQLEDVSIFYHTLNSFGKEFTTAVEQKQIAQQDAERAKFVVEKAEQERQAAVIRAEGE AEAANTISKAINKAGDAFVQFRKIEASREIAATLSQSKNVSYVPAAEGNLLLQVPSQ
I206_04013	MPAARTSDSPAPDEIEDQGQENDGLSRRACTECSRLKRKCDRTI PCGLCIKLGKQCIQPTRRRRTKEYVDELESRLNELQRLSSERSSMIPELPRSLGVGPS SGTIPSLNLGQSSTGRPSPGESSRSYINESNERNRDELELNNFISPSSADLSISSHTE SSFNLPQSLCYDFDPSSSSKTIAGLTAVPGPSSSSRILPIPDIRPTLNQTISQTQNSL PSKSNLVQDFQTPSSSRSHINIPPASHVSSTRSNPPTSEVLERQPSTARGYEWNERYS HLTIKGNDGYASLSIKPDGQGYLGFASGSTLLRILQICAGSIPLTNIDSDSALTNQAE IPRADWRPTTAEIDNYLAAYFELYHPQYPLFHEPTFRAQWSEIIPSPKQKEWEFLCNI VLSVGAFCSYRPMYVVDYFLELAISLISAEHLECGSLTLVQAFCVLSNLSQKRNKPNS NSVYMGIAIRQAIGLGLHRELPFWNITPFERETRRRLWCACVAFDAGASITFGRPILQ PTYPAESDVRMVHNVHDKSFTPNAKEAPVEVSEPTIYSAIIWHARFIQETTHIYAKIQ CTAPAPTAIECLQMDYELEEWSKSIPEYLRPSLSNIYPSWLRFAQHKMFWRYCNFRII LHRRLFLERALRGLPLWQDDIPIEEGMLEAEMDCCKRCQNSAAETINSINDFFQNKPG KENRLEDWYGLHFLFQASFIPLIALHTDRFSMRRSIWEEQIQQARSILNSLKDDPMAE RCLQIINLLQPTAISEQNSNLEQMDVFAEANNWLDDIFQSNPQLGTEFSSTNGTGGDW AQNLMPFADLGTLSSIWPGSSNVFGGNNGL
I206_04014	MCQSCTPKVNGTIHTATSVESAPQPPLKSQYEIKYDPEIILNDS EFKVLQENDEELKNDKFNIACAYDEKHNIKMIKKPIPKAREGEVIIRVRATGICGSDV HFWKHGQIGPTMIVESTCGAGHESAGEIIELGENVTSLKIGDRVAIEAGIPCGQADCE MCRTGRYNACPRVVFFSTPPYHGTLTRFHAHPAAWVHKLPDNLSYEEGSLCEPLAVAL AGLERANVKLGDPVVICGAGPIGLVTLLAARASGCHPIVITDLQESRLEFAKTLIPTV RTCKIERNWSPQETASEIKKKSGTNIKFALECTGFESSITAAIYSVVFGGKVFVIGVG PSEQKYPFGYCSANEIDLQYQYRYSNQYPKAIRLVEGGLIDLKPLVTHRFPLNKAIDA FHVAADPTQGAIKVQIQDL
I206_04015	MTVTIDYKGKIVFITGGGRGIGLAITTAFAKAGATVIVTYTSKD PKEIVEKISIEFKVPIHVYYCPGENTNRVNEVIELSSKEIGEIDIVIPNAGVSLWRDT VDMSDSELDWIMKTNLYAPITLCRGFVRHWLGMGASIKSENDPGKPLDIEKINLNKRI LVVSSISGVVNMRPQRQMAYNVSKAGLTMACKSLAGEWAKYGITINSISPGYVATDMI KEPPPGEGSLWPLKWKQDTPVDRFAEAEEIGQMVVLMCSQQSSTFMTGHDLIIDGGKS RLFC
I206_04016	MSNPDPIPPIEQRRVSFPPSTTIEPAPSLPQTRSSTLNVPSSDN PNANGYTTSPPSSPSLRSEIPPADAQGGRSTPNGMGTRRRTAKQVTLDGHPDVPRRQT NESMRSSRRNPSLDPNASLVRRVTTVLFTPPKKVGKAPTYMASIKAAIMSTWLNVLLI FIPIGWALYLAKHNGGKENISDTVVFIMTFISIIPLAGLLGFATEEAALRLGQTLGGL LNATLGNAVELIVAILALIKCELQVVQSSLIGSILSNILLVLGMCFFAGGVKYQEQAI KSTAAQLNASLLLIAVIAVLIPSAFHFSISSSTSNTDADQLAQGEGADLLAMSHGVAI LLLILYLGYLFFQMYTHAAYYVDDEVTGSTAYPEAINNVSEKLRFKNFHRHKKTDEEE ATTTTSESTTTSNTGGVTSAAGAIPAVHGPGTIAPENTTVQRHAEAEEEEDEEETPQM NVVCTVALMVLITVIVGVTAEFLVDSINGLVESHPSLSAEWVGLILLPIVGNAAEHFT AVSVSVKDKLDLSISVAVGSSIQIALFVIPVIQLLAWTIGKPMTLLFDPYESIVVFLS VLIVNQTLADGRSNWMEGLVLMMLYLIIAVSFWYYPGSTTATLLGCGGSSSVVG
I206_04017	MATSQNAKIPQAQRMFDGATHKLDVWSIFTPANVPPDAINLGQG FMNWSPPDWITKAHHEVLDENVMAHHYSHPRGRPRLINAISKFYSPQFDNLGGRDLKP EEILVTAGANGGMFSALLAHCEPGSEVICIEPYFDQYFASIHFQGAKPVFVPLHPPTG EGIKDGSEWTLNIDEFRAAFTSKTKAVIINTPHNPVGKVFTREELTEIAKVCIEKDVL VLADEVYDCMVYDGKKHVRIATLPGMWDRTLTVGSGGKSFACTGWRIGWLIGPPQLIS ASLAAHSRIVFSTNSPMQEAVAIGLEQAKERHFFEDQLAAYTERRDILTSYFDQIGLP YTKPEGSYFLLVDISRVKVPEDFEVPETCQGRGKDFKFCWWIAQELKIVGIPPSEFYC DEHVEIGERFARFAFCKDPELLHAAGKRLLRLKEFI
I206_04018	MSSLPTNSFLSSQIPYTQLDSQKTHSQSQFELISSQSQSSYKCR RRHRKRTIDTYIGSETECEDEVPLKPVKSRLGSSLCPIDFTQTEEESPLTPARKKGRN KKEECGKRKRRIELPSPSPETEPSIGVEPIPQGWTPLIPGILRYSQIPSIDSITSEDG YKGESYIQSQVSEDDEDELILEEHTESHHFNTCTNANGQTKYTSASNSYNANTPTDHA AALSDLREDDERASREEDDLNFEALMKRGEGKRQYEILAEQEQQRRSLKWLDNQSQSQ NPDNSQTSTASSKSLREVEGLTDKEQARRSKAITFLENIVLDYHIQLLNSQQILRSRR LNRNKRSPKKKNKVDEDQEESQEPLILDESQDEEEDVAEPSQEKSQEEPGVALRLKNR KTGNLQQISFPDDPLSNMSGPKAIEKQARLIKIVVVLYEAILTHTVVTLRDIFYRDKA LFKTQPVVDKIVDDLIATAGMKRKDFCVCASAKGLIAAKSLKIIRRSGEVVELSSSTA TLIDPIERIDHVESPGTVQWVLVVEKDAVFQTLCSTRLLEDERLGAGVLITGKGFPDL ASKQMLRLISKTFPDARINALVDADPHGISILSTYAFGSANSRHSKDHARLALGDKIQ WMGLKATDIKR
I206_04019	MLNNTDLPKEWKRELCHMLHLNKKAEIEIILESKEDENNEIEYD LLNDNFDFNFNENENENSKNQFKIWNGKSKLIEYIVEKIIS
I206_04020	MPAHSHLLDTVNNKSNKTDASYEQEGEKELDPDEDDNEPLSKEM TEGPPKKKSKRLKGKEKLSDLKKSDPIEFDRTSPIKQGHISQAGINNESTPVRPKIKT KNLFWRNPPPSSYSSFQGQPNKTSTEELVNHTTFPFTDSELGLRPLTWPSSGNLVVKT LGIPASLPSFIPTNPNDPISEDQIEEPFEYTRSDLIKYRLGKGTKSEDTRNRNTNIAS GAWKKWEELGGISRGLSELIPYSFDEFGKVYKPDITIVQAIRLIIAASPRGRMTLAQI YQAFEERWPWHKTTGTTWKNSIRHNLSLNDCFVNIEKSTNEPGGKGGYWVVDNSASGR TARKLKRSAPLTSTSTLSSNSLPIKSKFGQISNSMSNSIIDKLNENIISPKNSTLIKS NKPIAKPAIPFPSNEKYKLPKRDKNWIPNEIIKKSREISLLRPIQDIPLDINNNDIDI NKIQEEEIFTTRAGLNNLPIINPINKLISNENEKKLPNLFESIYNNLNLNLNNDKSTF NNFELPPLLNENFEQEIE
I206_04021	MPAPGPPALIITTDLDSSNDSNPTTNLNTPPALAPPIDEEQSTQ IHQQQTPPRGRSGSVPINDPSHLSPSHARLHPNQSSHSPTPSWSSGITPPSPTLTNSS VHFSDEAVTPTSPVPRTSLALRENEPTAESGMETLKVIDENDRHRHNRGWSIGTWSSE AGTEEGHSTFGHTSKKDKDALSRITTGTTAHTKSADNKEKKKSKKAKKGEKEDEDGEN KPVQAAHLDPDTDTTDPTPFREKPSRLAMLVDPKSLDDLEKIGGIDGLLQGLGVDGRK GLNVDTSQGAGAPRSSNEMPGGNEPQWRTSMEDRRRIYGKNELPERKSKSLLALMWIA FKDKVLILLSIAAVVSLALGLYQDLGTEPEVIFNDECPAPVGCQEPQVDWVEGVAIVI AIIIVVMVGSVNDWQKERQFKKLNAQREDRTVKVIRGGNEMVVNTKDLVVGDVCLLEP GEILPVDGVFLRGHNVRCDESGATGESDAIKKFPYEECIAERNAAGPNDKLKKDCFLI SGAKVLEGVGEYVVISVGTTSFNGRIMMAMRGDSENTPLQIKLNGLAELIAKLGSLAG LLLFSALMIRFFVQLKTNPDRSPNDKAQSFIQILIIAVTLVVVAVPEGLPLAVTLALA FATKRMTKQNLLVRVLGSCETMANATVVCTDKTGTLTQNEMTVVAGSLGVHGKFVKDL SDNAARSNANDVEGEQIRDDFAFEMDDINQVASSELTSLFNEAICINSTAFEDKDEDG NLSFVGSKTETALLKFAKAAGWADWKKTREAYPVVQMIPFSSELKAMGVVVKIGDRYR LYIKGASEVLTKKCNTHVVVSQDRQAHDDSIQVANFDERTMNNIMKTIIFYANQSLRT IALCYKDFESWPPSGKSSKGSSTGEVPYEEIAYDMTLIAVTGIEDPLRPGVREAVEKC QKAGVAVKMCTGDNVLTARSIANQCGIFTPGGVIMEGPLFRKLSDAERLEIVPRLQIL ARSSPEDKRLLVQTLKGMGEIVGVTGDGTNDGPALKLANVGFAMGIAGTEVAKEASDI ILMDDSFKNIVLAIMWGRCVNDSVKKFLQFQISVNITAVVITFVSAVASSKEQSVLTA VQLLWVNLIMDTFAALALATDPATESSLNRKPDRKNAPLITVEMFKMICVQALYQIIV CLVLHFVGLRILGIPSTDQNNTELGALVFNCFVFCQIFNQLNCRRLDRKLNVLEGFFR NYYFIVIFLIMVGGQILIIEVGGAAFQVTRLGGRDWGISLIIGAISLPIGALVRLMPT GPFERVLIKLRIYNDPNKLPVISPQAEDEKYEYNPALSKVKDNLSTYANIRGGRLRAS SIVAKSRSAQLKEADIQLPSLLTMIPTLIAGTVGAGAHWVHQTGNASLSNPAGSDPSR STAELFQGKVQLHPQTDRNDPLYSKFGITPPTPTMSPAPTTERSTGRTQERDLERGDD QEVLRG
I206_04022	MSATNTSRPVVAITGLNGYIAIHVALKFLREGYDVRGSVRSIKS IEQINSNPVWKEWIDKGRVQVLVVPNLEGDLTELLKGVEVIGHLAAPVDMTLTSWEAF RCPTINGVLSILKQATKFDSIKAISLMSSIAAAFNPVSNDQQIGKVYTEADYFPYDEE FCKTLDNSNPFASVLWYCAAKKYSEFAVKDWIVENKPSFSVATLCPPMTYGPLLHLTS PDQFNGLNGSQPGWLSLIKGKESNVPEPEATMYADPRDVAEAFYQAAIERKNERYLIA SDSYTYQMFVNEFGKQRPDLDAYFPLGNPSAPTPEEQNFWIIDTSKSVKELGLKYHTL QETSKDTLEHYEQIGVFKVAPGSWIKED
I206_04023	MWPFTSSPASSSSSPPPLSTPIDPTPSNTVPSADKCPVDHTTRS AWLSANPSIEHPFHTSSSSSSTSFGSNSKTGSIEELSKERVISSIPRSSKPWEFPTND PETTGITNGHGNGHNNNEDNNEIKKDKDGKWIYPSEQQFFNAMIRKNHNPNLKDMKTV VPIHNAVNEKAWEEILFWESKIEGSEKCGGPKLISFVGKPKERSPKAWFKTALGYTPP FDRHDWLIDRCGTQVRYVIDFYTGRSGLGDAASKMSFYLDVRPAVDNWEGIKTRAAGW WS
I206_04024	MSSYIPLHLLGIIFLPSVLAAANEQYDQGTITIPTSTVLSIGLG FLAFSMLILLFLLGFRANRIRRISKRTGKTFKETWTEQGGFWGFLTSFGENENNAFNQ SFIIGGGGRTMHYEYNLRRWGYLSTREEDNLNENKIKPEMWDYNWSNQINEKILNHPN LIEDPSEIQPISITPSRYPDPSDKYLPNPTLDLCVLIAFPSEVPYDPMKAEELPELII GSATLLPTIVSEVEDISSSTNDEAIRIVNQQERLKKQSEIQHLEHAPRERAEWKRDDR MIWYIDGLH
I206_04025	MSRPYNPKYDSVLGPMREPSPPPSSENPFSHDASFLSQLDPSRV DVADTEAALTHLFGDVVNQAQQDDHTTSQAEDGVNKQEMQIGLTDHQLQAEQSTPTLA NQEVTTTESLPLSTPEGGESDANHILIDPSLADSETGSAEPTSLPGVPVKRKATSRAN MLARGGACEFCKKRKLKCSAEVPSCSNCSKVGKECVYSQKKQRSRVRVLEDRLQELEK RLDPKNTTSNTANESPIQQVNITSNNNSTTNGNTDSTYDPTDYPTLSTFEFDHHLEDP SNANPVGVDLGLEPDLMTLADAAAADTSVEYQSWQGLSPELIVGEIIKAVSGGSVPGS DGKSVGEKIVSHLIQLYIGPPSLPVLHPAVSPTTLLSRLTNRHRPIHPSLLLSLIPFL LPLSPSQILHSPSIPLLIQTHAKGLISNAITNSDPRIIDIIAACTIRAYGFYEQARYF EGWTESASATSMVYAAGLHKLGRVGEKFISQVNIEGRTERVDREKKLRVILGKGVAVS PPIDRVELGERINLL
I206_04026	MPSRLATPERTSRLIRLTKRYMSTSIPVRADEGIERSPRNEIRM TLHAVMCVLHAKEEIDAPPGSEREYFDKSIESTGKALEIIQNMQMDGNVDLDGLEITS AMLFHFFGRLMTKYLHKITPTNLQEWQGETLNNEKDIEVINDLLRKKEGFSNALETLG KRMKFANISCRLLDNIAYGSDFKMGEYERPDNMP
I206_04027	MTLPLETSQEVLTSPGPFEGPEKLLEIWFAPSFDQLPNSQHFIK KTSFEFRNSIEIENNNNNNDDDDDESMNRNKNRNNVELKGLRKIPKYIWEEMLDIVKC KVLSVVEGNELDAYLLSESSLFVAPHLVILKTCGTTLNLLGLYRIIEIAKEWCGFTNV WRCFYSRKSFFFPERQQGPHKDWTDEVKFLDTVFGTAGAAYTVGPMNRDHWLLYLTSP NTVPNLPNSTLPSPASSLILPSPSQELSNNAIASSSSYSSQSIIPTKYQDTTLEILMT HLSPNARSKFYNDNIINGGGGETGTVKSGLELGYEISNELGINKLFSKEETILDSFGF DPCGYSANAVIGSGLPESDNSGRGGGYFTIHVTPEEGWSYASFECNVPLPTCSDDNNN LIKRPELKELIKKVVNIFEPSRLSITLFVSTNQSNKNDNNNNIELEQQQEEEEEEEEK ETEIEQKAWQSFGTDLLGKSFIRKDRIGYEFDGYDLVFACFEKRGWKEPFSEISIGQR NGDV
I206_04028	MKNTARKSTGGKAPRRSGPFNPAPGRNVPGGGGGGRGGRRSSIT NNENESNQPRSKRRYRPGTRALMEIRQYQKSTDLLIAKLPFSRVVREVALSLSSTEAG DLRWQSSAIMALQEAAEAFLVHLFEDANLCAIHAKRVTIMQKDIQLARRIRGQWHGLG 
I206_04029	MSAQQYYQNGTGDVGQYNQQRGYENNYAQQGYGQQQQNYPQQQY QPPPGPPQEQGQGGYNMKPSQPYAPPPPQEQQQQQQTGGYGENGAPPAYVDTAPFSQA DEKTGQRLNPKKRLNDPIFLVLFIAAVAGFAVLSGIAIKSFINVGGLGGGFGNNTQGG TGSSVTLDYHTVYLLLCVCALGLVLAALYLALVRAFTRIIIEVTLALTVILNIGICIC EMCFIDWSGAIIFLIIALLSVFFYWSMRKRIPLAKLLLQVTIDITKHHPSVYLVVLLG LIVQSALSVWYTFTCIAIYVKWTPGSESCTGTSCSSGKVAGLIFYSTFAYLWMSQVVA NVILCTLAGGIFGGWYYYGPRVPTGGLPKRATLSAFIRASTLSLGSIAFGSLIVTLLE LIRLILQAVQQYEAGEGDMIGSIIACCAACCVGCIESMIAWFNKYAYIEISLYGKSYI PAAKDTWRLLKDRGIDALVNDSLVGTALMWGAYINGFLCAVLGYLYLRFTNPAYNSDG QYSAPVILFSFLIGISEGQVINSAIDAGVSTIFVGLGEDPMVLAERSPALFEMIRQTY PRVIEGVPRR
I206_04030	MFRNVEQHQQQQQQQQSYPPPQPQGQQQQRNNNLYHNTQPQQYV RTYNNDQQLQQQSQNQNQQNQQQQHQQGSVSGGTIDGGSGSNGGNGPQEMNLASVLHY LQSEWRRWERDRNEWEIERAEMRARIALLEGQRRSAENLKVDLLRRVKMLEFALRQER TKTVNSSSGSGKVSSIPPSKLAALQDEDRLSTGSKDETKEGSGSEGSQEDFVERSSAP PKTNGIHPAAISKSPSTSILPFKQSTMDSNASSAWKSIGSAPRDPKSRARSREYLKQC LQEISYLTSPGALNPLPPKPPIDPSYPIPEEEQSNSSEHQPEPADRPTKALPEQNIPS IFVKTQPSQAELTSQNHQELPNGSSSSHEQEQSRTSSEPTPNAQAQSSEMGLDPTAKP PSSPAPRTLSLPSENPSQGEPPKPSLNTDLPPDNAQNDDEGKQILTAIYRPDSKAAWR EELRNANEMAEKAKEDRKAPISSASSTTDEEQQLSSLTLNDDEESTKNEDSSANGLVD EKVWTTRRSLKSHLDTVRAIAFAHGPGVMLVTGGDDCTVKVWGMEVGSLINHKSSAHE IEPLVTYRGHTAPITSVVVSKALSTIFSASLDSTIRVWKLPSIHHDPYSNYDSTTSIQ TLEGHTDSIWDLVLLPSRETNNKQVEEKLVSASSDGTIKIWTSSSIKAQERRQSNGPS STQNWKLKSSYSDFFKSGEIPTCLEICQSDYGNVYIGTNKGRILLLDTDKDEGKILQV FGEESDGESNNENAQINAILSHNTLPAIATGGEDGYIRFYDIKSASIIPTHSILAHPS SITSLSISPFSPTCIFSSSIDCLVRLWDLSKKTCLQDLNGHRIKSGQGVEKVTAHPEL PIIGTVGSDGVVKLWSNT
I206_04031	MVTQEERKEPSQITGQLYSTIGSAQQAISSIIPSTLGGNSILKS GEELSKSGELEIKEAKNKKALESTIDSGVGKAKSALGYLTSNQETQNQGNKETEKAQW EFKQATSNSLISIPIPSKEGLEGKIESLQGMITGDQQKQMKGNKKAEKAAWTDGV
I206_04032	MSSTISKDYPPSTAEPSRLFKFPGITWESTKAVRDVLEENDRMY DIYENKRFQHNHFPHSALTRYALGASPKLIKDTLEHDKPHLLPLDPEDPNRKHEEVKD IPEKIDHSNWGDRKYVGMKGAYSRYLSFFHEEIAKNGPIETMNKYVFSPSANYEEWKT PEGDEKEGPLMIDRLVGGVFHPFIHVGFGLEFNDKVTLAEGLAETAIHSDELNHPILT SEYVQEIMHPSNPIPEHLRRPARDIPNSEDCLTPNCAREPRLGRSLLEIYSILLHSDK LEPALYDESLTINDRIKFASSGDKAKHLRELSEEWSLTDEELSNEKDGWHRKFEEIAI LVTLLAFGTGRKGKELKIDFFLMHTLTSSIFIPSYMPVLSIPNRRLLLRAYLVILLNT ALARGRPAIDPDLIMSYDLYPAAPGSEKAVKAKEGNVIGKPEEHESRNSWLSMVESSL AYTDSHVPKAIRSLLYFSTLYGATRPGCFIGSYLSGGQTHETIPGLAKIDGSVFVRAA GAIMNQMGWTREGQEKGDWAMNPIGYDEVWK
I206_04033	MTSSEMHDSSLISKPNSQTYSTTTSLSVISSRSSSDSSPSTITS NSPKKDRRLSRKLPPALTESLENLAASSSYSQTHSNYPFTGVFSKLNQLETTLEYKKQ ETEIIGDISPLSKTISLPVPEDSTGFRDSSNNHNDILNVTPTLNTKIFRTIRSSSESQ ATSIPTNNIATHSIILPKGKYKSINIKSNSISGPIIHPSSSSSCSYSSSTNTPTTLST SNSMGFLKSSSKSSSSRSDILLTPKQSLGKLNRNSIESSSGSGNSCRRESCGTWSLFD EIQFEDSLSSSSKIGSKLKNQKPKKESSKEKKERIRIEKELFDEYNSPTHKSLFEASL MEVIDENGRRFKFGDLVRNRKTIVIFIRHWYCPLCAQYMNSILAEVSLDALEEANVDL IIIGNGSDKMLNGYRNKAFRSPFKIYTDPTLALYRALGLTRQTGDGGENEDKGEYLVQ SAKESTIQTIKRATKMPIRNPGHFTQLGGEFIFNGTLNVTYTHRMINTRSHQPIRDIC EKAGVRLEFIHYEPGLPPPPVHRHSFFGIDPTKSQQELKDDGMIVSSQIDNWQKERNE TLERMKALKAARRGCPNTLNDSRLKVVDNVRIVGQDMEEDEIVMGFSSLGFAA
I206_04034	MASTILPLELVDRCIGSPIWVLMKNEREFTGTLMGFDDYVNMVL KDVKEYAVTPEGITETDLGQTLLNGNNIAMLIPGGKGPKA
I206_04035	MTNNRPVFGGMFDMMRRNTPSQQQSAGEGQPNQGQNQEVGGSGV GRGAGQASQQQQRGAAPPVLTRPRAPALLPIKRDGFDEGLGGAPTGQDSITLGQLRAH AAAIEKKQKMQQFDFRYDDTDTIMNELQEFYPYVEMVNISKQSEKFHGSFNGDWTSAP LSKRRSYIEVQLEQLESPIHDTRRTAQGRLLYLLQGAFEETTSPEMQLHWVIENAKAV RAVDGVATIVTGLRDAARKYTASADDKAPSSSAPPGTVPAQVDPYDDRSAELMDLLGM LYFIVEVFRTDETFGDELMAMSPPLPLVLFHMVASLKDRLPRGFPVKKVLLLLWKTLL ACLGGMKEVSKARALSRELSGLPAENKNFTKATPVDISSWRRDTAVKYPTFAPPTSVI AGVSNEKLAEGIKPIPSRPNYHSTEIPASQSRNSQMQTSPAASGSNPLPGTPAPSPPP SPKPKKQQYQTDPTRPFVFPYSRASANAPISLVPFAISEADNLYHRHEYISLGLYQLY QAREECMREERGLGKRGLIGFSTSQWDDEDEEAEEAMRREWKYEEEEIDAINQGNKEG AKLAREKKAAARRLHRVEIIYKNTLPIQQSCVVVLLKLLLATVTSPGAAGGNLAAGMP QGVTSPTQEVPPAQENAPPPTEEEIDIARHREITSKAVSAILLLLLKWFKASHILKFH YFAQLLFDSNCALLVLKMFGLTDLMNIVQTKNEVEDSNFFRYCQLNCSRVRPSPEDEL LLRQPPKKSPQLGPTDVSNADGTACADGEIEYISDYSWRNFFTTVNFLKILQKITKHR NHRTYMLTTYKSSQILKRMLKVNHPMSQLQILKLIKSQMPWCGRKWRQTNMKVITSIY LNCRPELRDDWLAGTDQETELEDALPQEYALRSLVQFYNKRHYSAHLAPLPSPEPSHK RSNSTSAVTLEDPALHHAHAHSQVPHGASAGSHPRTSSLGESDVFPPLKSHAVGADLP YNPDGMIEFWLHEYEDILGEVFGGDIVESTTEVWDEFGLTSNNLQAKSAGQQNDQDDS VIIGPHAADKDDRAWNRLTEIMRTSATGGNRTDEEISDSESVVTVGELGEDARLGGAT FDSDTDNDVSDEEQSEQEDSGERRLSIGGGKGRRKSGAGENTWEHMSPTLALLPRSPA ERRRSSSGGGGSPLRPVIPGKQSHEVILGLGEDVFDDEDDLDTRGPMPIKSNTRDLEE REGGAVDEVEYTYGE
I206_04036	MSALNLPKPVNTYAGEDELRQKANGVNSNGNGTPVGEEDEVDIV EEGPARASDKLKVGIIYPPREIRNIVDKTANHISKSPTPLLLEEKIREHQKADPKFAF LNDADPYHQYYRYMLVKVKEDADDTAAGISTSTPIPEDQKVKKEDVINAYEPKSWEFL VDLPGVTAMDLDILRLTALFHARRGRSFLSSLSVKEGRNYQFDFLRPTHSLYGYYNRM VESYQKVMQPPPGLIEGLVKDSQDEEIKWKTLEEARNRAEWEKGRRKREDARAKEEEE ENKAFAAIDWQDFVTVETIEFTQNDEQLELPPPTSIDKLRTMSMAEKRMAAMVMEETG AGPTAESRFQNGNGTANDDEMEIEEDDDEEEEVKLQRIKAEQEQARAREVQRAAMEQR GMKIKKDYVPKGIQRGGQVATAKCPNCGQSIPENELSEHMRIELLDPKWKEQKRNLDM RRAQAQQLQQGADVVASLKNLANARTDLFGDDLDEAQRKAKEEEEKQKRREREKIIWD GHTASAAKTTETFQSAYRVEDQIKSIHERIGLTDAPANAAGPQIGPGVPGSSQSIPTP LAAGLQTPGGGTAYSGATISAAPTGPSTKEYISTPYDPSFSPAQPPLNSSAPSIHPSR MAAINAVGIGSSSPITGQTRPFEEDNTTEKPIFKRPKIEKLPYGQLYTENDWIQLHPD PITISIQLPNLPEKPEYKLNGSIISINDLPLNTLFSTIREKIKRIVDADLPISRMRLD YNSKVMNNASTLASINLDEGDILNLVLKKK
I206_04037	MSSVNTPSQHRVNSQQQQQRRPSGSRPPPITTPGMPVRAEQAKA IMQQFPQAPRPSNPSPHPSSSSSPANTHAQGNHHRASMPNPQHHYKSSPIDPRTSRNE TRGVPPKSNHASISSRPLPRTSQSSGSGSGSGRYNDSSLKETSRTNIRPGQMGPPRQP SANLAWGHQKEASPKEHLMQQGKGDIKPQMRGPNSEVEMQFEHLLNSLQVPSTVRQKF ATVSPDVKSSILLSTFNSNPTILSSLGLPIPSSPQETPKMRKRLSTPLLRKAKSSSEV PSPSNSPQVGKTYDVDGEGFVIVASPDSGVENRGIMSPPLGYGSMRGQSMDNPRSVRS PPASSSRPLSSLFTPSNSNGSVTSLGKSSGKGLGIAMGEQPDSFIQWLAAFKGTDLSM DVGKAKKLRMLLRHENTSWVGQFLEMKGYDLILDRLKDLLDTEWREEQHDDQMLYELL RCIKALSTSEIGKSALRSRFPNPFPALSNLLFSEKKPGDLASRQIIVELWIFLFDLFP PISAPTLVSTPKRQISLDNPNRPSSVRFDEKPALSHLKEPVNIVQEVKGLLLPDLPDP TKDHHEFVTKAHRPRVFKALVGELSDICRDYFWIMCHASNTLWDLSEVDENSVEKPVA PGGATGGVEFEAMNYVTTHFKLLNTLCKHQADQSKDEALKLHENLMSSGMDRILVTLR KASTTYYPTLHLELARYVALLKEICPNGKLPYLIGKMVGSPPGEVRRFDSPPIEAKEW LPMPNGISR
I206_04038	MPRIPRAIFPILPPKSNPNSNSISKINEIKPKFSLNSTLRFNPL SLSSSSSSLSSLSTTTTTTTNFINPSSSSSLSNNYKSSLSYFFNDSNFNNNKNKLFNN FSPLQSINSKIHQFNNNKIVLGSLRFIAMGTFYQPSQRKRKNKHGFLSRLRGGKNGRK ILIRRILKGRKNMSH
I206_04039	MSTVASSSSAPQANNGGIITQPPPPSTLRESRIATHSHIKGLGL ADDGTAMDVSQGFIGQNLAREALGLHLSLLKLGKYSGRPLLLVGPPGTGKTALALALS QELGSKVPFCAMVGSEVYSGEVKKTEVLGSCFRRAIGLRIKETKEVYEGEVTELTPSE AENPLSGYGKTISHVIVGLKTVKGTKQLRLDPSVYEAIQKERVVVGDVIYIEANTGAV KRVGRSDTYASEYDLEAEEYVPLPKGDVHKRKELVQDVTLNDLDMANAKPQGGQDIMS VMGQLVKGGRTEVTDKLRKEINKVVDKYIEQGVAELVPGVLFIDEVHMLDMECFTYLN RALESPLSPYVVLASNRGICTIRGTDKDGQGIKSPHGIPIDLLDRCMIIKTNLYNKEE IFKILELRCKIENIQISSKALINLSEQGEKTSLRFVLQLLTPSNILNKIKNGDNNNNN IVNEEDILELNELFLDSKKSTELLKDLEDFDTKY
I206_04040	MVPRVNRLIPTDSKYIQHQICFKSQPLKRISSNLLTASPSKNLG QIHIRHIHYSRISLNSQKNAATSTSKDQQTSTSKQSTGIEGATDLSHAHFISSASPSS DFNPSQTPNAGQNLDEQDLSTLSTSDLNSPSIKSPNGENNLSKWQGKLSPTSSHLFKL LIPLPTSSNSDDNSRQTAFLLHPSQPLSHLTRLILGSLPKNKKWIECEINYMSVTGEA KDLDSHLRNANEEEQEQEQKEKRQEGGPFLNERNKEKGRFQEVSWSQSTDLSDFVKQS CLNEKFKIVITPTLDENENSSTINQSKQKNEELILEVIIPSFASRTHYIRRRLMSLTK ELDKMTKQKKIIDYQAHKGAQRLAVAALGGGVVYWAAVIRWTFFTDAGWDLMEPVTWA TGFAALLGSAAFLIYHNREVSYSSLLDLSITARQRKLYDEAGLDIEKWTEMVSEAKTL RKEINRIASDYDIEWKGELENLAEISKKDKQLKDNNTSSSDSNSLTSTSNGEKINQKN EQNKEKVNEVNEEKLDIDKTIEEASELAEQSENKRSKEKSSQRKGEVIDSNLNKDEGD RGSKARKGQEKESDSELQGKKRAKEIIDK
I206_04041	MPADSSTELRRADTLSDPYPAGPSLQSALHQGNAPRQTQTNSGQ AIHHKTKTRFKPSGFSKFWDNVKSHLTPPSHPSTTSESAIGGSSFRNTTENYYYNESV VGGGSGGPSHLPLELLNPKAGTEGKYKRRGGQNSSRGGNGGLRFRARSSQGASVSVSR YGDDDEQNLKPNEPVSHVVVDANFEHFTPVIAKSDSGFSNKTPGTTNGDGTYSKGEEA GTSTPDEDGGRTYDRSDAASTARRGSRAEQWVKNNSAVLWITERLWPNVKHFLDSSFP EPSKERSFQKETWFTQKQGAMVSSAFFLINWILTMGLLPTPLTTYNYVAYLAIAGALT VPVPVFVIFDFPRRHPRLWQPMIFGSCWVFAYILIIEMHLCGFFAEHNTCGTRNFLNL LGFAFGQPVLGILTLRENRATAILGASTWLILIGVLVMNQTNSPKLFFRNVVFFALFH AFLICASFLKERGDRQMFALRQQLKIQYRATQSAQVMERRAADSKKRFVSYIFHEVRV PLNTALLAVQNLEGEDVFKGLEDDQSEMVHGLMGSLTMMEKVLNDVLSFNRMESGKFA QARKPFEFHKSIQLVALSHRMQAQMAGIDLVVELDKDIDKIGGVFVGDEMRLRQVASN LVSNSIKFTDKGSVRIVTKLLYPRMEPTPAVELDDPLRQAALNLQKQQEIEHHERNER LQLGSSGGIIDVEKGSVPMESRRYSRDFEKEKEEEKKRVQKVVVRVEIHDTGVGLRKQ DVIDNRLFSPYVQTEIGRRQGGKGSGLGLALVRQIVKLSNGRLGVESEFGKGSMFWFE LPYSLPPPPKAKKENGLSPPLGGPGHSLGGFGSISRGQSYTKPSPLGGTGAVTGLGVG PNETSKESSTERPAIGTTDSTLPLLPEAHGSTPTVDKSNLLNEEIIMHTYPPVDPPTP EDEEIPIENLTDPFSSASAQSALSGERRSSEWSEPTRQELSAPPITPAPIQVNIQPPP EPSPELPLCVLVVDDDKLTRMLMSRMLTRLGHQVSTAENGKIAFDMIKDSFEQKPNAP KFDAIFLDNQMPLMSGVEVAREVREIGCPIFIVGCTGNALREDQEEYILAGADSIIPK PIHQKAIIEMIKEARKRISGETKPKEKDNYYGGGGGGGGGGGGGGNYEGYGGSGSGSG SPGGSGNMV
I206_04042	MSHLDQLEDKIQHTFTSGQPGTTGREPFEEDRGEGNPYHRQHEH GQGVTGSSGTGHHAIGTGSDLIGKSSTGTGNERDINSGEGLRSHEQNPSRFGQDAGAV GAGAGIATAGYEADKHSGHHHHHHSGQGHDSTHTGLTGTTGVGSRGDNVDYPSTGSGL GSGGTAGGAGITAGTHAGHHHGAGDRTGNLGQDSALYERTGPGGPVTGGAAGTDRFDS DRHHTRGSDIAGTTPASNTTDDSGTGEHGRENLSRTSGAGGILGTSDKDFVGRDRKAG TGALGAYADESGPFKGNTSGPGGNTALTGREGTNPSADNPVARAKSAGQEVGSAPEGT STHPGVAQDISAEHETGEKKSLFQKIKDAI
I206_04043	MWNVDILCQVPSRPHQAPFRPKIQFEMCIQIPDPRLLASDYDNL TAHIEEFTRILTSINHAIIPHNSSEDLHLLEKTYGEESCRLNERWESFRRRGWSNLSD VDTLSTAKEKYDAAWKSYLESGKGWKDNYMQTLKECIDRTHVAALVIEQLRETGNTYL TELVRRVSSDCLESYEELRVYNGGTEHELSSIVIPPFERTHPKFDEKYREWIRIRPEV VAIRNFAQSLAKSRGQSSNQGSSSLSEN
I206_04044	MAFPSTSVPTLMSQAPSAHSRFIDVNGDSMMSSNVEDSLLTILE HFNKVTQMAINNTKSLEYYLNNPGITDRQTSSELGSAYREYMVQRKRLQDRLNEPNTS RLINSICRCGDPSVKDPQAVALGNSILQSDMRKEQAEEEYFELGRNYRNEYTQTLTSL VSLTQSIASRLSQPSVSQDTHVLMQQARTCRKRMTSLNGNIQRSRGPYLIFPFDEITN STEHLVAEWKSWEEAKRSVSRLEKGKGRVEYEE
I206_04045	MPNAEPKDLLLLVWVHGFKGNDVTFESFPERISHLLATTHPSLK VESRVFPAYQTRGELTAATLAFVDWLTELVVNLENDHGAGGGAGKAKVVLMGHSMGGL LIADAARDIASNTREGEMTWPRIVGILAFDTPYLGLHPPSCAPLQKHHLSQAASYVDQ ARSIASTVGMLSPMALGLGFGKFGKKSEETPEAGPSGTSRSTAPINKNGKGKQSESAV LSETTSSTTESTATTTSNSFWSKIPAIVPPSTKTMYGLGAAALGAVALGTAYYRREDF VTGWKWGYDHMTFVRNLWDDDGMKGRLVAIHELIIGRKVVFHNFYTHLPPNPPTQLVS RTFAILPPMTHPLYKYFNAASNNLAKDEVSAHMGMFNPKTNDGFYDLGLEVVRAIGEK IEEEGVGRSDVKGLDEVLAELDDLEADEETIPAGKAGWGVEKDEKGNEIWVEK
I206_04046	MFSVKTILPTSTQSFSDDILSTHRRHQAELDAMDLDEDEFGAGP SKRNIVTPGEVITSSKEYMRGHGTYVEDVNVVSSVTGTIERVNKLISVKAIRSKYVPE VGDLVIGRIVEVGPQRWRVEANGRQDAVLMLSSVNLPGGVQRRKIESDALKMREFLAE GDLLVAEVQAFFGDGAMSLHTRSLKYGKLRNGQLLSVPPQLIRRLKSHFHHIPPPCGP TGVDVILGLNGYVWVSNGTSQEKREGGEGFDSEGVYSDKNDDIPPEGRQATSTITNLI KILADEGIPLTDTLIEESFNWINQNQLPLGSIAHNDAERMLAEIVGIDITA
I206_04047	MAFAIATQALAHHFTSSSSKQQHLRPTPTSASSSSKSNARMIRN HPDSDIIPSVIYDALSNEQTRGRSTERKIIHSSSPSPPATRRGSNSSLTTSNVDAHTV TAHHSLPPTTDEISTDPILYLPPLLSPLPESHIHRHDDDGNQFSQEKKDETTKVLIKV VEALKGFETRLPDIDPASLALHQSLHHFKPWDEDYASTPYNEAFNWSSLSLPKAVERE WYCVVFRSRRKPESSNLSLYKADREAHEEAVKNGGLVMYWYGVPDHTGLNLATCIWQS RRHAIKAISGPKHMKAMKETEGAYETYQLERWILSKKAGKRHLELKKWVSGDVGW
I206_04048	MHVPNSIKAIILLLATTTFAQDTNNGESDTDSGNTRVAASASAS STTSESISASASASASSGASSASVAVLATSSSTSVAASETSSTVTLKLAKKYIGQDFM NDFDYFTADDPTNGYVNYISKSAAQKQSLIDVQANNVFYMAADSTNVPTGRGRDSIRI SSKDKYADGVYILDLNHMPVGCGTWPAFWTVTKSGWPKGGEIDILEATNPNPQIYNVA ALHTADTCTLNGQTYMDGQIGEWECSAYLTGNTGCGVKMTGATNGSYGGPVNGVGGGW YAMWRDVENSAGIYIWFWPRNSADVPEDVKVTTTNVANWGIPKANMTVPACKSDFNNH NIIFDLTFCGDYAGATYASSGCPGTCATFVQNYPAAFSEAYWSINSVRVYNASGKPAA GEGLSKGAIAGIVVGVVAALALVVFLYLRRRKTRKNKKIMAEEATLTEEASKPAAAKG PYAFLASRKPRIGPTTLAPGRTAQHFLDGETPTTSFGKTPIGSSEDIRLAQATYRPHG ANSSWIG
I206_04049	MSSPNPLNPTNLLKLIHQLLPKSTSSPLTHPTDSIAVLIHAIHI SLRFRIIQSAPTSSAAESSAQPIISTTEAEGDDVDDGASETTTAVDADEDSTSQPQEG TLNTGWNDRGEDAYSLQYRHEQSALSFRIRIGRMGNRVQVDAMAEDGQPHNLSIVLSD LIDSSKFPVPSHATSSDTFNTVEGEDLAQKWGFIALANVKNFVEKYKSEIIVRLLPGL QIPGYEETRSSGSGPRNPPPSAPSHQPPPARPQPPTNPLIDPLRDPHPNNPASVGRRD LDPLASFQPPGQFNPNRDGGGMLVDFNHPLFDRRRQGLDPDFSGPGGSIQPPGSRWDP VGPSGTGGGFPGPGGNPLGGRGLGDDRWGDELPPPGEFGPDLGRFGGQGGPRRGGGPG GPGGLGGGFGGLGGGRNGGGFGGGFGGSGGGGGMFM
I206_04050	MGSRAKPKSTRKPRNVRSRSEPKPSPVERRVAIGYSARKRRPAD GVIFISKLGATLSSMVGSTLILCSIASALICAYSLTERGCHTLRHIGLLEWLPWKEGL RCYKTRWDWVADILGEVFVALLNGHDLGTSGLEAM
I206_04051	MPQNGPSADDNANLVNGLLDANAEQTEPDVWETTVSTDASGKIL EITNKIQTEQLQYVPALDDPLEPYFCLFWYRRASTLSGGEYPPSEWPGIEDRYYAYLI EWNQALILAKLTNRKSPLATTRNPSKRVMYFIAINGADKSRVAVPMESYSKDDIFLKA FQDSMTNAATLDLAGTGLQDILERESTTHESTRPDIKIQSKKPKGYDMNLQWYRFKLK RLPFISDPIADEYIKLPKTIRQAVTYQHNNLFSLRPKVKELYVSKKTMKELKDNTVKY KWVQKEYTVPYRTGSGKPGVFILDQKAMYQNSYLELHDTAPWEIAWKEACSDIDPPAY ARYRDVSGITLLIEVLAQGGAVVTKDIIEGICRDLKSGTNTKPVDTNFIVVSVRSDEQ KKKYSFLASLSPYQDYSVYMEPSDVIKFLTAYPPRKLPSIAEHQTFVGALDGIDKNPT QSKKLAAEYVKMEQAQRSVSRTNMKNVSVASVGRATGAPRTITQASVMGGSATEVATG LSWPSPSSRVPMINENGVNWRSPRCYPSEWLHRHAWGWGDEKNLNDNQVYNNLIFGSS ECNTIMIRYEKAWQRLFTSEAIIYEATTLPADRSVKKLRHARAVLVTVNTDPRPENAN ITDIKIENGTLVRYDYMIEHNQVKKQRTEYRPNDVPLDHTESSPIDATNENDYWTRLP FRNPACYDLCYRLRWLSDPQKPSILASLPIKDLQTRFSPFSRAVFTRLEWLLDDAITE KYIGHAIERIGPPLPLNITPTPQNDPNGNVQPIAMAMVSRLQGLALSSSSNNETTWQK VVKGEEVKLGGVILHNVGLTHMTQRHQIDVPISTVNAIRSNDIPGIDGSSPSPSHISA MIHPSKAGLAHIASTSMVKRVALARMPAIAMFNDGNTGDNDTTSPPMPAHGPTIPDGY VLHGDINLFGICTEKLYDFDDPINGSRQLVVIQPDSENRLSSFVPALAGIHLNQLSFA YNPYDRGFVKAGTWLHAQLTFDGPLSGISTTLKDVFGQTIPCIDIECQLSPFCDWTTP LSPRSITMRGIIPRTNIPLGDCLNIIELGVGVSIYQKMQPRPPHEWEMATEYSFFGRA QLLGYDDQEAPLVLDFIMSVSDDGLASISLSAGNPGPHCFGVSGLKLSELNLYASFVA NSPGNLMFVAEATLSTSVTSIHLEGYYSRGDWSIFSQIEHFTVNDLIGLYDELFEYSL LDFNHDVEIQKVILFVGPDGFSFECDVVIEGHTALSALLIMDTRGVTFSGKVEGDIPL IDDVVLKEASLDIHVLTDRAIPEEEGLGSPNDTTEDVIMPPVVPTAKAASGYTFQFAF KGIIQVENQIFTAGLYIDKTEDGKLYKSVFGEAVGVFLPSNLNDAFKDTFVDQIAFTD ICIMWTDAPADYIPSAPFPPAFPIVPGIQIAGHVVELASFDSAMNNRTATTGLTLSAV YRKGSGFELTVQLLVTQGISPKSKRVQSDTIVGLTFAIRKSLPYLIIGTTYSVKPPRS DTVLTGHGELLITPTKAVAEIQLTGDWKNPFNISDKLTLKLIALEMGIVYASPIWPSE LALAFRLALDGAEGHAAVLLGEDPSTELISVEVDSLSMKQLVELADGFVTISWDPPSD LLKVNKVKYYISTGTFIGTQYYPPGVCFEASATMFNVNASIACTVDQSKQLISASGTL DPMTIGPLTVTGTGIAGSPAGKASFAVSLSTGASTVSINGAAVLGRHLITAMTTLDIA LAIPAREVSMILHAAFDWSDTLKVKLRATMSGQFTSFRELSHLQFQIDFEMESNVLEA IHKAITDSIQAARQELDHEIAEAQEAIAAAEEQFDSDIIKAEGLVTEKQAALEVKKAI YREEEEKLRIHFLAEAERLEHAFKIAYAIYTGALSAGENHLRRTTENAELRKREAVKA LETARRDARERIDIAERELQAALEDMNKRFGDAEAWLRHCQEKVEEAETHYRNMSWFK SLPALAALEAAKFLLILAEKVVEGPGYAAAKSVMSEAQVILATAQSSSDTLISEAEAD IILAETEAKNMIDIASDALKKIRETGDEVTNSAAAQALWKAAVDAAADQAKKVDRLLH EIETSIEEVELKIAQVALDALQASADTALIAASKAVGTAGIFGDTILDASQWIVDHAF ILKIEKIKVTGDLSALTLRGGSLSCAINGTFANDPFAFTLDFAPGKTDDFVHTIYLTL MDKTKRGEIKVPSIMNTNKNIILAADDAIYKSRQARNFAGSFKEAVKVYSEAEIAQAL AKKRFNNREITTGNEADLAASKIGLADADIGITLNYVRDRLVIRGQPIFHFDEPAATA TTAVLDWSGVTPVYKEGSTIDQSDYENFGWEIGFLCNVEHPENPRVTFTFSTDHRMTF DQIPLNKEQISVRVKPMIRRENPVADEIWALTYHEVSAQRPKPQSIEDMSPRLVFVAW YGEPEYHFTVCLEKTTKLYIQWVLLTNGDSAQSQVVSESSYDMFAGERSAMISISEKD IHPTPDNSHVVALKYRLEEALDSPSKLVNITNNWIPVPPSSIQGMSPRFICTSHDYLP AFQLFLSPKNAVRVMIHVSTDLSAQRYPEESFDVGAGQDCLVFSKKVTDVPGLSVYQP RTPIYVDVRLVAPDREPLAQRIFIAT
I206_04052	MFRPTTLNRTIVSIRATRLSPINQIRTYADKSKDMTDKAAETFK EAGQALKSDGSIGSNFNADGAVGSKFQEVGGPFSADGAIGKQFTDTGAIGGTGQKVAE QVEEAGKEGEKKV
I206_04053	MSLNDTFEKSTTLLKLNELGINIPPWYTSLPLAIQIIVGFVGSV GLIIGLNVLRQLALPRNKSLPPVVFHYIPWFGSAAYYGEDPYKFFFECRDKYGDVFSF ILMGRKITVALGPKGNNLSLGGKTSQVRAEDAYTHLTTPVFGKGVVYDCPNEMLMQQK KFIKSGLTTEALQSYPPLIDLECEEFFSHELGLGKEKKSSVKVDLLKTMSELIILTAS RTLQGKEVRQGINGKFAQYYEDLDGGFTPLNFMFPNLPLPSYRRRDKAQKAMSDFYMK IIEERKKGETDHELDMIASLQGSVYKDGVPLTDRDISHIMIALLMAGQHTSSATSSWA LLHLADRPDILEGLYQEQVKYFGKADGTLGPMTYESIKDLPLMDSVIRETLRMVIHTP FSSIYRKVISDIPVPPSLAAPSEQSSYIIPKGHFILAAPGVSQMDPRIWQNSKTWTPE RWLEEKGVGQAAVESYTKSEQVDYGFGSVSKGTESPYQPFGAGRHRCVGEQFAYTQLS TIIAYIVRHFTMKLEVPSFPETNYRTMIVLPKNPQVSFTLRKQEV
I206_04054	MTPTPYTPQADRGPRYNTTKVTTLPSRQTSAVREEDADVGADYY TRIPQQTSMVRTQASITSSAHLQDKVFNQNIAFSPSELSPKATALSPTRKKMPVRRQS RFDPMAGENEEVLEDEGYGELGSKHPLRRVKEEENTKEGRASPPESISLPPIKSLFGV ASDHSQTQSPSSSSLFQSPSLPSLISNSPSGSPSSARTSRYSSLTSSAVPENSAGWWA PDFEKGTSFFQPTPIRSSSHPTVPYIADQNDQKRRRSDGPPALRDAEESARLRWQAQS RNASYPMATSPQPPSAGPSNSNSSSSSGLRGLLHPPQASSAVVSASMSRSSLSGSATG GRLLPTLEDEPFPSSRRPSQASRNPSLVGGQLAGHFAGLTANDRNNSLSNELPPPLQS APPERRGSQMLPPPLTSVEDNRTLFPPHSSLSRSASPASDILRRQSTTRPPSPDINSR PDIRRASLTEIIKQKSGDMPSPAVRFGDHGPPDLSIATSVSMDKSSLSEPVLPLHQHT THSAPLWTNRRESVESVRSNHDPDDGHGQLPSLRGRKRSVDDTRQGRGIGAEDDEDTE MGNDPGMRGMEVLLAAAAVEEERKVRKSSEEDSMDEDGNFKSNVSTLNANGATGGANG GPKYTCAFCAKTFSRPSSLRIHTYSHTGERPYVCKEPTCRRRFSVQSNLKRHAKVHQL GPSALAQQQQQQHHLVHQQNGLPQHLTGHPAHPGLSRAPHSHSGALGPHGQTYFPPPP PNYYPHPPPHPHQHQQLPGYVPHPYNDRYAGPPGHDPHRGYGALPPQMGPPAPQAPGW QSVSSSRRTSKDDVYSDEGEEEEEEIDELEEDD
I206_04055	MKSTLKTVLILVPLTLVIFWVGSNITEFSSSREKYEPFYSNRII LIRHAEKGFTPNQGKINADEGHSNLIKRKTSVKNGLLGFFPPWGGPPRGPPPKGKFPN GLSEKGKERAQYIRTLFGNNSEYDFGLIFAAPWDADQKDTERTYATVAPLAKDLNLTV NIECANSEKSCIVEAIEEFAAKSDKDILISWKHFELNKIAQALGAKNARSIYPDERND VIWIMRDGQIVEKRSMHCPKLDDGRIDQNDPDLVVEEPYQYDGLTGVIGRFMRAAWKV VF
I206_04056	MNRSGGEAFAKLAQQLNRARLQASGGGRGGSGGSGGQFPGSPKG FLAGGGAIAVLLAGGIAVNYSIFNVDGGHRAIKYSRVQGIKPDIYPEGTHLVLPWLES PIIYDVRAKPRNIASLTGTKDLQMVNITCRVLSRPSVNDLPTIYRELGTDYDERVLPS IVNEVLKSVVAQFNASQLITQREMVSRLVRENLTRRARRFNLILDDVSITHVAFSPEF THAVEAKQVAQQIAQRAAFLVDQAIQEKQSIIVRAQGEARSAELIGEAVRTNKGFLQL RRLEAAREIAGTLAQSGNKVMLDAKSLLLNGEYIIFASRKMRKANPVGYQVADEDVIN SSSFSSKK
I206_04057	MRRHIPRIFAPCRPRVITAARPPLIFSLQPYSTASSEASSSRIP SLFTPVDDLSISKPFYITTPIFYVNAAPHIGHLHSLLLADVLARFSRLRHPERKVIFA TGTDEHGMKIQQAAKARGIDEQAFCDDVSERFRDLAKLANSSNTDFIRTTEGRHQLAV EHFWGKLVERGDIYKGTHSGWYSISDESFYAASQVVKRESDGVMIALESGNEVIWEEE TNWKFKLSSYKDFLREWLSKPESVHPPSVRQHLLSQISNLEDLSVSRPKSRVKWGIPV PNDPEQSIYVWVDALINYLTVTGYPKETSGWPADVHVVGKDITKFHAIHWPALLTSAS LSPPQRVIAHAHWTMGKSKMSKSKGNVVDPIQSMRDWSVDGVRWYLMRIGGSLTDDAD YAPDQVEVHYRILADQFGNLLSRISGNKMLKKANRELDFSSPNSEDRDTDLDSLLSNL KGEFENKMENYNISGACASVMEVIAAANKLFTNLAPWSAEDGTKAIIYAYHTLRISSI LLQPIIPTKSNEALDRLGIPPDQRTWKDANWSSRNVLLSTKEIVDRLRSGSKEWKGKG HLFPLPERGKSNLSE
I206_04058	MASSSTSTQPEIEEDVETILASGLATPTKKGWKGTIAVDMDDVL CQTNATIVHMHNELFDTQPPLTLADFKNYLYWMNRGWGTPEETVEMVAKLYQAGLYMK APPVPGAKENLHRLKDLGYNLIIITARSENQRAGTEDWIAKYLPDIFDEIHFTGAFQH LEPTKEEKEGHVARKAVVSHHKRSKAEIIHSTSSLFLIDDSSENAFDVANSKYPHEGS IKVLLFGNYPWNAIIHGDPSDIESPLEKMTYFEKLKNNKLEEYENIRKHKIEKNWLPP GVERVSNWENVFEWVESFDNKRSDSQLDKVNDI
I206_04059	MYPEAGPSSRPSTYSSNSSVNNNKLPPTPVNSNLPPLPSRSSRY EEGGYTEREREERMNINNNNGNYRSSGEPSRYPIPPWQNRGPPRNSNGFRPNSSSGPS GSALRPRSHTPSPPRRLYDRDRDRDRDRDRDRERDWDRFDVRDRLSLDSPGSWRGGNN GPSNDLPSRRGSNFGIPPRDRDREPSWSARDDRRWSDRDRDRRDDWERDRFRDERGPP PPPPSQSSSRYPPVPPRSPPPINRSPPSRGSRVLKAQTPPSYSSRLPSGGSTRYPSNR EPFTPRENNTRTFDRSVSASASGPGPTSMTYANSRPTSPTSAPGGRISRSQRGSSSPV ESKRPRDIDITPISAPIPQIPPPKASGKPEIAEQDLEEGEVISPVQSTRIPSWSYDDR SRRVSSPRGRDRWDRDRRPRGDSPPPPRSPIWEKKRNLDDTWSGNAVGIDRNRKRSSS NPAKWIAVDSEDLQTKAQQRKSTSPKKALQPEEGELAPPSTDPLTKAAQLEKVDVVMK EEIVRNTLKNDRPPTPPVPPPTTISISGNLQLPDLPSRPDTPASPPPPARTQAQGSPT KSPQKLGGVEMATNGLDGDVSMEEPANLPITAQGEEAKDPSPESENLDTTKPLIKESE VRGRIETTPHTDVDPIEDDQRKQAQMITPVLINDGPTIAAYTKEDSLMENLGESGTSQ LPEDDKTALPRSEPVQALDTRPEPRQANGPLMAAPGEEVSADNLAPFNESPTLPPTIP LVAPAVPVVDNVQNALNSSPINMTSPPITQDESNSGVTQVKSPINTLATGDTPANPAS PAARRVTIAERRAVHLPPTNGSLSSPFADGEPRKDPMPTAYSTSTDAETEAGPKTGDI DENDTLIWEDLPEEIRQANLIAAIKSQQLHSDKVNLNHIDIVAWNIAVAPEATTRVIA RDDEDRNDMSKRITWSLPRRQRKVAQRVAAVISREKKDQEAKTERLKNEYLQLDQEWQ EHCAFLDSLMEERGEPPAELFAVPGALPIATPGPVAPTTPLPEELYTVRGNRRRGVGD AVNTEAEFEAILAGLADTAAKDPTYRANKTTAVVPDMLSAEERRLRYDDDNDLVEDPL AFYDFKGNAEPIWTAEERAIFVRRYMAYPKQFGRIADGIPNKSASDCVLYYYRTKKEV DYKGMLASKRGGGKKKSIPIKKGGKSAALLADLDRQKPTISANEINTPAKGREREESI IPGTSARKGRSTVNTPSNENGPSTGGPGRRRKTTAASISSTANNDDDERLDSNVTSRA GSEAPSSITGSKSKLRMTVKTAKRPRVSSITESLNGPPRQPTLASTPSASDPLDSSVT TLATALPPTATPAGIVPMMQPIDSQPQDAEMNIAQSELLPPVKRAGKRRKVQAESTST SIDTVNPADPTSTPLTINNPSTTTGGTPGVEGNKPSRRSATNSYWSVEEKRKVKELVL VHGADIKAIAAELKGKSERQVGNFLEGHRTELVDKDGLPISLPVSTPTLGNIKAEDDK KSLTGRISPVSSISGSEIFRSAQPTRTIYDAYPSYMGQDRYEPRLGMFPPSPPHAPPT ATGSLPLNNIPDSSPLKPVSRTGGMRISALLNDDGPASISNTDRRPGTAHSNPDTIDA ASDGTVDDRDYDGMTRPSPRSIPPIPSSGSYERYEQNRPDLIRYRSSASVPAQATPSY PLATSSWSNGPTQLARNDIYRHQERASTTTPVPHMSHRPSWSEASHQSPHAPPPIPQA HPHPHSHSTSYPLSRYENAPPSASQRQSSGSTQYEHRPQQYEYPSERSSYAQEYPPSA HHHNTYATHHHQHQQHHSNGHELPPLKSVGPPPLIGTNFHQHHQASNSGASSTHRSYE 
I206_04060	MPYIYDMPTNGRDEYSRRSFESDKDHADVELLKLLNGGGYQKSV EPQMHNLAYNQSHGYAQRVDEEEESDVSLQQAVDAKAYSHPDLLTLSSLDSPLLPIDF STSNFADVTPFADPPFIIDLIHLRQFQCSEYDEQGDFFMNKRYPRMSLNGRAYPPVPP EASTIARPVDDWSDEEMAPLRSQYDMQSPSQSGYNRHVRSFGGEPSQTVYPQNKSNLL AVEPESYAHYHQNQGFSQQQPYVWSPPHGENWSNTFAADFRAPYLHPRLVHLPEQPIT PHDTYRYPASHVEQIYVAPAAPEVSVDTINAAFAMWYADQVINLLVAPGSFRPGVGGA SDVIWGTAGVERESWLRQGRVLPDYSESWGRMGMTDTFKQATRKVSVSRRRPELELKD PYNVAWIHAIKPSSSLVSFVLDMIQRMTISPTALVSAVWYLHGLGLHEGDGDKGAQLR AFLQEHRSYESESVERRVATLGLILAGKWLDDNSFLTKSWCEVTTIPVKQIDRMERIA LADLNFSLHVPVSSWVDHVNKLYASLISKILPDEIDLVVTPIIDEMVTDARNVELEDP QDGSPALTQYERRASADELPAAADQAISRDWGTFARTYGISQQDLRDSEIDVEMERAE RDVNALVADEYSEEQEDEEEEEEFLDYDGAKKWLPTLSELKRSGSRSSDTSLSSYDSL SLGHKRGHAQPMTQPFHVPADLLDTPSRQRSYSDWSHVSSRSDHSLDWESANDFLYPV NVTSTKEGCRKCQDCQHNYGLPKTIGYQDAGFVEPGVHIVQPPRYDTRSQSRNGSQKK NGIVTGKAKEGYGLSGSISAAASKRWGKPISAVRW
I206_04062	MSSSNSHLMKTTKRGRPFVKDTHDLFCTLVVSLEFETHRNFFKS YPNSFTTDDACSNLSSLKFSQSNRAADPKDPTRIITTTTTTTFSMSREMAKAICQHFM DSHLIENATDLDSISFKERGIYMLTAKGLHILERFVTKNGISADHLIKLFATQPICMK LLHLERRSTDDEIIITRGVIEVLFRRFAGKEPNISKMGDDEIQTHHNSRFYMKAPPLP FGERFERSDGMIIRKTPASDKKTQGSIRDDYTFAASMAVDWILDYTSATGIDEAAEIL GQFVRYGFITLVSDKGKSRDGHLVVTVRQGGAGGGAGAHMQEAEFRATEKAIYKFTKE GMQVAKWFESSNKPHSNTLASGSKPNLHAQDSSSSLNNLNKGRSSSEQPSMQIERRNS MSDRLRADFDISGVPGGDNHIKDSHTARLKQILEEPALRSLFREFLRQNFCEENLSFW LDVQDFKRRFQTTSSAIAAPGSGKNSKTAGHAAMEKHQQDLIAMAFVIYNTYLAPASP CELNIDHTLRAELIGYMNQITADKDAGVKGRIEPGIGNTLHASQLQTMVKLYERIQVY IFRLMATDSVPKFCKTERVSDFTSDYNPSMSTVNLNLSINPKPFPSLSLNKRAVMDNK RLKLQADDKLSSSSALVHFLDNNDSLDDQRSRKSSSSITRSSFISLL
I206_04063	MSTFFEWTEKGEQPNNLSNNQNFLNGLEGKAAGLSIDPKRAMAL SVEDHQTSPTRAYLTISQAANGKQAALLKSQQQGH
I206_04064	MSDSFAVPLANTRNVTIVAHVDHGKTSFADSLLSSNNIISSRMA GKLRFLDSREDEQERGITMESSAVSLRFDMNRIGPDGVASTSKHLCNVIDTPGHVDFA SEVSTASRLCDGALVLVDCWEGVCTQTIAVLRQAWVDRLRPLLVINKIDRLITELQMS PSEAYHHLSQLIEQVNAVMGSFYASERMEDDLRWREEREKRLDARKQAQEERGDAIDN EEEEDEKEFEEKEDEDIYFAPDRGNVLFASAIDGWAFRLGKFARLYAEKLKIKEGNLR RVLWGDWYLDPKSKRVIGRKKLAGRNLKPLFVQFVLENIWRVYDTVLNQHDPEATQKI VTALGVRITPRDIRSKDTRNLLNIIMQQWLPLSTATFQAVIDVIPSPSDAQAIRLPSM LHPEKAAASNTSLVATNELEKGLYSCDQSEGANVVAYVSKMFAVARGDLPEFKPKEMT AEEMRQRGREERDRRAAILASRQEIAKPSNGLPLPENGEDLVKPLEALDLEVQDDKGV ETPLLPIDESSEVLLGFSRIFSGVLKRNTPLIVTLPKYENDLGVNHPRNAKYIARVEV RDLYMMMGRELVSVEEVPAGHVCAIGGLERVVPRSATLWAPTSEGIEEIKEDAGLVNL AGVNLLSAPIVRVALEPENPSDMPKLIRGLQILNQADPCAEYFVQETGEHVIITAGEI HLQRCIKDLQERFAKCPIQQSAVIVPFRESAVRAPDMAPPKTAGAPRGTVNGSILNGL VTYNIRAVPLPPKIIEFLLSQTNTIGSMLVQKRDQINEEDENQEERDAGEATTEQSRK LTPEQFWAELEGLFNKAGPDWAGAADRIWSFGPKRMGANILLDPHGKNSIRLRGKEKL FADAKAEGQSTDAALQIADNAFAQDQLAKVEGDDQAKEELRLLGDFENSIEAGFQMAT FQGPLCSEPVVGMAWVVENVQLNKEELDSEQGKGRTAAVTGALISSVRDACRAGILDW SPRIKLAMYTCDIQASTEVLGKVYAVVARRRGRIVSEEMKEGTSFFTIRAMLPVVESF GFADEIRKRTSGAASPQLIFSGFETLDQDPFWVPTTQEELEDLGEKSDRANVAKIYVD NVRKRKGMFIEKKMVEFAEKQRTLKK
I206_04065	MALSSAALPPSAVFTHQETLHAISLPPLASWLVTLRKPDQLIRL NDLLERYKTPQSYHRVDVRIVESSELPPGSPYSDIEETEPRKRQGSREIIQLQEGDTL DAYWSNRNGHTLAPLGQPDITPENIVAQKTPETESTSQHTDLPTSTTAEIPPSVQTEE ASNPSHLSPPPPVLPPTPVSALPAADQQKTSPPLPTHPLPPIGPTRKVRELRLDLRTL DAAALFALETWRREELGLEKLSIEVPDSVWYKDLTPTPPPSPPPRSTNTGKPRGRPRR SVDLIRSDNVDTAQIGLDLHTADDQIPDKEIPTAEKTQEHGNLDDQHDSTEKAHEIEI PDGTGLVVSDAVDANGDLAQDSLSVEAGQAKLSEAKDQHDQVSAPEANIDIVVDPKQI AQDGNQPEAGTSSPSKASPNLMPTVDSIPEDRIERTPSPDIMLNDIFNEKEADDPDFI PPPSPPVKRTRTRKIRKSLPDNEGYKVTFDPNILQINDNPQKGIPIMSDIIDLTSRSS STEDDLVTKQSLIEDQQESPIKEVEFRSEFDIINYHLQAAKNPNKRPSPSIIDKPSKK ARKFEMGAPIIPKARERVRFVVEIPTSRKKSVKKVMTGSGEKDVQQKEENEEEGEEWD FLKSFG
I206_04066	MPPLTQSPISSPSLRTIAHAQMRSSYFPPVPTAPHSYHGRIPPT PNSSLNIIHNSPQPNIGSTLVGCLKHTQNISGRPLPSSSSQSSTNISEIGPYHRGDSE FFQVKPNLKPIMKSINPIEKRRISTPSNMIKVSQIPIGNSPNLRSIHTSSSSFNDNNK ISDSAQRGIDVQGKRRPSFKIELPPRQPTIQQTHQKVSSMQPIANVIQNSSSGYVSST PIFKDPFENHNATYDYPAGGYMILRAPTKGNNSFMEDFPSPTQQQTRNGLMDIEYMYD NEGIGLGYSLNKRVATPWLRSKGDEEEWLKSDDLEDIDRKIGNMNVA
I206_04067	MSNNQDPHSSLATLLYPSGTDGRVPDEVDSHGLMDYLDHEYSHD SGDVNSRTDPSSVAPLPNNQLTVDKTTSEATSPAGRSNQPPFNLFQPGEILSSDRQDV VGGRSSPGNPSIPISNTLARLASETISSLSLPDPSLLNGQEVIEQAALLADHTDRGEM GGKRRKLPHERAGWKEMDQNPSGSKRKRSKKNQNNQDESQEVINLPMDQPIPIPDNTN IASTQSNIRTDPNLTGNNGVEIGQSTDKYENDIRHLTELSNTILKQDLDKTLSSLSSA REASLQPRQEQTPGQVHDNQIDPALPSTPTPQNASNEVISQAQGADSPSGGDSKLSRA EQNKRAQQAFRRRREEHMKKLEMDSAQLGLIKRQMDQKDELLRDLVLALESSKIEIAA LRTSLQFLIPHSSVFPLTEQGTLNLGDEVTLSSDAPVTEEDVLNAFDLLEKQSREVAR QNHSRRE
I206_04068	MEPPLTLIINQISPPNLIPGYIPSSSPPVPFSAAPTLPPPPSSF TLSPTANYPSPFGIISLGSTLSLELSLENTNYSQNDILGVKMMIECQGSNGRFRLGEI IHQNEKSNHEFENPFIEEDKQEINLSKEEEIKEDKIENLSILKFGEKVNIKIENEIKD LGLNILIISVAWETLEGRKTFQRFLKFNVNPPLSIKTRIQIPSSPNILLSSSQRDKIY LEILLQNISSEFMILNEIYLEPIKGLNSISLNDIEQENIILLPEDIRQFLFVLSPSIE DIGNINQSKFPPSYSGGTILPLGRLDINWKSGQYSLPGRLQTSTLNRRIPPSSSPAVP PKGGILPTRTLSSQSNVGSVGVPGSPLAQTRDRLNNNLLAPSPIKGSLPSSTVESESQ GNEWEFDLTLKEDEQEVMREFEVEQRFKMNFKLGMRSTKSFETMQTIPNHKAGDDDNE DDQPLSRISSRVSQSNSYDPMPPKIPKIAVQYLTPLANSSTQSQVGNKPQLNILSPSR TNTPMSPTPSNNNDKRPFSPFNSRSGTPSNNIPNQLKLAQTQGLTSITNLSGIGNSTP KFNNIPLIVNNEDVLIIEGEKEEGFPPLPFIKSNNNCEIINLGNSLQFLKFNDNDNNN NKFKKVKENFHPTYSTNDNEDNNNNKLKKEIYKWEIEFEFNLEFIAFDQGFFELGGLR ILIFNKNDNDDDNDEDNDDNNNEIKGKILKEWKSLGNLHIIG
I206_04069	MSSSQQISKKRKFVADGVFQAELNEFFTRELAEEGYSGCEVRVT HARTEIIIRATHTQDVLGEKGRRIRELKALVEKRFKFPENSLELYAEKVQFRGLSAVA QAESLRYKLLGGLAMRRACYGVLRFVMESGAKGCEVVVSGKLRAARAKSMKFTDGFMV HSGQPAADYIDYAVRHVLLRQGVLGIKVKIMKPFDPEGRQGPSKNLPDVINMVEPKAE GAIEIRSEHKEPQVQAIPPPQAQQPQEAQPAAEGQY
I206_04070	MKLTFRRPGGTGPIFSSFLGLLDIKLGAEIILLFGLINKAAGLY GLITILVGGSFVQLLFYAYSTGTLFAFLWGLKVVKSESASPTLLLSHLYTLDHILLTI FHYIFYLHYWYVVPHDGRRTANSQAQQDLINLALSRGEITQPSGENDNNEGLSELRAA LAGEIWEREKVFAGWTLIAGWLLKIYFITLLYSYAAHLKSSTYHTLPLTFRGKATTIA HPTTAEDEIELARAEEAARLSSEDATSSANSVGQSGTRNTSKGKGKGKSKGGEEEDEE DFSWD
I206_04071	MKLVNKHVEKDGSGYVTLRPEDDEDMWHVYNLISEGDHVRAMAV RRVQTVSSTGSSDSFRVKTNLTLEVTKTTFSSAASSSSASSSNQDKKIEPTASLQISG KVIEENEFVKLGAYHTLDLEANRDFRLTKVTGWDSVALERIQESTAEGRGAEIGAIVC GEGTAAICLLSEHMTTVRQRIDTSIPRKRKGGTSGHDKAMENFLSTVYQAILRLIPFQ DLKAIVIASPGFTKDTLYDYIFQQANLNNNKPLIQSRSKWIKVHSNTSHVHGLVEALR APEISKMLQGAKFAKEGLGLDKFHKMLATDELRAWYGPEHVALAVDRGAVGTLLISDD LFRSSDPIKRNHYVEMVESVRSKGGEVLIFSSMHESGQQLNLITGIAAILTYPLDIEI VEMEEKEEKERIENEKNGINLDDNDNDEE
I206_04072	MSFIASRSALRAQLRPSLARTFASTPTAYAQSLKERLSELIPKE IENVKAVRAAHGNKSFGEVTVDQAYGGMRGIKGLIWEGSVLDADEGIRFRGLTIPEVQ QKLPTAPGGSEPLPEALFWLLVTGEVPTEEQVKGLSQEWAARAELPKFVEELIDRCPN TLHPMTQFSIAVNALNHDSAFAKAYSNGVHKREYWKTTFDDSMDLIAKLPNIAGRIFR NVFGDGKLPAIDANKDYSANLATLLGYGDNADFVDLMRLYITIHSDHEGGNVSAHTGH LVGSALSDPFLAFAASLNGLAGPLHGLANQEVLRWVQKMRAAVGEEPSDEKVAEYVWS TLKSGQVVPGYGHAVLRKTDPRYTAQREFALKHLPNDPGFKLVGQIYKIVPNILLEAG KAKNPWPNVDAHSGVLLTYYGLHQQDFYTVLFGVSRAFGVVSQLIWDRALGMPLERPK SYSTEAIKKMFEGK
I206_04073	MQHHPDKNPDNPEAHETFQKIGQAYETLSSPNDRATYDSHGPDG PPRGGMGGGGADMDDIFEQMFGGFGMGGGFEFDMGFDPSSHSSRGRRKPTKGRDTTVP YDISLEDAYKGKKVVMNIERDRICTGCKGSGARPGVQPRDCGNCEGKGIVFTDRHIAP GLLGKVKSPCPSCNGEGKKIRDKEKCKKCKGLKVTKEKKRIEFMIEPGTEDGERIALR GEGDEEPEVPAGDIIFLIRYKIHPSFKPQPNSIGGLSILISIRLSEALIGFSRILFIH LDGRGIKIESKKGERIIKQNSIYIIKNEGMPIRGTNKKGDIFIKFDLEFPTLDWAKSQ KNDNDGLIELPGKKPDLKIEGEIVKRELSLNPIN
I206_04074	MVNKGKSKDLAIGGSSSGKAEILKSIKVEEPYRMRITSGGSISS YVEFAIRFLNDNPHTPLILHTLPLDSSKTNTNTSINSETESSSTNLKFNNFLSCTTNI PRLISVIEIIKRNYINQIRNCNNNSSSSSSKDKIRISKGIWQYNFSDLYYPHINELNI IESNNLERVLNGNLRPKMIHHPYLAITLSTIPLELEKLQKNTTLQYILVKKENRNKKS KGSLIDNEKEEEKENDNDNEIEEISKDRPRITNIVNSIEKSNLKDKKELNSQTFAEVD NPKKIGIKRSSDVERHNSLGKKRKTVK
I206_04075	MSVGQIDPGQAVYAQDENGRPFIIVREQGKKVRTHGLEAIRSHI LAARSVTNIIKSSLGPRGLDKILISPDGEITVTNDGATILGQMEVEHQIAKLLVEVSK SQDDEIGDGTTGVVVLAGALLSSALDLLDRGIHPIRIADGYEKACEVAVQELDRVADK IEFSKEDTTNLLKTAKTSLGSKIVSIAHDKFANIAVDAVLSVADLARRDVDFELIKVD GKVGGALEDTSLVKGVVIDKDMSHPQMPSVVRDAKLAILTCPFEPPRPKTKHKLDIES VEEYKKLREYEKEKFLDMIKMVKDTGANLVICQWGFDDEANHLLMQNELPAVRWVGGP EIELIAIATNGRIVPRFEDLSADKLGRAGLVRELTFGTTRDKMLVIEECANTRAVTVF VRGSNKMIIDEAKRALHDAICVVRNLVKDNRVVYGGGAAEICASIAVSKKADEIPTIE QYAMRAFSKALDAIPLALAENSGLSPIDTLADVKSRQVTEGNTRLGIDCLGKGENDMK TQHVYDPLISKRQQFLLATQVVRMILRVDDVIGELSFKLWASKGVQ
I206_04076	MAAPQTTLGSDLEPPTTDLITLTRHILSRQRALGEEASGDLTLL LIAIQVTSKYIASNVRKARLINLVGLAGASNVQGEDQKKLDVLSNDIMVNALSASGKC SVMVSEEVDEAIIVGGSKGTYAVVFDPLDGSSNIDAGVNVGTIFGIYKVEDPSKASVA DVLKPGRNLVAAGYTMYGSSCNLVLSTGQGVDGFTLDEALGEFILTHPDIKIPSRGKI YSFNEGNSLHFYEPTNKYLESIKYPANGKPYSARYIGSMVADVHRTLLYGGIFGYPDD KKSKDGKLRMLYEAFPMSFLTEQAGGVATTGTQRILDVVPKNIHGRCPVFLGSKEDVE DLKKFYDDYKGEKRW
I206_04077	MSDTQTPQEEGTTTTVITATTHLTLPANSIPLTYNQLNPKSNPI EFLGPIGTGTISIIAPLFTYIFFYTCNDAVGCTPTTLSGWKYAWNLIGNFPSEAGYLW EWKSFLVYLGWYTYCIACWKFLPGDEIQGNLLRDGTRKTYKMNGLYTLLLTLGLSVGV LLNPGGIELFTWLYDHWVPLVSSSLVMATFQALWVYGYSYFNKELLSLGGNSGNFFYD FFMGRPLNPHPPFFPSFDIKTFNEVRPGMILWLLLNISCACEQYLRLGTITDSMWVVI LFEGWYTIDCLVTEHTILNQMDITTDGFGFMLSMGDLTWVPFTYGLQARFLVFQPVKM GPIWTSVVVAIELAGNYIFRQANNEKGNFRAGKNPKKLEYMTTERGTKLLTSGWWGRS RHPNYFGDWLVALGWCLPTGFSTPITYYYMIYFVILLVHRQMRDDEACRHKYGKDWDK YCEKVPYKIIPYVIRLRKNYLLVNRTEELGGNDLWEIEEQ
I206_04078	MSLEPKIKTYYQTLPSPLPNSPSFVFHLTRLVDTLMIWVGTGSP ADPTSDIENIIVGEKKIASDWAVAMPSRGNIPVTATPIYRAGASDYALPMSQRLARKF PSNQIHLSLSLPSSLTSQSGPNLDPYASKLLLIMEKKLVTWLSEVIEAEQTV
I206_04079	MAELTPPSSHNSTPVRASNEELPPSPTAGFGSTKPHPLMKSHPM DLDQNLSESSSSDEEEDDNIILKDQGQDEEGSEVGDESLDSDQEQEQGQSQSQDDEDK ADQGKEKFIESSQGTKGKGKTRNKRSKRQQQQVKSSSLTTSKSKGNAGRKDGDLWESD IVDRWNIEIGDVCADNANPVLSSYSTSVTA
I206_04080	MSLSDLAEPSSTSSSSSSSSQQTRINLTVPTSLLILPPTAAIIG LSIGLVRGGNKSRLRFLAENAHRQPKTIQGWYFYTKTRNYRVFFGAAKTGSRFALGLG GATAVYVLLDESIGFIRERLVGVKERISWRNGGVAWEDGALSGGIMGLGVGTIYRLPR PLFIRSIIMGTILGALTSTMQVAQAHIGRLRQEEELKIQKTVPNKSENTVPLTTEEVI PVSAPILTENQIAERTDIDTRTGAMPVDLIPLDDDEGKGWFSRLRSALGV
I206_04081	MAPKKRSAELSSASLSPAPPNIKRLKNDGLESKSRSQTKSKISK YDPTDEFQQITLPSFVNQWRVQDMGYGGDVYYQPEFIQPAEAQRWYDELLNLDTYQPM LKLYGREFPQSRHIAAYSTTPNATLSYSGSTINMNYPFPPILDKIRERLERDLSVRFN HCMLNRYDDGSVYIGKHSDNLNNLVIASVSLGAERKFIMSPRVPGKSGKNAGGQTPQD IEMQLKGRKNISWKLGNGSLVIMQGRTQEFWKVSSAFEL
I206_04082	MGIQSYVHSKYPKHSTVILKPTSSHNSTSNKSKYKKQKRKYGRP IINERDSSLYLPKAYDESSLPFQDQKQDRITVDLPTFSPLSTFLSEINIDIDSNIRYN DGIELDRPFSTCSNYSNNSLGLNFFPTPPVRSSSFSFSTTQPQFQDYEDNFEESVNTS SPSTEDTTYVSTPTTSYDHNNKLDLEHINIDQWKSRRTLVSFSFSTSDLNQSSQKDCS TQQDSYLFGNTGIDNKDQAYNFYTSTSYQLDRSRNRHSVYSEKNYTNWAREKFYSSEI NLATTTTSILPTPPLTPLQIIPVRRSRSPSPTTKKNNKLAKGFNKWIDRMTVTLDTRH KNSIANPNEVDATALKESAGSDTMTAPPPVPARSRLRSVPSKSLLVSEPVSPDNDVGT STMKIITHRQSSLFRLPVDPPQVPTTPTSPNVAEPSPISSKDTPNAPTNKLGRKKASK VVERDPHKVRDGLQAKESTSGVYTPGTFPGAQGPRPSHFPSHVYTPTNFALYPQASSS PISPSASSTHSSSSSQSDSTAPPKTPLSPTGRISKAVSSNIRRFSSGIVTLKEKTYPT TVHQRSVTTPMMENGEYLPQSTSFSTDSNGGHSRGSSWASWANSPTTPRLSHSNSGYF PPLNTNGAGLGVGLDENKHRMDGTVVIEAGMDPTNFAAPRSSVLGMGSGSLAGKGKRK PVPRLGGGEEAQPVHAL
I206_04083	MGGPDRTVGLPYHLFYPILSSILLAIAGFSSADSVWYVSINTQN EVIRFGSQNYCGYNVSNLITTNKLGCIFRGFQYQLPNNYFGFEIPNDINLNLSKVALT SVISFGLVLFTGIHHAYTIRYSFRKNPIPNQDKLYTLSMIHFISVSICFLLTWVAFIV QAAIIGHAVSQSNNIISNNDNSQEIGNGVAIYWGQSVWLVLASAVIHFGWGYEAVRWR VALLK
I206_04084	MKFLSFSLFAFAAFVSASNVVDLDTKNFEQFVGGDRPALVEFYA PWCGHCKNLAPVYEQLADAFPSDKVVIAKTDADGVGRDLGSKYGVTGFPTIKWFPKGS LEPIDYSSGRDLEALAGFVAEKSGVKSKIKPPPPPMAVELDASNFDDIALNDDKNVLV AFTAPWCGHCKNMKPAYEKVAKAFQSESDCVVAQMDADAAPNKPVAAKYDVRSFPTIK FFPKGSKEPIAYQTGRSEQQFIDFLNEHCGTHRSITGLLSETAGKVLTLDTLASNFFT ASLPERPDVLGRAREYLATLTGSDAKTNTSAQYYVKAMERVLEKGEGWLTKEQTRIAG LLASPSLAPTKLDELKIKANILSAFAEQKAAEAAEAAGDIYEQVIDAAKQVPQQARDG VDQFADAVQDKAKKIKEEL
I206_04085	MAKNPTAKLSEPTKEEKKGEEEVNPLEKPVIEVPVLSTEDEILA NITLIGRAVSTIEPRFTIRVLRTLTTLRKKLNKKVLKNVLDQAFPKGSKTGQSLIANS IYSDLPSTSNNTEEMEVDSTSPEITDSSSTTQKKKFVSPIDNNNDLIPEGIMYLRLLL ILQNLDAGKIVEAGEFALETTELISSLNRRTMDQIAAKVWFYLARSYELQGKLSELQS SLLAIRQTASLRKDETLEATVINLLLRSYLASQQYDQADKLIARTTYQGAANQAQTVR WLFYAGRLRAIQLNYTQAKNYLQTAIRRAPKDEVAPGYVQLIHKYYIIVILLTGVIPE RAMFRKPVLKQALLPYFQIVQAVRIGDVTGFQKAFEQHEKVFLEDSTHFLILRLRHFV IKTALRTITLAYSRISLSDICIKLNLDSEEDTEYIVAKAIKDGVIDATIDHEKGYMVS NKIKNVYETDEPSKQFNARVQFCTQVYNESVRAMRYPPNAHRKELDTAADARERDREI AQLIQENENDADDMDDMGDL
I206_04086	MSSSPSYIEELFGLKGKTALLTGATRGIGARLALALSKAGADII LIQRNDTNTKTKDEIISNGGKAEIVICDLSSSEQVSKLIPHITEELNKNLDIVVNCGG IQKRHPVENFPDSDWQEVLQVNLNTVFTITRDAGKHMLKSRGGVSGEDVPQGGVDGNP RGRGKIINISSLVAYQGGLNVVAYAAAKHGVQGIIKSFSNGWASKGVCVNGIAPGYIA TDMNEALIADPVRSRQILERIPAARWGTPSDFEGAIVFLASKASDYVSGETLVVDGGW MAR
I206_04087	MPARDPATSPHLPSTLPSNHPSFTHATSNSPILPTHQSHSGGGG VGPLSPSASSISEPPDDADHSAQGSSSYFSQIIRRVSMSTHQQKPNMSTSAMDSDINI PHNSGIGGLHSGRRISGDYHSQSNAQQSDERSGYTPYPHNHSANTPQSIRDSTARLAS MSFSSRPRAPSTVSGAGDSTFSHFQPSVSPLVSRNLAISSTSMPKNTSSKPIINGKGS KPATPSHLNPAVAIGQKISPSSDESNGGFGASPYTGQRSGLSMMIDRAKEAAIPTAEE PSNGAQRKSSISESDAERTPVPTRKPLISVDSEVISTHSESNQSRNASASSLRRYLAD EVNQEDIERARANENTPLLGLGESKQKRSWGGQALSDVKTWKNSLSKISARDVAEGAI LEPIKAIPSVVLGLLLNVLDGVSYGMILFPANAVFADFGSLGVSMFFVSCIISQLVFS LGGSIFPGGNGSMMIEAVPFFHILVNTFESVIGDDDQAIIATTMAAFAFSSILTGLVF FALGFFKLGGLIGYFPRHILVGCIGGVGVFLIETGLEVSRGLKEEGFEYNLATIKMFF ESGHVIALWTIPLALAVLLRVITHFHHHQLIFPAYFFVVPLIFYAVVLIGRWDIEHLR ETGWVFDVGKNTQSWWKFYTYFDFRKTHWGAFWAAMPTQLALVFFGILHVPLNVPALG VSLAEDNVKLDRELIAHGFSNFAAGLIGTVPNYLTYVNTVLFYRVGGGSRLSGLMLAA ATTAIMMIGPSVIAALPVMVVGALIFVLGIDLVIEAVWDTRNRVNKWEYITIWVITIG MTIFDFVIGLLLGVILACIFFVVQSSRRRAIRTVFSGATARSTVRRPKSQREFIQQVG SQTYVMKLQGFLFFGTITAVEDEIRHLLDLAKWQHNPIRFLIIDLHLVHGMDFSSAEA FVRVQRLLAAKDVLLILCGAAPTGLVGTALQAVDLWADREGTRVEVFVGLNDALEWTE NAYLTAFYENQLLLDQQATAQVIDFPKISKPPFSLAESFQNSPRRSHLVKAGGDTLPK CKFPDLSDTLCLGADQRICLAAFPSPPKPDPSDLPDPSTIQPLPILYQTFESYLSDLG TNEEETSKAISKFLESLMPYFARKEIVSGDILWKQDEVADGLYLIESGCLRANYAYDD HTKSVHETMVAGTIAGELSTLSGIRRNCTVIAERDSILWKMTSDDLETLEEEEGEVAR RFIKIVLKAVAEEQEVLSSHLIAVLS
I206_04088	MPAPTHHNSYPNYPTSAAMGYRFYSGETERPTPIQPPLTRTPSL SIVPTSSIPSQSSPMTATSYSPYQRQMNTPQYGTPTTQAQNSPRANVYPSSYYTTPYS QPTSADMPRSLSYPSTYSSAPYPNYLPSLSAPSTLQQPPLLRHNTMAAPSVGMDGRHN PNLGYSFANRLPLVDRPFKCDECVQSFNRNHDLKRHKRIHLDVKPFGCDKCGKTFSRK DALRRHWLVKGCRGEEGATAPITPMYPINSQPPALSPPTPEHPTPSERLAGNGLPTTS SFSHPSAPPSLTTLPPRDSSESSQIIVTPDEIGQQQQQQMARSMSDMGVPPALAEPLV IDTTLGRTNSSMGENSDGYFEGVVGIKQDGTAMLDNGSGNTSPYTRFPSSPSNPAHHH PYRRPSAMPSPVQNRMNASPTVAMPIQNRVFAPGSLGTDGKPVFAMPFTPTNQNYNIE TTNGLLAPPLETAAKMEKTLSADAMPESWQRWHRPSFPFPAPPGVGYTYDPSSPIDVA TQGYSQ
I206_04089	MSVATATAPSITGWQDLSCQVKRSMADTINSDVKLRGAHAGNRW VSDGATQDGKNYDRDLELNTDHNNGYDGSFGASAPIGGKITMGNLKHYLLSLKEKNAW KDDEDLREKVQSLIDKPGNSFRAPMSTKESTEYTSIRKSSPEGQAKYVARKGDQEKAI QMINETYEKGKLTAESWLKGELSDQVETKLDITNIDYFFKNHNDTSTDPKARRQVHRF ILGEESIVKMSYKDSVKLNEGLDDLNKEANKIHEESGKEGISKWRKDRHEKLANLAKK WGTTNSGISESDWNSELEKTKSDLKARAKTQQAKAEAAEREKLGPFTATETILNSANV DSFLDTQIHLAKDPKIRESVLSVLAGGKPVKVDVGTDDSLKKFQESTKSTETVISEFD HETDQSKLGDKVKAWQEARKRKVESLISKWEETSQVPRDRWISRNTPDLKDTQAVVSL MNVDTFLSDYSSMAKDPSAQAAVLRALQQKGTVVDLGSTEEASTLNKASKEFERQMMK FKENEDVEGTKLWQKQRMEGIGKTLAKWEEIAGKSYKEWNTEARSSAGDQGNSGSGSS SGSSVAVLRSSNIESFLQTQSSLARNPDVLKAVTTVLTAGGHHEVDVGSSEAASSLTK AAVDTQKTASKYRDKGRRAFKKWSSEREARIDKYLGEWSQISGIPKEHLRGGGSSRGG GKSQSNPESSGSTSNDGSGSDSSTPSHSSGPQAVTSTA
I206_04090	MSTATQPSYQQPQWQIQDLPCYVTRTNSSGRITNTTSNESASAA TNWVTNGDETEDRIRNTMANNRTIDDEYETNWGPGGDINVKVNIYNLSHHLRCIGDEA RKDESTREEVVSLLEGKIKSFTIQTSSVLKPGYNDCRGEIEGTIQAMLKREMKEQAQA MHIKSIKETEETANNWLKGTLKDSVKSKLNLYNLETYLSKIAISAKDDDESEKKRKAI QQVLLCGQRTIEMNFKDSLRLKEGYRRIKKDEDDEIALTFPDNEEKGQKEIQIKRIKK LSNLAKKWYIDSGFSEEDWNKSMKSTIDEIKQSQSHTNNKEGVDRTAILRSSNLDHFL QRHSSMAKDPKILKMVSDVYSGKGHREVTFESTQEASALTRAAETSLQEQQALRNKRD EKGLQEYSKMKRDSFDIYLKEWQKKAGLDFEDWANLSEGSTTSDVRPKTGDSSKSPDD RTVSSDQLAATKAALRKRYGRQGVTEKAEDSLASKRKWGNSGADANPTYLDRLTGSHQ SLGSGSGAAGRLTTTSPTVSAAA
I206_04091	MGKEKVAIIGSGNWGSAIAKLAGNNVKKHSDIFDDSKVPIWVFE EDFEGKKLTEIINTEHENKKYLPDVKLSENVVAVPDLVEAVKGATALVFVMPHQFLDK CLDQLEGKVEKNAKAITLIKGVGVEGPDIHVFADVIQERLGVSCSALSGANIANEVAI DRFSETTVGYRTEEEGQLWQKLFQTPNFKVQLIDDVAGVSLCGALKNIVAVAAGFIDG LEYGNNSKAAIMRIGLLEMKYFCQEFFKDVKEESFLQESAGVADVITSCLGGRNRKCA EAFVKQKKPFDELEKDMLNGQKLQGIHTAKDVHIFLKARDRLGAYPLFDKVYQISWEG LPVEKLTEGL
I206_04092	MSHLLPPNLLRLFAPRPQPPFLKPLSKDERVRGPNKLAGVAPLF HRLKEEADEEEIRLGMVETEQAAKSEQESEIKAETSKRNGHGTNGNAEEDGELNEKDQ PITTKSKGKKKDIIAEKGIIGPEAVKMRNEMRKKRQEEYKKNIEKNYKPQDDPNAVGD PYKTLFISRLSKKATEVDLRREFEMYGAIERIRIIKDRKGKSKSYAFIVYERERDMKA AYKDAEGIPIHHKKILVDVERGRTVKGWKPRKLGGGLGGRPKPVDPAKEAPAPPMPAF GGGGFRGGFGGRGGGGGGFRGGPPRGGFGGGGGGFGGRGGFQGGRGGFQGGGGGFQGG GGGFGGSGGRGGFQGGGGGGGFQGGGGSGGGYGGPPSGGGGFQNGFNQSGPPGGGYGG GQGGFKRDFDNSGGAGGGYSSGGGGGGGGGGGFGGGYEDRDPKRMRY
I206_04093	MMSAPQPIVIIGAGPSGLLLAKYLEQNEIPVVIYEGDPHANHRP QGGSLDLHDDTGLLALRQTYLLDEASKMMRAEGEAMKVVDKTGKIWLDENVPEEEQQV DTKRVDVGEIRGRPEIDRTDLRNLLIKSLSPKTIQWDHKITSVTALSTSLYEIRFIDK PTITTPYLIGADGAFSLVRPLLHDIKPDYSGCSMYELEILPSNLTSSLETYIGKGALM ILDEGKALMPQMNSGKKCKIYLALKVPFNWQKENPLPEKGKREWLVNLFEGWLPQAKE IIMASEETSINQRKIYQFDPELTWNTDKTGVTVMGDAAHVMSPFAGEGVNQALADALL LGQTLVPLFRPKLELGKSSSPPFPLSFFPISQPTERPTPLVEPSTDDLHEALREFEIK MMKRAKVEMEGSKENMDMFFGDEPARHLAGMLKFYGRIGYLMEMLTWPIEKVKGLFR
I206_04094	MILRKHSIIGKTNKAEKIFIKLRLINFLFPVNSNSSSSFTQSQD NYSLSKSISHWLSGSREIGEQVWVGLPMSVFRDSWNSDHGINNTREYVPMTNREGRSQ HSYYRSLALKFLPSILIFGLGILIGSNHPLYLSIYSRRSLIPSSILPHSLPPSPKISS TISLNYLPEPKEDIPNLVHYVYGLSDEINQPDFPYFAYLAIRSSLINLKPDEIWFHCI NEPKGYWWERIKYYEGFIDQSTGQIKGLIKIRRARDVQWIGKDRRPVTHFAHKADIIR LEVLRDYGGIYLDIDTFVLRSFASHNLLRQDVVLGMEAHGLTFLRGAGGDDEMNPKGL CNAVIVARKGAEFIERWLMSYEGFRGDKWTEHSVEMPWTLAKMYPTLLTILSERAFFW PLWTDDHIHAVYVTTEYDFQQSGQLAYHAWESKARPYLSALDPTTIHKIDTSFTRMAR RFVEPDEEKRWKAAGSIDGVGETSLDRSDQEIE
I206_04095	MPGQGLTAGNGQPPQAQASNSGGKAPMVSPNYREEAERIVADER AQSEKMPVYEGLEAYTLIEKMGDGAFSNVYKAVEKKTGRKVAVKVVRKYELNHSQHGN KHLNANFKKRPRVTERANILKEVQIMRGVDHPGIVKLLAFFESEEHYFLILELMEGGE LFHQIVKLTYFSEALSRHVILQVAEGIRYLHEERGVVHRDIKPENLLFDRIPIIPSRT PIHRPYDEEKEDEGEYRPGIGGGGIGRVKIADFGLSKIVWDEQTMTPCGTVGYTAPEI VKDERYSKSVDMWALGCVLYTLLCGFPPFYDESINVLTEKVARGYYTFLSPWWDDIST SAKDLITHLLCVDPAQRYTIDEFLAHPWVKDAPSAQPPPPTPRNLAPPSSNAPMDSPL LASMRAGNREGRSPGVGALKEAFDVTYAVHRMEEEGARRRAYNGPGGAGTRGFLQGLN EEDEDEDEAVQLEEARRKHGEAVARQIQQHRGRDAANAAAGVKEQSTQHYAGRGGANR REAEAVLYDGRAGQRDRARGAKAAGSTGFELDLNNSTLLGRRGKKTAPSPLGLNQAAQ SPQTAGSPMQF
I206_04096	MSSDKLTLYEFVGSCWANAPKIALSENGFEKGKNVEWVSINLAE GKNFEPEYLKINPAGTVPTLINSKGEKFQDSISSIKEILKISPHPPKVDAHTSTSIIE EIHASSHDPNSTLLFSLNDKDRESKINGLPKGFLQGRQNSLNKLAENPPEEFKEFLLK KKADNQQLLDFYITEPDEATKQAHYSQGKNLWTAVGIALRGVVTQALQKNGGPFAGGS EPSEVDYHIITWLSRTITNTGVEPSSPSNIVIPKLKEYTGGHNLDPVIGKYWE
I206_04097	MLRYLYTILIIATCSLATPLQAKFSSCLSQYSPVAAGQNRMNIT DVYAEIIPREEANQLGLAADGHRVLRVNLIGVVGSEVSGYDNVTNKLATLFTNTKAAT WNVYQSTSWLCNSVFPSELPTPYFPYNTTYCPLPAGDFAINLTIPLYSSYALTTIQTE VRIVDTSTEAANLACIDIQVSPYNRKGWYYKLFLWIPVATAIGFWLVSWGARFVTGWI VGSGVAEYDQKESTALKTGGVGGPNKKEAKLRKWGTMIISGLSGERLSVSGGLLRFST PSLKDILFHVQFCTMLGMIAVNWPEFAYPIFARGAWADLVWNTTLVQGSDADHKRVAT YPFNYTPPSPFASQMNDAQYPLYLDSNLFNPLFDSHNASNGMESFATAVGLRPQDLFG TCLTIFLLLTAAVMLISLSVWFLHGLFEYLSTGSSTLQRGSPGPKRASLGSSPRGSFG GKEAYDPSRNPSLWSDGPNSLPTRASLAQQAKINSPSPLRRIWFRFKLKGEAGAFHAA ALYGNLIRLILIFHLPITAFSLYQLTLANRASIVSRVFAALAFAFISVIIPAFILYRI SRTASGKLYDATRTLLSLGPMYNIYVENKQMYRVVPLTASLIVGIVIGAGQKSGIAQA IIVILVELAMLIIPGVWYPWGEGASMGASNAFLGILRLISAVLVMLLSSTIALSSPTT DWIAYAILILQAITFIFFLLMLITKIIEGSVRLAGGVHFDESTHPLDGGIFAAIMDLD CLNGVRGGKAAARKRRKRGSRQLQRNVSAAGSLTTQMMLDRHSQGVSRPPVASSSDLI TPFLTPGHPQMAMQERPSYFPNYNAPPLGPPPPEAERLSSESRSAEGHGENIMDAWQP SATLSTSSGYAPPGAFVPSVNSPTRNLYGQVPTSTPPPTNGAPHRSFSVVRGGRADFE NPYEVLAGPGERRQSLNSGMGDHTPKIRVSQVSHRPMSPPHNRQQSSTAIIELATPLA SPLLPTASNATPGPSRQPQGIRPNNAGLKPPALAIPKRRSLNDLKNDPSPDSQYSSST VKKQKHKKNKSSVGWFTRSSNDKRGGSDDESDELPSDDEPGPSKRKTAKAKARRRSNL PGTEGFREPLPFEDIPLSPEQEEWRNTKGAGSSGIAGIFGRKKKKSQLDEEIASQARD ENKARKAVLAAESGALFAGVDAPTTSPNGKKGFIVKRPGLSPTSPTSASSSNTNYAQA SSSFKVRRINQPTPLPQVTKTPNENEYVSSVHPSPNMGTISTINGSSGHTSMNGTPPN GSNENTPLSSSNGGNGGSKGFRVIRPPKFGINDPNPTTSQSTTTKNEQSSNVQMGYPP TAFVPISSTSSQNPNRISTTSSNSTNGLTPGRPVRDPRRSSGESQR
I206_04098	MPTKKSRVVSSSEDEEYDSKPEVSKSTSSKRSKDIDLDSEPKSK KSRPSTSTSNETVEIEENEDGDPFFKLSENRRVTVRTFKGKVLIDMRETYKDKSTGQV KPGNKGISLTKEQWDLIKNNIINIDEMIIKVNEK
I206_04099	MPPKFDPKSPENASLISLFQGLGLAEKSATELVRQPKSGVALKS LIDEFQLKDKNFDEKTASALVKLSGSAGKLGPAEKGYIVKKIESGDIKSTDQVAAAVK YAEGNPAGTPINEEEFNKACGVGIDISAAQLPELIKSYVSTLSSPPENWASLGAVLGG IRSGTSDLKWANAGEVKTSLETLFTELFGSKESAQAAAKAQAASAAKAKPTPKPKAAT PSAEASSSTTPVIPTTIFKEGFLSDFHKPGENPQVNPKLKEQHLEFTKGLVYTRFPPE PNGYLHIGHVKAIMIDFGYAKFHGGRTYLRFDDTNPEAEEGRFFQSILDTVRWLGFEP WKITYSSDNFQQLYEWAVELTRRGKAYVCTCSAEKMKEDRGMGKGHPVPCEHRDRPVE ESLREFERMKNGEYPEQGAALRMKMDLTSGNPYMWDMVAYRVKLAPHHRTGDKWKIYP TYDFTHCLCDSIENISHSLCTVEFIPARESYEWLCDALEVYKARQYEFARLNLQGTFL SKRKIAKLVTKQLVKDWDDPRLYTIIALRRRGIPPGALLSFVSELGVTTSESVTEIKR FEASIRSYLEESAPRLMMVLNPVKLVIDNVPDDYRVPVQVPLHPKVPAMGTVTTSFTK EVYIDAEDFRTEDSPDYFRLAPGKSVGLFKAPFPVSYLSHTTDSNGKVTEIRCKLEDD GKIKKAKAYIQWVNVPDSIKIEEVRYFKPLFKSDPPPTDFESDIDSNSLEIYKNAVIE PAFYELSKKSILNARKESEERLKKAKANSAPSSNNEEGNKPLKGSEAFKHIEDEPIAT VEQLVGMENIRFQGMRLAYFTIDRESKIKSLEIDSKINGKDKEDKIILNRIVSLKEDA GKSA
I206_04100	MRPKREREDSATPPAETQFISQSDDSDNLWEALEIIGERGPRRT GDYLVQWKGVDPSTKGPWEPSWTKKEGCTQDLVRDWKVKCNEAAEKEKDNSERGKNTK KRKMTREEKKTTSPEVVIPAHRGRGRPPGSTKSNKSSATLHNRRTSRGASFSSEYTTT SAPSPTKTSRVTRGQNKNPPQTHIVEIQDESSSSPASSDKPSSRISKVRIDLTNTESA IAGPGPSTAANRSRQSTPTDTPPLKTTSKSRSRVSLKSPGNKQSEQPVEPGLASLADA DNSSVSGDSIGQFSTPPASERRPKAATVEINPAANLLGESSNDQESASAPQEVKDVAT ANVWETIEHDGEIGERLVGEDDEGLAVIRVMEEDSMEQEIGEGDEDLNDLDSEHGNGE QEPLDQGQDITDENRGGSDNLAESDGLANLPAEVAADESIISIRQTGNDDDNSLKPHP DTVALQESRAKIAELEAQISQLHQPEDHPDTVALHESRSKIAQLEAQLSQAQKPEPHP DSILLDEFRSRVAQLEIERQAALSVSTEPPTTQAEAGRESISEPRITQLEDEIAKLKR SKDLLSDDNGFLRGQYAEASSRAVTEVNNVKTLTEQVQTLKSQLSTGLKQRHMLFEKI AKDKEIEVHKLKGQLKILLDQSRLTDDNVRAKAAAYSTMKGELEEMTRQFLARGGEID RLNRRIESLSERNEELVDQLDTIRALKMGVLPGLAEEEDRDSYSSAGESDSTDDSLIL KARNSEKKRNGIERLSTNDVFQAQYIQGENTKTPLTGYECKFHDGEKGCNVICETVEV GETETNT
I206_04101	MSIFQPTKSLLYPQFESYKLKPLDPEENILEYELPGQGATQSRF SNYSSSFSNHLNFKEVKNRIGWDHLYMNYKTKKGIYIDKEWNVIGFSINDDLVPTFNQ LISLPIPISSTNQQSEFPSALSLTLNKWAISSGHGTLYILEISSNSSIKDQGKFIAKY EFDYPFLLRSQHIISENEIKLLITRSINDKENQKNKTNTFELIEISINPNELNQIDNN NNEIPKVNWKLKGGDLPIYTSWINNGWLILSPEEFNENSISNSEKELDSTVKGEETEQ VKGNSKLGLGASTIRDQKDSNDKIDIDRERSYPYSWTQDSDSINIKLPLPKGYKRENI KLNLTSTTFTISISSKEETNLSAELSEFLKKPVKTFWTNIDPSLSTWTLSNDQIEIDI AKIDHNIRWPSVFSPSNEDDDDDEDEEEEVPETLSKEFLASVRESFNNIKSREQEEED DEPNVNFQHPTIPALLREEMDFDEEEEDDNNNNNLGEFNNGQSNKIGKEVFIGFINFN EKNGNDISTINWSKNTINILSLPLSQQSYDKEEEEEEEFGIIIKSAFDGLLFSPPFSA TKTNDSNLIKKQWKHISTNPALSFVLSSKKDLRLIKHLNLNNNNKTIVFAFDSGTSSI GQGNIYIYYNPEKNEKFYSKQSILPISGGEKGALLGINSIKINEKQIILALTEKYLII LKDIL
I206_04102	MVYSSKSSILLSITIQLLSLSLIQATPSSKWTDNISVEREEILG SAVKSNLVKRASGYTNPADDGGYMLTIVNGTYPAGLGEPLNVILSADSDKAVLAKSLD DGGFLNYMLIAGQGEECLGQHLGSDQSANLGDGKGNVTEVEELRYNYGNPYIGTCQET FNGGLHLRYWIQNTTNAYFMAVSVEMDLNSGHDIVPNGYNIGRDQLIGNLTGQAINTS ALTNTSTFSGTGSYSNYTYQTDVQYVSGLLRNSSDDINHYITVEENGLPAIDGLVAVL TVKITAKPQSSGAWLTVSQIPLISVLLPLLLSSILALF
I206_04103	MNTRQLLRSIPTSSKPRISSSIRCISSSSITAQIPNSTATSSNN AAGPSLTSSQGRTTHFGFKEVPEEQKETLVGSVFSSVASSYDVMNDAMSLGIHRIWKD SFVSSMLPKLPPSLHQNPGTSPIEVKEPFKCLDVAGGTGDIALRILDRAREKFGSRDI QVEIVDLNQGMLDEGRKRVAKTLYYNTPQITFTHGNAQALPTHIADSSIDLYTIAFGI RNCTSLPAVLSEAYRVLKPGGRIGVLEFGKVSNPLFKEIYRQYSFQFIPIMGKILAGD SESYQYLVESIEKFPSQPEFAQLMRNAGFQTGQLREGKGGAWTDYTNGIATAWTGVKA 
I206_04104	MAEKITEFAEIPQQFIKEGTQFVNRCTKPSKEEYIQLCRAIAVG FVVMGFIGYFVKLIHIPINNILVGGA
I206_04105	MVQPKKVAVSETQSQEQCRGADLRGSASTTSVQEFIVSRTVHLT PIPDDVSLAEAAPILCAGLTTYKGLLNANLREGDWVVIPGAGGGLGHFAVQYAIAMNY RVVGIDTGEEKKQLLDSYGCHKFVDFAIEKDIPKAVEIAIGGKAKAAIITTGVIQPYQ QALKYLGIRSTLVCIGLPHNGLFEIDACQLIINSSKIVGSSLSNRIEAKRALTFVSMG KVKPNIEIKEFKELSNVFKDLQVGKVSSTQSTFFIEAERKD
I206_04106	MADPIFENFKDIPTTHKAAISDHVGDYPTIRTVPTPQPSSLKPG EALVRIVYAGVCHTDVSITMGKGTDLPVEPIIGGHEGAGYIVALGEHLGPGKGPKLGD RVGVKFMADSCLTCDECLDGAEESCPDVSTSTQNGLTRNREENVEESDIQTLSGADRE MRGGRMI
I206_04107	MPDINTEEYLDIPGTIRIFDEAGHVVQTDHVNLHPMPSEDPEDP LNWSKTRKWIFLSCVIWYQFVVNLQAAVLYAIYTPLIEEKGLSLDQLNAGTGYLYLFI GIAAIFTQPLALGYGKRPIYIISTFACALLNIWTVYIPNNSQWIGSRLLLGFFLSPAY TLIQVSIVDVFFVHERSLPMSIYALAIYAGGCMGPVLSGQVYDAIGWKPIIWLSTGLT VITGFILLFFLEESSYIRRSKVKAAVHVVDEVERSTESGIHNHLAQIEEGENQDEGQK NIKMDTVEAGVYDVTLNNDNRSGQKFPLNTPWHGIRFWKFAKPHPQARAIIVKTVFQC LMAFRLPAVIWSGIVLGSFQAFYNFVSALASGILAEEPYNMSSSAVGLTFLSSLIAAI PVAFFGGPLADWYGLRCAKRNHGISEAEHKLHLWIICVILTPIGLLMMGLGPYYQAHW IVFVLGCGIINFVGTFGTLLAINYIFDCFHEIHPNDPDSPSSAAQDAAPYLLTSILIC MIFSFALGYAITPWCFEWGLKNFGISSAIIFTFIEASAVIMLIWGKKMRRSGENYYRK VVNW
I206_04108	MRAGPSRSPSVISIPESDGSDYQPVQEKESPPLPTRTSIKRKRP SVVKRTLTKKSTKIKNEDEIEDIEDTAGPSILRDHGLEYHSIGGIAGKQEDLLSWFEG CREKRGMPWRKRYDPNLSMKEKGQRAYEVSFIHVVVSEVMLQQTQVATVIAYWKRWIE KWPTIADLAKADVEVGGLGYYRRARSLLAGAKTVMSDPKYEGRLPNDPLVLEKQVDGV GRYTAGAICSMAYGVRTPIVDGNIHRLFTRLLAVHAPQTAPSTIKFLWQAAEELVAKL PIDGHRTGIAGDWNQALMELGSQVCKPVSPDCGACPLRSCCKAYSEISSFPPQPLELV CTICAPIPLTAGTNGISSVSIFPMRKEKKASRAEEESVLVTEWKGDNGDRKWLFVKRP EKGLLAGLFEPPTTPVASSSTPDICLDTSLASLLELIDSPMSDSQKTGQSRYVTSIPH IFSHINMTYHVHHLVLSTSSAQPPTIYESDKTVVWLSEEQVIHANIGTGVKKVWSEIY GSWASFEVDSTKIKKSSITAKKGGQKKLPLAEVKNGKIVKKVMMPSMPSKKVEVV
I206_04109	MEYISSSDKIWNNKGKQKASTSSTVQTLSEENPNSTITNIVHPF IRISRPSPPSSRSTSPSFSSSRSRSRSQPPPIMTTSYQSRDLKTRVKNYFGYTDSTPE TISVTSWAKSSTPDARSAVTTYLLSLFPFIQWVPRYNLTWLLGDLIAGITVGMVLVPQ SLSYAKIALLPAQYGLYSSFIGVLTYAFFATSKDVSIGPVAVMSLETGNIIASVQHKY GELYSAPVIATALAFICGFVVLAIGLLRLGWLVEFIPQPAVSGFMTGSALNIAAGQFP AVFGLAKRFNTRDATYKVIINTLKNLPHSSLDAAFGVTALAMLYFIKWGFAYLGQKKP RLARFAFFASCFRHAFVIILYTIISWRVNIHHKTPRISLVGSVPSGLRDVGRPYITGE LIGAIGPHIPVATIILLLEHISIAKSFGRLNGYKINPNQELIAIGVNNTLGTLFSAYP STGSFSRSALKSKAGVRTPAAGLATGVVVIVALYAVAPAFYWIPNAALSALIIHAVAD LVASPKHSYGFWRVSPLEYIIFVGAVLWSVFYTIESGIYWSLATSIVLLLVRLARPRG HFLGRVRIKPESVEGGHQIRDVYLPLCSEAHQDIPVEAPPPGVVIYRFEESFLYPNAS LINDRIVQYVKANTRRGKDFSGISAGDRPWNDPGPKKNESAAIIEEQLSKPKLRAVIL DFTAVANLDTTGVQNLIDTRVEVEKWADAPVEFHFCGLLSPWIRRALIAGGFGQGNTR SGTALEVAPAVIENLENVASPHPLPERDSDGFPINRTTDLETGEQLLNNHNQNQVASG SRTPSSRGSFVDEKAIHGVGLNKDGTFKRRTSDNSSRTVPLVDRSTPFFHFDLPDAIN SLNLEEEQ
I206_04110	MATPMQVDEAVPGDSIDEGLYSRQLYVLGHEAMKKMAASNVLIV GMKGLGVEIAKNVALAGVKTVTIYDPAPTEIADLGTQFFLRESDIGKPRASVTQPRLA ELNSYVPVKLLEGNGEITPELVAPFQVVVLTNATIAKQVEINDFCRSKGIYFIAADVR GLFGSVFNDFGKDFACVDPTGENPQTGMIVEVEQDEEGIVTCLDETRHGLEDGDFVTF SEVKGMEGLNGCEPRKVTVKGPYTFAIGDTRGLGQYKTGGIFTQVKMPKILQFKSLKE SLTSPELFITDFAKFDRPETLHAGFQALSAFYEKAGRLPRPRNAEDASAIISLAKEIA GGQAELNEKVLQELSYQATGDLSPMVAVIGGFVAQEVLKACSAKFHPMQQSMYFDSLE SLPKQLPTEEDAQPVGSRYDGQIAVFGKTFQEKIFNNKQFLVGSGAIGCEMLKNWSMM GLATGQNGMIHVTDLDTIEKSNLNRQFLFRAKDVGKFKAESAAAAVAEMNPNLKGKII AHQDRVGAETEREYGDDFFASIDGVTNALDNVSARQYMDRRCVFYQKPLLESGTLGTK ANTQVVIPFLTESYSSSQDPPEKSIPSCTVKNFPNAIEHTIQWSREAFDALFVNPPTT VNLYLSQPNFVETTLKSSGQHHDQLKQIQKYLKADRPMSFEDCIKWARLQYETDYVNE IKQLLYNLPKDQVNSNGTPFWSGPKRAPDPLKFNIDNPLDLEYLIAAANLHAFNYGLK GERDPALFRKVLDTFEVPEFTPKSGVKIQVNENEPAPQQDDTEEDIDALVASLPPPSS LAGFRLQPVDFEKDDDSNHHIDFITAASNLRATNYGITPADRHKTKLIAGKIIPAIAT TTALAVGLVCLELYKIIDGKNELEDYKNGFVNLALPFFGFSEPIAASKQKYGETEWTL WDRFELNGDPTLQEFLDWFKQTHKLEVQMLSQGVSMLWSAFVPAKKAAERMSMKMSEL VEHVSKKPIPPWTKNLLVEVVVNDEDDEDVEVSL
I206_04111	MQNDKGASVPSSSNRKNTVYVAGLPLEINEEQLLSAFITFGDII DIKIPNEVQDPTKHRGFAFITYSNPSDALDAIDNYDLNELQGYQGRGKFLKCSLANPD RFASEGGSNKFDKAIWSTDEWQAAHGEKPRDEAVEQAQQNSA
I206_04112	MADELYRPPTKRSRSSHSSQSRPGILSLPDEIIEHIYLFLPFKD RLNLRWGCTYLRDVYKSSAILQYQFTLSTTSYLDVPWRPPPSGSSINEDFTEENHKLN EESESSSSSIVTLRSPHTGKARQSIPSVSLAPWPKEPSLHIPHDKVISPADRNNLLSG REKRWETLDWAEKRTFKIQGREGVYELQEGIFLMCDDFNDAEDDKPSSIRLIPLPSMQ DPDLEDPPLQTISHKVDFPISDLTMDPSQDLIVVSEYRPESHDTLHAPPTHRYHLLTL STFKPHPLAKLPTLDFPPFSQAIMDTRQLLQVMGDTLVIQVSRFAPAWVLAGLGFGIG ALGGLGHEEEMVAWNWKTGNVLARISLPENGWFSSFALLSPTTFLVTSTSNISPILPS ETRSVGSVFPPVIQVYSFIPDPNNPINPVQPLYTDPMDDTTPRPVLLAQLQLPLFAPD VSIHAFDVRPDPAFPPPPADEVPTLGKRKPFTQDPSKGVMVFELKVVGNGEDNIPRRE RKKSYEIFVLRETLVEFARQGEERLRQAYDNEGDLDGLGIRGVERNVPWSEWGEEKTR MMDAVMKRRSWVCSCSGYRFISLIPAIRSQGLDLDDPSTNMEDLEDETHELIPPPKKS DLMIFDFSPINLRKSNSITEEEQSMNFFDFVKTEYTVKIRSNPSIIPKRNIWKEDIST NLPFKEVRKDYGALANGVMCDDQRIIVIHFDNSPPPSPSPSPSPSPSPRPSPSPSPPF PFDPSPPDTPSPSPSPSPPAEDKLTKDT
I206_04113	MSNQQPIKLQIQVVDFIDGKFVPKSTGSTSTSTGTTGTNSESTS NAGGSNTSNGGTGNK
I206_04114	MRNSNLFSLALALIGSNVDAFNLADVQKTTSGSVVPGRYIVEFD SNAHLSSSGLKRDESISTPHEAIYKQLKARDTSYTVHCEYTNNLFFGASITLDSDNDL ANLLSTDGIIDFRQVHLLNLPSQPITAQSYQWPASTSFNSTASNSFSPSNATTSAQVT TTTSWFSVLNQIGADTVQAGGNKGKGVKIAIIDSGVDYTREPLGGTYAQAGSKFVGGY DFVGDSYNGTNTPQADNDPFDNCYSHGTITAGLIGANPNLYGVTGVAPEASLYQYRVF GCNGATTDDIVLQAMQRAYDDGVDVINLSVGETSGWTESMLSVFASRLSSAGVVLSIS AGNQGQVGAFYSYSPGAGLGVLNVGSNDNAFYPSQLASVSTGYGPIPYFNYKAFASGT YPLYAFTTDPSVAADGCTIPDGTPNLNGYVTLVRRGGCSLEQKAKNIYLQGGRQMFLI NTANTVPLYQNFPLDFAMISYDDGNYLLSQINNHTSNTTVTFTFNPYQSPNTFTGNIT SYFSEIGPTNDLYFAPSIVAPGTNMVVILPTNMANWSIVEGTSYSAPLVAGSAALYIN AKGNNNVSPDKVKAALQSTSDYLRTSVSDQTIANVAVQGAGKVNIANAINPGLIVSPS EILLNDTNYFAGTQYITLTNPTDKIVKYKLSNLPAGTALAFQSGLNQSNDQPVPQVSS VASVRFSQSSITLLPRTTWVVLLQFTAPTGLDAKQFPIYSGFIEISGGANNVRVPYLG VASKMKDMPVLDPTPYYLGINTPTILDATGNVQSSSGQSYNFQNGSYPTVIYRLVGGT PLLLIDLIDSNANIGFTPNYNSKRSPVEGATLEGELNLMKKAYIESRKINSISNSNNW WQSTKTQSLISLYCQLTNFQGKGCSGYNNGKSNTFAKVPILGNLFESDYIPRSTDNID GQGNDYSTFALKSGPLFSNGTTIPNGNYKFLMRALHITGDRTKESDYEACKSTLVP
I206_04115	MATEFDDVLTNQPVVIDNGSGTIKAGFAGEEQPSCYIPSFVGRP KHPRVMAGAIQDNLFIGRRAQELRGLLKIKYPMEHGVVTDWDDMERIWGWVYGEGLKA LSEEHPVLLTEAPLNPRQNRDVAAQIFFETFNVPAFFTSVQAVLSLYSSGRTTGIVLD SGDGVTHAVPVFEGFSMPHAIRRIDIAGRDVTDHLQLLLRKSGYYLHTSAEKEVVRTI KEKTCYLAINPAKEEKDQSGAWEEFRLPDGKIIQLGIERFLAPEILFNPELIGQEYPG VHQVIVDSINRTDLDLRKSLFSNIVLSGGSTLCTGFGDRLLNEVKKLALKDVKLKIYA PPERKYSTWIGGSILAGLSTFKKMWVSADEYKEDPDIIHKKAF
I206_04116	MSAPPGFGAAPPGFGGSSSQPNGNGESRMDGDFFGQLSQDEIEK KARKWRQSQKRRFDPKRRQGGGGGIDFGKADLPPEHLRKIIKDHGDMSNRKFRNDKRV HLGALKYVPHAVMKLLENIPMPWEQVREVPVLYHISGAITFVNEVPQVIEPVYHAQWA SMWLAMRREKRDRRHFKRMRFPPFDDEEPPMDYGDNVLDVEPLEAIQLELDEEDDEAI LDWFYDPKPLLDTPHVNGSSYKYFSLSLPQLANLYRIGRQLLSDYSDNNAFYLFDKKS FFTAKSLNIALPGGPKFEPLYRDTEAFDEDWNEFNDINKVIIRGMIRSEYKVAFPHLY NSVPRSVHIGPYHEPKNVYIKTDDPDLPAFYFDPLINPISQRVVQEAHTPLVSHEDAV FGFGNEEDEEFELPDELEPFLENKDLSNDNTADAIALYWAPYPYNLRSGKTKRAQDVP LIKNFYLEHCPPDQPVKIRVSYQKLLKVYVLNALHHKRPKAMSKRNLFRSLKNTKFFQ TTNLDWVEAGLQVCRQGYNMLNLLIHRKNLNYLHLDYNLNLKPIKTLTTKERKKSRFG NAFHLCREILRLTKLIVDAHVQFRLGNVDAFQLADGLQYMFAHVGQLTGMYRYKYKLM KQIRMCKDLKHLIYSRFNTGPVGKGPGVGFWAPGWRVWLFFMRGIVPLLERWLGNLLA RQFEGRNSKGTAQTVTKQRVESHFDLELRAAIMHDILDMMPESIKANKAKTILQHLSE AWRCWKANIPWKVPGMPAAIENIILRYIKSKSDHYTSVAHYNRERIRRGATVDKAVVR KNLGRLTRLYLKAEQERQNGYLKDGPYISSEEAVAIYTSTVHWLESRKFAPIPFPPLS YKHDTKLLVLALEKLKEAYSVHGRLNQSQREELALVEQAYDNPHECLSRIKRLLLTQR AFKEAGIEFFDTYDKLIPCYDIEPVEKITDAYLDQFLWYEADKRGLFPNWIKPSDNEP PPLLVYKWCQGINNLTDIWDTSDGECVVMMETVLSRVYEKVDFTLLNRLLRLILDHNL ADYITAKNNITLTFKDMSHVNAYGMIRGLQFSSFVFQFYGLVLDLLILGLQRASELAG PPGAPNGFLQFRDTETESRHPIRFYTRYVDRIHILFRFSSDEARDLIQRYLSANPDPN NENMHNYNNKRCWPKDCRMRLNKHDVNLGRAVWWNVKSSLPRSLTTIEWEESFVSVYS KDNPQLLFSMSGFEVRILPRIRTQHGEQYSLKDGVWNLTQESTKERTAQAFLRVSDQG VNDFNNRIRQVLMSSGSATFSKIINKWNTALIGLMTYYREAVVHTNELLDSLVKAENK VQTRVKVGLNSKMPSRFPPCVFYSPKELGGLGMLSMGFVLIPQSDLRWSKQTDSGGIT HFRSGMTHEEDQLIPNLYRYLQPWEAEFLDSARVWSEYAMKRKEATASNRRLTLEDLE DSWDRGIPRVNTLFQKDRHTLAYDKGWRVRAYFSQYFRLRNQPFIWTNNRHDGKLWNL NSYRVDVIAALGGVEGILEHSLFKGTAFPTWEGLFWEKASGFEESMKYKKLTNAQRSG LSQIPNRRFTMWWSPTINRANVYVGFQVQLDLTGVFMHGKLPTLKISFIQIFRAHLWQ KIHESVTMDLCQVFDQELEALQIETVQKETIHPRKSYKMNSSASDILLFSSYKWQISR PSLLTDNRDTFDGTTSNKFWLDIQLRWGDFDSHDIERYARAKFLDYSSDSQSIYPSPT GVLIALDLAYNIYSAYGNYFPGLKPLLQQAMAKIMKANPALYVLRERIRKGLQLYSSE PTEPYLNSSNYSELFSNQIIWFVDDTNVYRVTVHKTFEGNLTTKPINGAIFIFNPRTG QLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQVIVTRKGMLDPLE VHLLDFPNIVIKGSELQLPFQATLKMEKFGDLILRATQPQMVLFNLYDDWLKSISSYT AFSRLILILRALHVNNEKAKIILRPDRATITESYHIFPSLSDDAWMSVEVALKDLILA DFGKRNSVNVASLTASEIRDIILGMEIAAPSVQRQQMAEIEKTTEAQSQVTALQTKTT NIHGDEIVVTTTTNYEQQTFASKSDWRVRAISATNLPLRVNHIFVGNDDVKDDAGAFT YVIPKNVLKTFIVNGDLRTQVVAYLYGSSPADNPQVKEIKAVAWIPQRGSNNGVELPA ALPKHDFLLKDLEPLGWIKTQSTELNRLSPSDVTTQAKIMANHSEWGPQSICVTCAFT PGSVSLNAWELSVSGFEWGRKNQDVTGQNPGFNPSMANRVQLLLSDRILGMTLVPEGG IWNYGVGLTQSWSDKLPYSMTLDRPESFWAPCHRPNAFLNFASMEGDDAADVENSLE
I206_04117	MSRAATLKKWFPAETYPIFGIVGIAVGGAGYYLYRLSQGPEVVW DRHGDWRPWDRISHDTNQKLITVNHEFWEKRKQLVKEQQNQRAVDQI
I206_04118	MADPAYTQQAAENPSSIALSAEKEAEFERITRGLQEYTGGDIIR KVLSENRTVKAYWGTAPTGRPHIAYCVPLVKIADFLTAGVHVKILLADLHAFLDASKS SLQTVQYRVKYYSILLKTVFTVLGVPVDKLEFVTGSSYQFKADYTLDVYKFHALTSVR EAEHAGADVVKESESPLMSSMMYPGLQSLDEQYLDVDFQFGGVDQRKIFMYAAHFLPR LGYAKRAHLMNAMVPGLSGGKMSASDPKSKIDFLAQPADIKASIKAALCPPGEIENNG VVAFIKAVLIPIQALRNEQATTKGEKPPVGEGSFVSEGAPEGTLFSISRPEKFGGNVH FKSYEELEKAYIAEEVHPGDLKSAVTEALIQLLAPIRKAFDEDEEWQEIERLAYPSAS AAPVVADKKVKKKDVRKSAPTEEERAALRAAKEKEKAEKAAAKATAEGHPLKPAELQQ SSASAAAAGPAIVASGSRSSTSCVTSTDLPKLKLLAKGKVRDIYSLPAPEDQDKLLFV ATDRMSAFDVIMNNGIPSKGITLTTLSLFWFDKLKNIIPNHVLTPSPASCLASPSDSW SEFPRSLDEYRDQLEGRSMIVKKCEVVKIEAIVRGYITGSAWSEYKKSQTVHGIEMPE GLVESEKLPEPLFTPSTKADQGEHDENIHPDKVKDICGPELAEQFEKVAIRLYTEASE YALERGLILADTKFEFGLLADPTKPNEKPQLILIDEVLTPDSSRYWSASNYVKGKPQA SFDKQYLRDWLISEGLKAKEDVTLPEHVVEETRRKYEEARDRVMGLGQFAN
I206_04119	MSETQTGWMKIGYGDMDQYELELKQWEYNQSILEQNYQIYGLPS KLEDLNEEQIEILKDLIKHSSSSSSSLMGEVKNKKPISPIFEDKLEIELFVKECPITC KNFIELLKGEETGRKSKFFKNSNENSNSNFKELKYKGNKFHRLIKNFIIQGGDIIKEN GTSGESIYGLKFNDEKNGLNKFKFNFGTLAMSSNNSKNSNSSQFFICLISNSNDNDSE LIKKEKEKEKKFNKLNGKYVIFGQIKNEKSLNLLKKLNELIECNEKEEIVNGICWIEN CGVL
I206_04120	MGKLSEFLSSSSSEKNNQPPPPTYNDSHIPSESSSRTSYQAPPP PPIQQTYSSEPPPPFPHLFACLHLGRSDRVRLIGLPQNAIPAVEEAIRRVWIAGIQTS APYYNGWEWKLSGNPWYGRGAEAVYSRRLLSHILHALSSIGWDLHMSCDLTKKGWDKD TLILHSVQPHQKFYFSISFNESDKIRIIDPPDNMVKDAFVRAVKTWPLGIQTEYEKEP GAHQLKLRGNPWLTSDGTQVVEARLLACTVLSAMESVGFELVGSVDMSTGSGDNNYDL DTWFFASKT
I206_04121	MPQLQTYKERPVVLLTNDDGPPSASSPNIFSFCKLLQSRLGWDI KVVIPDTQKSWVGKAYAISDVISASYFYPLEPEGLTGEITNKSRPLKDGETMEWILLS GTPATCTNIALHNLYPGEIDLVVSGPNHGRNSSTAFALSSGTLGAALAGALSVPLPGP STGIPSLHVEHIPCIALSYGVVTRPVTSRVMELATDAAVDVCERLFGDWGYDEDHEKG RHLVQIYSVNIPLVESDLQKDKRKTAWTNMWRNSYGRLFKATTLTQTEYDPAQFHRQE GALPSQNQPQNNQIPPNVSNSSTAGPGALPTPYTTSPSSPNNEISGDNQLRFHFAPNM KPLLAPSLESLPVGSDAWAFAKGYIGVTPLRAQFAGPIEGGYGFGSEEKGGKVAGSLW E
I206_04122	MKAFQVKEHIHPSKIQVSDIPIPKPRTEKGEVLVDVHAAGLNFF DILQSQGKYQTQPPLPFVLGAELAGTISKTSPIPKGCPYEPGDKVFGYAQGSYAEHVT VSWKTLLPIPEGVSFEEAATIPLTTTTSYAALVDRANAQPGEWVLIHAGAGGVGLAAC QIAKSLGCKVIATASSDSKRKVCLKYGKVDEVVDYTQKDWQKEVMRITGGKGVNVVYD PVGMIIPSLKCVAWNARLVVVGFAAGNIEKIPANLLLLKQVSVMGVYWGATAVKDPPS AQRIFMEVLRLLASGEVKQVIYEKPYIGLENVNVGLKDIEDRNVWGKAVVRIKQEETQ KAKL
I206_04123	MQTPSMRPRPSSRRHQASSDAKSTDENWDQPLIINAPSRPREFT FVSETPELYTRSRLSNTAVSGVSSPKHLVGAPHNDMRGKKSLATPVTTSREHKLARDT DERLSGDSMQEVLSLPQMQLSIPSFSGTPINSPSTILPDHLKTSPMSTPALRSIPLKC DDPMTSPVRNGSSPLRSRYSEDAPELQTGDSSFSRSGSKPASESDDHSDHRMQFESYH GSDEQEGKTFDQERTLSSSIRARSHSHPAQNSGSYSVKSEQSSSDSSTSSCFSPNNKP KIGQTRSKAKIQQNVAGRSKNVGTSKPRTKGKNGQRRNGERRREQNAVAQKKFRWKKK QQAAKMEAELEVSTALVSTLKKEAVEKDQLIKILKAEVGNLKRRSKNTECD
I206_04124	MPRLPDERKLAPLPRRSTPTSDVGLSCLADAALADWSTLSSKAQ RQNSITLPSISSIIYPSLPTAKWEGFTASLPSLGIIIPSSTNSLARSDSLQSASTSDQ PVASTSNIHISSVSTPFPPLRPSKKAKLQKRSHDHSGATPNSVQLEYNVRPYNRTAAP SNPFPAPSQAYPSSKATRQPKAKLPHPLQGLALDKTHSDRKVEDWQNAAQNLLHPLIP IPPLSYSGSSFFNHHSAAQSLISPPAHTLKKVHPPIDS
I206_04125	MATATATMDDLVASLGGSMHVSSDLKALQEYLAQNMIRPTIQLP LASPNVFSRPIPPSRSTSSTRKPSSLPSSYTYPHETHQAYPSPIAQSSFSAFQEESEG GPGPSTSEMMMMSTPTGITRPGGPLRRTSSYGFGCSIQVAPASPPATYSSFDSDAFAP IWQQRQQEQQQVSDPWAKVKTQQTNAFSSFNPNSAFSGSTGSSSFGNFRQPQGFGLSA GSTGLGQIGGGPPTPPAEDDDEMDEDSIDAEMDDMDDEEDDNKVQRSLGFPVVDSTNQ HHNHDIWGRGRGKEIANNGMRYW
I206_04126	MVNIRNIELVNNPAKFDDPYHFRIKFEAIAPLAEDLDWRLIYVG SAKSEEFDQELDNCSVGPIPAGINAFDFQAPAPAHNLLPSIESEEILGVTVIIITASY REKEFVRVGYYVNTYYEEEEWKENPPPSVQWDKLYRNVLIEKPKVTRFQNPWDSAPQA SAFDSPSFGSNTNGNGAELPPSGGNPEMFSAPLPPPVQKVAGVTGGGGGEDVEMS
I206_04127	MSTSTSTSSEIPYTIPLKDGTPKGHTTHTESTTTIFLPKEGAFL NPVQHYNRDMSVAVIRAWNELRKEELETKFRNKLAKNGGVPKKKKGKGKELTKEEDKD TSVEAPAEPTADEQPIAGPSTERKFRPPSINILEALAATGLRSIRYAKEIPNVKFVLA NDLSPSACEAMRRNVEFNGVGEDSLTPRQPGVTNPLVTGDEVKAEGGEETVQEAEKKV EEPKPLIGEDGLEIKDRVGRRPGCRGRVKINEGDACAFMYSHRSPVGPSSRVDVVDLD PYGTAAPFIDAAIGSISDGGLLAITCTDLAVLAGQQYPEKCYSNYGGTNVHAEYTHEA ALRLVLHSLANCAARYGRYITPLLSFSIDFYVRLFIRVNTGPEQVKRVASKSGVVFTC NFCESSVIQPFGRIVERETSKGVVRETFKTHAGPTAKNGSNCEECGGTMHLGGPLWLG PIQDSTFAKRVMNEISAQEKEYKTFPRMLGMLSLAAQELPEPFFFTANRIAKSVHMPS LPLNKILSALLNAGYKVSRSHAQAGAIKTNAPRSFLYDIHREEAKTNPIRIDKIAEGS PARVLIAKPMTHTIDFTPHSDALLERTGKETFYQINPLPNWGPAPRAKSIQVNISEPI KENKRKVEEILQDTSESKKAKVEITEPNEVVIEDIQKDVKDETSNGESQETKEEEMMN L
I206_04128	MSAPIETPVSRRLASVKNIIIVLSGKGGVGKSSSSVQLALSLLS QSPSNRVGLLDLDITGPSLPRMVGLDLPEATVHQSSAGWVPVYVDSEKRLGVMSIGFL LKDRGDSVVWRGPKKDGMIRQFLSEVRWGELDYLVIDTPPGTSDEHISLLTHLHPLFI PNNLKPTTPTSILITTPQITSINDTIKSLSFTKKLNLPVMGLVENMSGYVCPCCNEIS NIYGDQNKVFELSKKENLNYLGKVPIDTNLVKLLDSVSKGEIPITSQINSSNQQNQNE VGDGIVNINGLEGEQSQFPLLDKYNDTTSSKVWKEITDNLIEKIEERKNQIKQNLESQ Q
I206_04129	MSHLGTVRHIPKEVRYNFLQMAAPTSYVAGLGRGASGFTTRSDI GPAREGPSAETIAEAQAKRGEEVPDPEALQDPDDERNLFAGTVYEADDEEADRIWESV DDRMDARRKARRDAAEAEQAAKDRALNPKLQTQFADLKRNLSSLNDTDWDSIPEAGNL TGKRRKHNMRLEENQNGRSYAVSDTVVADIANRNILLGELDKAQQENGGFDTPAADGT MTDFIAIGNARDKVLSLQLDRASKDAANGSSTSVDPRGYMTALNSQIVQTDAQIGDIK QARQLLQNLIQTNPKHAPGWIAAASLEVHAKKMVAARKIIAEGCEKCPKSEDVWLNAA ELNTPENAKVILGRAVQHVPQSVKVWLKASSLESDVMAKKRVLRKALEFIPNSVRLWK ETVNLEDDPEDARVLLTRAVEVIPTSVELWLTLARLETPENAKQVLNSARKRIPTSHE IWIAAGRLAEQSPAAIGDVKVEEEAERTRKLASQVDKLMVGAVKSLEKNQVILAREQW LQEAEQCEQDGSPLTAQAIVKATIHQDVEEEDRKNVWVEDAERAAKGGFYEVARACYV VVLETFPTSPSVWRKAAEFEKAHGTPQAVQDILAKGVVHCPNAEVLWLMAAKEKWVGG DVPGAQAILSEAFKQNEDSESIFLAAAKLAAETGEMEAATQILEKARVQADTDRIWMK SAVLQRQLGQLDAALETLEAAIKKYPTFDKLHMIRGQIHESRGATANARAAYAQGCKA CPKSIPLWILAARLEEKAGITIKARSLLESARMKLPKNDELWAESVKIEERTGTQQQA KAVLARAMQECPTSPILWSMAIFMEQPQQRKGRSVDALKKAGEHPAVILAVARLFWGE RKIEKTRQWMQNAITADQDWGDAWGWWLKFEKQHGEPERQELVIERCIAASPHHGPVW QAVSKDLANIGKTTREIIELVSQRLE
I206_04130	MLHYTTLLSIISLLSVISVTDAATADQWKGKSIYQLFTDRFAPP SATAPARTSPLPETCDPIQQTWCGGTWLSIIDKLDYIQGMGFDAVWISPVSQNIDVYT PYNYAYHGYWVNDPLTLNPRFGSADDLKALSGALHARGMYLMVDVVVNNIPTLNAYDS VNSTALKLDGSRWTDPAEFHPQCWIDYNNQTSVEYCWLGDDKVALMDVNTENPTVIST LNSWIGNLTSTYGIDGLRIDAAKHVPGPFWTDFCKAGGVFCIGEVYGSDINFAASFQT QKWMDSVLGYPLYYGIVNGFGTPNGNMSNFVEVAQQTLATFPQPGLLGNFIENHDLPR WRNTTSDPQLAYNAMVAQFMFDGLPVVYYGQEQDFSHGAGDPYNRAALWPSNYANTTT YQHIKRLNDIRHAVISNGTQFGGKNFLDSQTTIVASTKTDVAFRKGPLLAVLTNRGSP NVPQTFGVPTSGWSAQSAVIDLLSCKQYPVGSGGAISVSYAASGYGGMPYIFASQIDA SALRICGDVGVATYVSANTTTTTSSASTIIPIQASKEFISVLAAGLVGLAVALSLV
I206_04131	MTFSISLTQTAKRPQSPSFSCESNKKSMHILSVTVPPDVISRIA FFADNPTRAKLLRTSTSNYLLVSRILYERITISKENAAKLFLGLPRSVPQPFQKDTET DVGESLHLQWPDISIEIDDEAAICKDDTGEAISPIYIVSQVTFHRKIALLKLVKHITI SSLPDHHICKDLEAWPRSHHVGRTLFPNAASTCYQALAVWQFLDWSDRHIDFDSHHTH PLAKILSSFGKTHHTCITWPDSDQSMEAAYINTRQRAGTKLSIDQLKERFAGLINRCD YSIMRHLVPFRVEALNIHQFRSGHIPVKHKKVRVFFSDCDCRAPTTAKRCVGHIDHAT RVKSLCGLASSVASSRDWNTRISLKQSISEIWELVSPPKRYSSDAGSEGQWFELKQEV IKKHPDWENEGSIMVEYDFAAPSIAT
I206_04132	MTHAPFAMFNQTNNNNAMPSSSSFKVKRKRMSWGMDDEEASKHM RVRSPTFQNSYQLPDLMTDHSNSASDDDQMMDTDMDMDNDCNMAMGHSGLNAHQEDHK NTTDHYVYSSGGSGGFLFGPGAEEDEFDEMEMMDNMGSSQFKGYPSLTPHPNPHHFSN ASLSSHAQYSATNGNFRPTSPLAAQAFSQSLPAPGRGLMQPAYNGPLPTNASEVEKAR NSHGPHCKSIPKLIMSEYPDATTGRRSMWSVCGDCGACEMTQ
I206_04133	MSESELDLKEILNLLTKDLNILENSLNPLFEGENEELIWNDKLN KLGDMERAKMNILISYTINDLIWIYLKLKGIDPDKHDVSSELDRIRTYYTKINQIEQP EIQRNKIDSEAAKRFVKNSIPKSQHLPLTSAAQLAQQQALNAISEQQQEKTLNRLGKA SRFRFIENEGKEKLIPGQNDDVNDDDNEDEDENMQEGEDSEQEEEEEEKEGSAIADDF LKSVEEEMKGKL
I206_04134	MPPPVSRASVIRLLNLTSNQGCRGCGRTHSALDHHTHGHGHVGV RGMATPIERNTGGPPPGNTDYAFEMAASTLRFGPHATREVGMDLSNMIKQLPASDRSQ AKIGIFTDPNVVKLPVMEVVEESLMREGLNWVVWDNCSVEPTDKSWQEAINFARSSNI THFLAVGGGSSMDTAKAANLFVNYPEADLYEFINAPIGRGKPIEKKLSPLIAIPTTAG TGSETTGTAILDIPSRQFKTGIASRALKPTLGIVDLNNTATCPKEVAIAAGLDVLFHS LESWTAVPYYERTPRPANPINRPAYQGSNPISDIFSRWALETTIKYLPRIAKDPFGDE EARAQMLLAASTAGIGFGNAGVHMCHAFSYPISSLNKGRPQNLQYHHPSYSPKIPLIP HGVAVSLTAPAVFNFTATSSPTRHREALGVFLGKDRAHELNNVKDADIGTALSEEIKK FLDLVEVPRGLEKVGYTSGDIAKLVEGCLPQRRVLDLAPLLAKDDLALEKEQLAGIVE RSLKW
I206_04135	MEPLNIFLTTWNTGLQGSKAQSQDLTSWLLPVLQNTSNDPELPH GIIPDLYVIGVQELLPVHLALAGLSATVLSILTDRIQSLLSSHARSLSVEKSQEQYTL VSRVSHVGVALWVFARERTLGGRLGKPLKTSLGLWYGGMGNKSAVGVRLPIRRGDMGG WEVLTFVNAHLEAHDHNIPRRNQQYQNILSSLLFQSSDPLTQPYQIFDTSHLFIMGDL NYRLSKRPSAELLVENGKADDLIISKGRNEMVQTDTLQREKRAGRAFGGLREGDVTRF APTYKRIVGQIGGYSKKRIPGWTDRILFASYTDSPTLSSSNDPTAQEGTSRIIHFSST PELTISDHKPVHAIVQIPSPSALSTGSAPHLAPILTASQPPHLPRPQPISKEYLWAWI LLGTTLDRLIGWPWCLLVLLGGGNEKTGLGVTAFVSMVWGVWWSGVWSA
I206_04136	MLGYPAHFGQIECLKLVASPRFADKRLGYLGIMLLLDENQEVLT LVTNSLKNDMNHSNMYAVGLALCTFANISSEEMSRDLANEIEKLLGSSNTYIRKKAAL CALRVIRRVPDLMDHFVSKAKSLLQDRNHGVLLAGITLITEMCELDEKVCDEFRKATG LLVKHLKNLVTTGYSAEHDVLGITDPFLQVKILRLLRLLGRGDPASSEIMNDILAQVA TNTDSTKNVGNSILYETVLTVLEIEADSGLRVMAINILGKFLTNRDNNIRYVALNTLN KVVSIDTNAVQRHRNTILDCLRDGDISIRRRALELSYALVNESNVRVMIRELLSFLEV ADNEFKLGMTTQICLAAERFAPNKRWQIDTVLRVLKVAGNYVREEVLAAFIRLTCHTP ELQFYTSQRLYAALSNDLSQESLTLAAVWIIGEFGDVLLQGGTIDDGEEVKQVTDTDI INLLELVLSSPYAKTLIRQFVLTALSKLSVRFSELSTPQQSHNQDRISEILHRFSSNL ELEIQQRSVEFSHLFELANVKGGVLERMPPPEIRATMMGTVSERRTVGSTRTDKDTVV DLIGDDLTSPTNGSSSATSGPSTQDLLADIFGTGGGSDLGGLGSPTSHANGGGQQKSA AADIMSLFDSTPSAPTNVSSPVTSPIQSSSASGSGSLFDLVTPSSQQPAAPPSQQPKS QLQSYSAYEKNGLKITLTPKVSPTQPGVIQILARFQNSNGENVSGVNFQVAVPKTQQL QMQAMSSSDISAGSTETQQMRINVPAGAAIRLRMRISYTKSGQNITDQQDFAGFPADL TGSK
I206_04137	MSTPTPEASGVRQRANKVKEEETENPASLSSNPNKKVPRNKPNV NPPFINTSMNKFLTYLVLSLALVLAFYIWRFVSWAHQAGGYWAVISGNHKSPISDAAS SAASAASSISKYQKSSTTSVNPKSIVPKPTQAGQPEDDIQSQIYHLANSLGIKPAELS AAIRPLVDPSVPDPAAKAQQEAELLRAQVEAKAAEHKAEATQAEGGLMGILGEALLD
I206_04138	MVWDKQTILLKLQVPQSEEEAEVWQNSKWLNRDNVPLPPSRRNW GMWSYAGFWITSGVNVSGWASGASLMSLGLTVSQAMIVNVLGGFLVAAVIVCTGQMGA RWHVGFPMWNRMVWGLRASYFPLINRIILSFTWCATQGWLGAQCLKTLIGSIIPGIYT MKNTMPVSTGMTSADFLCFVLFTLITIPCLLIPPEKLRIPMITIASCSSITAIIMFIW SLARAGGGGPLLRSEGLELVGVEAAKGANLVWAIFHGLSASLGAICAGILSQSDYTRF ARRPIDPLVSQVIIAPISGTIVCVIGLICASSAAKIYPEEPLLWTPYGLLTAIQLHEN TKGARAAVFFASLVFTLAQLGINIPGNCLSGGIDLTSLLPKYINIRRGAYITLVMSIA MCPWALSSGATAFISVMSGYAVFLAPMTGLMVFDYFFVHKRQVKLSALYDATPNSVYW YWKGCNWRTIVAWIMGVWPTFPGFLHSVGAKVTISLPWSRIYYLCWPLGFFVSGIVYL ILCKISPLSGIGEVDDEDIFGTHGPPNVPQVEGDEEKEQINEDSKDLVHEVAIVQVRE 
I206_04139	MEHNGNKMEDRMNINNPFHNLSSGIPQGRPKWAYVFKIKHDFNL PNEIIDIIASFSNNATLSTLMRVSKSIYDIASKRLYQHLTITKKNAEGIFLGLPRSAY HSPHRSSNTQSRSTSKSTAALQLYWPDVPLDSENDEECGDDVDYVDASRKDHQDQPSV YLASKDSVRRKINLFRFVTTLKIDCRLPTRLMQDLFGWIGQQPSGHRTIFPNAKKLII TGEAIKQWADKRDRSHPFCRNRCPTSIEPDVLFLNLLKIIAFPDSICISFPKYEEKDF RRYMNSRSRSVQKALGRGTTLGAETGLTSSYDKMAKFAQNFVVDLFIPTLRKSCKITL HNHTRIRLPCEIRVRELRVFISRSARHSNVRALNHAGDIDKETCTKQLQRLADEILWA YDTSYTLVIICPSEPPTPAQWNKILHTAKKELYFKPDQRRVPLNRGEEWDR
I206_04140	MLHRRPGSISNSSPSSSSGNVGPPSSSSSTRTTNVNKAYTPLRR QSSNLYPDNGVGGGRNVPRYSIPQSSPLNLGGMNNLRNGLNSTGIYDLSSNSISEIEI GNGEYWIKKIREIIEHLIMGLKDACKLQRSWELVWSDRELRTLVLKSTMINILSLLSL SLGSLIFSPIFLHVNATQNEIIENKTKRMGMWYNLLLSWPVFVICFLVNASWGPLISK RAQAILHPSHRFQPSPISTPTTSTPLSSFPKQAPFVKVFQSITRIILISDFTLISRLI GLIPFIGKWGSFAYMCVIDAYYCFEWNLTSKRWPLDHRVSYMQDRTAYMIGFGFPATF LTSFGPPLVSMAIFALIYPFFVLQAIQAKPPTPNTISSSSILLPSTPSPQASLPSSPI GGDISLNDSFFSNINTNKSKQSKIRGGNKGFELKLPIFWIAKYAIQGLKWFEDAAGRD RRGSIVNDQFSNSISNNQNYNNYGIGNGNNANNNVGSNYGTQYQTGLGFNGVQQLNEL NERKGKRLQ
I206_04141	MPDDLSFLDQLEEWLDSQVPNNLHELPYKMLETMEKVTNELFET LNIHGPPSISIPFPPFGGKEVPPPPPPPTQSLLPSNGLTTYLNGAYCRSSKLVTSHPY LAGTVISVGLGLTGLTVYKIATSNIGTNTLIGWKKQIGTRRRFGTKGIIEDGMLKEAI VILAPSPMPPILIPLAASLLRAGYIVLVAVPKSKDADQLERRLSGLDEKSALRVLIYN PDDTTTFPPFHRSLLATLTLRFPQSGKYPSGDPYNPSPAHLPHIHAFLSLYPLNPSPP TQPGGLPALPTLLSPNQDGTTPTLINFYPSTSVINTPDTFASQILTANHHLLGKNLLA SSSAKVISVYVGDIELPTLPMILSGGKHLTRRQAVKERLSSDSSLIGKIAIIKDYLIG SILSVYGGSVGIFGFGRKIRSYDNFEKRLLRIVKEPSLVYMFRSSFRHENGYFIGARS FLPILLSKLNLPPVFLPKLINYLPILPLSSTGPVNPNSNSSILFNYNSDKEKEKLRTP KSTSSANSSDIEHEGNEDLISSVHTTTTSNSSGQNGDEEGSGGSGLEGSWVGLDSAN
I206_04142	MPFSDETKDRVNAAVNVGKNILTVAWIPLIIYIGYKNSSPQPSL IKLITPLA
I206_04143	MSSYVPPTAASSVSCSCGSKNPSSLCTCQKEGECKCAPGSCTCP GCPVHEKKENPCTCQPECKCAPGKCECGSCPNSNKNKEKACGVPSGDEVAVKPALVHQ VNAHVPAVLPKVRKKSRNLALVVNLADVLQANALVRTAPPLPNRP
I206_04144	MSTTISSTTTLNDRSAAVFTITLALLVLSTAFSGARLISKWGIV RKRTADDYVAVLAWIFAAGMSITILLAARYGLGKPDASINPAYTLRLKRYIYGFTTLY NPALMTTKTAILILYIRMSTAHPFLRYASWFVLAIVDIAGIVLTFLNIFQCRPVSAAY NRIPGECIDLVSLYLSSAPINILTDLAILLFPLPILTSLRMELRQKIVLVATFIVGGF VTIVDIVRIVYLQNALKEDIKLGEGSKISATNRPSNFTWHISFTLMWSAVEVNVGLIC CCILVLKPLVMVILPQILKRKRDSEVIRSDPEISKEKKGKIPETSGTNGNIPESPEES NESSGLGLVHNLPMIGEDEFQVESYHDPIKRAGTMRSNSLRLDMEIGPRNEVPTTRGG QAEEEEEEGEMDFMQMLASSGPSVSPTVNQGVMEMPDVSPVSRRNTAPLSPVNRKASS IKPNSPLRSLKSRLSRHGEEINDQAPSRRFSDFVNLGEKKDLTSLTRKEAWWPILFVS SLFFMWGFGYSLLSTLNSHIEVLLDYSPSQAIGLINAYWLGYFFSPPLLGYWVLSRSG FRSTFIIGLSIYSIGALAFWPSSVLRSYPGFLVSNFIIAFGLSMLEIAANPFISLAGP GELAEARLNFSQGIQAVGVVVAPILAEKVLFNNIQSQLGLFNVQWCYLAVSLFVIALA VVFFYVPLPELDIDALEALTLQRLENSGLDSATVNSTVLRAHLPGLERYSLHIPLRVV LALIGGSIIWMYTGAQESLAYYWNPIHQVIRPQSDAFWGITLAHSLFAIGRFLAAFWC YIGIPPRIVLLIHSIGLLITMALTITLPAGFNRNGTYACLLLVLLFESAFFPTAWAMA MRNQGKKTLVVAGFMTSAISGGSIVPTMTYGVYSTKNGPNNPRKPQWISFSCFLIVFL LIIWENSNKKVRRWIDPRWCRIENTTVNGQQGNELERTRSRFEGTFEGWSWSNGLTQQ SPQSCKNVQSEEEWKVSVKHVEFSEGSHTHSQENTIE
I206_04145	MTNPLPPLSNTPHSESINNADAEGQSSKITESLDDSMFDNDQDV PPISASDDSDSTHAPPPQQLRVPVEILQKIAQYADQPTLAVFMRVSNITYTIASRHLY RQLILTKNNVQKVFIGFPTPMGYRQHQVPGGFQEVRSIYFPGSVYTPVRSYGPFDSDD EEDSFYQETDPHLPSQTYYPTRQTMQRKYLLLNLTRAITIGTKLPTNFCQNIDGWVSH LSPPTGVLLPRLERLIISSQAIKEWADWRDRNLVMSAVAETQDPHFGFLVMLAAPQYM CIRLPTYTIDDWFAFYRSRTQYYSYLPPKELTQHLFMLFRYLAYSEALLIAKDVCEAR PSGRPCTSLHNVTNGRVDIEAPPLNIFFSYLLTHPYIAFNYPNFAGNPVVQNFYTRER KYQIRSYLQLLAEGSYLGNRHINMIVPDAEEETVLEEMREFAKELKLNSDATGKGVDC LIWADAAPCSCCSTREGEL
I206_04146	MLKLFPSAHGLLFIAYLTTSTAVSHEGQIIVQSGNSDIFDSPTL FHQDHGTAISHEKKLFYDIDDIQYFTPYDHPIHTSNIDQTSEFGVDNCQPVVIIPISI PVEGIFTIQHLKPMVERYFSIDDVLTAGFFKHVMFYGESNEEEIPVEMSVLVYLMRQF KTEQITFNGKFTLNLPAPGIGLPSISIHKISSSFSKTRELGQGPYLMRYQDGVDFGGE IEFYPVFKLYSDYYKTFVNGIYPIRDGNETYKTLNKVDKYGNLLIPVPSRLCSENLDG PLRGDRMAVKDIYDIKGIPTSAGSRVFEALRGDVNVTASSVLKLDREGSVIVGKVKTT APFSPRADQYQSCSYSSSGSACAVAAYDWLDFAIATDSGGSIRGPAGILGLYGNKPTR GLISMDGITPSFNWTDTPGILIRSPWKLKKVLEVWYGNSQANRRFNHLPKTLLVPTDD LGDLRHDIKKMVYNFLYDTERTFGMKVKMINQTDNYQHVHRNNKNMMTLRDFHRVNDA WSWKYLGKSIVEGYQHLNQGRFPPVGTNYMNSWKKSRENPWSNEDFVIMKNKQIVFST WFNSIIGRDEETCSKSVYIEPMGLEYIPSYRESKLNYKNQTFLPYRKSPLYPTISASI SGAPHYVVPIGQVSFKSTVSDKEEMQTISMSLMTYPGCDFMLLDFINKLAQVGILQEV KTGRTAF
I206_04147	MSSLKGLVDKRFIPIPVQYQIYDSYTVDPKWQTKFTIIWTSVLA FSFVLSIPYIIHSWRTGRLYSGLAIQEELERPSLLETASQSSSSSTLTTKAKHSFMAR AKLATGGIIQSLELWTLPMPNLKFWKSQIGDCCRRAYFTLSVSQIILVMGYIGATIAC FVVGAELTQNSNRPGFIALAQLPVIILLSLKSPLPLPIFLPSLSYEHYNFLHRWTGRT LFVTATVHGGMWINQFIRNDELDQINASKSRRGILAYALMGMVVITSLKPIRRKCYQL FWIAHVMFFVGFFAAISYHTPYSRPWIYPCVALYAYDLFVRMLRYRIKDATLVPIDDT LTMIHIPDCDSGWLPTQHVFVRVMKGSGIFESHPFTITNAPSTPFSASPRGIILYAKV AGDWTKKIHNLARDVTIEIGDDELVVEEKEKFLKEEKSGFDHPGRKTQVMIDGPYGGL KIDLGQYENILLVGGGSGITFILGAIEECLRVKEQYRKGMNRVDVAWVVRDMSTIQAL SPTLMHLHNLSKRLSLSLTYHLYLSDPPHPLPTLPQSLPFSTTLSPYRPEVSQLVRAA LPLPLSPSNPESGIISPTECDVSAGGGLAVVACGPEGIVMETKNAIANLGLNEKIKCG GIGFHGECYTL
I206_04148	MGVLNIQIENHPVWNAVVSICGIAYFIAWSYSFYPQLILNYKRK RTTGLSPDFIYLNPLGFLALTIWSWGAYFSPIAREQYSNRHDGHLPQISKSDLAFSLH AFIISLVTLFQVWWYAQNSLISKNPTKPSLLIQIVLGILILTPIISAILVWLGKYEFL DWLYLISSIKLIISPLKYIPQVILNWKLRSVEGFAIGQIICDMIGSTLSFAQLVISSI FIDGDPGGIVANPAKLGLSFLSFAFDSVFIIQKYWFFRNEGKEDEREGGPGDEEEH
I206_04149	MSFARPSKRSHHRSQRDSTSNSWRSGPSTSSSSSTTIEIPPTAY IQAYEAQLVYDHDETARDVIQRDSGRGVGLIRYAGEIEVENDVDDGVEREIWIDRHDI LHLLPSITIPSNRNEVQSPNSPNSSSSSWDSLPSDLEETFYLSNSEEIEAYQQEKKKK WIEALRQERLREREKEDEEEQIVISTGYNKDEVPPEAILSLMKHTAKAISSSPNSSIL EMRILTNHSTDERFSFLKGRYKCIWEKVKNELKKEKEGERRKEEKSKGLGLGGLGGYD SDDDSGTDNSSESNKGNNEKEFSITLPEFPSNNDDQSSSPPPPLPPQGETSPPPPLPH TDNLEQPQKQVIQNEHPEVDEEEKKRLRRLRMEEWKRKRAAEKKLS
I206_04150	MIRAGLTSLSRPITASASSSSRLAVSKRFASHGPSYNPPSGYLF GERPPKDGKRVKETWENIYYVGMFGGMALAAVIIAYKPDTSIQTWALKEARARLDAKG EEWEYKPKSA
I206_04151	MPSLATAGSLTTMSTTTNGVGTSTFKASQITTSDDTTTTQSQSS TSTAYVYSYGTSKIVRTAVAVGVIVLALTALVLFIKISSSIRYHQRLRRHQQLTRSLK SEQIANSFEIAAWSDQPNSNLINNLNQIEKSKNKKRQEKKNQKKERKEEIKQIRRGGG NAFMVKEWEGVAS
I206_04152	MPRSPSPDYRRERDRERDRRDRDRDRDRDDDRKASSSRRDDRDR DRDRDRDRDRDRDRSGKDDKDRDRDRDRDSRRDKYKLEERDRKSSKRDRSRSRSRSRS RSRERSHKKDKKGKESRSPSPSAKSKRKEAKAALKAAARREAELEQSRALAELSMYSA TDNPFHDANLGEQFKWGKKIDKEKKQGMTNEEIQRKDSIRRQEAKEELERLNKKRAER EVEMQLREEEENRMKRLAEDAQMAEWISKEDDFQLEQSRRRAGIRLREQRAKAIDFLA INLRFADPISSSSSSSAVAGLTSAIGALTNPRKGEVERQEEEEGWGWADAGFEFEIDE PWKIFDNLNLEDCVELEHDIKMYLTLEKSPINIEFWEAMQVVCTYHLENLRNPDHAEG GRLFDREVDESASNIVSGLSLQRLVELENRTNAMLRSGQPVDGEFWDLVLRKIHVEKA IAKLNSIHEVVLKNRLEQFKRRQREDAAKVQAELGGVIVNKADNQFGGDMHAVGAEEA ELEVEDVEEDDDEDDYVEIYDREMSPQPADPRTMVLDERRLPIVDEEDELRALFAARH AITSSTFIPKHARPSATTVESVSRPSAADLEAERIYRAEAEKEMRDYGSDEDDEEFGD LDNGLEVPSTYDWSDRYRPRKPRFFNRVHTGYEWSKYNQTHYDSDNPPPKVVQGYKFN IFYPDLIDKSKAPTYYMKPIPDDSETTMIVFTAGPPYEDIAFRIVRKPWEYSHRKGFR STFDRGVLQLYFNFGRTFYRK
I206_04153	MSLIQPTRTICTRCSRLTLTINQSKSLKFHNYRSFASSSSSRST NRLNHQNRKWPRLALTILGITIPTTYLFFPNNNSKKSLKPNEYSDQKVTSIKTLSNQH KLITIPIDFKSKEFFENPFKIDGNFSNKENNEIVIQHIMIKSNDLQIERPYTFINDPL NDNEIRMVIKRVQGGEVGRVVHNLKDGDSIGVRGPIPTFSIYPNKYDKIIMISTGTGI SPFLQFLSKLSSSSSSSNNKLIKDKNLPKLHLIHLKPLKGKEDWSNSNEDESFLPFLT NKSNLKDKLKITRIELDEFLNKELILNSLKGFKDIEKNQNVLFLICLPPNLMKPLCGN ILPNRQGPITGILGEMGLTNEQVWKLD
I206_04154	MTNEIPHFRTQPVLSDLYGSKDLLDFSGPSTPPQPTNSSPSTSN ASPSLFSSATSIASAATRRAAAAGLTSSPSSSSLSKEVRCVEGYGENLYIGNSDGTVE WWMCEPASAGNGMDGWSMKYRHTLFPRRPVNKMYILPKISKLLIISDGTLHALALPNL EALPSSHVPPLRGVVSVILDDEELEWGGPGSEDPNAQMTVVVVRRKGLGVYKLGNRMN SVKEIPLPSSPTHHALFSSYLCAAITSPPPSPEDSPQMLYSIIDLSDASLTEVLPVSQ IDSAVAEFEPNPNIVVIPGENEFLVTSYTGSSTMGVFLNAQGDPVRGTMEWPSHPLAI AIESEFIIALLKDQTVHIHSLADLEKPSQIITLETGLNAFGLTYSPYGISVRDLYRDE RVSTYSLKLLGGKLTPPKPIAETNLPKEDTSMNVENSQIQDEALNPDVISPINEDPPS GSGLTPPSSPKLHRHQPVTPQRGSSLVASTTGTKPFSKAVSETLIVSPNSIISLLPIG TMMKVEKLCSESHFDQAITIVDEERRKGRRGEIDVDKTTHHISMKYLHLYLAIHLCEE ALFGKALDYFVRAKTDPRLLVRCFGDLRGRLIGSEETVEIFEGLKEIFERIGSVDDII SNTLKRNYSPHVQPNTATAPETSQLRQAMMEEAKEMLTEFLRRTRVSRRKGGGARGVD SRKIDVVIDTTLAKLLADKGTTNELLALLAAPNDCVLPELEPFLAQRPYVLATVMRTQ GRVDRVLELLKEIADSDIPDPICEDPIEELAQQLESVKEPEMFLNYALWLVKKNPSRG LSILIAQNPKTGIKLDDLSLVDQLKSIDKEIADKYLEYAVVNKRSPNRILHEQLLGVL LDEARELCEDEGVKYHLEELDAEYRLEPSPRPFILFLADIAPDTPIKRNRLKLMLFLQ GSPFYDLENALRRLEGAKELKFELAIVFGRLAKHRQSLSLLALTLGDSISAQTYCTTK GEIVPPKIAKSVAKQVKGFDTWATLGDVGRRKKEIKEVEMRGLVKELLGVYMSDSKGT SKQAAALLNAQSVHLDVLEVLGQMPNDWPLDEVSNFLKRSIRRGLHEQSTWGVLKAIS AGQNLEISEKYLETIKQIPPIINNQTFDGSAGDGLTPPDEGSIPETEWDTFEEKAIVE KKLEENGKEKDEFHFREMNQEEISNSKEGYVVKPSDGSTLNEEGLV
I206_04155	MPSPPPQPVPAPKQRSSNPHGGNLNAPQSIRMGASPMSYGAMTG ISFTNGGGFSFENKPRSVKWGNTGGGNGHMGSYGARFYGDAGGDSPTIPFSTSISMEP SSFAASAHAFNLPSGSMSSSYHRRSYAAVASSIPRNDQFNLSTSFASMSFQPMSLGTS YSKSQVTNMMKNKPRSDAELTQTYECCGKTHAGLHALLEHVEDCHPFSDPNIPPVSNN QASNTNNPGGFSPVTNAMDLDLDDVESELPTKTSATTTTSARSSISPNLTNPVPVPSY PLPGTGSKATTPTEQVSIGKSPLKLSDVLKSPPEVESSLSTANVNGNKASNLTLTRTG STGSSPPEGSLATPTTSTAPSPVFAQPKINAARQNFLGSTGGIRPAAQQMRLDRAFNE VVAGPKTTLATNTEDATSTVPTAVAPGVLFASAVSSLGIPTIPPGQQKPAANAAATTG TAPNATTGATGADSGTVTPSEELPEGASTSGNTTPNKGFTGVNGFNANDMQLPQPSLF SSHRPWRCPNPGCNKAYKQSNGLKYHQMKGQCDFAIHDAVDLGLSVEEAEERNRPFVC AVGAGCNKRYRQMNGLKYHYLNSGEHGQYGLRMLQNGTHPHPPSMPPPATNSTPHSQN KSATSTPSRPLGTARPAGAPYAIPSHASATNGTQIRNAVGGHGLPRMGTWPAQRTVNG APGSGMNGITRPIGQGVPVKSTPQPQPTPITKGRDAVLFAAVGEDPMDVIGRMDS
I206_04156	MFSTKITLFALLSIVSVVLGAPIASPDGGSAYSGVGGQASGGSI QRISEAGLLNLDILNIGSDNAGSGGSANSGSSLGGSDSGSSNCDLTNTNVIQSDNGGS AYTGAGGQSNGGNIIEQSYGGLINLHALNIDSGNAGNGGSSNSGSAIGGDGNSGCSL
I206_04157	MAVWNGSPISVEEAFNQVWKSSVHIQPSFYHPVNVDVNCCSEVI DSPKTDSVCSTEDELATAEILARIKFGTTSPQRQLRKRSMPSSMEEEDDVKPMTKRKK ESSVESMTSGTTNVTSFGAAERWPPRKEFVYLTCVVAIPPVGRQKLPLFGKPCGRNEI IAKVVTLATGEGCSRKLISSHAQVLKGRKELSKQLRDLLTTEEGRNNDDEAAPVVYTL GAEWHFPKSLNRLIGLSEDLDLRNATPPSLIIQHFNDIIKASKLANKKERATSSNKNN LTIRPRPSKSVSPSILSSDTTSTMNDKVEDHNIRLPTPNSWHPSSSFYPYVTPSTHKT SHIEKHDYGYDNNQKPALPSPNDLFSPVYNLSTPHKYPEYSHTTPYHSIPRRPATADV DTAFTTRVLPPPESPISSRYLKIESPYITPINPNRRTSESHLTDTLGKPYSAFEVECL KIGNNYERRISGVTIEDIKRQERFQQLC
I206_04158	MADSDNSATVKVEQTTTLQATNTEDLFGNDAGAESQDVFAQLDT EEQDPFNDIGEEEIHTLKPTSEEEDFLADATQSAEIVPPQQDSHPSPPPPNELSAPSD HTQPGLTNEEPTANPEPGYTETHIQAGSASLDFSDLLAEFEAENELNSDAPLRLDYDQ DVGLQNAAETLEQTAAENQARESSQNGVLEVPIAASVLFEDDSADPFAELSHQDQAET PSIPASPSPVPSLSIEHSQNDVRSAGLGIDAPGDTSFNSTFSDASNWLGDTTIDDSVQ FLPREVGQTKAEEGHEPLDFEIPQGWYDDNGEWQWYTEEEKEQVKQTMLGQASWGVEE DHLTDHQAPVSDPSMGSYSPALQAGLSENAARRTPQPDTPSKFSSSSYDPYAPSTAYP SETSTAYPLSGTPLYTSSSAYEPYSGVSSNAPYSSSTDYTSTQAAGQSSRNNPYGPVS QQPFNSYTLTGQNTLDPYAPSADAQPSSNGFGFTARNGPSVAVKPAQPKPQPQRMASN AYDPPFLKPQKSFVRIASTIPPSISPSFAMLPAMPTSPPQASSTPPPPPSGPPRRAHN DGRPSSRGPTFAAPPKMSDRATTQIDRATPYDAPQQPPSANQGRGLNDGPDILSPPKL LQRPPPSAFDPPLPPQSFRAPSRTASAASHNTYNSSPQPPPGPPKFAAPPKASESPFA PTRPLSRGPPSRQPSPMFAARPPSRLNVNQYMRSPPDSSYSSGVEQLLSPPSMSEHLP DQQRRQSLENRRGSHGSHVDYRSYDPAQNQQDALRFEAGSAILPPVLSRRYDEDGEDG EANEELYSPVGDRQELHSATSGPPRSPAARSQHNATYGAFPPPVDTPSEDPYAPSSSS LGLSMRRSPKKTAHMPSYEPHVPTRQNVIDLTKDAYDPPRPNGDYSNDKYAPSASDTS PKKSQAYPPRTQPSRASTRSYEPSIYSPPSNTKPLSIPPSSNPYAPARTASPSQNSGY GFSPPANNYFQPMHTASQSADDTYIPQQVLEQRPVSEDPLGRTTLAARNTPIAVFGFG GTLITAFPGAAESGDFHKGHSRMPSYGYASGRGQLWIRSISEVAAPTALKIDSAFPGP LVFDSTTPNGAAGDKKKREAVLAYLNNRAEEIEKGLPYLKSSANKARREEEGRLVLIK ILRALVIGEGNLAGSPQVADALRDAFYNPSALSVSTTAPVGTLSAGNFSSSLYPPVAS SSSTPVATTSAHLTRISSLLAQGDKHEAALYAANNGLWSHALIISSHAGVDLWKEIIG RFVIAEIGDKTEGTAGIKASYLLSAGVNSSTVDELVKAATITEDPSNDQWREVIGSVL FNAKQGEHACLDDLGAKFASLGLNNAAHACFLLSPLSPFFDLTPAVHDKIIPLLNNVK DEEAIIFAEIAEYARSLVPTPKGQEAHFAALPQLLPYKSARAWKLAELGQVELAQKYC TAIEAGFKINKNLPSLLSPAYAASLEDLLERLTGSPSIDPPNALGSGRKNTKPAIDKI GSWFERGLTKFIAGEEGDGSAPKPTTQGKAAGPFAQFSSISTGSSAPSRNTSVADFSN NGQLGISRTTSPALTTTPQWGHPAIVPSHGNPSSSSSSSYGDQYNQYDSYGNAGSYTP HTGDHEQGMDTPLVYGQGRPQDGDDGEFINPMAQLNLGPSGPTSSSYEPQSNDKGKPA FEEDDEDDLGFGNAALSRDRTPRPPSRDDDDSKGKGKASAKDHKAEATKKSDTTPTAK PEQKSSWLGRIWGGKKDGEQPSGPVKANLGEESSMIFDPELKRWVVKGAKPEAAGPSA PAPPPRAQTASPSRSARPEAEHNNRAMSATPPSGHRPPPPSMSSINGPPPPSTGFAQG ANGGIKRMKSSLHESVSAADLPSDPSPPLTADGPPSSGGPPRPPIGLGSAPPSRTSSS GVGPPSIDDLLSRPPSRRPASAAAKKGARNRYVDVFQQPEN
I206_04159	MSDPRFARLSTDPRFRRPKQNKLKVEIDERFKDVLESEEFGGKG KGKASGRVDKRGRPLAGTHHADQLKRFYKLRSPSPGAEGEDGKEGGFVDYARGGGALE SSGSEDDSEEEEGSENEFDEEELEVGGKRKMKLPGHISESDSEESDEDDEDHLNIDLS ENEFTAFPSEGADDEDEDEEEEESVPPTKRIAAVNLDWDNLQATDLFSVFNSFLQMAS SSKKGESSTSGEKLGKLVNVKIYPSEFGKERMAKEEQEGPGGGIFLSKHTEKKKKGPK EAITTRRRDEESEEEEDEDEASDEEVDGEEDLEGSGEDESELDDQEFDDDDEEEEEDE EEEDDHRPPKRSNDRPREEIDGLEIVSDVESDAGSEDIDMDQLRQYQLERLRYFYAIA TFSTVAAAEHVMDECNGTEFERTANVMDLSYVPDGMEFADEEIRDEANKEAKGYRGND FVTDALRHSKVKLTWDQDDPNRVKMTRRTLTREEIEEQDFNKLVAGSGSEISSSEEEE DEEEISRKSKGKKVDKKSKKASKKERTEKLRQLLLAGNEEDGDIWGKAGTSFADRLAD PKESKANKDKLKKDEMEITFKPALSGKSGGEIDEENMTTLEKYQLRMKEKKNRKKEKL ELKRATKAIENDEDDDVIGTKGDEQDDFFGSDSDNDRDNAGDNEEDDDEEEEISFEKP KKSNTNGKKLDPKPKEEDVLELIGSSSKPDTNFSMKDIIKSEKDSKKRRRHKKSKKID ETKEREEELGPNGWKINVSDPRFKAIHEEAEYAIDPSNPHFTKTKAMQDLLTHRNKLR QSKSNDPSNENVSSASGIKRTEPGESNGNEKDLSQLVKSVKRQMEREGGKKRKRSRK
I206_04160	MSTEENDVPSTTPLDLLINAIAGSADYILPTTDHSSDYQREGNR DTRPATFASVNQIDQPVATQGLIDSGKSYRRTEPLTSRQETTIQRLTEHVVQSFAKCS TLHEESPNDSTSLTTIDIWHPTTGQKSYGKERRIISPPPKLCISGPLLPSITSVKLAT TSQSTSVPSLNSTSTSQTHRIATPSTDESFKSIQDVIFCKGRRPDKLTLKRKLMEQAR IAGFGATLPKSRTNVEGKNRDTLLEEGLSFSGLWIGEDVQKQKEFNLELRIYTEDYQV TQPNDIHPKLLSPSIQPFNDVSVTSENINIPIPVPVQVDEQIAPFESIHDTPSFAEVL DNSSMDVLQPLAQAVQQANQDAITTLADHLPAIPASENTLAPVDDLKTHSIVKQTQKG KDNGINGELPIEHTEGSSGLDQRVDTSPAQAEPHLTFVSKPLRIVSKPSQKTAKARSM HSCFAINSSFALWTRINAQTVRTKYMNIDHDIGGEEEFSAKLTSRTGRWTPFRFEMVR RAMSSPVPRKSKTNTSQAHTLAAVAEVSLDNEDILTYGSIVKLVDLQSGIKSDLVKIV KIEGGQHRVGEDEGQPISELQRIGFIKHNEDGSDFLDEDGARWYLSAPGARVGGLEQT HLAEEGNNLGRGGRAKPTFASRKRQNNRDIPKGGPSETLDSGGETTAGSIVEPQDDIV GSQAVIPPKKKQKTKRNALAAAVLAEDGDGGAQTVLSWTKADRELQSIPSEQKDAEVR QVIVERVDDWMSWIIGGVACSSQSMIATTQSTAESMMKTIDPIPHILVSPVLDSRLNT LDLVLQQVYFPASDAAQPDEPLEVYLGPIGPLYCRLWRSTAPNNNPTPAVPFHPQAGD TTPSPQNGADGIEEPVISAYPAGKQHVIVQIYMPSAGEILRVIQDILSQVKSSNQASA SQNPDQSEVKDLSSGSPTGAAVSNASHLQVKETSQPQTAEHAWFDGQGDNAAHPNETL RNDELSIAEALGMGIKPDFETLHELGSSSEPVLDDQDTSASAQPQMSETHDQTSIDPL LQNDQQPEFTLQAESHIHDPTPNHTGPSKSTMNVHQNTIRLYKTEKTNGSKDTDRLPA LPFILVRQSDRMGFGIGRSVIAQRLSSNGIQTRLAQGENVYNGEGSWGLRVIDT
I206_04161	MFSNVYTTGVSPTTVGPTDTRTSVFQEDPSKSTSKFSSLPTSVQ YGHSRPSSKQNTSCENGINPDPDAIDLHKNLAKKYGESNIDFNESSSSENSYLTNVFW INDFGKKENRYEYKFLKSEDGTWHIGAKYYKDKENKFKSIFGNASIESLEKGNSRLEK LLARKIKEYSSKCESKDGIDLRFEDRIKRKEQQRRHFHNWRNDWMSDKSDQSTGGLMT ENSMMGNSIISVGA
I206_04162	MTSTNSHTVTPMSDKSTSVGSYVPRKGAASFKDTDGTVRSVSFF RDTRGQNGTFGTYSVSVDGDRHITGLELGTMENHADPAVKKAFEEISKAILYHDALRG TFTYNHKMEDGTEKQYSVKASTRKNGDKSAVWDFVVSDPNTSTEINKFEGVTADAVLL ADGKGKYSKAFVSAFERYLDEMSGLETEKISTDFNLSVVNSSTNISV
I206_04163	MPSTPSEGSTSSSIPTDTSNLAGPSRPIHSFFGGSSSSSNNPGI GPNPISPNGNGTARKRRKKDENQAKLSVVGNGSENVNWVMGKPEPELIRRSDGLGMTR TRGEEDEIADMVNGQNHKGKGKGKRKSDIHNLAPLNPENSIDSAIQLPTSQASSSSSP AKKKGRTRKTHIANSSSIDYPSAPGLTLQPFPNPDTDQDETSISSTHRPSGEFEVLGQ TITYLPTNRALSKTESRGTDFSDAIEVDQNSSPDKPILMNLSPMRNAPKKITFEADRK PQHSFFSRLTSNITDEGNRSRASSVASEDTIRTAPVVVRLEPKFKSKGKEKEKEKDKK KVHNIFKSGSNASEGVLRNGWGNAIKEGEELITPLPSGDWPTHSLDSQFTFFPTSTAG PSKRKKPHPEEPLDDGFWYSVLANADSIYSPATSSELSPFSLPSYITQHPAFASIPHK CRKSSRSNRDSWTDRYRPLKATEVLSNEIEAIYLKDWLSALSVGHQEGDRKVVRKVKR GGTRSTLLDGWIVDDLGIIGDGPMKDDEGQEEEEEELEELDDPLLLPDTEARPTSYPS LSGRLTNTILLTGPHGSGKSATIYAAAHELGWDIFEVFPGMGKRTSANLISWVGDVGR NHMVAQEKKSTPIKENKKGGLKSFFDKTKANTEKDISLELGSQGSVHEPIDIDMTDDN SSEDDIQILGGENGIEKDGKFRQSLILIDEADILFDEESTFWPAVITLIAESRRPVIL TCNDHNLIPKSQLPLQAILQFRPPPSHIALPYLQAIAAQECKSSKQPLLDVQSVYAQA LHQRDDLLDHPLPPNGHESIPYFDLRKAINQLQLDRNGVATDEMAPFDDTDEDEIQLE DLVRRLEVASFVDAWISPRGWMRLEMTELDRHYPTTDDQLGIHALIKPEIRESYPHLA GYDYSLTISDTLLSRCGGKMEQIGNLGLSTMKYIRSTLPILDPLIPLSSPLLPRPSLF LHTLPTILSIIETDDILQFAEEEAVKRGEERLNRKTGRPVRGGQGYTRWFSDLDEEAE NSGRSLMDIFN
I206_04164	MAKRGADNQKTREGGDSDEEQIEDPGSRGVPLAPVEGRVIRGMP KRKGFGGGVPAPTPAAAPAATSSPFSGFSFGQTAAPPASSSSPFTFGGSSAPAATPAA APGPTAALASSNPFSGFSFSKPADPPAAPASTPLAETPKPSTPAFSFGAKPTASTPAI STPSTVPVAAPPKPFANFSFGKPAASAPVPVAGTFPPATSSAPLPASNPFAFGSSSTP STSASEAVASDPPAPVSTETSGKNDVELPKESSASINGPTASQSTPPPEGEVSYYTSL RGLNNSILSFLTSTVKDDSFIDLSVVLPALTKQYDEHLARAAKNAGWQPEGKKAPAVN GDSSKVANGFSAPAAAAPTFKMPAAPTSGGFSLPKAPTPSTSTSTPSFEGFTPSATPG PPTTGFAFGGAKPAALAPTPDKPETPKKPSAEVTKLVEDVISGRPDEKKEAPKPFTFG AASTSTSTPESSKKAPSSLFSFAPSGPLHPSTPESKSFSPSNNLENATPAKLGKFGPG GSQPQLAFGGAKSSPGQATPGTSASTANKPSSFGFGFGAGATSNANPSPAPKPAAAPS FSFGSSTNPSASASSSTTPSFSFGSTSSTAPKPSSTPAFGFGGSTSGSTPSFSFGSKP ASTSAAPAASGGFSFTPYNPNPSVVADNNPADNATSGDLPPENSEPSKNLAETTGAGE ENEDTLVEQRGKLNRLENGEFKLEGLGQFKLKRSKEVVDGKKKRRLLMRTDGSGHVIL NMSVSSSFNPTVEGPHVRFLGFDNDGKPVPYALRVKNAEVAKKIADELQKEVDVIKGE 
I206_04165	MTTDNPLHASASSGSSSPKSPRSQIRLHTPDQQPERPYKSHTLP NPLSSPSSIHKPHSKPAGPRSPEYLKSPRAERQDQPGTSRTRYYTVPLPVDSVSGSTP AQDAIQSQLQPLSTSPSLIDAQELSHAPYRPPEAVIEPPEEHPPGYTPGIIAEHIGSD DDMGPIPDSPSVLLQGSDMTLGDQKRSTDINDWKADIKPYDVESDSPDASELARPQCG PGILPRRLLMLLHEHELVQPHITELPQPSKKSQPAPASQTAWNGTNRTLSATSSRPTS VASTSGPPAPPSPSPSTASIPSIADSERISTLDNVWEALPGGKTNRQEWYFCTACWGW LRITSGTCADLEMKNMDGWQSVAGIEKDGRHQTYDEFSADWQRYNEATKYSDMSDINQ YHHFHEFTWSKTDTLFETDQLSEELEENRIERVEVEDKMNVFPHITFGIEDEDPNLLS FNKTITAARLFISPPSDLWVKVDKGPIPGQIPVGLVHKFTSEKMSNPTMGLTGTQGVS EAWTLIATLLANPLFKGQRGWVKLDNPKFQKQIGASMTSSHLLYHIGFACQQEEDGFL RVGPFKVADELSEEEKRKRKQMDQYMIRTWVEISLYLAAYQARNEIPTPNNIPVFVHS IPLEKRIGEVIDLDKYPKGVSPYGDLVRNSLYTLGVTKVDKAETVEIAYGLQLSSDKK NSPLYLSALEKIAEAPVYGRELLQMRVATERSLDKYSSGKSYDIEKAYSLIGYTPEYT ETLCVLPSEAPDDHILDLHKKAVQSTSTSQEKQDLSKALVIIGRERDSDLMKTLGENG QTLMSVQEAYSALSCPEDAVDDGLLMQYEMAVNEFPGKAEHYRNCLSIIAEAPGRERP GIRTFLQTGSREPEGPPRKDIPVGLHNIGYLNSILQYLYSIKPLREAVISFEQNHSQP LVAAKPDVERSKRFVRQLRLLFLQLYKSETSSVRPDEELAYLAITRPEVDAIVEPHVE NPPQPSTSKLTLDDIPDIPDVPSPSSTQVASPLSSPELRPLELSTQHESSMSTLRGDR ESSILGKRASEDRDDSSSRSSQEVVRQINKVTSPSEMEVDDFELIPRPEGDAQPIQAD SPSATMDLGHLDIQSPIPESKDEFVSSNDLQLFSVNEDNGPEEQVKYDPPSVPPPLPP RPQLAKKDTLSSGLRFGLQQDSAEVLINVLSQLELALDQPSEKEGEKGSNLIQSLFSC KYKQQIIYETFAPSKTPNSGRRESITREFQTPVESVFVHPIIGVEEENKDLYDCLAEL YLKGSDIEYEGKKGFMMDLMDSFPDLLYIQMRRSQYDPATGRERKTNTHIPFSQSLSM GRFLANADPQKRDRAIDLTREITGIRSRLHQLRNHKPLSIPDTFKHVSSSLKQLSQSD IDIPELQHNISLDFLNALEAEATEVEKEIVNLQARLPELKKQMDEIWYIPSGEDEWEY ELVSVFMHRGKNSGSGHYWTYQSWLPDHGDTFFKYNDETVTQVPSLEVLQDRTGDDAN PALLCYVRKGKNLIDTLHREILELESSQVNQVEGQFVDLKDENTETGNLIDL
I206_04166	MGEPKPLTAYQQTYHWRNKNCAPFAHDWVKKSLPGLKVEDGESY AEIIEVTNVSGDCDLGQRKGKLLTIYDLEVEAKWSGKAKDGSEVNGTLKVPEVSHEAI DGLSDYVYEWRVTSDSSASSSELLSIIKSKFPSILSAKFNTFRAELLAAYGNPSADDS LPTSGTSTPQPGVSSSSSYSPAPPAKPVESEKKAEETKKDVGKTVTVEQKADLQASAE DLWGLLTDENKVPMWSRSAAKINLTPDFPYELFGGNVRGKIISVEAPKKLVQTWQVRS PSWPSDHYGTMTLSLDQGSSSTSATFTLDGVPAGNEAEVEKALDAFYIRGLKQMGLVL SSSSRSYSTPTSRPPTRTTQKPKKLRKKTSSSSPSKWSSSTLIGSGVVLGLSAVLVGI VYTSFPPSSSRA
I206_04167	MLNFGGNGGGVQMEMANLKAAPMLNPNYGMAIKYLDCLNRLADF LCGRGPNGLAPWLMEVQWFTTSLQKRTYNRIPLTPVERQSIISFASYWRRRTEPPYLM GRPEAQLVLIALTEFAMH
I206_04168	MSNVQSEKYVFKDLSAPTGTMCSTQYNKCSMKLEGRCSLTEDDA GIEGFIISKINLLDPSTEPSAAELQDRKRFAQWAKKQENVKQMAWLGLYGKTIDDDPS ANKYPVSIFMPNESTVCQVIATLGKDKETAEYELPDSQYAQCVVGYFDLDQVKRKALG SKGLYVAKFQATVDLTGTDFGSLINNNPDYSSIFNSERAFDLTADRQRVLPTDNSSHE FTPEPFYPYCMSFKGLPEDCSSVVIETLHPLQSTEKKN
I206_04169	MFVQGEFTTLDEGATERTTKYYVPLDNSKSTIESIKGDRRKLLI ATTAGTVFKDVYDHVYGRLPPGLIRANTNENYIPKPLGTNDARIKITVVSTENGPNKY IVTNMEQNATLQSAEPLLPDEFLYENSEFPSNSATAFKAWWDRYGKATHILSPTGSNA INSDCMTGVTKSSNGTQGSVPKPVFQDPSVKLAEKDEDTESVVTTDAASKPAATQVTD WDTDSDTDMFEPGSSTPPDDDGFEVL
I206_04170	MSGIPPGPPPGPPPINNVVNRPPGPPPGGPPAQSFRPPPFGFPS GPSMGIPPMMPNFPPPLPAGWSEHRAPDGVTPYFYNSQTRESTYIRPTLFPPFPPQAI TPNNGSASPVPSAEKEKKKKKEKPKEKVPIPGTTWTRVTTTEGNVFYFEKESKKSEWS IPEEIKEAVEALEAEEKAIKEGKEREEKQKAEEERLEQLREIERIRLEMQEEKKKKQV ELERKRKEREDQVEEREAKVSKTEVEDTDGRGQADENGDDDDEENGAMGPQDEEDEAE WMRAVAAEFAEADQQLEAEKQKTAEEIKREEEEAAKKVFAVPEKVQVSPEEGRALFKA LLIEKDVNPFSTWEAALPTFINDPRYVLLSSQKERQEVYDEYCRDVGRARRLAKSSTA SGSGSADRKKSDPEREYKALLREEVTSTRTRWDDFRKKWKKERKFYAYGRDDRDREKM FKTHLRELGERKRADAQRAEQDFYELLKESDAITSSSIWSDVKKTIYKDSRYEAVGSS SLREELFNNHIKNLASSSTSNGDKEVLSKEEEAARKLAERKAKAEASLKEREAKIRQE KSKIEFENNKSRIGAGKEEAERLFGSLLVDQVRDHNITWSDASQFLSSDSRFNNPSLH PRDKQRIFSQHIERISSKRSNELHQLFESNTPSLDTKYDDVYPKIVEDPIIKRLGLQG DNLENQWKIWLRNKESIARREFDEMLGENSFIEFWGKMRKKNLDENALNVKIDDQFAE AEGLRDIVGEDDDNEKKNINDMAKQINLSEIKSVLRRDKRYRQFDHIPEKRESWLRDY LENLEAASGSKTIHNIGYGQ
I206_04171	MDDKGKGKAVDPISVESDSDDDFFVSKRRPIVRQATPPPKSPSP PIRAHSDEETSSPDSQFKKRRKPQSKKHGLELPGWTRINSNEHKKNGASGRSRKGSSQ IRGSTEERGETIVIDDSDDEAGPSTSKGKGTRRRVQLTPPPEMSDAKKAEIEQLVKAH LGSKFQDRPVEEVNDSFSSPEKENEQEIEKVYITIRMVAPPERKLSAAPAALKEYQKA RTLILARTGPMSRGINTLSDRLQKRSEDIVFVYNDSKVYPRSTPEQLGIVDKAEMSGY EKEYWDRLQAERRKALEGSSDNEEPDPLNGNEDNYIENLNSPTTKTNGELDKINSLNT TLNNDQIPTETQEEDEGGEGGGSQDYIRFIIKSESGELRMKGQKTLKINTILKYYCKA NNKPIEESERMYISFDGDKLDKENCIGDTEIEDGDMLEVGYIQIMKSRRR
I206_04172	MADEPGKWGGGAADKSTVVENDKHLAEEEESDLIDAGKSNGGFK DYQSVSRFFSTLTSNENTAVLNDLIGENGVESKEEENWKRKRKLSSTLPPWRKYIGKT FLELESPIAEYTPETEWDIYMEILESTFSGFSHFPIGSDIEIIDFTKPIEIQGDLTFE IDVRPDLVGLEDSGGDVLYDEPFRGLDEITSKGHNNATCWNCLSQGHAYTSCPEPKNH MMIRHSREAHLFHRDFVMPEYVQPALDMYLTMQVTQEEKNRRLELVDKFQAGSISREL EDAICFIDEDALEGDSEGYLVKEQVDIKRRRKRWDWYEKIMRWGYPPGWITTKDPMQE VRRRIEGLEFHEKAFELDDEQDEDQLKIIGGTLGTPTSSIIDGDSSETDSASTASLTS SPEEGQIDENSQNGDQPSSRGNMEDNSSHNNLELSATVPKENHGFLPPSPISRPVIPP KSPDHYDLPPPPDDPSPPPSPLDDVVPPSPPFPPPDDDIPPPPPPADNFPAPPSPVSH SNPFLQRHYELQAHARAEALRSAAPVTPPNAPRAHRGQPPFTPVSSNTPSNFNPTYPL SLHYKPSSSIPSMPNMEPQSMTRNRSNGSTKTGPPSMPKAMTPARRWVKYHTDLFDSE RLVPYWEGKPFPLGHW
I206_04173	MDKLPWRTSTSGSKKGPFTVDSGGGRNSHPQTHQQHNKHVSPRN QRPPERPPSSSSSRHSNPSTPAMSPAVPINRNSGTFPYPSQSYQSFPQMSTSAGHHSR EGSYHQSSLATTPTQLTPLDTVTGMSPSVGTNAFSFGAQSSSLGPSPVGPSSLGPSSY TSHHGRSINKRNNQSHNNVQLPLSTTPLEPPPTSWGNNVNNYGQNQPAFDWSNLPNPS NWLSQDIPQQHTVDENAVDPGIFDTLAELVQQSQDKATNGASFDFSNSYQSQGALSSS IPSMPSTSHNNASLLSRRLQSQQNNLAPNINNNLQTSVPPPSGSFTDSVSSLGGFATP SSYGNLNFQQFSQNQQSPQQQQLPQRKDSQSVSTPWPLPDRVGGYIETPVTTPGGSDF GAYNSPQEAYPGSQGSRYPAVAPRRREAPQPPAPTYPSLPSNPGSVSASQYPSRAGSE APHQEAGMSGASGMTLDNLPPLPAGLSLEHLAQYGSAGLEMAIRIGMGMGMGLGGQQA QQLPQQPEQDVNSITAQGGLWSGITNDGSTPAFFQNVASPEATSSQSHSQKGKNVSIV DDILNDDFLGLRSPTMPLASPPIASFASFPVTRRPSQSDNTSPTAPELTSPEQMAQKD PLATQVWKAYARAREVLPNGQRMENLTWRMMHLTLKKKEAEEAAAREKAEKEEQERLI REYIAEAEESRDAVPPTTTPAENEREGERGRSKGKSRIVGFAGASSNPSPDGMDIDWR AASRSRSRIPMDIDWRGSSRSRSRSALPFRQNLFGEYHAHQLLASGGTPTAEMGLHMP SQNEWLASNRKAPDLPGPRSAGSSLSRSHPSKSSRLADTMPPLHERERSADWQSPPKQ SHPLDALVASAPPDGHMTMDEIQAALNAGLSPFKDKQPNLPGINGPGLYGETEENFHP QYGYLPRRVRKTSFDHTVSAHELAQAELLGLSPTAGLNPRKRQAEASPRDGKTLVLPE GDTGFPSSNFTFSFPQSYENFFDIAAASSNTPAGTTGNDMSGGTLNMPEITAEDIVEW ASSQPATANTSAFGSPSAFGLVDTSIVGNGMNLPNMSSHTSPNQQTGTGDNPFDFQQL MHLYLNANSAASPFTHINPSQVLGALQNQLNDPSSNAVSPASLNGAPTPGTTNGSGNV IKPLPKSVGGKSVDSKDSKDKSSMPPPPTPSIPVPVRSNSSPNLQTLRISQSGHSKNS SLSGSLGGGKSSSNKSNKSSLKNQNQNQSLEIEDDEDAGGEDDLNNSNDNDGEGEDET GPGSIINTGENPTMCTNCQTTNTPLWRRDPEGQPLCNACGLFYKLHGVVRPLSLKTDV IKKRNRAAPGGKGESASRKNSLSGPASGTTNNNKSGSSGNNNKSSTNGTSGGGGGGGK KARRTSDVSGSTEGVGGGTGGTNSRPGSLPNDPSPLSANNSMTNLLSMSASQQ
I206_04174	MSLNHSYDVNVTPKQEHDGPSVLLAQHEHQMPPNYLEHQPHYSQ QQQMQPPLISPYEMPLGSGSSHNPNMPEYFPPLYTPTIAPLDSGSSQSTGMGDYFPAT TPIPQHPQAVMSNIPLDHQNWQSTVPAPLPNSQMMNSKSNASAGPSTKAEKSGSKTSR QQFTACGACRHRRVKCDLKDKQEAAEKANEEDGIGPSRSKNSGKQKKVSCTNCIERGL NCIDEFAPLKAAKQLRRGKRISEIEMLFGKTAASAAVAHQTGEAFSDTSLSPAKGKDQ LEIMPDLKREFFDSAFFMRFQVQRPLLDPHNFIGRYLGSPHPTAAAMGPEGACLCHVL YAWAVSYGVDEYGQLDVPEGGGPSLQDISLLGPGDLELKRETHRQTRREKMRSAIEVI LREIDEFGIMRKPTWDGVRVLLLVLPLTDGIASPVERLSMYEAAISQVFTLCSFVAMG YDGQPSGTAGINGGSDELDGQDLALVRVRIYWYAFVHEGITTGLKGGRLHLDDEDLET MQDTINHKALVRDSAAFRISSKFATAPINLALACRKINKALTGPSAKRRTAVNGDLVK QAWEALERCWEEFDRLKSEASNTGPSFAQGEEAIRFADGWKIFLFEAQNVIRNNLEDR VNKLSEAQAQITAYITESNPSTPEALHNDLVQLQHLLDIAKSKCEVKTRQIMEIVRTH VGTRFFEWDASLVRDGTFYTAMLLARSGGSEEDIQLCIRALNELRWAHAKAWERSIDL RKEWQERAPTLSDQSQTDGTWETVLSDLAKLSSENQNSKSNLPSDAQSRSTNSSHSNH QRQSSLHQQPQQQGSIHHNSVHHHHHQISSQQHIQLHESDQHIRISTHHSEPTHSTSP KFHSPTIISPTFETAQNLHMSSVRGYVSQHEIQSTQSASVILETYNESERIILDNESN YENWLNNQNDNNPSIYNQQQNQDYQLYTTTNNNNSIPNHLGPPPLNSGTITNQMGYDG IYQNQNQNQNHIPNGTDSSNGQYVLQEDGTQIYVPYRFM
I206_04175	MDERLSFLRAEKPSSLPIPCSFVHQVPNACGEVELNYWPAKRVS SKAPDHLILFILGNPGLLGYYPPFLNHLYSLLPSSYAILATSHIGHSITIPSPKDPLD LPQQLESKIELVQTLKHYLDEWSKENGDSQPKISLMGHSVGAWLSCEIMKSLNTDSNS KNWPIQRGFLLFPTLGWISNTWNGWTLWPIFHRPVKPLLPLLSPLLRPLLPYIPLPPT SISLVNSPEVIKHVLHLSKSEMQLIRDPNINWFESQAIEDRQKGLFGIWAGGNLDGWV GKDGPLVQEALGGEEGGRVRKLKGIPHAFCLTQENSEIVAEMVAKWIDPSSHAKSNGI AHHHEDGTMGEGVMPM
I206_04176	MDIEDDSPPINSKRSSPDLFFPVSDSEEEDVITASGALAGPSSS SVVAPPSTSTSSRAHGNAVQSQSQRTNGGTGEIEFFGSQNSDDIIPLDTMSNALAGPS KVKRPLSQSPPAVIPLEFEQGYLGEFVCEGWSLSKGKGYCSPGSKVIFERPKIKVDEP FPKGKEKLGGPARLVNGRVVGTQKKPIGGKQVTLGSMMSKKAPPPPKKTVGKPVIDSI IRFRNDRGFEVGRLSVQEAGFLTHLLDTGVIALSGHVIDCPQSLSTGSTILLNVRVYL ARKAFEKVEKDGRREEGSFWQEQKETTEEEAMRKRKDALGALFSRIGVKPIHSNALLL AQKKNGAAEINETSLKHFTDSPKPKRSPSPSKGSNGSGVEKGKGKTSATNSDNEDEDE DSGDEAEKLDDQQMNEIDTIYRKAQQGDNRLEETNPPDTFLYTLRPYQKQALTWMSAR EKGDESIRDNNNSLHPLWEEYAFRKEQTAGQPIEIEDDDDFVDPSRKFYWNPYSGELS LTFPTSNTRAKGGILADAMGMGKTCMMASLIHANMDSDISSTPPPTAEAEDELASKRP KFKQVTLSNQWRAIPTIPKAVNLPRGTLVVCPVSLASQWHDELGKMSEKGTITSFMWY GNDRADIERLLAQEGKKKVDVIITSYGTLASEYQKWNKNKDKPTYEGGSLYDHDFLRI VLDEAHNIKNRTALVSKACYELKGQRRWALTGTPIVNRLDDLYSLLHFLRLEPWGHYS FFRSFVTIPFLNQDPKALNVVQYILESCLLRREKTMRDKDGKLIVDLPPKHVEIQVLD FSRPERQIYKHLEDRAKRRFIQLDAEGRAMSNYTSILAMLMKLRQCVDHPLLVLGKLN EDDEIAEKLLDAETGDEKNNLKDLIALYAGGAKSTENEKEEVDHAFAAKVLKELGEQE STPICDICSNEMFDEVLLPCYHRCCQDCIVDWIGICEDQNKPALCPSCSKGPIKLSDL RSIQRKKKRVNPLNGLSLTENDQDKKDVVIGKVDLVQSTKLRALVRKLEQMRMEDPTV KTLVFSQFTSFLDLIETTLTREGVKWLRFDGSMSQAQRATTIEEFGKKIKEPLVLLIS LKAGGVGLNLTMANHVFMMDTWWNEAIEQQAIDRVHRLGQNKPVYVTRYIIKGTVEKR IMKIQRSKTALVNASLSGGGSKDKGASLADIKKIFGLDEDDSEDEVY
I206_04177	MRIPIALAAQLQSSLSSSSSSSSSSSTGRIEENLSISGPSILRS CIPSTFEWTSTYSPYTLSLKQHHQIKIQNEESIIEEEEEEEMILITDTKVTWITDIKP GSNITLIIKDFKGNSAESLNWIIEQGTTGCLDDLN
I206_04178	MTSRIPSGSTVPFTPKPRKRTSSSRLSLNDREYNQFVGTLASVR RVKPNAILEELEQSGEGNALLARPDGPSRERGPSQPRPSSSRADRIPSTSSQPPRPSS SSSRHQERDSGRPSSSRSTPVAILPRPDIESSKRNGKLPERVAQLSLADGIDENDGSE ERRNLDGVPLEIQEAWICEDLLFVLQGVEGSLIRYHEDYDPLDAEQASKGVKWRVDPS LDTSLLSLVERLLPLATCFTAVEASMESRNSPEYGMVSHALSSGIRAMLKEYRVLTAQ LESLFLSSPTFTLQTLYFHLHPTLHTMSLLAGLCLALETDEVGQDNSDVSDDDDGLGG MAEELGLGGAGLKGLMKNLNAQDGLIGGGGPVLGGEVLGIICEREATMSGDPTASTLH STLLLHASQPYFKMLVRWISTGYLLDPFDEFMVKESGHITKGVLESDYTDEYWERRYT LRDGSSLTSTKVGTGKSTSTIGAGVTVPRAGTNRLPGGACIPGFLQPWKHKILLAGKY LNVIKECGIEVKKPTEVQEEGDGMVAMNEPKFYKRIEDAYIYANKTLLKLMVEEQELI PHLRSMKHFFFLDQSDFLTNFLDLAATELRKPAKAASLVKLQSLLDLTVRNPASSSSN DPYKDDLKVVMQSQKLYDWLLKIVSKTGGLTEDGELDFAMGDGPDHEAQKSDKDKTVY AIDALAFDYSVKFPLSLVISRKTITRYQLIFRFLLHLHHLESALSSMWIEQKTSIWRT NTNDQDMEKWKYRVFSLRSRMLDFVRQVLAFATGEVLEPNWKILESKLHKVQTVDQLL KDHVDFLDTCLKQCMLTTSKLLSIYARLMRTISTFISYQSQLNIVISRFMADPEGESK PKQVAARWSSLQKFEAHFNHNTKLHLDAVTYNAGSENVALLALVTRLHQTTLRI
I206_04179	MYQSNISRIQENQILIELEQLSYEVLLTSKRLLEPIQTIENQSP NSKSSFISCDNRSKFSRLLSLLPKNTLTRRKKYDNIDEKELLITSFRRNSNDSNKTLY IDSEIILKEISPLIRKLQKINSSLYIQRYSTNKEEEIHLFKKICEEIIKLLDSLKFNT IMNRFDNDDDDDEEDDDADEMRNLLISTLKKKIQNEINQLNSIYSLSSFNSFNNQNQN QNPFIIHSTSISNLKSQKNRRESQSIKIHKTPDISSCSFSDNSDQKYLQSSSNWAQNL IKIGSYQASLLFTNSPIIIRKLNHHYENDYQNQQGILKT
I206_04180	MSLYGGIKFASSAPAEEQSNSTNTAGPSTSSISKPPSGSINATQ TTASVSEAKPQQKTGEWSAALKFAPRVNKPKPTTSSRPIGFTTTSTSNSGLGSGSNSP TTVSESKIDIIRSAEPTVILSTTGVGLGTGKIDEDEIQFGLDGLPLAKAPAMTLNSKP PPGVKRGREGEEKKKKKKKKKKNNFGQPYISNFDPDEQYDPNRPNDLGEYQAYRKRLR EEKRLKLIEERKRKAQGLSSDESSYYTDSEDEAPRRDAPKMFAPPKIYTETPSAQPPV QSSNFRPPPPLTSSAPSVSSGDDAYARRAALSQQPPPATGDDAYARRLALSQQVASGD DAYARRIAMSQGNAAPPSFALPSSAPPPTFAPPSDAPVSDIPGFGSQTQASAPSHAPP IASDTANQEKEDFAKMLEDRKKAAEAIAAKFKALAGGTTGPSIPTPVSIITPQASEED SGTGTFAEKMMRKWGHQEGSTLGIRNEGLLHALSAEHIKDEVPNVGSEKLSKRQITKQ KIEKANLKNKKWIQNPNSRGKIINKNEDLKSINEKLKKGEASRIICLIGIIDNVDDID EDLSDEIGEECSKYGIVERVVLHIVEPPPSDPLESLRIFVVFSGMAGAWRATKELDGR FFGGKKIRVTYFDEGRFDRGERDGEILG
I206_04181	MDRAPKPPNRFGPRKSNTSTPVPGEEGIYIPTAADKLSYSEIPK PFKSLNFKSKLPSRTATTSTLIVKKNSKQILNLERERLSGGNGFLSSIHTAAKLRGEI IDITKNKKLTGSSSTSKQRGNISNLLKGRNLKNPNLKYQNQNQEQNLINSNTIEDENL LLNSGSTTPLIDQNEEDIESSSNLNNNENKGEITVNDLIPKKEIITYHTPTAPPSLLP PKKYCDITGLHASYTDPRTKLRYKGLDVWHVVRGLGPGGDQAYLSLRGAQTSLK
I206_04182	MSSSDKKQIKVGILGATGTVGQRFIQILSTHPYFKIHALGASSR SAGQEYGKVTKWKLNTPIPEEIKTKVVQECKPTAEGFAECGVVFSGLDHDVAGDIEEA FRQSNLIIFSNAKNYRRDPLCPLIVPLVNPSHLSIIEHQKKTLGLEKGFIVTNANCST TGLVVPLAALEKSFGQLETVMVTTLQAISGSGYPGVPSLDILDNVVPFISGEEEKIEW ETNKILGGLNEEKTEFDLHLNEKKKLKSINVSATTTRVPVIDGHTASVSVKFRNINNI KKPTIEEIKKSFKEFKCEAQDLNVPSAPKHAIVLHDLPDRPQPRLDRDLHNGACVSVG RVRPCPVFDVKFICLVDNVRLGAATSSIMNAEIAVEKGLIV
I206_04183	MVSAPVYDKSDPKSDKIFPYHLPFTSEWSEYKDPYGQTAMTAAG AGMFVKQPILVWGAFILSVISLVNQQPLRQSKDNTSPLLVLGMAFAGVLANIMPKMML APEAQVGVPTP
I206_04184	MDEIYRAKLSSSPHLHPQVHIGEEAPPGQDHPNHGDTIHIQPHH SGHTGAGDRPIPIQLPNIYVNPYPPRERTPPPQPVPPAPAPAPPPTMPPVTVNVTTLD EISKGRKIGPFRFTKPHPLLWFSLILSVIALVLEVPKGSLPTLTGRHRALRNQERLVS EKLALLTKLSTFLPPPLSSLVAPVDPRNPHTNSLLALNDNTQLGLLRLAPHLRFWNTA IGAPYGVDVGENGKGWWSIEDLGQGASVARSKDGEREKEVWVLKVGEGGEDNTNTLAL SSALTHSLLLRDRLQKEVVTIKATPCPACSPSSGHYHLGTTHGHIHATRDDDDDEAYQ IARKEEWDGLEERKRRDKERHNEVEEREREVARREKWVVEEMRKMSDKIHGQATELTL EDRITERLKSYQRQLSHLKDQNHEEI
I206_04185	MAGFINWAKSPAARQYFFSTHFWGPIANWGLPLAALSDIVNRDE ESISGVMSPTLAAYSMIFMRFAWRVQPRNYLLFACHATNATAQLVQEGRYLNYWHFGG REKQHPIISEIDEIKDKTKEVVEKVKA
I206_04186	MGSKRASAILSPPISPSPTSIPRPSSSMSSVRPRLSSDASTVMG DGPETRNVRVVLRLRPSDPNDPSVPPRFRTVLVHPTSPSEVRLDIDPAALAGQAVGSS HAAGKRHPLFNFDHVLGEQATQTELYDVTTRETVDEFMRGHNITFLAYGQTSSGKSYS MGTTGEEVDYSGTEFTPRTGLIPRTVHTIFEKAEEIRQQSGPGASWECRLSFLELYNE EIIDLLSGSGVAIQIREERDGRIVWAGVKEVKVKSLEEVMQLLQDGSERRKTGETSMN ATSSRSHAIFSLTLVQKKRSGVLNSPTGIPRSETPTRQLRRPSSTIGLPGPRSPTPSS ARGGPPSSFGRATPTGRPMSMQPPPTPNTNEYVITTSKFNMVDLAGSERLKRTAAQGD RMKEGISINSGLLALGNVISTLCDPVKARGHIPYRDSKLTRMLQDSIGGNSLTTMIAC VSPIEANIGETINTIKYASRARNIRNQAKINQVEAGWDDVEHLQSTVTKLRKQLAMLM EDGESPLSNGHSPIRSEDSIRQSEKLIQRLAELQREHTELYDRYLQKCSENMRLSGEL RSRGPIDSDASSKFNEMVEPVILEYEKVVSALNQQLDELRGELSAMSEMQDEQNRQLQ EARVRQTQSELYVSELRSRLAKLTERNTSSEAYIHDLEAKLKLHAEKEDSHSDAIAEL KKDIAKLRENNATSNQHTSQLEARLSKSETTATSLAAQVEKQEKEAERREAAYRDLEE HIALLDTTKDNKLLLEELDQRDKKIAELEKELEEKAASEEQERLQLLDAVHAEKSIQA ELRSRLASMQASSAADSLPTPSSPPRDISAIQDRAIVNSRSSPLTSEQLTPPDNSQES HNADKGEDDDEVQVLKQALRDLSEKFSNAESRVADLTTQLSEARLVNAEIEDTVPPSP SPGTEDEEETLSEAETTLQTPRDSMSATSPSRRRGSMPILSATKTMGQGAGFRGGRGY GDSAQMRPQSLSQELSSAQSLGTLPRASWTPIQSHSRSNSLLLSSPSSTNSIHTLKPS RSNQSLEAELKFVHRVVEERDEELKDREAYIKQLEESLQKKHIIPSLKPSATINTLTE PAAIAIPATPKIPRINMNIPITPPRNIPLPNSPRTPLANLAKLPPAELAQQAGHAQQN GELYVPVQSEMETGGLSPKSAKRFSQLKDTLNLLENGGRENSEAQDKIDDLMKEMFDK EESQKKIIEQQFIQIADLQKTNNKLKEELNEREISPPPQSHTAELEELKKERNQLIAE RAESFPFVPSTPADDVVIMDRMKAEHAEDLRSLTQGHKDTITVLQAGHVQALDKLKSM IEHNEQSHRVEIEELQSRHDAILTDLQSRHAERLDAIQEESNMIAEEMEKSLSQSEEE RRQLKMRADQALFELSRIRDEHALQRNNDAKSINELTKVRSHLENVRVNLENTILDLT TQNENLNQKITELGDELKESRKSVNIPPPQGPPPTTPLPPLPKKRSMGNMKLDLSSPT SANGFKGDNGPSSADYFGRNVESNSNHSHTTSEAKEQINKLISEKDLLCGEKEELLGE KEDMKKEMKIVKRKVQDVENKLMEEKVKVTNLTFDLRESQKQNTKLRSHLEESKREVK QLTDTCNLHITELNARRQEANRFSEEGHKNRDSLQAAQAQVETLKRQLDKAVEKKVNK KLLGCF
I206_04187	MATTPSPLPANQQDQSDNDTPRRRDRHNGNSTSTAGFNNSPSTA YLDQVYVQTTPNTPHGNDIPYDIPIANVSVSHQQLHHHHHQPPPHSIPQLDDPYAYTY ADYSTSNDNDEFLPIQEQVTPPAPSREEEDRTPVVTDIQSQPINLPCPPNLSSGDQTI RAASHRKPPLMSPFKTFNDQQQIPPQARQAVPQPQSPVYPLPIEHQQYLDNTAMYYSQ SQQPGYIAYPQQISSSTTMSFAQFPPQFAGVQPQVNGIFPTEMYQPQPQYAFAGPPRS RNGSPTSSIASSAVSLARTASTSSDFRTTRPKVKLTYEDKRNIVNLHRQNSSLRQEDI ARMYGVDRSTISKIILSSHRWTQPQEPQAPPAPKIPKSVGGRFPAVEAKLDEWMDAQI AAGQDVRDNIAREKAKTFAREIGFPMDRFKASAKWLDKFKDRRKAAGKAVVSPTQPEY GYYTYPANPYPVMVSPMEGGVNLSRSQSTVTLSSSGSSGQEQYQAPVYLNTDGGHSRM GSTRSESDLLNTLDSTPNSRSRSQSSPQVLIEPGMQSPSSGKVMKQRPTPLALQRQNS FQGSATSPSPRRPGTLSRTNSTQGHSRRQGRPLSLAASAFGFTNVDPTAQSPVHSPVI GGHSRQRSDISVSNGFSGMTLSPNVSENGAVGLSGQIGIPMSSTIPPLTPLTPATQGS SGSIANNGVFPNTEYGDLIPNDIGSIPIVPMAHAQGHGQQLHYATMPNKHYISHHQHQ AVHHQGHPQPIYTQHGLVYAPPDFGPPPPQGYIIPQQQVQQWQ
I206_04188	MLHSNSQFNTLLHQTLLANLFFSLVNTDQLNGFQFENDSIGIEG FFTKTENLENHLTIIQKTIYDATVSAGLEDYSNGATSLESLQTYRYGIPPKGESEGEG EEKDLSLSKKAICQPCRECLRDLNKHLEKKASRCGQFCSRGANCITPGCTACYYTGGA CAWQKSCQWK
I206_04189	MSLSNKVIPSEKDLAMKIENYQKLHSSNTYQFKARTIKNQIIIQ IRHLNDNDQNDLEKEDEIGIQGYFTETKELIEEIENLQIQIYNQTLKNGFQNFPGVKS LEEMKSFRFDENNFPKKTLPPWGNKPPWEIEQDEEVKEDKEKKEEIEKDDKSKDDDKV A
I206_04190	MIATPLISLFLLLPTLALGGHNDRMDVRSHARQRNHVRAAALQE SESHLLRREFNEAVEHKMARKLAKKGMAFAKRKADGSQCRPRNATIPTTLSRSVTSTA TSTVISSTAPSSSVVTSTTDAATTTSSTEQQQITASSTEQQASSTSTADNSGATGQAL VSNPTSYSGHTPNGNKAGVSAGDALSWLSGKLGWWYDWSATPSGSCGNAVAVPMIWGG GTADSDDASRLTAFKDLSYVPQYIIGFEEPDCPAGSGSAGLDVETAISIWNQYVVPKG EAGSILVGPSMCKQAAESGWLGPFMAGVTRKPDIMNIHVNKNSAAGINADIDHYYNTY GLPIWVTEFACVDDSTGFIPCTDQSEIDSFIHTAVDIFESDSRIAGYAYSNGYGLGDV WPMVSNGQLTASGQTYLSALSKYH
I206_04191	MSYESPVPTPSPHRTTFDTRLAYIQSQNSIPSGSRSSSYRDEPN PEHQNNLSSSSATQLRIPTRPPNIISHPGCVLCSLVSSTFDQLSSSSPLQSPNARSSL LPPSGGDSTSSYHHPFERSSSPSPIPRRLNTGGKDVVHQDSYITVYKAEGKEKLCSDG RHLIVVVNKHLESVYDFGPSDVPLLSHILEVTHRLLSRTPGQSNTSASEAERGKGKEK ENDVRVGFVGSVKKDPQSPHPHLHAHAMVGPIDTSLPGATFWRRNVIFGSMNWWGIED LRAEIREESSNNRVKSGYQHRDKAPIDRVPDAGSIAGLPNALDPSDYTDQSPPTSASK SDHASRTHSYSDRPNSALRNSTSPVETLGPRGKGKQVDRSGSGDADTFRGSEESEEDY VAVDLEDLPFGDKKANAPASRVERGGRI
I206_04192	MEFSNSTAPVSRETWAPRSPSPPPRSGGDYSERAPPSGPRGGDD APRAPSNGGDYERDRDGRDSRRDNGYVLFDLSSLFLLLPSRQYAKKESLGIQRKEWIE RDVRTAKSWSKQSFVRLPKWRRIRIF
I206_04193	MSYLYERRSRSPPPYIDPYPPSLDPRYPYRDRREGGYPSRKPVH QLQEADPNNVLGVFGLSIRTRERDLEDEFTRYGDVEKVVIVYDQRTDRSRGFGFVTMR TIEDAQRAIEKLNGLNLHGRNIRVDFSATQKPHAPTPGQYMGVKRPTFKPVKRWNAYQ NLNAIFTDEDNRTNDRRGGPDRGGRGYDDRYARGGGGYDNRSGGYDNRGGRGYDNRSG GYDNRAGGGGYDNRSRGYDRPPRDDRDKYAERDDRRDYDERPKRDDYDSRRRSPSPRR RYSASPDRGSRSARDYDAAPGAVAGGAPESSRY
I206_04194	MSRPEEISPPEIFYGDVEATKYTANTRVKSIQAQMTRRALELLA LPEDETAFVLDIGCGSGLSGELLDEEGHVWVGVDIAPSMLEVALEREVEGDLFLHDIG QGFGFRPGTFDGAISISVIQWLLNADSSSHSPPQRLNRFFTTLHSSLKNPSRAIFQFY PSSDDQVQMIVNSAQRAGFGGGLVIDYPNSKKARKMYLCLMVGQQEIPKGLDNNGIEI DNENKLGLEKRREEIRNERKRRKDTSSNNKKGKRNNKNVEDVKEWVMKKKELYRTRGK EGVPRDSKYTARKRKTYF
I206_04195	MTELFQLTSLSLENDGSPFASSSKLPNIHPTFVLPPLDDHISGT ERLLGSLRGTSRHELQESKISYTSSKPNETLEDSEAADNNVAEVVEDEDIWRRAVEWP EAGLSRPRVFERLRTWDDIRSSELELKLQTPFLSEKTIFTFDSLITSLEPLISLPKLN KSAGPTPVVDSVTLLRLMMRSTLGTTTTEHLRWNKKKAEFVWADEGGRPFGTDKVMTK SVIDRFLSIGTSIRRLEIIINSQSTLPLTPTHHALLNALSTYLTFIKQRLTSAVEENQ TEPQAGWNRWMGPTKDVGELGELLCELMCWPLSSSEAIAVPSRSSALLSHLYNHLLAS MSTCASLSPQSPATLALAFLFSESSGPFLKLLKAWVGLSDSSSQDEDTDPNSQPWSDL GIVRTTYRGQWEYTFSSRRMPSFIPKDDRRTVFEAGKNLRLLKEATSGTHPLCSLQWD LDIGLIWGDDSTGIVNATNHHARTVRKEVDLWQNGTKNRKATLSKSASTKVSSLSSSK VQRTQPQNEQNCFAQTERDIHTGVAELDDLWALFTQSPGSHLTCENSHQESKQLWTPM SSENLNLFLSRHSTEPLLHDSPTLPIYIIIRLLSPLLIHSSLISKSLVSLYLDELNFL DHLDILQSYWLGGDMNFVHRVSGALFGKDNAGAGEGIGMGKRARTRMRLGLDMPSTAR TNELNPVDNEGEWGIGLGIGLSERSKWPPGGSELAYALRTTLIDQHNSQNDEGPRGEV QDRVSFAVRQLDEEDKGRRAKWMNPQGESLDFLYLSYSPPLPITPLLPPTLLAKYQSI HNLLLKISRCQIVIRTMYFSILHQSESQDEPFKTGVDSGLNRPSSKVNNTLRRSRNRQ TNTLFPAKSDIEKKVQILRFRMAHLVDAFGDYVDVSIRRKWERMRRRLVKLGKNDIDH EDSRPISPTSTNGEYMNHEEYTDEEDEKDPLSDTHIHELQSPHSILVYHQITLNRILK SCLLDERSQGQQVTMKLLMTLFGLVLDFGKILVEIERGLKGWMEGQEIIEVIEREWNG KERIFLHALERLSLRTNKETREEEKENSQDEGEEFEDDLQILLSSHGEGRRDKASEDD LKELLLRLRLGVSDMKREGRW
I206_04196	MSSSFHLPHDIKEQLEELSTCEVSDALIKLGISTGGFLPHLNIY SPKDHENVKLVGRVFTVRLVEQEKPDSSQLNGPKAEQHFVDVAPEGSVILISPDFVSG AACWGGLMSTAAKNKKIKGVVILGGCRDLIEHRKLNFPIFAQFHSTLGIKSFLRQSEY NISLSIPIRSTVNKHEQNIINQDGEEKKTLIDSGDILIGDIDGVVIIPFSKINQVIKI AKECKDIDENIRKDLELGKGVKETMKKWRGT
I206_04197	MSQEEDYVLLESSDGYTFVVSRKIAEASGTLKSMLDEEANFEEA KNKTCKIQQRGVILLKVIEYLAYKVQYAEFNAEDIKEDFSDRIDPYIALELLTAADFL EA
I206_04198	MIRKQRPTFTSSELEMQLQQINLDPTSSTTENLEALAPLIKSIQ DSDSEQLYLRSLDKFVEEKEREIEKICQENYEDFVSSVSTLLTIRQGSGHLRRRIGEL DGQMGDVGRALGEKKRALLEQKKVARNMDDAIETLQTCLRLLDLVHRVGEMIREGKYW GALRSIEDLLHLTPPSISQTPFYAHILSSLPSLRLSIKDAVTASTKSWLFDVRESGSK VGKLALEQMSQRIKKWRTKREKEGGVRLARVGGAMELVHNERVEFDALDNDEIKVDFK PLYQCIHIYEALESKPELQRNYQVDRKTQATLILTSRLSTTPETLLSTLPLLMQELVG FFIIESHLLRTMPDFRTQRDVDELWDEMCKRIVEVVGTGLKGCSEPEVFLESKTNVLL FVQTLEGYGYNITELNGLLITLFERYSELLLRKFSTDFDHIVSEDDNQPMMVNDQEEF DQVAGVCWLAPGEMESLAMQGFPQAMPFSQTYPMCCINIRNFVDQFYQFTDGVAQQHL DIDEVLRKSLDGLLSDHVSKQIAKRLQNMSNLSQIAQVVINLEHFSTACDELEGVLMN LRASQRGGPVHLSSTQSFVQTLETAQNRIDSVINSKLESFFELAEYNWLPARPQSTAS EPSTYVFEMITFLTAYVDSVLIGLNEGVKNRAYVHALGRINKWLMDTLCGREVVRFNE MALASVLADVTFIETEIKRLDKAGLDRVFEEVKHTINIVLTESVSAYMEPSIRSMSYP SVKPIRLAVILAKLGKAHALGGVQSSMVKAERRRREADEVAKLAGR
I206_04199	MFRPYRDHQFIHHDEANSFTPFENVGTASFSSGHELDDHSTIES DDMMGSHEEEMIWEPENSTSNSIHYLAIDTNIFISQLNLIRVVHDLLLTLRPSPIILL IPSIVIHELDSLKNSKTLPEPNSPITLGRLVQSSNSWLLEIHRNRRKTGKGALRCQSL KEKWDLHIREHGQNDDQILDCCLYFKYHEAKVTLWTDDKNLSVKAESNDIPTIGGKVF TLTSFLNSLEEQFPDSLWDQVRHLENYKMPVGLTEIGKESGDGEENLILELDHNMELD QDLPQHINSSVLQDTSTNVISILDRQEEKRYPYLLPTTNPQLASQPTSACISNSITTP PTNSHSTISPSSILMVHDNSSTSNRSTSTPTTMTYPTSPLMSNNATKNGIPQESSTSN SISTYNSTSNSPTISRRSSITASQPITSTFNEPSKILLTSIEISLRPLLISLLENSPK QIQLKNQQINSNNDTLNKLLNTLLELDEELKIKEKEKEKGEGEGKLNYSNIRIIIIQN ISYIKIIKEYIKYHLNSNLNLNWKKTNIIKDTYNNNGNRKLRSGELFQSLMKLKDNFK KMGLNFDDDDLNIVINEVKNLN
I206_04200	MLTRQSRFLRTLPTTAPSASRLSVATPAVSQRRSKSTSSQNKIH TSSTQNAPASVEKAPRDRGISLEAKDRVRAHIRSLNSSAITGAAPAVRPAPAQHFQPA TPAQTIPKNTPTYQSETGTQIKNGLDYSFVGLSGGQIFHEMMLRHDVKQVFGYPGGAI LPVFDAIYNSPHFDFVLPRHEQGAGHMAEGYARVSGKPGVVLVTSGPGATNVITPMQD ALSDGVPMVVFCGQVATNLIGSDAFQEADMVGISRSCTKWNVMVNDIAELPRRINEAF KIATTGRPGPVLVDLPKDVTAAILRTPIPAKSAQPGSSPYLPSNPLNPANNSAPIPGE PSLIAAAAKMINKAKRPIIFAGNGILASEEGPKLLKQLSDHGRIPVTTTLQGLGAFDE RDEKSLHMIGMHGAAYANFAIQEADVVIALGIRFDDRVTGKVDTFAPAAQAAALEGTG GIIHFEIQPKNINKIIEAHIPVLGDVNASLAKILPQIEAVDRSAWIARCKANKERYPF AFTPSTEGQKLKPQEVVQELNNQAEIIGKEKVIVTTGVGQHQMWACQHYRWTEPRSWV SSGGLGTMGFGLPSAIGAKVAAPEKIVVDIDGDASFSMTAMELQTASQYNIGVKVLLF NNEFQGMVEQWQDLFYENRYSHTRMTNPNFVKLSESMGAKALRVTNLKELPAKMKEFL EYDGTRPIVMECIVSSEHVYPMVPAGKALHEQILHPILRTKSN
I206_04201	MSAQAVLPKGSPHPAEFKPKDNPAFILHDKLKTGYEEQPIPEVG PDEVLIEIKKTGICGSDVHFYNTGAMGLVKLQEPMCLGHESSGIIVQLVGKGTIQLGD KVALEPGVTCRMCTDCRGGCYQICEHMVFAAYPPSRGGTLQRYYKLPADLVYKLPESV GLEYGAMMEPLSVAVHAIANKGQLRTGYNVIIFGAGPVGLLAMGVAKGLGANRIVAVD INKERLQFAKRYAATDIYIPIKQNEGESRPQYSLRAAQDLLLTLGIPTRGPGSIDLVM DATGAEVCIQMGLNAVRPGGTYVQTGFGPPDIQIPLFRVTTEEITIKGGWRYGNGDYP LAIDLVNRGLVNLEPLLTHTFKFKDALEAFEITKAGKDKNGKFVIKCVIDGPE
I206_04202	MISRRLASTITVPPSDKSTRKIVLVGAGFLGSYIAKALIADPRN KVLLVSRNPQKLYSQLSHLGSQILSPKSVDITLSSSNDFNNLKEAFKDASAVVSMVGI LVGSESKMNSVQWKGTENVSKLAKQFGVKRFIGISAIGADEGGVTAYWRTKALGEKAI FENHPSATIIRPSIVFGPGDSFFNRFASLAKWLPFLPVFGGGVSRFQPVYAGDIARAV EICCRDEPEIVKQVGGRIIEAGGPDVYTYREIMQLVLRYSGYEGRRFILSLPFWIGKI QGFFLEKLPENLFTVTRDQVEQLRSDNIVSPHPPLFSLNFKDLLKSFPSSLPSSSPNQ AELTSVESVLPTYLGVENRQEGKRTHGRSREQGLEEIKRLQKKK
I206_04204	MFSRSIRTLIHAGPSTRSRSHIVCAQCLKFIGTPIQPIPTIRNA RFASSSSGQRQNNNINNNRLPKLPISHKPPKRRLEAASQPLRNAPSSTRGPVLQCIAH TTAEKYDLLALGGVLKTLGVRWDEVPEGDRDRAFVISPWKGRGGVERMIRSKELLPMQ STSNKSVEIEWVENEEDDVSRDIEYRNSHTYQRGEMGFGYGEKGEIWVFPSGNFVTWG LTEEEGRSFLRDVIRKKGWDVESGRLEAKEYEVEEVDFVVDPTAKTHILGNLILLGRP PELSTFSPSPSLASLLARYTLSLSLSRSSSLSVLEDRLDSHIAGVSTLPRALQRTGRQ PLDRKEVIRKMGELMTLRMAVNTSGGGLDDTPEFYWSEPELESYFDSIASEFEIKERI DAFNKKLDYAQEVQSTLRALLTESSAHRMEVIIILLIAVEVVIVLIREGPELAHKFIE IVNAQMGTGTHTAKLEEVEEGLQSLSEHTPQIGLLASTLKADRREEKDVHQPRLV
I206_04205	MSSIAAQVILHPKLSQSLAVLATTIGRDKAYRLIQYVSRLLAWF LLRRGDVEAAARWEGLKNGLASARRVMRLFRPAEFLQSAMNLAQRPVGPAQVAHLAQI GRQLGYAGFFTNDMIVWLGQVRFLKLDKFQLERFQRLTFKFWLSGIVFSLISSSASMV KLRADSKRFRLSTEVSRRESSEKGPDERAREESEHRERGRAMLAQRQTLLSQLIIDSC DIWIPATGLGYSNVNDGVLGALGAITSYMSLQTQWRKHAAAGVRKNI
I206_04206	MLGLGKVGHWMFDLIAISTIIAGVKKNTGYGFHLALIQDTAIRS FLDSYFQLGETVFGIISGYVVNSRYFKRQVE
I206_04207	MSNAKDRESIHVMTHKGSKEQRKEELSKMKSDLEENLAKSKRGE VALGRPANTPSSLNPSSTPMTRDLSNSSITLSGSDSAETVTPTRLRDRGHGVVGSSES SGSSGSGSGSGSGSGSSGTVRRRAKRNSAMGTGLALGASAGLSELDDTEISIASGDDT EIESGHRDWSELGREFGLNT
I206_04208	MPVEPPRSSSPLLSEPYDAVQNPQAARKRVKFYKEMKRLHPDIE PPITWAEIREFMGGLVVLLAAPIIIPARLIQHAITSPLTISIVLKLLLLGFLFLASSV FSILAAGAFFWSWKIGGNIEVEGWLFYGSKHHRPPHATVYFPLDRIEQDLRYDVQVEL ELVRPTKGTTDEMGNFMLSLELRALDQPERVLITAAQPSLPPAPLASPFISLPVLPTS HLPCIVPYPFRSLCPSRLLGYSVPSAKIRERRAKGGFSSRERGKDVVPLKKDLMEGVV LKPGRVPGMTIGSGFVSIGREDLFEDKVATGAPSREVKTTGWVLVRLTPRPTGIR
I206_04209	MTHPVISLIAPSASLLRDHHGPFQSSRAKPVEDDCSNETRHKPK YFRNPWPSYRTASLKDAYLAYQLGAAIALHPENSPGSSKLKRSRSRSEVNLSYEPDQY ELDESDEDNPREEDVSLRAENSTRKIPKKIYVRPELSKIWDDDEIEDWRDPPVEVVTP TWLSRADQISQKEKVTWLGHAGVLVEVPWKGRDGFAGILFDPIFSYRCSPTQSVGPAR YLDPPCKVSELPDIHICCISHDHYDHLDYYSIIDLWKYHQSTIHFVVPLGLKQWFTAS GIPSTRVTELDWWHETFVSFPNSSPETIHEPSSLNLKIAFTPAQHRSGRGLLDHMTTL WGSWCLGVVEERDKDKVDQRGMRGWEGFKIFFGGDTGYRYATAPEGDDDAICPAFKEI ASHYSPFDLSLLPLSTGSSLPFLRTMLSLSLDQYTLTSSLHCSPQDSLEIHRILESKR TLGIHWGTFCDEDESRGTRVDFGRSRRSMGISGDWNDEGCFVVGDIGETFVLL
I206_04210	MSEYQVRKARMLELQREKEEGLKRELAAKAAREKQIAKEAEELR KRQEAAAKEARRLELMRANEALNKKVGGQASKELEYNPFAEDARPPPSAIKPPVKPSP KAGPSRPVTSSKQSVPGPPASKPRNPGQPRKARAQSNSPPPLGRKEKAAKAFAQSAKK SAGDSLFSVRNLVESREIPPAPINGPPSRPRQIYNNPSSIPIHGLGMSNGLKRDLDTK NIYAKTPKSTREYLSNQAKLEGMRKLCPDRETRDRRSIEEIQRDIKAKRGLSANGSGY LTPPVKSPLNKGKERLPPVQSKPNGTSQPRSINPPRPTKPPKRKPSSSSSETDSSDDA RRRKYGRRSRSPPIKLNEHSSHMDIRSTIQDLFRRPGARPTRYQDEFSDSGSEDMEAG LTDVEEEERRAARIARREDELAELEEKRHREEKLKKKREAERRAKG
I206_04212	MLRSLRIRTTLRNPYSMSTLSKRDLTTLGLRREDPKRIWERRTA LTPGAVRELLSEQNGELAVEVESCARRCFSDSLYTEAGAKVVPSLSKEVDVVLGIKEP PLEDVHRLISQSGAEGKERNWMMFSHTHKGQEYNTPLLASFLPPAEHQTLIDHELLTA PSIGKDGKPSRARVAAFGWYAGAVGAGEALSMTGVALLKRGIGSPLLHLPRPYASGTL VEFKAALRKCGENVRSSSGLSSQGHGPVVIGITGAGNVSTGAKDMLDELNVEWIKADE LANLKDARWIFHSADKFQVYACMIPTSSFIVGQDGLQFDRMGYYQSPEKYKSVFADKI APYLTTIINGVGWSSKFPRTISNSDLASLLGSSGEKQKLVAVQDITCDKEGGLEFVDQ FTTIDNPYFEGPGGMLISSIDILPSELAADASSHFSSKILPYVRRALFPDSQGDKAAE EDTLNKAKIVEQGKILEPHSWLLPKVEAWKSSVTNGHSSNSTDATSQPKKILLLGSGL VAGPAVEVFAARPDVHLSIASNNLSEARSHVKNRTNVEAISLDVGDQVALREAVSASD VVVSLLPAPFHPEVARHCIEHEKHLVTASYVSPEMKALDDAAKAKGVLLLGECGLDPG IDSMAAMRILERVKREGKKVTSFVSWCGGLPEPSASNVPLRYKFSWSPKAVLTAAQND AHYKIDNEIVKIQGNELLSKHFPVVKLWPELQLEGLANRDSIPYAEKYGLGEVDGLKD LFRGTLRYQGFSNLLESFRKLGLLSSEPLQRVPEDWEEFLLLSAARQLGYNKSLTIED LPVVLSDLLGDKAMDSETLDALKLLSLLPRDPSSSPSSIPIPNLRSPVPVDLFAHLLS HKLSYAPGERDTCLLHHAFTLAAKDGSVEKVTASLLCYGDETASAMSVTVGKTLAFAA LRVADGLVKQRGVTGPYEREVWEGTLQSLEEVGVVVKEEWN
I206_04213	MTPPSQIIKHATIAGVQVPAMAIGTWSWGDKTWGYTSEMLPDIK EAFKTSVKEGLTFYDTAEVYGNGESEKIIGGLIKESTQEDKDKLYIATKFLPFPRPGS LYLMNPPIVDRLRASLKRLDLPSVDLYQIHSSSGLGSFESLAKGLAECLKLGLCKNVG VSNFSQDELIRFSDILAKHNVKLASNQIEFNLLRQGPEKNGLLAEMKKRDIACLAYSP LAMGRLTGKYNASNPIPSGRRFSNQYTWEQIAPLIEYMQTLSEKYTVSISAIALNWVI SKGAIPLGGARNAKQAGENAKALTFSLTSEEVKELSAKGFEGKT
I206_04214	MPGNQQQPHAKTSNLSDSGNTPLPDLSARKGSTPTYESTSDSTS HKENDQGTRRESTSNVVASPAYTTFSPTTSISANMTTPDPSVMAIHGSVMTNFPMPRG FKHDNMSEDEWDKIASNLNKGTISKGVSGTFTIPETPIEEKLESNPSKLDKEVEDNTS RRNQEKKAPKTEAIVNKNKKERIIAIDFDDVCCETMATIIKQHNVEYNTDLTIYDLKT CDFWQNRGWGTPAEVARKEQTLNDLLPLTTPIEGFAEGLRELHEMGHPIHIVTSRPAK DREGLIQWLGRQGVTIGHDPQDLIVEVHFKGAYNDIEALVEERGLDDTVVKELNDHLR SLWAESIGKRIGGLSKLKIIREINASLFIDDHYGDLEPIIKVSPNISCLLFGEYGWNR YNSGSNTPIEMFDYNERSERGLILPNDHIKFGKEHNLYRVKDWKELVEWVKEWDNESV 
I206_04215	MSSPLPPRPPSRKGPNPFLLLGLVVVSSASFFILAEKRHNDQQN SGRARRKEMANPLLPSREAELVDLPPRRKVE
I206_04216	MLLFSSIKARISVLLLTIPLFSLLLYTKFTQPTVEYAFPQDVLR DYTSPSTHCFWPEQDKLRSGTERASVSIWKEWIGFGSSEEQYIKEDDLGELRKNFRWE GALPDVIPTSGIERYMLSHIEDLQNGFDPKHDYEEYGLKLGNISLEEYTRELLSTYKN YLLPSQSIEITPPTYLAPVLSRLSLRPPVSPLPPRPQQVITTDKSIDDLPWQFGRWKE IMPDWKIKYFDDNTLKAWVNQVFGKTKAESIWLNLPRQVLKTDIFRYMAMLVEGGIYT DSDTAPIIHADEWGIPYHHETSPLLTHLSRLLSLTTSQHLPSSHPLSSFSPEHSTNSI DGITDFPNGRSKIYDGPLVDDGNELGEPALVISVESDAIEFGWTNWREVGLSRAVQIT QWTFMARPGHPIFLDALGRTLKKSEEMKLKLEEAKTEFIAETALEWTGPGVFSDCVYR YLLIRYGFQPSQLLHKKEPTRIGDVLILPAGSFSSVSPFEEEEQRPWAASFHGFLGRW RDLDPAVQEFEKLKKIKEEAEGGDNQDTVFVEEAEDQVKKQDDKRITEKRSTPRQVGD YDGRLGDVPLSPVEQTLVCIEPERNRRDHGYPS
I206_04217	MNTAGSVAYGWGVLIVAAGVSFYYAKKEIDARRKDATLRGNRPL EKLSWEEKIAQQASLGDKNLKPVQNSIPKDAFKLPPEGK
I206_04218	MADQSPPSFDAIFSSQQPPRRSSTSSSFNAYAFQTQPPYSQLDQ HQQQQHITSGTNGGYVEEPQSLNNKSSNASMNGVDQRGTGSSSSSISDVMSRTFNVTR SRRQSSLRKESLPFNPEADSTLIATAPKQGINPPTQGYTSPSIGDIMFGGAGQRTQQT HNNQQFQHQQQWPNVSGGGILQQQQQQPPLQHSYLPQQQQHSPFASPIPTSSSAGLDY MTPGSANSLNDQSVYDQYSPYTNTALIPNNQNASNAWSQDFVGQVEASQGYAGNYMGE LNSQGDGINEMMDLNEQDALNNELQRIISNASHHSSAQQSREHSPFAQHTHTPDPNST QSYQNQQSDMQSGHSRHGSFSNSRSPSPFAQSQQYDIGDLISPPVTGQFPVTTTTSQA NSPFFNKPQSPPALIIPGQNSPSPVLPPIVTTVVGGPSGSNRRGASVPRQGDLGGSVM GGLLPPANPALEGLTGMAGISPIAPNADGPMIYIQPSTPISGLRDGRGLFDAALRRAG QLAQNGQQGQGDQQQGFSVLSPQSHSLAQHGSSDQIGQQSQANIDNNGIDFAATMAFQ ASQGWPLQQGHLGAGASTRPRAKSDSFIPSPTADSFDRQALLNLLVGNNNGNHGMTED VIQDNSIPDQWMNTVNAWRTGIDENDPNATSTGPTLDPRLLPGQENQPQHDALQQLLL AQQQNQSIDSSQQHQLSQLQAQRNKLPPLNTSTASPGQSQNGGNGGGFLKYEPGEFSP TSMAFYQSIGLYPHSASELPGTTSAPFYTTTFGQMSDSTNFQNTAAPSTSFLAPVQQA VSSGRRRSLGGESSNHPAAGAGTPGYGVEFTPANNNSPFGTLRPGVVRGISGGGGHKR AAKSEDFGRVGTGWGVGAGGSTADFLQSITANDGSLLPPMNRGRSMSHSRTSSASSYR SASPALSISSQGSTWSNMENRMDLPEGLSIPSSTTINATTKTGGRKRVAKQKVTSVAT EVASTSRRTNSGVFRCPVPGCGSTFTRHFNLKGHLRSHNDERPYKCLYDGCPKAVVGF ARQHDCKRHMLLHEGLRPFECEGCGKKFARLDALTRHHKSEQGQECAITHPLPVNPDG TPMSESQYKIYKGVKAPQPINTSPSATNKGRRRSSGVKSEFLSGDDRSGGESDIGGGV SGMDDYDRYD
I206_04219	MDPLDKLRRQYFSLYPIYLINLPEPEILVNVNNQNYLIDKLLGN KIQPEDEYRKKFWRKVLDFMEIGLKKLKNDDLEINETFYDVLIELMVSDSNNQAGPSI APKTSFRTFIYDIPPNYLLSSISISNEQLNREIILLEEQIAIQGGTTGLRTWTAAIHL AHHILHNPGFIFPQYNSNSKTKGKGIIELGAGTGFLSILLAQLNFDVVSTDLGKDQEE DQFGFDKTYQEGESQTPLARLKYNVSLSDISVKSLDWTDASIPLEVRPNIWKQLNEEK RTIIAADVIYDPDLVQPLINAISVLIGRKDDDVQAIISATIRNCQTFNLFLDTCEQCR LRVDIIDLPRMDEENPTFWDSALDRGTEVKIMRITRR
I206_04220	MAPVKKSKSAKASESINTRLQLVVKSGKFTLGYKQALKQLRSGK SKLILISKNCPPIRKSELEYYAMLSKTNVHHYDGSNVDLGTAAGKMYRVGVMSIQDAG DSDLLQVETA
I206_04221	MASATVIGLSLLGAGLAGRVGYQMFRASASGADKFLKGGFKGKM DKAEALQVLGLKDPITSNKLKDAHRRLMLANHPDRGGSPYLAGKVNEAKGLLE
I206_04222	MPSVDFDATDEQLYAELPEPLTLPPSELLSHYEPNILAPLVRCS KLPFRHLVSLYETQITHTPMILAEEFSRAQIARISDFSTSSCERGLYWMNPSLNSNSN KKVKQVIRLNHPEDDRKNDTNDLITYYKGNYQNRIPSTPIKPNKNSELIRGNLIAQFA SPNSKSLIDACELISPFVDGIDLNCGCPQRWAYNEGIGCALLRKPELVREMIRSVRDR MGWGWSVSIKIRIDPDQKQTETLIRNALQAGISYLSIHGRTRHQPSTDPVNLEGIKFA VETVNGQVPCTGNGDIWTYEDVINMREKTGVTGVMAARGLLANPALFSNYSSTPSHVV SQFISLSTSYGLIFPLFHRHLAYMLENNFTKNEKVWFNCLSSSSAAIDWLENRGLDWR SGKGGMWDGRRSRGVLTI
I206_04223	MTTVLTETIPPSLPTTTTISLDAYDEEQVKLMEERCILVTPEDK VYGEESKKTCHLMANINTGLLHRAFSVFLFRPSDGRLLLQKRADEKITFPSMWTNTCC SHPLSIKSELVEENQAGVKSAAIRKLPQELGIPSSQLKDEDFIYLTKIHYLAPSDGLW GEHEIDYILFSTLDVDLDLNPNEVSDAKYVSKDELEAMFSDSDNSFTPWFKLIARDLL YPWWDEMLVKSKVEGTGKVNARVLANGPKVGELIKMI
I206_04224	MSSPINDTSMLPTGAQGGQPGVQNTSTSSTGRKDDIHAIGGNMS GHSGVPVESGYEHHHNPFKHPHDSCEAEPGLIESTDLAPLNHEVVADDHPSKGQQTQT SRASKNM
I206_04225	MKSLLLALAAASVLPTALSWGAAGHEMVATIAQIHLHPYTKQKL CDILPAEANCHLAPIAAWADTVRNRYRNTAPMHYINGIDDHPPDKCEFGQHGWVNEDV NVITAIQNFTRTIMDGKSAQDIDIPLRFLVHFLGDIHQPLHLTGRDKGGNGAMFLFEG RHRNLHSVWDGGIITKNIRELGNYTTPLPSKQIESNLLGAIFDPYVRWIVWEGIREWW REDLESWLECPIEGDPFPHSSHTDIPASTPAILKEYFRTASSLVLSILPGSLSAFTEL AYPIPTKETEGFEDQAIALHPNHIHPKGGNMTFPSCPFTWSKPIHALNCEIAWPKEYK DNSSPLIELDTDIYLGEIGRQKTVEKLIAMAGLRLAKILNEAFAEEGQLVGNGLYFDY 
I206_04226	MDINGTSSAATSSRNTLDSTVYPSKEYARGFDGPTKHLGESGGR VMTMEDDYAKSGMSNGLGSGPGPMGGGNNEWRYEMRREAQQIIPGLYLGPFQSSTNLT KMKMMGITHVLCIRDKKESSLIYPRFPTEFRYMTLDISDNSDQNLISIFPRCVDFIEE AMTMNGVVLAHCNGGIALSPAIVVGYLMWKFSWTADHALAYVQSKRYCVSTMSFLNQF KEYEPIQMAQKMVQQVGFGARQGNGRKRQVEEEEDDEQEEDDRRRKQPMRESISDVMM D
I206_04227	MHTFSILLQSLAVILLLPLSIAAPAPDSIFLQTSSTFKPLGCST TFHPTSILRQVPSPFACFSRCSEKQIAAYSQLQSGVLCACGEQDMISEISIEKCRDNT WYLFTNSELSSTKTTTSDDVEETTTLKIESNKLKKRNRLMPFMFMRKKAAEKRSNSNF Q
I206_04228	MPLSLSIKSPKSTITLEFSRSKSFSLSRKAKRANTYPSPLTPSD LSDAEPFDLSIILNSKNFDSLDIVKDVDNKENRDKSNMQVGKIKFQLNDKPTSKDRMT AKLIKNRKMVVGEGQKNPSSCVTKDKTEINQLLPSPVIHSTFPKSPYPFNGFNEPTLL PISRDRKQAHRRSRSFSESITSSTSITQPWRDRETWLAIHTPKPSSLTQRNGSIPIEL EDGGWRARGVGTFFGADMNGPYPSLKVRVKPLSPPLSPDEHNPKKNPYIGHPGDTEET WPSPAEETSKAINSMIHLHKSHSAWNVSRNDLAEDFSSSQHHTHFPVENDDDDDDFLP PLLPRTITRTSSFSSSSRNTTPIPWAQRCASSSGTNSPGRQTPPSTPSRQRAKNTPQS SSPLNKTPETWTKADPSPPRKSPRESSVSPSDTPRTPETPTRNMRLPFGKKINRGHDA SFASTSPRSISVLDEQMTEHSQVVQQISPAKKIWKALKLTSPGKKDEQKNHRRG
I206_04229	MFTKTLLLTLVTLATSTLGADLTISTPASLIQCQPALLAWNGGT APYYLAVIPGGEPSAAALEDLGEQQGNSLTWTVNIASGQSITLKVTDSTGTVNYNQAV TIQSGSSSECLTAAATSSAASASTPAAVSTATAVAAATSGAASASVSASAMSAAASSA AASSAAASSAAASKASSAAASGASAASSAKSSGTAAAASAVASASASASAKSGAMSRS MINGALVAVAAGVVGLAFA
I206_04230	MPVPTSAPRTLYDKVFDDHVVRSGEGDTLLYIDRHLVHEVTSPQ AFEGLRIAGRQVRRPDCTLVTVDHNIPTASRKNFKDVGSFIVEADSRAQVSALEDNVK EFGLTYFGMSDKRQGIVHIIGPEQGFTLPGTTVCCGDSHTSTHGAFGALAFGIGTSEV EHILATQTLPQAKSKNMRVTVEGDLAEGVTSKDVVLHIIGVIGTAGGTGCVIEFAGSA IRGLSMESRMSICNMSIEGGARAGMIAPDDITFKYLKGRPLSPRDGEEWDQAEAYWRS LKSDPGAKYDIEVEIRAEDIIPTITWGTSPQDVVPIKGVVPSPEDFPEAQRKNVERSL EYMGLTPGTPMEEVKIDKAFFGSCTNGRIEDMRSAARVILAAEKNGGPTKVAEGVYAM IVPGSGLVKQQAEAEGLDVIFKKAGFDWREAGCSMCLGMNPDQLKPGERCASTSNRNF EGRQGAGGRTHLMSPAMVAAAALTGRFTDVRTFMGEHIGEDGGLKITDYSDYLTPVEA PARPAEPTEQTKEGQTPVKQAAAASAGLPKFNVVKGIAAPMWEANIDTDKIIPKQFLK TLLRTGLGSALFWTIRYDVRTNEPLPDFVLNKEPWNKSSLLVCTGPNFGCGSSREHAP WAINDFGIRCIMAPSFGDIFKTNCFKNGMLPLELPQADLEALYEDASAGLEIAVDLEN QQVIRPNGKPPISFTVDAFRRHCLINGLDDIGLTLEHRDKIESFEEKRSNVWPWLDGV GYAKKGQKIIAVPTKRAVSKTDW
I206_04231	MTELDHRLDRLLEGRKEKNRFRSLKEYDITSNSGLVDFSSNDYL SLTSSSSLRRNYLGKLASTPSIFGSTGSRLLSGCTPSHSSLEKRFEKFFNSPSALLFN SGWDANVSFFATVPQPNDWVIYDELVHASVHSGLRASRTAVERRLAFNHNDPKHLEKL LKRMQNTASSSNDSSTIFVALESLYSMDGDFSPLPALLDVLEKYVPRKRLCVILDEAH STGVYGDKGRGITHALGEEGGFDEGDTRRRGKGRVDVRLMTFGKAVGCSGAVLLCSPT VRSFLINFARPLIFSTALPHSTITALECVWDTLQSEEGDQRRQSLMSVARYVHTLLDD LLSRTPPGILHLPPDPMIPFLTSPNLAPKPGSPILGLLTPNPHALSAFLLDKGFIVRP VVPPTVPPGAERVRICLRAGMDKRVIEELVAALAEWVDIKLAEDRSVRAKL
I206_04232	MVNLTYGALTTLSDGTLSFEPLGTDILATLQGTTSAFDPGDIAW VLTSAALIVFMLPGLGYLYSGLARRKNALSMLFLSLVSLGIVSFQWFFIGYSLVFSES GGSFFGDGRNVGFRNVLERPVPESNGKLPEIVFATYQLMFACLVPAVLLGAAAERSRI LPAMIFIFCWTTLVYDMLAHWIWSSNGWANKWGILDYAGGVPVEIASGMGGLAYSYFI GKRRGYGTERVAFKPSNVGHVVLGTVFLWVGWLGFNGGSCFAASLKAAMAVFVTNLAG SVGGITWLIMDFRLERKWSMVGFCTGAIAGLVAITPAAGFVGAPAGALIGLVSAAVSN LSTRLKVTMRVDDPMDIFAVHALAGVVGVLMTGLFAQSSVAANDGFSEIAGGWMDHNW VQFAKQIVWALVGLSWTFVVTYAIMFVINLIPGCHFRSTEEAEIVGMDEVELGEFVAD YAFHHRDLEGLFDPNELSRFNSATQFHLTSMAPRSYLRPGQAHMTHHNGSSNGNGNYP PTMPRGGAAAMDAQYSEQNQGLNEKPRSRSRGRTRSRSRQRASTPSGMDKVEEVNSSD EVELRKLGNTTSSTLEGSSLNTGSSDFSQKL
I206_04233	MEIAPAELAKKIVEAYKLLPKDKRLLVAIAGPPGSGKSTLAYPL TDALNAIILHHAPMNPSSLVQPESSDIAEPSKAQGSNDEVAICVGLDGWHYSRKDMDG FDDPKEAHWRRGAAFTFNLKSYSAFLKSLREPLTSSKAIEFPTFDHAVKDPTPSDVPV LPQHRIVLIEGLHCLRNTDGWEETVNQFDLRIFVEVDRTEARNRIVKRNFQAGIITDY KACEERVDAVDMMNGEEVRKYRIQPDYIITSIEDHPFSKEAFSEL
I206_04234	MSHRTPASGLPNGLSADYWSTWIPIRKGSSVSESVDKDVGEQWI GSWDGIGLYEGNNKIPLYQSITVHLTNHRLILIPESTPSTSSSSTPSSLAMYLSYVRQ TEFYAGFMRSSAKITLTLGQQTTSSPIAGQETSTSDPIIPEVSQNSGGWTCNICGYVN PLSGNRTTPMPGSKCGLCGIPYSTSQSSVSATPSRSHTPSNSVPHKNISSESSPPSSQ NDANISTPNQDGLISCPACTFLNSPLLPNCEICSTPLPKSTRNSSMGKSQNTGLGGSS NLVESLRQDVVRLSFRKGGEKEAYKKLKAVLSDKAWERDIGGSSSLTSKLKRGTGDVV DGVPRSGAGIDGILQQIDLNSKSQSSQVSEAFADLEALMLRAGEMVKLAQNINARLTT QLASNPAGVQQPTEEETTMIRSSLVQLGLAAPAITKEMMRDEQRYHQGLAKELGELLT GRISDRVQGGGEGLMIGRNGRGVIALDEVWGLWMRARGVSLLPPSTLISILPHVPTHT SPTIKSLILPSSLQVLHTPHYSTPAILSRTLDRLCPLDSDEVEKSFTSLEFSDYESLP IGLAKEFIEIMEKTGDNGSGLVRDDQSGLGEGGVRWYRDIISEWPLDGV
I206_04235	MSTIAIRSTTLAKSTLLSRGPMICQAYHFQHLPIASSSRSFSVS SRARLQVDEPKQASLALRTDIKEKEERSSQKIVGGTGKGVEGPHYQDQVHQTQDILTN ESTTGAWTMMNPIYTEQELDTVKVVGRVPVTVADKAAHRTVKFLRKAFDFLTQYKAIP ISQEVLKQNPIPIEELRSKGLLLSHHKWLFRFILLESIAGVPGMVGGTLRHLRSMRLL RRDGGWIHTLLEEAENERMHLLTFMTMAQPTLFTRALVLGAQGVFYNAFFLTYLFSPK TAHRFVGALEEEAVRTYTHCIEDLENNLIPEWNDVAAPRIAIDYWRLPQDAKLLDVIR AVRADEATHRFVNHSLANLDQQKDFNPFALVEADAQTRGEKWGFTREESANFAREQQQ KLQDVSTKQIADQ
I206_04236	MTVAPSSEDAVSPTILTSRTFPGIIPSTSHHNVSWNDDGQCLFL TKKGVNIVTPYLTTTLAPPPTLVDPSLSLENPSSVINESRRKAALAIGEDGSDDDGLD TFEEVPSGPEKQSKGKGKRRRPKAGEIKFWATGIEVDKDGKRNEYYGWNDIGDEISTV LTEREITTRQAIWSPSGLSDLGGSLLVVLSSSMQVSVYAPRNDPYTKQWDEIADLTSI IRGSLPSTSTHGGLSVEGNLEMRTMCLQWSSHLPLPSLIGVDGSILALGNRAGTVSFW NYGPEKHFRQLHYIQICEAGGWVSDMAWSEWNILDNMTCEAHLALSMTDGSIRITSIR RQVEADSLGKKRWNLEVQEPVLIDRGDKRFITSLRWVDDVLIWTKSGTVHIFAAEGNA TVQWHGIVALRLKRVGNWASANALGPCVGIHRINRDTIIVVLSSLTSHIITDFAAAPR LAHPHESLRAALALRDMFEDHLLADPLIKMRYRSVELQTEGWTANTTGWTSLGWGGVG SWVTEPISFHTLDSATEGKRSMNFVLGNMGKAIPAADSTVFQALQAVLSDPPNRMPIL TVIFQEDCADVLSAE
I206_04237	MHSMMYTSPQPLVNISSGSSRANSSNRLATSSSSDSMTPSTSSL NTSSNHDQNFLLQSARLKLSTMDQEAMKKMRNTMMEYPESSSSKSSPASARFPPESMD GKRELRTRRSTLSRQIAVNDEEEKGRIVSESDNAPDAISSGSTTPPSANADYAIAVIG HEQVGKTTVIARALRTWGMSHPVKTRSSGGHTIHSCYTQIQPGGKLKQPWKVEFLELN IHALNLLPTADSVWPDALPDVAGAIFCYDASRSETLSGISSALERLCSLGLPIVILAC KSDPDAQLEIDAHTGNSIGEPFNVGLIEVTTQNSEGKSKMRNALRWLIYKLEQRQRRQ QRRLNADTSLIIPTNPPASPGLASATLEALSSPIDSDASSSENRIMWHRRNGFNMTPA DQDQDGDGISDNRSSGSSLNWIAKGLPPRTSLDIDKVPEERKAIHQTSAAVHEAPMTA KVGVEIKPKERVVTQTDPPVWLTLEELLNKLFAAIVTSQDELFVRAFAMTYRRFMKPQ ELIQQFLTRLKAVEGYDISRDVKNWTMMRLTGALVDWTSRYPGDLHDLTVQNTFKEIL EFILHHTFMAHLTGELIMVEHSLPEVIDLDLSWSIKTISSSSKESIHSRKALSTDLVI DTEILYAITDSPLGEKGIILDDEAPDTPFSKEHNTHSTRSVSTSSLPVEHLKSDHSGR SHSDERIFSTDEMGFHKWAQAFNLVTNMDPRSFAVELTKLQWNLFTAIRPRDVLRHDL GKETNGPVGKAILFFNHISRWVSTIILAHPKPKHRARIIERFIVIAHQLRRLNNYDSL YAVISGLRETSIHRLSITQQLVNLPPSVEKDYQSHLKLMDPRGGYVHYRRALEADISN GRASIPLLNNILGLINRLQNVRKEDKRIVEGDREEIQWDKFLKFGEILNVINDCQIRG PIVRGEVNESFRKILEETVIISDEDALWERSQLLEPSGGGTVGGKVLKRLVNLGFS
I206_04238	MIRLGPRQLLRRSTLPSNQHRPVPPHQQFRTNFVQSFNEFKPPP IRQRLKPLIPFFIYWSIITSLAVHLLRNRINSKEELDKQKAKITVLSDIIKRLQNGET LNEDQIQRELELVGLRERTILTQGVQLEEDVKNVGWSEVLFGKKRIKDEETKEINEES LDDWVQVVNEVTKPATSPIMLPTSSITPKSPERSGETKRAPSSSVYM
I206_04239	MSYYRPSTLVATINLIHPIPEASSSRHPLPLKIEEEAWEHISTP AQMEKGQGKQKKIKKLSIEEIERLAGQICNVRPIGACQRPQSSGSLYCTNHSCQAINA DGDRCGNLVIDPRETRFCTNGWHMENSRHTHLADLMNLRKSLNQRQEFEKEIQNAEQL YFIEQQFPSSSSSSDSVISTLLQSPISVTSTSPTNININIGKPKEKGWGSHGVDAWWR GKWELSIPQIQNQNQSSIS
I206_04240	MSGSTIAGPSRHSSPAPSTYATSSFTPLPRSLLSGTGIRFSPSP TPGAGPSTPNRNSSAEPGEIRETVVGGDIFVDEIPQQTPHLPGIVSSDQFQPPGLFTT NLIPSVKKTENGVKVQLQKPTGSNKGKEKEQNEMDSTLLLPSHVLVDSVSPSKGGEAK NHQYSERDHEDMMEGVHFVDDDISKGSKRYFDPEVDETKEVEATFLATADQSKICQNC KRPGHRSKDCKHVICTTCGAEDSHERRDCPVGLVCFGCGSRGHRKQDCPDPVSRMSRR TGCDRCGSRDHMENTCHTIWRVYAYLSNDVRAEIIKDKYASDGWEKEAIGGRASEEWC YNCAREGHLGDDCTKRRGSLAKLTVPSAFSHEMASRGPFFTSSSRRNADLPPPTHSRF DDDQDDYDSLPFISGGYNKFGGSNAGKKSREKVKARQINMARNEGSDEEPSWFDNSRG GQSRDRDRDRGLNIRERGGRGGGGYSTPENRNGIGRRPWDSEYREPNRERDRDQERDR FRHNDQSNSTPGYSYRHPRSSHKDRSRSPPSRPKKNRNDSMPFSHGHLMENSIPSSAP PKVSFGKLSKPGSGSKSNQDEIGKNTKSSINKVLVGDQQNQFTPIGQNKNKNRNGNGN GNGNGTPIVEIPISNKKSSSKRKRKAKNNEDKERDWENEWRKNGNGGGKVQNWGKQFD EEMKNLNGGLRIKGKSGELNSALGGKQLKSIQKSNGGDKKEEEGGGGGGGGGRNKKDK PKKQRAKSERSSKLPVEGKDKSANTGQRYHGGYD
I206_04241	MYLCSASKPIHLPTSEQDVLQYWADIDAFKTSQKLSEGKPEYSF YDGPPFATGKPHYGHLLAGTIKDVVTRHAHSTGHHVERRFGWDTHGLPVEHEIDKTLN IKGKDDVMAMGIDKYNAACREIVMRYSGEWKTTVERMGRWIDFDTGYKTLDPTFMESV WWVFGQLWQKGQVYRGLKVMPYSTGCTTPLSNFEAGEDYRMTSDPTVTVSFPLVDDPS TSLLAWTTTPYTLPSNLGLCVHPDFTYIKIYDFERDQNFILLESLLGTVYKELAPGAK KPKDKKDEKPKFKKVGQFLGKDMVGWRYIPMFDHFTEQYEDRAFRVLSDTYVTDSSGT GIVHQAPAFGDDDHRIAVAHGVVRDDEIPPCPIDESGKFTAEVPEYQGRYVKEADATI IKDLAKKGRLIARSDIMHSYPFCWRSGTPLIYRAVPSWFVRVANISDKLVANNEKTRW VPASVGEGRFGGWIRNARDWNISRNRYWGTPIPLWVSEDYEEIVAVGSIAELEQLSGV PNITDLHRESIDNITIPSKQGKGTLRRIEEVFDCWFESGSMPYAQSHFPFENKERFEK SYPADFVSEGIDQTRGWFYTLLVLGTHLYDTAPWKNLIVTGLVLASDGKKMSKKLKNY PDPLEVVNKYGADCVRLFLVNSPVVRGDNLRFREEGVREILANVILKWINSLNFYIGQ AELFERTTGEKFVYDHDAPKSSNVMDRWILATCQTLIQHVDTEMGAYRLYTVIPRLLD FIADLTNWYIRFNRVRLKGAGGVDDTKAALNTLYEALYTLCLTMSSFTPYTSEIVYQA LRPTSPTPKDSKQDVRSIHFLPFPTVRQEYFDPVIERQVQRMRAVIELGRLIRDRKTL PIKTPLKDLTIFHHDQEYLDDVKSLETYISAELNVVNIIYTCDEEKVGIKYRASADYP TLGKKLRKDLSKVKNAIPNLSTEECKLYLSEKKLLVNGVELIEGDLNITRFAKLLNEE GKIDKFESASDNDVIIILDIQKHSELESMALLRILTSRVNKLRKEANLKPSDKINLFY EIDLQKESNSENSLNLAINQNSNYLLKTLGTIPIELNQKSDNDNNDILITEKIIKDLD DLNESDRYVLSLSLVQ
I206_04242	MSTPNTSSLPLPNNNIGRVSPLDPNLAQPSPSFGPNSFTGQPQL GAPGGPSNYPRPPPPHTNTAANTNGIRQQSIAGPSRPPPHQQPTNQFQQQYAALSGLP GQQQNGSPSFNNADPSIHARLLLAAQQQAQASAAANGANGSSNQRPPGMPDLATMANN MPGNREAIMKQLQALQTSQAQRARHALAQSQSGNHASAAIGTPAQPSAPSPAVISAPS PAGSSSQQAQFSQPQPIQSAQPIQDGTEQTFQQNSNLMQQTAPNMSNGTNFNVPQGGQ IPQQQKDFLLAQGEKMRAAQQLQIQRQQQQQQQQSSIPQNGQSNQQNQPSQTTQFGQL AMPTNSTPQPPSAIGRPPNQPQSVRPQMNAAASRQSFLQSLAAFYKNFNQPLPQAIFN GERDGQFKVGGVWVDVVELMMTTMRVNGGILTLMNQGPDHPFWRTIIQGKNIPVPLPE PMLMPKPMNADPNAPQQPTTDPMQYIVNAYMAWLQPFEQQVQRQRNQVTQRQAMAIGR PPNQQPGMPQTPVNGILPGQQQFAMPSPVGSSSSMVPPSPANSMQFIPNAPSPITHAA SDSKPSGRGRGRGRGRGRGRGRGGAGADASVRAVPSPIHTGPPADVSAALASVSTPES SQKRKREKKDKTTLSAEVSGNPLFDQGQDGQLIMYNSQNSTPSTPSSAQKALPAPPEP LERPPTPKRARYKVEYRPIHKAQHDLGGWDRNMVASTFAKNSLNQGTRSIHDLAIVDM EGVLMSLRSRLPHELGYAISILSMLSMAHPEENVKGLPLFHLSEIYQEILDLIAELTF GEEGYDAWETKRQDADLRKADQVNGRSLTESKTDLNRLTFLELEQLGRDFDFTFDDEE YLDDSRQFRDSTGGQTDIILACLNLLRNFSMTAENFEVMTRTPQLFELLANVTDGGLC RLPGEKYNPNDATKSNKPFSILELARIRRDAVSILTNLGTQINLKSVSTKSTVAIFRL LSSFLNCGFDSLAIKEPVYGPSTLIPLTEVKPPVNHSLNRALEAFCKLSSSDSNREII GKELNESEIIRLFESLIKLFPISKRQIESLNTIEDYLGQYECLALSLYSLIFSSKPST RNKLRNKPGILNILIRLIISLNLKKGNYSEFKLNPFGILSRRLCEILGILNENLQPNG LIENQFNFSFNLSLTNSIQSKNNNNNKIIKSYLINFQNEIINSLINIKGIDLPAFNEL EGMLWSDGGGGGGF
I206_04243	MDTVLSPNTSAFLNYLGTFTSDDATKNNLSNPTSLPPSAFFNSM PVPGRDTPEDTPPSAEEASVSPENRGEALSASDDSDNEPGSSKNRRASGTGVNKRKVG QTHRKASVDEEEDEDSDSDMVSGHEDKKAHNDKSESKKKGGRKSGAGEDGKKEPNKAA KRKEQNRAAQKAFRERREAKVKILEEKVAELEAKSYGASVENENLRGILKRLQEENIS LKQSAFTFSMPVNGNSHSNSNDSSSTSPFDIPRPQTAKPPTPPLSSVDDGLKSINDVS ASLPKNNNRLVDSPESLVSMNSSNGNATDNSQNQQPDLMQSNAFNAFLFGGNNNTNTS SSSNNFARPNANPVQSQASNSSDQLQSFGTQSSSSVATSPTNQSDINALWASLYPQGV EALLGNLSQNNTNSNNGNQQSIGNNGNVNNFTPFTLLNSEPNLMSFASLGDQNQSQSY SQLPQQTSFLQNAQAAQQQQQQQPQQQPQQQAQPVESLGSTNGQFDFNRFAFRDSTNE VSAVTDSMNNWNDITDNSVNDFLASLTGAGNLDSDMNNGGLDQDDAFNTQLQQIFGGN SPSQLFNLGGTSQQTSNISNVGNPFSPTNYLNMSPSPLQSASNSQSPAGQSPHSTASN NDGKSSYSSPRSSGGSSSTSVSAISAPIEAGLRTTTSSLSPSGCLSTFGPPKTTSEIV HVVDPHGNVVKPSDLWLRFGMQHSHVVDHLMIDDLCDQMRSKATCNEGTGRLELAVKD AEQMFRMDAGDDHKARIEKMKSRVTSG
I206_04244	MADLPAQLRPTPPQSQSLSPTVVLSEINPNVKDSNSNNYYDSKS RKGSLFSTMGTGEKSNHPQLPPIVTHPIKRRHANLELDTDNHQAESSILTSHAVEESA PHLQSGSSNSETIQTYFVPPLYHPLPKGPPCNTPEVIGKAIKRQKRDSLNSTPNSTIS DSVKAGIAGRGLTIREHRNSLDVVVKGEKSNLEWPWEDDDDDDEDIQDDKELTKEERR RRRREEKKCLTSAPISVSKKNENQVSEQKFPRKELETSIADRLSKTATNNKRSSSAPT LKMKASDQKVDKESFATTWGGKPLRWKEFSINKQLDLGRKPLIQTTLQVQKHSGATKS SSHIPTSDNQDKIPGRAAGPNTIDSQNLQSTEPVKTPLTSMGMTAGASAVLPQLADSV ATNQHPLQTSMLNQPVPGLTIPIMQRLPPSGDNSSPDTLHRAFPPNTILMESAKKTPN MAHPTVQPFAVNSGPVTLNMLLSHPGPNQVNGLPLMSTLTATTQSNLQPTPLQQQIRL VPGQITTASALQEHKTTVEPVILRRLAQAKEIIDSLQYQLRTAEINRDWYYGTLYWTN VVADKLVAHTSYSFIASTMAKLCGRYLEQESIPLEQLHSPLPPDSIHSLLIDLAVSVD CMPPNINNLQTCPIMESIFYRVIRILMRDYDSLTTIEIHSNDKNQIVVPGISAKDPIH FLRPPDILWVFVHVGEPRFYTPLVNAVRTSLWACPTTRRGIALLLLLGRLQGSRMTLG IENKVWARNLGLILENNHSQLPDTINENATLNTISQFTLNRVEEPEIELALRYVKSVR DQEKAKKDAMMTEYQVEQKGGRGGTGAGVGVKKRMSEGSNKKEEEEEEEEEGKGKGQR RGKRRKTSHV
I206_04245	MQVSQLCFALIASLVSVQAHIALWDEGMYGWDPNDPNQSEPVLP LMHLSFNDWWFHGYINKPPQEGKFMSLPSGGTYKGQVACNKALTKYGQNENQQKGIYA CDGNGSQGGIGAMHTSDKWESQNPKDVKGCGIAIAYESDVSKIKPEDFSVISVNYTCP WFKNVDFQIPSNLPPCPEGGCHCMWGWIHSADAGSEQNYFLGYKCNITDAIGLTPIPT PKTANKCNYPTDISNCTIGAKQPHYWYQNERNNNPQGEYDPPFYNNEYGFINGAQTDL FASVGEAETDTSSTNITGATSSNTSAISSQSSTMSSTVSSPSSSSSITTSIAESGAYG DTQPTPSIGQINDTEPTSTSINTDFTIQTTVTIQTTMVKPSTSTTNIQAQIAIASISS SSSELTSTTTLSEFTSISSSTSSSEISKSTSKTILNESINKTCSIKRKRHLDRLLNSP ELLKKHILRNERRRERLRKKRIQK
I206_04246	MPRFLSLVDLAIIAYFLIGVEAIWPFREKRFKEEAFINAGFLGL EGINGRIIGVGDWNGDQKLDLFTLDENKKTITVNLWNKEKFSFTSAHTLTLSSTISNI VPGDYNHDGHLDLLVMYVQNDDGGWWGSKNEKLGMEIFLGGAEDGGFQTASWKLDSSL PTQPIVFDADSSLKPSLLGLVPTEDKTDGLLRTWLNNSTGLALGPTPLRSPEQACTLA NPHSSAFIDIDGDCLPDLVLHCAQSKSSLRSIQIWLNKGKSGFELSKSYDLPRGSGPL SFGDINRDGSIDIIFPTCAQQSKSTGIGTECNLNVAYNKQVPICSTEVSQSTKEGALR CRGWGELCTPDDNFQFTFDSSDPDFTSVPFSTLLSDKTGSSVGGILLHLPDQPEIPLP IRIGDYNVDGFPDLLLTVQSIVKGGVLASKEVNQVKILVNVPCSKNVPGCEGTAKSKR GFRVGSGKAWEALDSITDAEGASWMDLDDDGSLDILVQRSGAQSGERIAFIQNNFYHD AFFLKAQVLNGACEGECEPVQGGKAYNPLGVSYSGATYKFTVLDTEGHRVAQQVAQLP QTGYHSLHTPYAYFGLGRTNNYVEKLFIGTSLPQGSHSTYLESIIPNSQIIINPPYPI SSDENNDTNDADRVLSREYSPVKSRSKEWKSQLYLKPGDWVPWVGVAVFSTTIILGFI VLGLNEREKKEDEKERRRKLHAINFQAL
I206_04247	MASPQRIIYPGESPSESSSSISMIIPLNAPKVNQIDSDDLDSAL VEMMSDNLTKSLDNFKLTFSNGFRPEIELLIQLIIFKFGIWSSINASPGLKMQNMKLI SSKTINPTKKILILYLLLHPPIFPRYFLNRIREYALSKQWSDLPNYDLRKKAWRLIGR LENLSRIWELIGWLGFLYDGKYPSLLMRILQLKLVPAKPHLARMVGYEFMNRQLVWGT FTEFLMFAIPLLPPFPPYLSPSAHLNRMRSLLSPPQKIDYSTIKSQPMANGIHSQRHK GIYADLPLSTCPICHSRNITAPVPLSSSAQGSGLNLPPIEGAGGFGHELDSDENKIFV PAQTDCKGGCRWCYYCIGEELFKHNERVKAYKTSTKKLESKKDAASVQQKDLREKNKI IQEDNQNEEEEKWECLRCGDGVSRAWRVGTEDGNALI
I206_04248	MSRPLPLPLSISALLASSSNSNSDTPGSKTYFDSLTYILGPLPP TSPLHLSLNYLDLIDQQPLNQINFTIKQQQVENGQGKGKGKRKFDERYKEENENNNNF IIREIREREKILIITGPKGNYVDDIQEEDEDIFREITGNLGILKKLKRIDIRHCSTSK HLKLLLTLLTESDSRLNKISNQPHHLESTPSLIILWDIANMLMRKEVIDENEPPRFNQ DEGMPVGDDESLSHQEELNISEKKFKSDTCLSDYMDLMNITKATLDHLNTLHPSDPPT RLIILEPSLNALSSLPILPPLTSENEDPKMPKSARERKIPIIDGARWLFGKDSIGIIH QLSGEADVSTSYYSLTLDRDKSTSYQMRRKKYPKAHHSVAEFELEKDRPMGWRWEWVY P
I206_04249	MTRGLIHDCTPPPNWPESITYLNKSRLSSKFPKELISIISPNTN GLFKPKSIKKNPLKVIIKQIKIENHPAKGQNGLFSNKKIKPGELIIPYLGIIHSKFIL SENENEIQNQNQNDENDENDEHEKSDYDLSLIRISSFENKNPFKGINISIGIDSNKFG NLARFVNDYRGINDLKGPNSEFKLGKGENGELQMEIWSLPYNNNRDKTKQNLGIQKGE EILVNYGKGWWNARNSLN
I206_04250	MREHPLINSPLSAFLDPPIDPDMDFAFRPYDEEETWPSEIGKGG KVGWKKFKVGNDGTLEISYPEIRWDQLRSDHGWASLQYQCILRSKIIIPFIPNHSETS IRIDVIQGVEYAFIPSHISSSFTGPIQWYNGDIYAFAETPIGKREITSKTSNFARSLS FIPGEYVILLKAIYEIRMFGDPAPSIPIIKIKIVAELDDIENLDIIGGLEEVPDIVDG WFMGNWISVGIRVPCEAESIEILGVDSSFGRSVNIALPQGTTNIINGQTRPLTLKIEQ ITPLPEYVRSLDIKLKVKIHDKEKEIVWHPTFKHLRTNNVDRILPFKITFASPSIVSG IPACISHAMIVPPPVSSLSPSHSTSSLPPVLLVLHGAGVDITQPEYIEAVPFIAGYWA ILSTGRNEWGEDWHGSSMLDVWAAREAFGDVVKRIEITVSDQTITKRLTLINCRLMGH SNGGQGAWHLAARYPDRIQGVVAASGWLTIQDYVPYTETISRHFADPSLIGILSSSLS AYSNDLYLSNLVDIPILVIHGSEDDNVPPRHSRSYVQLISSWAGQQASGSVKLIEIPK KGHWWDDVLRLSQVMNFIENLPRKKSWDEQRKVGFTLTTANPQESGGRAGIRIVELDI PGRIGRLDVNARQWKQSNPSKPLDLRGMNIKRIELISENSGKIETLIKTLNGWKSEDK SNLGPLTPPRTYGPLIRILSTNGPMIIICPNSPRIINIAKKISHDLYLFHRLDCEIID DKEGLIRIAKNEIGQSNLIIIGRPDENLYTNWMIRQNRIPLQFPTKGVMLLKDKVIYD KGAGIITLHPHPTSTKAISLLIAGNDELGLELVSRLFPIRTGIPIPDWAIINPQSRWK GSGGLIGAGFWNDHWGWNETMSWMDR
I206_04251	METPNTRSRRKRELENSSQEDGLKVAWVHDSQLRKAVLQATEDD PIAPPTPTQCPRPISNLLKSSSSSSGFGLNSNSSGSRPVRKRPCRGHRSLPTSSKGPA LDTETSIGSISLPSMSILSNVEKEKTRLDYLLDRFSLLSSPMDEEKNKRTDYIGNQAE TPSRNTRSKNQTGSSRGGMITSTPLKKKNINTSTTTTHEPILNAKLRGKSKDHLNENI NSYPHSRYLTHEPQVLFNPPITTSSIVSDPIGSRKPLSPVKGYGPRLGLGSQHKPSIT SNRTGGWTRTNSGKAFRTPFLENANDQNHSIGIRSSPRKITGQHSKSITTKPSISVRG PANHFNASMKTNESTIEITKTSGNISKRPGNLRSNTNTSNTNSSSANSSLPPTPPVQQ VEFKNFDVGENEPEDTSFDSFDGIFASGGEEIERILRSVDGSIR
I206_04252	MSLIHALVARGTTVLAEHATGTAELKPAAQITILSKIPPNNSKL TYVWQDRLIHYASSNGVIYLVMADDSVGRRMPFAFLAEVERRFTASFSSDEVVSASAH SLGDFENELAKLMHQYTTSPPADPLKQAQSDLNNVKDIMVQNIDSILQRGERLDLLVD KTDTLAGQAYAFRRGARNVRRQQWWKNVRITALTGFVLILILYVFIAQFCGASLTHCK S
I206_04253	MTTKTTPSSFKINRKNGSELSSTTLDPTEVMKAMLAAQQTKQTN GKGKGREIISDEEDEDEEYSSSDSGLDELDEEISHGKGIPENQNERKRNRITDDEEES DEEEEEIKPHKLENIASSSRINLSSRTSSSLNNTSNAKAKKVDPQAAFSANPKPSPET SFASLGLSQPLITALKSINIREPTEIQSACISAILSAGRDCIGGAKTGSGKTMAFALP IVERIARDPFGIWAVVLTPTRELAYQLSEQFLVIGKPLGLTTATIVGGMDMMTQAQQL ESRPHVIVATPGRLCDLLRSDGSGQGKLSRVRTLVLDEADRLLTPTFAPELAYLFSQI PPKRQTCLFTATVSEAIMELANKTPPAGKDKPFVYRVESDTMTVSKLKQKYLFIPSQI RDPYLLYILHNPPEDIDITLRSKPIKIEENDKQKNQKNKNKRKNIMKKEEEKNEREEE EINIPSTIIFTQRCATAHLLHLLLEQLEISSVPLHSHLTQPERLKSLSKFKSQQVKVL ITTDVGSRGLDIPEVSMVINWDCPRKSDDYIHRVGRTARAGRGGIAITIITEKDLNLI KLIENDIKVELKELNLPEEKVLENLNKVALARRMATMEMHDSGFGERQAINKAKAIKR MKRDAAASN
I206_04254	MAPSVSIPQGATTDPPPPVILQSSDLISSLPAPFLRLLVLFARP ISLFKSILEILLWRNGNRVPSWMIIGAWWAVCLGSSHAFRFLLPALIFLPFLPLERLR LHSAPKQPTRNTALEPSTSDTLLSTLSDINAIYALLPPSPLPTITAIYDRFRQLGPIR LLRGLVVLWITWIALGHIIGYTTLLGILGSIVLLSPSPPLAHLTNLLSKSLFVRRSLA LIFLFIFGSPPETSYHFSISHFSPLGWLKSKWTTSRRPSLAFSFKPKFSGPVASGSAI DDTDNHEEQEGSKKVEKPIYFKFELHENQRWWMGLDWTSALLPNERPSWCDNHLQPVS PPQSFTLPPPSSIITPNPTSEDPNAKVLRTTSWKWLDDDWLIVKQGPGLVNSTSTGNV PSPTIPQDDQGFTVPALQKEGSSSSRPTSFISTFGSSPPSITAEDTLSPGARAQSIAE QAFTKGLERLKARATSINPSATNVTSNSPRKQSADTTSFRARTMSQTSEDSYHTDDPH GQSGNVNVNVQMPMGEVIVDNDDATDNDGWVYGDNKWEGMSAKGGLGKFTRRRRWTRR AVLIESIIKLKSQEPPIIVPSSVSTPIPHDITTGKSKPAPAIAVTVKTTDSLGNKNPN LPTMKMKDNEEEMKNTGVIGTTSLSATGISTQSRDDVLRSRLKKAMGSVGG
I206_04255	MGLSSPLRVENSIDRLFPIPINHPSLPSKPTFKNEVKHNTIPRI TNIKWSDMIRLPDIEKQSTSKKGNSSVSSLISVLEPDANGGLIIDVDPWAPRLTSLQF PTPEELCESKKMGRDAVSHEIDSHRSPSLRIGWSNSYLSHRSRVNQAPAKICGVFPPS QLFHSALENMATVVGMKDNYPWYNYHKSLDKAFSIALKVILNTYNDVNLVSWTSTRNY YEIDQFTPPDVLWVLINTADAQILESLCRTFRRLLDGCPNTQRGVILTILLHKARNVR WERWKGRKSWSESMGLLFLQSGYKINEEMDIPRVKWSEIQEIISGFGRKRKRTKDGFD GEREYRSKRIKV
I206_04256	MSVDHLEPNEKVLVGCIGGSGLYHLDNLTFVKKLDITTPWGKPS SPITISSLPSGDLIAFISRHGENHTITPTEVPVRANISALKHLGIEAIIAFSAVGSLR EEIEPGHFIIPNQIIDRTKGIRQDTFFRNEGIVVHSMFGEPFSKKLSEFISPKVENIL KKVSDGKVKVHTEKTVVCMEGPAFSTRAESLMYRQWGGDIINMSVIPEAKIAREAELD YTLICTSTDFDAWRIGEAPVTVEEVIKTLHTNAGNSRAVAEGILQDVVDVVKEGKVLN EIKGSMKYACITRLETQPEAARKKFSYILPYFSDEK
I206_04257	MSLSSATTLISPTSTKAATPSATVGGSSSHAHQPQRRASVHSSH VMKRKPSTHAAHTHHGNSNRRSSESEHGRRALAAGLAMHALDTTDALVKRKKEKRPLP SKNSRSDTHLPRLSRTTSMTSNTSHESHGRRPSRGGHKRSEESVSIVQDNGEEGEEVD EGEEGWESGDGGDHLEISPEKSKRGKSRANASQQTRKESSGMRRTVSDTSAEPTKTND PIISEILDTKKKILTPSDAPHPPALTQKTTGFAGTTQQPDPSVPAQDPHPVVTPGPIR RNTSAKSLVGPISMEPTTSNDSAQPPPDPSVDMGRDEIRDKSRRPEASSRLRSTEGSG LPKSEAVQPTKEVSTSPSYPFPQMPSEADSHGESPQDDKQPESAKVKSQERQRHTSQP APLPQSQPSASGSGSTRSRQTSQQYPQLRHRYSNSSLRSIQSLRAPPHPLNSPTGYRT QPTTATSRPGSLFGSPTKADARQRVSSMHHPPAPKPQVSYEMAKGQGWDEPILEEDIP RRSGNSNSQNRPQSSRKESVSSMRSIFAPLTAPTMSSPVRSSSGQGGRRKTALEAAAQ ASKMSSTNDPTAYHNSLGHANIPETVYLISRFLPNNSNNKKSINKRPKWEMNPSDLQH FQEDHEHGDVDENDGIKIGLTNGDYRESHESLVKTFKNLSINQQDQKRNRSGFNRNYS STYNNLISPGMGIDGGGEGLLKNTNKDGYNLIVKKGFGGKTPFEMSLQRCLAQRPGGG NGIGF
I206_04258	MEQLMRMMQSGRAGMGGPNPQGETIVADNGETVHISALALLKML KHGRAGVPMEVMGLMLGEFVDDYTISCVDVFAMPQSGTTVTVESVDHVFQTKMLDMLK QTGRPEMVVGWYHSHPGFGCWLSSVDINTQQSFEQLHPRAVAVVIDPIQSVRGKVVID AFRSINPASMATGQESRQTTSNIGHLNKPSIQALIHGLNRHYYSLAIDYKKTEAEQGM LLNLHKRGWTEGLKLRDFEEMKEGNKQAVDEMLKLATSYTKSVQEESTMTAEQLKTRH VGKLDPKRHLSEAAEKVMGEQVTQSLAMGVLAEL
I206_04259	MSQENGTPPPPSTSSTFPLSSPSFWTEAAATVLPSPPTNHNKRS RPKFELSSPQSDYTELVEFDEETESKQEIKRSRFDLPSNSNSTNLAYLPKRSDFLNNK NINDPHSYLANPEYAPNAFGDIGDYMRKKEIKVQTQNKDIALKNQLELNLPKIFKNLS FYINGNTNPPMEELRKLILQRGGEVRPVLRNKGMVKFIIAPILTQSKFKEFKNYKVVK EGWIIESCKENKLLDWRIWKLQIQGGWEEESRKGLEAFMKSQRTASQQITDPVKEEGL VAEDDHTGAVTSHILTDVGPTTKGEETAVLPKPLSPIRLSSASQSLLAPYRPSIIPIS PQKLPQIGDQPKKEDSSPSALSDLPHNIHKPEGTWEFYYSKDSNEHAAQALKNDQWRI KNTAERGNEGGFIDGYYQNSRLHHLATWKSELKVLVADAQKRSEELSLTTPSSNNISS LSFANSALPSIRNGIDNIESQRESEKVIFHVDFDCFFVSCGLATRPHLKGKPTVVCHS QAGKNASTSEIASCSYEARAKGVKNGMSLGRARTLVGDDLQTIPYEFETYKKYSLAFY TVLMGYADDLQAVSVDEALIDVTSAVDARAMAPEEASDDEHPAVEVAEKIRDEVRKLT DGCEVSIGISHNILLAKLATRSAKPAGVAHLLHSDIPTFLAPLDVEDFPSIGYSIKSK IKEKFGTSNVDGLLQVNKLSFQRVLGPKTGEMLFGYLRGIDERKIEGDKVRKSISAEM NYGIRFKNMDQAEICINDLGYEVAKRMQNLGVKGRQITLKLMKRHPDAPIEPPKFLGH GWCETFNKSSAISGSRGNPTDEGEILAKESVKLLKILNLDPTELRGIGIQVTKLDTED KDKGKEREVGQGKLSFGPKQPTKKVTNKTTKQEELIPQEVIAILSREEDVEPTIPPSR SPSVENDHSEKSLSPQPEAGPSRLPNSPLPQEREEQEEIDASFLAALPPSLQEEVKRD YREHRAASRERDRSRSRSRAGSELPPEDDNRAKMISPVKGKHSAAHITRQLRPKLKTQ LKIHQLNERPLFSAWNRAQEKNDDIVDLTTSYEEKEEEEIMIEGYKLSELKELGIDKE VFKELPIEMRKEIIEEERRKNKRLLRPGNNRGIRREISNSISPIKRNSLSTTKTTELM TLKEISKYTIKSIDKPNLFNLIEIKEILKIIENWIESRIELGILPANKDILKVKNYLI KSINSKGLLGIENSIELIKFMKLILREKFPLQENFESQNNLQIEEGDEIENKFGKIWW NIWKDYKNELQFKVKSLLGSELKL
I206_04260	MTKSNQPIFPNPPKKVEGYHEFLPDQLQPIGSLLNPKEYKQNQE PPALFKPLTIRGVEFPNRAWVAPMCQYSADDGKATDHHLVHLGSMAMRGWGNIMVEAS AVVPEGRITPEDMGIWDDAQIAPLKRIVDYIHGLRGKIGIQLAHAGRKASTPAPWTLR QAIEDEGYEGGDVVGEENGGWPNNVQAPSAISFNEGKYPNPVEISAEYIENLKKAFLE ATDRCKKIGFDFIEIHGAHGYLLHEFVDPISNKRTDSYGGSLEDRLRLPLEIAELIRE KWEKPLFYRLSATDWLEEDLGSEKTDNGDWAWWGIEQTTILTQKLADLGVDLIDVSSG GNDLRQKVKVGPSYQLPFAEHVKQNVKNVLVGSVGIITEPEQANDIIEQDKSDVVFLA REVLRNIDFPLQAALELGAAVSPAVQYERAWTRMLVKRDHLKAAAHHHGLTEVQGEEG KKIKGSNGD
I206_04261	MDANAIQIAVRCRPWHPTKELPFISQPSNQPYFYGDGNMGATST KPVAPGALRNVVEVIDSRELDFDKPQDPNEAKRGAPMVGRRYKNRKYVFDQVFSMEAG QEVVFERTAKPLLPGVLDGYNATVFAYGATGCGKTHTISGTEEQPGIIVRTMKELFDL VEDTKLQYDTYFQMSMVEIYNETIRDLLSDDYPACPPGGLKLLENEKERVKVANVTNK TPTSVEEVMALVMLGNDRRSTSFTARNSTSSRSHLVLQINVVRNERGSDVDVAKSTVT QCSTSATLSIIDLAGSEKASVNRGQRMKEGANINKSLLALSGCISALCTPPNRGVRQH VPYRDSKLTRLLKFSLGGNCRTVMINCISPSSKDIEETNNTLLWADKAKKVSTKVSRN TAGVELRTAQWIQKIVILEATIKSLESQLAAGHTASSGMQQRRLNKARVDAKDEMSRV QKELDELLPVILEGSEMEALWNASILQVEALDTRLADIDIEIQSGRSSEDALKEKTHI RNLIQQQDSSYRFNSEIQSGIQNKSLKNITLSNMLKKAEERGFDDDLKEAKYEHQLEI MKHQLHITKSVSAAKERGLRDYIAQQADQATKSASLLSRFTILLQNEISTLTSESDVD LVLKRLKSLMGTVDSSVATLFGDASADVPSLASSARLPVSLAAPSPRRPSARQSIAAS PKRFIKKVSPKKHVPLRPSLFGSTSLNADKEKKGLRWRDEVGEGKIDDRSIAPDCPVF SSPSTNNEMSIGNISTATDSSSSEWEDVKARTKLPLPTSSKPLSPVIPVSLRPLNTHS SMSASASSSTLPAIPPPVEEVPAWKQMRMARSLNSFQPITTISEESPTKSPIGLGKPS GAGAMGPPARPGPLGELQNNPSAVGPRPSSSMSHSTSMSNLLRPTAASAAKASAGNGN TSSTSIFANVKIPLNSFSNGSPTGIPGPTQSLPSRRVSLIGPARHDRPKQRLSMLPPS LSSHASSDASFSGIMKGLPIPSSGGGNTSVLSGGARRVGGPRNSIMTSSTSGLPRGPR PSITPNEGSKPVWR
I206_04262	MLRALNLLGQCKYEYLRFDTFPSNVDEQENIFQPQTSSKIKSSI DFSSFSNSSSLLEEFSDSSSSSSNSKSIKSYDFKRNNIENFINISPYFRKINDNMKTR NQIQNERKTLNKDEEINLINHSPKIPTSIIVNDKLELKKEKKKRKVEKEVCNENQNKL PTLENLSKSKKRKRKEVEVLIEIPIKRKIKTNIKDNIEKTFKNDEDEEKVKKKVKRKK KKEVKINLIEDYDLLNSKKKEEKEIIGKSEKGIIENIGKIHLIQEKLRFDPWKLLIAT CLLNKTSGRAALPILEILLKKYPTPKDLSEASITDLSNLLYPLGLFNQRSSTLIKFSS QYLNYNWPLYNPFYNNSNSNSNSINQPLLTRNIKRINNDKPIPENLDVKIFYGSGIYA SDSFRIFSNLNLGKGAPENEIKWLKKGERSKKRMKNDWNGSIDELGEYMSDEDELQQL QQEEEEWRKVIPLG
I206_04263	MNAPPSSPTPIKCRGQTIVFRPPYGIDVNQLLLKYPYEPPSSSL VTSFETQEQHGLPILDSAKRTEVRAKRKRVPTWIIKTGDDHPYQRLEVFSSSASSNAA TNSTSPNSKRSSERGVTPSPINTEPIDWIDFADPEAAYAEYGSMGNHPSFSEESWSSD DDISTIIITPKTSQAAPRMVLTPQQLASLFHQPIQLATPPPTPPQARVRRVSRSPCLP TIPASAPNSPTRDNPPMPASPSSRSAPGRIFDLSCSRQNWSPEIDTTVSFPEPEEVTI GSGSQDRAEKGLQCSACGKCVELKKANKMIPCGHVTCSVCFSSTLSAVSPARTHSQCV ACAGGLITFERIKRMPYQDGRSPASEEVVPVVMRIDNIAWDMTPDIVENFLPPNTLST EVHQAIHIPLDRFDGRTKDYLYIETASLEAAKKILQSRQNSYMPGGPGTGGKKRPVPI TIVSEAELISELRPQSTQELHCLLNLCHLALGPPIAAARFVKSRHGPFYALMSILSKL SGQNSPAYWDLFHIASGQTNFHLQRRPLTD
I206_04264	MAADPQFLKYVDEHQDDYIKRLSDAVAIASVSGNLAYVKDVEAM GEYLVQQLTALGATVEKRPIGTHTLEGKEVDLPPVIIGQVGNDPKKKTLLVYGHYDVQ PALKEDGWLYPPFELTPDPKGSGKLYGRGSTDDKGPVLGWINVLEAHKNLGIELPVNL KMCFEGMEENGSVNLDKFIESEKDKFFSGVDCMCISDNYWLDTKTPCLTYGLRGINYY EIKINGPDRDLHSGVFGGTVHEPMTDLITLMSKLVTGDGQILIPGVKEMIAPLTDDER AKFEAIHFAMKDIHDAVGGDVTIYNDGVKTLMGRMRNPSLSLHGIEGAFSAPGSKTVI PCQVKGKFSIRLVPNLTVAGVTEKVVEYVKAEFAKLGSKNKLDVYLTHGGEPWLADPN HYSYRAAHKATEAVYGQTPDYTREGGSIPVTLDFANILGLNVLLLPVGRGDDGAHSTN EKIDTSNYINGTKLLGSYMYELAAIAKD
I206_04265	MLTPPYHFSIVASSITTGNNNDVRNETLYRGSIPTTRNKSFIKR LNLKTVIVLRKKALKEEDDFIRWSKRHKVDVKWIKAEELGEEKLGMGKNEVSDVLKII LDPKLYPIYIADKDGISHTTLIVACLRKLQGWNMDCIINEICRFEPEHEDLPFIPFIN SYLSHSSSESLTISNYTNWLWPPIPSNPVASSSKSRDKAPTNSNNINGSLPFPHPLIV RKHPNMKIIFPQPQPQPQPQPQPQTQSQSQNQSIGQSNQIGGISMTSTVISSPTLSPN QGLSRVNSRRDKIQQSGIGNEDHGSSSISQILSNDNDNNNYNIENKEDTKDQLDLNLK ENENKLGRTVSFNSITEKEKNSKSQVQVQQSQNSKSITNTSTSISTNQQSNQSSPLKL SREPTFNSSSDQYTSESISTEEGENSIQDYVGEYNDEDDEYYEDDDDEEEEEDDDEEE EEDDDDEGNEPTSQYISALDLAGFG
I206_04266	MPKPTFLQAVLKKPTRSYADPYHSSPWDDQDQQDSTEQYGGNSY YSSSRYNDHTSNGAGPSKPRRAGSTVSSSVMSDIVPSSSAHSLSSRFPKPARRVVSNI TLRDGQIIQANSSAIPKSSSSGIGGSSSTSRKNTIKGTRAAYNGEEGLTRDGTVKKKK RKPKSELNNLDDDSIISSPTSNESLVRPPPMHSRYSETSSSAPSSPIPPLSPSTSTQQ IPTIPIGRNVEQTISRPTQKSLSPLPLLTPPSSEMSTPNSSANRIQTMASNKTIPEIP RKATLVPPKVVIKVESDDEEEEDVFYTPRSSVMDLQLPSPVESEPLQTPQPMQQHPMP PTLNFLPPTPAPMNETSVSPFHSEPSSTTSSLHPDRPFVPHFPPSSIGLSDQNTAPVP ETVIPFQQREDDDAHSAYGQVGSDEEEFSERQGRQSKSRQASGTFDRSRSGLWSNHSS VIGRPSSSSIDGHRASSRHSSSRQISRNSSDELQFHRHRRASVPASEASFVSIPNKPE ASMRGSISGYGKGGWAAANSMSGRSRPSSPVMFMPTSGDGFADFHVPPPPRQSKFTPL PSASLSPTFDKITDGVRLGGSSIKGSQNEYPSERKYGEGLRPPSRGDSSPSEYSQFSD GLEGLEQPSRSYLKKDTSEASHSTPPSEDDSRAMDTRWTPEQSNRMPAPGTLAFPVAR VGSNSIDNYSVSRPPSRAASYTTSADRPMSPQMDYRPPSRAASRTTERPMSPSSDYRP DPVMLNRPISVMSNSNSPMTFNSPSFLNPDILTILPEMTHEDSDKLYRSTASESGKGR RTSVQDWGSYNPSKRSSIFRARSEVGHDHEEDEGDAPVPPPRRSKSVMGFRNLSHANE YHDEKQWQGSTYGDGVLMESNGRAPDSVGGYTNLILPSGAYKPMNPAKSASAIDSRIL GMPHGTMASIVLSTTFSRHSSTPAHLRDQLPPLVDFSSHLKPPTKVNDHQLLIQVYAV AIDQYDVRALDEKARYEVGKYVPGRSFVGRALVVGVDEKEVVRGDIIIGINDIRKSGA LSEYMIIDRRRISRAPFPTQLTLEQLSLLPLQGISAARAVRTHLVRNSRALIINAHTS VGALVCQEMSRSGVNVTAVITGGENSHENHKLCIENGAKGVLTGSPAAVMLNLEESAW DFVFDSIGGIRVAEAAKRLLKDGGKYITTVKFDTNSSSSSSPNEPKSNSRPSGLKSLK AAFGSSKSNRKDSKYINIEYLNEIGIGEPEVDSSGMDFRDIMEEPCMAIFKPHLPEFI IPKHNSINYDSSSTFGSGQDERLKTIVNFEKGHEIFKRDWEGVRVIRVIN
I206_04267	MDMSNSLNGVGQSSESFVQPTLFSSESVTLIQMKNKPKSDHRFH THSRTTSIAIPSNESDHLYVNLKRPYPLILLSIIDSIFTFKHLNEISRRLIFFPFIRI TILIWITLNSQWRLKKSHILFVVGLSLVNSIWEVCTLILMRSTRQDNESDKGSVPRTS KFLVITSLLSILEYLLFLILLRISPNSPTNSTLPKTYNTSSIRLPTSSAAHTPSSIRF KDSNTPSSVRFATYHQHRRNVSRGTLRSVQSAHYDNESTTHVRNEEDDVFTSGAETSF GQLERRSIDRLGRDIIDGYTDNEYEGLENEIHDSHELYHYDYDSQDDEPQNENIYDES ENHEFDDVINHRYIDEENGQSEYEEEEEDSSSVSSSSIIDLPLPQSPSLIPIPITLPR STSLNLNALNISRVSDQLGSSPIVGPIIRKTKSSKLLRSSWTNSTNWLNNNSNRGEDR DHLVEDNYGTFE
I206_04268	MEKNASAAGSVEDAKGVYPDIAIIDASQETDRPAQTSSTVRGLT LKHIQLIGIGSTIGTGLFLGCGRSLIAAGPLGSLLAYLIFCAICWGVCLGAGEMGSFK PVPGGFISWAGDYVDPAASFAVGWNYFYAGTMFGCADIVGVAGLFGYWLPDVTPAAWI TMSIVIIFCLNAFHVKFYGESEFYFASLKIILIVMLILMAFIVMLGGNPQHDRIGFRY WKDPGPWASYYKQGALGRFLGFWNVFKIAAYSVGGPEFVSMCAGETVWPRRNIPKAVK GVLFRLTLFFFFGILACGVLVPYNDPLLAKAIKSGVGADASAYVVGMKRVGIKVLPDV FNAMVITSALSCANGFAFVGSRVVHSLAVRGQAPKIFARTTKHGVPIYALLVVLAIFC LCYMQVSTNAATVFGWFINLSSVAQLLNYVAMTITTLRFRAGLKAQGISRHDLPWTTK YTIPYSWFCLVMLTLIVLTQGWTVFVHGGWDVQNFLTCYFGIGFVFVAYFGWKLWHKT KIIKTDEMDFKSFVPEFDALDDEYRAA
I206_04269	MSPIAINEAVQEELRATPKAPLKQEMKDENESTRPKVCPTVDEP FSERNADGSYKVLNQPLGMRRKLRVVCVGAGASGINMAYKIQSHMKDVDFVTYDKNRD VGGTWLENTYPGVACDTNSHGYAFTYHLKADWTAVFSPGAEIEEYMRDVVRSYGLAKY IKLNHQVLGAQWDAETAKWHVRVREGDDPSTEFTDICDIFINASGLLNHWKMPNIPGL KTFKGPVIHTAAWPEDLDLKGKKVALIGIGSSAIQVLPQIQPLVKSCSIFIRSPTWIA PPREGELLSPEQIKHYLDHPEEHLEYCRDLESLANKRFGYITRGSEDQKNLYQAWSKS MEDGLKSRPEYYDKLHPSFGVGCRRPTPGPGFLEALTQDNVEAVFSGVDHIDENGLTT SDGDQREFDIVICATGFDVSFKPRFPVIGKNQINLQEQWSNSPRAYLSIFATNFPNYF TMLGPGSPSAQGSIIVSIERISDYIIKFLNRFQHETIKTFEVQQNALDELTEHMQEQL KLTVWTDNCSSWFKNGDANGPVIALHPGGRLHFFSLLMDPRYEDFNYTYWNKNRFAYW GNGYTLREVE
I206_04270	MEGVAFITGGASGIGAATAQAFISKGVKSLVLVDINQDNLDTFS QKLINDQEEGVKIDLLTLQCDVTDEIAIKEVIKKAVEKFGRIDYAVNSAGITNKSKVG EYKTEDWNRVINVNQNGVFYCMREELAQMEKQNYLTCKSKDKCRAQRGSIVNVCSINS FVAAKRNAAYVTSKHAIVGLTKTCALDYAEQGIRCNGIAPGYIETPITLSPQNIEVLK KSTQPEKTPQGRPGIPEEIADVIVFLCSEGASYVNGAIWEVDGGFLTL
I206_04271	MPAITACLACRALKAKCRREDVKEDCLRCSRLGIACESVPRKLG RRLGSKNRPKSISGSTTSPPSQITPRSVKKPRISIRSPSPRHHAREYADEVETPRDGH TRHCTPLTTSQHHQTSSGAPQPFSNMLNVLAKVADEMPPNQLPNTQQNNMISSPETAT SPYSVFDRRKFLDMYRQASRSLDPDPYMGMAVLEEGLDLLLNSDPEERETKILPSEEV VYSRVDQPRRDLLDEWDLITNGSLSDHEVEDLSDVYWTRVNPIIRLLDPKIYTLQYIR STSCFLLTAILQVAAQCLPVSRHSSSLVSRLDQHIDILHAEITKKGLQSLEICQGLLI YSTYMRADKQHQTWQFIAQAISMATELRLDTNASPTWHTTEPIHSHLRATVKRRNIQR FWLCLSEWDRRLAFIRGRRPLLRDTMLTSTNSLRTWWDEPDALDCDVMTCATISFRGP VGTVQRNMQRVMATHEPLSFEDHLANVDGEMDIWRAEWFNRLSLEDRQRAEHDIRASR FVLLMTPYDNRLGNEGMPVLARDECLVAALEVCKNAIPLLGGRQMGLPVQNVTAARLY LFGYTSLCALRIMDASLRSRDENRPNMEEELFHLSILSALAERLCKLNVHQHIALIAS VLGRRLLHACRKVVNRTLSRENNNNTINQISSINTINTGFINNLQQNHHHHHHHHHHN NLFDNGNMNGIFTDDLGGLTGTLVPQDLAFSFGFEHLTNVGMGDLFPLFDNNDFGNNY NS
I206_04272	MVSSISSAKKVAIITGAAQGIGKAIAIRLSEDGYNISLADLPSS SKLLEEVKAEIVSKGHGTDVITVQVDVSNEKEVYDLVDKTVQQLGRVDVMVANAGIAP VTPFLELSVNTLDKVYQVNVRGVFLCYQAAAKQMIKQGEGGRLIGACSMSGLRTGANM SAYCSSKFAVRAINQGAAVELGKYGITANVYCPTVVETEMWNKIDDGMSKVKGTQKGK YTEIRANLNPLGRNATPEDIAAMVS
I206_04273	MRRIQISTFFLFPSILAVVIAQELSCSTTNLDPCSVPSPGGLFV FRQRFEPDVGSDHGSWGIDGLEVLDCTTQKSQDKISYLPTYTHEEIGSYCAKSSLFGG EKGYNDAEKEWAQSEVGEGVEEVWERTWNTAGRFISTFDHKCFEKSQAGMGVAEFFLI TPSDDTTYSLRELTEALSTIDHKPLVQCHNTTLTSVSWPLNVKGKFDSGSFQAAAKFE RKSNCPLEGIIYPPSTTLTPTKKSDDEEWEHLRRPPPRPITLSHDESRLYYRKEAAKE DPVVKLGLRKEHDEERDELKGERAGKQYWRDEL
I206_04274	MSSDEARLQNARETIDSLHDLSQLLNTGLDKNTLSICVGMIEQG ANPDTLAAVIKELRRENDQLKAQRAE
I206_04275	MRFINLIAIIALPLSFAKSQLQSQEPLQVYLYPTPASNSLNNGK SIPTLTSYQAKAVLSHHLGENLNDFDEIPNDESMWSHLMNLWDNQNIQGKSKIVIIDG GVSSQDVLPTTLPQEPAFYLKNDISTNHLLSPYFKQAKSYLESIMDELPQVVKGFKDV FEMAGTKAASILGHELSCLTALADSIPWIKQDHYPWQAITISGLNDVTKGEEVWENGR LGVKAGLESMTQPNSPPLLLIIRPSSATTYLTSRALPQTLQSRANTSELAEACYTSNE TCSESTECNGRGACSLKAKNGDTECWGCKCKSGYAGVECQKDDYSTPFIILIFSTVLL VTVAVGSIGLLYSIGETKLPSTLTLAVGGGAMKRD
I206_04276	MSYQAYPPYQQQIPQQGGQGSYYPPSHVVPPHDPFRAYYSDRLR QLTFNSRPIIQDLSMMAGAQRDQNNWEGMNMIVQEIEEATLRALPTQKLPLLYLIDSI SKNIGPPYTTHLLPPIIPRLYLRTYREVDGVTKAKMEEMINLWRSGGPNRTELYPNGV REQIERDIFGSSYSSSSNGNGNGVGIINNFPTLQQVKQTVQNALDKKQREAASKAWDL PTGQQVNALTGILNLLNSTNVSPQELNQIMEQVKSIDLTLQSTSVNPIRQPVFSPQPP PSAHLSTPNWNQPPPVTPVSQNPSITPFQRQPIPQSVNVRPPFISAPTPQANLNTPIP GSTTPVPVPSVPIPTITNLPIDVSKILNSLNKSGIVSQNRTPEPEPQVKVTVEKSPLE VYEDYIINLNLSLRNIDLNAPNKLSFNHLPHRCKQCGMRFASDGPKFQAHMDWHFRRN RKERESVGRGSHRRWLVRSYEWINDTYTSNAEAGPSNTVNSPGKSNTSLKGTISLERM NQLKEKWVKVPQDTKKASSICPVCKEAFVKEWSEDEEEWIWKNALNINGTYYHATCRA EQLSAMRRLKGSDPNKRSTSGSPRLTTTPQPPDVTAQTQNPLSMQIKNENLEVPSSTT ASPQSQKRKAEEDDLENGNKVEDGLESKRVKVELESQTTEVVENDLQGNQDISPIIKE ERIEEHISGNDEQVDENGSLETQADTQQTDNSEIENVVLETTDV
I206_04277	MSSSSSASIPAYRPSTSTPPSGKRKVTKPAASRSSQDPFYGHEE TAVMSARFITSLFQCPNIPASTAPGAPTPTLAHFVAYALHRTRLPSVVTFAALMLLSR LKQRYPAARGSSGHRLFISAFMIASKVICDDTYSNQSWSIVAQKMFALKEVNQMEREM CGYLEWNLNVAGDEIVDFEAKIRSEHGPRAVARASTASSSSSSAGSVIVPIPVVSASR SYPTPETTPDPQPSSRPIRAVPSPYKARATYQPQQSAFPSPPISPVSHHSSPHPPHFT SSASSSLQSSPASDDCKTPSPVTLTGQHHHHHSKSSTRLPAKGFDMTRAFESRSRSHA VNMHLSNEPIHVGGW
I206_04278	MVLVLVIGDLHIPTLTHDLPAKFKKLLVPGKIGQIICTGNVCDK ETYDYLRTIAPEVHAVRGEFDENTHFPLSLTIPHQSLRVGVVHGQQIVPAGDADMLAA LARQMDVDVLISGGTHRFEAFEFEGRFFVNPGSATGAWSGLWNGDATPSFALMDVQGP VIVTYVYQLVDGEAS
I206_04279	MRKRKSKSTKSLTQGNIVATTQNTNPKTVYSTLQASNSASERST EEIDETLDTHSSLSSDISVSTQEDSIPVAQPTPERTDNQKDSSSTPRLIVTAFPSPID DLLRGILGIIHQAYIELVGMILGISSWWGGGETSSGKIENIPLDGRQRVQRRRRRVEN GNSNGHVSKDDHFPGMVNLSGTLCYMNSVLQAFASITSLVCNLEKIVELAIETDTPTP VTDSLLDVIRDLNTPYSHPPPALRPHALLQALHPLPQIRRLLSTREQQDAHELFIVLA EAISDEAVKVATEIARLKGLGDILSLQQYADSKNRGGDTEGKNKRRKIRGIAQPWEGL MARRRTCQRCGWETEVRMDLVGGMELPIPLHGDVTLDSCIAEYLSPENLSDVTCEACS LRRTLAHYQNEVERLSAIPDTSTRATKSIQKSGHSSSASTSSFSPLDGLSTIASTKED KMTNSRKKRARDARRVTNRLEEMLQSNVISNFNEPFLDPNQSKLDGTAPIPIKWQLSK TNSIRQSILTRTPQSLRLLFIRSEFTPYGSVLKKTARVNFPMILDLTKFVSDDIWNSE KQSNNEVNNSSLSSTTTLINTYNSQPQLNGFNHNHDQRKKILYRLDSVILHYGYTHSS GHYICLRRKPCSTSKNYNENWYKPDKTNKSCPDGCICESCLYFGQVREGIENKIPGKG WLRISDADVEEVGEEALYESRGAVFMLFYEKVGEYVSSEEKNGNRLPELSANSEPEEV S
I206_04280	MMFRLDYTVPQNRNNSIPNPPGYLPPTSAKQLSKAAQPDSDALI KQNRKSTELKLRRAWDLALAPAKSLPMQAIMLYFSGSGIQIFSLGMIFMLLTGPITAV FNIFKAFESLRPTVSTSSSFNSNRNLSESQIAEPTYGPLAMPMIAYIACQGLVLALGL WKCSSMGILPTGSGDWLHFETRLDPPEWSSIRTLSLG
I206_04281	MSPVHQVSKPPTHPNDHNKTVPTRKSEPSQAIDLSNTFFAPLDC LQIFMQPGMGSYRVEMDGPEFMDKAFVRITPPRKRAPGRKPKGVKTKIEWERNPYLKE WKVPSKRSFRFVDNQEDFSKVITCNVYEQITAGKTWDVFRGMIMLDDRSVPLQPVVLK LTKFKSFNLPDEEHNPQNGPFFAEDEELNRIDAIHQVLNEDFILRQYLVGLQGTVVPI YYGMFVWHEEHEENDNEVKNWIIATIMEDVGDPIYPVMSLYPLPVKDQILECYNAIHD QSQVVHNHTASKHILERDNVNKENIEIGRFCLIDFKEAVSMAELQSTESENMIDSEDA VIRYCLNI
I206_04282	MARTPTSTSGALILEEPLIRTPYELLRRSHRSAQRQVEKDFNAV SVALQSIVKSLNSSSSSEEGRQSLVNKLDQVGERAKGLKRKLDDIQPNAREPTPLRSR LDYLDTLASSEQREKRNKSNEIDDKVKAEGLDKERKEGEIKMDIDDEGSVTPTSEPKQ QSLLTFDATLDRYIVDYLLRTGRLKTAEALAKKQNIEPLVDIKLFTELTKIENALIDK HSCVEALAWCGENRGTLKKTKNDLEFTLRLQEFIEICRKRDIQSAIAYSRKNLAPWAA THLSEIQQGMTLMSFGEKTGVEVYKRLYDSSRWTTVRDQFRSTFLTLYAQPSQPVLSL ALSAGLSSLKLPSCAPHHTQKSVGNIDCPTCDDHLKVLAAELPFSHHVNSTIVCRISG EVMDSQNEPMAFPNGYVYSSKALREMANANFDVVTCPRTRDPCAFSRLRKVYIS
I206_04283	MSNSKWVPLEASPDVFNSWSEPLGLPTSLTFQDLFSLDSEFLQF IPKPHKAVLLLFPSRGKLHDERKREENQGEGMWKGESVWWIKQTIPNACGSIGLLHAL LNLPEKGQDALDPNSQLMKFKSASLPLSPIDRAKLLDESNFFSEAHLSASQSGQSSIP DDLDVDTHFIAFIEAINENGEKRIVELDGGREGPFDRGQSENFLEDVAKVVQNKYFDR AEGDTSFNMIVLAGQPDE
I206_04284	MGCTQSTEDAAAKARNAEIDEQLKRDRANLRNEIKMLLLGAGES GKSTVLKQMRLIYNKPYDVEERDSYREIVYSNTVQSMRVLLEGVALMEIPVSPNNQTR WDLIMAAPTQIEGDIFPPKLAGAVAGLWKDQGVQQAFIRRNELQLNDSAPYYFDAISR ISQNDYMPTDQDILRARVKTTGITETHFKIGELTYKLFDVGGQRSERRKWLNIFDSVT ALVFLIAISEYDQKLYEDETVNRMQEAMTLFESVANSRWFTKTSIILFLNKIDLFRAK LAVSPLSVTFTEYRGGTNYDAACSFLLERFVSLNKNPSKSIYAHYTDATDTKALSFVI SAINDVIIQVNLRDCGLL
I206_04285	MPPETKNRPLARGDACQQCKTRKVRCPAQKPACANCTRKNRECI YTSRTQQQNEIEPLPTIQPEIYDIPHLPTHSSTSFDLLRQNGQSSRSSTNDLPNTLPP TPSFESFSLDPSLSQIQNDTSIQGNNWIQDSGMVGLLPSMMGPIQMLPSPWENIDITN LLNDYNENGIHENGIDKGEISEKERDHLLLLYFTGQRLLGVDMHISSFYERLQSSDSS KRPHPCLLNAIYLMTCRKSPLESLRKLETTFYKRAKEQMEEAIISHDTVFDAIRAGTM LITWLFGWDRQLEGWAMLGQTVGLAIAVGLDRIESSVDVSTEYKLRSPTSYLPPAKSH LELADRINAFWILYLVNKCVCIGFELSSLFDLDRITTPLPRPWEEYETIDAHLKTCDR RITDIFEKSSSSQTCDKDHTPEFGYLICAADLMHQVSLRPQSIVEQEKLQNRLKMLNA NLPSNLKTTDRTKDGKITIRPETATLQLITLCTEMFLYSLDQTLGKPDPRALEVARRI LGILHLLNDYDIGDVNVFAIIIWCRVAAILTWESKRLESIGDAFTAASYMKDVQFVCN TLQQMSHIKLAAESYQAIQDLLEADVSVFTSSSGSSTTPSDQTIF
I206_04286	MSCYVYSNDSTVQIPFQCNNVNGSANLLTGEVRYSSYGYEPDQS PTQGRVQAYDGTHEVYTDCYVLQLSCPLLSAQLLLSIYWKSWWTLPTLAVGTALECIG WGGRLWSIYSWSWDMNEGGYWYSDFGAFIMQICCLVIAPTFFSAANYILLGKIMSNVG PEYSSLHPQSFSTMFIIADVVCLVVQAAGGGIAGTANTTNGANQGAYIMTGGVIVQLV VTVLFIVVFVEWLWRRKRYSPVKKQFNPFARFYKKKSSKPNHQSLSGSGSRSGSGLNE DGNLETENSSVVMEQISTASRLGEKNKKIHSRNSPNLNENSPRLSEKNLKLMIGLISA GTLLIVIRSFYRAVELADGWTGPIAINEPLFLGLDAALMFIFVYLYAAIHPGLAFGRK LF
I206_04287	MSTRDAITISSQNQLSQSPQELTPDTEKAASPSNIIPGSDIPDG GLRAWLCVIGAWSVMSATFGYSNSFGVFQSYYITKYPEVSASDISWVGSVLLFFQFNF GAFTGPLYDKVALLYGFFSEAYFSLMVPALLSFAKNFDEFGSKTGWGMLIVSVAALTG TPIHGALLDRYGLYAPTIWAGSNVLTGASFMLIATRLQFRRKRT
I206_04289	MSSLFALLAVLGTASAAVVPSTGVNTLLVTSTAPSLDLVECLKT SYYGTYGGSASSQEHIYLPSEECLISGSALDGLTAGSLIPLNFEREAGRIVWIGQAGI DPSLAQESLQNTWDGISTKSAESIMLESDAQKVLTTSTKSSGSIQLLYQSPNSLVLHV PHAYLPTIDTLLPSHLVPVALPSNPLPALFEGWESVPARFAIHLANVTKHLRFDPQID KIVSDGIELNQIRRDVRYLTGEAPSGIESRHSFTPGAIKAAHWIKEKVEATGANCTLR QFLDGFSPNVICHYPSSLNSTEHVILSAHYDSRGSFGSTRAPGGDDDGSGTGHLLGVA HAIGSQGVRFEKQVVLAFFAGEEQGLLGSHAYAEHLHKKNTTILLQVQADMLAYHAPG EPLQIGLPESIHLPEASYLIGNLSQIYSPELVVGKTAACCSDHQSFISYGFPATQVFE RNGWIADPFYHNSGDLSQREGYDFEQVVSIAKVTLSSLLTIAGYSLGESV
I206_04290	MSAASIPNEGEEQKQNFFPTLGDVADRTDALKGEDGETDEREMQ EIESLCMRCHDNGTTRLLLTSIPYFKEIVVSSFRCDHCGHRDTEIQSAGEIQPKGVVY TVHLLTRADLDRQLVKSNWATLSIPDLQLTIPPGRGQINTVEGIIRDTVRDLNISQPV RRVMDPETGKKIDDMLEKLRNLINMEVEDGDDGGVGVDDEDVRKPSEAPLQEHEEQPF VPFSMVLDDPSGNSFFSFKDSPSDAQWNMRAYNRTFDHNVTLGLVAKPDELEEGGAVP LDEQHKLSSLEEFEAKRAKEGEVVPEEVFSFPSACSSCGHQLETRMQQVNIPYFKDII IMAANCYACGYRDNEVKSGGSIADKGKRISLKVEDEEDLSRDLLKSDTAGLEIPEIDL HLQPGTLGGRFTTLEGLLNEIYTELSTKVFRTGDSLTSGIGQINNEGQQGERKFETFL KGLKDCISATKPFTLIIDDPVSNSYLQNLYAPDPDPNMIIEEYERTFEQNEDLGINDM VLEGYDKEAEGTA
I206_04291	MSTNLQDWVELLNAEQHVQVDKLREHARHGVAARVRGEVWLYLL EVLSEDKTAEITSLLSLNTTYQALPSDLPSDLTASLLKTALSHHTKRFRNETYAGLIT SLTSDSSSSIKGREKPSSRQNTIGNEIRSNSSPLISSIEKQAPPNLSLSNSNSTSDYG EDESNNNELNTIRSQLSRILPPPPNSPPSRHHYLSILEEVLGKFYNVEYTLTKPVNSD ITSFDEMMGYSKNDWIYLVTPFVCVLSRPVGIFLGFQNLMNRLKTFPSIPSRLASFLT LFRIALPELHSYCEDEQVPYVQVALSWMTTLLAKEMWLGDILRLWDAYLASDDMFALH CYVCVAILATCKETLEELDGSEAKLMLLDLPPLDVDRLLQDASNLRVSFPLPRPVDDE I
I206_04292	MSFRALKPILRASSNIATRRAALVTRPLAVRAFSLTARRMGSGE TDSTLASALAAEHKFEIENAGQLPEVPAFVENLKTQGIWDIQDIPGEDDVTLTRKFGN ETLKLTFQISDLDSFESPIIEEGAVEEVEPAGPTSIACSLVITKSATPGSLMVDLETC DEGFEITNIAVYEKALAEREGAEGDWERRSKYMGPQFDHLDQAVQEAFGAYLAERGVD EALADFVLSYCEHKEQKDYVSWLDQVRGFVEQ
I206_04293	MSSSSSSSIASSSRPLTPFPHTHTLTHGSGGSYFHHIQFEVQPH KYTSQPIHFAIKKPLSTPTPPSLIRRSSSNSKRIMLSPTLRATSSSSSSSTSEMIAPI PRRVSSSSSSSSSRSSRSISSPPMPITPVLESPTAPPPTPLSLPPPLNQFKIQTTTTT ITEIIEIENEYNSFNYDPYSISLSNGEEIASTSSLSNSKILKRPKFKRRDTPIPKTTT LSSLKMYTFQDEYGRKILRSVIDGGNWVIVD
I206_04294	MNFANSVAGPSRLPRKSARPFVSSDNRPSEPDGIFRVVLITSGS VASIKAPDIATALSNSGEIALQIVSTKSATHFSNQTVVDEAIRKSLTNLTTEKLPDDL GVRVWTDEDEWSDWKKVGEPILHIELRRWADLVVVAPCSANTLAKIAGGLCDNLATSL LRALSPETPVIICPAMNTHMYQHKFTSKHLRIIQEELEYFVLGPQGGGVLACGDEGPG KMTDWREIVYTIENFAAMHKIKLEERKQQVNIPPRADFLDPVIPLSRDTPRPPTPPTP NRLDKELPTIDQLNIVGPAYMLGMPVPKNAKELDAKTASLQHWKEMQGETYWKSRWWG GM
I206_04295	MDNFTDKSAQVLKAAFDKAEEMANSQVYPVHLISVLWEEPSEGT SSQPDQPTLLKAALEHIGGNATVFNRALMRKLNNLPVVDPAPAPPLPATQPFHAVLRE AQKLQKEQNDQFVAIDHLLLALLKVDNADLKELLKGTGAEPKALEAEVRRKRGGRKVD SKSAEGQFDALNKYCTDLTSLAEQGKLDPVIGRDNEIRRVIRILSRRTKGNPVLIGEP GVGKTAIAEGLAQRIVDRDVPASLLSRLLALDMGALMAGAKYKGEYEERVKAVLSEVE KSGDEGQQIILFIDEIHLIMAGKDSSGGMDAANLLKPMLARGKLKVIGATTLNEYREY IEKDSAFERRFAQVIVEEPSVPDTVAIMRGIREKYETHHGVRIMDSALVLAAQLAKQY LTARRLPDSAIDLLDEAASAVKVARETRPEAIDELERKKLGLEVEVHALEREKDDASK ERLEIAKKAIAEVEDNLGPLKRQYENEKHLGDEIHELRKRIDELRGKADEAERRYDLA TAADIRYHSIPQREQKLKELEEREAERGTGQQVAPEMIAEVVARWTGVPVSRLVETEK TKLLRLEKLISKKVIGQPEAVKAVANAIRLNRSGLSNQNRPIASFLLVGPSGTGKTLL AKTLAGVMFNSEEAMVRIDASEYSEKHSISRLIGAGPGYIGHESGGQLTEAVRRKPYS LILIDEIEKAAREFHQLFLQVLDDGRLTDGKGRVVDFRNTIIMMTSNVGSVYLNENPS EGPVSPEVRAKVNGAISQTFPPEFINRIDDIILCRSLSRSDIRKVVDVRLKEIQQRLK DNNKKIKLAVDESACEWLGQAGYSPSYGARPMARLIQTEILNPLSKLLLQARIRDGET AHVTADVRKNRLVVIPNHEPDVSQPDDSDFEDDDSMDIEVEEMD
I206_04297	MHARSALIAVVASTSYAAALSTGCTTQVASLALGDLGSCLQLTT LLPVLSAGTNDSITSTLNTYLTSLCSSSTPTCANGTLTSAQSSINSACSSDFSAGGTE AIEIKGLVGLLGNYNEIYTAGCSKNSTTNDFCVVDALETVSNATGQSVTISYITSLLS GDSSVLSGLESVFSSGSLCTGCVSGIYYEVKQVNSSIATSSYGQALNQKCGSNFGSTA LNSTNTSTSTSQTSAASSSGSSAGELTIPYATFGVIGGMFSALILGAIVVL
I206_04298	MVFKLEPIEIIAISLASIAVLLIISYIIYKLTLLYQKNLSKSTI ITPINESREVGYNNNNNREYNYNYNNPYMNYINSPSLITSSKSRRDTPTPITTSTTII QNSPSTCQKSLITPLSNPNSNSNGSIKYQHKLKKSGLTISSPWQKDKDKNKELNLDKI DKINNSNNNDDDNSDELVVVTYEDGLKKLGIKSIKEQQINFQNQSQNQIPFNRNGNKI GDPTSNYNTSYSIRPDLLERESSRKTYYNVIGRGNGSGGKRGYTTRNIGKTNSNLLPS YYVTTNPGNE
I206_04299	MSFRILSKINTSTIQSNKLGTHIYRNRFIRKYTNQKNQNQNGIG NQNISEIFNEKTIKSAWNILTPNQKLIFGGLVIIGAYFEYTLLDKFLLKPIKIKKENE NRLKMEKELGINLNEFDK
I206_04300	MTGVGKSALTIQFIQSHFVDEYDPTIEDSYRKQCIIDEEVALLD VLDTAGQEEYGAMREQYMRTGEGFLLVYSITSRSSFEEVSTFHQQILRVKDKDYFPVV VVANKCDLEYERQVQPHEGRDLAKRFNAQCIETSAKQRVNVDEAFIAVVRAIRRYQKE SGPPQAVGTPGKSATGGVGGRADAKDDQVDKGCCAGCVVL
I206_04301	MSSRFNVLLLGAGPINFGSTEGPWNHSKRLEQKLGERLNVVGLI DLNTERANNVLTIKRADANVKTGYEHTKVFTSIKEAGENLKGIDTPHLAVLGFQANCR GSTKPGHDNELELIQYFPKIGLFIEKPISDVEDFSDVEAVGKKLKENGNVTSVGYMLR YLKGMSNCYKKRLIDENNLTVMHTQASYLFAYDFAAKDFYGYWSKSREPGPIVTQATH ICDLTRFFTPPIILDSVHTNTVEHTDKAGELSIFQFNEKGLVKPEDRIPRVTSATWRY ESGATGSLVHAVCLHEGDYDCELLIVADGWKFRLVDPYGISPKLYVRKPGDLEEVRTI FTNDDCYYSEIEAMIDVIDGKSDKSVILSPYEDSIKTYEFTWAIRLAGEKNYNARGGI NGEQ
I206_04302	MSAILSEKGEQLPLVTPSSTAIPPRPKNRYRTALKHIIPISLVI LYYSFVSPISLSSIKPYSQDELTDKQAAKCPPQPATLNIGHDWDIVNDHEYAELATKR LQRAVQIKTESFDDLPLNASDPLFDKHFEFAHYLSYEYSKLFKDPIKHETVNVHGHLF TWEGKNKDLKPILLMAHTDTVPVLPATLDQWTYPPFEGKVTHDASPSTPGTWIWGRGV SDCKNTLLGIYGALERLVTEGYEPERTIIVANGFDEEIGGPRGAGSIAELLEKRYGKN GISFIVDEGFTGISHEYGATVASFGMAEKGSVNVNVKVETLGGHSSVPPPHTAIGIIS LLLAELEAHPFKPSLSPKAPFLKNLNCLADYAPEFPKSIKHVIKDPRKWDKLAQELAS SNRVINSFLATTQAIDLINGGVKVNALPEVVDATVNYRIAFTSSVNETLEHVAHVLKP LAKKLGYTISAYEERHDNSTSHITLKVPGGLAGGLGLEPAPITSDRTKSFALLGGTAK AVFGNDTIAAPSGMFANTDTKSFWNLTEEIYRFTPTLITENLNQHTVDERISLEGHLN ATKFFYKLIRNTEGWKAD
I206_04303	MADPTAFYEPAEDELLSSLAVPVQSYNPDSEADEYRRLQELEQH AYAQQQLMVAEQEQEQLQALEQVPEDVKRFLVLFHQAILENDLPTITNMYESGWNKLT QAHYSQNEWPEAELISPLVGNDQVFLTLYRELYFRHVYAKLQPTIDDRFQSYENICEL FNYLLNSEGPVPLDLPIQWLWDMLDEFVYQFSSFASWRANPKNKNEEELEALAEAQHI WSCYSVLNVLYSLVQKSQINEQLKAEKEGKSPEEVAEIAGDYGSKPLYRNLGYFSLIC LLRVHVLLGDPTLALQTMEHVDLSGGAFLTRITACHVTTYYHVGCAYMALGRWPDAIK TFISVLIFFIRMKQYHTRSYQYGSIAKQCERMYALLAICTTLSPGPSDESIMSIVKEH YADQLAILQRGGDEALETFKDLFLSASPKYLNVNPPPYEDPSALEAYLANPPIDATQR FLDLFISDVVAVKGVSNVRQLLKLYTSIDASKLVTFSQDEGDEEEVLQQLMVLKAASR TYAKGQAQDKLLDGERIVTNNLDFTIDGAMVHVEETTSHRRFAGFFIRNAEHSQRVFN TIKASPLPIQRKPATATTGAPQDKNEIKKTGAAWQPKRARVVTAAQ
I206_04304	MHLLGHNLPDHKPLKIALLTFYGLSHTLSSRLLARLSIHSEALV SDLTEPQLTSLSAYLSSPSTTLKSQKDSLIKLSPPGGRILSLPNNHNNKFNFELNNNS NNANLNLKGKGKEDPLDELLLETEARRSMQSDIAHLRMVGTYRGKRHAAGYPVRGQRT QTNASTAKKHNRVERRGFTTSVIRPSFGITQIPSPPSRILRELSRIA
I206_04305	MSLPSKSPLPKAFGLIHQILQSSPVEGLSTKEIIKEGLKIYQIE NPSYNQQSSSSSSSTSSSTLTTLIGENSIKGKGKNVLINNNKNQKGINIIPEGHPFIS TSYLKSRVLATLQSQSLLIKTSQQKQHQQSSSSTSTNTGGGGGKPIFLWKLNEIKQSN LNNIPKWDFNEHWKKLINNEQSPGKLFFNLNKNKELRKKEEFERSLNNFQNKIKRNNQ QILQWKDRKPFLTTILERSHLNKRRLEKRPKKERIRLNLFESVNNNGHQNIIQDTLNA 
I206_04306	MENLMTQGSSSGRSSQAGPSSRPMNVTPTRTKRPREMSTVIKDE VDDRSPSPELDEHFVTFRTDVVGVQYYRGLVGRGEYVMLRREPGNQYDPNAVQVINAS GTQVGHIPRAVAGRLAELMDHSLISVEGRMIGQNLDGAKHYKLGMDLSIYARPSLKEV LQTELSWTKTETQVQKPPPSASQPVSASGFRGHGNSGTALPGADDPTMKKLLEGLKQI GEDQRSADNVMDSLTGDIDVSKLPLHPNPPGVASGQLNTDLLPHQSQALKWMIERENP KLPSSPKDKPVQFWVKQKGDHKDYFLNVATKTPQYDEPVLGRGGIIADGMGLGKTLSI LSLIMATKKDKVDGNFGKTTLIVCPLSVLSNWEKQIGDHVTHNQMTFYTYHGSSKGVT AKTLEQYDVIITTYNAVAAEAPLDPSNNGRSPQKVKKAKVAPTTAGPLFKVKWKRVVA DEGHVLRNPKAKMTQGFAALQANRRWVCTGDGIRLTSSIGDLGSLLTCLQICKPLDKS EYFKTLLLRPLRRGDPTAGKLLQALVGEVLLRRTKDSKDSKGRKLIELPKIEYFRVGV KLDEETRKGYDEILEGSRQRFREALETAARFRNEARLTEWFLILVRQLCLSSQLVPQS FLDDLRRPIPKIPTGPAISISSLTAGKREELIAKLRTYVEEDTECGVCYDEAEFAKRP CITDCGHAFCYPCIERILLTAPLCPMDRHPIGLPSILELPPDQIVDDYVDPSDSSQAK SLLPVKSAKIDELVKYLKVFPSDEKTLVFSQFTSFLDQVAISLTGEGIAWTRFDGRMN AAKRQQVISEFQRPLTEKNSKVPRVMLISLKSGAVGLNLTAASNVFLCDPWWQSAIEA QAIDRVHRMGQRKEVRVFQLIAEDTVESAVLDIQKRKDALVAKAFEKSSKESKMTKKE ARFEEMKELLGVK
I206_04307	MPRSLSPRRSRSRSRSPPPRHQKKPKELSFYKKSSSSSSSALGS FANRRDPLDDEPTAKERAERRERGEIPQRFGGTREQGVRNTMGNVNSSNNMSLGSLGR KEDPLDRIGVKGQSRDNYNYKDRDYRDRDTDRVEYRRDDDRRDRDRDDRRDRDRNRPR DGDREKRREERKERESLSGPSGGPPTRPPAAAPSVPSIASMRFIEVIANDRMGRKVRV KCLATDTVGDLKKLIAAQTGTTAQKIQLKKWYTNFKDHVSLQDYEINDGMSLEMY
I206_04308	MASKQHAHLLSLVRSMIPPLSPKLHKGQAGRIGVLGGSGDYSGA PYFSSMGAMRFGADLAHVICEPGAGAVIKTYSPDLIVHGILDESKSMEEIKEELKGIL ARLHVLIVGPGLGRSQHMQNCAKVAFEIAKENDQMGVVVDADGLWLVQNEPQVVMDWP GVPRIILTPNVMEFKRLCEKSQIDPSSSPETLCPKLASALGNVTIIQKGSTDIISNGG QGDILESDTEGGLKRVGGQGDILSGSTGVLMAWGSEWVRGSYKHVGHPPPSDKGIAEN IPLLAAYGASTFNRTVSKRGFEKRGRSMVTGDLVELVGPVYEELFGTPGEQEGKGKL
I206_04309	MFIAKRVIFSRMSPSTNALFTLYPSVRTASYVTSFPVRIPSPKA SSSKRPYISHRLSHTIDVKGKGRAFDEEGYNTYSASNLNAWASRHTSAVPSSIRIHGQ RRSFHASQRRDAIPLLPASIAILKGTSILTAATAFSRILISFFPIGTLAAFKMARAGK WLETDVVEPKVSEESKEFWKMWCEDEKGIILSTKDAESFYDQDSDYNDAGGMENDKGQ IAYPVPFPHSGFRRGGFEKDGLSPKNVRQFSKDKRHALHWLKKGHFFMPPLPPASEVS WQELTPVQQSEVLSLRRYWLGLRVFKEWYRRSRWIMMIVFGLPFLLLTSVYLAGLERV PLTGRWRLILLTPEEEDTISNSLAGPNWYKSVINLLTTPEAPAPPIISLNDWRWNWVQ TTLTKLENAALIDCPHIPDSQKSLIIANSNSTPIIPPPPAYHPITPRPRVSSRLHSVL PGGEPHSGQEHLELGPPYNLMLMNKDEENAFSYGFGGKRAGGIVVYTGMLDSILKPKI NLTEDQEEIEQTKSKRGFFSSLFSSPSTSANARQSVTQPTEEQTLHLACVLSHEMGHL LLSHHLETLSQQQVLWPSVLGLTMDLVRAFIWPFTWFLGPTVNDALANMGRTSTEELA DKYGQIGFQYIHEYEADLAGIRILALAGFDPNKALSYFTTSVADLHEIQPIELKNKNL LENSWTGSMFKLWTRATHPTPNQRREAIEKELLRWEKELKSRK
I206_04310	MADAAKFGNAVEFDVDLHSIYAAYLGLFESSNTDWWDKSWGGYF TTFNDFLGFGWEVMVIVNSNTGKPHISVRREQISLFAKMIRTRFGESLPKDPPTTLPL EPVPTRNLSLRRLITIRDLTSYRKLAQTLPAAELSYLRTRVKAGEVEVAEQLFYAGGG SGERTSGGVGVRDNGVGYTFACVKTTWWEKGGAPYGLVPGVGRTQAAKGGQNGKGLIL EIGVATLRCANLRAVNVWPPIPDENYRKSHYIVEEWVDKRANVNPPNYPRAYAFGNSR FVAEKDIEKILDANVGALASQEADSQPNTLILLTLGDAPPLPIPASSTLPPNILHLDV LALELNLLRRAQQQGVPGIPDRHVPLNSLGSLLQTLQIPIVPFAPLGNAGNDAYYTLL AFQKLMMGETRLPEMLFQQPEPYINGMSYPSYSPFPTSGSMNFGQYSPLPMPVMPAAG KSRRDSSSSPRRNDFAPPSFPSSPSSTYARRATDTSRPRPASMGDSLPAAAMTRPRTP DNQQSHTGSAPNTGRAQRKSMTRSQTVFWDESAYAQSPPLEGLADSRERNGSRVFIKP NVTGNSSGSEGLGQRGRSYNNSMTQLPPSALRSGMTPSGSSRSISWEGGGRNTGDGSR ASSINGLPLNSSNSKSQLSGIRASTSSSTNLARPKQLERGGSEGTSSSTKISIESSAG NKLKVTQSHNNNVNDIGKKVSKSKEEEKEKEQEKKVKEKLGKTKMKSEKSVKDLAGAL ARFWVG
I206_04311	MSSSAVNPPVYISNLPPTTTDTTTNNKSYTVTNEESRNDSLPTF TQSSSTVSSTTKCSKSTSTQNNRITSSMNYAESLNNSESTTTQSISNSESKTWTTSEA TNAIESATTSDSLAQGTSTTTSEAFVNSAGLDSGSTSSKVVPSSEDESSTFSAVAQQS SLPYNSPNITITRSHLITVTTTINDVSSPIYITASTNKASSGYSSSLGAFGGSSIKNC KATGTLKSSQMQGYESTKQGQWLQAVPRTRTS
I206_04312	MEGEATPAPITAPLASSTTSPILLDTLVLLVLRVIYFFLSRRFL LAAVNPRLRNISQPEQLLPSTSSSNNIRRESTVESDLDLDTEDEALLGGITPTSSYPG SPIRKDSSLPGGGKDYFPRAEPYLGPYASSPGPSTPPSNLPSIPRDDNIELQQLGQKL KEAGSSVSKKVLQLSHGTSRQSHSQGTKSTKKATRGLHRFSRVLFGICFAEGCNLLTL VIFHAVGILHSRSRRVNFSISLHVILGIVLLVVPLVQCLLLTYRSRDPSSASTTPSKS TSISFTSRFLISLIPFTLYIFLFTRIPPYITAIPISPAPSLPTPVLEVDDPASTASTI DEAIVQWSTSGPEGWEEGGWLAPSLGRVVVLGVLVLGSLSGFGAVRTAWNFFEHSIGA GSRSLTDNDILQAERSLYRVRHDLVNKKEEISRVDAVSGTSTPARGGWMGRMFGSQNQ EAISLQAELSGLKTMEHQVSRSLKAMKLRKKHQDFGQTFRGQLYNLFGYVFAIYCAAR LLMCLPSLFFAPLTRSQASSDTPQEGKGNTNGDWISFLLALAISKLPAGSIDIDVPSW SRSISIILTGVLIMSSLAQVMRSLNKVLRLTSKTVGAGFLLLSLGQLFATYVISLLVQ LRTSLPPAPIELPENSIDHSIHFDNSQTDHSLLSTLPDFRVFGRLFDVTFLFAALGTA IYRYVAMKVNGADDVGEIYRL
I206_04313	MASQQPSKASPDSTLGEPTTSGLPDPSPLATSVPGHHSEVDTGI SSSNIWTHLRPAENTCDVSQAKDEVDVLLNATTLGDSVLSTSVDSAPAKSTESSETFI SFEDWKRIKQAEEEAREDEDEEVAAAAASSSELQQSSTTLENAQLPTDVVSNQTDNKN ASNISNTSAQSTVQSKGKTENSTSSRRSSEQPSASPPPISHHNRYNYASPDCSARIHS SSPQTQHASSLLHKSRDRYMLTPCKAKEHWVVVELCDEIRIEAVEIAIWEFFSGVVRD VRISIGGEDDEEYEDDPADDVTGRSVKWKEVASFVGKNVRGVQTFTLSQPTSFHRFIR LDFPSYYGSEYYCPVSQLKVFGMNQMEAFKWEQKRINAASKGKNEAKEKEAEERRAKE RAEKEQREKDEKEKQQEREKELDALEKLLHEQAGRKVPDILTETAILSKLQTTPQVTS SDIRSTSSSNVDASIPKSSASSINVSQIPASSPSLDNVSSEPTQTAQVTSKTSTDYSS QASSSSSSTYARSSPPRTDSSESIYAFIIRRLNDLEGNSTLVARYIEEQAKVMRHMLT RVEKGWDGWKGEDRGRWEQERMRQEDRLGKVISQLEQQRSAFEEERRTLQSQMRGLAE ELGYERRRGLAQMFVMFIIIFLGFISRSSTIDAVLKPLLAEAKRRRSIYGGKSFSGPL TGLRIDMGEGRPPAVIGQGRPKNLSDFNDDLIPQVDSPTSSTLTPISTKSYSRSLGKN NSIKRSGTPRQRKLPPGVNTNFRSISSTDYLPINSSTYTNTSESGMISPEPRGSLSSS LSKPNGGPLKKLSRTSHLHILDSNLNKLKSKSPRSINNSTMTSPVNGNSPSSKEDDSK TPKKFKLNQQFQNNNHMLSDLIVGGISPFTVQPSSIPDQINLDDGPSDWGTDVETETS VSEIENEIENVDDKSHEEEEEEGTNEMIKKELSEIWNNP
I206_04314	MMKKLLKKRGQGSQYGYHQTLPPQTPERQEYARAIAADVGNMDE QWDIYYHAFLLHRCKHSQCYWYRNTWWFPETGRNVDTLSMFSASRYPPQENIKYYNMH LPNGKMLENVMFRYIGPNTAEEGWLGDYVGYNLNLVTFDMKPIPSGGQS
I206_04315	MSSNMKTFTTLSILLTHLISAAPLPSETTTSTLPTLFVPYPAIT DFATTSAISNILTGTPSISLSPTASGLSETDWSALSTVSPIQNDNQPNDPSMVPVLIE AGNTSNDNYSMSSTSSWTLSPNFSDMSSFKVSTYAAGKSNIAILKESPIKSNPNSNQD EGGRNFKSDEWDQNKNSLQILYPKGSINPGNKPQGGSEFFASPIDLTKSLNASLEYSV YFPNDFDFVKGGKLPGLYGGHKGCSGGNAAEDCFSTRLMWRAGGQGELYLYVPKDKQT PELCQTPPKSICESAYGLSIGRGAWTFAKGDWTTVRQDVWLNTPGQNDGGFNIWVNGQ LVMSASDVRYRENGDSCIQGENGDDTSSTMINTIDFGQGEDITNSNSTMNYVDEDWQV SEEAITSTSTSIIGTVTVTSTLPPIGAYATSYSISSNTDISMTSSTSSMFSSVTSSAS SSQISSSTSKLQRRDDQASISTSSGDDTTVSEHALVITIPITDSITTVTASPTTEFIT VPTTLIATSTITNAVTITPLAITDTVYVTENNTQLPATITVTASISGLAPSVDPSYKA ILIEQSSESPPEQSALMQFSGDRPQQPIILKSPPGQIVKSPEDLQNNVQAQSGCGVGF IGLFFSTFFGGHTQDWASPKDQYTYFKDFKMWINQ
I206_04316	MIASPSIPSSSIHPLLFTTSSTSDISTIPTDDQDKTQLIDGAEK GKKRESESDISNSQQTKKARQSLGDSSESGKKDKRIYCHICRRICEPNRYLRCTQAKG NAQKQCHLSYCDRDLTVRYGIPSDRLSSIRGDESMKQDYSWKCPCCKDDCQSSNCRKK KGLDPIGNLSKAKKTSELGGKTLQTNGHLATPSTSISPSKDRSPTKSESNAVMDTSLI DVQAHQVKKKRGRPSKVHAGIELGLTEVQIPKPKKKRGRPSKVHANLDPTLPAVETST PKKKRGRPSKAGKEITEEQNHKSEEQNHKSEEQNHKSEEQNHKSSSSSDLSAITPEQP VQPKKRGRPSLASSQKIATPNGRKRGRPPKVNDGLMAGFAPSTPKFWKLKAESTNTPK SAISVKMKANGEPKKKTGPKPKSTPIVEITKTNKKSTQQDGKSTPKRGRPAAKDGEKI VKPKPDIIPEPPVYQKVDTKLGREEAEQRIMLREYLFRFRSVLSFPERALPPLDDFER PLTESSVRLFAGAMLDVIKEELQNSEDEELNSTLFNVREELRYYADLARFQAIYNLLS EPLNLRPPPLVIDQRAEANESALRAILDLDENQPPPAWAAEYTAGPSRRTGASRIPPP PEVIRMLLALADRTLSTPKIRGDMESSVPDIDVRKKHASAVKSEVATMETKKKKLQEA RLKCKTAKETNAIKEEYSKDKKEHAMRLAMIDVNLEAQLARRALRHEALGQDLDGRIY YLLAPRVIEEEGRPPSGWASSLLVWGKGVEGKSESSGLPVSVERWSHFGKAKELQLLI KWIEWKFQKHLESIKPSNLKTPKKIPTTPLENMLDSATITPGSNMKQQTLIEEVIPSS AKESSSTDPLHTPLSTEAEALTPDASSTSSGLTPPPISNKDGLLELVKPKDYLPSRDT IEENGKNLVSKLQFVLKWLEVLEWQGYGEIA
I206_04317	MDHQNTSSHSRSASYSPAEGHDDGHDRDYFLNDEGVESRHSGQN LDVSQAPYSVESERAYNNVSWVYRFPADQDEVLDRQHYVMLISLPGLYRGPVEEILND SSRPRRLLDIGCGTGIWVQEMAEQFPRVDCIGVDITPLQHDTHLRNCTYMQVNAPDGL RVFGNESFDVVNMRQMIHATDDYPALIRAAYRLLRPGGVLLLHEIQLRFHSAWEGFTV HDSAPGAAQIIEWLDAAHRYRGIDTSLWSRMEDILIEAGFREDATEVYYHTRQICPAE LDTEHGANETLNSAGYMYATRLMILECGVTDEDGFDETFAMATEEVRGNSPGRAGPLG AQGVLSPWAYWWAVKR
I206_04319	MSTRTRTTGGSRVQNPTRTQLQPSTPVDEAGDVQCTGHQMKCPK LRAGPKTKNAGRAFYCCPLPRDDPNRCKFFKWHDEISPLDSNRPGPSTPSGSTRASQL VERTNQTLGQSPAARYGRPFGSGPSTTSTSPLKTSSTRIQEPVIVDDDDEDEDEMEEI DWDKVDAENLERDAIASTPASSQHTASQQTPNSASLGGVISFADRLMNAADDGLGKRR REDDSTEVDRTPKRSANDPNPFLSSPTTPRSPPHSVLSPTISSLEQISEHLHRQDRLL RAAEQMKKGMRTTIKSLQDKNKELEDKVRELENRLGD
I206_04320	MYSLAKLPKGQSALKTALKRSVSSKASPAGGVSVIGFENKGPAA TSSLTVAIKAGSRFESTPGVAHVLKNFAFKATANASSLRTARETELYGGVLSAGLTRE HLYLTAEFLRGDEEHFLSVLASVLSSSQFHAHELKELVLPVVQSEAVSAQSSPVELAL DVAHNLAFRKGLGYSLYASPHYPVTIEDVKTFAQSAFSKNNVAVIGSGISTESLSQVV SQAFGSGSAAAGSSGLKTTSTSYYGGEARIPLDIHAPATSVPTVVIAYGTTSPAGPEL KVLKQLLGGESSLKWTPGTSPLSQAAEKVPGSSAKAFLLPYSDAALFGVVVSAPTSPQ LAGLAKEVAQILKSAGSGAKDEEVKRAIAKATFADASASETLQGLVANAGPALFAGGE IKSESFSGVSASSISKAASELLKSKPTVVSIGNVNVLPYA
I206_04321	MNTTLPPFLQATSGALGSAVGNALVYPLDVATTRMQNNARKPHY ERLNLRDTLSHLLSQKNYLSNLYSGIEADTLSTLLSNFIYFYTYTSLQKGLHIWYLKH PILPNKSIKSSPAGIGGLSSKASDSLPKINKPNPIEELLIGIISGLISKGISLPISTI CVRKQIENDFENDNNDETKKKKSSLLDSLNSIYNEQGIEGLFSGFKPTIPLTLLPSLT LYIHSLLVRLILPSKYHKNPPPAAITFLLGALSNALATLPLYPLVLIKVLSQSGREKG KDSKKVGILETLIRIIRRGGIRTLYTGLEGQLFKGFVQQGVMIVEQGVVKAYRARSGV 
I206_04322	MPPLLSKDKGKAKVDIPADLTEEERAALIAAAEARQRSFERSFA GPSVGKAGLIRDQTEINKVIAEASKGSKYYQNQVRKDKELTEKIEWYQAKRDDLMKMA NIPRLESEADRILLEVEATRDTSQVIVHVDMDAFYASVEVQRDPTLKGKAFGVGQGVL TTASYEARKFGCRSGMAGFIAKKLCPHIILTKMHFDLYIAASKAVREVLLQYDENLMM ASLDEGYLNITPYMSSHNMTASETVAHIRADVEAKTQLTMSAGIAPNRMLAKICSDKN KPNGQYEMNFERSVITKFMRDLPVRKIPGFGRVTERCLEGLGVETCGDIYTHRAQLLV MGHWFGFRGLCKAYLGIADNNVAPGKREERKSVGVERTFRDKVDDEDILNEVASIAEE LEKDLERLQYAGKTVTVKYKVDKTRARSVGKYISTSKEILPIALELMKRELPVRIRLL GIRLSTLKDLTVTDKGIKGFLTSPSKKRGTSISRHSTPTAIDDDDDMPELIRMEEEDE AEVLDMTFDEDKSESDSSTPPPTSILGKRKSSEKDKPHLLGPVCPICNKALGPGTSNL GLNEHIDWCLNKDAITEASKKSPIKKAKIEKGVPAKKTKEKGTMLEWLKKK
I206_04323	MLQQRVASGMRSLNILNKQCIRRIVIPQISSNSSFTIKHSHIRT FTNSTVRYNVPQWPNPPVPQPPIGPSDDLLITPSTSPLNKGKESFWREWSSSASFQAA LTTVIGLGMVFAAGVGYLEWYKSHVLHRMGRAFEPGYDPALELSTLHAPNSQHIFRRE QPLIDRIVAGEEKGGYYLVIGSKGTGKGTMILDAMRKINADGASLCEAHPDLEVFRLR LGKALDFDFYEDWQGSLFSRADPRNGGPALDVERALNKLEKVALRYARKNGRPLVMAF NNIHLFPNTDEGHGLLHQLQQRAEAWAEGGILTMIFSTDDFWCLDMMKKNASRMRILS VYDLSASESLKALRHLRRQALVNRGLTRDVEDDKVLKRVYELVGGRTSYLARIARADD MLGGCCCSWLLLRHLAKMIPPLSEYPVMDAVEPAATENDLPVAENASGEETSNSSVNV TPPVTEASSADIHLPHVTYEAARRIMTRTDFVNDLDHYHILSIDLHHHVRPDSLLLLR AAQQVVAEDNFDDDLDTTRDRVDQIEGLHRQSELTIKEPFKVTFDKIDGKGVWEVIGL GDSFVPASEDEEEERLV
I206_04324	MSPNLPLLSSSNDSENKENVPITLPPIRDLHATPKGNTHLPPTP STIPPLQSRQDYNLTTPVQHCMRQPLQSSSQPIQKTFTAPHSNVMMTPQGMSHYGMKN GNLPSSVLAYGEKHHQQQQKQQPTPQTASRPHQTPCPRPSTSLPIATANRKKIHPPNG TPLHMPINNTFNVGYSDAPLKTPQSAFTSRNGTMGRSISTPTLKYDTVPYLLPNKSAA GTRYSPSIESTQSSSISRSAERGRMSFSPSPTPSSPSAIHLSGIQPPAPFPGAYPLAY TRKDPVPSDEGEPESENKRSGRQGAPSWNPWDYVLGEVPGGEQGPNQTPVKDEDAKGN KIRRRFTKRELEALEVLWSISKSPSKYERQRLGAWLGVKTKHITVWFQNRRQEEKRYL REGAPVEPPPPSRSNRGTFDPVTGKWRPVPLSCISGLQPPPEEKSAVVRSISVGDLTR DMYFSQHPELEAHLPTPTPASSSGRMYTTQGNITPLPKRGTSNSLDQVLQAREIGFDH NKKRQQHRRGSSEATSPIKGEGQENFRHLLALIPSDPPSMDLSEIAVDDESEQGDDGS ESPQRTVLGARGGAMGLDRMLNGPSNGTLGRATSLDMLASAPKRGRFWSSRSSKSSDT GNVLQPKSVKGLSGAIIKPSMSNDQGSSGTPSLKHTLPSSAGSASKRPRVDATRSRPG STFRHKDSFSRSQSAAVLGTPSANKRENKENEEPREMKTPGFARRSSFSSSMSDGVAI TPDNALLGRKQSEGSKKKEDAEILGAAEIMLQLFGAA
I206_04325	MGLLSTILNWIWFQWDLIVHSGWPGFFWVRPKWSIEDIPNQSGK VVLVTGGNSGTGYATALSFYNAGAKVYIACRNEVLAKEAIENIKKGINGKNENDKKGI IEFVKLDLSDLNSVEVCAKEFIKKEDKLDILFANAGVMASPEGLRTKQGYTLQFGTNV LGHHRLINLLLPLLLNSPPTHPSRVILTSSAGHAAAPKGGVDFRSIIRDSSDPIQGEK PKWGKYEKMKWVEYGQSKWGNIALSNYLHNEFGRQGRLISVAVHPGLIATNLTQYLPF EPFVRKYMPWIAYPITRTPSIGAINQVWAATVPDEKARWLSGQYIVPFRKIGLARADL QDDKRVEEVWKWCEEQAKKRA
I206_04326	MSSRPTSRVSYIWSQELQDVSDDLPSNIGRSSLVHGLIRALDLL EEISMDEETTEASANPSKLKKAMIVPPDESLGTEECMLKYHDKDYVDALLRPRSASPP RYDPTAPRNGTDFAPPAKRARNKEPNVREYQDMDKHNLSHDNPPFQSLPRYASLITAS TSTACRLLIQDQTDFVICWDGGRHHARKNEAGGFCYINDLVLSLLLLSKEGKIQLNQK KEEEEEKNFKLKPKTKIRSPRILYFDLDLHYSDGVSNAFHSNKFYSNPTISNDKNPTK PPTVMTFSIHHSSPGFYPISISSGRADLTNPQSTTPFSLSIPLKAYTCSKTYKKIWEG CVEPIVKSWNPDFIVLQMGSDGLAGDRVGQFGNWSVDGEGGMKWCIERVKKWGKKTCI TGGGGYNHPNTARAWAAITASLLDRPINAETSIPHHEHFEKYAHSFTMEVPEGHMEDQ NDPNYIAQACKDFEQIASRIEDIVASSLR
I206_04327	MMFLSIRSRSLSDRVPRSIRCARFHAAAVRASTNIGDSAKKAKT PLARQSRSAPTILPSEPTMEEPSLGECSLFLHTSDEALSTPGLKFTDGHGLKGPTGKG RQTRRMNLYQAIRDALGTALATNPKAFVFGEDVQTGVFRCTTGLVDEFGKKRVFNTPL TEQGIAGFAIGLASVGATAIAEIQFGDYIFPAFDQLVNEAAKQRYASGGAYPLEGGSL TIRAPIGSVGHGGLYHSQSPEGFFLGAAGLKVVIPRSPIQAKGLILAAVRDPNPILVF EPKILYRAAVEEVPTDDYTLPIGQPEIVREGTDLTVVAYGTPLHICPLRPPNPAPSIQ LIDLRTISPMPLGAITEVVKKTGRMVIVHEAGKAGGVGNDLAGEVGRRAFEYLEAPIG LVSGWDTPVPLTFEKFYQPDVIRVFDKMVETLAY
I206_04328	MSQQPAQAFASHLINQTLSSIALLESLSIITSADASLIRQKIPS PTGPFPSLAPPSPSSSFAGLSISQSPSSWTVQHARDDQSTPHHQQQQQHQIEAPHPQM AAAPTLPPRGKPAESRAKALWDFNGTEGDDLQFRSGDIIVVDEEVNEQWYRGRVIPKG HTVALPRSGLFPSNYIERLPPTPFYSSPPPPPMQQPPQQMMVPYQGSAQSYYDQKPPP GQMQMMSPQQQMQGGVVVQEQQKNSKFGKIGGQVSLSQQRQAANRYQSLVQHSPLVLG SAREVL
I206_04329	MLRLTTSATASASKIIAGPSFRRGLATAVSTPSESQEKPEPRRK RFGTLDDGLTFDDFVSGEELPSAGERVVLGNTTQPRLPSFLKHPIPTGASYSSIKKEL RGLNLHTVCEEAKCPNIGECWGGGKGNATATIMLMGDTCTRGCRFCSVKTSRAPAPLD IHEPENTAEAISRWGLGYIVLTSVDRDDLFDGGAAHIASTISKIKQKAPKILVEALTP DFANKSVETIRTVASSGLDVFAHNVETVERCTPFVRDRRAGFDQSLRVLEQAKIGAKN AGKEILTKSSIMLGVGEREDEIHETLRRLRASDVDVVTFGQYMRPTKKHMKVDRYVQP EEFAKWKEVAEGMGFLYVASGPLVRSSYKAGEFFIENVLKKRRAAAAEQAAATLSTQP AETTPGAAVSGA
I206_04330	MQSRPRRESQSLLEELDKLAPIKSFDAFPKVQSTYTVHSKRGGV LTAVVGLIIFLLVLNDLGEYLYGAPDYSFHVDHELQKDLQLNVDLTVNMPCHYLTIDL RDAVGDRLHLSNSFVKDGTHFNTAGATSMKQIAPTAQSASEIISSSRRRTPNQKRTFT GIQRLLFSPPSRKGVKKSQTSYRPTYDSVLDGPACRIYGSVEVKKVTANLHITTLGHG YMSFEHTDHQLMNLSHIVHEFSFGPFFPAISQPLDKSYEIAKEPFTIFQYFLRVVPTT YVDAARRRLVTSQYAVTDYSRSFEHGKGVPGIFFKYDLEAMALTVRERTTSLYQFLIR LAGVIGGVWTVASFGLRVFNRAQKEVSKAVVGKDKEYIPSSLPSGSPAFERAGSGYFS RDDRGGLLRTSTWGSNPAGSDWKAR
I206_04331	MSLSPSKHRTLSRQPSSPSLAVGLPPALPESNIMQPSSPSKLAK SRSRKRIHRGDVSSSAASSDNEEEGLTQITPKRKIINPIEAPLPLSTGKKPKKKMTLQ ERLAAAASAKAGTSEGGIKAKVNSIADFSSFISEATQISESSSTSKVSMTPQTRSELL SSRSIASSSSSDKVVVCVRIKPTSHPFANIAYEISPTSLTLSDDHPKVKQRGGKAGRE DGYTYTFDKLLQFPSTTPDLYGAKVAPLVDKAMNGFNSTIFAYGQTGSGKSFTMTGTA DELGIIPCAIDGVFDAITADTERAFLLRVSYIEIYNETLRDLLNFKKGPLKDDEKPAI HYAKGKVYVDPLVEEIVSTPQDVIDLLEKGNAQRRVGATDWAIKLTYRIQNERSSRSH CVFTIVVESRPRDGDGDDDIRLSRLDLAGSEKAVSDSERRGEGKHINQSLLALREVIN KLTEKTKASHVPYRNSKLTHLLENALGGDSNICVICTMSAEEEHCGETLETLKFAGRC SQVKTNAKKNVLQSSERALIKAKDQEIEDLRLRLATFTKKDVNPISDLAESVAAMEAR KSKLVAQLSKLNGEILTSELPRSGSGLPLSPPKPKRRRISDFSAMISTGSGRTGIGLG TPKKTVDRRAISGMSRLTEESEEMAGIMGTLEQAAGGGVAKSFEQDRALAAARRNLAT KEEELSLANRNLASALARASQLSERDAKISTLETELRQALDALSSTQQKLQRTESDMG DQNAQLESTRSEMVAKIEERSKRIDELENKVIDLRNSREELVLEDQGRLEEVQKRSEE LSKEKEGLECTLKRLQHEALEQGGEVERLKGEIATLVDRGAETKAQTEISSLQIAQLE DSMTQLKKQLEENQYSQASREATLQTEKAIAERQVEEARADLDRFQREAMSNEANVLG QLRDEIGNLRKARDEDKLAFEKMRLELEREIEEGKERSKDELTQAKNEAEERERKLRE QVAEALKTLQEAAKANDTLQQTIRLETESKATIQQEKADIVMKLVRATQDMEDIATSN KDLEERLQSALNIKTTLENERDEARNRLSASDGAADQLKSETNARQDAEVRLELAINK LHEMEDRLGAMQKDLEVQEKRLEAEAASRQDAENRIQDIALARDQAVAAEREKEKAAD EEKKCRIAAEKRIEELSMQREANLEGVKEMRRKMDLESSSRKEVEAELEKLKARQSAE NSGQDKLRAKLLETINAKEELSRQLSELVQSVKKKDEELRSVLATRNDMSNTIANLEE VHKALVEQNEKAESCLKTAENKIATHSALERSVLDLRHQLEAERTGRIAAESQVTKVS QELITKHESAQQVLENELISLRQALKVAQKEKSDAQSEAELERVKADELCSELNELKS RSEVTITTSVSSRLRHSAPGPGWGTDKDMSATALDSLRSRRGVSAGTHVLDASLSMLK ARDDDEIERLERIIEVQKEIIDEQREKIERWAKEMEKQREIVRLLTNDNSQPSPIPAR TRSPRGHGKSHSISHSPAPTPLPNAKGLVSSFTARNLALPTSPSPLPMHPSQFTNSSA RKARRVTIEHDMDKLTESSKVIKAKAIFESPEKNAAPATPPKIPLRATQSIRSVPRQR RP
I206_04332	MAIIAQSTHDDLRDLAPEEAQELRDFLEKRRWFEGKLKLLDDLP SIYPFLHPALISEGSSLRREGESSSQWQLPGIDKVKEWQEDRDKLEEEVLLFDGGDLG RMKEMTRAATLLPLTPPSTHLVSITLDLIVLIDRILTLLRKRGQLLDLTLTRLHWDQM RWEIGKETKKIRDEIAEIVQNKGKWLPAVGIKHSELSVAAVPQTPSPVMTTLPRSGSF TTLIDPSNSPSTPPRQSASKMHFSPRHSLHIPLLHSQLVSLNVRHRNLTSNQLTRSSI LLDKMIDLAAPLRGLGDINGPAETESAVPDVFLDLQDEVDARAKETSDRIDWCKQLEK QWEKCRIHYAASREVLHRSEQLSQLFRSYLNEPATGERHAELSDRLTSTKAYLPNPID HSFSGLSHPSYPEIERHNTDVVEALQGTYNQARDLFSACEVMVTWYGTLEQSRRPILY EEGKVLEITEQLQEVMNELSRANQEMMFPESDSTSGIIEAQQAVLQHLEVDKGKRRSE EGIIRSQVLTLAIMKYQVILRKPPLGLDHIQNVDSSIIRANAEAEDLLELANSVSRLV ETSIRHQEMLGLLHPFLRSAEHLRSMLDVKEKNIQLLVRQNRWPESQDLDENFATDAD ELSKQISALTQNTLPPLMALFETQSSKLRTHVEETTSDLSRRQNSLHGLVEMSKRLHD QARAMRDFESRQAALRLQAEELMQELSSEASVDKPASRVDALQEEYEIWVSSLEIPFL SDNGRSSEIADGLPSAENHDETDQAVIDHVNISTLQVASAIANCRTALQHHLGQKWSN HCGEATTRFDQLVSSWKSLKQEIQQNMSLGRNDMTQYRDSLKERKKDFQNAFKELEGV ISNRPDGVDDDLDDWAFTLEHRGLVMTAVLGEMEATSAALNKAHLDVSAKTDSTTRLN DVFGPAAELPGSGDAGYAPLVNSLQAELDKLNVQGIAYPKSEDVPSLRRLPDLRTVKR IRTTLNTMADRVGQIPDGEKGNIVKSITSLRELLPRLDFLAEADQRFKECDYAISNLL KAIDVSFDDDEVQQALSVAGVAHHRATSIGRDFDDHRVVNEEERITRNWSEIRILAQD YLPRQSQGSIVVPTTTTPRARTVSSRLPRLTSSISRATFRSTSNSSLDTANIISPVVG TSLNLRDRAVSDTPTRHRVFSMKATGIPQLGATSLASGNGISPRPSRLSMSKSVNKKE YVADARSKLDLAVGQVVNKLEIHVPIRPVGVNSDNGDWTDQSGQYWIGAEGRAKLCFC RILRSRTVMVRVGGGWVELSKFLLDHFAEAVQGFDDFPENMSSTNWLFGSNISSQSVS LRQRMPPSTSSSSLASIAPEKTPARPNGTSPVRTPSGPGSPLQAFQFIRKASESPNAR EKEKERFRGRRSILGKDHSSDT
I206_04333	MSQINNQQRPPPNNTESDNNQSNTANVPRTQSSQNAQSPLDWAN FMNFQQTPPVVNPPSHNMYPRIPSQDEQYSNFAQGISMSRPSPSSINFQQPLPRGSTS STASSRHHNPNRQYSQSSTSSQPQLPTYHVPSQSPPRSPSSLEKGKSPATKTSESQDN GLSLDPSAFSRDIRFQVPSFLTNQVGGAPTFPPGGEAWSGFSGANLFGSDINQLTPGT IFSNAFGITNAATDNQQMYCDNNSTVPAYSNENGVWEWNQDQNKHEAQSMFYVNPNPS PSILAARNNQQQQQQQQQQQPSQRRPPPPSSRNTTYPQSDSTSMATSAILPQQPSYPQ NSFTNYDGVSSVSAPNNSASTINIASSSSAPYAPPSNTHSLLAGPMPPQLTDGPGLYS TTGFDMVGVLARVAARRDPSTVLGPVDLSCSFLVVDIRRYDSPIVYASPSFSQLTGYD LPQILGRNCRFLQAPEGDVVKGSKRKYTDNTAVAHLKRMLNAGKECQASLINYRRGGV PFINLVTVVPIPWDGTDIVYHVGFQVDLVEQPNAILRNMRDGSYQVNYTVSNPPEKPL RPPVREIGLTGLSGEVMEIMGQRVNTLTSGSGEEAGRIEWLKMVLDNTDDFVHALSLK GFFQYVSPSVRRVLEYEPEELLNKNISEFAHPSDIVPLMRELKDSTHAPSDGSAARHV NLVFRIRRKTSGYIWIESVGRLVVEAGKGRKAVILSGRARAVPALPWDSISKYGGLGE TEFWGKISFQGLILHATQGVEGVLGQPSDDLVGQSFFSLLPGGDNSPPSQMLLQADPS APVASVSSAIRRVLNNETRSGAVSVQHKLVHKSGNQLEVITVIYAPRRSIPDIPSGGA RGDDETSSNGESETSRLSSHDIASITGIPPTSLVIQVKLILSPVPGANIQTITRAKPV VHSPAANLFEELETTRGTSWQYELHQLRLLNRRLKEDIAAAKARGAGKGGKNRKRKFD NNPGDMGPPALPYANLHEQYTAAPRHQLAPGFGLVTPGMTSSFY
I206_04334	MPPRTRAASGSLTTKLNPSQKTKAGPVASAPSAKRQRQKSQAVV APRLIRPNLPDAAGDGQLLPPTLSFSLPDAIAHLSRVDPRFGLFFTHLPCRPFVHLEP IDPFRTLVTSIIGQQVSWLAARAINNRFRALYGFDPDGKDGFPSAEAVSKEDVVKLKS VGLSTRKAEYVISLAQHFTSGQLSNELLQNGTEEEISKALIAVRGIGQWTVDMFLMFS LRRPDVLAVGDLGVQKGLLRWALSAHDALPKKASTTPKKGRAKANVVKRPEEGKLDTQ ARELTPDRKGNPAFPPTPSTPSNIPAHTATLHTPDDTIPTAQIPPTPNSPAPAGEVAQ VPAGTLPPPAPEAMLSPLPDHPDWSPHTAVPLPEGLSVEILKSRLAGKKVKGGMYLTP KEMEDLTQGWRPYRSLAVFYMWPAAEEQ
I206_04335	MFQKVSDKFHRKQQSSASNKSNPSSPSATSRNTPTSSAPSTPAA VAPSSPLVEVKSTHTPMEGIEGPSPSSPPLVKSAAGSSASSSLSNVPPQARTLSSALG VHNSGPIPENQTPVSESRTMSIQMTDVSEQEPELKRNDSAADEERIREKAREAQEQAA QAQANLQMATQQARVAAINAAATQAALETVSTATPQVAPAPAAAQPQRKTAGRYALSD FYIERTLGTGSFGRVHLVRSKHNGRFYAVKVLNKEKVIKMKQVEHTNSEREMLVRVRH PFLVNLWGTFQDVNNLYMVMDFVAGGELFSLLRKSQRFPNSVAKFYAAEVALALDYLH SLDIIYRDLKPENLLLGADGHVKVTDFGFAKHVPDITWTLCGTPDYLAPEVVQSKGYN KSVDWYALGVLVFEMLAGYPPFFTEDGNPMKLYEKIIAGKVRYPSYFDPLAKELLKNL LVGDLTKRYGNLRAGSSDIFAHGWFAEVDWDKLYRREIPAPYVPKIEGEGDASQFDRY QEADVSAYGKAGTGPYDHFFTDF
I206_04336	MSDLEETEPRKSTSTLLNGNHTFPTFYACYLLRSKATPNSNRTY VGSTPNPPRRIRQHNGELKQGAWKTSKHRPWEMQMIVYGFPSKLTALQFEWAWQKPEL SRHLRNTDPHTGEDNGPIFSKDAKRNWVERKLAVAHALLTTSPFNRLPLHLRFFVQEV HDMFQNLEVRDSCPGSSKRTKKTTNHAWTPLPLPETVTSILDLGGVSGSTGLRRQSTQ GVQSVDGPIDVNDTAFRQGQSVWGKWKIMEQKNLETQGLKCDECHEKVDHSKHLSFAL CPLDPACMYKAHLPCLATMFLEQTSHVLPRSGMCPSCGGNLEWGQVIRACYARLEGIQ REHEEALKVAKKAVRRSQRRKKDTAITEDEEEPSEASPVAHKIGSIMLDTPPKHGLNR TPSDILDEEASTRAKRIAQDDNSDEEEWQTFEKKMMSIP
I206_04337	MPNYYDELEIEDFAWDPVAKLFHYPCPCGDRFEISKGQLRDGEE IAICPSCSLIVRVVYDYLDWEDYVTSDEEEDDAESLETPPTETSNEEPAVVDNKEDSK GEDSRQQGKEEKADEADPDIIKVLDRLDLKDNKQEGTK
I206_04338	MTSTTQMPTDGTGVLLSDPWLEPFSPALRARYDAYKKQLDKIET HEGGLAHFSEGYKSMGLQVDESGGVRYREWAPNATEARLIGEFNNWSHSANPMTKSAF GIWECYVPPKSPGVCAIPHDSMIKISMTLPTGGSIDRIPTWISRVTQDLAISPIYEGR FWNPPKEQIYQFKHGHSTRSTEGLKIYEAHVGISSPNMRVTTYKEFETDVLPRIKKLG YNCIQMMAVMEHAYYASFGYQVSNFFAASSRYGTPEELKSLIDKAHELGLTVLLDVVH SHASKNIEDGINEFDGTDHLYFHGGAKGKHELWDSRLFNYGHHEVLRFLLSNLRYWMD VYMFDGFRFDGVTSMMYTHHGIGTGFSGGYHEYFGESVDLEAMVYLMLANQMLHELYP NVITIAEDVSGMPTLGRPVYEGGVGFDYRLSMAVPDMWIKMLKEQTDDEWDMGNVAHT LTNRRHLEKSVSYAESHDQALVGDKTLAFWLMDKEMYENMSDLSPMTPVIDRGLSLHK LIRFIVHTLGGEAYLNFEGNEFGHPEWMDFPREGNGNSFAHARRQFNLVDDELLRYKY LNEFDVTMNWLEDKYKWLSSPQAYVSLKNEADKVIVFERAGLLFIFNFSPQSFADYRV GVETPGEYKVILSSDEKKFGGHERIDLAGRYFTTPMEWNGRKNWVQVYSPSRTVLVLG L
I206_04339	MKLLSRVDSQNRSNSPDPVEQIDTSHENVTDKQLDTSEESIDPL PITDDYAIAMAAMSTLFHQSYKEALSSGDQQQWNLAIKNESEKMSKYKVWEVVNRLII CIHFRERWVYFKKIDGENGQPSKYKARQVAKCVGVDY
I206_04340	MMVSQPSKGIPGHMGMAEGQTPRGIGLILRVRGSPSSTPIGTVI VNRGP
I206_04341	MADFKVGAGEELGIAAHSLKTGHFADTTCKGASHAVSADADYSA FHKTIQHLTMYTPCSIIIPDGKRVNGETLASSTRLIDRLQDTFELTCETVPRDYWVKD LGAEYIKKLGVPGEARTSTLLAISDKYFALCATSALFKHLEHEDLHYSRETLPIRYAT SEGTMFIDTDTVKNLELVANSLTHKMTGTLYGVLNRCFTPMGSRLLRSNILQPCNVRD TILTRLDAVTELVSSPERLRDIRDRMGKLANATRTGTHARIENVTDFRISILLQLEKF VQAVRNLTAELNHGKSKMMKEIHDELADPVLSMIQSEIQKYLNTDGTAANPKKKVSST ARLYAVKSECNQLLDIARKTYRENLDDIEQCEHDVDTANLTIYVEQYGISSRLEPVAS KFRFCINSQDLEGGRLPSECINLDRKKSGKIAFTTHELLQRNAKLEQAQQEVLSLSEE IVAALLTSVMGDISGLYSCSIAIAKLDVLAGFAEIASPEDLAYSLTTQVRAGRHPILD RTLDTDECVPNDIYVVRGHASFQLIQGPNMSGKSTFLRQVGLLTVQAMLGCYVPARYA NFILPDALLSRLSNDGKYRLSETGADYYACRFAREESTTGLATPKSLVLVDELGRGTA PLEGLGLAQAIAECLIERKVFVFFTTHFHDLATTFGALPGVVKMHLRVEDNRVGDNNE AFSSHFQYKVEEGPAILEHYGLELAKLAFLPSKVMSRAKEVATRLSDLDKEGRNSTAS HALVKRRKVLFELRDKLVYLLKNSHGDNTALAATLRNLQEDTIDELRKTFKE
I206_04342	MTALDPSRVLSIQSHVVSGYVGNRAATFPLQTLGYDVDVVNTVQ FSNHTGYGHTNGHKTTPEQLTSIFEGLITNGLVAHSRILTGYVPGAEALEVVAEQIRR MKDNNPETLYVLDPVMGDMGTGLYVSQDVVPIYKEMLKLASIITPNQFEVELLSGINI TSIETLHTALQQLHTANSLAHIAFSSIPLPISLVTSLDLPSPPESYLRLLPDPFPPWY DAVGVGKPEDEVLVCFASSWQDDSMRTWAFALPTIRGYFSGVGDLFSAMVLAHFKNPE SQSSLPPLAHAVSKALLTVQQILLRTHIYSLIQTGTSGTATPRPLHHSPSEPQGSAIP SDVELDEINPINPKDPKRKARRMRLRELRVVQERALIADGGEGWPGKELDWPSVLRDE A
I206_04343	MYSIARSLRSSSTLSPLRNVARSTSPLLTSKRFNSGKVSGPVVG IDLGTTNSCVSIFEGGAPKVLENAEGARTTPSVVAFTKDGERLVGQPARRQAVVNGEN TIFASKRLIGRKFKDAEVQKDIGNVPFKIVAHTNGDAWVEARGEKYSPSQIGAFVVGK MKDTAAAYLGKPVKHAVITVPAYFNDSQRQATKDAGSIAGLEVLRVINEPTAAALAYG LDKTDSAVIAVYDLGGGTFDISILEMQKGVFEVKSTNGDTHLGGEDFDIALVNHILAE FKKESGIDVSKDRMAIQRIREAAEKAKVELSSAGATDVSLPYITATADGPQHINLNLT RARFESIVKPLVDRTIDPCKKALSDAGVKASEINEVILVGGMSRMPKVVETVKGVFGR EPSKGVNPDEAVAIGASIQAGVLAGNVTDILLLDVTPLSLGIETLGGVFTRLINRNTT IPTKKSQTFSTAADGQTAIQVKVYQGERELVRDNKLLGDFQLTGLPPAPKGVPQIQIS FDIDADGIVNVGAIDKATNREQSMTIASSSGLADSEIEQMIADSEKYAEADKARRQII EEANRGESFVTDTEKSMAEFESQLDKEEREKVKKLLGELREIAAKGAAGDASVKPEDI KSALDAAQQASLGLFQKVYEKRNAESRGSEGSESSSASSESESSSSSESEKKQ
I206_04344	MSRNRRAGGGVRGPSSALTSFLAGLGVEPATRITTWGNTSGLNA DGTGNQGDQPSLAHDGPVINPQDQLDPAGAVTAHNVIAGPTDAGDGTVELKDENRKRK RGVVSDEDEPLNKRNRAASIDSDDLDANDVPPVESSKPSSTPNVIAPAENVAPGPLRA VGEFMECGQCAKRFTVTAYTKEHPSKASTYLCVNCCYTLGLDPFAKVKKAPIRKKAPG KKEDRAKVVHYEQKKGALALGDLCIQIIGKYIEDVDQLGDIGGINMDKVCKIISKSRR LTPETAQLFYSADRDTLAMYDCTRLTPEAFTAMAKLCPKLTSLHLHLCGQLSADAMTA WGKSLKQLKRIELFAPFLVRKDGWINFLKSTGKRLESFLITQSPRIDLEIIQILVKSC PNLVELRLAEIGLLDDACLTILQSLRKLKYLDLSAAGTPLTDDAVIALLAAVGGQLEE LNLAENADLTDVVLPGIAEHCPRLHRLSLRNVVELTDEGVADYFSLLKKKSRPGLEWI DLEKGHDLQDNSLRNLIAHSGNTVEKLNLLGWKGVSNDCLAELATCKHLKDLDIGWCR QVTDFTIKDVLEGCKEIQSVRVWGCNQLTDAIPRKKGVQVIGVESHSI
I206_04345	MASHRPAVLTDQPYTDPNPLPSSVPHVDELGVTSAPLKSASFFI GQHCKDVNEDFMLCKQENRDPAHCLSEGRKVTRCAADVIGKIKESCLEEFNSHWQCLE KNNQYFQACRKPEKALNQCVFTKLKLSKNIPGSPEGQPQIHEKSSPIFTRVQK
I206_04346	MAQVVTPLDQPSSYRDNRSEIDRETERRLLAQSSTLYVGNLSFY TTEAQMYELFSTCSRPEEGGGVKRIIMGLDRNQKTPCGFAFVEYFLHSEAVDCLRYIS GTKLDERVIRCDIDPGYKEGRQFGRGKSGGQVRDEFRQEYDSGRGGWGHQRLEEEKRR QEQERLRSQIQFDTYAAVGGLGMAGADVPRGEESERDRQKRDRSEDEDIERRDDEKRL RGEKDDE
I206_04347	MSENTELSDADKIRLKRLARLGTSTPVQQEKPSSEQESASATAS SSAVHTPASAGSRLLSTPPSNPASSSTAPSPSTRPSKPAASKPSGDSQSAKPSTLSLG KRPASATPVNEPVGPRVVPSKAATHLSRVDYAQWQTRKVGDIFSVTFDRQKAQESDWS LCWLKGLAEELIEENCPQPLETTVDMADRLLIARLSLDPASMASSDDPDVLSVLVGLP TGETIFEYLTGCWKRLYGANRESLKYSFSTEERRSWAAAFDKLKGLIISYCGMTLEDP TMFPQPQDKPVGPAEFLPLLLSVTATSNPEGDQHTTSSQVAASTSPGALAGADLLPFL NDLAIGFPSDTMADVITPTLSLFFQEWYKITPTPDIMGAEWRRYIGAVNLLLQVKSIA AILPTLTIWVAPDVTAPKLEWQSLLGPLTRLSVFPREFPEIWKSYFSNPTERKKEDID ANKSNLRYTLGSLHTSLFNIYNSIVRASPESREGVLDFFALTIKLNEKRAGMRVDPRT VSSDGYMTNLSVVLLKLFEPVMDAQFSKIDKVDPAYYKYSKRVDITEETKIKGTKEEA DDYFGSVMQVDTKPNFISDLFFLTNAFVHLGIVKTVATRERAEKNMSEIEKELKRAES QRATWVGNPAMETQGEAAVKKLKSDIATLHASIHAYDTQLLDPAMVRLTVSFLGYLMT WLIRMVDPVHKHPGTRINLPLPAEAPLEFRMLPEYLFDNITEYFDFLARYHPDALDDV DKDIVITFVVTFLSPNYVNNPFLKAKLVSILSYGLWPMGYWRKGALFDRLSVHSLSTD YLMPTLIRFFIDVESTGGHTQFWGESRNIQRIFKSMWENPLHREAFVKARHDDFDQFV RFINMLMSDTTFHLEESLTGMAKISSIQNQKADADAWAALPQNERDDQESQLRAAESS APFHTQMGLENVKLIRDITATTKEPFVTAEIVDRLAASLDENLAVLVGPKISELKVAN PEKYSFKPKDLLAAIAQIYLNLGNEAEFIRAVANDGRSYSKELFEKFARLLKNRAIMT DAEVAEVVSFTQKVEDMKATIQIEDEREVPDEFLDPLLSTLMKDPVILPVSRVVIDRG TIRTVLLSKEVDPFNNVPLKYEECIPDTELKAKIDAWLAEGNTQKAADVMDVDQL
I206_04348	MDMAMDTIVPESLPWEHTDEGPDDSVSHLKTSLIGNSITLPISK GKLVFGTWQGIYLAEFRHSGAGWGGRGQGRKVIATIL
I206_04349	MLSSSRHDYFPVPVLYLAFFQSPTKPHPRDEILPVMDLATIRTS LKSPQGVLCAGAAIRLALFLGIPSLCHALERRPELSTPLTSFRSLKEGVFIHDQGSNP YDGGVFFHSPLYLLFFTYIVPVSSYLLTLLLWTSVDIGSAIALVRIWKSQNSKRNERK VSLILALFLFNPYTLLSCLAKSTTSIDNAILLSAIAFAATGQITGATFLLALASHASL YPLLLLPPLAMLLKQKSGTHLASPVLLTAVSFVLFCAGLTGIIYLTASQSWIEQSWGV IINVNDLTPNVGMWWYFFTEMFDHFRTFFLGVFQLHNLIYVAPICLRLSDDPLFAILI QVGIISTWKSYPALGDMAVWAGLLGCFPDVVSNLRHPLFTLTVHLYTSILLPLLHSLW LLTGTGNANFFYAATMVYGLNASLAVVDALGAGLRLQVKKQATDALLREESEDRKSDG YEKDPEMMDEIWEQKGWSVVQFASSII
I206_04350	MASQSKPRERLDVESSEERQSRLSNLLSNISSPTTESPEKPLPQ SQTIQLPSRPEAVPESDALARARAFLPLFKASNNELLEKAAKDPNSVNMEKINGNQAI AMDLGLGVFDAPKEPRSNLGPEVDSQPPTDIAVDDEDDEDDPEDSDDTSSLSSSEVDS TDEDTTNDTSPTVKSS
I206_04351	MLPAAHIMSFTIRPARQVASITPVQLQQHLSVSPVHPLHISGLV SSWPALTQWRLSDGLRGVRDAVGEDRAVEIELGKQGRGYLHPDWQRVNMGFDLFLDAF IFDKIPSSVPKSQLPSAYLAQSDLLDSSSRLAEAVPPLPHFFVGKEKSLYRRTIWIGP EKSFTPFHKDPYVGIYSQIVGKKTFHVLPPEAAQFLSPSNLARHTNTSQIPIPVSRIL PTTPDSIPDRKDLVDLPQGILDTCYTQLKKAFALEGACAVDLNEGESVLVPEGWWHTA EGGNEPGVGVGAWFR
I206_04352	MTPAENIAYLNSQRQHRPNSPHATIYQPQVTSVLSIANRVTGVA LSGALYAGALAYLLHPVFPIIDSAHLISLISDLPTWVKGGLKFLFAVPFTFHTFNGIR HLSWDVGKGLTIKGVYATGYAVMAATAVSSVYLAFFV
I206_04353	MTVTTVTTMKRPIVAVIGTTGVGKSDLAVALAHSLRPPTSFLRD HSREGESSQPAIVLSSDSMQLYKGLDVITNKMKPEEMRGVEHWGLDMVSPGEGGSWEV GKWCNEADKKIGTLSSKTLPIVCGGTHYFIQHFLFPPPELSFDRPTSPADKGKATASS TSLRWIPPCPRPPLPADLSDGMITLLETFWTTEPIWPVDVTTESSSGSSSSNSSRPTI NEDAKLLALYRLLSALDPKEGGRWHWRDGRKVRRALERWWERGISKDIVDGCTNSGEV QSKGREARRVPQSPFRTLIFWVYEPLSDLRPRLDKRVDKMVDNGLLREIAELRGIAQS IYGTTEATDHTEGIFQSIGYKEFAALNLPQPDPSTDPAYAPALERTKLSTHQYAKSQL KWIKKQLLPAIKEAKSLGGEVDIYVVNGGEMGIEPAVNILRCFMAEEPLPKSRLIGHI EAQELLGLLDNVGDSRVPDTLDRQDINARRDCEICSLPGRPYSLSVKEWETHIKSKIH RRNAKPARDKDEWIARQKEQGELKRTEREKLKEEMIALKERQE
I206_04354	MPKRKCAPCDSLPRPTLQLGSLDAALDLLACDTFTRLDGLTAKF ESCANVKLEEGVVKARWEEAVKRYWVIRSMNELCCTAKKAAIKDVDGENMDEDCDNNE KQDVNGRPMPNRHHIGAVATHQLNAEEEREWRRWTPKSGDVVLVETADDGIWPGKIID KRTFFQGRTVPRGNHFFPVRIYHEEKPPIITVKARLIPLSIRPNPPLLASPPLLGAYY HAASPITFDMRASSRESQAAHNRTHPGVGDESDRAQIKADKDAWNKSVNWVMNERRVE KLRALSEEREKQLRLVIKSDPFEGKGEGYDKPCNGEVGEEMVNLSGPKKRRTFVAETV DNDNSSSIQSSIFGPVNLHCAGPSTPQRTASPSLASYIRPNLSTPQRPNSPRRSGRDK RRNGIFVGMGESSPRGRGGTYTPPRILPSGDETAFRSSGSPVPTLQRFDFVSPLGPVK KGKLTNGLNGYSHANGDTDSSPMMLGSIGRSGSLEVVKEEEEEDNWTLVQKKGRRRAG SEPAAEKKEMKSCGSSREDESMEL
I206_04355	MGKKKLSLKPVQRGFATTSIVTKKPEPAPAPSLDNDPKSADNPA DKGKPTAQADWEDEEAIGKAALQSLVDKLHDKGEKEVSRIIKTIEYDRRLATSFPKLE LDEKVREEVLQLAASENGSIVEGQAVPKSIPSSASGNDTEKSLLRFYITYHVLKKLGF RDERIEQCLIEGLGETDTWEEAMEWMWTHLTEDECLQRGEYAKQEEPSLMQSDEPLLE LPLEEAPPVIVDEMTEPSAEMIPSHGTTSSSEATSLFQSHEADSSSESSDDGNFNPGE INERWANLSLELDNLKSAPGGPKAKGKKGKSAVIIETPEIRTLKDKIVKVEKEYLFSR KDADVILKSLKSQRDAAVLAAKLKGTSVTPSDSSQGAVEAVDPEDPITPPAAPDIFSA ADDEDGDLFGGLLDEPTEAVTVNDHSTANTSITVRSMTIPKSSFASNIPKNILKSAVT KQSKQAVFTYAKLSGSSRASRAGLEIRWSTGRRKVWRMDDIACNDMAEAENYVSTIAL SELSTDGTLIGLNWRTMPPDYRELWEEEENKRKDEEDVGKREIWAKIKALYEKKAVGP PTDSKIDATVKAPSTGTTTPNIKSEVEQADRFSQKLQDDQDDFAKRRSSSAYQTMLHQ RNTLPIASFREQIVSSLEESQIIVLSGETGCGKSTQLPSFILEDQLAKGKPCKIYVTE PRRISAISLAQRVSQELGDSAGTMGTNASLVGYSIRLEAKVSPSTRLAFVTNGIALRM LESGSAGGSKGTAFDEVTHIIVDEVHERSIESDFLLIVLKNLMQQRKDLKVVLMSATV DAEKISNFFGGCPFLSVPGRTFPVQVNYLEDAVQIADWQISEDSPYALRGRNFKPTTQ MVEWNEEGAKSDSDPSDGEEDDPSRSSNPAKLSSIKYSSNTVSTLNLLDSRQIPYDLI IRLLEKICYEDSSLIAFSQATLVFMPGLAEIRKLTDLLQSHPAFGSRDFMVYPLHSTI SSEGQSAVFDIPPKGIRKIVISTNIAETGVTIPDITCVIDSGKHREMRYDEKRQLSRL VETYIARSNAKQRRGRAGRVQEGLAFHLFTKARHDTQLAEHPIPEMLRLSLQDLALRI KILKVPLGKTIESVLLQALDPPSSVNIQRAIASLVEVKALNTNEDITPMGRLLSKLPM DVHLGKFLLMAAMMRCLDPALTIAATLNSKSPFVTPFGFESQAENAKKSFAVGNSDFL TIANVFDSFRRASENPNFVRVFCKKNFVSLQNLQQIEELRQQLLAYLVDSSFVDTNAQ QRKEISQARFSRGVRTRFVSVPPDFNVNGADVNILGGALAAGLYPKLLSLDPSSGGGL KTIINQQPVAIHPSSVNFKVSRSDFGTNYLAYFTIMQSKRLYAWETGPIDDKALALLC GDVADFRITASSLHIDRKIRYHVSPKSAIAIKLLREQFALAMSTRLRGKKLTEIQEKW FELGMKSLRVVVNEEEARVGLV
I206_04356	MSNQARQQGATLRGTAQTEIEAGPSTSPPEQPPAGVLKLRGGPL KKQRVVWTDETVDNEGMGKKKSKICCIYHKPKAFDESSDESSCSSSDEEHTHDSSKSN GRSNTHKHKHRVREGGNDELGESDSQSSESDGGAGDGRARPAIKPRKHKHSHNCDSTG GRVNKYDVQPKP
I206_04357	MSEFRAPPLDVSSILHGGIHHPVLREWQSNGRSLTKSMFIYPIF ISDDPDAEEVISSLPGQKRWGINKLEGFVAPLVKKGLKSVILFGVPMKMEKDARGSAA EDPSTPVIQGLHLLSKAFPQLMLTVDVCLCEYTSHGHCGVLSSLPNPGHSNQPTIEPE PSAQRIAEVAVAYAKAGAHCVAPSDMMDGRIRAIKLGLMHAGLANRCALMSYSAKFAS GLYGPFRDAAGSAPSFGNRKCYQLPPHGRSIARRAIQRDANEGADFIMVKPALPYLDI ISDAARLAPDLPTACYQVSGEYAMVVAGAEKGIYDLKEMAFETTESMVRAGASIILSY FTPQFLDWLDEEK
I206_04358	MELNGGSVVAMIGKECVAIASDLRLGNQAVGVASNFDKVFPVND KLYYGLPGLATDVYTLKEHLRFRVNMYRMKEEREITPKTFTHLVSSTLYEKRFGPFFI EPVVAGLPTPTELEPHPKPFISTMDTIGCITTPKDFAVAGTAADKLYGVAEGLWEPDL EPEDLFETISQTLLNAVDRDALSGWGAVVHIITQDKVITRTLRARMD
I206_04359	MVHLNPLSLKTTTLLFTYTFLAFTFIEDELNTMIQSQAQAIALL DIPRSLRESLHDAGYITIDDIGSSSASDLSAELGISRNQAEDLLQQISSLQAGVSTSS KAGSDSTQIPLQSQIQASTAADLLSSAYLPHFSTCSTSIDRLIAQFHVPHRRKANTGV FRKGKEKEDSGAITPGMTIEIAGPPGIGKTAMALGVVLSARLTSAGISDEKEMEAGEV LILDTEGGITAERVRSAAEALTRTRATLPRDIIHGIHFVRIPTQTHMVAFLHTLDEWL ENYPKVNLIVIDTLSFHFRQPSLDMSTRRRMMDLLAASLPLLSYSSLPRIHRVKQKIG QATTLHKCAVIICNQMATKLLTAENKPANFDTGDRAILMPQLGDSWTTGKTLRLCLFR GQGGDELRYVHAEMSGSSKGLKWAAFDIDDDGLPCDIPEMLYDRPKTPPFIGETELNF 
I206_04360	MSRQQQNPFDDLLSDNPQHQNQHVTQDDPFGDDEPQLFSPSGTT PYGQSTRSGAGGSAKPQAGYALDPFFDDDDEYGGGGQSSGYMAPQSSSTANISRSFGR SDPSLLESHLPLAQSGAVPAGFSGPPDDNKQYHSSSSKSYGLGGADPFEDDLDGPSAY AFSAPGSGPYAAQRKRSRWQRIKEDHLTDVDWTFGLNKMLGRRGKFDGVPREIALNDA EGNRVKGYENNSVTTGKYGPITFLPKFLFAEFSRSANLFFLFTACIQQVPNVSPTGRY TTIVPLAVVLIASAFKEIKEDLKRHALDRSLNNSIAQVLINQAFQPRPWRRIRVGDIV RLEANAFIPADMVLLSSSEPEGLCYIETANLDGETNLKIKQSHPSTATLTNPQAVSLL RGHLLSEAPNSSLYTYDGTFHLSSTHPGSAPTKIPVGPNQMLLRGAQLRNTEWVYGLV VNAGHETKLMRNATDAPVKRTAVERQVNRQILYLFVLLLILSLVSTIGGSIRTAFFNN KSWYLRMAGESPNKARQFIEDLLTFIILYNNLIPISLIMTMEVVKFQQASLINSDLDM YYAPTDTPALCRTSSLVEELGQIAYIFSDKTGTLTRNEMEFRECSVFGTMYAQTVDDN KRELGQKTFETLRQRVNEDNLEGATLREFLALLAVCHTVIPEERDGKMIYQASSPDEA ALVSGAELLGYRFHTRKPKSVFIDVNGRSEEYEVLNVCEFNSSRKRMSTVVRGPDGRI KLYTKGADTVIFERLAPDQELSETTLVHLEDYATEGLRTLCLAYREISEDEYAKWSVM YDNAASQMHGRADALDRAAEVIEQNLVLLGATAIEDKLQEGVPDAIHTLQQAGIKIWV LTGDRQETAINIGLSCRLISESMNLVIINTETATETSELLNKRLFAIKNQRMGGDVEE LALIIDGKSLTYALEKDCADVFLELAIMCKAVICCRVSPLQKALVVKLVKRSTDAPLL AIGDGANDVSMIQAAHVGVGISGVEGLQAARSADVAISQFRFLRKLLLVHGSWSYQRL TKLILYSFYKNITFALTLFWYSWFNDFSGQISFEGWSMSYYNVIFTILPPLVIGIFDQ FVSARMLDRYPQLYQLGQSNHFFTPVRFFYWVGNAFYHSVILFLFSVLVFYNDLIASD GKNSGLWVWGTTLYLAVLLTVLGKAALISDVWTKYTLAAIPGSFVFTMVALPLYAIIA PLLNFSLDYKGIVPRLWADAAFYFCLILFPVVCLLRDYVWKYYSRTYHPTSYHIVQEI QKFNLSDYRPRQEQFQKAIKKVRATQRMRRQRGFAFSQTETNDQDQTRLIRAYDTSVA RPTGY
I206_04361	MSDSESDGFVVADSGSESEGYIQSSKAKKPVAEKKAPAKKAAVS KAPKASTVKKSATKKTPLASKNLPNDSISEVESDFVDSPAKPKAKVVDDDDNFGAGPS TAAPAKNKSASEVYQKLSQRDHVLKRPDTYIGSVEAISQKMWVFEEETKGMIYRDITF VPGFLKIFDEILVNAADNKINDATMDSIKVTIDREKNTISVYNNGKGIPVEMHKKEGV MIPELIFGHLLAGSNFDDDQKKLTGGRNGYGAKLANIYSHEFIVETADKVNGKKYKQV FSNNMDKKGAPKITENKKGEEWTKITFTPDLPRFGMTGIDDDTNALLMKRVYDMAGTV KDIKVFLNDERLKIKGFKQYVEMYVNSASAATSAEGMAMSKPPLVYEVVNKRWEIAFT LSDGEMKQVSFANSIATTKGGTHVDMVSTQLANKLLEQIKKKNKAAPVKPFQVKNHMW IFVNALIENPAFDSQTKENLTLKSSAFGSKCDLSEDFIKKVTKTGIIDNVLSWARFKQ DQIMKKSDGAKRSRVGGIVNLEDANQAGGRNSKNCTLILTEGLSAKALAVSGLSVIGR DNYGVFPLRGKLLNVREAGHEQIIKNAELNHLRQILGLKHKQDYTTTDSLRYGHLMIM TDQDHDGSHIKGLLINFFDHAYPSLLRIPNFLLEFITPIVKVWKGKQEHTFYTMPQYE EWKAENNEGRGWESKYYKGLGTSKAEDAQKYFADLDRHRLAFDTLKTEDRGLIDMAFS KKKADDRKEWLRQFKPGTFLDHDTDVIPISDFVNKELILFSMADNLRSIPSVADGLKP GQRKVMFATFKRNLTKEIKVAQLVGYVSEKTAYHHGEASLASTIVGLAQTFVGSNNIN LLAPNGQFGTRLSGGKDAASPRYIYTAIPRMTRAIFNPADEGLLNYLIEEGMGIEPDY YMPTVPLVLINGADGIGTGWSTSIPNYNPVDIVENIRRMMRGEEPQRMNPWFRGFKGS IERIEQDKYKISGIIEKIDDKTIEITELPIRKWTQDFKEMIEEMTTGTDKVPSSIKDY EEHHTESTVHFKLHLSEAAMKAAEEEGLEKRFKMSTTLSTGNMVCFDLNGKIRKYANA EDILSDFFGKRLEFYGLRKQWLADELNKQFEKLSNQARFVTMIIAKELNVNNKKKSVI VDELRALNFRPFPKKVAAKEAGETENVLEEEDEGMASDYDYLLGMAIWSLTVEKVNKL LAERDTKEAELIELLKLSPQDIWNTDLDKFLEEWQLCLDNDTLAAKGLKPKTKGAIKA AAKKKKRAAGEDTDDEEEDFKPKAAAKPRAKPKPKASPVKKSPVKRDSTNVSDEEDIK PPTKKLAATKTTSKPKAKIETKMDFDESDDDMYTVSAAKATLSTGVTKSKPAVASIIE DDSDNTPIKSKPKAKPAPKKKAAPMSDESDIDIIPSKPAPKTKAKAPTKANKVKEESE SDFDDSMDIDTFEPSPVAPRKTAPRAGRGATKKAAYVDLSDLDDDADE
I206_04362	MAGSSSPLPSPPSGIMRSASLSAHKIYSRRASAIREKRRAEALV NDTDSDEDGNSDTFLKRSKAVEDGSPISKKTKSSSEKRNIQNNQTRISPRNLSSARNH SSSSFVEARSPSPSPPPIKRFNSMETTTSSSTTSPANKRKASQKQSAPIVDIHPPSSS PPLPPDTKAPSTPPRKIKSLSKNNTPQASPSSFEDLFANVSPRKDYFDDSPSRGDNKL PTSPGAGISEGSKQRLTRPGGMRRMLTKTQSMSALPITPSKDQNEDIEESPKNAFGGS MEVSPSSAISPQRPSTPNKNLSRTKSMPESPSKSSPKNQDNSSLGVNTVTQGAGGSGG RAKRTYGGKRSMLAEVSKVNLELSNVSEDDVGISENAPEVSYAELRKKFETDNEETDN GSGNLMAELLVARAPQAVSDMRSKGENRRFMDELNCLIEGIGDPTMGISFKRTSALDI IRNMQDESWLAKMEICGQIEIVWGSLMQAGGEEYDMTMETICILFLAILIKSNTGIDQ LMRNNRDQAMNLLLRNLNTKNGPLDQSQKTKLSNSASTRILASSTLNSICGMVAEQDD MDIIDDPIILKQVLDSLILETKILGDRFDLHEKGLDLLPQTDPPDSDHIYYLLQIIFR LVEGSEECKDKMEDSQTESVQALVNVVITATAVWLNVEDESSPNVSRCIGQILQLLIV LATSSPEWIRSFLVIRGASTALLRSLLQREHIIPVQTSTAPKAREEESRATEVEMVEQ AEPDTTLLQRDFLCTILVLLIQAIRTDAKVVQILANTSMSSRCKGNFGCLRKCNCLDQ LSFTHHLSQIYSDYQQNDDDIFAKAITGYLALVIINFLLSNSSIEQESLSPLPGQNVK GKLEGLRGSLRGLLYEVHHNLRQILSGPSDENESAEDDGEDEIEMENVKEALKNLDDI ISKIN
I206_04363	MSKTTPQNSQPVGKASASNSSSSSAWSRGPPTASPVQSNPPSGS NTPSLPNGVTNGNGNGPNPVPIAGNGGHSRKSSMLVGGGMDIKRGNIAFGTVDHPNPM LSSSPAAPSTTGGHLSDAVKSFGSIDAEASNDPNAVKTRRMSSLGPSASTSPVPGAAP PKKNPDLHSLFAGKPHSSQQSITSAMSPQPQNALPPSHDRRQSMGQSGYQPGSNGLPN SPYTGTPHLRPPGGGLPNQQRSPVLNQAIPPNQYNPSAPAHVQQGFRPPQQGGMPPQQ SPVRPNGVGPQGIPRPGMMMGPGGMGAYGMHPGPQGPGYPMMQYPQQNYYQQGYNPYE QQQYSQQWAPQQHPQNQQYNNVGYNPAQQQAAMSPRAAQGQLSNSQSPMPPSATLPGS GGASPAPTPPTRPPSLMSGHQPTPSSASAASIPVTPQRPMPPTFSPSAALSPSVSGFP PHLSGSAATFTPRKTTAAIKISRPDGSAVDLKDIQEASKVAKVSPTPPSAGTPEIPAE APAPEAPKKKPALPVIVRIESEDQKKARLAEEAAKEKLKLMEEQEEKERQERKEKKAK EEEEKKVKEAAEKAEKEKLEAENKAKAEAEAEKKKLEEAFATEQAAAEKAAAEKEASA KAIREHSEKAEQEKAAAHAAAQEAREKADEQRRALVTPVASVPSSPLASPALSAGLPA KPVAAINGAASATRRPPPSALDLKPSSPSMGGDESPSAAQSALNTAKPIEDIASIVYP GATKSPQPQLNVGAEPGKFRYDRDFLMQFMGVCREKPESLPPLEEIGLEADASSGFGG RGARGGRNSTGPQSRGASGVPTGLGIGGINRPSFPGQGMGSFGMGQFGSGSGSLRGTT SEQRYQATLRAGSMGRSPSQGGPGGLPGMSGLPSMGPSTSRSGASRGSQRGTKRAPQA NLSQEPAPPPLQISGNAWTRTRLGGDDEGSPAFIERKVKSLLNKLTEEKFDGISKQIL EWANKSENETNGMTLKLVIKLIFEKATDEAHWSAMYAKLCRLLMVQLNPAVTEVLEGK PTSGGTLFRKYLLGRCQMDFEAGWKAREDTAVAAAAKSEEDKERLAKQKQAEEAAEKK EGEPEAEPEAVLMSDEYYAAQKAKRRGLGLVQLIGELYKLEMVSKGVIRQCLIRLLGN VTDPDEEDIESTCKLLTTIGEAYDQAAPDNMNTVFERLQQVVNSESISSRIKFMIMDI MDLRKAKWRSRNKQAGVMTIAEIHQQAAEEKAAAAAQASQGSISRGGSRAGRSRDGPQ PGEWQSVSANPRAGLGRPADFSNIGRNISSTGMPSAPTFGPSSVFARKGKAGAAGSVT PPLSRQPSSANMFSALNDAAEAPVEGRRGSADATGEAAPQRRKLQLAPRTKPLPGDGE GEGEDAEEGEVEDEEEEEEDETPAEEAPKELTEQGAKLKIASDMKELWGEKDQGGSRD PEDISEYFKGLPESRRHLLAERLLEDVFRIAKEKDAKVVARGWKVALEAGNVTTEVLR TAVEARMPSLDDDSLDFPSAYKAIVFLIKPLSLSDEEIGALGDKIDVYGEPRITPKQK FEKAINQVAEEESSA
I206_04364	MSTSNLTPDQAQALWSAGGFLIFSGLEEGSEFGIDGSYNVIRKF SGVKFIPPGLHLITWSSSSSSSSSYSNENAGPSGNSIRQGYLKYFKPKERVIIRYDHQ LENASIIEEIISDDKLKGLDKEMASYPFDILEKWKSLTNQIDEQVISEVCGTNGRFLD GLIGVEGEEEDSIEYKKNKLNKEDEENNQSSDVIEEKEKLNFARFNLKKSWRKGAIGE EVTKFSKDKSWLLGDVIKRQFGNNPIKLISQLQLSFILLLNLSSYSSLLVYKRLLNLL CQSSNFLSDPTSYLNNNNNNNNNNNNNNNIFQQILDLYKNLINTLINQINSIPDNTFE TELQEFDIFYLNQIESLRRNLNGVNWLSNGISEWNDKSKKELEISWNKLIKVGKKWNW EINELINSTIEYGNENEDYVESDEEDEEGEYAPVIVEI
I206_04365	MGLFNLFNGYLVAAGLAFYPPQAEVSWKFWLGVGGWALGFFANV YHDEMLNDLRRQPGERLINHHLPEDDDPKAGRYKIPRGGLFKFVSFPNYLSEWIEWSF YALAATSNPLIPLPPISQLRLQAGLRGSLVKVIAKTWWPSYLLHPAWMFVLAEIASML PRALRGHRWYKEKFSNYPKERKAVIPGLL
I206_04366	MSSQSSYYIKDPTVVSEISDLSHPQGKLFLRQPSESVESVDELV GLQITGGSERRILLHKSFMTNALINGDAGAVSAVVRLQAMSNRTWKSVTLEDVKELEQ CFRELHPRYPRFERDLTRTLEAIGSATQSLESGVEYQLDLKDVPNMQKAPNFRHFVRG WGNAIALQEAMINFKSVSKEATLTELLDTVDSDRKDVIKDRLKEAFKQVHASDSDLQE RGPLNDAYWRTRALNVGVHDWRRCGLLASAAIGRNLRKNESSSLMSPKLSAECEEYTE FLDAPDEESKNQGLDLFRPTESFVSNDPGLNSLLNLLGKPTSHAWELSLPEDIKSDLR ISLRRSRDPDVLGRSRERGHERPNFTGESMALEADTCDPWEWARDRLRAILPEIDFSD TGKMEKLLPPRALWAYKAAVRNEDSLSGGDN
I206_04367	MSTSATRASSTEPRGVISQFLASFPPPSGFAASSIDRQHYEQKN INFLDEYGREKCGRWRTFGEILTDVEDICDKDSKTVFVTWNNSESPMDPPLGIQLTGY QSRTLLHGSVMTAASANHDYGTVALIEVLRALDGQYKQPVTKTDIQSMRSSMQEARTA YPQFEGDMKRLSEALEQATSLQETLRAFKIDLSAVSSMQRPPALVKFLKDKEARKELK EALRSIEASANAINLNDLTARLNPRYQDAISRSLATLLDLIRAEENSVAQRRHSSAAY IQTEDQDTLRLDWLINKRLFKAVLQRDLTVGEEVSLMSPSYKAEYQQYILGSESKIIE PSARERLCRLTEHYMMDDPDMAACTKSLALLPETCSLAERHGVVPSQIKSEVRSLIQN PGDHLVLEASKRRSDSKKGYRTGLTIAEFNETIDPWVFAKGILLRKYPEIDLTDRKQV ESLLPPRAVQAYRDASSKAD
I206_04368	MSFYSQTPSESAPLMEFMTTFADTYDGRPYDDRQRDFLSKYGHK RIGLKTVSEIADNFSRLHAKKRHFLTFLGEGMNKGKTYTFHLGGVPEVTEEMSSSRRL QFLGPAASAAFTKGNGDVSLTLSVLQAFDGIETFKLGDDDIRTLLKSCTQSGKTDSDF EKDFRQARKDILDAWGEQKTKDVFKLNLEANTLKRFPPLTDIIPHTAYSAWTTALHNR VSTVDQLDLVHKGLKDHLDTIGVDAQLRSETIEGIQKFLSEVEETPEQEHFKKTLKLD PRQVALLADTRLLTAFTGSPPTSGKKLSLMSDSLFEDYKSALGDSFVLDREYTYRPTD YLCHFDSEVQSFFGNAKPNSDSQVPEQPELLSEQLRKDVGDILNGKGDEVLDRSKSRC TIANQFTISQIHEGATPDRVAWLKLCCDELSFLNASKEAIRKELPPRAQRAVDART
I206_04369	MSTAQPEQASSNAVDESAPIESPKLEEGKVSSSDHLSKNKWRHV ATFIDIDNVSGAAVAVEEFNSDIKLGDSQVVCIITSYSLCFATFLLFAGRLSDLFPAQ ISFEAGFFGLGVVSLITSFVTSNKYGFLILRGLGGICGSMIIVIAEILQIFSDGGPGN NYWGFCFPAFIIGSFGAMLVYFASGISIITYCAPEMAGVAGAWVIVLAQVGGAITMIV QAGLQDTSGVIPQWSKSGSRT
I206_04370	MTSGPTPRANYAKVSSIAQWLNYFHPITGIFRSSSIDGESIEHL DIDLRYVEHEYQLPKAKKINEAEIELPINKDINLPRVVVLTLRGGEYVQDDESRMQSL AEVLAAISPVEVHWINAATDPSDQLTFATHLVHPAIIAAGELWSKNGTLRKLIVQGGF PVPNLTAPTPFSLTPAATPCPSPGPAKASFSSLAMSHPASPSASKFGHGLFSGLPALS TTKPKQVDDERLKARAERQRLAEYSLKPRFHYAFGTWTVDELRWRLDGRYTPACKVSI ITHLLRAFGSSFPSSSRKIDIDLPNVIIFTSVPRGIVSELSGLPDKMEVDSEVREYLQ DVFFIALEKSHCPVSRLWTQSTHDTEDRFRQGRQIKAEMMLLQDSRMAFNLIPLEDLI EVQKPTLNLPENHIGHQERSPAMSDTSILPGETDAPTEDERDEAITPELQASPIIDPS HVVAKESNKGEQVVNPSLSNSLIL
I206_04371	MLKDSTVFRDSVSNLLSSGSSFSASLATLFSPMGAEYNLASKHP QAEVTVKNITVYQGIMEEMRETLLPELELIDSRIVQPCKELNDITKKIRKTITKREHK LVDYDRHNNSLNKLREKKEKSLSDEKNLFKVEQDFEIASGEYEHYNNLLKTELPQFLE MGTRFIDPLFHSFYYMQLNVFYIIQEKLQSFADGKYDLSRKDIESIYYEQRGDTAEQI EEMAIVKRIASTAKMLHAHRSASGTPTRTGSIASRTTSNSYLDRKESYSSSSPKKEVF SPPSRTVAAPPPYTSTNSSSSIGTKKAPPPPPPLKPKPSFNKAVYATAVFDFEAQAEG DLSFRAGDRIEIVEKTDNPDEWWTGRLNGMTGVFPGTYTQVDA
I206_04372	MPLTATKGVRGRFYRELAQQTKEVEVYVAPIGVDVLSTRNSEEP IIRKLSPLPQTRFSRQIRFPLPNKPITNYAMDVTHKARERKSLDDNDDDGWGSPPVLN SDNHNNPKRDSIPQEQPNVPEDRAELTKRTHLLSKAFDTPSKLISRSTQLSQHNRSDI QDYSSKVKVNSWIPSSSFKEAPFQRPDGKPFKRLKEPTSLHSVQPSNIVAEVTTSHTP SKKGKGRDRMNYSHQDMPSISYRRYDGSYIPPPVTDPFKSYTDQRQSLSNDAIHFPST NPFVNRSTYQSGGHQSTHRPTDVNEFEAFEREKQVALARAQEMQRKIDEGTRSVISFP YPSHKADDNQTTSLRTEIIHLNNLLNSQRDQLSYKENKILELETRILNHKNEIESILK ERDQLNTLSISLEKKLEEEKIKRNELELQVDSSKKGQSSLAKHVQSLEIKLRDIEEKE KLSQQGKDRFEKRVKDLENDAQLIKNLNETNAKATNAYREKEGTIVKLRAKEHDNAKL SDKLNLIRRENQALQSVIEELKEDLEKETNKKGDPVAWKKLKEELQRERSTSDRIRLE RNTIREQLAKFKKYYQDLKSSERVKINTINEDVINSNKIEIYQPEPTLINQHKPKFSL DNIGSGSNSRPEDEGKEVIEVISDKDGVEYEGRRISIQENLDKEEKLENFQAIKIEER KEEPKFTMNSIPLQPDKIEIPPTREEIGLFSPFKDFYLSKKIVNTDQEGRTKWLKNYA LGLKEKKEDEFIESQTCNPSEKRVDPFADLDPLDERFSSIKSSNVPFYFDLEQNSSSR RNLPKTLDQELKDLMISPKSMI
I206_04373	MSQPIPISPQTSTSLNRAPSASSRPIRRPSIQGGSVGGSGIVRR PSRATHPPMIQQRSLSHPEALEALRNFLKERSSYDVFPVSFRLIVLDTQLKVKKALDV MLLYGVVSAPLWNTSTAKFAGMFTVQDVIHLIQYYYHTSSWEGAAADVEQFRLQSIRD IEKALSVPPPPLLSVHPLRPLYDACRYLIRTHARRLPLIDRDTQTGGEVVISVLTQYR VLKFIAMNCRDITQYLTASVQEMGIGNYVSPESHPDPTNNQNKYYPLATATMQTTVFD VVHMFSEQGISAVPIVDDNGKVLNLYETVDVITLVRNGAYTSLDLTISQALKQRAVDF PGVVTCSPKDSLSAIFSLIKIRRVHRLVVVQGRDDPHPGRLVGIISLSDIMRALIGSD IPLGGAGVGAEVVDKALREEEAETAGDNSFALSEGSISAEQSIEG
I206_04374	MSNASAHNHGIRSRHRNNDPAKRIHLEEVDIPISLVVDIPTHDD DVLVSRSDSSSNENMSVRADSTNSTITIPNTNTTSTNSSSSSSSSLPLPPLTPITTPS KPIPMPLDLSISNSLTNGCMIYLTTLLSTEEFIYDCLPFSLLLTTSTSYSTLLSNSIQ LNNFTNINNLISYTSNNNNSERCENYIKIIEDNLNSNKNCGIDLNQNKNQVIKQTKNS LGNYKLIKKISNLINEETGIYCYLENVKSKRPDDAYLWNLPSGIPLPDKSIPTCSSCS RSILNTYSEFTSTTPTLNSTLVKQAMSRVNDACGASFVNLSAVALSNTSTRSASVNSL FLCPLGWFLGVLLSVFSLIWI
I206_04375	MSTIDQDDAIQHQHQNMNDTIIQPDFFTRLDENNTSTLDPSLFA LAAQVQAVAHAHEQGIDINFNIDHTFIDPIFPDGTDFSNLGLNNNTFEGFGINGHGQP TPIDPILFEIAQVVEDVNKGKIKLDLQPSPPQPHPTTINNNVEQIQQNSVQLQSSGHQ QGHAQDGNVDVNLDVEIDPTLREIVNSLTNAQQSSQVNGQGLSQAQASAAIGAHLTDA EERERLQRSLQTTLEDLTQASFNSLFPATEPNSSNGNFMNAGTESSLISEPSSSQHPG TYLNSTAGPHPNGVQVSSTLEEYASKRGRGRPKGSKNKHKAVPLPKPPKPPGPAPKPK GRPPKERNPEEQADYELRRHERSLGIKRQKGRPRKFPGYLVREMRLKKNRKEFNELLR TYERNTSATEDDDEDDDDEDDNNIHHQQLDISQNHYGDQNEDMAKVQEQMRLIMNADV ENMTNGLHHALQVNNTGNGVDQAQHQHQHHHEENDFSQWNIQDGQSLLDVVGMGTGHG GVGDHTMEGVFGLNHQMN
I206_04376	MNNSPYRTIVPPDRRSSTTRPPHPPLSYNSNVGDTGLTSRTGDR ERGDDGISRNIEHNEHRNFPQSTQATLPQQYQHFSSFASSSQPLQTLQPLIMPSRPQP SSQFNPYNDPHYRSSSHGYSETMQPLSSPIAPHRYDFLPHPPSYTPSASNSEMQPWQY GGNTATYDPAISSSSRRRESMPDIENKEDPNPLFSNRPMMGAPSGPNQMISGTSTDAD TKNPNLQSYIHSLTGLVQTSPVQATTNPSASASLTNFQAWQPISQQRHQQQQQQPLAP PAQSSSTPLNTQKRKRSRASTFGSKTTDDEAQIKSTHRPSVDDGDDISSRPTTADKGS IAGKDRSLQPLVSTKDFTQPPAINEGPEDAEHNQGGEDDEKMDHRKRKRNRTIRSCVP CHNHKRRCDRKRPCSRCTSLGLTGTCVYEIDEQRNMNDPDVIESERLRRRIAELEQVV RELRQKPAARPQTQQSNAMIGSSTTATGNNSAEESAGEDKKRRVIVDRFARFKLDEAK DVENAAAAAGSRTKGSINSSIDSSSLKEDPAGHQSDYKSEPYQTYLLPGEEISSDITG RKVYLGASTGKSMLRRLGELAKDKGDGQLLSVPEDIAFTGVFPDLRKTYPFTTIWSHE NFSAEIIGLLPNSQQAQWLWKAWEEEHGVYVNPFHTPSMHAEYSAFFAMSTKDKMNVP LASLSLYLIICALGCVIRATSQELFGQPDPARVKDLPARKPSLTAYKDLTSSRLQSEL YLSASFHALRLCAYLANPTMRTIQAQLLQMVYLLASERAADAWTSGGTLVKQAIALGL HKDPLNLDPKISMRDAEVRRRVWWSIAGFDCMLCVFFDRPDANLSDIPGSAQQLLPPS NVLSNETTEQTFHAAYYQLTIPSFELLDRIFTVDRRFSRSSIYGWFSPPQKDDTKARF MNYQDDEQNTDHTYQDAVRLANDISQWYSLLPSGIRFSENDTPEYLINSRSRKQLNQT LILSIKTWTIVMVLHRPYLRLDPTAYPESTELCTQAAHLMLRTYKSMADTKSTISWSF WTMSYRAFQAGAVCAFLAIRQPGSPLAEKCLSDLRGGIRIFEDRLSTWNATHPVQADL CEGLVQLEKLVTAATNQRNTPARSLTPHVNITYDPTSSFGTPLSQIQAFPPVTLPPSS RNNPGPNTLFPESITGLAHNPHTMLYGDSQRPEQPGNAGLGGMVVNNYPTDHLVGQLG GDFNGPEPLALSQFWANMFGIRMQNEKDSAAFTSDSTATTAE
I206_04377	MADAKKKQLVYNIIDFLRTSAQDGTVKEDDKESLEVAVQCIAES FGVDPDSEEDKKSYSISPASLLSLLDVYLKTKAKSNSSPISSNSNSNSTSNPTPINGK PNEEDKKQAESFKVKGNSLMSEKLYDSAIEQYTEAIKLYENPVYYSNRAAAWGGLGKH ENAIKDAEKALNLDPNFAKAYSRLGHAHFSVGSYQEAVQAYEDGLKLDPSNNNMKTAL TTAKSKLSESSSNSVADREPPSGGSGGMPDLSSLASMLGGGGGGGGGGGGMPDLASMM QNPQLMAMAQQMMANGGLERLMQNPALRGMAENMQNGGGMPDMSQLANDPNLREMAQQ FMGGQGGQGRGA
I206_04378	MSELCPPWAPFFGFAGVASAMIFSTVGAAYGTSKAGIGIAGLGT FRPDLIMKSLIPVVMSGIIAVYGLVVSVLIAGNISPTEPYSLFAGFIHLAAGLACGFT GLAAGYAIGIVGDACVRAYLYESKVFVSMVLILIFAEVIGLYGLIVALILNTAVGEAV CGAA
I206_04379	MQGRLPQMQPTVVLLREGTDTSQGVGQLLSNISACLAVAQTIAT TLGPRGMDKLIVDDRGLATISNDGATILKLLDVVHPAARTLVDIARAQDAEVGDGTTS VTLLAAEILKEVRPFIEEGVGPHVIIKGLREAKTLAIKQINDIAITIDKSDPEKFREL LLQCAGTSMSSKLIHSQTPFFANMVVDAVLSLDQKDLDESLIGVKKVPGGGMQDSQLI KGVAFKKTFSYAGFEQQPKSFKDPKILCLNVELELKAEKDNAEVRVNEVSEYQAIVDA EWSIIYKKLEAIVDTGAKVVLSKLPIGDLATQYFADRDIFCAGRVTAGDLKRVTQAVG GSIQSTCSDIEPHHLGQCGSFEEKQIGGERYNVFQDCTQAKTCTLILRGGAEQFIAEV ERSLHDSIMIVKRAIQNNSVVAGGGACEMEISKFLRGHSRTIMGKQQLIVGAVAKALE IIPRQICDNAGLDATDILNKLRMRHAQGDLWAGVDVDSENVQDNMKRFVWEPALVKTN ALSSAVEAACLILSVDETVRNPQSEAPSAGPPMPRGAAQQAMRGRGRGMPRR
I206_04380	MHLKFIIILLLPSLLALPFDINQRAIAAIGITPTSTSTSTPTTV HVDAIGRAIAAIGAIGTIPTSSTSKVVHPQAIGKKDIKERAIAISEQDDEGGFKIDAI GR
I206_04381	MYLSKKDLTHLTRQVCICPEDVPDCPCPLQPPPDCCYTSTIKRG NEARVIPALHARSCPPSGVICHLTSNGIECIPCIEKRIICPLNERENNETIICLASED GLITCPSCPQCQPCKYKRDVGENSAIWCPPCFPPHPPNCPQCWYDIMGRVQCPSCPSP PVSSTKPPLPSHTFCPLSKKYCIANPDGTYICPGCGPLPVTLEV
I206_04382	MSWFSQSSTSSKDVPNFYPVTSYLSGFGELTSADTAWANTSNKG FQTETQIWYTILEDGTWVMVQLIWSYVGVFLIPATTQMTFKVYNPNTKKSTWRSINAS GAKFDKQNLKTDQFEIKHTGSPKTEESYHITAKLDKNVTLDLTFTRPANAPGFKFGQG AQGGISTFGKDRTDSKRDGFVVHRFHPLAQSSGTIKIDEKALDARGEGMFVHAIQGMK PDSLASRWNFAFFTTAPGAEDPKLGAVRAVQMEFETTDNYGPKGAKSGRTKVNIGAIY STKASENSVLTVTGQTHSPASSPDAYPASVTGSISSATHIQAVKDKETDYAVPSSIEY KWSGDKEGSEKTTVSAKITQDVAGAIVGEGGLIEKVDVLAEIPYVIRKGLAAVTGTKP YIYQYLNPATLSVEIDGEATPVKGYLFNEASFVSE
I206_04383	MYDGPTRGGTRGGQGDFRWSQVANDKHRENYLGHTVNAPVGRWQ KNQDIHWYNREIKDDDQERAAREKAAEIKRLKEQEEDALNAALGLPPKIRNEGDGEGT GSNDIPVNGQDERDKEIERLEKEERKREKALRKEQRALKRIEKEIKKEEHRSHRSERR HRDDDDEYHRERDRHRSSRYRDERDRHDRTRSRDRERDGSRSERDRRYDDDRDRARDR DRRKDGDRFTAFERSRTPPVKRERDRTRSISPKRERSRTPERYKNRADHYGKI
I206_04384	MGGVSSCCGPRRKNNYEPLLLENEREAVADLLQYLENRTTTNFF SGSPLAALTTLSFSDNVDLQRSAALAFAEITEKEVREVGRDTLDPVLYLLTSHDHEVQ RAASAALGNLAVNAENKLLIVSLGGLEPLIRQMLSSNVEVQCNAVGCITNLATHDENK TQIAKSGALIPLTRLAKSKDMRVQRNATGALLNMTHSDENRQQLVSAGAIPVLVSLLN SPDTDVQYYCTTALSNIAVDGTNRKRLASSEPKLVQSLVQLMDSQSLKVQCQAALALR NLASDEKYQLEIVKFDGLKPLLRLLHSSYLPLILSAAACVRNVSIHPANESPIIDSGF LQPLIELLSFDENEEVQCHAISTLRNLAASSERNKGAIVEAGAVERIKELVLTVPLAV QSEMTACVAVLALSDDLKPQLLEMGICEVLIPLTNSSSVEVQGNSAAALGNLSSKAAE DYAPFNAVWNKPDGGLHAYLVRFLSSADITFQHIAVWTIVQLLEAEDDQLTNNIRSSP ILMSSIRQLATSPPPSRGGRDLTQGSEGEDDYEDDGLDGEGEGEIATLARRILDLTEE GASGNEGSHFSHQPEPSGGGGNVGSLGSEHAALRASVHRALSGGH
I206_04385	MDDLVGSDFDPAFLDWLFSSAENITSAPSASPVPVLASPSSSAP QTGRGQGGSRLLNTALAPLSSQPEKRKASDTVDGQNKKRLSDIGINAPSGPRNMGSEG KSLADRLSGGNSRGRGVGIRGSAGGRGGQMGGGFRQNFQQQQNQQPGFVQPGFGQPFM GQGQQEMVAQMMMMQASMAQMNNMVANMMQQQQLQFQQQQQQQTSATSAKPSRPPNVK VPHGTKLGGHSVSGIPPKSNSTPGPIPDKPSSTALCRFSIGCSNSRCPFSHPSPVADE QTGMVLSEEPCEASKECKDAECTKSHVSPAAILGDSAGPSRLLCKYQNCTNPSCPFRH EDENGNPIPPPALTASKTSKPKIQPVSVAAPSSDNEDDGGDGDVEVVMSHKGLMDGAL DDTKKEVSCRYAERCTRPDCKFTHPPGRPTPKFGKQSFSAPTRTFNKSVTSTNGGGIG SGMHMSKKFGSTPNSEKKLDPTAGEFKPAESKELEVTY
I206_04386	MTEFTPLEYDDGPLVWIDCEMTGLDFLNDKIIEIAVIITNGNLE PVDEGINYIINTSKEVLDGMNEWCINQHGKSGLTKSCLESKDSYEQVIEKVLKYIKKW IPEKGAGILAGSTVHADMRFLMIGMPEIMKHLSYRIVDVSSIKEICKRWYPSIRQQDK ARRTTESAHRALDDIKASIEELKFYRETIFIPVENPTKRGTTPENERQGKTAI
I206_04387	MSAYGTIAAPESKANLEIRSYPLPIDDLVLITYPITGSNAPLNV IKYLEKVFAKELEGGKSYPQEGPLTYEEFVSYFFGSTTIIGIVQHNSEEIKKTLKDAL INKNLENSLGGCYYIKPNYPGRSSHNGGFLVPPTQRGRKIGLNLGRSFLQYAPELGYR GSVFNLVYKNNAASLAIWDQLGFQRVGEIPKAGRLKTGQNGMEEYVDAIIVFKSFV
I206_04388	MSHSSNTHNLEYTNNLNPSITHTRKIIHTNSDENTLQPQSHSRE NSNKSRRTSTSFNLGLPFASSSSSSNNNNNNRPLSNPELNTPTDIGRNFTLVKNSIRM STKRGNRYVLGAIITLGVFAFWHISGTGSGNGSGSDSTNSRSSFGLWPTSGNVVSSLS VNNGENSGNIDPNEFIRFDEAHIPETEFIKGVAGFSYFKNLYLCNGTFIALTSNPSSI PDVTHIMSAHPTDDNKYPPAGLDRWKVMTLGQDDLSGFGGVAIRKDGISMFFNDEKGL KSVSFLKHYFHFIGEVFLGAWRVLTTAGETDLPRRLMYRTTPDDWRDRAGLTSWFQQS VMPNTAIEDASLWEDRAKSGMTFLFDKITITDRWAAHAKGIDPWRFNKMTADLLYLES PIGWMEPLRRSMKQLVQTKGCSVYRKKKDVPVVLYINRQLTGRRLIAENAKDLENEMI ALEKEGVIEWVDAQMETLSRVDQFCLALKADIIMGVHGNGLSHALWMKPGSAVLEFMY PNGFARDYATIAELMRHDYYAIHGDHVFTKDKWLKDDGWGVGAIQGFHSTNIPADGKF ISGLIRKIAQEKKSKIEP
I206_04389	MAAQGEGSVRPGRGISGDKLPIYPTSESNPLITLVEKPNPLVPY ISQGREAVTGVLSEARGYLQSGVGSWIGFERSVEKEVKSILPSDESLNPGLIYILVAG LSGSVITRTRSLPIRFLTPPLFTLAAFPYFLPKTSNNIRKYLSDLEDKKFPEFSKKHD RFISTGLAHTQMTIDRIKDYGLDVKEYTQKGLNNLENTTGLQIGQQFSKVQKELESTK IAAQNQVQVQKPIQYEKIGYVVEQKPVAEVVVPVTPITDEKKLV
I206_04390	MQELVWPPPASSSSSNPSTTTLPLPHPEAYEGEPREYARALMQR KDDIEKEIETLKDVLNSHGVTPQTQLVDNEGYPRGDIDIYAIRHARASLVRLQNDRNA VSDLLAMALQGAFSRPSNSDSQSIPNGNLSHTGTNGHVLPAEQWPEKPIAKVNSVAPS SPASQAGLQPNDLIFDFAGVTHNSQGGIQDIGSIVSRSEGVSLRLLILRGEQRITLNL IPRNGWGGRGSLGCHILPV
I206_04391	MSSSLPFTKYQKEAEVAILSVLRACYLTKNVQDTLVNQDTLIKK DKSPVTVADLSAQSLISLHLLSHFPQDPIIGEEDTSELQANSTLRERVIKLVNEGFER EEGWGKGKTFDEDEVLKAIDAGSAEGGNKGRFWTIVIDGTSGFIRHQQYAVCLALIID GQVELGVIGCPNLGPEPAKIGEEIIPNGKGVLMIAVKGEGSYSRPLTSETYTKLTLPS SPLPENPLTFLESVESGHSAHSIQARIGELLEVKRPSLRMDSQAKYACLGRGEGGVYL RIPTKYVGGKEYNEKIWDHASGSLLIAESGGICTDMFGKPLNFGVGRTLNNNEGIVAA GKDIHSKAVEAVKKAVEEVGIKKD
I206_04392	MVNDTERLLSEIECSTGAELAMLVRYNTFPPGPTFIRPLDQLIL GGRPHPGQSTMMRGDLIELIGASGSGKTTFITHLIFTTILSSNIPDFLLTPLGGKQLK VTLIIPKSHNLFKIINNIKLSIKNHILNIKPNESEKMINQIIKESLSRLKIYQIKSRF KELALILKKILYNTVNLPRGISSNLENQEELVKKNELDLLIIEGIGDSLYPTRWNEEQ KNQNYNHNTFKNNKIIINSDQIGLKQIFDCINQIRKEIGSIIIMSNQGLRASKESKSF FQNNLSYPYPSPWSSSASSLSLNQENFEMYWPLNIQITFSGKTQKGLQYPSETYLSDI LQQQLNLKKKESKSNVVEIYECIVRMIGCTTGSVSTKAGGKFRFGINDGILIDISGD
I206_04393	MEEDNFQSLSKGVFVIPFQNDTQNEAGPSKPCRRPLEKFYDTAY EHYENAYIKYNNDKISEQLDDIQDWLDRCSNSSSNSLLKLKNPIYRLEIGLLQNTSFS SFINSEIHTIGKTCILQGKDINDINQAMKLISLGFMEGDLSNDKNNNNRKNGKSGLDE IKLWYTSQKIKSSLLLYIQDAQMIPSSVLGELMYILSLHPSIPIRLLISVPSISHFLS SWTPIELSSIAPSILSSTTSKKNTGVEAILRASGSAPLQLSDELIDELRSEEAKFGGG PISTLKAIKWLLLHHSINSPLSRLATSSDLIDQKKVQALLNTRLHRPPISNVPGEGLF RIKYNKDLSSVMNPAPRTSILHALSNSEDYIRTNTDLPLRNGEEQHPSSYVETSSQLT NKRQALEPLRSSKRKRIEDEEGVGIGHRDGDDGDIYKGKGEEMKELKMLFELWKNAGK SVNLWDWLEGFHTIMTDCHNNDGDQNKSNEEDDQINEEVIDQNEPIRISEERKQNTTN DDDENESRLHAIFIRFVEEARMIGLIRARGKGKKADEVVKGVGLV
I206_04395	MFWDPLFDRASDILGSPPDQLKLIFSLLISYPLGSLYVRLPPSK PYMAHLFSIVVSTFVVVFLLEMRTGMLHLLFSITGTYIISATLKGRNMPWIAFTFVMG HLLYNHIHRHLIGTSASAIEITGSQMVLAMKLTTFAWNVYDGQQKVEDLDASQQETRL TKLPDPLAFLGYCLFFPSILPGPSFDYATYDALVHHTIYRVPPPGSSAEQAKAAKKRL PYGRKRVAYLHLAIGLIFLGIYASYSTQFAYERILTPVWYKWSPLYRLAFVQLAGFLA RTKYYAVWSLSEGACILTGIGFNGYDPKTGRTLWNRVRNINIIAIETAPSFKVLFDSW NCRTNVWLRDVVYKRLTKKGQKPGSKQSMATFVTSAFWHGVDPGYYLAFILGGLLTSL GRQFRRFIRPYSLPANSTADANPTLAKRAYDLIGRIVVQLTLNYTACSFILLGFKDCI TAWNRMWWYTHIMVLSAMAFFHFGGRRSLRRGLEKQGKLPIGSSTKEKAKRRSPPPSF KISPPSPTIKSRPPPPPEDERDPKDLRWVKHALDNPPYADGGEAMGNGFNPPDGGWVD ELVEGIETPSGEKANPFKSD
I206_04396	MTQAQASSSKKKISPLTRPSRRLLCLGIEGSANKLGVGVISHSP SPKGSVTKVTVLSNVRHTYITPPGEGFLPSDTARHHRDWIVRVIEEAIRKAGVRWSDL DCIAFTKGPGMGTPLQVGALVARTLSLLHNIPLVGVNHCVGHIEMGRQITSSHNPIVL YVSGGNTQVIAYSQQRYRIFGETLDIAIGNCLDRFARVIGLPNDPSPGYNIEVEAKKG KKLVPLPYGTKGMDVSLAGILHSVETYTKDKRYKSWEKLSNISSEELENQDIITPYDL CYSLQETAFAMLVEITERAMAHVGARDVLIVGGVGCNLRLQDMMGIMASERNGRVFAT DQSFCIDNGIMIAQAGLLAFRMGQITPLEKTGVTQRYRTDAVHVSWRA
I206_04397	MGQDKDDRSPSAHSQRLPSSSSSAAFLLHLHTDPSSHHVTLSAS QSGIGPSSSTGSISEPLEPTVTQHNKPLNSPLLSPNRLTALASPSIAGPSNLTRSISG SRRGRPKTAPGMKESYPSPPIPSEGIPISPKDEQGTISDQLTEIANSGDSCLASFAQA EAGMTDAMGMLCVSKGVGKDGKTLLLGRAGSLGAESTEKLEDTQNDAAIPSMDWMTFS HAYANGLFDPNKIPNPPNPNDSPLDVHSAHSSPGKKYASTLPINLVDSTSGSEGYSSK SSGGSTMTSVSSAPSTSASNRPGSMAISMAARRKAFELENLPNRPHEDMPRPDKLVLP SYSLAAATVRMVTSGMRESNFAPLAIPSPDKELTDPIASMYSNDSNGTLKDSASSDPG SSRFPLHRSMSSAVGGNTFSNLRLPTIQASPVSTPNEHAHGHHHGKGKGKVNEPELLS PQKARLGIVNGRIPPASAPLEKRGGGDAEQPTDYFGSASPAQAASSSSSSYTDEPTPK FASTSKTPDLLTKSTPPRHDVDQIPSLAFPQDVESLYDKLGWLPAPIPPDETARRRAL YRFNILHTAPDMNFDRIAHMTKLVFNPKIVLIALIDSDTQWHKTESGLDAEEASRISS FCSHSVLVRSGEPFVVLDSTQDWRFKSNPQVVGPPNIRFYAGAPLRTADGHNVGSLCI IDDKPRAEFPPRSRLILKEFAAVAMREMELWRDKLQLRVRDKIQTSMEKFTRECLEMD ATSGASNAEAAAKMDQVYSRAAQLVSSTLDLDGSFVLDISQIEMTQIDTPAGKKTTYP TTSQLPPTRPLNSHEHEKFSEFLRDHRDGRIFEGIAPVWIRNMFPQKFRYGMIVPCYG IDQQPFALICAYTTNKAKQFLEGYELQFLRAIGVIILSAVLRRRMVLADKTKSILISS VSHELRTPLHGILAAAELLSDTDLDTNQTAFLKTVQTCGNSLIETVNHVLDFTKLSGS GTSGKSASKLGKVNLAALVEQTVEGCWIGQRARFFMGDADIGSFYAPPTSSGLVPKSQ RASVGEKLSHVETVIDIDQREKGWMVRCEKGGLRRVLMNLVGNSFKFTKDGYIQITLR EMPHAPDSRTIPVEMAVIDTGKGIGKEFLKDQLFHPFSQENPLQTGTGLGLAIVNSIV RSENVNGKVDVWSAEGMGTEIRVSFDVEIDDDNENDDTSSTSSQVSSNMNMTSTIGQG HTLSFVGFHQEHRGHQLSLEVFSMYADAWQFSVKENAKSSLGDIIIINEDEALLRDHA AMGKPIIYAIAIRSSELNQYAETIKKNGGFCHIIYKPIGPSALHTALSQAIHWLNGDL EHLSNSNDNRHYLSPTRSRYDGMSQSSNYHDDRPSISRGSSGASQESNSTISELASVR FAQPVKDHRLPLQRRRSEENEQVRQARPSLGVRGVTYHAPRRVPSSSIAGDDTAQSSP QQGSASPTSTISTISLADGGVMLKAAAVPVETPRKGRMARVMVVEDNIINRRVLGAFL KKRGFQYEEAVDGREGVELFESTPQNYWDVILMDISMPVMNGHDATRAIRKIEATRRD SPQDIPFVPPPGRPVSIPPVKVVQARAKIFALTGLATQDDKREAFGSGVDGYLVKPVS LASLDAIFKKIGF
I206_04398	MSIIAQSSSSISSSSRAPSERLSRSRSLQTSITSLSSTSSLTDL SGYDSNASTPRRTSRTKRKRNPDYRSESSIYVVSHILARSFEPSIGPNNKLEYQYLVR WEGYGPNDDTWEYKTNLMIGSSLLMREFDSKPHPFTILDSRRTNTMIYLVRFCLSSEK IEPSPLSIKEWQSVTQMHRIGGLDKTIIAQAVKDFNEGIVIERPVTPRPIHLQKKRCL LAIMERRDWKKSINKKGHETRYHIRWRDGKKIREEWMRSSVLVTYFEEDGKDYLKRWN EEMGYGPLVKFNSIILPPSEYELERIQNMEANRELMKRLGLSA
I206_04399	MDPTLSLSNQINLTKSLLIVICCFLIYHLILIITQRWTKIKITF PDKPSRLANGETVKGVITRCCESLENGFRASWWLPNGHAQTIYSATADFSKDDQITYH RQLLRVPDGGTIGLDIYPSLSTILPSDAPVILINHGLTGGSHESYVRNMVVWLTKPVS EGGFGGRAGVVNFRGCASTPLTSPHLYSTGSTIDLHTSVLYLSTLFPSASMFGVGFSL GAAVMTRYLGEQGDRCKLKAGVVLCCPLDMRVVTYGLDSPHPLTRLYSLSMSHKILRS IVPHLIPSSPLSHPTSHLHIHLPEIMSLTRSVRRRATLKASKMLELVACTVGGGSDLF PFETLDGFLSWSCPGNWIGAIKRPTLAISALDDPIVSSACLPYKAIRQSTHFVLATIS QGGHLGWFEGPLFGKDKHERWHIKPTIEFLKGIQDISDSTTYKTDSDTLEIRRVGDWT WVGDVGWLVLDEIDEEGWTAPKEDGILT
I206_04400	MLTTVISLLFLLQLFPYVIARSALYGACDIANNHLDINTKAFVT DCDSFGYCSTNGTCLPKQCRRDEYILSSLLNKNITIPPLCPNGFFCPDDSSNCLPLIN VDGECQLNRDDECEPPQLDKVLVVVPNPFNEPEGNGSICLLGKCMWSNVTLNQNCLIE NTTYTGYDISGISFINTVIRDNCIQGKGYCDINSNTCFPLLGLNQPCSMDRECQSFNC ERNFCNVPPESSIKIGKWEYALTGISIGIGMLGILSILLLMHRRIQKRNRIILEEYYK EQIG
I206_04401	MDFGINKSSWHKQTQSSSPSKNTFPRRAATSNSSIPNSPSSASS NPFSLTFSTPGATSASSSTTPSAATASSQHPLAQPPITANVSASNNSSPAPLPFLSLV PPQIHSLRAGLIRRRSSFPPATTPGSGSTGNLFPWVMGGTPGANGFDWGSALDSFPGF NLEVGGEGVSMGTSSVLDHGSMPWDTLLNFDTDVKSTPSKIASSDLVAARSVASSPVK SSSALTAPRAKSDPLSDNNPSKSSTESFSFQQNTSASHSLPPVNMTSPRVIQLGTDLA WRTALASVVDVEGVGQVTVARVLQEVWRRGGGELVTSQCLWPSIVIALTLPTEPGPGV RVPNPSAQSAMALQQLYNLSIRHWEPAIFTGLLGSYASTQSDSVPAEKSSTNSFTDHY GPSTPLREPDVSQWMNLTPSGWNTNALFGNDSDNNGKQGSRNVSGGGFPFPMNPDLGS ETLAARRTSLAKTENGEDSANQMKSIDEILASMEEGNEENERAIMAANLANLEQLQAE LEQGKAVSTRHNSTYQFPTPETDSSHSPPGSSRRTSKVNSVNPSALSPESMTSPFVTA NSITSNLAATISGASPTPTSSQSVSIAQPQHLTIAKPASLKPRPSQLSMPPVEFIPPP PMCMFFNPSFENLTDGKAGIWRGDLEVRGRGGGKFPILVIGEKDTEHLWQSHLWPKTL TYPLNQHPVETCTSSMIPVSHLAREGLVPITMGMVLCNEPPERLAPYVNMVQGLHAEG VGFHLPCETRLPIVFLPSKFHSTDPLLRLGIAFMGKTGFSHPNAPLPTSDYSRGRIAS LSSAEEIPKKKRRRLSAPASAGNGGEVKSRKKRDSGIVVLGQTSVGKIDEEGE
I206_04402	MQISELIEKAKVELSGRKLIWNVLWYGIHFSLFGYGWYSQQTNL KLSTLNKLKFSVWISRGAGLVLAFDGGLILIPVLRNLLKLIRPKLMWLFPVDENIWFH RQVAYQMIFWTMIHTTAHYVNFINVERTQVRKQTAWEIHYTQPGGFTGHVMLLILFLM YTTAHSSIRKQCFEVFWYTHHLAFFFMIGLYTHATGCFVRDSINPNYISTFPFYSTNH CLGYESWRFTIWSGILYFGERLYSEIRSKRKTEIQKIYLHPSNVVEIRFFKSSFKFKA GQWIFVNIPEISKFQWHPFTISSAPEDPYISVHIRQVGDFTESLGNRLGSIQSQIPIG EKSEFDSNSNPNLGKRKDYIEINPNSIGKGMPLLRIDGPFGAPAEDVLNSEVAVLIGA GIGVTPFASILKHIWYAQRSGQLGVLRRVEFIWSCKDTGTFGWFQTLLEELEASQSDP NFLHISVYLTQKLDSDTVQNITINDIGAKYDPLTLLQSRTLFGRPNYKLFFNNLISNI ENGNYLPGRESTLKTRLGIYFCGPNSLARIIRKEAFSIPTKSVNVKFLKEHF
I206_04403	MSADDVFEGAIGIDLGTTYSCVGVWANDRVEIIANDQGNRTTPS YVAFTEGERLIGDAAKNQSAMNPSNTVFDAKRLIGRRFDDSDVKKDMKHWPFAVIDKD GSPFVEVDYLNERKTFSPQEISAMVLTKMKEIAEAKLGKTVKKAVVTVPAYFNDSQRL ATKDAGTIAGLEVLRIINEPTAAAIAYGLDEKTKEERNVLIFDLGGGTFDVSLLSITG KVFSVKATAGDTHLGGEDFDNTLLEHFKAEFKRKTKLDISDDARALRRLRSACERAKR TLSSVTQTTVEVDSLFQGEDFSANITRARFEEINAVAFKSTIEPVEKVLKDSKIPAAK VDDIVLVGGSTRIPKIQSLVSDLFDGRQLNKSINPDEAVAYGAAVQAAVLTGQTSDKT ADLLLLDVAPLSLGVAMQGDIFGVVLPRNTPIPSNKSRVFTTVEDNQTTVMFPVYEGE RTQCKDNRLLGEFELSGIPPMPRGQAELVCTFEVDANGLLKVSAQDRASGRKAQITIQ NSVGRLSSEEIQAMIKDAEQFKNADKDFSARHEAKSDLEAYLHTCEQSISAPELAAKI KRGARGAVESEIAKALEVLEQEDATADQLKKAQLGVKRAMQKAMASAR
I206_04404	MDYFAYSPFWDTKSNNNVLRTQRRVENPTYGHAEEKIELNAFKS GFEYIISHAQPPDLFVIQKREVENSGKRDRVTGSWFILHEKIYQSPSIYDVVSARLRN ASFLISKTLNSLSECHPSSNPRTTTVWRSLPPETEIENTTSKEIENDLINTADDIDGN EGKNKKKENSSTSTTNTTTFDWNLYHSLQTTRNSIESLNKLSKTKKNLKPNPIEEIKS IENQLSSQFGIGLQQQQQQQQFIQGQVNGINRTESIKSINTPINFNLAGIGNVISPSS IGFGNTIPNLNNFDISSSSPKILNNGISPNFNNNNNRTISLNGNSPLPNTYFNFN
I206_04405	MASTSASPSRSETSIQKTNSIVHMINPNKTNENVMARLSNDFPD AESLKRHQQIHAKLQFEIMNVREEISKLKNELKRDQDPSKMSKIQTQISQLMLQINVI REKAAEAEAIVKTITTDIQKLDTAKTNLTSAIQMLERWGMLRNAHSQLQELLPTKRYK DMSQALSAVTHLLTPLKPLSGIPAVAEIFKAAEIDRKSIQEKVAIEMDAFFKQDPNKP VDRRTIAEVCHVIDVLGGDFRNHIIERYLQLQLAEYRRIFKSTDEAGQLDNVPRRYAW FRRILKHHDEEDVALFPTSWEMTRLLVSNFSEYTRSDLANVLGKSTPAVNVLLDALQA TLDFESGFARRFEMPFDEITASSLISRGGIANVKWTISSVFDNYFNVYVDAQDRAISD MLSQYRGPRSRSSMEGAMQTESESPVPIILPSSTELFYFYGQTLEQCEKYTKGEPMRK LSKVFAKWLKVYSGKCSVSRYDKNQPKTGRRSFEGKDNLQEVRNACMILNTAEYCQNT SLQLEERLKDKISEELKEDISFQEQRDTFSTVISSCINTILRELESSCEPAFAAILKT PWMHLENVSGRSAYIVDLVGSIKQVAEVVRTRVEGKKYIRNFADKAVGLVITRFTQSV IKSRPLKKIGAEQILLDVQAVKACLLDLPEPHPENSTNIYTKYVTKNTGQLETMLKVI LAPDDPPEGFVQNYCLLIGDRSFSNFQKILDLKGTPRIDQQKLLDIFLSVTSTNSELS DISFLTNIDMDPNFSSSSSSGGIISNNSHESNNRINSPINNNNNNGLPSLLRSASNSI EGYERSETPKSFGDFRRLVNFARRETLGPLGGI
I206_04406	MGAFDSIITYEKGTYIETDTGNKVSRKATIIGATNIILGGKSII QLGSILRGDLKRSISGQNVVISIGRYCLIGENSIIRPPGKLYKGTFTFYPIRISDFVH INSNCIIEAGQIGLGVEIGKNCIIGKFVIIKDLAIILPNTVLTEGTVVPSMSIWGGNP GKLIDTLPETYQETMEAKCKNYYQRFRAA
I206_04407	MSLSVSPSSSSPLTIHSRPLPPNRKRNSYTPSPRATPRITPESS FADQGDVSILASPNVKQHDGTLGGLRERSEEELARADREELEEALKREWQHRDYLLQR IEQAESERKNLVNKQEELIKGMNALQARGDEAYNEQSRMEADLEERDELLERLRKRLA DSERQARESQKRYIEQEQTFEIERQALQAQENHLQQRLKSLSSSSSSIRRSVTPTPPS DTENVSSLKDELASLNLSHSTLLAKLNTITKELHELKILNQELVEENEGWEFLVRERT MNGKLRQEGGLLSNEDEEEIYSEPRQNARLDPELEEEMSELASDLDNQSPIFDDDHQF FATNLDREEKWKSGQHLAPPSKSRKGKKDRAVSGSTPVEPNGSGLDLASELGKADEKP DENASVVSDSGKHIEIAALRSEVKQLKESNKALTLYCSKIIDRIIAQEGFEHVLSVDY KTRRATTRAASGSSTRPALKDVLNIPRGMTTSPISEESPAIVTTEPSIEPVKPKKARP LSMMVRAMTGPTEKSVPPAATQPPVTEESKADKRARRGFSLDFRSMGFGASSYTAPNE SSKSSLRPLTLSSKSTSTSGRSSSARKLDIHEEDEEDRKERHRMEATMKLMGINRTPS PSIPEDPNEGNPSYLHQGKANWISSIRTSASSSRKSSETPLERLSSTIGMTEDNSISF EDVNDPKTAIQALKAFDEREAQKRKEIQKGKRNSIYTSPPKIGLGRRISIEHKEDEDL RNRTISKSESINTLWSIGGGDSRPNSGEIVLDKK
I206_04408	MRELHSEHDDPTLDVTLISSDNVELKASSHRLIKFSISIFLDLV NAPNYCQDFILEQKSIKFWHMKTLLLLVEFTLCENSLVDKVRQAVINLASDHVPELLE LALTRQDLGLFKEALGRAKPFPLPAICSRNFQYDNDHPERRKIVTTFDEWFEHLTPTY 
I206_04409	MLRPTLLRTALPKRAIVTRFASTVAPSPVTTSGTPDVAETSYIP QRLSPGEEIDPQLNGYPQLPNISNQQREPFGWWDRQERKNFGEVMHESEDVIGMWGPD VHKTTPWSALFQLSVAFSLIGVASYALISNRPERPVAQRTYPFNGLEKELGGKDVAAR PEEIDEE
I206_04410	MSLRLPSQNKFRPTIKPGGQKKAPPVRPPTKTPTSAQNGIATSS QDRTPASSQSTATASSQLKKPLSSQHPSAPTSAQDGVPSALVQPDSPSSKQIDPQSTT TETPVSESSPPKNPRITPSPIPSSQIRAIRSAISPSKAPLTQSSGPSNIHAAPEHIAE SGPMSSSQTVPPVLASTAIDELQGETTSTADSSVRSKQKSRPHVATSPKATFAVPPPP ANPPKLSLLDAARNHSSDSPSPGQIRRRTPSIASRSDRAPSATPQPQRAPSVVPSQRA SSVTPQSRREPSVIPQPPLSSGGAPASGISMPPPSPRQPQRPARPPASRAEPSSSPSV NNASLPPSLGISASQPGMPPSLGTTSTTTISTPNAAPLITREEATALAAAAVESIGEP SNRGPRIRKGKARITKGAAPLAKSSSNMPNVTAQSQRGTARASRPRAIRASTEDGGVS DEEDEEEEEGSEGSLDTATGEKRQLVGEDEDGRPIKRRKKGRAPGVASISLQDIQPDE MVGDKVDEVVITMGDLATVLAAQGKVSKRAIKIDENKRAEQLQKRENARIRAEHTWKR NQIKRRKVRQSKNRDRARRREELGKLGMDESIVSADEDDSEEEYEPEPERLTPESTPE PDNRREISARPDVFNEEDEEYDDEEYNDVPVNNDPNQALFGENESQAGENENDDQDVI MTAEDIAAQEERDADIAAIRAAGIAIIDDVPIVDGGEGEGDDEEIEYDWEKTIEDTEY PDIEGYRREQERERRRMREMQERDDGEIVEIDDETKFINANSFAKYTKPQRWTVLETE LFYQVLEETGENYTLMKAYFPGRTIKQLKMKGVRENRSNPDKMTAAILARKPIDKEYL TKSAGYDPTKPWDKEEALFEEAKYDADKLRKLNSIRPHNNQLNEDIVAQQEGDDTFVG EMMEEEKDEDDYEDNENKEEEEQDDGHLDEFDRIEEEYEE
I206_04411	MNLKPSTDPSNHIELNSRPNGNDYIQANEDKQDDEEHLENGIDG RITPTADSRSNPSRASSSASNQPSPNSTTMSLESQLTSSSNPPNPSYTPTNGHANTEA AEAGFGSAQAEEQLKNALKSKDRIFLLKLSKEFEAFINRLVLNKGQISSNAENGTNQT PTTSTSLMASISYSATIRVPITSNFQKMLVYKTAEWYGLKAVSGQEGGMVIGVIGNFN DKSSSLILSDLVPTVHSAEGQTQRFQIMRRAPNRGEGSGSSSPAEGSTSSGGPRLRTM EEREAEYAAAKGRIYGKSIDTDEVNGQGSAETSQVIESGIEAADDEIDPIPRHLYPTT DVIYPSLSHSPRPDSNVPPPITTPIPGMSNQYLSTSSGQYSYQSSWPPYREQVDSNRY PISQRFSGVQSMQPQQPQPGYGMMPPGYMDVGQNGYYMSGLPQQNGYAAPGGWIPSPS NNGYPAQISPVQQSYGMQQQNGMNGPPQQWAYPQQPQPVPMPMIPQGAPYAYPPAPPP QQFQPMLPAPMPQYRQGSHPQLVQPTPLRPAIQPHSSASSSISSRSYQDGSRPHSRGS TTSTRSAASSVRLGAMYPASQGPGYRQRAMKSQGVNGMTTLGGPNGGGLGMGNGSESR KNTRGHSPSSATTASSRSSRRTSSIPLSIPTSSQHQLPQRPDWAANNVPYHPSPLPVP GTAGLNGYVNGPPSAVEFPPLLRQGQGTNAEPMQVERVKIKPSSGSVWNGTTVNIKPT THLHNGSTGNEQKISILPGPSRTPAPVPASAPPPQQQEMVDPDFPRRLPSKTQAVLYD PSANSHSRPSSVNTNKSITSNSNSNIALSAEEIIEARLAQISLDNGIYIGSAPNSRDG AGPGKSYAKVLRRD
I206_04412	MPITHLPLDHLLSSTAPEDFPARRQLSDISTAIAKARKIVVVSG AGISCSSGIPDFRSANGLYSLVKAKYPDSFFSGKELFSSGLFNNPNTTSIFYTFIAEL SIECEKAKPTKTHHFIKKLESKNKLLRSYTQNIDGLERRLGLESGGRGKGFKKKETRN IELHGDLGRVRCVLCFKDFPITFEYVNLFRNGEAPECPACEERCLSRISRSARATSVG TLRPSIVLYDEPHPLGDDIGSLTTYDLSRQPDILLIMGTSLKVHGLKRLVKEFAKSVH AQNALSSTSGKNKKKGIVIFVNATPPPEKEWEGIIDYHIQGETDKWVERVEEEWKRIK PQDWEIQTLLDGELPITAKPRPIKAKGKPKAKLTAKSKGPIQLPTPSPTASPRSPMKS QSISNEYSSPIPSQKIKSDHLDFGSDSELSEAPPTPPSPWSPSKRRSNAFDSPSKKTK SFDKDIPITGINATPGKGNLFSFSKSVWNGKENQDENENDWVDEWEVFNSAPSKAELS LRSGLENISKPECKALGELTNNISIPEKKALTKSKNTKIKPIVVHSPRIQRRRKPTAK VLAALEGQV
I206_04414	MSYSPSPSNRSGVSTPIADEASGYLLLTIEHAVVKQIYEEETMT LARGEFRLECVSLPIPPEIGRQTANPFSPSSADPPTPTHDFWLVIKVGPTFEMPIIPN SEMIPHQEKDGIVYQVSSTTVPNASVLFTLPLPASQADLEDLDSLEVLLKQYGCLGKD LTALEGLSASPQRPPSTYAYGSSRGAEQGEALAPEDLRGRIVLINEDNGEVVGQLDQT FDVEEDKKLNNEDRNKPVMLDFGHLREGQEALRVKVRTVPEEDLDDWLLRGAHKVSQG ILSFSSWSGRQMISGADTYIKNSTPRAEPVKFSPQTKDNFVKVHNGSVKVATVTKSTI GKITGVISTAAGKTYTHGYKPAVEAYRRASIDSNSPFGGPPLPPRSSTAVTGQYGTGS IPVPYAVRNSANAPPVPSKPAHLHSPTLPLSETPSSLTHRGAQTISIPSQAETMLAEK DRYNTPPSYTEKVTGEPMGMSPTVSGSGSNTPTAQPKKKALLGRILLAGEVVLTSIEA TAHDLINNGTIAASSAAGHKYGADAGEATALVGGSVKNVAVVYIDVAGVGRRAILKSG AKGFYKAKLADGETVKFQAEGQGNNDIKAGEIEVEQNGKNGEEEIVVGMSELSTNTQD EKSNYYKR
I206_04415	MLHDMDNTSLELEFGTLALNLREMWYQHGYTGLRYFRQHIADIY KRFEFLDEEKADPETIRAAESACNDLLALHAVPNETWLPYVNGIKRRVSQSNSTQSSP QESPMGEQSSTVVDMLASREYEKFSASEISHRRATT
I206_04416	MVSFYERNNVLINECYEAEAELRRAWGWGDAHAYARLQEFADWF EDIWLEVDSLTDEGELNERAECAALLACEELLTYKQIPYDDYLKYIVRIRNCLRPDEE WYDYPYDVTGLEESDDESSNDGMMFHMEI
I206_04417	MPRRKPASRNKGLSIATSTPAASSRATSADTRDSSSLSPAKSTA AISVGEDQEVQAEEEGSDANGVEEVPEEEDGDQDEDEEVEDDEEEGTVEVDVEDGEEE DDENEEVEEDEEDEDGDEDEDDEEDNDAEEEEEEDGNEDEDEDEDEDEDEDDDGGEGQ DDVPIPQIEVSQAEEEDLLDEKIDLSCPPNLKFKYPKAVQDILKISDRERSFKIARFI KCQVPDCECEGLEPPPHHQIKLIGHDQDDEDEDEDDDEEGDGDVTMSEAMEERKRHWR TSKGWWRLCGNCNHGWQGEGHVWPKDVNSDERKRRAKTVGRIEELLQDDGLLVKFPTP RRENTVSLFKQLNHFIRPAGKSTTVPALPEPTDLTSPHVSDGEMDVDGEDGERPRKRR KSGTAQSDEEDQVIDDDADLESAKPHKHGKKKPGKTAGKGREPRTVVRGTHGIVSMET DADGNQHVAGAEDSKEANEDEEDDEDVPLAKRPELDEQERKRRTEIKEKEKEKEEELM RRLTKGANVDDGSAGLGGGQAGIDVEIWEGVELPKLPLRPAAIEQQNKEIMLPVVSSR EPTQVATILLIGLKNLFQRQLPKMPREYITRLVLDKNHISMAIVKRGWKVVGGICYRP FESRGFAEIVFCAVDSSEQIKGYGSHLMNSLKDHVRAAHPTINHFLTYADNYAVGYFK KQGFTKEISYPRERWVGYIKDYEGGTIMQCTMLPKVKYMDVHQMLADQKAAILAKIRT ISRSHIVHPGLAIFKSAKPGDEIKLTKEQVPGLAESGWNPDLDDIIRQPKRNPHHVLL QLVLNDLQNEPSAWPFTKPVDGNVVVDYYEVIKDPMDLSTMEYKLENNHYESVEDFVA DARLIFDNCRQYNGEKSTYTKQANQLEKALDKILKKRQSVL
I206_04419	MINRFLATFLFTLLYISPSFALKSWYTEPGGTPAGSVDGDKVRG VNLGGWFILENWMMPSFLEVEPLNESYINDEWTYTSTLGKEESLSRLENHWDTYITED DFKRFANYSLNTVRIPMGYWSWTNPEEYEPYIQGQLPYLERALNWSSWYGLDVLMDLH GLPGSQNGQDHSGHKGDIGFQANHTNMERAMEALANMTQFVTQEKFDGVVKAIELTNE PHILEYNPNGMDFYVLAEFYVKGYQVVRNNEHIISGANEVMIIIHDAFQPILNWKYFW SEPSLGLNWTNYALDTHTYDAFNGADQKTYQEHLDTICAQSAAISEAQQSFPVIVGEF SLGTNTFCVDYQSCWGMTLDQMISNITSSTEANLFMRQFWEVQSDVYELGAGWIFWSV HHELAGPWSWTQSAAQNWLPEDPSEKIWPFYSNASSYCLDTYNPIAGDQNIPAFPNYA NPYTNIDISQVTRKYFVNQTQNVENTTDVTSTGDATSTNTSGTTKTTQNSNSTSTTSS SQRTSIFDFSFGIFGLISLYLFTIL
I206_04420	MSSRTRGKRAAAQPSVPIAESSSRPRARGNKRTKPEPEVEVEED LQLGDEEALALGEAEEEAQEDVDDDEEQEDYENPTPSRSKAKGKGKSRNGARSSTTRG AGRGKPKAEVPVEGEDDDGLDDGEDEHEVIKPSRRLRKSVSYKEIPPEETEDVEDVED AEGEDLEGEAEEEEEVKPRKRQPPVRLSSQVSNSTPRKRSRPSVGKPSTPLTAVEGEE AEDDEDQTDTPYKFDKIPGGSGRGGFSVKGAAAAAARARWDKVRREKIERGEDPDEPR SSSARKPKRRREPIVPDADHVEMDSTITIKGIEYTVGDDEVILDEDEKGNTKIDAEGR LLGGREYKLVTFTSAARRNPDKLYAMTIDAARACGYSDSLAFLRRCPQILKLSCTAEE RQLLIDIGRIAGNLRHRQVTMVSVRNVFKLMGARVVKDGKWVNDDYYEADALEKCKEM GWEPGTLAEDDEIAQQQTHSNSLRDLGLNDSSTNVGGRYAYSLAPFYTIGGLTTSFGG NGVDPFTEAGLGNKRQKLKSAGVNEENWMHLTAKEASRINNQLKAYRDERIKTLEGDD TKHWVYHLESKTEEFDAAVEVKAKEDNSEEKNDSLIAPTLDRKRSGLSQDVTHEFTQH FAETQEDIEMELNDTEPRKLEPEIILQKPDEVNSKYNWGLGNWSKGVTKAAYEPHTQT PHIPLWTQPTSSSFDRLSYHPILSSSSASTNILSGTSVKGITSLEYVYEQPNDSKEIE ARLNQIKEAEEWEKKMRKKKRVNTVV
I206_04421	MIISLHTRSCRPNWAFLKKRYGVRSLIITQSNEPHAYLRPLLQT KQDAETQREDLEGVMCLVLNRPETKNALSVRMVGEMRESIAKLNSMSPTSARVLLLNS SHPNMFCAGADLKERRTMSFEQVSQFLNSLRCLLHELEEIKIPSIGIIDGYALGGGAE LSLACDMRIGGENTKIALPESKLGIIPGAGGTQRLTKLIGISKSKELIFTGKHIDGLE AERIGLINQYIPSPNTPLQGALLLSKQILTSAPLSIELSKKAINSAMILPLEEGLDME RKLYNGLLDTKDRKEGLEAFREKRKAIFTGQ
I206_04422	MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKVLANKYNCDKQICRKCYAR LPPRATNCRKRKCGHSSQIRPKKKIKN
I206_04423	MESGTEISSPNKGEPTLHHVHCDPNVDVTIISNDNVELRASSFQ LSKFSGFFADTFSLPPPNNHRREPIHLDFKSETIANFLDLMLLPQLYQEHAISALRLP SIIGPLIVLSQFAMCKDDLIDKLRDALRDRCYLAPFQVLKFASDHEENDLAATAIKNF HRALGPIKLMGPSYSCEGERQQNAPRWEMICFDFAQLSSQCQMGLFTLLLLATYTNPQ SEKSMEVMWNKIGEAFDPSSSMYDKIAKLQGWNGWNSPNYEDE
I206_04424	MFSIPSPENDTRQNIKLDFPSNSIKTFLDLVVCLPQYQILYIKG IKGLRTLRSLIALLEFALCPDFIVMAVEGIFDLEAAKDPMELLVIAGENKDVVGAQYA LALMNSHCSIDRPFKEIVELDDALERTFSRLPQKWQLELLTAITSSSYCFLSKHGRGS SGLDWEFVSKIFNPL
I206_04425	MGVKGLWSLLNPVSRPVQIESMEGKRLAIDSSIWLYQFQATMRD KDGRVLVNAHVLGFLRRINKLLFHGIKPVFVFDGGAPALKRATIAERKKKKAGAAANH AKVAEKLFAAQMRREAVKAAQASAAAASRYPDEAGEQISENAVYLDELEGRASPTRSR PAPIERASSSSTSIRPATPAPGVGEVPTDPEKRRKYFKKLDPYKLPEATMPSVSTTER PDARLATEEELKQFIDEVSPDDIDIESPEFRALPTEVQYEIIGDLRVRSRQQSHKRLT DMLRAAPSALDFSKAQIKHLSQRNALTQQLLTVTDMVGKAHLTIPIRIAAERNREYVL VKRGEDQGGGWALGIREGSKQNPIQIEEEKPTVETESESSGLSSDDSDIEEIESPQPK LLTTDKELREQRRREILEAIARRYAPARPARKSLDITVKPFGASRQAGSKPLFDATEE EEEDEVVPTANDEALALALQQEELGSDEEEVDEDLARALALSRVEKERRERSVTQEAE WEVKGSSDNEADLEEVELVPSGAATPAELEPPHIVEDEDEDEFEEVVTDYAPSRTQSL TDIKEATSQEPPKTKEAEDDMIPIISARQNTARMKPAGVAAHRPIPAEVIDIDGEEEE DDAPLFISQNASLATAPSSQAPPPSFPARSGPPSRTSDRPATSTDSAILPELQRHTLS ASSSAGLPKASLISPNPPVRPVPTAKPSSPAPTPSSDSIKSPPAIKSVQRVPSSTVKP SPLRRVTQPASSPITNDTEPEIPSSPPLPFPSTVNHIIDVLPALPPLPSRTPANPIIA SPSPLPEGRNIFASPALIPSTHPANPLFIRAESTSSEEDDDHMDDTRSIEWSPSPPPV PRPALQPTDSATTIPSEVEDQDGDITTGDMAAEEDDYARFLAQIKGRDLNEVRTEIDD EIRILNSENRNAMRDSDEITQSMIMQIQTLLRHFGIPYITAPMEAEAQCAKLAELGLV DGIITDDSDVFLFGGIQCFKNIFNDAKYAECFLSTDIERELSLTRERLISLAYLLGSD YTIGLPGVGPVVALELLANFPGPEGIDNFKEWWMKVQRGIDQPYENDTKWKLSFKKRY KDSIYLLGDWPNPLVREAYKYPTTDESEEPFHWGFPKLSALRTFLHEELSWSISKVDD ELTPIVQRIARRGKLGALNKQATLLPFFDVQVVTGNYAPRRRTTANVSKRLMGVIKSF REAEAKLKGEKSDDINWGEMMVDLDEEDPKGKGTGKRRKQSATPGAESLNGETENKKR RRLSSASVSSRGRGRKRSSTNVSLAESEASTESGTSLSATRGRGRSTRGRNATTRGKG RGGKSHSAAMEVDSSIIEIDG
I206_04426	MSTARPVQPNLPPSLQILYQKQQEYAGLQALREASSELVGRVEK LAEMSNIMADGGEAVGGVLRNWPHVFSILNLFAQQQSETSQTNIPEEEQDEQEPLPVL VRLPYGGETSTTTSKEGSETTK
I206_04427	MLNPSLFKILNILLLSFIPHASASPILRDLQNRAEEDDEEGWID SVKNTSDDWCSDNPELCSTSVVAPLLIVTTFILLYFCYYKPRKNRKVLQELERQKQNN DEEKKKQELTDSIKNKTTVGLMKNIFSSKD
I206_04428	MATKKVKDTQLYDILGVQPEATDIELKKAYRKLAIKWHPDKNQS EEAEVRFKEIGEAYQILSDADKRAFYDKVGKDGMNRVEGEEMDPQEVFGKIFGGEAFF DYIGEIALVKDFTKTMDVVMTPEERAEMEAAKESGETDPTVPGDAELAAAASAGATTT AAAEGTTINPTPAAAAAEESNTSLAHHSSFSGSASGSASGSVSASGSAPGSISAGGKD KKGKPKLTPEQKAKLDELEKKQEEEQLHRVDALVSKLTQRIRPFVDAKNPGDIHDTET KAFEGRIRIEAEDLKLESFGVEMLHTISQVYITKAGNFLKSKKFFGGGFFGRLKEKGG LVKEGFGLLGSAIGVQSAMEELERLEAKGDATPEEMEALAQEVSSKMLLTTWRATRWE VINVLNAVVDKVLYDPSISKDVALKRAKAILTIGGIFRDVKADESDDERRELERLVMN AGKKKEKAKEKKASGGGWFGGKAASPKPDEKVTNGTTTTEHKKVNEKTTA
I206_04430	MAKKSSNPADAFRKAQRAKEIKKNKEERKKVREVQTVKKDTREI EADIRYLKSQTDATSKTRLTELEAELAYINKTKEAYVAEHPESRDRVFNIRKPKEKDG TTKEEAQKHLYDENGRLRDPKKSVYYDAVYNPFGVPPPGMPYKERTPEQQSEEEEEEE DDDESDDEIIMPAGPPLTGQLAGSDEDEDSDDSDDIPLPEGPPPKPPTSAPLPPPVRM GFDIPQAGFRPPLPRPIPVPLHAHSQFPQSSYGAGPSTFRPPRQIHNRPPPMIQDPLS DAPTQTYQGHRIAQHALPARPPIDQTQSTITASASITTTAPSSTGEISAAPVLRDLRK EATVFVPRGVNKRKTGTSGFINAAPGAGEIDEEGDERKRISKANEGLMGKLQGVLGDK PKSGGVTEMSGSKGDDDYQKFLEGLGDLA
I206_04431	MAEYAFRKINIDALEEDVLLPSDLYDPDPRGPDGVLSDAQAKST EVRNLISRGDIPGALNAILTDPPYGEGVDEAKNLTKNALLLILNSTRASEIPAILKSL GQEQQDHLMAYIYKGMAAIGQGSDVTGSVLLNWHSQLTEVAGVGCIVRVMTDRRTL
I206_04432	MNPSYPAVNPYPSTGPPPNQNGSAPPPTGASSGGPVGPGSGFPP RSGLAGMQPNSYASSPIPNLTPGSGIRGGLPEEKNVAQIVKENTNIYARRFQALLDRS TPHVLERWLVTLGLFLLFSLNVILRQGWYIVCYALAIYLLNLFLSFLQPRFDPSIAED LAADDVEEGAPGLPGAHENKPTTPGGIKGLMSGFSNGGEDEEFRPFIRRLPEFKFWYS ATKATGLALVSTITRATDVPVYWPILVIYFLTLFGLTMRRQIQHMIKYKYVPFDLGKK TRYGKK
I206_04433	MSTMSTLSSPSHPHIVRRLEQLSLTSPTSTSLFYARIWYALAPP TNGDHDSLHTLALCFLQSEEPYSALHLVRDTAGVDHPDDTLDPRRRQKSCYGCAFIVA KCCQKLGRYSEGQAVLNRALQKCTPTSLPMPSPASTSASAHLMLASLSYKGKAPATAI ENYQKALQEDPWLWEAVTGLCDIGSPPSPESIFPDPPAPSRASSSRTSRPPTLSPNPM PRSSASEMPGFLPARKHTHLGTNGNGGGGFFTPDIGGGGGNTRLGMLGNPSSWDTPSV ISDTTFQLPDQPSLPAASKRPLPNLLSNLIPSSNLLPASLRSNTSTPINNPEPPKLPA MKRARGRDAAGKAIETPVNGNLPLARELRPNGIGNGNRDLKAVELNGVIGDDGPIRRS TRLKTTVSTTKPPATSSKVTTRSRTTRSRSITSSTSGQTTNEHISSPPQSMEHHLQSI ADEYIRGIIRKCAKAYRFLSLYLCQEAIVELDGLPGNIKNSPWSLDIVARCFYEMADY VQARRVFTKLLELEPYRIQSMDHYSTLLWHLSDPPTLSHISQILMSIDRDSPQSWIAT GNCFSLQKDHEEAMKCFRRATQVDPSNSYAWTLCGYEAIEMEEYERALGYFRNAIRGE GRFYNAWYGMGLVYMRTGKIKYAEHHFRRAVEINSTNAVLLCCVGMVLEQSDDVVQAI HFYERAVHYAPTSSMVQFKRIRALVALQRFDEAITLLEPLSEQAPDEANIFFLLGKCY LRKDRRSEATIAFTTARELQPKLENAIKVTLEANGEEEEEEDDD
I206_04434	MPTNDGIGVIPNQSIQSEKSINSSGWITTNTSSWASTRRLSGTG TGTFERKFDRPLLAWTGIRHQLKEEDEIEVEKEISKIEKDKFNDDGGQCKQVVLLTCL HLQHEMTLRDVGQYSWIIPNIKDPMGSMMKIMKQYAQQLREISKDSTLDCRSAPDPSK LVIQHHLQRARQQAGENNYVTVLYNGQGIQEPPTEQGELWCYDRSFDECLQSGGGPSE YIPIMLFDVMLWAGPSTCYVWDVSHSGRFIKAAKIEAEEIDNQFKAAALQNPQIAQIH SPIYSKRQIHFASCGSNQSIPYINGMPDDLFTSCLINPLKISLLFHNLKIFPLTKNDE SNLNYLPKSKDYMNNLWEKMSLNLKNRLKIELFSIIKTITWQTLNNGNGIENENENEN LIIYENLFNDEK
I206_04435	MQDQLESINTLGQSLFIPPISISPSSQKNTSRQSKNEIKNNMTP GLARLPIICAASMIKEFRIEACKALDSCLKVLDIKGIQIAVKGGALDVAVELIELGDK DIEIELISIWSSLVRYDITVLALTKEEEEVNSESLTEINNIKFFLNSLEENLIQDIEE DYTIKEDERIHLIVQNAAILSTISNFVKGRKSPRFVLKTLSMSGIMLRSNNELIKQWG ALLIAEVLGSLDQPEDKSLLEGLKGNLVFMIKSNSVETRATAVYALQRWIPIRALPKT EESTNQQENIPEELRSTIELVRHLLECTESEGSPLVRKEVARMFIRVLKVANGYTSLA LWIWILQQGVQTVPNMRLRVQEAITNVGRTLGIKQERLDMLKTLQKLIQAVKIFNDDP DDMVCRIVSKRINKMFRSLAISTLQADTAVSKEARDTEDQWNTVFKLSFQRRSDADKF DTEGEVAKIVWTERLLNLVLNAKNDVIQLIIGQKLKDKAHKAEQSKSEKFSKELFERT RLVLQSYLTAGRRTETAPQEAMTPRNGDSRERTWNLRHRVLEDSLVVAEQQVGLPWKW LMKDIISPDPWTTMTFHSFHSTVMSCNRTHDLLLWDWSTSRKTGHVHLDLPQNSAITS ARFVNELHEQIVIVAEITNGDIHILAGPQDSAKIKPISNFRALDLTSTKIDGDAEHHR KLVTSWYRSSGLLCVGGYSDEIKIWDCPAEKCVQVLETESNSPITTLITEPVSGNLIF SGLSNGKIKLFDLRQSNKKSILSWKGNLPLNDSEININELNLNEIKNQKDIIKIGVVL SEINNLSSACSNGIINTYDLRNLKNPINSKLSKNSNRIGNGISSASFQSHSGLLSIIS NFSSSNSKNNNNNNEINFSLYRTSLGSINLINSEIIQFENKKNKNPILNNKYFKPYTV FHPLRPFLSIGFKDKCNLIGSGIGKGDDTDSGSYTFLKSQN
I206_04436	MNGSSSSSSSFQNINSLHDVILNYVETSGYASTARILAKTQLTH ESPSNGTTNNGNGGEEDNMDIDEDDIKVEDGLGNEGKRLSDKKGKGVMKGGLSFSERD LEDIENRRVILNHILNGSISKSVDLLKTYFPSVLDDSLEIKSSTINGFESNYINPKYP SNHSIPVLIKSTIPSHVKLNLQIQQFIESLRQLNPSSSSSSIPSSPSSSIGSLGNSGT LSSSSIGLTHTLSAAQGLHSEAKKLSPDIRAIYLQEIQDVGALLAYADPENGPLKGFL SQDRRIRLSEMVNSAILKSQGKYTESALEAYSRRTTVLYKMMSEFGLDPKSNWTTVSG ESGNGKDEAHLAEYWKQANGKPFSLHNFVNSTW
I206_04437	MKLTLIIPALLFTLGAVKAELKITQPTADHWWVAQSLGTLAWDG ISPDQFSVFLSNSDTNVLTSLLALTSITYAYDTSKTINPGSITPSGGYTILLTNPLNS SDVYAKSETFEIKAVGSAYPAQGSPSGGASAAVATSAVGSVSGSAASSSPSASASGTN SGAGRKEVAGITMGFIALIGVAIASN
I206_04438	MEDPTPLAGPSRPRRPVDNTDIANKIFALQRKNANNVGDGMNRT SRRSETSERDRTRNALPQIGVLPPTQLNRPSPLAHPHSPTRSSAQSRHPSSPRRAHPS LRPPAEIDEFSRGPHPSSSRALYDPSRPAPPLYNPQGPARSPRADSNPTIISSEDERP KRRVESRRMEGSSSTGHKKLFDPSIHNPMHFAPRPIMTTPESSEVASASSSRLLLRRP VRTPDEEADRERERRKRREGSERGSQLNAKRKESDTRSKGSRSSEGSESLKDRERGKN NSDTGVKPILKKIHDEIKELEAELVEIHRKMSNDPEAGVSALLDGISSNGYSRTRQGI SGDRESAAWIDLIDKHKRLAELHDHFLITLFDPLVPSSYHQLSVKYNIPSRLWQTAFH LLLERLRLAWMLGHPTALDLLTDVVYDAYRFYSELLENQGLSNFRAAWIEALGDLARY RMTIASYQDSPGNSSSPSKGKERLARIDQPEKEEEDNRPEPSGASIGAEVAQNWDVED KETWRTTARDWYNMGINEKPGEGRLHHHLALLCRDVKGQQGRALHHFVKSLTVTHEYP TSRESILPLFDSALQNQRSLPEATAMDLFLRLHGMLFTRISLDDFDAVMSRFMERLEE DARLGGVSQQIGIGQTDWMIMASVCLGSIMQYGSSSGIVRKALSQEGAERRRAQAMAD NEDGEEDGENGLTVDALQPTRTVSPMPMNGIIEEEQPITFTYALKLSFAIFEFALAHP NRIQGFQQILNPYITIFLTFLATLFRQPHVGAQISLAIPWETLVQFINTANIETVEEK RLASGIPLPEDWLLRGNEWVGRRVYERGFWKTKSHSGSGRGSSGGIVQPRQGAAERFS SEMDVLLANFEPAQMDLEQGIIDEEAGEDSPAAIHSRRWKRIIWATGVLLKYVDGLTL DHTGKLTISDSLKEKLNELQVEREKEAEEDKRREKRRMQREREEEMELALDEMDVEVD SEDDDEELTALRNRRKELEGLLSTHAPRTTLKSKSKKRSNLKIVPGYTMLLFDTNVLV DSLGLFSKIVESGIWSVIVPLPVVTELDGLSKEPGTLGEKSKQSIKYLETNIKSNNNM NLKIQTSKGNYLNDLSIRTELSNFGNFGSSSSILENGKEEDIKRTMDDKIINIANFSN ENFIDRSSLLKTSSISKNSIKVNGGGVGDLDKVVVISNDRNLRLMARSKGLKGIDEKE LQRILQVL
I206_04439	MTSFVFVTGNANKLKEVQAILAAGNGDISVTSQSVDVPEIQGTT QEVAIAKVKVAAEKLGAPCVTEDTALCFDALDGLPGPYIKDFLGKLGHEGLNNMLKGF NSTKATALCTFAYSPGPGQEPILFEGTTEGNIVPARGPNAFGWDPIFQPVELGGNRTY AEMAGEEKNKISHRYRALEKLRAYLSEKAQKEKKDN
I206_04440	MTTHQNGEGSRNRDMEISDSRGKVIKAPKEDIDAIQTSLTARRR MSLKSLLGNYKSQNAALKGENHLNPDDDGLIEDEEEIDSNEQDEYFDQTIRIDTIDLE AYQKSKGDPIDILRLDQEGIRKDIKNVEDELNIDLQKEYVWDVLFENQRGIYIFGKGY FSAGGLLPADPSAFTRPSDDMPSASSLGKKRSKSSAVNDTDPQPSSSGDEQSKKMDKK GNQRSNKTSYTLETFQPPLPDWEYVTPWMINMRTGTDELGWRYNAWFKKKGWSSHSGV LGWGGWVRRREWIRLRGVDIRKDHSQIKINEEERDKLERKGKTLEDILIHDDIEENVQ DILVCMGKINLDRQRLELWERWLAHLKQNDDNDGNRKRLIDICEDEKAVSTVHLFLIA CFLY
I206_04441	MIDVGTQNLKTTSLSPTYDVVPGESVGLFQLGDNLWHVLELLRT HKTEYPKVEVSWDEESPHKSAVTIHLPYITLYFPPSPIYQLLSLIQITVPPSSSSNLE LTYETQVLSSSHIPLTRARVGRLMGPTFVSKAGNKLEFPGITFQLTPSNMGDAGFGPR EDIVHKLIIEATEDEELQPRLISCVIEPNTGITLGLDEDHLLRITIGQTTAQDLILDI GSPLRKFWKEDDRLSKMWGNTNTNQQSSCFWNYLQYGLDFLVDKDGIVIKIICHSNIP GTPLFQRYAKCPWTITTSSGQLDSTTPSNVFRSHFSSSQNVEEYIEQLKLTVPSPSPT PDNEINGNSVNRKKNKKRNGSPNNAMILDRLVEGGLDGVSGLGASKLIGFNGLIIEED EKSGGICSVLIFKDNNN
I206_04442	MSEVTPKTGDSLLTIDTPLDNISSTTISTTKSKSTESGSEFSDS SSSLNDSPVEDIGNRPESNSAFPLTADNSSSSHTSKIRSVPIRKGQQATKKGTKSSDA NSKTQSESELSKSDQDDTSGSSDNLSASSDTDSDSVDVTTELDEDSKDTATKLKPSER EKRSETDSRVDLLRKDRSMEGEKLTGPRDRTIQGGSKKVVSGSNTKVYPKRPTEGREE LEESKRIPRLGESEKENKEVSLLTKRRETPRTWKHDMIRLISLYLVPVLLGIPAALLL SLNIQLLTPLYNSIPLSLHTPPLYVGYTAIAALIYWYITSRIPAKDIISARICLGLVA LSGDILAVYGRRIGSISGRLLGAEYGAIASRALMGIGVVGGTTIFGLLCFDHISHIPP SVDKTDRSRSLGSVLYRSAFYVLHIYTFERLWTTYLSNNLSVLNGNPEKTILFISLIL TALSLFLKSSTSSTPFPTRINHLVNRSLKLKSENAKKVSNITNNILPRQAFPLLLLIR IPFLVLALRQQIFLRPPSTSLQPYITANGELRVISSEKSITGQIVVAENLKDGYRFLR CDHSILGGRWIREVEDKSKSTGKRIDMGDSIFATFNLQEIVVLAHRSDPSESLIKTLQ LTTDLEVSLEGENHEEEDEIPERALIIGLGAGIAASTFSRRGMFGTYLEIDPAVYLAS HHHFGLSSHPITSTNIMDGSLFISQLAEMKRDNFTDHNTIPEWDYVVQDCFTGGSVPG EMFTREFWEDLGDLVKDDGIIAMNFAGVLGSKASKAVLVTLTSVFPQCRAFGDSFEIN QGPNDLINMVVICTKTYSPLLTFRKPILNDIMKSPLRSHIYSNFQINEIYLNDIINLN DFENLEYHLSKKNDKSIKELNKWQIQSSFATWRAMKNILTPEMWLAW
I206_04443	MPPKREYSSDSDAELKVNASSVKKISSPRKGWTPTEESAFINII DEIVKNNLWSMVKDHPDLAGRQNGAVISHWNAMVKKLRKTS
I206_04444	MPTDKIDKEPWGDEHTIALLRTSIQILLLHRSDIYSNPSLIGVS DNGGNRINMKLQQILKKLCNTFPGAENLVVEEVNNLKEARSKNGNGSNPSTPKKRKMK DEV
I206_04445	MPIKRENPIEDSNSEDSRLILSTQPKKVKSEKQPSSTRKKQPWT DFEEKQFKEAIHNIVKKGIWSEIKMNFPDLSKRGADGVSNHWAAMYKKMQKP
I206_04446	MSSKDNQKAWTDDHTHELLRAIVRITLQNRPTIYATSILQEVNN NGGDRINKKIQQLLKKLCESQVGSQDIVGEEVKKIGKSRNENTGTPSPKKQNGDKSD
I206_04447	MAPKREREQTPSTASNDDLKPLIKDKKKKTPPSSPSKIKTPWTV SEEKKFKEGINSVVKKYLWNEIKSDPEISRRGANGVAGHWIALYKKL
I206_04448	MSYPLDTRSDPPTSTLLNGRNPNNDDESPPNLGKNDHGKHTKWI QFPFRRILQVILAIVVVVILISSIVGSVIGIKSYHRLTFPHKAVHASSTKLTDPSKIV KPYFEEHGGDNKLVVKIWHREGSHHPQPSIPPEGYSDLHWRIENEWYDEQRRVRFGGE QGFIKIDDIPQHDWQEIWSLDINNIVISGNRRNVKAEVTLPGAIIHSLATNNRSSLVA TFDLIPSRLDANPDVTYHHSSSRPIDLYGPSKPWPITYPFPYNGDSTSALSSFIAHSG IGQSIHVRTMDWRQIRRHRSMGENDQEMQLGFANFIWTKTFVTFAKDYSVYDVESFKS AQNSLTEFKENCAHQEWFWDDCYRTFSKDGHYENLLSIDKENGEKEWKYGPFLTTRLS PSTPKDYLELPQVNIGTLQDPRPEEFKDFTFDWDLTFSSLSPAKLGLARVSRGLDEWA ILNMDDSRSAKEYDDHEFGSALLGLSFDPDSRPVSRGIIHGLSVSLRYLAAPLILHYW LTRRTPSGISLLTLTLQSIVDLIAYIVITVLTIDDESIFIFVVVIICFVLIILKQIYL YFKPEFMLSPTLIQFLQTTVPLPTAVRFSLNTVEEKKSYKLDYEFDWKYRILLGSLTF CILRFAPPPPAIVGATRYSDVMHGFGGATHWDPSALKVIHIFRTIQNSFWLVSHFSQI HLNYRQGTFAGSHKITIYFLFISSFLSHSTKIFVFYFGRPQLMQPFTTWDLITLLVSG GMVYQAVRLPVVNQTEIDSID
I206_04449	MSPVKRESSSTPSLEDIKPKVPSTPKKAKNLPKKDSSSPDKTRK APEAWTNEEDAIFIEIIDRVLKAGLYQEVKMDGRLQRENQAVRAHLTAFMNKLKRG
I206_04450	MQSLNALGNRQIASLNADLNRMESGEGGPSIQGQITTTLGALSR LIDDYDSMARKEMVTAAREKANTRVAKLKNEHKELKARFERAKNEGQQRDRNDLLGSS SSSATPYSTNSGVSQRRSSAYPSNGTGSNSPLHESPFGSSSNLQNSPRDPNIWKPNHP PTDRDQFALRENTFLQESENHIDQYIAQGRAVLENLVEQRGILKGTKRKLLDAANTLG LSRETIGWVERRTKQDAWIFGAGATFTLFSFWVIWHYLG
I206_04451	MSELVTINEEWVLGPEDTPFYTKRWSPKTAEPAACFAEHITRYE TFFTILSSPPYNLHITAFDQRGHGKTAYEPLIATSSQVVEWKKEGKVVNLAKNTKRRT GGWAKVFPDIEWFVKRESIFAKEKGKKLFLYGFSMGGAEVLAFATRPTAPPSKESVNL LSGVISGGPLIRQTKSASAIQVKAGSFAAGLGLGNMLIPTPMDYNQLSHNQETNDRCK ADPFCEQSGSLRGVADMLNGGITLDNSIAWNAWPVDLPLLIYHGEEDMICLPDAAKRF GENAAAKDKKVEIIKGMYHEVHNELSPIPENLAKTIGEWVHAHTSSSSAITGAQDQST GVGNSKL
I206_04452	MPHYPPRPPPGIRRVIWNQRIWLESTFATSMMQPWEKALIVTVL SFVTLLIWFSIYTYLPSHIEYLAKRWSYYVYGDETVEVSAPIKAWIRSQVGKLLVGIK DSVVGKGELEL
I206_04453	MTKTILDTTLSNPPYPSLVYLHHPHHSSTSLEFPLNSNSNTKYA RIDTIEYNTPKLFFSGILNKLSDEKDGIEVQSFDGFAVRLRGWHNSQAHNSVKEKGKG KAKINGNGHINGHSNGSASLHEETEDLSIAIVITKAERLRLVLGNGWSVITRLNELLG VPISVVLCSSVPWDNVRPMRGDAPEPVHIYLPPPTREEIISILISASPHPLWSRFLDL LLSTTLSLCYPSIEEISYLAEALWPIYTSKLNPHFSMIHLNQSYPDPLNPPKELEINL KLLTDLKYQLSLSLTSSIENLLPRQIGLNEFKKSFLPSNSTSTFDFVIGNNNGIGKNL PKLPNIELNNIENFLLVASYCASYNPPKSDIKLFGRSSGPIGKRKKGGGFRRAGYGRV RIGKVPQRLLGPKPFSIDRLLALFSSLYAEHSPRPEDLQIAFGNEEENFSSSGSESNE EENQKGVIIKLNLNENEIEKQNQRKRKKEYQRELKWDKHVEELTMNIKLWNLISNLEF KGFLKRISPIDRLDNIMIRCEVDYEFIKELSKELKLILDEYLYESIM
I206_04454	MPSPHDELVLFESHHNARLYKLNRPAKLNSLNQEMIDLLSQKIK IWRELESCKVIIGTGDQRAFCAGGDVKQLVLDLKDGKDTALPFFKSEFELNWTLGRLG KPYVAVIDGVTMGGGAGLSLPADIRIATPRTIFAMPETKIGYAPDVGSNYYLAQLDGA IGAWLAVTGQELYGRSVYELGIATHYVTPNLLPTIINEITQLDTPTSAQISSIISSYT ASVGSGESSKTNPDGLSPIKGEIREFLDNTFSLKSIAEINEALIKSQNDSSLSGEVKE WAKVQLDLLNARSPTSTAVALTGYRKAKESRRLDRVLLNDISMATSFCGPNRSTEDFI KGVTSVLIDRSKQSPEWIPSNLKDKKLNLSEIIKNFYPTKSSDNTPELKLIPESASKL DSGRDSTWNKFRKFGLPSEQSIKSSVDGYSPQSGAFALTEKELISQFIEDSINGTRRD EIIERIKNVVSKNCKVDKGGYLEWK
I206_04455	MPPLSKEQLWSSGKDETVEVNQRALIDKILARYSGEHTIFRELL QNADDAGAEHVQVKFYTEAGLEALDKGEGSSRLPNMHRYVVCNDGIPFRQQDWQRLKK IAEGNPDEEKIGAFGVGFYSLWSVCDDPFVESGDKWMGFYWKDGKDQLLARSGDLPAS SSSSTGDSTLTGHPWTTFSMGLREPSLLEGPLDLARFFITSITFMRTVRKIDMLVDGI RILEVEKSVKGKGKVLKKGLRTSSINGMMTIHGVDATGMVITAKVMQWLSATGFVPPP LPQAIAQFAKPAKGFASMLASSFFGRQTSAPTPAAQPAPAPSLPEDPLEITTLHRDIQ IYQADIKVSISPAFGRELERATKKPPPTRMPASVVFSRGEPTEEAKTDDGKTAAKDIG GVFGGLCPPLDSEKSAKVFIGQATSQTTGIGGHLAARFIPTVERESIDLVDRHVSYWN KELLWVGGYLSRLIYELEMQELRTAWIATSSKPEDKDERDRILKRGLHALRFFTFKTT TPSNVVGQEMESAFYGCATDNRSLPIVSVAGILPISEVRMPSADLQKFLPDLPVITPS AIEEASKSIARLRERSLLKEVTFDDVVKQLNDRPLTEKEMVDCLTWWQAIASMDGVNP SVRNKLLEAAILIQDNGKVLPLSTVQTFVKPHNAVIPSDMPLPDHTLPYSLTKDLKAN SIYTIFGWTELSVLQYITFLINPPLSNSTEALTETDIRVSPEFAEKVLGMLGRAWANL AANQQTAIALELKEVSCIPTRAGFKKPSEAYFEKNLLFADLPTIALPKGTAIKGGTEK MLLAIGVRKTVDLQLVFSRLVGGGSWTCQDLMKYLVSVKDSLSDEELKRLKQTAAFPL EIGSPEDGSKKQVIRQKPHQLYEPVQAMRDLGLPLLDWGEGKWRHNSDEAKLLFTLGL RRYPPIDVLLGIAAGRAPANEKALQYLLVNTHNHYPMFGSEAFSEVAFIPAKTYVGDN ILAKPGRVFTNPQCSILGFSVAQPQVAAPENAAKLGIQSDPPMEQLIEALKANVTRDT AKAKKIFEYMSTRLGHSLGSTIDTLRSFPFIPVTSSNQDVNFYRPNQVYFVTKDGGED LYKSAFTFVDFGERANIFLRYCGVRSEPSVKDIAVLLMSEPHKMLEQAGSAERYLEQL RLLAANWMIFDQQTRTSMKTAPFLLASQRVHSKMPAKKLLNSWTGGNEDNDFEREWVL CKASEVSVVDSVTMLQYFGQYILAAPEEHILEEFYASLGAKSLSSFVKRQYISDAPNP HPSDHAQALRRHVLERLTIFLAEARRKQSEYTSENLSQEGNFTVQEVKELKVRYTYKN GRNEYHHYETLYATASRGRGKAIILTISTTAQMDDYDIASALCDILLRSAKADDALLL YSILSTPLMALKKRGFNVDRILNLQKEEKLRVQAERKRQQIDAIQSSESNTTPSSTAM VQRKTSIDSTSLASSSTANEEKDGSSLKSKQGLLNRFRRKSTSKPPSMPGGLGGEILN QLKAAGAGGWDGAMTNMAGRPPSFGPSGSGGPGTAMGGMAPPNWSTKRPTDLNNIRST VQKALDASRPEKGTQITDSRQAVRDVSESQDNYCDTTAQADIVLGEYSSAQTTTEADI MNCYPDVPDQAAFLGDKIDICYRFSTEILLPICKIFNLAPAVMNVFWDHSGPLIAFNR GGTIFCNARYYQAWHDTQIQNGQTNEAFISWYFSIAHELAHNLESAHNSAHEFYFSSI AEEYLIRFIELLATRPNLAQQQHLLD
I206_04456	MPIGEPAEAGPSFLRKEQNMVKPEEKEEEMIEIPSTGIEFFEYR RRLFLAGLPLPINTKNIIPSYYIIPKILPDPLPIPTNRIQNTSNAIKRIENILSEEGS EELQSNWQAGIDKVATQLHAGKRLSNGLRLGLVIKILKASWIQDGLWPKDEYGRPIKP PDSPIIKGVDLFPGNDNVMM
I206_04457	MFKPTFRNFNQYKYLAGPSKFGIYPVLPSSFALTSYPYPRDVPS SIPRPEYVPNNFFIADWGEHDEVEIKESKARRIELGGEEEIGLREVAKMVRDLLKDVG KLVKPGITTNDIDRFVNDRITSQGGYPSPLGYSSYPRSCTTSVNNVIAHGIPDDRPLH PEDIINVDLTIFYNGYHGDTSATFVLPEVDKAGRDLIEATKEALEIGIRACKPGMKYK DIGTEIEDFARQHGFSVNGQFSGHGIGNVFHKPPWIFHCRNSEPGEILPGDCFTIEPC LVQGSNARGELWDDGWTMVTETGARSAQFEHQVLITEDGVDVLTR
I206_04458	MEPLAVAVNAITNIANLPHSANVVVFGAGPVGLLTMAVAKALGA RRIIAIDVQKERLDFAKTYLAADIHVASPMKENETKESYSQWHADLIKDSLGMTDRGI ESIDLVIDCSAAEVCMQTGLWLLKRKGTYVQVGCGPLYVKLPMRIIADRELIVKGCFR YGPGVYPMAIDLVSRGLIDLKPLITHKFKWEEAVKAFETTKVGKGDDGKMAIKVMIGP PPRIRTS
I206_04459	MTKSYTQLGDEILVWKLMGIEKLQQESRNGPTPPGPYEAIIAPQ WNGLCGSDMHIYLTALLGEEKMKEPFVLGHEASGIVVEIGNQVRRLTIGDKVAIEVCY TGTPGEGCGRCGDCKKGASDGRETKRKKKIRGNDQNM
I206_04460	MSITEIALHPPSSGHASLSEGTFDRSWFMGKWGVAWSTLPMWKG KKDVNITYTPIAGTENHTKFEDLVEYRKESAADGSKPSTVKGFDTLTPNSNAATFDWK GSSYLFFVHSHWEVLGYGSDEANGLQWAVTFFSKTLFTPAGIDIYLRSSPDTSLPASK DPAIRSELLKQIVSAVKTIQEGGVSKLAEGGFEIPGVV
I206_04461	MDSIAEDEASNKQVWSLYHHSHQTFLSLTTQRSLHSASRSTLFP ENYPAPPSPSRTRKISGQSMTEEMGKQAIRFMELDKDEESSLWEDLKASLAI
I206_04462	MSSIKDQRIGYVGLGNMGSHIATNLSKYISENDLPPLTIWNRSK EKYNFLKNNKKLNQNKIIYSNELIEVIKNSNIIFTMLINDNVSENIFEQFFNYLKENQ KNEKQNLIFIDQSSLKSITSEKLFKKFKSINSFYLSCPVFGRPPMAESSKLLIISSGP FEIKEKIKHLLNPIIGNRLIDVGEDVKKATAIKSMGNMVLLGWIQLLSESYALGDSIG IDPSIFDEFLQKFIPAPPLLAYSNTISKGLFPSGGGFSIDGGLKDARNMISLGSDLGH PVSLPTIERAKDNMERSKELGGKDQDWSSLAAAVREQAGLEPYREGTNGGKGE
I206_04463	MVEEQRENNEAHELGHTPSTSAFGVSLPHRSASITLHNHNAAGA GDFIGNSHNGGLVRRDSLEDTKSFYGVNRPTSPPSSSQRSNSALPKAGQRIRSIRSTT SSFTSPSRVSAPLPTPKGFLSPKKPNLAIRLERKKERNLSLSSDHSNGTGTQNSKPTL SNEGNDNKPIRGDTSDIELISSRSISPLQEEEPEVQSFGGTALALSEDNRQQSFSEQP SNPSLDISSRLHNQGWDKSIGRSITEMSTPPRTAASELSSDELVNRDNAKNKDLEKGH AQNASDTSRSQNQRKVSKSSHAPAGVHNKTNRKYQHFENPLTTFWWNGKLVTGGDNWW SFILIVVVLLGLSGVWIGTTGAWLWIHGTEYGLLQGAGVAITIIYVYLFALTASSLLA SAFRDPGIIPRKLDPDPPMYETEEYWEAWPRELDVNGGKVTVKYCETCQSYRPPRSSH CRLCGNCVDGIDHHCSYLHSCVGKRNYFSFLVTLLSATAGDIYVVIFSAIHFSLLCHH DNISFGTALSESPGAAVSFLLGVILIFPILFLLWYHLRLLLYNLTTVEQIRANTSTSL FVTSTRPDNPFSSNTLFDNIILASIGRPQFPSWIDANGLEIVDRREINPALKDLRWVR DREGL
I206_04464	MGIFSHSAEDDVLVSANFPETNPFGLVVNGEQNELLLHLVNQGT KNYTLISAGASYHDVNNHWATIKNASTLKYNVPIVAGSNLSAPFQVYSEYRPQEIGLT VWVNLLDSQKPSEPLHQITALNQTVSVVEPSQKWFDPSLLFLYLILSTVLLGGAYTIY QNFFQKTPTNKGKKGIKSSTKVKAVVPSEEKSVYPNVKPYEEEWIPASHLKNRQSKLS KKKFGAGGAASSGDELITSGGEITSGGEASGTETRIKSNKKKGKKA
I206_04465	MGSKYNNQAHSPSAITLSEKGLSQPNQDELPQPTTTSASSRFSM KQKSMIKHSIFFGVLLFLAIYNSKLLNGRSTSNAISKGFYEDETPIGSYFRGIASKGM RFSLPHPEKSHKHDHHHHDHDHHRKPHKHISPKEAEKIFLSVPNNNSVAAASERYTSY PHRAGSGYDLYSALTLKNEWERELGLKVSGADENVYEAGSAESQNRIRGEDELGVWVD TYYPILNTPVHASVTLLSDPPFKAKLREDIVEGDPDSQLRDEVPVFHGLSASGDVKAK YVYAGYGRKKDFDLLQSKGVDFNGKIVLVKYGGSFRGLKVKAAQEAGAAGVIVFTDPG DDGEITEENGYEAYPEGPARQPSSVQRGSVQFLSKYPGDPSTPGEPAYKNATRIESGS QPSIPSLPLSYEDVIPLLKALEGKGIKASELGPYFTGGLEYYGVEYYIGPSDVDLHLV NEMNDRVLPIWNTMAVIPGHISDEVIILGNHRDAWVLGASDPSSGTASQYEVVRGLGA LLRKGWKPLRTIVLTSWDAEEYGLVGSVEWAEDFGDWLVENAVAYLNLDGSASGTNFH ASASPSIALLLKEAAEEINSSSDPSRSVFSTRDNAGNWDEYIFGEFGEGEFDALTVDA GSGIGALGSGSDFTPFLQRYGIASSDLGYKGGPKDPVYHYHSIYDSHTWQAKYGDIGF HRHTDAAKVIGLLTLRLADTLILPLNTTQYARDLAYYLEKVEDIANSSSFADSLDFET LSQSIKAVQESSIKLDVERKELTAKLRKLLPKPHFEKPSFLTRLTSGGCHQNEKSPEL GNSNSDSIAFPKIPIPKLPKLEKLKEIKRVLKEIRKVNKKLQHFEYGFISEKGLKDRE WYKHKVTAPGLWLGYGATTFPSINEAITIDHSLSLAQEEIEDVAQLLNGVAQKLSA
I206_04466	MPHRPPAHPLPSASSSSLHTPHSIAPTLYQLFANMTFHIISAKL ETEIAKIYECIDELGGKCVGIEECNFVISAIKGKPRLVRSLGEWMNKKPIFTPEYIFD TYTSALEYAANPDPSQPPKLPKRSEYIVHPGPVPIKPIRPINGYARFIESDEEYDEEL KPASKKRRLSIKQEDGVAEKVKVEDMTLLPDDIKLVDIPALCIHRGSPLICVNQDMID AIRPILEEREFEEAQQKNSNVLSYRRSLSVSAVPYPIRSGKEAMKLNGVGEKVAQRID EYLQKGFIADSVKILNSSRYQSLKLFATVYSIGHHTSKELYDRHHCRTLEDVRMHFEA IEEESPEARLKDKLRRRRRGGMKQVEIVEEWIKLKDELDQKISRKEVEEIAECVMEHL EAYIPDCDYTICGGYRRGKTESNDVDIVFRPPGMDQDIGLLRDLYLRLSDLGIITHVL HVTHREMNVPIHASPANFDNLDKAFVILKLPGPGRLHRRVDLISSPNDRYASAVLSWS GSMMFERDLKRYAENVRRYKFRAGLIEMSTGDEINLETEREIFEFLGLKYVPPEMRNA D
I206_04467	MSQSKTNVVIVGAGIYGMSTALWMLKSGKYNVTILDKCGILPAP DAASTDLNKIIRSADYADASLAALGLEAIDDHWRKPEWENTYHESGVMCLSGAGEKAG QEFVSKAYSNCKNLGINVTLINGSTDIKNIIKKKVAQGVPLGEFGGREGYFNPIGGWA ESGRALEVGLKKVRQLGGTVRSGAEVQSLLRNGRKVIGVEIKGGEQVKGDLVIVAAGA WTPALCAQPGFSVRLPDVVATGQSVGVIQLTPEEHKKYADIPVVFNLDNGWYNFPPNA EGLMKLAIHGAGVLCPGENGVSVPRTKLTPGGESGAIPLDSLRGLREGLREVYPELAK KDFLTTRLCWYCDTITGDWLIDYHPDYDNLVIASGDSGHAFKFTPVIGREILKVVEKN PSPEYSEKWSFNYVENLLKRESKKQISDVDVENPELAKGGADVRAGQRKVLDVNDLVK PQDLLAKQARL
I206_04468	MKAERWLKGPLMREFMIDHILCRPSKKLITLANGQGWSNLPNLQ INLTQGQTTVNGCTDQDGYWISTTKSIDWSIYEIARRLTHHQLTGQGQGEIKLAVINT NTTLSGNSKKDEKVKERIINPHSCLLSHESGILSPNKLEASKTARERSWQSFELLYWG RIFGESISEDLTFTAEYLPFRLPSKFWRHDTGIPGRIDSTRDSELPGWLGKLRWDPIK DDWPTALVHLRQNLYPTTKWVEQPLHDWGSVWPPITDPPRASSNQLQRKSGDDGNGPT NRTETSLHAQTSDGKNERDHFGIGSYRGETGGKDDSAILVDLMS
I206_04469	MSRPQSGYDVPPPSTTQKQLDTPPSSIRSTNLPTNMERPSMTHS TSAPAPAITANDLLGLPLSSASKLVPPKHHRTASNPFKQKSKSTPFPSAPSSGNTTQS PLPPTPESESIDDLPQRPRYHSTLSRSQSTGFAGKLQSLRKKIESELSRKRPGSGAPS TNQTSGRRSNKKPQKGTVAGLRPSPALTVPEGMSVADASQLCAAKRADCVLVVDDEEG LSGIFTAKDLAFRVTAEGLDPRLTTVAQIMTKNPMVTRDTTSATEALQLMVSKGFRHL PVCNEDGDVVGLLDITKVFHEALAKVERSSSATSQLHAALAGVQSELGPGLSTNPQAA AMMAYVDALKDRMALPDLTTVVDTRTSPATVTPRTSVREAAKLMKERRTTAVCVMETN AGTSAISGVSGGNSIPKIAGIFTSKDIVLRVIAAGLDASRCSVVRVMTPHPDTAPPTM VVQDALKKMHNGHYLNLPVVETDGRLIGIVDVLKLTYATLEQIESMNEDRSNDTGPMW GKFFETLPGAGGDDDSVSVVSASDRPDTPSRPTHGRGLSSMTSPISEVMPNDSASAVD DNSSEFEKRGAASSVAANPVPVDDGTYVFKFRTPSGRTHRFQARHDSYELLRDIVAGK LLTDPFFTAENAKEGQEVHLPDPNSFSLSYTDDEGDLVTMTADGDVADAVRIARGQKS DRVVLLVDGGKVWEEFARDLGGEKAVQELKEIEKEVPKPEVIDKEEQKMEEPTTKPSE EPTYAQEGKVHTSGPSPGTPGAGPELIGGVLPKDMALPAAIGFLGVVILGVFIMGRSK 
I206_04470	MSRIPVPKEGLHHQLPHSTYEAAASPVHNSPQTQQFQSRNSMST HSPAADTRKKTSKRDEVHILVMRCER
I206_04471	MSLLPPEDEGDGVEVAWEDQQRINTFSKLNNRLTDLQDLLKIKN EEKEYYDDLSTELELSDEDENEFILYKLGDSFFKLNLRDARKQLKSDLKKYENEIEEL EIKKGNCENGMKELKVQLYAKFGKQINLETGL
I206_04472	MTYLTINQNKQDDYLTSTPLTKDQLLNRPKLPGSIKRLNRILSR QRQVEIPEHELEEKFVRGRGPGGQAINKTNSSVSLIHIPTGIRVQSQPTRSREENRKI ARKILSERLEVLRTTGQLPNYVIPGTSIDISSKNILQEETPSQLEKKSSRTKQKEEEK LLSGKYTKKEIKNEKERRRKLNKSKKSKKKYGKKGEIDSNENDKDQVNSNFEMSEKDV 
I206_04473	MSSSCCGGKGGAGCCKTNNKSNDASVPLIRGKKNIDANGQPTSY AAAAAASNTPNSGTNKSYGAVWTLDNAVPASNRTQQLEDVLGDHEPLGSKCSAHASGG QCCKELRGDDERHLISPEIVRDVIIGLSDGLTVPFALTAGLSSLGSSSLVVTGGLAEL CAGAISMGLGGYLASQAELDHFHYLRRQTQARVLRSCSGEMEREVHSILGPLGIKEPL SRLIAEDLRIVEDDLYGPGQAINGSEGIMPGSNDIAPETPSQKKKWGLIPWGGKKDVE EEEGGEKKVDEDMGLTAFLLKFGEGMEEVPKSRLYISALTIGLSYFIGGLIPLIPYMV TDTAEKGLVISAIVTGVILFIFGGFKTYFTGATGGWGGYAYGAISTMIVGGLAAGAAF GLVKVLGVQE
I206_04474	MFCAHKIILDKVKRSARLIALRGTSSTSRTSRSAIAQYELDNGG TVKFPPSIRHVSSRSKLGSSSRSKLSNEDNLNNHQHIFDNAFHTSSSSSAQPLPDSSL WDYHTSTSSPLPSKSNLSPLPDNWPNQKISTTRQRTYIPTKSQNWNDRKGKAKEIPPS TFMSTSGWTKKAEAFVEDRPELQQKEFDISPQRLDDVFQTSQFHDDLSSDLTEEEALS LAQVLGESSDTGIEDDWDLSAPLSEGSMNSTLPPPLMEDYPEFDFASTRSVIEDFPNY DPFAPSTSSASIQNPKESITMSSIAKSLPPLPIPEYSPDDKTRFDWRKRALSQQKKQS RYKWFGNLPKRKEPSWTKLQFGENGREELDRYIKHFIPLLEAEQAEEERLYNSRIAEW SFDRLRREGYALDEMRGSIGYQPKSLAGVGTVYNFVRGKGDRELPFHRFTIGSNIIIS RTDPQVDPVSATPDGKNKIIGSIWNATKGNLRIMFPQEIPDILSEDWRLDAACSDFAI RRQIEAIKSLNLDPFEQDLEGYSDVPAKGPFDSIQKEPEPSSSPSTLIAGKHQKDQTI LRGTTVRDLLLRAFQEDYFPPNHSSSSANTSVQQVLTDHIVQSTTDLHPSDLDTTPIP NIQLSPATGILAKNQLIQSWIERHRGPGTPVKVEGDPHLGLNESQTRAIAMMLGERLS LVQGPPGTGKTRVIIETIKLLKKHWQIPHPTLVTAHTNVAVDNLLSGLRAHDVKAVRF GAINRIPEEYGDWTLDRMIGKHPQWWNLEEARKEKDLLLQKRSNLKELWNSEDNTRLT RLGQKIWVIRQAIMREVLLDADVICTTCLSATSKALQGIDFPIVFLDEASMATEPLSL VPLTKGSSHVAIIGDHKQLPPVIVSPEAHSGGLATSLFERLIHEGNIPSIMLDTQYRM HPSLAAFPSQTFYSGLLKSGTPPSHRLSPETEFLVQDEQGLRKNFTFLNHDHPESPMS KSLANYGDAEYACDVIADLMYKNPKLKGSQIGIITPYLSQLRLLSNHLTDFKRRQAYT ELLGVERTRELDDIEIRTVDGFEGREKEVIIFSCVRCNDGSWIGFLGDWRRVNVALTR ARKALIMIGSKKTLQKARIGKNGLENLPSGGAKVWRDLISHLEIENSIIDVE
I206_04475	MLIQDTINYKIQKSPPINKIENNKNNQEHPIEKFDSHLIEDILI NLSIKDLISCSEINKFFHNNIREIPSIQFKLYKKLYKVFDFDNDYNNNNENFDFNFKI NSTKNIINLIEINRNLIRLTPRILKLNFKLNLNLNLNSKEKELIFKIQENYLITIPKY IKKDLIIIIDIQGIIHSISFNQFYKNDIILENFSQGIEIPFEIWEKRIENEIRINLVK NSLDKEILIVELSKGKWLIYDWKTTELLHEFPPDPKITWGSLYGSIINHQGLLIGLDI PSLPWMPNSKPKDACLAVFNLCCKPVCPIFENHPMVFLEIPIKNIQLSILFKILNQIP KNKKIIVHSEGLPILHETFNPFLIRITIPFKIIIGPNQGSISELNIVIPIKQLESIKM DNLQKVWHKGRTWRRSSNPLDGVNSIPFEKYYQRCHFWIDDYNKIEKNSINSIDYQVG QKIIKIDKDLMKKTGKLKLEISDFNHLKITNHVNLYGALRGLELDKIPEDGNRPAKPR SVPQSYKLSETAATTHLSARFKCSGILPLGMPGEIEKVVCDEKGQKLIIQQVK
I206_04476	MPSLRFCGECNNLLYPKSDNNAKILLYQCRNCQYAENAHPEPGM APCVYKNDLLTIAREQAGETKDLETDPTLQRSNIECPKCSNHDQKN
I206_04477	MALNLPFPSPLNLPIPRRFVILILSGSVLVLFLHTFAPSTLPPA LTPNLPHHEPDASYFSPSKWLPPILNPNTPSRPIEFDEDGQCLFLSPYDALSAIEKQR AELLILEKVSPGIVKSRSPPSEGNDYDPDFDDEFSALSNETKSSPTGLTHPILGLLRE GEIKWNSMLARQSQSLEEAVKVYKDKWNRNPPKGFDEWWHFAENNNVLLPDEYDAIMD SLLPFYGLPIKTLQERLEEVEKIQETFTLIVHDGKVELQWNDEYSRDTWWASRPRADS QINLLEPFIKHIGAFRATFTIHDQPSILLDHARQEELLNAARKGTVSNHANEDDRFEQ VWSKACAKDSPLNKGETELPAADSFINAHGAAMDICQHPAYMENHGMLLEEHNSETHP KPHTKLYPILVPSKTMLNGDIPVTPIGRDGRRDDVGPDPEWTRKSGKLYWRGLATGLN HDKKKGAKWRQSHRERLHFLANDRTDSYTEVLSPVGSTGEAELSRLPLKELGEYYMDV KLAGGHWQCDWDDGTCDEMEKEIEFAGKDNAEKSNQYKYVFDTDGNAWSSRFPRLMAS NNVVVKATVFPEWNTKSLPEWYAYVPSKMDYSDLFSIMSFFRGTPSGRGAHDEVARRI ALNGQCWVERTWRREDLQAYMFRLYLEYARMVSPDRDNGKMDFILPTAGHNTHIPSVN HAGGAVPVAAAVVPPMIDE
I206_04478	MAVREGLGIARSLVLTTQRIRPTLQPFPSPHLPISRLLSTNVGC NRVRAHLPYPTSHSPSQIPCNNASSTISRIRFNSSSAPSSTKSSPNQQTQRQSTSEES KEDETSEKAPSPIPIHPLAPIPPPSATAEITPTASGSGSTKDPKPDAASILKLLSLAK PQWPLLTIGVTCLSISTAVNLSIPWVIGRIIDFFTPGSQATLLLGLPLEQATGALAIV LLIGAAANSGRSITLRLAGQRTVASIRNQTYGKYLALPPSHIETAGVGDALSRLGQDT SIVGQSLSENLGEGLKAILGAGAGIAAMYLISPTLTYVMLCIIPPIAVGTFFYGRFIR KLSLKTQEAMGGMSKLAEERLSAHRTVTASNTQGSERALYASKVDGVYKLQKRETFAN GIFQGANEVAGDIGMIGLLIYGGVLVQRGEITVGDMTSLFIYVNWIEWSLNTLAGFFT GLMKGVGASQRIIGLHALPPPIPLGEGTPIAKSRSGSIELRGVDFAYPSRPDAKVLNG LNLRIDKGERIALVGGSGSGKSSIQLLLLRFYDPTSGSVMFDGQNIKSFVPESWRSRI GIVPQDPILFGGTIVQNIAYGHPNANREEVKLAARVAHCDFIENLPQGYDTIINKNSL SGGQRQRIAIARALVGNPSVLLMDEATSALDSESERAVNAALNDLFANSDITVILIAH RLSSIASADRVVLLDGGAVAEDGSYHDLITRRHGKFRKMVEGQLAKIEIGEPTATDPA PPTEHEALPPPESAVVHASSASESRSVERQGTNASSKERAAIKAPSSHSQRRQNHTSA LQRPFFTSQPAPYSPLIKTVYGAANAPTPPLPDLPIPHITAPAAPLSAYRPLTPLNIK RLLTVYSQLSKRNLTILMTLTATTGLALSPLPLSIPLLLNLTIGTLLTSAAANTFNQI LEIPIDAQTPRTRVRPLCMRKITPFHAFMFGLTCTILGGTILWYGCNPTTAALGIGNL ILYAGIYTPMKRFSVFNTWIGAIVGAITPLMGWTATGGTLWPTSEQPLIFNLPFSGND KLLSSSNNLNLPNPLTPLCLFLILFSWQFPHFNALSHMIRPFYSLSGYPMLSVLSPKL NSLISLRHTIFLTIPFTIILTPLSGCVDWSFTLTSFLPNFLFLKDSLFFYKKTNELNA KKLFFTSLWYLPVILGLMLIHKNLISWFYSFSSKQEEEEDEKEKEKEKIKQKIII
I206_04479	MARRNHKERSQPLHRAKLGLLEKHKDYVHRAKDYKSKQDRIKKL KEKAAFKNKDEFYFGMIKNQTKNGIASADRGNKSLDTDLVKILKSQDLGYIRVQIAKD EKKIRELRTSLEISNSIIGPNGIGSSSEEWDAMAELAEVEKLAEMGIIIKPQDETIKR KGKGKTSVGHVVFANGKEEFEQFGESSGSQQIEENTNEDVIDLGWTEPISSKKKGKEK AVLKTNSEVNVDELAEEARENRMENLILLSSYLSRLKLLRQAENKLEITKSLMGKGSA KKIREDQFIEDDTAPEDENGDRKRFQSKLWKWKLERRR
I206_04480	MSSTLAPNKIHSLPVRVSYYLPSTSQTFKTLFSTPQQVYVHPNT AASSTKHGEEEAWGSIYLKTVVMGVLMASPELHPAYANTPDLSLYVLDPRETYLRRSR AGSSPYISHSRIQAQPLQEVWTGKGLVSWALEEPGQGKNLITGRLIRSLDFANVAKSQ DLSPLEALMMADAAGSSNEEQWGIEISVGLKSGISGPVGLPHSKVSSVTNIDNRPDAM RRSSTSSSTETNVSVKVHPYGPSNNLQRPQHPLPQRHDHVSAQPRRPHLPTPSSSSSA QQPLAPTRVVSASRSTPARPTDMKPSKSSGSISGSGGRKRRSKNTVPPPRNSLGPIDP NSDLLKRKSAAIPPPVKRSAPSSDAAEPFPTDIPAGLFAKPESLTREQAQRLLASPAF LSMLEKLTGAPIDAATAAKRAREGDDDQLEHHKRSKLSTGLGHSRKGSTDSLGETTHN AFVCWNCGRTKSAVWRTKVMDDGKSVRVCNACGLYWNKMGSMRPPTLWGDVDDDPKDR PRKDKKATSSAGPGPSTTAHRQLSIPPTSEPDIPAVRIDKPATRANDHGFKRTLSSVV EEDAKRIAALNHHVHLRKPLPKSNLHQTTKPVPMSSPPRGSTSATKSLREHKWDADQG AASSPVRWTDHNQLRSNTKSDNFHTDPIEPPNNNAQPDQENQTKNVQTLDMPLSDDTP AETQKIQAPHQQQQQQQQQINWGTDLSAFFDVEGFSMPPAHTLDQKTYGISPDVGPPT EFQRALSAEARKMRKQNQSGPSSSGTGHLKSDGTEEDDVLSQLFNRTSSVGPIESSPH PFDFSALPPSSPPVSSMGDLPHSALLLSSPDNSPLNANDFSPMDIKSNTPGKSRLRHS ISANAIQQNQIPQMDYNDFQKMLDNLSTQHTPVGENKENINPSNQLQGNGYDMLNEIF GKLDQNQINQQATSSVGQADDLIGGGNGVGVDDIFAMLEGNAF
I206_04481	MDTRAELKRSENLPVKRKSLIDQGIKLIRSILLDRKWYWHFVAL LLVGETLLGILIIWKIPYTKIDWPAYMQQVEMFLDGERDYSKIEGETGPLVYPALHLY IYTAFYKYLPSIENIRPAQYIFLIFYLLTILLMSTIYYLAGRSNNRIKHYPQILLIPL TLSKRSHSIFLLRLFNDPIAMLIFYSSVVACMLGGKIGWRIGCTLYSLALGVKMNILL FLPGLLVLLFQYRGIKGTIEGIALIATIQVLLPLPYFLSSSYLAKSYFSSAFDFSRQF LYEWTVNWRFIDEKLFLSRERAVLLLAGHLGLIVLFAAFKWSPVYGGTLTVLKNGLSK WSKPAIGYELLPSYHIPLVLFTSNLIGITFARSLHYQFQSWYFHQLPFLLYSGGAWGN LPLGVMIWCMIEYAWEITPATPISSAMLLVGHIIMLCGLVFHGSSRTERLVEKKTD
I206_04482	MSLPGASASSYGLPGRSSSNTISRGYGNIGLGSQDYQSQNYDPT LGGLVDEPGKMNEISTSSSNANNNGGYAYSTTLRRQVSMTEGFPSFHSHHSPRTTSNS LRRDSSPHNASPFRSSHFPLTNGGLNYQDTFEEQESYLDRIIGIGKKVMGKKDYEELR IEEEHKRQEKERRQRETPSSIFAHKTTDETIQMLSTNATSGLPTSSLSALLARYGPNE FELPPSDPLFLKFAKQVYENPLILLLLGSSVVSALMGNYDDAACVVVAVGIVLTVGFV QEQRSEKSLEALNKLVPHYCHLIRNGHPLTPLANALLPGDLVTFSVGDRIPADIRLIT AVSLEIDESALTGETRPARKNTDICERGEGEDTHGEGGGKALGERHCMAFMGTLVRSG HGSGIVVGTGKDTEFGVIFSMMQDVEEKRTPLQLDMDDLAKRLSLFSFGVIGVIFLIG VLQKRDWLEMFTIGVSLAVAAIPEGLPIVTTVTLALGVLRMSKRKAIVKKLPSVEALG SVSVICSDKTGTLTKNEMTVTHMYAVDDLVDLSPLLNALSPFGPKRPDQPELAQSQAL KKTALVGSICNNAFKNEQGVNVGQATEVALLNVLPIIGSDDQRKNFARKSEVPFSSES KTMSVTGSLNGGSDMIYLKGAVEQVIAKCRYFYVTDSSTPSLDQGTQETILDRATEIS SRGLRVIAMAYGFPKGDGNDLIFVGFQAMMDPPRKGVSHAISSLHSAGVQVVMITGDA EPTALAIAKQLGLKVNPSSSSRRGGADHISGASSCMLGSQVDQLSERELIERVPTISV YARTTPRHKMAIVKAWQMRGAVVAMTGDGVNDSPALKMADIGISMGKSGTDVAKEAAD VILVDDDFSSILPAVEEGKSIFYNIQNFLSFQLSTAVAALTLITLSTLFKLTNPLNAM QILFINILMDGPPAQALGVDPVDKEIMKKPPRKKGEHVLSKKLIYRIIFSSTMIVFGT LYIYLKEISDGSMSKRDQTMTFTGFVFLDLISAIQNRGLKCKIFKNKMLFLTITISFL VQLLLIYLPLLQHIFQTESLSLRDLFLLLILSFTSFILHEFRRFYERNYSLEEENNDF YNQGLIGRLV
I206_04483	MSPVATHTPTAPAQPVGGKAKFSTEQIINLEHEYSAHNYHPLPV CFERGEGAHVWDPEGNEYLDFLAAYSAVNQGHCHPDILNTLITQASKLTLSSRAFYSS NLGPFAEKITKMFGFEMVLPMNTGAEAVETAIKLARKWGYEKKGIKEGKAKVLSVEGN FHGRTIGIISMSTDPESRNGFGPFLDNVGPQWDTGLIRYNHPEDLERTLEKYGDEVAA FLVEPIQGEAGIYVPDDGYLAKIHEICKKYNVLLICDEIQTGLARTGKMLCYEWDGIK PDMVILGKALSGGMYPVSCVMASKEIMLCIKPGEHGSTYGGNPLGCAVAMTALDVLVN ENLVERSQKLGELFRSELAKLNSPFIKIIRGRGLFNGVVIDEKASKKGRTAWQLCLLM KSKGLLAKPTHVNIIRFAPPLVISEEDVLKATKIIAQSLEEFDIIDQIPGDEGEEHDT VIELED
I206_04484	MSRSTPKSSYQPDPNGWINTAPSSSSNLSTKRKLTQRPLVSFNH GIATPLSSGYKKSSTPRNAQSGPGPTSTANLRKRLYDQTPTIKSKQGNLNSFLHTPSS TGLLKYKGKTKEQNNESRLPLSQIDSPIPSTGTADGLVFENLNYKSGLGRGSRLKVYR DDFWGSSDNEYEPDLAQEAGRTIDRHRKEKGWMTENRNKDEEQNNDEMRKHQEGNIQV EGHNEVSPGGRGNSQHEMSTTKLLLTAIPKSLPPPAQSTRSHDRTLPAPAVATHPPPT RSHHNSIAAQGSSSLPELTPSLSPEPETEPTQPREKSSSPNMFDRLPDKTPSRPLEIY TSPPPPITPVPEYVLYNHRQMNHRNPSQFAAIPSPWRRQQRPEENEPNGGEPLVAEQS KPGNAKSNKDVSKRDDVDRRVWTAAHENRYKSPVAVEKRLEKKLVELSSSDPPSELAV EIEDDPIVKVPSIVDPVHTPVRRSKMNAKRESRQALTPLKPNQAGNPIKASSTLKKPL RRSPLTPRKRAKRSVVTSLPRTSVVRGERERSISPSPVKTMSRPFLRLSGKSPSPDHQ IPSLPTTPRKAAAEKYHQITPPKSTRPAMAERQETLFILPDPPCQPLFPPKSPPQKGY RSWRPAEMEPETLLDWGLEPEGAEDAIADIQKSPQQEGSQSPEPPLFSPAGSPVREER RPSLGQRWSSKSIEPTAMSQDFPRLFPSSPSENDRSLPPSSPPLNRIAPKVNDGLTPR SASKSKWNHLQRGILASAPSTSLPRDTHSPKIKTSQKKGKSRATDEREQSQLAAFGFF GDRRSKKLKRDFAKKWEDEEDVDVPEDEYALQGETSQDAEVLSAKEGREMSRVPEAPY HPALRPAGVREMERRKERERHKAQDVMNISGMKRKASPAPELEEPPLFDSGMDSSLNI SHTSSSQTSSSRTPGSTKDWWDRLGDRRASEFVTME
I206_04485	MASILRSFKAISPALRRPIVAQKQINFARTFTVSANRSAGHGPP QLLGPGAKAGEVPTDEAQSTGIERFELLGKLEGVDVFDMRPLEITRLGTVAEPIPVYS LYPERQVGCTGYPADSHDTIWLNVNTELKNHRCPECGSVYTLNFQGDEALLHGGGHH
I206_04486	MLSTIRPTLMGTAASIPTRLAFRQFGASLIVAKKKVIDPTLPVP PKGPPSAYTLFFKEFVLNPTNQIKNSEGKLDVKQIATEAGKSWSLINLTDKKNFELKS SNLRKEYEINLKNFWESTTPETRLEIEKLTGKKIKSPGGKKAYKKTIEQRQGNPGKPL TPYFAFAKEIRDSNQINNIPENLTSTEKLGYIAKETGKLWKELTEEAQQKYKDTYAAA KEKWEAWKVTQKDL
I206_04487	MNNNNLKISQNSQDGPSKLSQNITTNGLERSGSRISNALNRIQS TEAYPPPQQDDEIQSISIPLKDNINNNDNKNEKVLKDDNNKEIQIQIQKGLNIDIEHV PVEDDPRDWSNSKKWFALLVVTAGLLGPIMAASIYNPVINELAEELHASEAETGLSLS MYILFQGWTPVVWAMISEVTGRKPVYLTSFAIYIIALIVASRANSMPLLIVMRALQAV GSGAVTALGAGSLADMYEMHERGTKMGLYYGLPMLGPGVAPLLGGALGQAFGWRSIFY FLAAYAGVMMIAFIFFPDSWRRERSRIYQKAISNATKRAEIHSAKKALKKEKKFRKLA KGSENLEDIPATPNETPRPSEEITITNINNTSDNQGNDSVRAIEIDVEKQPGFLKQGE MKVKIGRNWYGKKKIINQEEEELVKLSFRDINPLPTMISVVRKPANSVLLTSSGILFA AQYTIVYTASITLGNAPYNYNSLKIGLVLLAFGIGSILASILGGRYSDLVLKRLKKKN GGVMAAEMRLKATFVAMPFLVLGFLAYAWIAEEKVHIAAIVVSLVISGFALLWIYSST LAYLVDANPGISSSAVSLNSLFRGTMACVMSQIAVPIRNGIGDGGLYTLFAGLLALSC GALVLLAYKGERWRNGQPKSPKKEKEANK
I206_04488	MEPSSPTPYRDSTSLIPSSPLQPGQFRKGHLRSSSRETILKGLS NWRRQAKSTSTSNTGLSIHSDINYNESRQIFKVEKSKSTQGSSSKRLGLGMGMRGTPA KKIKRSSKASIGTMSTPRMRKHSQPDGQSSEQSERSTTASSDALESGEEITSPSISDP ETSRIVPRSYRSDNPTLSSHNATYDMLSSSSTRTARNDYIFTGKDFIIPLNDASSTRH DTSVQSTPHPSEDPAIISEPDSTPRSRFIVMQNQGQVPNNHDLEFSIPLNDITQPSVS RRQQHMGRSSSGSSKIKQRVSTPRKIPGMQSTPSVSASSVDDTDRVGPDADFFINPSP RNDQDIEDDSSIETIRGNKAAVLSPSEMQEDMTFVATRRGQELGQITETGVRETISYN SNRNTDIALGDHNVVNSESHNEVCFEVPHTPPANLSVITPSKEPISSSEAPLTPTDVD DTSYGFGWLQVFVQDKIPRPFRLSSEPDSSLAPTTPSSLTFSSRSEPDVHESGRSDIS SPPSTVSIEMSKGKWRGAEALKRMEGGLYPQPDLEELDPIHNHTSEKEKRRRTGLNKQ GTEMATREKRIPPEQVPKLTPDVMDARQDRIAHYIDLAENYKLNIEYVLW
I206_04489	MGADGGSIPDRRDLVRTKAQAGTTDKSLLRELYVLCALSKKPLN RPVVTDPLGKLYNKDAILEYFIDKSKYGDGEQICGHLKGIKDLINLNLTPNPEYAPPT ATAVSQYTKTPFICPLSMREMSGVIPFIAIKSCGCVFSDAAIRGIIPNLTKGITAKTI ENELTPEQPKSVISEGDNKEIACPNCGKSFDPRPTSAILPINPPKETQDLLLENLLIA RASAKSSKKRKNAEKIDGDSIGKPVTKASKISAEDGKSPIPRVNSPSISANSNGGSGM PRSVQEKLAEQERKRLKAQEGMSEAVKAMFKPKNEGKKSGADEFFGRTFTRYAA
I206_04490	MSQYPNNFNAQAFFGQSQSAPALNGNANANGTASPNPNMNTPSP MMGSIRPSALQNFNNSPVASPTPNRPLQFNSSQLASLTDFHQRQQALLAVQQAQAQAR AQGQNVNVPVGQGQPRPNLQAMQQILHQRLQAQQQQNALQNFLQNANQNQTQNQVITP AQLQAQAQAQIQAQTQTPPLPLGHLVPSTISPQAKPNPTPPKPLTQNPAFNPALLNAA KIAQNTLNISNPSFSLNLSQTLPSGSSAQQSNNIPTKPANIPLTQTFVPPPDAIRPPS PVKTDTKAADKMDKADGGEKKDEKEKEKKKRPKKKKEKKEDEKDKEKADDKEKGKDKD DDKDSNAPTPSAEKPVKPKKPRTEEEKARRAEARRKKIAADREKAAAAAAAAKTNGEG DEGVFSAAPTANDATPSGEGTNGTKVGEEKDAKEPELKPIEPKAVLATPAVAPETRSR RQEGMRGSMRNEIARLMYGAGDVPEPDIDTVDYMEDMVTEFLADLCRPIPPLRPTLTS QPLPVPLSFDVIRHRLKTPAYGKYLERFDHMVYMSEILKQHRRIANPNLNDLVETVGN DYLGLDDPSANPNGLKRSGEGDEEGRTKKRGRPNSQKVLKDKSEKRKPGPQKGWKLNR DPNAPSLKRLIPGMGAIGQKRKYIRKITTPGAGGGVKREGSINI
I206_04491	MAIVEELPSTSNQDMNEQSTTRQRKNKNKSKNQNKKKTSFIEEE EEIPLKRPSQFILEESPNQNQNQNQNQKEKQKPLIDIKLPKNSNLLTINPGELIDENI INNHIEEEEEKNDEIFKTLIIVIPFTFLFLLLNILVHLQFNHRPKLSELFKSCLTALP TLYMIVLYTNRYSNHWLNNSFLMFSSIFSGCRLIWLVNKASWSIVTAQAPPMGTMWIL TIVQLPLSRAVLALLIVGIWIWWQGMKLMP
I206_04492	MKITEKLQKAEKEGRTFWSFEFFPPRTAQGLQNLYDRIERMRNL GPEFIDITWGAGGKNADLTSSLVQVCQETIGIETCMHLCCTEMPKEKVEWALAQAKQH GCQNILALRGDPVAGTSKWEPTPGGFTNAVDLVKHIHENYPGDFCVAVAGFPQGHPET PEGEDAATQEIRWLKEKVDAGAEFIFTQLFYDVNIFFNWVKRVRAAGIEVPIVPGIMP IQNWEKFEKWVARENIIVPQHFYDALKPVKGDDEKVRQVGTKLVAQMCKEILANEEAG IKGLHIYTLNLEKGARMLLQELGLEGRREQIAPLPWRPSLTPHRRAESIRPIFWANRV QSYLSRTDEWDEFPNGRWGDSRSPAYGDLDGYPVSININANDAYNLWGHPETFSDISN LFAKFCRGDLNKLPWSSQPPASETSVIDEQLAKMNELGYLTINSQPAVDGVPSDDKVH GWGPSGGYVYQKAYLEFFVSPELLSPLIRRIERDPRITYYAVNKQGDLRTNTHSEGPN AVTWGVFPGKEIVQPTIVEAVSFIAWKDEAFELGLQWANLYPPSSPSRNLIESTMNTS YLVNIVANDFRDGMSIFEPFMLNQSTVGKVIEGTSQTVNGIYEVAKEGLNGLVENVKQ QINGQSNGNAIATNGH
I206_04493	MDRSNSHTGSPVIGSPHTTNANRRVSSAINNDEDRGLTMREKDR SEPQGSMGKAGGVSSGVTKPKRRLSSLPTLPHPFFSGNNRAMSTNSMNSPPSSPKVTH PHSTYQAMQNHKHEQPTYSRSNPPRLDEKVGMVSYATQMAAASREKEGPPMLWGIELK WISLITLALQNAFLTIIMHYSRISTTPGKTYSAATAVLLNELLKGSISVIIALKRIDT NMINSAPPPVYSEKSNSKDSTRRPSPSTLSLFHPSRLITLRKAVFSPDCYKLSVPAIL YVIQNNLQYVAASNLDVATFQVTYQMKILTTAFFSVLMLRKRLTRLKWASLLMLAIGV GIVQIQSTSAPAPSHTSHIDIGKPHHGDHQLRSEIPHEHVIQDQRIMHPFRGFMAVTL ACMTSGLAGVYFEFILKSSSGSAPPPDLWIRNTQLSLFSLVPAIVPIIVAPAGPEGMG YVGRVMSAFSNFNGWAIGTVLTQTLGGLITALVIRYSDNIMKGFATSLSIVISFLASV ALFSYPITLAFVTGSSIVLAATYIYNSPGTAQEQSSRTKVAVAPGSPITTSAPILGEP ERPSRTSSVINLLGLGGSNANSRKPSSTDLRGQALNFTSSSLSGSGYSASAPGTPYLG GIGTGTGYGGFNSSASNSGRASPNSYLGNPAQISSSGGGGPGTGFGNKPKLNIDTDGK 
I206_04494	MKPSDLNDKLESPALNILETLAKENEIVFRIYTENSNSPLIWTG KSKTSGFSSPNYHLSLLTPKSYKSLFNYSNCNPINYKNDSNENENENEIKWGNKGYKL ISKKNEINQPGIYLQHTILDHILNKSKQTCLLNYLPPTIEETKQGYFQDELTPWISTS NNLFWIIWEIIRILSIDKRKNLNYIENVKLAIIRHPISSTRQSFSQFQSNIPNDISKI HFEQEEETFNFPSEIWIKPTSIRIPITYPGQISLSLKESYEASRKAAFVCGEILFYGR IWAENVICVLEWTRETTPFELPSNLFQSEVNPTTRKSSVKHSGGKEKVPKWIDQLVWD PKIDEYLTALQKVKLNSLNGQWPF
I206_04495	MGKQHDDTNVSSYKAQNDQHETSSSHVPAEVKGRDATSQASHSG DVASHQHDLKKAQNALHEGLKSKDDIENRVESGAQNANT
I206_04496	MTRRSPPSHLIFLPPHIPTPVRGALKYTLPSHPPPLPTFSRVTS CNAHSCGDSQKGTSNINSGAHPYECYISAQEGSKPPWVRSRGIDLAEKELGDNWGLSK PKEVVLYR
I206_04497	MAPSEPSNPAYTAVPNPGVSSPTQADFPSPDPNSNADQAYSALG ERNIRDSSLLSPGTPDPETANLFSRSGSEYMPAPSIMTRDSTYSSLPGTPPLRGDDRK SWGSGVGLAAAAEGIAGAESRRPGSVRAPSNLAHSSLGWNNTTNDRLSVSDEDDEQGH ITPAVAGIGAAEVQNEKPRWAEVGGESPKKKSRKALWAGLLLGLLALIALGVGLGVGL TRKNTKNGTSTSQDGTAGTGNSKSKAGTATGTATSATASATPTTGTQGSLITLEDGST VTYDNPFGGNWHWDEANPFNSSARPNSWTPPLNEQWDFAKDRIYGVNLGGWLNTEPFI VPALYEKYASVNNQTAIDEYTLSQNMGSNLTAAMTEHYETFITERDFIEIASAGLNWI RLPMAFWAIETWENEPYLERVSWTYVLKALKWARKYGIRVNLDLHNVPGSENGWNHSG RQGLPNWMNGPMGLANAQRSLDYVRTLAQFIAQDQYKDVVQMFGFINEPNGNALGMGP IGSFYIEAHNIIRDITGIGAGKGPQLSIHNGFLDTKSWYNFAPGMDRVALDQHNYMVF QDQQTGDLDNLKIQPCQWWASSTNTTFQSYGPINTGEWSAAWNDCGKWVNNVGSGSRY DGTYDGYANKVTGSCDYWNDYTQWNQSTIDALSHFVLGSMDAFQDYFFWTWKIGNSTG DIAQVNPFWNYQLGLQQGWIPKDPRTAIGTCLGDGVAANPFDGTFSNPAVTGGAGAGT IAAADSSSFPWPPRSFTNIAAGDMDAIYQYTQTGNVITMPAPTFTSPGSSATIDAGNG WYNANADSRQAYAAISGCSYPPEYSAATLGVPADACGAGLTQPTKRSEPIQLEKKAPF PAPTTPPSRR
I206_04498	MAGIPVAVAILVGLFSSFIQSLGLTIQRKSHLNNSSLPFEKRKK PIKRPLWLIGFGIYITSNIFSTIFQLDSLPIIILAPLGAISLIYNAILSKFLLKDKFG KNWLIGTSLISLGAILIAIFGVVKEDSNEENHHTLDEILILFKRSTFLIFFSILSFLT FTVIIISHLTVFHVHRQISKIQLPLDPSNESGRSTPVSIVPSNAASPHSNSTSIPFRF TKPRRWSSPTSSSIPLPKSFTPKSILKPKHNQNLHLEIDPPPNCSDQIKTMMKLNEKQ QKKLTLCGLGFASASGTLSGMCLVLAKAAVELLVLTINYFRTNGQQGKNELLRIQTWF LVLGLGICAILQLVYLNYSLTFASPAIICPLAFCFFNLSSIFDGLVFYDQFSKLSTLK IIIVSLGVAILLLGVWVVSAIQPDSAVDIGTWVEEESDTESLLTFEGNEDVISSNHNL NHEHVDPLSPSTSQFPNQIQDGNLISSPTISELGFNRSIFTSPNPNSNSTPTSPMSPN TRRKHHHHHRMRYGSLVSDLPTGAPTGFSIGLGASSPGFALRTGNFSIDGNFHPHPHP IQNNNHSIDIENNSSSSSGEGIKDLWGKRRRFKSKSENQRDLQNIIIQGNNNQTRLLN NHENQEEQEVNNAEENENVVEGELRNWTEVRKRTWWERLFSPFNNGEEQGQGRIRLDD 
I206_04499	MSSLADDEFGDIVKISPPTTSTPLFPSHNDENGFNSQLNAAMDS NESNSAAAVSEIPKEVDTKYDIKPIIKPSSGEGPISILKTSSSPSTPIKKEIKLVIKQ DKLPEQAHQDMNGVFDDTVKKVKIQSDKLQTAAQPYADKTRNFAESRPVLFTFIALWA AFSAIPIFIFLGFALITTLVIMSTAIFFSALIIIGAVLMGGGALIGTLLFGVALLVPI IFITTFLAVGSLTTLLGLFLVHRLYLNIANAAVQEGWTANAIISGIKAWIEETSIRIR SSSPFRKSSSIIGNDKKSKHGHKHHHKLGSSGPNNASTVISTAPREKLQGFGTLEENK TDDQFNIRDEKVKPHEYEGDQQDIQSQASTSTASSRTSHGTALSEKIGHGNIEGIPKL GDLSEKSSHEV
I206_04500	MNQTPETASNLLANPPPELQRILDDPRTTDEARQAVKEVSVVSP PPVQNGLASTPKEPNGTNHSGEGRLQIVNEHQEFTKQLSPYLDKWGLLDKGFAYDVVS VFGSQSTGKSTLLNRLFGTTFDVMDESKRQQTTKGIWMCPSTYGNTLVMDVEGTDGRE RGEDQDFERKSALFSLASTEVLIVNLWEHQIGLYNGANMGLLKTVFEVNLGLFGGGGD SSKPKPQEKTLILFVIRDHVGATPVSNLTATLTQDMEKIWASLSKPSHLADATLSSYF DLSFATLPHKILMPEKFEDEVLELRRRFTDRSRSDYVFQPSYHKRIPADGVGFYMEGI WQQVLTNKDLDLPTQQELLAQFRCDELSAAVTEIFLASSKIVRKPVEAGQVVEGLGAL MKDWLDTALGKFDRDASRYHAGVYQRKRLDLLTGLHASLSSLFLGQLKNLHKIETAKF SKDIVRGTKEVGYDFAKVVNEGSDNARQRFLDGAKEVKIDGTDWEYEHELALLDEDLK SIADRCRADETKKMVNTIERNVKRQLLEPVEVALSQPSVEMWNIVLKTYKQVNEDAEQ SYLTKAKAYNCSEEENNAALLSLRARTWLSLRRKLEEQTSDATILATLRSTFEEKFRY DESGVPRVWKPEDDIESAFTKAKEETLSLLPIFASISPTSSSLLPTLPSPESTFDIES DPIPFDPSTAFVLLSPTKILSIESRFKREADAAYVEAKRSMVSSVAQIPLWMYGILIV LGWNEAMTVLFNPLYFAMILVLGASGYIILQLGLAGPLLQICKTVFYEVKRIATDKLR EAFKELPENQKQQILNTPYLSNTLNDGLKNEERKKGDLINEKMIEK
I206_04501	MASSSSSSNNPFAGLISQNTTIIPSFTLESGVTLQDVPVAYKTW GKLNENGDNCLVICHALTGSADVEDWWGPLLGPDRAFDPTRFFIFCANVIGSPYGTVS SVTINPETGRPFGPEMPGSSPKDDVRLHYIILKSLGVNSVASVIGGSMGGMTCLEWPL NSPPGFVRSIVPLATSARHSAWCISWGEAQRQSIYSDPDYKDGYYFEKQNENGEMIND FHQQPNRGLAAARMAALLTYRSRDSFENRFGRRSDPSSLSDSDFAKAQQKNSVQKDNV TAREIAWREHNDGHRSNSSTGISRRGSDSGKSSNDNKLNNEGDKQPKIFSAQSYLRYQ GDKFTSRFDANCYIHITRKLDNHDLSLPSKDSSLNSISSKLQPQIITTSTTNDQDFNS DNEELELDLENLLSKALSFEPPALIIGIESDGLFTTSEQKELAKFIPDAELIVIPSLD GHDGFLLEFEAINGWIDGFLKRKMPEFFKNRIISIDQYNNNNNKNKNNQNGFEIKKES VFGEAEADVTRW
I206_04502	MINKINVLSHQEHQEVPQPAGLALLFSGYADSAILELIFHLLDL RSKARLLRVSKHCNDIFISSSSLQLSYRQAFHALPASFTDISDDRSSAEKLSSLLETE ERLNHLKPSSMKCIHVPNSFVHAFHSGYMLMGECEQKIPLFVGNSQKGYKFDSWSVWK MESNEKEDQVAKSRGAYKVQGHYRWKFDQGEDTFKTMAMCVEDNVIAITRESNEIPCQ FATEESTASTIHRVYLHPLLPPKIIETPPKGVFHDIMKHPEARLEFIEIRVPARLHLH RIKVEIVAGGRLGVLLISTHTLCPNWLGFWDWKRGVSLGAITPPSSAPKVDDFTFFGT FAIVTSLRYMRYPVEGSSAALNGHSSAPAKQTESATRKTTRGHKVGQRVKQAEPKRAH RPPQKLKYEWRKSWVGCITVYELPGERKSTQDSTAAFRASSKRRNGPSQRSFWEFWKM AQSEPVCSLLTPTFDGGVEPISSHARSNPIDLIIPMMVGENAITLYQCLISPAKIDDA LVRGDRDGVMTYEITGRVNDPIEGYVPLRCQGTINLRFLIAKITMMQSDRISFNGRLS NDRRGQDMLDTMWSDDLSVQRALNRRLGLKNASVIDFDEEGWETDIGEADQAPKAMRY GKGHFKMGRDDADKVNSKKKPSPVLLDSFGRDMCLAGRESKSPQAKYSTIYIPYEEWE GEGFLSLSYLAGPPIAIGTRVIQTDIPWESEGPPSKNPKTIPQTLIIRDYNSNLLHHT ESGLRSGRPLGRRLFSPLKDSRSFGQTPSPPLSESEYKRKYPKSELKEMITHQCKLPK PIALPKIIGPTKIKYPKDRSGEEDRLISTSGLFEMDQMNTTNHLQFKESTILFEWDMK RALQGIEFDSNRLALIMVCRIQYEFNLMRPGDTWASS
I206_04503	MSASSTLTEPPYDADTSVVQAISKKAGKKGVSGLLGGGGGGAKT QKVQKADWSEGFKKKKAAGVPDMTLLSTITNEAINDNLKQRFNNQEIYTYIAHVLISV NPFRDLGIYTEVTLNSYRGKNRLEMTPHVFAIAESAYYRMTTEKENQCVIISGESGAG KTEAAKRIMQYIAAVSGGDGSGGGIESVKEMVLATNPLLESFGCAKTLRNDNSSRHGK YLEIMFNSLGQPVGAQITNYLLEKNRVVGQIEDERDFHIFYQFTKGASAAQKEAFGLQ GPEAYAYTSRSGCLDVKSINDVSDFQETIRAMQIIGLSADEQNSIFRVLATILWLGNV EFVEGDDGNATIADTGVTDFAGYLMEVDPQQLQKVLLTRIMETQRGGRRGSVYEVPQN VAQAGSSRDALSKALYNNLFEWIVSRVNVSMKPQQSPEYVIGVLDIYGFEIFQDNSFE QLCINYVNEKLQQIFIELTLKAEQEEYVNEQIKWTPIKFFDNAVVCSLIEDKRPAGIF ATLNDATATAHADPSAADNSFIQRSNMLATNPNFEARGNKFLIKHYAGDVLYNVAGMT DKNKDTLLKDILELIEGSKDTFLHTLFPEKVDHSNKKRPPTAGDKIKASANALVENLM RCQPHYIRTIKPNQHRSPTEYDDKAILHQIKYLGLQENIRVRRAGFAYRAEFSKMIQR FYLLSPATSYAGDYIWNGDDRSGCERILTDAKISKDEWQMGVTKAFIKNPETLFYLEG ERDRYWHTMASRIQRAWRAYVRRKVEAAIKIQRFWRNQKESLVYARKRDYGHEVLASR KERRRFSLLGMRKFMGDYLDVGGTSPQGEMLRNAAQIGPAETVHFSSRAELLVSKLGR SSKLSPRFLIITDKAVYFVVSASKDGRVTTSLERKIPLVTIKTISMTNLRDDFVALNV PPCEEGDPIFTCVFKTEMMCVILTLTGGNLNVSIGPTIDYLKKKDKRAQIIAKKDESV RGEAQYKSHTIVVGSGEPATSVSNPMPPRKPKAKKAAKAASSSRPTNRPTAKALPGAT KPSAPAAMASMPSAPIATKAAAAAKAPIATGAARAAPSIPGRGAPPPPPPPPAAPAKQ MYKALYAFAGQPGEINLVKGEEVEVKEKDDNGWWMVVKNGQEGWAPSNYLKLIESAPA PPPPPPPAARRAPPAAPALNGSASNASTPPTSRPSSTIGAKPALAPAIKPKPAIPAKP SVGAKPAGLGGKPPVPSAPKIAPSGAGKKPGAVAPPAAAGGQLDLAAVFAKRAQQARG E
I206_04504	MTSLRPIRGDPGIHEVWADNLETEFAALRAAVDQYPFISMDTEF PGIVARPIGNFKTGSDYHFQTMRCNVDMLKIIQLGITLCDENGNSPEISTWQFNFAFN LSDDMYAPDSIDLLKNSGIDFKRNEEEGIDVEYFGELLITSGLVLFDNIKWVSFHSGY DFGYLLKILTCEPLPADETDFFNLLFLWFPCIYDIKHVVRSVKTLRGGLQEIAESLGV ARIGPQHQAGSDSLLTAAVFFRIRSNYFDNNLNDDYYKNYLYGFSSGRHGKISPPVAS TGLIGNEKPY
I206_04505	MPPINGSHSYKDEDDAGQIGVSHPHSRSRVLQANDCALFVQAPG HPLRRGDACLMCRAKKLKCSAQKPVCDQCSKRNDRCVYDAVRPASRVERLERKLAEMD EQDFREALARRASAGFSRFGFDQTNSVIDSSSANSLGQDNGIPNLGYNLLGSHPSSQL PLINGDTTSPNSVDQLLNTDSESLVDINDMPLTAWSWPSTSTTATFDAALLNHSLMSD GALPQLAPSPMSWTAIGTQSNLTGFTSYTNTSSPPFNGFHRMSVPSTASASDPTSRAQ SHDSTTSPTPPQIALNSSPPIPGLDNLSINLSSVHKALDTYQHYALSQPQIRCTNTAE LGKGLSHTSNGHFMTISGEFTWKSVLPQAESTAIEASDMVHSVYTIKKEIDDQERAMK TKELSTSARDYLTMPPRPECGSELFSQEEFKARLALPESQQPHPCLLFSMYTTAASGS YVPAIRKLAEPLFKIAVMKLEAAIRKQDRLLDAIKASKNLSKWLFTKARILEGYQFST KAISLCIACGLHQIPSSVYGPQAVKSTESQSGSSIGPPRDQADLADRIHTFWSAWGIE RGGNLTHSWHSAIKDQDVTTPLPRNKADYLTDALLNEPDITLKDLYDLPHRSDSPAPK SLYTYLLIAVHLIHRSMTLSQQPAESVAASFRSQSVFAKSLDHKIIPSVHHPIACKEI ATTAEWLEANMPEEWGTSFMDNAKWQEPEVFVVALCLKVARMHLHPLDSRNEHQTGLS IAFEASKLIKSLIKYYLKDRTSVHSLSFSPMNHIPFANQHSFTAPDFDSSDENTRVNT PMPNSVFSLPNNSFPIPTNNDFSQTWGYKGFSRDFNGISGPYTLSPSYWVVEKLAGGA RLMESIGRPQDAHTCMTEAHSIINGFRELAVTHDIVGEHVEKLEKLTRSRCC
I206_04506	MASTGTQSPSSEKPDPLVPGGGKQMTQADQRPASSGQNPGPAPG EESTGGASAEALKVAQQSASDIEPESRKDVETA
I206_04507	MTSNAFNTLNQPASPSNLLVNSASPPIQSHANGSIPSGTSRSLR SRPSDAGPSTLPAPSPKVAKRRSPSISASAQGYRAPTPKEINQITRRLGDENVRLKRE ELLLQKEQQLKEVLEGHDDAIREQFHLERFVTMITGWDPKAAKTDNSPVFLDWKDQRH NLLSLLPPSTSSLSTPSQAGPSRPRHSLSARTTRRAAQEQSEILAQVVAPSSHPINGH ALASKSPIKALDPLPTTTPTTARGRGRRRASETASDYVELVQETPVRRKPGPKPKNRP ADIEMLPPPVPVNKAKGSRRATMGESLMATNEVKEEVPAPGGEKGKKRGRMSLPNLPA AKKTRGAKVAIEDSETIVSPTIEISKDSSPQPEPSPTPAPLPSLAHLPFPPPPKRPSE RFGPRTIYYTDPSQKPSAPAKYDGQLAPILESYIHLEDSGNVSDMKILENRAAKDGYY RARVMYLQSHGRLQRLIDEAENEEQLLAGNSSAQKLIHKIPSRKTDHHDSLMAHMVQV RNAMLNEAKLKPVVSKRIARMIQVYWERIEGREERERLTEEKERKRLGKELIKGLRKR WSLAVKIVRAKLLEIQKIEQDRLGKEHLQNMLQRSTGLLESQVTGPDEEEALDSEEEL SDATEDISAAEDSEEEEDEAENTEPNDRDINMELLPTSSPILDPTVDGDQRIDSDDDE DQEDEEEGVDELEDRDQADLRFLVAEGEEDGIAQCDEMQMDVSNGQASSPLIENLAPE QASGGTEADGKPTPPPTSPPRGNYLAKNNPNTDSATGLSPDPLDILPNPVRSPSPDPI DTLSAIEARPDANPLANGHPLPPPPPLMRSRRARGVKVPVAALQDEDPDANDIEYIVA PTSDLDEQDVELDVEMENDSEAVESDSEDEGLLKDADIPIEELLKRYGYPAPARIGAN GIADVGIQRGSPVDGKAPSPEVNGTDQVTHSVAPKEGDLPLRDEAHEIANKTIDQSLL DDRLLDVPVSPPFLIEGKRQRKARSVWSPDHETPQHLTSRKPKIELLDDEDVEMTPEP TSEESEDEEESDSVEEVAEREGLENGDVEVDEGPRVRQPFLLRGTLRPYQQAGLEWLA SLWGNGMNGILADEMGLGKTIQTISLLGHLACDKGIWGQHLIIVPTSVILNWEMEFKK FFPGMKVLTYYGNQKERKEKRVGWLTENSWQVCITSYQIVLADQHIFRRKSWCYLILD EAHNIKNFRSQRWQTLLGFKAQRRLLLTGTPLQNNLMELWSLLYFLMPGGIGADATAV VGFANHREFMEWFSNPMDKAIETGDAMDEETLATVSKLHTLLRPFILRRLKSEVETQL PGKFEHVVYCKLSKRQRFLYDEFMSRSSTKEALTTGGYLGVMNTLMQLRKVCNHPDLF EVRPVRTSFAMDCIAREYEPAEVLLRRRLLADLDEKQTDCFSLGLVITGHEGESAWVC QSRQHLDASHRLPHAIESSIARRGKPPSGPKKDTRTVEGWLKYQAWAHEQASVQRWRS LRDTNKRRCSSQPLYGSTLLDMLGNIPNYFLPLSATPRRNEYFAEYRPPAHNLILSLP ERAKTFEPIIDLFAVIPPNVVARDMTRYALPGLSPFSHPSLTEESFDTLHRSTVKLQI AFPDSSLLQYDCGKLQTLYTMLRDLKAGGHRVLIFTQMTKVLDILEIFLSYNGHRYLR LDGSTKIEDRQVITERFNSDPKYFVFIASSRSGGVGINLTGADTVFFYDSDWNPSMDR QCMDRAHRIGQTREVHIYRFVSSHTVEENMLKKAEQKRMLDHMVIQQGEFNNDWWGRV GWKDMFGDVTDGDDPSNKARTIDEDQGVIDVDVEGTPIAEEVNNLKPRAGQERELARV LAEVEDEEDVQAAKIAQNENELDFQEFDEIPNGVTTKKTTIQNKVSFDIQHSDSGTPR TNTPMIGTEDDVEEADEEDDGIGAVDEYMLHWVEEDWNYFISFRA
I206_04508	MKPFHLSDKPSPVVIQAARNAASSPHAVVNLIRLVKSLEAKVNS EEDDGVVDSMSIKRDWENVMFAKSLLEALQNDNEQSSSTSPTLLQMGKELSNIQKSFQ IRLSTPLPTPPMNPALIALPMTPNPSNPPILERKPSPPLLQSVQKLSTPLSAASVPIN NGVRKRKSRIDEYLMERSKSDLTGSEKGLLPLKVLPVKPKFGGVGNVAGGREALLAGA GPGSGIGSAQLHEELGGQLADMSHRLKLNAVQFSNALENEKSILEDSQNTLENNLTAT KSSKNHLSTVSSKGRSTTCLTLGVVILVMVLFVWTYMLIRFT
I206_04509	MQNKAEQDRNQEATVYLGNLDEKCSDALIWELMLQAGPVSNVFL PKDRISMSHQGFGFCEFLTEADAEYAVKIMNQIKLYGKPIRVNKASYDKKQLDVGANL FVGSLDPQVDENTLADTFGTFGQLSEPPKIARDPTTGLSKGYAFIAYNDFEAADMAIE NMNGQFFGGRQISVQYAFKKDGKGERHGSQAERLLAAQAKKHQLIPGSSTPAYQYQGQ FAGALANSQSSMQSAQMPSYPPPPPAGIPVYQPQNQQPAYPGYPPQAPQGYPSNGYSN GAAPNGYAPPPPPPSGFPVAGQMPQQMYGMPPQQAQAGGAPPPPPIRMGFNGQSLEFA K
I206_04510	MASICLRPTRSSSNLIRRSLNTSTKLSNSEIIYNNVQIPSDPSS STSTSTSSSSFHNKSQIKNRKTFEFLYGPESNWKKPKIPSKPATPPKKRSKVDPEAYK NLPPLENTTVKERLVDYSVAEGQSNERRAYFSTDSSQEIGEDWLEAEIGEDQIGLESG RVVECRRSGHTMIGLILASITLAGKQRLLILRSSGEIWPISSHDVQFVMPSSLISPSL ASQCWSPELLEALAQSESKSGLGEESVQPSPEMLSARRKVAMVLRKVHRETEKMCGRL TAGTIVNGGESGGVEAVWNHFAPIEGKDENVRTSITAIQAAEYILNPKNPQSSEIAKK IEIKPNTLPAYAAHTLLMRRPDLFTADQGDMWLSGNFIVRSRSERRLLQTVQQGVDGS LNMKSSEGADAELMAFVKKAKKVVTLAQSIRDKTAENDLQEEKDDLPDWSKKDQEFIS ILLSPLVETRSTQVPPSFPLALSIARLFIPNGDEAVDRGALARLLSDLGVILPWDSLE MSKLTETENRSMIMSNISGSSVRGEEDLLKGNELDSLREDFTSHRVYVIDDPTASELD DGIALERIPNSDDVWVHIHVADPTRYIPPNHPLAQQASVRGSSTYLPEGNKPLFPLEV IMKELSLGADVKDNHGAQGTMTFSAKLNLKGEVDETKVRMGWIKKPRVVTYQSVDRAL NLPTSKSTRPFDGPSRFVEEPSDNKSNIEEADIEDLQSLLELARSHRARRYANAGFEW SLPSATVNLLNKPSSPAANLFDSSSLPGSPRIFSGSMSLDYKVSQGLLGGLNAAGVVA EFMIIAGRIAAAFCSERDIPVIYRGSIAPKPLTPEAGTIENLLSLRENGTGQIDPLKM MGNDWYRPSGFIDIKPIQHWIMGFDKLDQGYIRSTSPLRRFDDFLIHYQIKSYLFKQS NLSSSSTTTIMNKGFNKEEIINLIKRSEEGIKRTKRSSINSNKYWQIKKFQQNLLFNN VSENKKEEEKLVDLKNDLFLAKIIGSSENSSNLLGDLTPILIDSLGIQSKLLHPTTQK NKNWKIGEQVKCKFLNLQDWPNPTTIFQLVE
I206_04511	MSFFHIVIVAAVVAAIAAVAWFVMPKGKNQTLLRTAVLLTLTCC YLMWAITYLAQLHPLIKPRRSDLRSEY
I206_04512	MKLFFIGSTGYTLFLMKVKFRPTHDASLDTFKLTYLLPPVAILA LLFNYSYSPFELTWAFSIWLESVAILPQLFMLQRTGEAETITTHYLAALGLYRGLYIP NWMYRYFTEGAFDPIAVVAGLIQTGIYADFGYIYVTKVLRGQKFELPA
I206_04513	MIQRPRPTLPTTPSVNGLYPISILSTKTLSVVLQRSCSYINQEQ GGSIRCKFKSTSKPSIVSQEIDSISLHNSIPVASRIYGLPFLSLYPLLYYAYYHKYDE WVVSEEWTFIYCVLLFAGHALSFLITAWSSGFNAKVSYTTANSLQEASKIRVIPSKGR GKGEIVPLDSKLAPGATEPTYSFIYQRDTYVLDSTTNSFTPIPYPCDSSPPLSTFQSS KGILTHPPTSAKNKQVPNLEVLKSTYGPNECHIPIPRFTELFAEHAVAPFFVFQIFCV ALWCLDEYWYYSLFTAFMLVVFECTVVFQRVRTLTEFRTMSITPYPVQTLRDGKWVEV ISSELVPGDLVSVLRTKPDSGIPCDLLLLRGTCIVNEAMLSGESTPLLKESVELREGS DKLDMNGADRNSVLFSGTKALQVEKAGEGGVTTPDGGCLAVVLRTGFGTTQGQLVRTM IFSTERVSANTFEAFLFIGFLLIFAIAASSYVWVNGLKRGMAKGKLLLDCVLIITSVV PPELPMELSLAVNASLVALQKYAIFCTEPFRIPFAGRVDVCCFDKTGTITGENLVVEG IAGVNSDDPKKLVPVTESSKNTTLTLAAAHALVLLEDGTIVGDPMEKTTLSALDWKLT KGDNISPNSKEAPHKHQINVRRRYQFSSALKRMSTISAVSDSQGRKWIAAVKGAPETL KAMYTTVPDYYEETYRWYTRRGSRVLALGIKEMNVRPEQINTIQRDEVESKLTFAGFL VFHCPLKPDAVETLKMLNDSSHRCIMITGDNPLTAVHVARDVEIVDRETMILDLKEGT TTDELVWKNVDETIVIPVKATEPFDQKLFDQYDICITGAALKQYEDLPSWKELCKHTW VYARVSPSQKEFILSTLRDLGYITLMAGDGTNDVGALKKAHIGVALLDGSPEDLKAIA EHHKMERMKKVYEQQVKISARFNQPPPPPPPALRDAYPELVKTQQEVADKHKGARKQN PMEKFDMATITAKLSELDEDTEVPQIKLGDASCAAPFTSKLSNVSAITNIIRQGRCTL VATIQMYKILALNCLITAYSLSVQYLDGIKFGDYQVTITGMLMSVCFLCISRAKPVEK LSKERPLGNIFNFYVLLSVLLQFAVHIVALVYITGLSKSLEDRGEVDLEKKFEPTLLN TAIYLLGLSQQVSTFVLNFQGRPFREGIRENPPLYWGLLGVSAVAYSGATDFFPELNR WLQLVEMTSSFKFKLTTSMVLDFVGCWAIEKSCKALFASLEPAELVTRGRERREKRRI EEERLRVEEEKTKLLTEVEKKAQ
I206_04514	MSMTLLVRYNNSPDKKYSTSTSIRSLHNSPPISLRPTYIYATSP NSEASTSINSSQPKRYTPLQPPNSKNIHTAVKVNMPFHAEPLRPNKEIDLDLEHSIAA QKFPDIKAVLQGNRWWAKEVTQKQPEVWAEQVKGQAPNFLWIGCADSRVPEVTIMARK PGEVFVQRNVANQFQPQDDASQALLNYAIMNVGVNHVIVVGHTGCGGCIAAFDLPKPT EGEQFCDPATPLTRFLDPIIRLKHSLPEGSDVNDLIRENVKLSVKNVVNSPTIQNAWE KSRKGEFRKVYVHGWLYDLSTGLLIDLNISQGPEPIVPDEAKPATNGRA
I206_04515	MTENIQHNPNFKIETYNIPPTDLIPNSGWPLIVYREYYNFEDED LSIKFFDLLLKNEWQPKWIYQYGNSQRSHYHPTSHEVMLVLSGEAKIKFGSGDLTSNI NESLKKGKFELGGIILNAKKGDIFLLPAGLSHKTFNTKPLNSFKLLTPNGTKTMTLES KKKLKEIKEINKEKGFIMMGGYPFNFLPGKFSVGGEGALEFSKVWSIPKPNFDPILGN SILGLNYLWKGNNAFPITNTKINNENENETLPKDKLDYLIPFPEINSWRADLVNIDSL EEKI
I206_04516	MPRTRSEMKEDDEIDIKITPPTTSSPSTKVKTTTTKKTPKIRTP PPSGLRQRIKNTVDEISKDAEELHVRLKKKDDGHYKLLAPGTPFNEIDLSESTFFRHG DHLKRKTWKSWLFGRKFFFPAGVILGVCLALWAVDLTGLPADMKDIWTTLPAALDPRD LLANLTVVEGARKALENRDFTVGESLVEQYGLHKHHPIILIPGIVSTGLESWGTETVA RSFFRKRLWGTSTMIRAVLTNKERWIQALSIDPETGLDPPGFKIRAAQGLDAAVTDIW IIGYWIWQKIVENLATLGYDTNSMDMAAYDWRLAYYNLETRDAYFTRLKYKIEVMRRH NGQKVVLCSHSLTNNARNSLKWVESNPEENGFGGGGGAAWVEENIDSWVNVAGTMLGV SKAMTAFLSGEMRDTVELHPAGSWVLEKFFSRRERAKLFRRWPGSTSMWMKGGNRMWG NHSAAPDDPENATDTHGRFFSFRHPGTSEKEETLTKWTVSPNLTLNEAGPYVLTHTPP NFQRMMESNYSVGFEGNVEQLKKNDRDHTKWSNPLEVRLPEAPSMKIYCLYGHGKETE RSYWYMQGEYEQDDSRSDAENEDAFCDSSDPSNGCDNSTSLRVPLDFPLSRKHWIDSA VSVKGSKPEVRSGVKFGDGDGTIPVVSLGSMCLKGWNGTTKWNPAGIPVVTQEYLHSP ESLDLRGGAQTADHVDILGATPLNTAILKIAAGRGDLITQQIGSKIFEYIKKQDWD
I206_04517	MRIAIVGTGVSGISALWLLNEFSEHEVNIYEKDDRPGGHTNTVE FKREGKEPVQVDTGFIVCNPPTYPNFLRFLKYLNIPLLKTEMTFSVTRDRGAFEWAGE GLGGVFCQLSNLFNPRLYRMLFDIIRFNLFSTDLLNQEYNKREISIGEYLEKEGYSNG FRDDYLMPMTGAIWSTPANQAALDFPASTLIRFFHNHHLLQITGKPKWLTVKGGSKRY LDAVLSKLPKENLHLNTEINAIESHENGVNLVEVSGQRHLYDHVILATHSDTTLKLLR NGGGLTEDEEKALGPWKWSKNEAILHYDEKLMPIRRKAYSAWNYLTLTETDENKPQTR TTESEIETVSLTYSMNILQHIPEDKHGLVLVTLNPPFPPDESKVVGRYHYEHPMMTRE SVDAQSLLPSIQNKRGISFAGAWTKYGFHEDGFTSSMKLLIQSPFNVKPPFQPLPASR GLPGNTISILFARLIVNLLEMARRKLQPAWYWVRWVTVIFLVWFDQVLSAIGWKEGKK EVERLKGIWVGNDVEAKKKR
I206_04518	MSSIPQVQVAGHSVGRMGYGLMQLTWNPKPPAEEDSFKAMKAAA DAGATCWSTATFYGPDFANIRLIANFFKAYPEYKEKIVLVVKGGTEYTTLAPKGNDID FLRSDIAKTQEILGDKKIDVYSLARLPEGTVEEVFEILEKLRKEGLFTAVGASEMGVA SLEKAQKITPIAIIEIEVSLFSFEPAIQEVVKWSKSNKVPIFAYSPLGRGFLTRTYKS PEDIPEGDFKKMVPRFQGEAFYQNLKLVDKLDEIASKKGVNTSQLALAWIIGLSDYTI PIPGSSNPKRAVENTQAASITLTDEENKTISDILSTFEVQGTRYPGAAMGHLMK
I206_04519	MLLFMLPHLMFFVIIFNQISAAPASASSTEVEEGTKGVDRDCVL ACSHWSVTMGYCRGEYDDFSQQQNLQYANDFLSCLCIGTNSTGEIGYEFMIESTNYCL GCKSTPLKIKDNLKDFANLCQIKIENGTAWNAINFMPIGYSTSNDKSKDAITSFGIQL FKISQKIWFIQNIIIIMIFLVFTTLITL
I206_04520	MLVEQTQKGLEQGDRSASISRTNVNDNQGEDVEWSNASSSPKNS NFSPPSSSFEFSFPAVNQTPNRRRQAPPTSIPNFPSSHEPRNPPPTVPFSPSPTYSPM VSSPFRSPDPPATGPASRIPSGFSIPLSQPFATPSGGSGVTSPWHSLAATSGAPTPSL ESGPMGRASPHLGYPFERLNIGGSWSGANLWGEGSLDRRGSADSTGAGGGLGSSPGKG SLQLSSPPPINLPPPGPSRGLSAETLLRYKAMATPGSGPMPTAMAKGASQGNPMDNRA SSSRASSNEPATLSPNLPQGLARRRGSLPKAALGVSSLSLPPSRAGKSPSPLASTSTN SSPGAGAGTSGKRLQPISAKSLVPLLSSPSTLVLDVRPPSLFHDSHIPWSHSLPIPST LLRRPAFNIEKLAGMLQPKSTEAILRWREKGDIVLVDSDSGSVADGSVLDGLSSKFER EGYTGHLWFVKGGHSALTHGEVRLVAEDEDVEIENLQAESKAGLSKGLMAGQLSTLAF KQGKAFLPLKQEADSVPSCAESTGPSKGRKAPPTGLAMPATPGFSLKNNPFAISMPAA QTSTTTERPGNLSARDLTLSDMPSPKKAKFQPANPFFDNIRQNLELSHGGITERIPLN LPDQVMNRVEDLPVFLKDLATMPEKQSMDQLAKQFYDLELNEQKRLQGVMEWHSKGSG GLLGDRAGRESWADKRHHDAEEVQRLTNWNGEEATFQEDYFPFSITAGVERGTKNRYK NIWPYDFSRVRLERPPDCDSDYINASYVQPRGTSRRYIATQGPLDATYHDFWTLVWEQ GVTVIVMITKQFEGGLIKCGNYWESSTYGNLKLQMISQSGGEDHAYQAPTTGFDFGSA AVTPQSSSFPTGKEKNIKRVFRLSNLEQPTEPPRTIIQVQCIGWPDFDVPETPDTLLN LIKDVDLAVEESDSTGRADRSDRPPVLVHCSAGVGRTGSFIVIDAILDALRRDLRRRR ESTDSSSGFEQADTEKPFSFPALQVPVAVGHSDSGKAVSFLTPQASGDVSNTDLQRSV LTSSPNPIDATEDSNASLTEDALAQNNAGRTGSGEVGEEMDIDESPADPPPDRFFEHR GSVSTDFSSEAGGGTRRPSLASTKHSSDLLPLEARHARNAAANDKDSNVPMPIIRSDT KHRSPSPITEMPNPVASVLEGMRVQRMSLVQSLRQYLFVHRAIIHSYLHILDEENGHH GTTNDRSRSRSKSLSAGLSGSSFNTTKSNSTVGSTGTYGTEDESHIKRRASPTELEIE KPNPEISSSSSPLIRHLEESSLGSGSSLTKRPSFKKMRPAMDSLASGSSASGTSSISN GNSSVTSNSDILSNASPSSNPSALLTSPKKGGSLRSKSKLGMDDGASKTFNFPSGSKG DEKL
I206_04521	MAGETSSVRRFDVDFKKIPGTISVTSTHIAWVPKEPGAMDRQNQ AMERAVNMLASKAGSKQISLKILFRDDIPSNGLLFVFSNAQTREDDRKSVQDILIPFV AANKNPQPAGGQPHTSINGTTSETITPSTSAAQAVLQAKGKRKLDEMADISSPSTPNN SNTPGGTILSPAAKAQRKREYKLRQKVLEKNPTLRMLHRELVIGKQITEEEFWDGRES LIQAEEMAYAQKPGRASRLLDDRFDLDAGRKGKGTGGTGVGIKQNDNGPIILKLSKEL TREIFEEFPVVQDAYAKYVPGISETEFWSRYFTSQLWERHRASVRKSAVDESARKKDD IFDQYLEEPDWNMQPRQTLPDDVERFLDLAATEEDHGESITVRDVTMQAGRERSALPL IRRFNDHSKKLLRANDSNRDQSLLMDSPYGSGIDLYNEIDLADLHGPAQDTTITLDVQ EAGDMADKQGQDGDGGVLPGKTEEELHTIASKSTAILSNFNPDFGAVCLPNPGFHTTN PDGTASEEMNPAYEAFAEQRDAQAAAYHVIKDLHSRANAEVAVNIPIPEQLNEQMKSC HNAATEFLRQYWSAILPSQSQLNSSNIHQSKENKAIKMAGYLKLTENKVKAIIETSVI MGFDPERVKKAMAPTVGAVQIALAREAKRTKKV
I206_04522	MTEILILFIICSTVNSTTSTSTVVSVVSSSKSPEQSSSVTTSTT MTKSQTANVVTVTSTNSPPTTISAAAAVQSTSSPELLSTSTIHASASTTSAKSSSTTG ASSDAQPKFIIGGISSIIFALSTILML
I206_04523	MRFSTILTVLPFVAVVIASPLIARQDTAPACATNCFDSVDQFDT CQQGDIQCYCTNEMITASIRSCLSASCTTANATEAISYGEAYCASHGASLGGSSSSSS SGAAASSSTATSTSSVQSTISSKTSSTDLSTSISSATAQASTSSSASKTASSTSAEYV TTSVLPCISTSTFVSSSNGTSYPIPTFAPNTTVTTNITSTAIHTSTFVAQNIPSP
I206_04524	MSRSPLLSDAASPGMSRISQSSFNSNTSMLNQNQNGYSQNTLTS RSISSSTSRFGPTASLGGLGGGINGTTTNSSNNQLNGRFTNEELSNNHDTDHEDDGMD DDLHTFTNEESKNVDYNSNLNFNSWRGWANALTLGFIAFAIVGIFALYPILDFFFFRN GNSSGINTSGYNLGGINSTGQYPSIPGLPKLIDQDTPENVKSRIGFDGEPWSLVFSDE FNKDGRTFFPGDDPFWTAVDLHYWGTKDFEWLDPSAVTTKDGNLVIAMTQEPIHDLNL KSGQIQSWNQLCFNKNAYIEVSASLPGQSNIGGFWPGIWTMGNLGRPGYGASTDGHYT YDSCDVGTLANQTYVNGTGPIATLTTGENGGPLSYLPGQRLSACTCPGEDHPGPDVSV GRAAPEIDIVEAQIRISVAHGEVSQSNQVAPYDDHYQFNNRSGMVEIYDKDVTMWNSY LGGYYQQAVSGLSLLPDRIYRNQVVGGRSGEFATFGVEWSAYPEAREKGYIAWVSDNK RTWTMYADAVDANPRTEIGRRIVSEEPMAMVCSTSICLLSNGFQFVDWANMIFPNYLL VDYIRVYQKDDGSGSIGCDPPDMPTKDYIERHKEVYTNPNLTTWAGAGKQI
I206_04525	MPAPWQIGGQKVLVDLGDYRNQPVFSEDDNEDVSSMQDPSKKLE THPIDPLAEPRCTIQTVPETTIVTPFTHPNTLASTSPPIDGSLRFDFEVFAGIQRLAG SFLSKYPEWQRNIYKTIYTADPDIRVQLPEYLEIKDIQEILYHLPKILFKGNSATQRS TIPIAYDYDIHTAVIRLLAHNVPALPKMKDPYNTPIQRPGRRRHYFDTITLHFGPYSV QRDGVLIDPERVHLRWVHECLSWVVCEVLEGEGHPQRILQLLDAQVGLLLELKDINGI RMVTRARIDCADQGCPLHCDYTRIFTGIIAIVVVTAERACLQAYRSSSWEELDKRRKV FYEALKVAAKLLDCNSVNLSRTLERLNNPTNPTDYGVIQKEHDDIEREFLRPEGAYWQ LSEKSSGRAGILPCNELFSIPKEEMKNSEALKRWEGFVGDDYYRDEPIRIPEMYLTDL DTMLKGSVKRVRGIW
I206_04526	MPRAGSGTSSRTKFLPCITYQTLFGGKPIHYHIERSNNNIAEWR ELEECESISCRAIITNCHCGFVYRLDAIENYIKKENPNLLQDGDLKLLSRTEDCQYCS NKCSRCHQKKKLENEKFHIYKGVEKNLCPAHSRMGDLDKHEAQKADDIKKAEKIFIRL LANPTEVSRIYGQGAYNAYMTFSKLCNDAHAKSQPRPNVLFWVTDYLTAQNGYNASRG SIKAATNASLPISSTEGIIKAEINELEAGRLEPDWAKREAGGRVDWTNPRLTDFEVMT AFDIYNSSLPHVLDDNSGLFNSINQQLHAHRSTSDPTMSSNGTESYCHERLST
I206_04527	MNANYASDASSSYSTPSPSSTFRKFSEEPLPQYSLSSDFAHLVE EPGMNVVIARKVAKLQDSLPNLSPSNLRQAKADMMYLLSILGGEDMDVAQSKADSVFN APL
I206_04528	MPNSQTNSFNGAYPTENEDKTRLEEIDTQFKEEEEKNKNGENQD NTHILVGTSNFALPNAFVQGTNPPLTARAYQLSRGYSHLVKSPGKHREVIIEKVGNLE SALAQLGSDDLKQAKADIVYLKAILDDEGEDIAQIKAGANWASRDA
I206_04529	MSRPILSRVISPLVRNYATKSTTTGNPTLNIPTDSRSEILKQVL YPIDSYSPNSSSPTGTYHHDHLNRIQNVIPSKEIHETIERAFQLYQRNLRIKRKNSLK IKFNEMLKACNELELITNKENDLYHRRIYEIAISENKQSERKGDESLKNQKGKKTIEQ RWKETRIKGLIPREAWIPVESRGKGWDYDWRRPGH
I206_04530	MACVRTSLRLNRNQYKSILPGTQTFAHSNPIISFRQASSTPRIS SWKPVARSISNSSVAFDKMKCILIKDGTGPADNLYLGEEKTPEPGKGEASVKAFGLNR MDILQREGKYPLPPQASKTILGVEFSGVVDKLGEGAKLWKEGDEVYGLAYGGAYAEYI VNPETMLLPKPKEFDWVEAAGIPEVWMTATQALLVETPLKEGQNVLIHAGASGVGIAA IQIALHVIGAGKVFTTCGSDEKVEFLKGLGHSDRLHVFNYKTQDFAEELKKHAPGVDL IIDFIGKQYWQQNISSLNLDGKMLYLAFMSGAAFPEGANLAPILGKRLTIKGSTLRSR KSEYQHDLLEVFKEKVLPKILDKELQVKIYKTYPWTDVIQAHKDMEGNKNSGKVR
I206_04531	MSQKTKATSKRLYLTRHAQAEHNVASDYTIADAPLTYLGKTQSK ELNEVTKDTFQKTAELLVSSPLRRPMETMLLGYPELKDRLEKEGKPVILLDTLQEVEA YPCDTPTYPISKLKASNNGIFSSLDFSTLSEGYASKSGIYNPKNASERARRVRNWLRD REENEIVVVAHGDILRYIADNQQSSRPWGNAEVKIFTFAAEDDENASVVEVEEPEEPK DATDEPTSSEMKN
I206_04532	MTISQRSSNKVFHQWSSSHERSSPSNAEPLMPITPRHHNVSHTS HKNRFGDVKGYYHPESNLKSDNRAIKVPGLNDLHPTNTPGSPSQIDPFGSQVNLPSPI PPHHSLKPSRPPSTVLSSDGSPLPESNNQAVTPRITVASPSTSTIHYLFPSSDTRTGI HLTNNSTTTASTAIVVEATSNGGTSDVKLKRGYSLTRGNSLKIKTRTHSPTESNLNHR DIPGLTNKRSLPTPNLKQQEVLTGSNKTAQMNRGGLKRSDISNPYPSLSDEARDYSKG YYDVGPEQDTMGTLRRQPGMEDLSGKSRLGITERSELKENLGGIRRRESIILKEIENR RDKRGWEIGHNKAIEQKEMSSMYSKPKTSVSKDQDRKQREKPNIPPITIIQQRRRSQS LSSPRPAPCPPIDPARSPLIPAPDLGDPLSLSVVMPSPSYSHLDYSPAGSSACSTNSL SRSISKRGIAWGKRASVIFPVPSSIRMSRGDTRKDLGDYDSAKLKVKQDYENRTAAHG VSNGKLGRIASIKRTVSLRKKDQQDSQPIRRARPRSQSLTENRILKSLDEAPPLPSPS MMKRGYVPYGQEYASSAYTGELSLDFGDRYYQDNKSTTTLEMELTSPRSVNTSYFPGS TPTSSDFNHIKGRNAIRIVGKPLHPALNNRSDDSLSISAPSTVGLGFSPVGTPVSLPG SLLEEMIDLTDTGLGDRRVSSPMIESVITPPTPQNQGTLPAPGLLLTHIGFDDSSEDI AASTPGSQSQRIPRRSVLLAQNRFYIPPPANSSKLSKPKQEPDLGERPFLRSSKSMGQ GLMKRAYTSGNLKVDANKQSSCQSTEEGKPHGSSGLLKSASIRSSTQSFSKLSDDQHK NGKERQYGTERISSTHSMKFKSRPLTTFSICSPPDAIDPLPYTSPYCAEGRNTPSTSQ RRKSLRSNKSSPFLSASNSLRVIFGKEGFVARCLSQAFEKDQSNDRNQSPQHTNPNKR ERSFSPEMTKEELKMKISSPLEASTVSSRRNESPVYHQESSKRALSPQYEQAREIGRN ADKKWRESVLQEALTLSISNSSLNRLANESELENIDMPRMVSSGSKNRLAIPGQLLSA PTITINNNNERDTDPIHHDTSDGYAGIGQSMLQSQNSLESSLNLRAIMEVENWDLIPP TRTLGVVGKEESLVSAPSMYSNNASTLYEKPSSSSKRLVGKGFPTSFSIADLTKRNTS KSKNIYPTKQQDGDLENTLNANNISQPIPILTPMNYNSSLTKTFDLQPSYMIKTDQTD THEETRNAGSIWNNKSSFLKNRSSSRSNTPDPANISRSVSPIQSQSTQTQTPDGSSAW KLSLKSSIKQKRSGISSNDLLQDKTQPIHPKQTENGVLNELLTRDQIHPQSNNNEVVD GNIRETNKLNKVLAWRNEVDENENLAQLDQKIRGFVSDERERVRGIGRKSIEG
I206_04533	MRISILTCLGLVAAAHAKRLQDVFTPKDMLAAPRPQPAIASPGG KHAISVVDQWDPKTDKTHRSVYLLSLNTTQTLSPVSLLNTTSSETSQLVWLDASSFAY LNGSSLFSYSIDVRTASQSALLDRTKEILTFPEGVNPTDLTYEAKSGTLAFSGQIWES SGDFVDTAKYDEKWERRGDSALVYDELFVRHWDEWRTPGKVWTVGLTKIAKDAGVWAS SSSGKEQTFVNILKDTGLYSQMDPVGSFTLTAGYLAVAIKAPHLNYATHTREDIYLVA LPGQSASSSPRHLTPHSHGAISALTFSPNGKQLAWLEMQKDGYESDKRVIVVHEEGKG TRRWTEDWDRSPNSVTWSHNSDSLYFTAEHHGRVLPYHLTHPGHLPTPLYFNGTTTSI TPLANHTILLSQQSLTSPSDDFILTIPRRQTGQAGDAGDQDGDKLPKDALKRLTAWSA AYIKDKLEGLEGEEFWFEGAEGKEVMGWAVKPRGWEAGQKRAWPLAFLIHGGPQGAWE DSWSTRWNPAVFASQGYFVVAINPTGSTGYGQDFTDAIQGDWGGKPFKDLLAGYQAAL SRYPEIDPDRTAGLGASYGGYMVNWINGHNDHFGFKALVCHDGVFDTVTTYFSTEEVW FPTQDFAGTPLTHRANYERWSPVNHAIEWTTPELVIQGGKDYRLENSQGLGAFTALQT QGVPSRFVYFPDENHWVLKPHNSLKWHHEVFRWLDEWVGRAHEEASAEELVNLGLDFV VQA
I206_04534	MSLGAPQLPSPAPTPGSGLGMVSQPSPDGPPDQSALRTELESQL LRLTQDLYELEICAGDVGPGMEDAVPKYLMKVNQGFINLERIAGQLGDSVPHQIVDNI DRYKNPHVFTKNTLTRAVGENQYALGRVLGLESFRRQLHDALKDEFPDVPLPGRRHEP DIPAIVQNDNETLSDGYSRSTQVNGDVDVKVEEDNGLPDGNAKSGPQ
I206_04535	MSFAYLTATPGLSYNYSLYAIPAGWLIAMVPLWWAAPIANKVSK GSYDNANPKDSWANIDSKPIPLQLKGRIKRAIAAENNTHTNLPLFAAALVAANAAHVD TSSLHFYAGLWLISRIAYTFAYIFIEDRKKSAIRSALFGVGVLSVFALVIKAANKYSS VPW
I206_04536	MSYAYLASTPGLKFNYSLFSVPAGWVVAMSPLWWAIGAANSAAP GTYQNANPGESWSKLDTAPVPQQLKKRIKRAVAANNNNHVNLPLFAAGLAAANASHVD SSSLHLYSTGFLLSRVAYNLCYVLIEDGTFNVFCNISDLEIAYPPFGISLESKSWFRT IFYWTGVGSCFALYIKAALQYRSMPW
I206_04537	MSFIGLNLTNNLSLYAVPVAWVAAMAPHFYAISVYNAERAPGTA EWDNRDPRGNIGKVKEAKLSPNAQGKFIRAEAAQENAFQNLPLFAAAVLAGNFARLSP SVLNTTSALYLFFRVAYTIVYINNTSPFLANFRSVTFLAGVATWMSLFIRAGNKLL
I206_04538	MTIFAPSVPSLLFDQHASPQYSTFEILDVDEAEHFEHQAEIPHT GSSDGFDEMVLATVPHFAIIENTFRTVSTMWKECYRYITSSAISQRQSSRVFPADLF
I206_04539	MLVGTLLAILPALATATVIPRASARFGRLHPHDNQNLCVAAASQ TAGAAVSFVDCHKDVDSASPLELWNITTSAEFVNNWISLQSDPTLCLEGTSSAQAGGS LVLATCSTSKTGTQKIGRSREGFMSFSNANCLHKKSDSEVDIQTCWTMASDLKFYPS
I206_04540	MIATVFLSLLPLLALGSSSTGPHRFHSRQSSASKIQPIPQDGQG ESNLCVTVMGGQAVVGAKINIATCFEHSDQEGNDKTYLQDFACSEDNTGGLLNIALQS APHLCIDRGSDDSPEGSGLELQECINPPVPAQLWNYQNQQIISHIGDIDRCLDVQEDS GRYLKEGEPYASEKFLQTWTCVEGNTNQMWKLTPAQ
I206_04541	MFVKALFGLLPFLSSALAVMMISPVASEGELCLTVKDKQAIAGA KVQLEPCLVEADSSISKSKYQQWNDINKNGEGLQQIVLANSGDKLCLDKGADDSEFGS GLTIENCGNPKPTPAQLWLYREQQWLMTSFDDESRWRCLDAELESTANDSQKDRNVKD VRTYTCGATSNQSWDIQ
I206_04542	MTISSHRDFLNDILLKQRNRRKLIDPEYNFPVPHNLSRKSQDDS SAVQDQHDAQEEEENGVISKDNVLNYIKEEETIRNDYCNWFGKSNELPSNYILGSKDE EICEEYPALKKLMNLKSNLVKNHSHQPLLLNLPFSSFSPSFSFNSILSSSLGNNNKFD VILINLLNSLTWEEISNLPIRQISSDPGFIFLWCGKGNEQGLERGRECFAKWGFRRAE DIVWVKTNKSNSTFQKDDLWEENEQKEKDQKRKVENGSLFSSQKYHCLMGIRGTVRRS TDTRFVHCNVDTDVMVWECDDESTSPAFPPYLYTLIENFCLGTRRLELFPTNPIPRRG WVTACLESPNPLEFDNEVQIFDPVTYPSLIPESDGKPILPYHTEIDTLRPKSPQRRPR NLPGGAIPGGGGGRPNSIPNSGFRPQNRQMYNQRNQQPQQMQSHMGDMGMQGFNQFNI LGGGGNQMNPNQMMMQQMAMMNMGMGLPMPMPMPMGMGMGMIPFGQPQFPNMGMGLGM NMGMNNGQPGGFCQPQMNMPMGMGNMAFGFDPNQMNMNMGGYNQQNQSQSQSQSQGQG QGQGQGQGQGQGGDQTQQSDMGTGMGWQGNWQWI
I206_04543	MKVDLAVTEARLCNDLFHIQPLSHTITTTVASKSICKPDDSLTP PSGNSRSSSPSGGQGGQPRIVSGSLKDRIAKFNNPSAPPPVPRAHFARQEPSISRGGL VGNRIPSLDPKTAGMINSGRDHPNEKRRISENRGLIGNRIPSMGNQNNVVLLQNQSTG SSGTGTNTPSSATIPKPSTTFAAPGARSASPSGSIGSSAAGTFESSNSPITSRSSSPP TSPGAGLPPSLVAASLPVLDGTATPSSTRAEQGDNVSELSLSVPSTPIATGTPPLPAP EYDLVASNLKLATANASAANPMIRGLSAQSSASKNFAPSISSSLATQSRGSEEETSMM QDVSGVSTPIGTPRAARRELGEGSVVGEGSVVGEGSVMGDNDVEELGNKLEKLEVKKE DTEAAEPTSEPPKDKSVRQQMFDNLPEEAVVADEGSSLADGPADDASNESVNGDETEG EAQSQPTINVSDSSADPNVSSDLDDLKAGKLSSAPKSELNSDKSHDKKEDPNLAPDLA DLKAGRLSPAPVSEPNPADKRSEEQPDSYHSKDKHDPNQAADLDDLKAGKLGAAGDEN RQESGPPDQPSRDIGLSIPANAMAGDTYTQGIAEHPIAEDEVKEQGGEAGQHMDDVET ADQVIEPGETENQDISNYDGGINETEETVYVGPGEMEAKEGGAKKNIAGSTQWFESEY KQKHIERGSSHEQQNTESNNAYQQDEPKNGDPDLINPTYKGDDSKSAKEDPYKPEFKP PTLQEAGKPISQLDNDPQARKDQEEKNPTKRPIEPEHYISPQEKDEKDEQKEQDMDAE EVTSSAADDVDNGERTQATEVEAPPTPLSANPAGVQTSEEEDKSSPSSARTETPSTPK PNTLHIGEGLQTESDQPPVTAIGAEATEDQITSRSADEPKQLHIEPAPIAQPASAESA ESIDKSSHTDQEGVESQKEGVDESTIGSGAKTPSFPDPPTADPDVEDPITSPGELEIP NSEGGHSGFATPIDGQFLKSFPDVPDEDKPRVQVHVSSPVTTPQKPSAATAHTPTDSI THNPSDVDLDLPENIKNVKKNYIERKGPGDGEAIEIPDDFPSTPVANIPGKSKPLREG LSVDKTNTPEASSRKASEQEDLDATPQQQFRSSGSLSKRLSARRSPKSPLLDDEDPGD FEPGEEGWAVVTK
I206_04544	MGLQRTPPNRSQPLPSVSPRPLIPPNEIEDETPRIEIDEEKAVE ADKTTISNISDSKAFVPRHPGVMRTPPGDQADLDNNLTERIEHVDERPIRPAPTPSPI PDSPKQLPEVDDQPMILDDTASNEVEDENEAENIVENAMQIDEPSPEKPIKSRKEDDA HQSITPPTGSLSAQAGPSNIHPPTTPSSQPSIPKTPRSRRKTMEPLPSPPRPQALQEE EYEFGRRYQLTMETLERAVKAGAQRWTTEHLKGCFPLLTKELGRPMEDVCFSASQTMR SNILVHAHSHLDHYKVGPALRAIDEVDKEARDYLRANPPDSESGKLGRPDAWRPDVTP NALVASSVLPIYDEAYAKLREEYLEMRNYCSEKYKSIVEKQNQLNEIENGVADGVIDL EKTIEILDDLPVEDMMIWTESTETKLETRAPEQVQ
I206_04545	MSSIQQFLMNPANQEWLAILKGARNGLVYGVKIRFPHALVMTLL FSHKPWPAKIKGIINATRTHATNLCKFVTIYKLMLLLQKKLNGGKERDLDTFIAGGLG GFWVFGERTAINEQIVLYVMSRVILAFLPRLYENEIGGKSFKSPISPLNHPLPSLNSI QSNPKPIPPANLPFTIISTLSWAGVMYLFRHRGERIQPGMNNSMRYLYHDSEVWTNLK TLLWHNK
I206_04546	MAPRKNKEAASWEVDADKPKPEGETRIRRAYCVKDLVTQPAPGI DTVHDVMLYAAKTHGSKKGFASRDIEKIISEEKEVTKMVGGKPTKQKKTWNYFKLSAF DWMSYDEALQRVKEIGAGLRALKADDKREGDKFFNIYGQTSRNWMLVAQACAFNAVPI ATAYDSLGPDGLEHSLNETEVYGMFTNADLLGTLVKVIEQCKSVKLIVYDGKSEDGAV EKVKAIRDDIKLIHLDEVIKIGREKPVESIPAKAEDVYCCMYTSGSTGTPKGVLLTHR NVVSAIGSVWTLLYEYLTTKDTYLAFLPLAHILEFVVENSFIFAGLPIGYGRVKTLTD ASVRECKGDIAELRPSILVGVPAVWELIRKGILSKVDTAGSLKKSIFNFALGAKQTAN KYGLPLVGGLTDKIVFDGVRAQTGGRLKIMFNGGGAVSKSTQAFLCTALVTMIQGYGL TESTAMACILNPAFMQYGAVGGPVPAAEIKLVDSAEAGYFSTNELPQGEIYVRGPAIF KGYYKRPDLDEESFTKDGWFKTGDVGQWNKDGTLSIIDRLKNLVKLAGGEYIAIEHLE SIYKSCPLVANGAIIANGEHNNPAMVVVAHPTNLPAFAKKNGLGDGEDLEHLTKDERV VDAALKELNAVGKKAGLKGLELLEAIVLVDDEWTPESGFLTAAQTKVDLSALRRQDQG RIPLNGAKHSEDLLRMRYTAYTTRYVGLY
I206_04547	MLSFGLFLALIPLIYRVKAQDQASNGTSPAEGQNGAPDLSQYPQ WITNDYQCVIGCLSGFNDTITIIPQPDLENAAFTCASTNCAGDGTGNYYQTLYYIQLF YATGSIYEWSDSAPDGYKHATFSNGDEVQSSASVAQATASDPWSVDVAEKTGGAGIVE PSAVSATSGVSAISVASGTASASAGVNNGGSDTISSTSDEGSTGSHTGSSAKPSGTNG TSNGTADRNSSSGALPLVIAGSGVMGLPTLVGMVFGVGVAVVGGVFTGL
I206_04548	MEYPATPSTPPTPSSLDLEAEVNKLSLNSELQNDQLDQAQVLDE AELEKFRNQWRAEVKAKKTDGVAPDTKGKEVEVGPVRWKSKAASPSTSTTENTHPPTN PKSNLREQEPRPGANYSGGPDKASSPEQFTIRPLPSNKKASTSPSSSKKPLNNALNFK PGQTVDLDKLDSQLTSTTFTPFKPVAVSKAARYIGSIAPDEYGIGGNDKERAISIYTK AVESEQSGKLNEALLLYRKAFKLDDDVDRSYARSIKAKAEAETHRQEQQKHLAVEDRD DFSPSSIDIISPTPPQAEPYSFARHIQIDPDYEKAHPIQSSSSSSKSKNTVSPLSPLT KLFDSLDTPVQNLVFIPEEEELPCPILKLPNELFEPILNRLDVTSIERFGSSCWKARW LTHISHTWRNIAEKIYKPPAMLPPPPPQLQVVGVRDLVIRHRNEWRTTVVEEERIRMD GCYIAVCHYIRPGAGDEWVTVPFAHFVVIAVTYHRFLRFYPDGNVISFLTTDHPSEIV PSLRPSIRGKGLHFGRWRLLRSDSSEFDENPNIVKLPKEEIGGKRSARVIITDLLEPG NASPKYEFEMELLLKSTGRGRWNKLEILEYRSINLLTGEVLALALKHQKPFYFSKVRS YNPPF
I206_04549	MGLMDMVKDAMPGSMGQSGNQNQGQGQGQGQGDQSDYSSQGNDD YNQGKGGNMGMSGGNNDNNDNFNYDNNNQGSSFDSSRGSDSGMGSTTNTFSGGGFGSG GGGGGGMNDPANTGRFAGDDAGMGMGNTGYGRGNANDGSGGMMGNTGQSGYGGGMGQS DGTGMGNMGGMGDNQDFNQTSGRGGMTGDDFDNSGSGNMGGSGMGQTQGYDQSQSDY
I206_04550	MTSQIPDERTVVIITGANSGIGYQTALSLIRSVDKGYTIFIGAR SKSKAEEAIFTLKKEKEVKLDLSNLIPLVIDLESDESIKNCYDYVKSKTNIVDVLVNN AGSSFDKIGLEMGLTPRQIFQKTFEINITGTHLLTEIFLPLLIKSKNGKILFITSGSS SLNRTEDLNFSLNKSPSSGWPKKIENDDGIGMMGGFLAYKTSKLGLNMIMREWFRILK NDKNLKVFGINPGTVLTNLGGDKEQLKKWGAKDPSTSGEFIKSVIQGDRDKDVGKIVN PPGAPTGDILPW
I206_04551	MTMLFSSTIAFALSTTFSWADRVCSPEACLNGKASSQFLAQDGS TSKYLIPGTYSDTSLHPSSTLLNITTISNAITVSYPTTPIGYENGLYEGTQDLWDNGN WSMDAWKSLYLPSKWYGLLEDGKVIWGAIPDKGQLPNDMTGLKLSKAASAACDPPCSS HGVCIPSNATDGNQCQCATGWTGASCDQCATGFWGRTCSSGPKNCTIWDDGMSGTGAC LGTATSSSTSCDCNHGTCTSSNQCGCSAGWSTNATMSSSLCNNCAEGFFATADGDCSA CPLGCDTCSLHAGTNATATCTSCSSTLSLSVASPATCIASRGSCADGTYYDDTTSSCA DCSPACSTCTGPSTSDCLSCASPRVNLQGSCVFYDAATGVCDSGLSKLQGVYVVNLEK SKCDACPSGCLHCNIPSFANTASFDTLKCSACQEGYLLEDGKCLKKCDDGRYLPEGSA AKNGTCQKCDSPCSTCVSTSTTCTSCSSPLFASGGSCISDCPTSTTPLNGTCIPCAAD CSTCSSTTQCSTCPPSRPVLSNGKCIEYCPKDQYFDFIHGCQACDWRCSSCSANDAKS CASCADGYTLQKGECIASSCGEGGFASGLGVCLSEFIDKSRKNYFGFFALAILLLGGG VGGFYLYVRRERRKTRKATKEFGDVLDERNVQDNLRKLRLERVLGLERILTSDTAHDS GAKGYEEKKNKRFRELLLPSKKRRMDIEHEIEMKSTNFALDRTTYGYGAPPPPYVPSE TSTLSPQNAKHRNSRFSSPSATLQKRDSLDSIPTPVLPSFISSPIQRSFDYSHRKVEA KQSNGIPTVHSMSTPISPEYTNISLMPPPRPGMSRMNTQEKENEVNRDRDATGEFEME RRLRDLWPNLRRKDEGWI
I206_04552	MTSLFLGQPDDTPLPEPISGRAYLSKLHKYLQINASRLSPSSPS RSTPTILQQSYTLLTLGLDPSSAPLSRSLKVPLTLGFGQPSTSQRRIPPKIIKPLLLR LPPDRILYLLLRWQSLPQGLNHVGRTDVPIEEGVSVAARGARMDERNRGVEGDVKSVM SWVGSMRSVSMGSLVSRNTSSGWFGRKEEINEDQILLQLYSMFTYLPALLIHPPFVTE PPILDLIEAGGYTQLGGIDVRVPLDVMRNLNTLELEGYDPRALLIPANLGMETLTVRD VQDGDEWIEELLMVSPEEDAHRPRTIEADESTPSMKARFPNLRNLSLISTTLLTLPQL PLTHLKYLDLSNNLLDSLPSSLSSLTSLIALNLSNNVIVSLRSAGSILPNITSLNLAG NRIDCLIGLDQCLTLQRLDIRRNELNEYDEIGRLAVLPDIKEIYTTGNPFSDYSTGGS AEEWRIELGVLFKESNRQKEIILDNIEFTWNELRKIDQLLDKKGVIDRVHQKVYSPSP SHSHFHSRESSDSTTNNANKTSSQPHVKKDLENDKYGSISSIYQQRIVTQSSSSKSPS SSAAAIAKKKSKRRVINLDDANLSQEDEGKRTLEGSLRGSLRVPGNVIEEVEEGEGND NKLEERLDIINIGNKNGNGNIEMLDNGIANGPSGDSMKATDEQDTDKALKLPHSVKVV SSKRRNNRKGLKKDTFDPIS
I206_04553	MGTPYNNASSSLSRYPSTHTNSSFLNHVYLDFDHPIPESPTISV DSSSPFISELTTATSDQTELSRITSHGNDEYEDLEDTQACLRKNHHTSLSAVGGGTKP LRVKKKKGVTAVKVGHSSSELIASTIPFKLKKEDNSQCSFSFSFSEAKKRRSTLSFPH SPFTRESYSPIMHYRGNTNGPKRNLSTTSDQSNISRTHANISRIFLSMQTFNSPSPTN ENINFDIERMKLERIFSNSSLHLGLGKFDEFDPMVKPGPSPPNSPQPSPIKRSFISTS PGLIVDDYRSKSLPNPPSPLTPSRAPRKAAALLGASTIHQQPKIRNNNRIHGLNTKHF RPLPNSTLNEIEKFFGDLPKKPSKIPSGLKAKPKMKSTGDEGGIGDRNVGQGEIVKYK AEDGSMWLDVEEEQEFAWLLSEIFALHPQPLPNLTKINSNELESFDGEDKWEMENFTS ILSLPKPKTIKSDEPKSIRRIKENDNSFLNLETAKPTRGYKTTISENKFQSNPWSFNG EENMKHKRSISNPISSIPTIDKLSISPPMPTLIPPPRISSKSNIATNERLSTSMPSNK QFIQSENEFSSGFSSDSSVESILSNSPPSFGRKTKTRPPPLTLKRIKPSSKLPILTAT SPQNQIIKQSTHQPRIISTNGTSQIKSDTIKENQNPKDDIPNTPFIRPRIAPKPVHND NDSFPPVPNLPLILPKDIKDSKVNEEPISFFEPITPIQPNSSQNRINLSNGMMKEKKS WLKRVVKSVKS
I206_04554	MSTQQFTSMFSTKLSSAYNQLRGDLGAPQTATETIEKLVDRINT SAAVEDRRTAVLGLKGLSRDWKEDVGTVAITPLIAVLEHDAPFDTEIAKAALETLMQL CETAEKPAKDDLGLKFTDIFLEQPKPLHSLLALLSNSPSFYPRFYALQYLSQLLASRP AVAQSYIMSAPPPGVDGILAVLDPAPPPGSQPQAGQAQLGGGASEMLRNEALLLLPGM LNGNADLQKIVAFSGAFERLFQIIDSEGGVEGGIVVQDALTVIGCLLRFNVSNQNYFR ELSLIPSIPRIVGFPSPLPADVPTPDEFALQYWPEQKIYNTGLVLGLIRMLIGGPGGS NQTAMVTGGVTRCLVELSLASNAPNGIKSQALNTLTPILLSSTANQDLLSSLLISPLM AIHADEEHPNGGFVRIPNKPTAVALVTAVIEGDSSAGGRGLRGRAAGVNMFEAYVSGN DDARIGILSSMTAPPLDNPNANFPDQPQSAGSLILSGLLDLPQHLNEPFDPYRSLFSC LLLSHLIRNSEHAKKLARDITIPSGDSGDSAIADDDDKVSLVQLVVGNLMMASREQTE CVNRAAREGVTGGLPEEEDWTRVMVGYLVLLCTWLWDSPKTVKEFLNESANLQVLIQP ITQATGIDPLVQGLSAFLLGVCYEFNREPGEITRATLHPILHSRIGPDQFVSRMARLR EDPRFRAVQPDAFETEGPDAIAAATADGDTEEIDEGLELWFDWAFVDFWKNHYYTIQR SIAIDPDAVRGSGPVDDGETAAIIMSLRQKLKAQTDEVVQLQSKLEALTKENKQEKDA LVNEVDSLSSQIATLSNQLQETTNARSILGDQLTTLKNEFESLKEVASSAESTKSELD KISTELTSLKEVHEKASSELSLAKASSKSRETKLKDLEAKIKDLEDKAKSVSASTTGT SEEDTKALGEKSAEIEGLKKDLEDAKAKLELAEQASSKQKELEEKLQISDEKATTLKK KAEETEEKSKEAEKKIEELESKLKAAETSSGDGGSGQQAGSGKQAKKRAAELDNKVKD LEKALEDEKRQREEESKEHEDLLVLLDELTAKRKADKKKMKEQGLEVSEGEDEDEEEE EE
I206_04555	MSWLTMQNFEGILIDHQGKKQGLTTREMFSKSFDVNVIGTHIII ETLLRTSMSSSKIAENPIPYFNISPPSGWPKETCSKDFWAYKSTKLALIMIM
I206_04556	MSDLKAVRRYAAQHDLFNRLHSISADEQFVRDVAHNWFDGRFEV VPNQRCGNWYCDPSTSSKAYAYFKSTDGHMTQWDFNLRRSNLNLAKYAEDHGGLILVD STRRGKRMPDGLSKTVPIWCCVINLALELRRDLSVDDDWDSELYLPPKVVSPSEKAQI EERLDVWAEMLEKSSLSLPNLSKPLRPFFIHPSTSTPPVIPSNPPYTPIICLSASRWA NDLESDDIPSVTRLGDSGMSVGFDYVPGAGDDDELWARGLKPTLFHANKADLLQAERD DLPTLVDDLITSWSMSKLTTAESRTTASNSMEGIPGSSSRLAVDLGTPISPTGWDQAG RSYLRIRVIEYEKYPRDLPRLVKIPRGKFPEESVLIMGNPKTEAKAFEQSLAEIVRHI QQSAEPMILVHGSKDDVDRILKYSETQVDKQEELNLILDNPPPPTVEGRRLILPILLA VISSLANLEMTNAKNGNSEQLVKQVLTKSDIANKLHGLVSQWPDGNPPRSALKRVNEF LMSDGKKQGQF
I206_04557	MSQIHRQTSLNAEGSGLQQTRATEIRSQLDKLGLQLTRFGLAHD EAQPRYALDSIRDDDRARFTDFHRDQVVNTLINKPVIKLCGDSVSLELTQQDADWIPT IHVDLSNLGPMINKYTSSDNATAEEQFCLNTASTFRRSLPSHLLQCMRQKDFLSLNEA SVRLCLGHTLADIVRDDDDYHKKEGEIRSKLEVMWSEGSQSQINLEKAYLLAHGEKHA GYIRSEGKGFNPDAGMYELRQSNALLPTFSPAELNDHLTTVTKRGDEAEAARDARKEQ GLTRSWDEWGRSRVSNLTEDRKREIEEQMALREKQFEGSLDSAITSCVSQQGYLKDNE WQSKGNLFSIFYTPGLGYSSIDKTGLGGRIVKFDPNVDVKVKYWKRRDGPNDNESWRQ VTYVPSMDYYQSQIEKAKDFSVQDLLKQV
I206_04558	MNTDTASHQRNTDSVRDARRKMLRELLTALEDAGNDYSGVYSQW RPLPTHIAVISKDPNRFTNEDHLEGLATLYTHQLTTKKMENMVSCTMDTGTDGQPLPV ININTDHLAFLETKYQDTDSQGASTIPDRLYRKIVNRIKDNMFDSWNQTTCKTYAPFR TEQAARCAFKSVYDMIREVPGLSKFENQRLSDEWASTWSKTLEAIEPIENACYFHNPE KRIDAGMVIYPENAAFPSEGQSGIETLSLDQKITPEYLKGRIEARRQMTEVERAGALR RKATGKYSQHDLAQPTKLTDELRSRQAERLTSYAELQSQAIERTLSRNEGVSSEEYYT QADLATAIRFPTDESIPLPVGHVRPKGPEIPFDSEIRINFWHTDKRQSATDSFKYFQE DINSLNESLEAEISAAVKTRH
I206_04559	MKHKFTFEAQFYSPVSRPFTADEAASLGATVVYDTLQECPEIET SVKALSCKWAATKSETREDIEPLERRCIFGPRVVTASSIPSKERYHLSIVYDRIEDSR PDIVQERKKALQRKDIGRFPNSDAHCKMTETWTEAETTMDELQISYRTPSLSKAQSEA IARNVSRNSHISPEKYRTDADVATAIAFPEADSKVASKIPLHLVISVNFWESPDRLSA EEGLAYFEETVEILDKSLEETNDVRPRTGMS
I206_04560	MAHLRESHAVVIHCDTDYIRAVHGIQEFINRPSTTLRACYAVPS GSSSKLDENTNGDVAMDGESSATPAPVVESTTRSGWLIGEELSNAEKEEGFREKYELR WPFRSNKSVDDWEGREFVLLHLYTLLGLTASSNSSPLLFIPPPTSSFPLSLQASYTQL AFETLNTPVFSLLPSPIASLYALGATTGIIVHVGSTETSIFVITDSVVRWECSTTVQV GELDCQAFLEGLLLEDEFLDKELKAASGKEELSTDEKLKLVKEVSNVVWNECTGEDLE VPLYKGGNKVVTSGAGTGVVGAEKEDDSFDVAKKLVGDNAPAAPNHSHKSKKQQAAIA AAAAKSAQAAADAAAAAAALPQPIDVIVINVPSLPGKEIQLGPVRHRLCEPLLLGKET GGDTIWEGVGRAIESASLSLGEKLSLWDGVGIVGELARIKSFSPALTTYLSPYLLSSN DLTSDCQPSKIRLLTIPEYFANFRNSTTELAPFLGGSLVGKVAFLDSQGKHSITKVDY NSKGPAAIYDVSIEAQ
I206_04561	MSTHEERYLTEPPPLGNEIRFEIYYGVSSPWALLGAPEAERIAT KYGLKIHLKPIVVVEENGGIRLKTRHPARQAYHALDLYRTSKYLNIPLKSFPKYYPQP AGTIEIAGQSIIRIQLKFGIGSIEALKFSYEIQKCIWITEQADHSKLETLKQIGKQIG FDEDTISNCIIDERDDEDDQGVKEWRKNHEEAVELGIFGTPNYVINGEIFWGQDRLNF VEMKIKELIEAGAKPVEYR
I206_04562	MVSGILHPATPSRSVLEAFINRHQYLLELERKAEEEQTRLLNSK CSPKLLEQRGLALGGLGCSNISVGLGGKSLIELNRPSAYHTSPLLPPHTFRSGDPVRI ETHISSSATNKTKGKKKDGEDENAVEGIIYKISQEKVVVAVDEKKEIDLPERLRLLKL ANTVTFDRMDKTLLHLKRIILPEEGSSTPNIPNFPLINSLLGIQLPSWSDEITPPIKQ HEENIQKESDVTWFDENLNDSQKAAIKFCLKANDVACIHGPPGTGKTHTLIELIFQFL SRPANPNTTQPPRILITTPSNLALDNLLLRLHALSQLPTYSDLLPPGSILRLGHPTRV HKDLIGETLDYRAANGDEGELLKDVGSELQGHLSDLGKKRGEKGAVKGKERGKKWEEI RELRKEYRQREGKVVTSVVSRAQVVLATCHSAGSRQLNNIIFDVAIVDEATQAIEAVC WVPILKAKKLILAGDPQQLPPTIMSKEDKSLKPVEGLAEELNGIDVKSHTTLKPPKTL ETTLFERLESLYGEGIKRVLQIQYRMNEIIASFPSDTLYSSALISHSSVARRTLLDLP VIKDSEVGTTEEGKDVLEPVAVFFDTAGCEFYERTEGNDEMGVTKKEKGSLGEGSKSN ENEAVVVSKWARKLIGLGINPVDIGIVTPYQAQVSLISSMLHEEFPEMTIGSVDGLQG QEREAIILSLVRSNPTGEVGFLGEYRRLNVAMTRAKRQLCVVGDSSTVGKGSKYLKKW MEWLENEADVRYAGDEAI
I206_04563	MPPHTASPGPSKIIPASLSHFVIFNPTIKPDIPRTDNKDEDDDI KEAAQILFYTSREAGGVSRDKMLRQVGLAKGLMGFANMLVDSTDKYTSIHGNRSRMLI YTPESDFYIYVCINLSHLDNEKSDPITHSQGISDHNLIDGLARGYEDFRLLNGPLRSH QIPSAALSDTLDRYFTRFAFQFESTYLTSPSLSDWVGGYPRSSIPEDTFENYRSPVKG SLLIVGPDGPLYQDDEADPALVRYMHNLVKATIPPPALPSVPAKEDRQTLGFGLNLGI GRRTQTSRTGSWTTLGGWVPELRRVSTPSRSSTPPVVPKDETPKRSEEEAKSKWGFGL GGLSDAVGNVGTVFGLGKSTTTPIRSEDNVQKDSLIIIKNEQAPSESENLAVDTSPVP HHVEQSLIAVAELEDAVEPDEDIEWEGRNLWIKIGGKDDYEKRRSCWIIRNNILVAIA FPLHAPPPYNLPSAKATIKLFSKLSKIISVEDRPIHQNTCIALIGQDRISEGEFDTIS DQSLIQLKSTLESPPFVTEILAKSTTSRFLVAKKTEQQSLYMKIGAEDASLTDADYAV RTFSRTHAPPSGV
I206_04564	MASERLMKEYPDTGSSISPIVVDLELDQSISQAFKTVNRLTDRI DVLINNAGIELDTNGPDQGLNPRQIFDKTYTTNVTGPHILTTTFIPLLLKSENPRLLF LTSGTASFELSTNSEFILNKSPIKGWPKPKQRELFSYKSSKVALNMIMRDWYRVLKED GVKVWTVNPGLVVTSLGGDPEILKKLGAGDPAGSGEFVVSVVEGKRDKDVGKTVQRDW LYGKILPF
I206_04565	MRFPQLFAIFITTFLLFISITNAFPFLPAENIDYDLDERLNGVD FMNRREGEKLSRREILNNMSNAQRMKRNLPLRKPGHMFDARLGPRAPAASEVPKKRDS L
I206_04566	MEKMLTELNAACKARNLYVPHSPIFTVKCQSTNVSYLQAPLPTR ARGGAQYQAAKASESDTSPTSSSTYAPIPPTPSYPTYASVTQPKSSASVSTLSSYHDH DPPTSLTFPPSATISNPPNSIFPLLNVEPAASTSNHKSLDAVLPRALRLEILSLFYRC VYPLMPVPHKTTFMADIHSRREELAGQGEWIAMVFSILAFTLVQMPHHLVSITKNEIR ELVEKLSHKVKLCLLEDHHTISLERMITVYCIGTVHNNLGRHMQSRALHGSNVVHCLQ LGLNEESTYASMDPITAESYRRLFWAIYCSDRSAACSENGNLLFNEDEINVAFPKDLD DQFITRSAFHEPPIGHASIMRGFTESCRLFSMGGNMLSKRMHDRSRPPSGYALRGRIN ELDDILQGIESLLLDCQPEMRLGWTDMGLLATHLEGGFADAVPDMLRDLITTSHADST PFLVHTIIPVLDLAVSGRSIPDPLSRAAEACSHTACFTTISATIRGTQQAKGLGRSAS DITWNTIRNSRYQLVPRHQQDPFGLGIPAHKSFSSSRVRAEQRISTGRSEYGVAMRLP SSDGHYRTHVFVGG
I206_04567	MRASAYTSWLDQILQAFSYSLFLLLLVPLRHFAANAFHGKAAIG EVAITWFILLLVIACSATLGLSATGMIVAIERDWITEIAHGDPTVLTRLNTYMRRIDL LSRLVAPLVVSLTTSLGGYPLATGALLGFAILSYGVEYLWIKVVYNHFPVLERNKRII PNGLDAGQGEQQEAEQQPQSLSERLQSEKDDWLEFIRLPIFFSSTSMALIHLTTLSYD GTFISYIKAARGWDDTIIASMRGLCLMTGLIGTAVMPLLERKVGLERAGAWSIWFEVG CLAPVALALFYGTGRYGEHGPVWNSVILFGGIAISRIGLWSFDLCQLKELQLALDDHP RRNQLTARQISLQNLFDLLKYVLTLWASSPSCFKWTALVSWLAIVSGAVSYAVYLRSV RGHLLHICGRKVL
I206_04568	MTAAVASLPPAIHASFQALKIQPAKARRIFDTPYEPNLKWWTTN QEEYVKPSNDPLPKGFPEQIVSKSVWDGKVLINQPEKWLYVFSEEDVELVNKAYDHFQ SLNITNNEIDQMTFPVPEDSSLYKALKGIPYELNHGLGLRVLRGLPVDEWTRSKQISV FAGISAYVSPRRIANNGNNIVHLRWLSKVRPTVTKVSRMEVGYMTDAKGHNSLLVFHN DGSLGIISLFTLGVAETGGLSQLASVGQTYNYFAENRRDILRELAKGDWKNRYQPTGT CQHCRPLIHPVGNDQVISVYSRRPYFGFYGADEDVEPLPKEKHLALDAIHFTAEKFSL DLDLQKGDLEYVNNVTVYHARTSSEDSEKNQRHLIRLWLDNEEQTLPEILVDEFRKPR EGQGWPLEAWDSLDEVVHGTKQ
I206_04569	MSQPCEGCNCGRAEQAQVPTAGIGPRQLRSFTSPADSNPNSTEG IEPAIPLRSKKWFNDPSDLGMSALYTERYLNQGLTVPELQPKNRPIIGIAQTGSDLSP CNKYHVELAKRVRDGIIAAGATPLEFPCHPIQETGKRPTASLDRNLSYLSLVEVLFGY PLDGVVLLTGCDKTTPALLMAAATVNIPAIAMNVGPMLNGYSGQKLIGSGGVVWESRA QLAAGEITEAEFLHHVALSAPSAGHCNTIGTASTMNAMAEALGMALPGSASIPAVYRE RGACAYATGLRIVQLVREDVKPSDIMTKAAFENAIACCATIGGSTNAPIHLNAIAKHV GVELNCDDWERVGYHLPLILNVQPAGQWLCEEYHRAGGLPAVIAELLEHEALPNPDAL TVSGKSIGDNCRGELSQDRRVITPFDKPLMAEAGFLHLKGSLFDSGIMKTSVISPAFR EQYLSNPDDPMAFEGPVAVFDGPEDYHHRIDQSPNISPGTILIMRGAGPVGYPGAAEV VNMIPPGELIKKGIELPCIGDGRQSGTSGSPSILNASPEAATGGMLGYLKDGDRVRID LLKRTADVLLSPTEIEERKKSMGDYQYPKSQTPWQEIYRGSVSELSEGMVIKSAVKYQ RLAQTQGIPRQNH
I206_04570	MPSASTSSFAPAGSSSPSDTPPTGKNQRKRSGCLTCRLRKKKCD EGKPNCGACKRLGLDCMGYETKRPDWMNKKDRVKDVTSQIKQTVTETRSAKMRSHWAA RAASVSGKDEEGLDTLSREGSVEYDNQASSLPNKPIMTDALPSQPSPKVSCDPASLVT EASFLSQQYSYSGGPIRSGYHSQYNHLPSSTATLPSIETPLEPYVPDSAISSPLIDPD ILTLLGLVPPSKPSALQPDLHFPLCPQLPNTLWFPYPSAFETIDGTQDMRYFHHYLTV ILPLQYRFDNQPMSDLVAPVALQNPRVLQALSAIAALHMSHKKRPQAVPKGDIPWEYS HLYPNTDDVFARNIIQSTVRELKSIPAAELGTDGSILAALSANSFNLFDGGENKSWTE TADLCRRCLAAVLNGVGGIGPNGSRNCRAQIDISALMERLGHLVSPLMWVDILVSVTQ NRASQYLPIYRVFLLDRYRNQSKVSKLLRETVMGCDNTTRLALAETVGLSEWKEKAIR SGTLSHRGLVERADSIERLLGERKWREEHLFEPNDPSTVQRMAMSNVFHHGVRVLLAT VVDGCHPNVPDIATAVQDTADALAAVDRCDARGATDKLMIFPIVIAGCHAERPAQQRI FRHRFARLGDEGAVFGNTGSALKLMEEVWRRRAQANTEQVEIHWRKVMFDMYESGLLL I
I206_04571	MKVDQQLNLTQTALTNNEETEGEKVLPGPESDRQHKSSPEPDAQ ALPHLSSSGAQKEGSLADTEELQDGVRNAEALAKTWNKSSLVTVYAFFWLTYAVNAFQ SSITGNLSPFITSGFQSHSLIPTIGIVSNIMSAAAYMILAKVLNLWDRSYGYLGMTVI ATIGLILSAVCKNIYTYCAAQCFYSVGFIGMIFAVDVFTADTSTLKNRGVAYAFTASP WIIVAYAGPKISERFYENNWRWAYGCFAIILPFVALPFFIFMQMQKRKAINAGEIVSS KSTRNWKESLWHYAVEFDVLGVFLICAGLSLFLLPFTIAQSAENSWREGYIIAMLVIG FVLLVSFGFVERYISPKPFIAFHLLANRTVLGACMLNVSWQIAYYCWYSYFTSFLQVV FDISIARAGYISSIYDVVAGVWLFPVGYLVRRTGYFKWLLYIGVPVYILGEGLMIYCR KPGQPLGLIVFTQILIAWAGSSFTLVEQIAVLAAGSHNDAAGMLALLGMFGYFAGAIG NSISGAIWTNTLPSALQQFLPAETLDQWEDIYESLDLQLSFPMGDPTRTAINEAYAEA QRRMLIAGTSIMALALFCVIAIKNIKVSEIEQVKGVVF
I206_04572	MSDIHTTHSADRDSYSLADRAEVGKLSDPEVGKPALGNGTVVDA VWGNIEGDGPNYRSLSWIKATVLQLKTQVGLGILGLPAAFNILGLVPGIIVIVAVALI VGWSDYVVGIFKLNHPEVYTVADVGYMLFGVWGREILGFAFWLQIVAVTGASFLSMSV AFNTITEHATCTVVWAILAMVIIASLASIQTLSRISWLGWIGLASIMSSVITLMVALG AADKPSLAPATGDWAIETTIAASPSFIDAINAVCIIIFSYAGTPNYFSIVGEMREPKD FTKSVIVGQTLMTTVYLIVASVVYHYAGQYIASPALGTAGSTIKKVCYGLALPGLAVG GLILVHTAAKYVFVRVLRKSTHLSKNTPVHYLVWYACIAVTSILAFIIAEAIPVFNDL LSLIGALLGTLICIQTETYMWVWDNWRAPNRGSTKWYCLIVMNVIFHFIGWFILVAGT YASIVTINNDSKNGILSKPFSCADNSGGS
I206_04573	MLTLATLFTFALSLLAVFETASADGQSYPSFHYNSDYLFTITYA FGGASPIIRTGGLAQNLNTFVGASIQGPALNGYISRGILTNNFVPFGDKAIVIEEATW YGYFYNGDKGNGTLIARTSGLVDYDAYEQQRVVLTVDQGDYDYLQYIFILCGSIDFNL RTASAKQDCFRVYYGNKVVLPPIFG
I206_04574	MSSSTDNLVILITGGNTGIGFATTQAILTSPSEPCTIIITSRSL NRSAKASETLQADRNFALAFSNGSKVIPKELDIDSDESIKKLHEEVEKEFGKVDVLIN NAGVNLDFEVAKGKLTVRQGFINAFSTNVVNTHLFTDAFADLLLQSKTRRLIFLSSGI ASLGDHSNPHVPVNKSPPAGWPKEPIFDTRTYRTNPGFLATGLGGLDKAVLLEKGAQE PIIGGRFIKKVIDGERDADIEKFISQDGIIPW
I206_04575	MLSDAITVTLLSLLAAGTTLVNAHGHIAKWTVGGQDHNGFDPSN PQNGGPTAERPTDNSDQGYADYTSATVACGGTSAGSGLETWDVNAGDSVTAHWNTWPE SHKGPVTEYMAACPASGCDGVDAASLTWFKIQEDSFDGTSWPSDTIASTLDWTFKIPT DLASGPYLVRHDILAMHTTGGPQVYPVCFQANLISSGSVVPTVTTTFPAAYNINDDFK TWNIYNNDNTQFVPPGPAVYNGASSGSPPASSASPSTSASSSAPAGSSAAMTSAYDAS STSAAGSASSAVATESASASASASEPAGSSEVSVSSSSASSPIASASSATSALASSAP AGSSATVSATSSASAPSSTATTPGSAEMGGAVPPVSQNGNRWETLGGSYNDEHSAVVA ACMEQMNRCKSWANSLPNSEVSASLSACDTQETTCESSGASAKRAIVLNRYGKKGFRL F
I206_04576	MASFLLGIPNSLPDDLKSPDSKKKKIPIVAVYVAGAAFAITTGL TVLIIPYVRQAAKLQNRIQFLSHRSQAVLDARLRIPRAALPALSSNHPSQSHTSARSS SNPPPSFSSIQSTPISISETNTSNHQAEDPSLLQSRSFNASEEKSLYGGSLTPEDGEA GSHEPVSGLLGFQALTIATALVFGSAGLGAFVVAKLMGVKDMTEFSSKMRESLNLSMP SLISSVNQPGRSVDGFDGQAIDEWVAKLEKEDEENEGRSV
I206_04577	MSTNRSLKRKASTSKVVPKKRAPQSPSPSPSDLDNGFEDLENGS GNDEEDAGGVYEDPMIDRQDTDGDSSEQDEEDDEDEDNAEEISAGPSRPQNNNKHLYK APTLDEMEAMRSAEESGGTTFSLQLSALLQSTLLPTTPAPTLKTLLSTIHSHILSLPA LPAVPPTKASKRIGNGTKIPFVGGDQWDPIKNEVQWKLGWEKPHEIIVAGSWSVVGGY RKGKNEGGNIDLVVVMPSALFSAKDRMDYRYFHKRSHYLAIIYSEVQKLSKKDGPVSG AQLSWENSMGDARRPIIKIQVGKEQGLKHRLDIRIHASILPDVFPLSSLSPTKSLLRS DIPTPLYSSSILNDTLQKSNLLHLHRLSQVLSPERTVDSFLATWRIWATRRGIRRERG GSGWFASMLLGWVVDGGQVGGGGGVRERIKKVRGVGKGLGHWGALRAAWEFLAQTDFD QTPVFIKTVNEDAIPQSDFINSFQDVFVDPTGKVNIFAGWEKGDLQILRYHARETLAM LEDESGDLFAETFLRDRNLGAEIFDDCIKVGITNVQLEPNPENPSHTDLATQSFANIL RRGLSDRASLVHITPSRLTQNTLDIGIVYNPEHATRVIDIGPSSETSQSAAAERFRQL WGEKAELRRFKDGSISESVVWDITRPEEAILIPGKIVKYLLGKHFGISEESIHCISSN IEWSKIIQIPESVRKAICVPGSEKQGFRPVIQGYEELYKILKDIDSELPLSILNVFES SELLRYSSTYVPHPLDLNRITSSTTSTINHFPPVDIAVQFESSPKWPDDLAAIQKVKL ALFDKLSKIMTERLPKSKTNILFDEDYIHNSAAGEISDHSSLEILLPQGVSFRLKIYY EKERVLLERILYEDEPIFATSLPRPSKKLAHQALEKHLFLFNHKTNHHQSIKPLHHKY PSYSTATRLLKKWFSSHMLSTHIPIEIIELIMAKIYLDSESLQNPNSATAGFVRSVIF LSEWDWRNVPLFVPIQSVKNSSISEKRLKFPTNMKQDALKSFENLRNKEKASDSSIAN QHGWVVFTEEDESGLRWTKGITRVIAGRVAVLAKATLAAIKSESENGDLHVKSLFITP LEHYDILLHVSPLATSKDHQNIQANPEEWETKLKFRNLQSSSSSEQIRIDFNPIESFV HDLKRLYGDSVLWFYDNNGGVVVGGIWNPAKEGARNLKAFLGYNSIPVKSESTLITIN KEAILAEISRLGKGMIQTIERRK
I206_04578	MSNTLRPYLNAVRATLTAALTLENFSSQVVERHNKPEVEVQTSP EALLKPLIVSRNESERVLIEPSVNAIRLSISIKQADEIEKILCHKFTRFMMMRAEGFV ILRRKPIPGYDISFLITNFHSESMLKHKLVDFIIQFMEDVDKEISEMKLSLNARARIV AESYLSTVSAFSTREYDYNLANLTNLARVRKPRLDVISGHSKLG
I206_04579	MAVKMNLWSLTLIPGERYPLFVRRDFQITNVALGEELKSADGRS VIKVTHNPIPATALESDSEFDSEFDSEFDDEDLDDEEDDDEEDEEEEEVAEKPKAAKK AVEELKNIVEDADMDGEDDEDDEDFSDEDDDDSDIDIEETNVIASLTAGRTEQATVNL TFVEGDVVIFETTGENAVHLLGNYIDQFPDIPSDDESDYDSEFSGSDDYSDIYGSDDD LELDTEEEEAVAKITEIPAEKPAKKAAAVAEKPKKAVAAPAEAKPAQKRKADDLESPA KTAPATSTETEALSKNQKKKLAKKAKTETEAAAPAPVKKEQKKVPSKRTLDSGLIIED VKIGEGPEAKTGKRLGMRYVGTLTNGKKFDSNTAGKPFTFVLGKGEVIRGWDQGLAGM AVGGERRLTIPANLAYGSQKIPGIPKNSTLKFDVKLVSIN
I206_04580	MTSPKLRKLAKRLECPVDEDGGYTNDRWTNRDLIPIPPDRRTYK IWSFCIYWFVSGACISAYSTGSSLLAYGLTAQQSMACVVIGAVITGLLSVISGFPGEI HHIGFTVVSRMSWGMKGSYFPVCLRVFTSVWWFGIQSYWGGQAVNLMLGAMSPSWKHQ PNKFATSSHITHQDFTGVVLWYLAYIPLVLVPPERLQRPFVASSAAFGATLIGLLAWA VSHAGGGGPLFKTVNTASSTPYSMMLGITSILGSWGSGTIGQSDWVRYSERRYYPLLS QMFAAPMMITVCALIGVVVTSASSSILGEIIWSPISLLSAIQDYYDSSSAVRAAVFFA GLGCTCAQLSINVLLNSVSTGMDMAGLWPRYLNIRRGAYLLAAIGIASNPWQILASAA TFLSVISGLGVFIAPMTGIMLADYIVLRRCKAKIEDLYNGTPQSIYWYKNGFHWRAIV AFVMGSWPFCPGFIMILIDPTSTSAWVKLFNISFLVGLSIGFVVYLTICTISPIPHVK EGLNYLDDERFCKNVTGEPTTSQDDEYKNDNDRDVKDVEISAVIREV
I206_04581	MPSADSAIPFIDFSDFGDGTGPSARRIADQLYTACRDVGFLYIV GHSISQDQIDEVFGMSKKFFALPYETKMTAPHPKEAWHHRGYSGIGVEQVSQMVFDDD KIEEMRGKGADFKESYDMGSETSKKCPNVWLEDKYLPGLRQTCLNFQEECKKLEITVL KALALGMPGVPENFFEEYHSGYDNQLRFLHYPSAPRKVFDSGEKGRIAAHTDFGTCTF LFQDDCGGLEVESPHNPGTFLPAPPVKGAIVFNIGDFLMRWSNDDLKSTLHRVRAPPP RPDDGEMTRERYSIPYFCSADPERVIDALPGTYSETQPKKYSPITSREYITMRMNATY AKY
I206_04582	MSQPSREEVDTAEQMALWPTSTATPRPHFNHSDSSLSIDPTLGM TSTGQDWSMTRTTQHPVTNIDIPPESFRQLDNDAVGNYHENVPAGEGDGTTIIAGEEF NWNTPVQTGDNMDDLLSWLFNPSPNDNPLSLNSDNIPIENPPYVMEFDDPIEGTNTLS PNTQSLNSQSQRTLHSLSQRPPSRMRSTYPKDYIPRVAPQAPSQNIYPDLSERWSTWE PWQAPSKRDIIDEEARASMLALFDVSILLFPLVSGIDLGKVQLTYVAKQSTARRDLMA PSFSLQQMRLYLELYFMHFAPLYPIIHQASLPYRKLPPDLLLAMICVGTAFANDPDGL EMASKVHKHLRNRVFDMVEDEPIASVSSLQTVLLLNHFARSFCSLKQHDVAQIFHSPI INLARQSGMLLPNYDRKLLNTLDDPMACWLEWVDEEERKRLGWFSFMMDTENAALYRG FLLIHCYLIDIDLPDADEIWESSNPLIWNKNLNNYPRPPSFRNALRELAGRGSIAPNL TKFHLWMLLHGLHCVQWTLLWRDLGDLSMVHVSKITSWKDSLRVAFDTWRTHIEEHYG PNPNSVSVQVQEHPMVSAGIPFSHLGTVLLLSDSEQIRIFAGTKKIAGRPISPGEWAA ANIYVNSWAKSQDGAYCCHGALRLLAHVFRGSSEIKFQRTSMVPWCVYIASLIVWSYA SALDGLDSLQAPFIIITPSSRVGHLDHQVRIEPSLAQRSAIEYLDNFLSCEHPFALPS VKDKNKCAGIVAYTAYLAGTLNRGVMEECRTVLLGLLTEHV
I206_04583	MTFGPDESKGARITSLDEYKKHLDHLISKGYNEIDTARVYIGGA QESWTANAGYKEKGLKIASKWYPNEAGAHKPEKVKEIVNKSLSELKTDKVDIYYLHAA DRTTPFHETLKAINDLHKEGKFDTFAISNFTAAEVAEVCTICQYEGWVRPTLYQGMYN AVCRGAEPELLPVLRRFKIDWVVYNPIAGGLLSGKYKSEEPPKDGRFGSNSSTGENYR KRYFRPAAFDALEVIEKAIKPHGLTMVETALRWCVHHSQLNLANKGGNDGIIIGVSSF SQLESNLNDLEKGPLPDDVVKALDEAWLICKASAVPYWHGENKYTYELTPELYGQKK
I206_04584	MSRFKISAALWDKIHHFASFPQTGVSLQQMVLFGQHPTQGTLLK ASQFLSEELPIRLSHRVVELESLPDGLSKMPSINKVKEWYAQSFEELITFPKPRLKPE IEEILRQSNQPTQFPSATPNPSLDPLMHEGPVGSNLVRGTGEYNGYGNGNGTPVGAAV ALPGTRLRIPIERRYFSPPPTNVIYPPEVHDYNDRFTNVLQNIKKRHDPTVTTVAQGV LEWKKRQGHGRIGQNIQEWLDRFYMSRIGIRFLIGQHVALNTLQPHPDYVGIICTRAN VHDICHEAIENARYVCEEHYSLFRGPPIQLLCPKDLTFAYVPGHLSHILFELLKNSLR AVVERYGVDNEDNFPPIKVVVVEGSEDITIKISDEGGGIPRSAIPMIWTYLYTTMSDE GLEANIDSSDFKAPMAGFGYGLPLSRLYARFFGGDLRLISMDGYGTDVYISLNKLSSS REPLQ
I206_04585	MSAPLAPVASINSISKEDEKNLDSSDVKDVQGNSEFIETVTAAP LSPWSKTSFKLYAILLVAALNATASGFDGSIFSSINAMDQYKHYFHHKETGSSTGIIF MIYTIGNMVGSLFTGPICDHFGRRAGMGAGSILIGAAAIVLLAAKNDSYLLGGRFLLG FGISIGTSSAPTYALELAPPQWRARIVGSYNSFFYTGSILSTGVAYASNKASGELAFR LPLGLQLLPPACILAGVAFIPESPRWLTARGRKDEAQAILAKYHGGGDINHPLVQLEI KEFEEGIQVKGAQSVWNYYDLVNTRNQRWRMLMNAFMSFFAQLSGNSVLTYYLPTMYT KLGIVSTDRRLLLTFANSIVSATGAVAGSATNDRIGRRTKLWVGSIVLACLFAGVTGF SSQFADKTKAIHPALSNGGVAFIFLFGCAYSFIYTPLTATYCAEVLANHTRAKGMGLH VIMSNCANLYNTYVTAIALDSIGWKYYLVFVALNLFYAFMWFTFGVETRGRTLEELDA VFDAKWPPKAALSKAPMVKRDDGHLEGL
I206_04586	MTKAQFLIDLERDGYVIVPNVIPQEDCKEFQESAWEWLESFPYG FKRDDKSTWTSEHLPYGVTGGLYNRYSVNHEDFVWKIRTQPAIIKIFEQIWGTKDLIA SFDGMNASLPINEKTGRTDIEPTLPWPHIDQNPRNVNKFELYQGIANLSPNGSLDGGL CILKGSHKLHQEYFDSIGGFKLEQDAGIKENGYTYKNEEMNWFIEKGCEKIKIEAGQG DLILWDSRTIHWNASPIGEQTRFVTYVCYCPKSLMSSEELSIKYQIFKDRKGTTHFPY MNRVPAERPGYHNALPRRPNGELDPANRTRPRKEPIETPLMLKLAGAA
I206_04587	MLDNPFQLGIRHNSSSSSSRSIPKVTTISTSSETTNIDSSVSTQ IDSKETSKRSIISRITANLSLKPVAETHAKGTEEQSGSSSVLKLLELAKPESRNLGIA VGLLLISSSVSMLVPLTIGKLIDFFSTNSTQFLGLSFPVAAGLLAVTFCIGATANAGR AIIMRTSGQRIIARVRNQAYLSTLRQEPEFADRSAGDIVSRLSVDTNILGDSVTSNLS DGLRALISATVGVAAMFWISAKLTFVMLCVVPPVSLGAVFYGRYLRKLSNLTQEAVGE MSKTAEEKLNAFKTVAAYNSQTLEATLFSKKVDQVFQLAKKEALMTGIFWGASGLTGN LAMLCLLGYGGHLVSISEITVGDLTSLLMYSAYVGGSVSGLTGFFTGLMRGVGAGSRV FWLLDRTSNIPLDKGIKLGHSRNGAIRFENVKFRYPSRQEVVVLKGINMTIEPGTSVA LVGSSGSGKSSIQQLISRFYDPEEGRITFDGTDIRELAPESWRDRIGVVFQDPILFAG TVHENIAYGSPEATREDVEEAARAANCDFIWDLPQGFDTLIGKASLSGGQRQRVSIAR AIVRNPSILLLDEATSALDSTSENAVNAAIDDIIRKKNITVILAAHRLSSIARAERVL VLENGVVSEQGRYDVLSRKEGSRFRTLMAAQLLVEKSSQGIQEDQPEVEQIQEVDVSE KHTVDGEKAGKII
I206_04588	MYRLTPYTRALKAPISARSFSVSAFNLNKGPPTSQGHSTDKSHQ TGHSDGDVQSASVRAGQNAKSNASPSSKGEDQPFDAARQGSTGGTSKPSKAQSEESDK GESGAFADQIGGQDENSPGVEFGEKENAAGGSYTDGIKEGIQGGFDKLKKLRSEGKNF HTSARQFYPGKGTSPSADVEGSRQPKEQKLEGDQNQHLKHSAPGTADSGKGNAAETPH LPSRKGDITTGGSGSPTAPQSGKKAFSTYSRNMMAAQPPKGYAKALPSEGNQAGYNAP SEALPSTLDSPYSSEAVQEPEAGQKPSSKVEYSSTAVDPPNEALRTAAKEGTLADRNG HPTAEMGELGNKEAWKHRK
I206_04589	MYNSSAISAPPPPYVPTPTYRSIQHASISRNKRGIFILPLHLIH RILHLTLDQRATPSKFWSDPEEERIRRIWALFRGLRGVNRVFWLVATSILRAMYLETY LSHIKPDFSSDPFPYESSHLDDPRLGDTTLDASFRSVFEGRGRETAIFDKYIAVKVGQ ELRTVESSLSEEGEAIDDIFKRLQPTARIEDLLLTLPSIYIIPAQSSTDPPQRGLPLS HTHLSVTLTPTWAQLYLHSYPLSSFRRGSKELVVEVRRIGTLEGTVRRIEDGLDDIHR RLVPWGGRVQ
I206_04590	MPGLTTAQVNELSSVNVVIHPLVLLSVVDHAARVPLSKNKRVLG VLLGQDNGNSINVANSFAIPFEEDDKDPKTFFLDLDYVEDMWRMFRKVNAKERPIGFY HTGPRLRSSDLEISELFKRFCPRPIMVIVDTRATGGRGDTGIPTDAYFAVEEIKDDGT ATQQTFTHVSTSIEAEEAEEIGVEHLLRDISSSSSAPSSSLLTTQSLSTKVTSQLQSL KGLHSRLIEIRDYLIAVGKNKLPINHQIIYQLQEIIGLLPQLGGDIDLGKAFRTGQND SNLVVYLSSMIRTVLALHDLIENRIENAQQELEDSKSPQDKANEAAAQAAGVKAEDVA RAKKEAEEEKEKDKKK
I206_04591	MSTVTQTLTEPLKTLHLRSASPEKTETPGFKGTKYDHDKDAGGK KDNSYPYAWALPTFNNTTYPPLTPFEHKDPGLEALKHENPREFLQNAEVSDLTPKFGA DVSGVQLTQLDLRGKQQLARFVAERGIVVFRNQDFQDQTPEWMLNEWGSTFGRLHVHP TSAHPEGHPEFHLVYRDMSKTFNYEFTDRFTSSVWHSDVTYEEQPPGLTTLFLFDSPA SGGDTAYVSQVEAYKRLSPSFRAYLETLEVVHSGVEQANFSRAGNRGGTVRREPVENV HPLVRQHPVTGEKALFVNKQFSRRIVGLKHEESEAILNLLYDHLAKGVDFQVRVKWSP RAVVLWDNRITAHSAIVDFDSAQDGRRHGARITPQAERPFLNKN
I206_04592	MSTLQPGGVSPSSTSSEDLSTSSYSDNEKIQLAQDRKGSEEPLR TPPLETSEKGVAAHYTSQPLKGADGNTLDILDGIPPLAEGGAPVSGSAFGKACLRIVG IGKKNAAHHGTAIATQPSVFDTPQREQYAPKQWYEGYVAFDPLFRWTWSEETKVVRII DFKIFLWVTIMFLALDIDRYNITAASADNLLKDLKMTQADYNLGNTLFRVGFLVAELP SQLVSKRLGPDVWLPIQMIIFSIIACSQFWLNGRTSFLVTRFLISFFQGGFIPDVILY LSYYYTKSELAIRLSFFWVSNYLANLITAFLAVGILEMRGVAGKSGWAWLFLINGLIT LVVGILSFFLLPPSVSQSKSWQPKRYFTDDQVKIVVNRALRDDPTKSSMHNRQALPIK TIFRCMGDYQMYPLYLIGLLFGVGSYPVSQYFQISMKGLGFTTLQSNLLSIPNIIWSI INIIGITILSEVVNSRAWVCMAEDIWMLPNYIALLALPDPIGSWTYFAISTVLLSFPY VHAIQVSWTSRNSGSVENRTVSASLYNISVQLSAIIGANLYQASDKPRYKHANSAIVG IILFNLVILYPGTRWYYKSINKKRELTWDNMTSEQKTEYLETTTDKGNKRLDFRFSY
I206_04593	MVLAGLVPFFLAYTTTHTDTLEIINTRNLNCGVGDNAVTNGYVH LKNGHMFFSLFEGKNEAKNSGLVVQFEGGPGATAFDYPFIGAGPCQLTPEGESSLSGL SPAPYPWTDYVNLLVVDYPIGTGWSYNTSDQNPASTSDRAAEEFDDFLQVILKQWPQF QSQPLIMSTLSYGGTTGAHIAATILKRNQAVQDQLFPRRVVKQFDQLLFGKPFADAIS EIYSQWDVLCNDEPKAYNQTVCNNLRNNLTPCLDKLRYLEDIESTQEFRKDAVQDCIQ SVEINFKAPAYNRYHRSRPKCWPTNTQDMREWLGVTGHIEKWWYAGPALLRFINSADI MQNAYKLLQPVLESGTRLLVHNGIEDTMVMPSGTVSWMKRLPNPHLQSFRSSEVQQVS NHIMKGTVINPGSDYSHIAIEEAGHIVIETHAALLQEMVKEAVQGRNYNPSS
I206_04594	MENFQPSGSFKSRGIGNLVRCAVERSSSTEPLHFYASSGGNAGL GCVTAATTLKQKSTVVVPTITKQAMIDRIISAGATQVIKYGNTIADADEYLRNSLLPD DSQGVYVPPFDHPDIWNGAESIMHEVHNELNTLPDGIICSVGGGGLLIGICQGLDRLS GTTSRTQVIGVETLGCESFSQAIIAKEVVTLPGITSIATSLGCTRIAARALEYGLREN VHSALVSDKEAVDACIRFAEDHKILVEPACGATLALVYSGRLGQIMNLKPNDKIVLVV CGGSNISIDMMYKWKKEYNL
I206_04595	MTRKILIIGAGELGLSLIKAITSHPSRPTVSVLLRPSSKTKLSS YAVEVILGDVLAPLSELAKLFRGYDIVISATGFAGGPGSQIHLAKAALEAEVPHYFPW QFGVDYDTIGKGSSQPLFDEQLDVRALLRSQSLTKWTIVSTGLFTSFLFEPSFGVVDL HKGVVNALGSWNNAVTLTSAEDIGYLTSRIALDEETPPEGVVFIAGDTVTFEDVAKEI EKKGWQVQRKITTTERLEERLSKDQDDLGAK
I206_04596	MSSGLASASSTSHGELSVKKRASKACSRCRRYRTKCVPLIPETI GQPPCSGCKAANVEHECTFLPRGHSAMDRSHRRRPPRESMSGYASSHPSRSLSPSARR NLPISPITSPSPSRPTSIAIPPTQRSSISRDLPPPNEVVEAIRNYVASYFQLGFLHKA LFVERYTSRPETISQFLLFAICSTAAPFTPTLVARYGGKKRATEFFLAKADEILGREM VQPSLERAQAFLLLGVTEWGQGNGPKAWVRDLISGCAYKLMAGFLGLHREITYQLPVN PSAEEVIGSEVARRTFWAITCHENLLAGQSRPMQISLAEVDVLLPCEESEFNFGIQPK NRASISGALGNPADAFDTHLQGDKSLFASLVQVKLLWSLTARHACRGSGRFEVGVWSR ESQTLLTALQDFEASLPIKHRFSVTNLRGMMVEGLDLAFLSITLITRLSNIVIRRLYL PSMAAAIDPDGPGEGSFESRHFWQSMATDMIINSEQLLSQVEVFFSMRSVQLGFPPIM VFGVYMSGMVFCYLRKWPELCLGRASSTEDSLGRCSNILSQLADSWPLASRWHLALQA ASNQPVRQGVYTRIRTAVRDERTLDDEMADIYGQTAPSIIGSSPSDPQRLQNVSLSTH PPSIPLPPINDTRQPESLHRSNSTNANGVSNANNGIDYLTFGNGPNSNNIPSEQDGFA QFDMASNFFMDNFGDDLSAFLQSAVPTGEGNDMDGAGLFFSGNTNINGS
I206_04597	MPTAVTTPKNPLHPSVLPRLDKQYASFYNKYMANAPMIQDLPWD PAVRSSPAVPGGAAALPVSKTEEYVIPDNKEVDVNLIICRSINLGDFSIRVFYPIEAP EGPLPIFIWYHGGGMVLGGINAENPFCTRVANSAKCVVVTVDYRMAPEYQFPIGHEDA WTAFEWVYKNGKDRLGVDVGRFGIGGSSSGGNLAAFVSQKAGLEGIPLNFMVLGVPVC DNTATAESYKSWNVNRYCPGLPAPKMLWYRDQYLPKEEDRSDPVSSPLYGSDESFTSS VDKVFIALAELDLLRSEGEAYSEKLKSFGKDVDCRTYPGVPHAVQAMDGVLDIARKWI KDMCTYVAVQFGRHPADVAMEDLYPENVEVDVPQIEGGGPWLKLNSPMVLGEAPLYRE EDKTLHYVDCLQDPAELHILQLDNQGEAVGQPKIYQLEESVTVHFFRENQPGYICAYF AGVAFMKEDENGQMKLEILKEIIPQNERSIRRFNDGGVDCQGRFWLAEIDRKGLSLGM GRLPADYGEPLGRLWRYDPDGSLHLMEKGLVCGNGLAWSPDNKTMYLNDSAAGLVFAY DFDIPTGNISNKRLFIDRRDLGGEPDGMVVSVDGNLWIAMWASSRVMVYDSRGTHLKD IKFSARNMACTSWGGPKYDTLYIASAMDKRPNRRSDDDGGHLFKYQVGVEGVRKYKFK G
I206_04598	MAPPSDYTFMEKVKFTFSSPKNFHQAITLDSAKSKFINEDLIPS PPERRTWTAWSYLAYWWSEAWNITTWSLGSSLIALGLSVGNALLVILFANILSALVII ANGFAASRYHIGYPVLARATFGMYGHYFFVLIRAILGIIWGGVQLYFEGQFISLMLRC IFPSWERLTNTIPESQGITLQVLIGFVLAFIVTIPFMLIHTTKIKHLFSVKSFVMPLA GLGVVIWATKANGGVSAGVLSTPVDKSNVAIYAWNIIAQFNSVMGSNSALIVTVPDLA RYSKRPRDQVFGQLLGLPMGIVCAAFGVITTAAVQNMWGEAFWNPYDLFNGILDHTYT SKSRAGVFFVSLVFCFATLGTSIACNIVPFAADITCMLPKYVNIVRGQFLCLIIAFAI TPWHILTSAPTFLNFLGGYSIFQGSVVSIMLVDYFLVRKGNLDIGALYDGSTKSKYFF TMGINWRSIVAFIIGFIIPLPGFIGSFGTVTVSISASRMFYLGWELSFLSGGLAYWIL CLIFKVPGQEDCQRSFGDMIHDEWILPDGETNLESPTTTRNQAQNNGEYEDYEKNVEG VRVNVI
I206_04599	MSSTPPLKYVKINGADLAYKEAGDACNQLFITLHGGRGLGSHES DFEAYRPLSDKYHIISFDFRGHGQSSFTPPYTFKQIVNDIETIRIHFNTIYNKIEKKL VICGGSFGGFLAQQYAINYNDNLTHLILRGTAPSYEHEEEAFQVLEQRLNKAPLASIN MLKKVFSNFKDDDEMRLIMFAIGPLYSEIEYNPDKGLEVSRLRKLSAKVHNDLYSEEE KYFDYRPKLNQIKSKTLIIVGDKDWICPPSQSKLIHEGIPGSQLLIVPDANHSVHSEK NQEVIAAIREFVA
I206_04600	MGSSSDSEDDHELLSYGEPSNSDPLLSNGVINNHENLSSSSSRS SRRGISTFHKKYPKLYLILKKWWKPLFAISLPFLLLFIYAIINPHVKGLPPLPKVKVH FSDSDGTFNYGSPGVEKIYEEKIVESCICGVTNEGKRICDLYHEEGLKNSRLVQGSGA RIRRVLQKAREGGALKIGVLGGSVSACHGVHPSADYPQGDPSGPGCYTTLLKEWFEKT FSDVNHQFMNGAIGGMDSSYYAFCGTHHIAIDTDLIVLEFDVNDQADLLYQAFFDQLL RALSEFETQPAILILGAWSPQVAQDQGYGDPQIVHAPIALYYDVPYLSMKRLMFNHYL RFPKSTAEAFFQPDLVHPKARGHRILADLLISYLESELCMLTHYGLPVVPSLSDTIST SDPFPSFIDIPFPLDTLHLIDPITPPPGWEKTFDQAPLDQLKQENRKFVLPTTPYFVP PVGMFTPLREVVNPAKQDPDSGKHITGLIQPELFCADANDKENPMKPTTSEGWRQFVW NGEKHYWVSDTPGSRIRVEIKVTAGRVAVYYFRSQHYNLGDARCWVDDNENGAVHLAG YWNKQYNVAIVAYIDEKVTSGDHYVTCEVSQNTSHPLNPDAHHFRLTAIMAT
I206_04601	MSEPFQPAQKPESFSSTNDEAKLHLYTVGTPNGIKASILLEELH KEYPENKQLIYDFIPIRFSEKDQKKPEFLKINPNGRIPALIDDNFKGHNIWESASILI WLIDQYDKDFKFTFQDPKLKSDIFSWIFFAHGGVGPMQGQANHFFRYAPEKIEYGINR YQEETSRLYSVLEDQLKKPESKGWLVGGKYTVADINVFPWVRSYAWAGIDITPFPNVK KWLDTIEARPAVYAGLGVPTRTKKLTKEEEDQKAAEARKAFGWGK
I206_04602	MSKVLTAQLVKIVVPAGKATPTPPVGPALGARGVKAMDFCKEFN AKTSEYIQSIPIPTLIKISPDRTFTFQIRTPPVSYLIKKTLNGDINNEKKQITLKHIY EIAKIKSLDQDLNSLGLKRISKSIIGTAKSLGVEVVP
I206_04603	MSSKIAITLLKPILPYIPLLPTPVLSLFPSLVLHIASHPQPSST NFISASTTHPLHAPLIFTLISIPIIYALGLISGNVSWVDRLWPFYTPFCSGLLVAWLF MNQEGSVYGHNLPRVALMFGLQILWSIRLLSHALKRDFYNLKSEDYRYTAFRALVPRP IFSLVHIFVIAIAQPLLLFSLSLPVYAIMSLPPSELANTSSFGINFKTISKYLPKKYS HSAPPLTVILNIADLIITIIALGCLYMEFKTDKTMYEFQNNKHSLIKSLPSNKLIQPN KQLKINKNLPQPSAYPLKYHPGFPTKGIFKWSRHANFASEQIFWLTQALFVIAGSQSS GVTRRSWGDGCVWAPCFALSILFCSSTFLTEWITSRKFPAYKSYKRLVGQFLPQETFW VWLIGTLFGTRQKNLEKVYGPVGPELEVEKSQ
I206_04604	MEQNIDNAKQYLNSEEGQGYKEQLFDNDKPTGDSQIDEPTYDAQ GNKGAPGQYGKEGQFGAVTGKGFGGGFQEKKSDYEGMEHGDSTATGGYGRNAQGSSFS RQNQMGVDNDNQSNPGGVYENDDNDHKDGLKTGYSTPGYRKEGEDEDENSRKLNQNVY GKQSDDSGSNGNDYNEADI
I206_04605	MSADKLPKSLQATEEDIQLLLAAQVHLGTKNCDKTMEQYVWKRR ADGIHVLNVGKTWEKLVLAARVLATIDNPNDICVISARPYGHRAVLKFQSFTGAQAIA GRFTPGSFTNYITRSFKEPRVIIVTDPRVDHQAIREAAYVNIPVIAFADTDASLKFVD IAIPGNNKSRHSVGLLWYLLCREVLRLKGQVPRGPTGPSGWETLPDLFFYRDPEEIER EAAEKAAAAADAEGADADAAATGAATGVAQEWDAGNAADAVLAAQPTEQALDWSAEPT SGDWTAEPAQDAAGGW
I206_04606	MSRAATATPPPQTYFPQRNTTPTMRHCSAPTVTAAHFANRGYQY QLNKRKTKNLPQQASTSSSSSPLKKPVTTSVDDEVLGLSFEDLGSTPLEYEQPPALPA RQDSVVSHDSTASMDSFQSSRSEMDTSDLPTYERSDLSTPTTGSILTSGSGAPTQLLA PRATDASFPITGTGDQDDPASAQQVDQSVLPAAPRPSWVGKMAMAVVNTGMSMGIPFG QQARKEALSPANLSTPTPSPAVEPVAAAPIAVPAATSPARPTLEELQLLSPEQRLARQ REWAEAENRKVTECARLCSQWPQSGYNMSKHGPNGANCQYQPQSFANPGYVYGVMQRQ AELEHFLAVNSMLFYSCQTHAHLHRDRSSSSDDETDPSSFGSYQSSQPSPATSMSLSV DEALAKQEADIKAAMASPIIPVSNLPSQAVTPLSLSPKSVKGVRSLPELDALARSMVL TSRDDPMDIDQSEAGSLAGSTDLSDSGILSRPARAQSCGAKRPSTADTDSFEEEKRRK VDEAMVVEEAVESGVIAPSPNIIVRPSSGPSRMSASVPDLAKARAVQAHPAVFGVMVK TSDTHPIIISPFFPSELLPILTKHMIMPPPIGLFSQIPLLLSSSVDVPSLLLSYAPPM PNSILSPAQYSNDKRTVGNLLLSSCPGKRLRLDGPSRGRGPVCRDLATDLRRIKAEGV GCLVCCLDDTELALLGVPWETYREVATEIGLDVIRLPMPDGFTPVNMGLFDSQITLIA TKYSLQGINVLVHCRGGVGRAGLTACAWAIKMGFVQPHPSLLLVESAAKSSSSKKQIP LPAELEHQLVMSIVERVIAMIRSRRGLKAIESFEQVQFLAKYVSWLRRECSEANIN
I206_04607	MLSQSQLTQPTALEALEHYKKKDPSKVVVRFKAIGSAPIMKNNV FKATAGHKFQAVILFLRQQLGMKKEDPLFTYINAAFAPAPDDTVGNLFKCFGTEGHLI VNYSNTQAWA
I206_04608	MSDKQTGDKHNAGPSNITNQEDPSNNPLFGASPPPPPSDDERDP NIPAQPEAGPSNSIVGSTNPLFGATPPQDEGLPSWRMNSREYNQPRELRLYHAFKPTG PSKTIIFIKRITFIISILLGISSIFAGIWSIFILPLLHSSFSARKELINQQSKRMNDI LIKLKNLKKLEIYNMKKIKLIDKEKKANEEEEEEYKEESNFEIEEANLKEISESIDNL IMNTNENKMSLKQKAKTEEEENEILPLKQIKSLSIKLNKLSNLMESTSTTRISLISTL ESYTSFLHNQLFLSSRFSGTGNGGKGFSNYSIKLNSLSSHLGEKQRLGLEQKNEFEFN LGGIKIEEWDNTRKEIRAIKGLLLNRRQFVKS
I206_04609	MSDPPTPLSLPSTLPYPITITRLLVKPNDTVKRGTNLLEYSFMS KEQKEAISKREKEGRKVNLSKGEIEGDDGSATWDCLIDGEVVNWEGFVKLGTKLERWH MNQPLINIAQPCSHPVQLHGMCGICGADLTDTSNQAGPSRHPGGFEVTHDSMGVTVSK NEAHRLDNLTRDNLLSSRKLSLIVDLDQTIIHTTVDPTVAEWMDEIDTYNRQESFDVA RFQIADDLPPGYVKPKRRPGEKMIQMQGRWYFTKPRPGLQKFMDEMSEIYEMHVYTMG TRSYADAICKVIDPDGKIFGGRILSRDESGSFSSKNLKRLFPTDTSMVVVIDDRSDVW ADCPNLVKVVPYDFFIGIGDINSSFLPKNKSTPPPSSTATTSISSPAPPSPTSSGSTA STPPPTTPENLPSVEDGLLMKAKLLDDLSESRPLAKMQEEIELNETDVKSQETLTEQE PKSRPDEPERMVVPPAVAQPSTPPRPRKPLLNPNDYELLRISDILQEIHHRFYRAFDS LEEWDTKSPLPMSCDVEFIIPELKSKVLEGCNLVFSGLIPQAVNPETTDIWQTAETFG ALCSLSVHPRITHCVTATLNTEKTYKAAQIHDAKIVWANWFWDSVALWNRQDEQKYLA KRESRSRTTTPPLPSSEQSVAENHSQKTTNDSISSAELSGFKEDGEIEERENMEDDAE VGKGWDEGADAEWEAFMAEDDDDEDFRTENGSVKSVDSVPSTPSKKRVRYADEESLPL EDFKDPSPTDQDDQPSKRRKPLLLQAPSEQDQIPNENKFLYKGKGKLLSDDRADGVLL NEAMAKEKDVDELSLAGTEATEGTEEDEFAMMLMDSLANEDEGGNDSP
I206_04610	MTSTSYYLPELGSPSFTPLLLRYVLSHPSLKGWSINPSLLSVLL LALIVRKGGILIDIASREIDDITKVLQGIVHSIFGLRSHRLALHQDVGPDEINNLLST WRSFSHGHISTDNNDEKFNQEINEDLDVLIITGLENASSPVKIKLCDILTKKRFKAPM WKQEMNGTDNQQEETNEFCEDKMEIQFDPLVIWVREEGSEVPSWVIDQFMLSIHVDFD DIEIPPPDLEHTKIIPLSYLSPLTRLLPFVHIHAPLQIHISNLFSAVSSHPSLRTTFT GKAFRVLPEYIKAHRILSGDIQIPKSFFNSPEEAIEDKKGLGGGIGGVDTWNQLAGEQ PTLIEFINDEDEDELGIEDPYCTPLNVIGIWKVFVTHRCRLREEREEVMYLIKGSASS AYAEDELTQNKNNNVNRKMKGLDRILDEIIRTV
I206_04611	MVVLAGLTALVGIAILLVVRIYHILPNEKRQKQARKRLPNETCS IAIFLGSGGHTSEMKSLVDTLPFDRYQPRKYIYCHNDEISLRVISSLESQKGDLTNES TYSILPLPRARKVAEPFLSTLISATKTLMISFWYIFFKPFLSNPQKPFTDVLLINGPG TCVILVLISYIRRILGLEYTKIIYVESFARVKSLSLSGKLVKPFVDKFLIQWPEAGMN RQGQIGKAECKGWLV
I206_04612	MAVILRSNTDLVYLPDHGFVGEEGALRILPQITRHIHRIDISHN LLGSAGTLTLFKGLSTLRLRHSSPELGLGLWGLTEVNLGMNGLDDIALDGVLAYAKKD VLLKRILVQGNEIKFEEKNIESIINSLNSSNIESLSLVNNIYIKTKGLIKFLNLLNCS TLKSLNLSACNLNSTISESISKFIISKKSRNLENLELNGNHLGSEGISKIINSIENYN FTLTSIGLLANHSLSEQIINLEQEQEQEQEEINLNQEYLIDKKNENKIIEYQIHQRLP NILERNKNLNKRIRKASLNVNVPAKIIFNAKSLTNEEIAKNVINDISNNSSSKGIFRL FELPEEIIHLIIRYTSQDPWAFNDSQWTKIRKDSIDRDNLKKMYRLRIVRSRGKMIDE IKGVNREMREEWLRKNKLDKWER
I206_04613	MAKSSKDKSITDQALYRRRSTRNIIEDPDAGPSPPNSNTHPKEV KEKWRKSKIKGYLVSVSSSEADDDDDQTITRAIGTESRTKRPDNSEIDMTSNDPKSIP DECTSLNNLSEDQRKRIDKFVIGRKAWDEKLSEYINWNYPNLDLTSFRDFLPRCRLER CKNHEFSIEHSNLEGLLKYSESEICNTIIKNWASTGIPICLSHREDEIEDQPETRLLK FEDCVHKINEDVWEIDLEQKQQFMTRPTECDDFICNISVPIWQEKDKYSGEWRDIVML KNNSTKRFYCSMASYIENNVIITDPINSQQ
I206_04614	MDIKSKQFKGYYFSASSSDAEGSDDQGNQGTASLKGTKSINRET VEGGEREMTADAEESIPDKGSLPKVINDDGRSIGQDFHVTNTLSQEQREKIIKYLNGR KACDEGLPDHITYHEPLLNREDFARFVLPQCRFTDCVNHELLGRNLSMDDLLKYSQSS ICESIIENWALTGISICSNHTEDEKQNLPQTRLNRFEERFHKISGNDWEFSTEERQQY LTKLPGHEHFFCDISIPIWQEKDQKSGEWNDMVMFQVHEPKRDYCSMVSYIQNNVTTT DPKHGQY
I206_04615	MKPIESSSTKTVAPKRKLRPARKQVKEGEVDKSEAIQPGKEYNI WYNKWAGGDKEDSLANKTLSQTRCIITRDAGYTRADATGNKYCCLFFARGCCPYGHEC NFLHRLPLPSHQLPDNSRDCFGREKHGDYRDDMGGVGSFNRVNRTLYIGKIQETPDKK QAEETLLRHFGEWGEIMRWNILYGRGVAFVMYTSELNAQFAREAMANQSMDGEEILNV RWATEDPNPGEKKREAARIQEMGEKAIAGMLDEDLVEATQTIRALEEGDTEDFYHIEQ SKPEEKEDEGIPDQQEERPTKKVKSSNAGGGGFFDADALDNLKFYAEIAKKQALENQD RVKERKVPAKPVGMASLLGGYGSDDDSD
I206_04616	MALEQDELLAHEAFLELLNTAANPTSNTALSSDDLASALGIDKP LSKEDAINLLEKEILAPVHDLSGEELWRWQVQPTVELPIPALTLKPLHQTHTVTPSYR GIDGTFTHWRESLAPKPPAHPALSSSTTRAPGSLQNFVRGKGSYSPFLPGGLEAAATP EENDEQEEPEEEEEGWKTRAPGLRRGIQLEGADDFLAEMLGQASIAPKAKRRRKEGEF ESQLTVSRLGDEEEIEEISTNGHAGPSSKNVDDLLPIGRLPAPPPPRRQFKAAMHKEW AHVVDVNQRLVNFNELVPEMAREYPFELDNFQKEAVYRLEMGDSVFVAAHTSAGKTVV AEYAIALAAKHMTKAIYTSPIKALSNQKFRDFKTTFEPSSVGILTGDVQINAEGSCLI MTTEILRSMLYKGADLIRDVEFVIFDEVHYVNDAERGVVWEEVIIMLPEHVNIILLSA TVPNTKEFADWVGRTKKKDIYVISTPMRPVPLEHFLWAGKDIHKIVDSKSHFLGEGYK AAQEATRRKQDKEREANGLPPVQRTGGRGGAPTKARDLPTGKNAPFTKTGAGRTHTNR AGGRGRGGFGGRASHQLDQNIWTHLINFLKKNTLLPVVNFVFSKKRCEEYAQNLGPMD LNNAKEKSEVHLTWERALTRLKGSDKTLPQILRMRDLLSRGIGVHHGGLLPLVKEVVE LLFSRGLVKVLFATETFAMGVNMPAKCVVFSGIRKHDGTSFRNLLPGEYTQMAGRAGR RGLDTTGTVILLSGEELPSVTELNEMMLGTPNRLSSQFRLTYNMILNLLRVEALKVEE MIKRSFSENATQKMAPEQQRQIAHAEKQLARLPNVDCSICKVDIDAFYQLSAEIVRIN QNIFKQASYAQNSGKLFVPGRVVILRNGHLPGNLAILLRSATSLTTDGIKSDAKAWRM LVLVTPGQRSRKEDVNEIDVPPRYPPVLPKGSFPTPQWEIATYDTTSLSFVANQILKV DHSGIIDKSSKEARDKALHDLTILHEELSSLPELPEVDWSRIRAVEFVDAIKQRAMLT DRLRKLGCQLCEDFQDHYTILHERKIVESSLISLKLKLSDQNLELLPDYESRIQVLKS LSFIDENSTVLLKGRVACEINSAPELILTELILENILNEFEPEEVVALLSIFVFVEKS DSKPIINEKIEKGLNIIYKIANEIEIIQDKCKVQYDQFDEKYKIGLVEVVYEWAKGMP FNQITELTDIPEGTIVRVITRLDETCREIRDAARVIGDADLFQKMEAAQALIKRDIVF AASLVSLNL
I206_04617	MSSLPLPPYHPDQPEPSVPSFDIDSIPDEEIDHPSGNEELLPKV LKSPDDRNVIFPPLILGVSTFGYGIYADDDNIKSDLPLRIVRLAMRCGINAFDTSPWY HPSEIILGEVFKALNYPRESYHLITKVGKYGPNSKDHRFDPDTIRKSVERSLKRMNTD YLDVVYLHDIEYILPPPSYSGNPLDSINSILSLPLIPTKEEQILLTAIETLRELQNQG KILKVGIAGYPLQILLRISLLIYKITGKGLDLLQTYSHHTIQNDSLIEGYLNLFLNKS KIKQIISAAPLSMGLLTFKNCPNWHPSKSNLNLIKIIPKISKICFENNTTIEQISLRF GYRPLIENVNLPIVIGCIDLNQLHSTLKNWKEVNPSFTSEKQQKEFELQDKPKRQFED KIKNMFEENGTRNWSWECPSQAQRSG
I206_04618	MPPTRTPKKPTSKPMASSSKLTLDNIPSIDVPETITPGYVYKPR KEVRRLDEDERARLIHELVGFHPRTLCHDIAEAARKEIYIILDAIENWARNAGGNNPK YETELNTGLVALETLIESHVDKAFDKFTAWVLRNAFEFSPELEVVMPWQKGLDFQRGE YVANQSKGQQILDDDLESMRIKVEQTRLLAQKLDLAEKKLNYKLNIAKQRKSEIGFIK EIIDQAGLNPLPKPTLQLIPILKSLSNSLKPLEPIYSLNNQNQKNIGIVTGENTKAWE LGRSAYLNWAINKSLNSKQIELSENIIINTGGGVGGNSGISGSGDKLIEIENQIKQIV GNQIDSLENSEKILKNK
I206_04619	MVEHDNSDIPIPPCDWFISLTERPDNQVTYITLKELFLDPARLK EPKALVRGGLILGRKSSNASSKNGLFNSPIISRAHAQLVVSKKGQVYLIDLKSSHHTF IEDKGETHIIPPRSPFQLLHGDTIHLGKTVFSKGKNFEPVKLDIAYRYPRLGDLLQID GKREELNHLDPTNLSANLAENKPELNFVAFVQSAFKERQRAQDLSGPSNFKEIHAMGD SALQSLTDAASGPSLAPASTTSWRLPSSMTAKVPHKTNDKESLHRGSYQPEAEIGEEI KFLGTKLQISGLSKYSPIEIHSAGPQSPISVMSRSDQEIDMNQQNTSPLDAQSRNNTY KIPASLLYDSEGDDNESLTRGFSESEEDGMDDDSMTNYEGEHFSDQGEAPELMDLKGD PLLNIADSKSRLPSPDTQDGLVPLASLVPSSNLVHPQVWLPTIPTKVANKWGMSPSIP DAALPAAHQLAADGPEDDDSLEYGAWYSYSSDEEVQDETVPQQVVPGSPPPPSSASPI YTPVSPQPDGLRHPLSPVPTSLLAPPSMAACTFEVASTSPLKANSNAFTGPTRGGLGG KCVGCDEESDLDSEEEEHSARCSANEREAAQDQDDPVHHSDDEIIFAEQYSHDEEEDL EEEPSTNEDFNEAQSGEEEDYNEDMSEEEEDYHEESSVHHDEDEDEDEEDDDNDSASD SQDSVKDQYYRHRYFSDEMSNASDDSDRSVDSEEEEISENDEEDSDISDMDIESEEDQ TRPEKEGTDEEQVTLQQPKQGEVDDDNAVVATMDKAGMARPETHKNESEQYCTSNNPI DPFELTKDIKAEIISHFDAIQQIEKDTKVVADESVSLPRPEDKHEENHTGHLGTVDEL EQSIVAVKELRRMYEEASNVPHEETNDKLEYVISGRPRMNQTSNEDLELPLIDAERAS TPSMSDASSEGPITPENSKKRPLPDDFQIVDLNHPEGTATTNLDQALQVAVKARGRPM KKIRRIASTVGLLAVGAAIGSAGTVVGLMRLAEP
I206_04620	MDIDPPLTTPSTSSSSSSTSVNSNTNKEKPKKFKLDPMSLLLPH ERQAYLAPQQQSQQQPQARHPPAHPQGYINSSKVAQYNKPLSQSPIPSLQNQAGPSRL VGTQSSGGTSSNERPPQPYTQPQIPSQALPISPPASLISTPPPAQWDSLSIASTPYSL DGTSSVSSHYSHQPPYHPLRGSKVRLEDWEIVETLGTGTFGRVLLVRQRPSYRPTPYH PIFPHLFQSLDPLSPSPSSTQQSDNQLPHFAMKVLKKSEIVRLKQVEHINSERSILEK VRHPFVVELYATYQDQLNVYMLLSYIPGGELFSHLRRAGRFSADVTRFYLASIILAIE YLHSRDIIYRDLKPENLLLDRHGYLRIADFGFAKIIEDRTFTLCGTPEYLAPEIVLSQ GHGKAVDWWALGILAFEMLAGYPPFFDDHPLGIYEKILRGDIAFPSHIDPYAKDLIRG LLTADRSKRLGNLRNGARDVMSHAWFAGVDWRTLERKEIGAPIVPRVASMGDSQNFQR YPPPRPHELPGIFGQPYDMTTDQYGELFKDFSFPPSAINSSSSDKASPVISEGR
I206_04621	MAGISYIPGPEPTAQTSGFVQAEDAPASQQVGTSEGLGGGLGSS PLVPKPGTSVNPATGQLHSEGLTREELNAKKAAEAAAVTAQLSSSTSAELNDQVHLTG GLGTSPLVPKAGTSINPSTGQLHSEGLTKVELQAKRAAEAAAVTSQVSPATSAKPEDL SPDELKQIQERGKDLLDPTPLVQQARRSSSPKKPTSALPPVSESASHATALTADGRRL DELSGREQAARQLVGNTLTAAPANIRTESGLSTPGLELPGGWGATRTVPFPGTGPDAP TSIYNDVAEGLEKAGRAAFAVIPSPIKDALSGSPSSPKASTVPQASTSPKAPSSPLLG VSPPQGRRSSVTALFDQAKVQASKLVEEAQGTLQNTQRRASASLSRDSEFRNKIESFV DSFAHPGLIAAGTGRPGVVGLVPRYSLPSEEPAGALPGEHTTGVGALPGTINETGVAV LPDEKKAPQENQGILPGENSGGFGAFPRQLGQGGLTATQAQRGQEPASSPAGPSGTSS VSVPTASSSGTGSGYSGLAPALPATFLGLGGDKGATDVPKALGTTTSNVNSLTTDPEP TSTVESGSTPATSTLSPATANSNTTDPTSAPATAPGTANASIISSAESPTESSSLAVP DTRHGNERTTSSASVTAIRHGNEGSGSKFSPLASSDNTVPEHSELDTSSVGQKSTTSG TGPNHTTSLTPGSEQDGIGHPSSRGVEGTSKQPGTYPSADHSAPSTSTTGATVAPESK LGEEKKKADNLVEAPAQTSDFAPTSVPANATESTPSITENKNNSRSPVDGPTETSVAA QEVNPTKGDNASHLPHPSTSNEAKDIAGVSHKSSTETTTPVTKSTAATSTPATKTESP VSPVTKTSAGTGNGSGHVRKDSTSSEKKRGLFGKIKDKLKH
I206_04622	MNRYVRSKPDLSAPNAPSQINYRIITNLTHLMYLIILPFYLIYL TLYHIITPKPFSSWTIDRRISINLSKLQQYLSGWWIPPPPIEWEDWKISIPGESYIKS KERGEIDLKVVKFEPVKPDYIKGIIDVQNIKTIPRPGFLISPIGSKGKLDDISKIDEK VILHIHGGGYIRGHPLWTTFPLEISRSTKLRCLSVNYRKTLSEDTAFPAPILDVLSAY LYLVQNLKFKSENIILLGESAGAHLALFLSQYLKDLYLSQPGYLFLSSPWSDFTLTYA IEQEHKAYCHSTTFRLSRAIRSATRYYNLDFLNTGYASPAKMSKGGWDYLRKEKVKVY MHYGGRELFHDEIVALGEGMKRDGVDVMMRLDPDGLHTSGISGDAGEVFKKDILEILS 
I206_04623	MSDYTAVHNGNEAYNSSDQYNDKKSSIPWGLIGMGAGVFVLIIC IIYFTLLLVSNRQKKRKDGYFWRRSECKLCREKFKSNQDRIIQSSKTLGCLPKVKLYH EDCASGRNGTFQTSMSWREAWRTMNLCSC
I206_04624	MPETSPSRPWIETPLLESRALSKLNGCRVFLKLENLQPSKSFKS RGIGNFIVESIKSDPSRTPHFYISSGGNAGLACVTAASTLGYKATVVVPLSTKPMMIE KLKIAGADVIQNGATWFHADTYLREELLDKDSNGVYVPPFDHHHVWEGASTMTEEWEK QLSIIDGNKNERTNADLVVCSVGGGGLFCGIMKGIFSNERKTKVLTVETIGAESLYKS IEKGELITLPGITSIATSLGAVTVAPKAFEYANENKDLVKSIVVKDEQAVKALLKFAS EENLIVEPACGATLAPAYEGRLKELFPDLKEDSKVVLVVCGGSAVNLELLDEWQRKYI T
I206_04625	MTSWRKRIISPPRHFVILNRIAVPLLAILTILFLLLPGISGPQT GFYWLDVKYKNQNNITTFDNPSRGNSFGNAGEIWQLGGLGACKLGERCQSDQEFPAFY KPIQQVLQLHFAIIFFIISWFSFVIFKFPQANITRRGGTLLPLLGPFFTSIILMSDLC IAHSLEIKANVEQVKKIGVFWLGTIGFIFSILWCISAELDGMYKRIEFAESEKPDKEP APELGIAEKAVQGVASLWPWKGERKDRERSRRRDGGGHKRSKSRSDRSRSERKSKSRS DS
I206_04626	MAALRSLSVAGPGPQTWLYRLSLQSRFGCSLIPTAVSSARHSHN AVPRSANKSPGSTNNRPDRTQKTSSVNSKLLFANEGASENAVPNFDDVTLLGPPPEYP IQDDIDRLLQALRSSNLESAIKEWSYLWDLRCVSRLSPQDLEIISNSIRKILFGKNYP HLGRMALYQSAQFGHLKNMATEAASRGYGTGLYSFMLKLIGCGRPRDVVDSFEKCKQK MREFQGKNYEDLFSWDREKRLSARLEDTGDSQAGLQELMMSNIAAHTLLNDLDGTVLF SMLDSQIDFRSSATYNFTPIENALRACKSSHLYQQFRQNVDKFVLSLMCYHPNALVAR ITSLGTSRQYDKLYELYKKVLEASIGPDAFLKPKDLDDFGMSSRNIPLPPVIWLQFMK TFEWGSNIDKIIKMLDQDLPQRGLMPNGHFLSIAMLYMAIIAKRSGISPNKRSKARSM VDEYWRRLSVHNWHIEDGPFSRRIRTLSILSNLEPKLKSEITSLFEAAKDGHLGKIGP KTRAAFLEFYMRHTPNNQALGKAIQVFRVFPYDVENEGSGIDMAYAVFIRLLALGNWS SQEKLKAYKKVTTSLLATGYSLRTHLLGPLLSIQLQLLNIPMETMVNMTLDATVTPKH PEPGIQRWTKVLYGLLTKWTHASSPTLMESQAGLMILKRATSEQLYGVTRFREVGLFM SFLVPIAKATNLNVEQRQEFIDMALNIFPGGGKKNVSINMYMEIISHSFNRPDKAGYG EGIRRWKELRTLRDIPSIWWSKMLTLLIEHDKYDWALDLVRDAWNSKQVLATDGFWLR ARSHGLTSELDLDDRLVIEQENLLRTQRTNQDSGKKWRSKEVIAFAEESVHDRDRTIL EQAEENEVFEDDDDDEDSRWEAGEEDE
I206_04627	MLSDTGANNLPFDVSNPFISKLSTSPRKGRVSPAEESIATLREG MGRMDISSRGNSLDYLHMERKDSKETNRRELDRFVPSRPASLHHSSHSSTTLPTLALD SHGNTPDTSMDRSNSTIIDPTTSSLSATLGLPQSNRRILSFRSAPPPASHATSHLDAQ RNYLLHSSATANRGTGSNSAGKEGNKKRAPPYVPERVLDAPGFEDDYYLNLIDWSCAN RVAIGLGDTGYVWDAESGTVNALGGGEESEDRPKVTAVSWSDDGAFLAIGNDAGEVEV WDVEEGKKMRTMGGHAARIPSMSWHGHVLSSGCRDGSIYHHDVRVARHKVMELVGHNA EVCGLTWRSDGQFLASGGNDNVVNCWDGRIGASVLQTGEGVPRGVAKWTKRNHTAAVK ALAWCPWQSSLLASGGGTADKHIHFWSTSTGARTSSLATTTQVTSLVFSPNSKEILGT HGYPDNTITLWNYPNLEKIWEIPAHDSRIICSSLSPDGTTLCTGAGDENLKFWKVWEV KQAKKEREDAYDGMSGRGKKAVIR
I206_04628	MPRHILQPLPKAFKPSSSNSKIHRNDERMWDNLDRVQVLGERDG HRGCVNALSWSDDGKTLLSGSDDQRICIWQPDPHPSSSYSPHPLKLADTISTGHRANI FSAKFLPNTSTPTIVSCAGDKDVRVFEVERLVRNTSSGELIGARGDGVTILRCHKDRT KRIATENSPYLFLTVSEDGTVRQHDLRRPHTCRSQCPEPLFYAPKGVDLYSLSVSTVT PHIFAVAGRTDTAYICDRRMLPRQTPSWGPHTRSSGQVHCVRKLGLPNDEWEKVSPNN GNRMFGEERHITCVKMSPEHADEVAVAFAKHSTSLFSIYDSPPSTSMRTTSPVILPSN EKTDKSRSSSPRPVKLKDGKTPSPASSSPAPFIPADDELPRPTPKSKTDIVKDESGDL EEDARSSPSLGKRRQSDRESILAESSISANRPRKISDLITHDVLDEIESGSRTNRSTT IDAQPGHYPTSAENKCSDNVQRVGPPTPPAAYTREDFLRDEENGDGEHERSDEEEDEP SLSDMEDLALDILDQNGMLEDIMSEEEDEEDDLDEFDDEDEDMEDDDGGDGDEYFLDP DVGILGYGGSNASNLDAKAFDDVDIIYPRRSYKGARNVETVKDCNFLGVRADKICSGS DDGNFFVWDKETGKLEGIWEGDGSVVNVMEQHPTLPLIAVSGIDNTVKMFAPTQTPMN PSFSRKHLSETIVERNTRVPRFLSGGSFDRATLLQFFASRGITVRSQAHDDDVLNGDD GDGVEGCATQ
I206_04629	MIRPITTFSVLLPFLVMGANAAEDTQDSLTGMIEKTTPLRTHSL AAPYVDSDLQNRWWDFGGNAIINTNKHVRLTQDRPSEKGWLWSRMPMSVQNWQIDVEY KVDGKAHNMFGDGFAMWISKDRAKEGPVFGSVDYFNGLGIFFDTYSNSRHSYQWPRVT AMLGDGKTQYDHDHDNEAHEIAGCSHNFRRQGDTPTKARLTYVKGKLLQLKLQTKYVN DWTICFEVPLDLPDNPYIGFSAATGDVSDNHDIISVNTFSATLRPQFRPVDQKPFDTI VNQGTGGKGRPSSKSSSSGGAAGWFLFILKGIGILAFIAFAIAAFRTYNAQKKQKRHW 
I206_04630	MSITNKSIDLSYTLYPPSQITAPTNVNSEPIASSSSKSYSIEQS SRNITLPPSINSKQSETSKYYEDLTYSLREVQINLNETLTYWKDVIGDKEKFKEDLGK VGHGKGRATVMSLAVNGDFADQREGYKVEKKINDDSDTDESDSE
I206_04631	MAPIIKIRRAQPPYSIIPILSNNQNAIKHNVYIASTQTQTDVDS NHNIIKSQIEYSSSPHTQNQIRQLSLDNSTPTRSSQPATSATTRRTANPTTTDLDPNT SQRQAQSIRTTRSDNPTAVANLDIGSSSSTKTSTANSNDQQETPDSSSSFTRTTTIHP SATSSSANTTTRTTQNVRVNSDSSSTESEGSILAQAVATSNISWWQLLAIIICGILAL SVGSWLFFRSRQRKRYKRKEKKKQDLEDKLKEKESIKRELELMKTFKHNKRLKGKNEK YFTDSDSDDYSEDYSDDETIPKRSGKYRKRKKDRKSKRRRRYDSEEEEDDESIYEREL SREKEKMTPKSAFSFRPSSNKSKSALNRKKSFRDSVFSTYNSMKKSAIKLKYVEAKVK LEKQLEQEEILEKERKEKILKANEEIKIFNNANRELEERQNTLKVFNDINQNQQIGRE WEEPKETIQNQWSNNNDGIDSNQQIENQNWVNINDKPRGKLLIPPMPRQQSTKTHSAE IPTAALLPYHSNRSRDNSFDGEISNLLGNPKSSSSSSSASESLNDGVKAKKPDNEPKE MSKQDKRKVVMPIQPSISITKPEASHQRGKSKAYVDVDSDSSDEEEDYYPSQLQVKKK SSQSNFIESTMGLSKSFNNDQKVESKKGYTNPFSTNSDWLSKSGPVQTSPTEMDFNFN SRMNENHSSSIPNITHPNDNPVMSRLNLFGSSTNTAGSVSLRGKGSLGYGLQSGSREN TIGGGNKWANRLRERK
I206_04632	MVNILEDIPYYFSYLRRTKTKCNNFKSSSSTKKHKSNFFQLSLH ITNLPSTIKPLHFNYILSNHIQNNEGFKRKEKINSGINMIQIYHLPISSSSTSTSTKT KTKTKKSKFKYRGKIFNNLKTLFCISNKFIKDENENQLNSQKNLRILNKLNNPIISPV PIQQESRVEEREVINFDDHQETNLTSTHEEARLVNVNQVIEEVETVAWIHFINEESCK FSLFQFSSVEYAPSMKTEIHLRAFYELVYRAKDLLRSITIDGRKIIVKTDRFNSGLIK KFWKIKD
I206_04633	MDDQSLQTAQQQADNDALASAVAAASAHLGSLGHHPDNDVNVVM DDQQLNHHQPHHFHHALQQPDFSQHQHKEELLDRHDLHNNGTGDLGVEVDVNVHDLEI PIAVDDHHLHQHEHQHHDHVVGMEGEPELDLNLGDMNTPNEFDPRDADLSDFDNSRPN SFGRPPSIRKACDLCHAAKQKCSGDRPSCTRCAAGGWSCIYAPRQRRRTVPKDQKMAH QALDPMQQHHSMPHAQPSHGGKKRKLGARESLSTFGSEAMDMKMAMGMAMDMGLTGEE EGEEMQTMTDDQMLESIAIDGYLADLPLATFVHNLPYTAPPQPEPQVHYNDSDFPSAG DNPFPNTDMDQHTTSALRDAIFSLNENNAGGHGEGNVEGDHGGDAHDMDPHLALLNLT SMQDDNDTSDGNVNSDPASGLQLPDFSVPQGCNHRQIVPHILSLLTQHTLDPKPGMST PLTLGVFAPLARSLRLFHGLTQCPTCSTSPQQTLPQLALLSRTTTMLTFPCPPINSSS VGSSAQITIQGARLSGTGLSEAIEQHIVNVVWDSWRASIREIFAVLDKKAQDIITASN QQHHLQQQQQQAKQENNGDNANNGGGSGQGTPHVTPPPPPASSLEKQRAGLIFQAVSR LITAMDEVEG
I206_04634	MAAPLVQFKKGPSRRPVQSRKRSSSPIAESDLPSSSTSVVRPEK KNITNPLVQGTKRRRDVNGDVEGGMDDLEYRADEGLTRKADELATRANDWDLEVGNDV LKERKLKLNEDGELDIDDGIYRGNSNYLPTINKTREQLNAKMKTGPIKATSHVRTITV MDYQPDVCKDYKETGFCGYGDSCKFLHDRGDYLAGWQMDNLDASQQAVIEEEDEEEEV PFACLICRQPFTNPVVTKCGHYFCMNCATKRFIKSPKCYACGAPTSGIFNTADKILAK MEARNKAKREAKGLVDEEESGGIEIGGGSDSEDQDDDED
I206_04635	MSAPPSPTLSDSELLDSLEEAGFDFASDRDRRIEALQREIKQVK NLKESDNGRVVTFVEEKLLIERMSKERYVLLHFFHNDFSRCKIMDQKLSELAPSYPHT LFLRACVTDIPFLVSKMAVQVLPCVMSFVDGKAVDRLIGFEELGDSDQFTSKMLEFRL KQSGVLPTDLSLASNLSSNFVPIRKDDDDISDDEDRRHTTRGARKGKVGIRNGLYNEG SDDDY
I206_04636	MAPYIRLPRQNNSTNTNPGTSGDSTSNDASSFMDKYSKEIYISL AVLGVLILSYFLWAGSTNRLFYPPFNQKRCNECKKGISKEKKEEEDYFKSSADAENSK GWICKSCQEKLEEKMLNDELEKEEEKGKKIKSKSKFHIKEKSKIKSKKNIEDISEDEL DSSDEEEEEVVIETVKKVKKVERDLPKIEKRKSRPPPLKKRYEEESDSDDYDSSDEED VRGKAGGKVKVRSKA
I206_04637	MGGFGSSTVGSDAGNGETISNSIPWQYIIPAIVPGLLILLFICL RCGLPRLKKRIFARVCAKPDCKFGRYIEQKDRTKAKQDKTNGGLDTWYHKECFKENEN V
I206_04638	MEEIKPHRTESNISVIKPANLEGNALSNPKDERRIAIEKKLVRK LDARCSLFVLIYIMNYLDRNNIASAKGKGLTRDLHLNATQYSTCLSILYVGYILMQIP SNMIINKVSRPSWYIGIAMVIWGAISTCSGVVTSFGGMVAIRFCLGFIEAAFLPGALL ILSKWYTRRELTLRNAILFGGNLISNAFAALIAAGVLSNMENVLGHSAWRWMFWIEGA ATMLIAIAAFFILPDLPHNTKGFSEEELEVAQLRLLEDVGEADQDSASEGIFDGFFMA VKDVKIYVMCFALTAYVVGLSFNAFFPSLTGTLGFSYIPTLLMSSPPWVFATIVSMLN AWHADRTQEKFWHIIVPMFFGLIGFVICMATHNTAARYVALFLQASSYAGFIVFYSWI SSSFPRPPAKRAVAIALINAFSQFGNIAGSYVWKLDSNGYRKAYGIVTSMFGVAIILC YVFRTILVNLNKKLEAGEQAWETRADVADKGNQLEHVERGTDEALDMKRGFRYLI
I206_04639	MRVTKPSWVEHSVGEKKGRCPIYSISVHPDSTRIATGGLDNKVK IWSTLPILDEGAEKDEANHKLLCTMAAHTGPVLSVRWAHHGRFLATGSDDTVCMIWGI DPEGAGRLWGSEEVNHENWKALTRLVGHRPDVVDLAWSRDDSMLASVGLDSKVWIWDG NTFERLRKLDLHQGFVKGVCWDPVGNYLATQSDDKTVKIWNTEDWSLVQSVSQPFETS PQSTFFRRLSWSPDGAFIAASNAMNGPVFVAAVIDREGWNSDISFVGHENTIQVAAFN PRLFFRKEDQPGRATASCMLALGANDFSISIWRNTLHKPLVVLKEVFGRDLLDMCWSN DGYNLYACSADGSICAVSFYPVELPELGQPELTQKILDEYEYKPKRGLTRAASIQPPL SITNSFGASAAPSSTVVNVLQPRKKNSANRRVDLSNPASTSRSIHPATNDPFAAPIQA FGGSNGHQASTAQMFEDARNAFSNNTANGDSPGRAGSKRKAVFGEDDARAVRGRLMST AQNDNHPVEVLRAPRVSVQGGSTSSQRQLPVPQIQSVVRAALSQEEGSAYFQAQNSHS PDGLTKISFVKDNQGRWVDYASSSVLALAVTNKVCAAAHEDGSVVVYSPAGRRLSTLR LGSVIYELQASEDKLLVVTSDCQIRVIDTRSSKALISSVSISHLLDDSSTSSNIEIKQ CLIQPNGVPIIITSQPAAYAYDNSLSDWITISSTHQNSSSPLTTTSTGIVGDIESMTR SLWKGKRRDNGGEWWTESMTMSHHEVRIAAATLLESKEGYQEFISAYINYLGNEGFLE RAEEVLRELIGPIYKTTQPSDWADTVVGLNKRDVAKALINYLSKTNRGGELAKEYAVL LGKLSGDGW
I206_04640	MASSRIPGCQIVIYRYKDDFLDKPQDYLKAFGHLDIEKKVPKIT LIRLPLASSSKSFTSHSLVPILTENGFTLDTPIKAILPKISFGEKGRYLYTYDRSTKL G
I206_04641	MSSSNSSLATEINAKIEEFVEEYLEDPKNTAGEIAVVFLQKKPK KGWFAITEELIPWEEHLISLRWDTRTLIPQVLQQPLLQITTFCLNNKGNVPPLVGNSE NSNVSHQILIAPPSPTELFSPSPPTYPTRLTSPPPAPLTHTTPATALARDLTTPNMTS EKSSENAIVGSTRRGLSPAAGTGGYLEQAKDGLRAVGAKAGAGVGWGGRAIGGAFGRG GEKM
I206_04642	MKKGPSLQHFIVRAEMIQAYRSAVRATRPLPDPYARRETLDFLR SDLERLRGEYDLNKLKSNLSHFNRTLKQLLPSIGLNGLTQGEIGSRLIGQKKSIKDIL S
I206_04643	MPPSGPRSRTAASGSQPSGRAPPSTNQRNEVFENIFGRPAGGHH LGQGPVPSSHHAPSSSGPSHLHPSYAPQALPSGYLNSAQPSYPPGTPYGAHPAPRQQI PPQHSYQPPANSNGGMYTGTYDPSNGTGHGNGTYGRKVSHGYASDAYGVPAGDIPDRR ASLAPSAYSTTSSASYYSPNPDSVSPPPPRTNRLPSNPNAIFPVPAPSHSGRIPSGSG PGPIRQQGLTPAQAYQASTSQSYNNGNANLSSPASSVFPHRVASPAPPVPNPKSDSPA SFASSTQTTSSRIPTSTSTTSFNKPLSQYQQSPPPPPLPKTAYAGIDENDRYTSGGSG TDHIGQALGKMAFETSPQRGLLDDFGLSSSAGSNSNQDYFQRHPDPHAPPIPPKAEQR HSSFSSNSGPSMHKRMASDSSVNSSVYTTTAGRAHMTPLSQIEPSASVYESYSSPTTP TGSESGFAAHAQRRLSGRKSMDSTVSLPLPTADSPLPPGAAPSATTFDNRALSFSGSS SNRKDVVKSMRAESIGHGSQRRSNPTNAPPSSFSFPTPLSSQRSLSGTLTRSTSTARA AILQTLPPVYPAMLSQVADAFKKLLILSELIKDGITYKDSFDGRTAVGIIADIIKTPD RNLALLLGRALDNQKFFHDVTYDHRLRDNPHEIYQFKERLTAAPFMGNAAQDSPSSEH AGLGRTSSVGSTNLRGMSMTRPPIGGQGNSDSGSMNNTSDGGHHTQTTPATSTTTLAS PKSMTKSHIWDNMPATSEKTQVEDESEDDLPVGVFTLLTDCYSPTCSRERLCYSINCP RRLEQMKTLNMKPQGGLSRKLSQESIVDVKETGTLWIHSVSQEILDSVDDTEKKRQEA INEVIYTERDFVRDLEYLRDSWVKPLRTSDVIDLKRRDDFVRQVFWNVHDVLSVNQVL AEKLTKRQKKEPVVSGVGDLFLERVPLFEPFVVYGSHQLFGKYEFEKEKTANPQFQKF VDETERKPESRKLELNGYLTKPTTRLGRYPLLLEAVLKYTPEDHPDKKILPEVIKLVR GFLTKVNIESGKSENIFELAQIEQSLVFRPGEHIDLRLRDKSRELVHKGPLKRRGGNR EEIADLLGFLFDHAFLLVKPKWVQKSEQYKVYRRPIPLELLVLVTPDDSYNSSKLSAS RAATKLMPRQSTTSSITGNSKVTSLTNPPKPESKHGFSLTVIHLGKKGYSMQLWVDTY MSRKKWLESIDKQQTILRERSCVFVSETITEGGSSFTGGGLRKVNCVSPYDMGHRMIY GTDEGVYFSNLRDDKLREPIKVINLLDVTQVDVIEEFQLLIVLHERCVTTFPLDCLDP NDANAALKRGKRISSHTSFIKSGVCLGKTLIAIVKSSTLSSTIKVMEPIDQSMRGKKA PGGFMKRLNGRDDALKLFKEFYIPTESSSVHFLKTKLCVGCTKGFEIVDLETLDMQGL LDPSDASLDFVLKRDNVRPIAIYRIEEDFLLCYDEFAFYVNKNGWRSRPKWAIVWEGL PTAFALQYPYVVAFEPTFIEVHHVETGHLVQIIPGSNIQCLFADTPPSRVNAPVPVQP NRGLMYPPQTNNPYGRPPPLSSQSLHQPQSQQGYFPPQPPPGQAGFRPRPPFGNMPPP QGVGMMVPRFARQQVIFTSDDSHVQFLKFPSPQGPQQRPGGGAGQVVSSGHSIRGSH
I206_04644	MSDRSHSYSISRPLSPPMPSYEPPSASSSSSNSNSFNRPNQGHM PVKRESWRPGQPSTSASTSTSSWAHSNSNPPTGPSNKNAWSNPSSGPTESNNPLSNLG REMSRSPASRSRRDGSPTSSRGYDKDRGRNLDIDTDEGDSRRDFGRRRDFDRGNDYNN NKRRKNFVTGRSGAGQGQERSWAAWNKKVQNNSRQDESDNKGWSDRRREFDRNGNGRN NQGRDRDKNKSRDDDRNNRRLSNNENDDNQGNGDRSWAAWKAKVSEKDREATTSHTQN DRRDVKLENAKKEGFWTGVYQNNRNNRDSGPRKNSRRDSPDYGSGSSINGRGRRASPD YGDQPGRDQTASRSSPDYGSRSDIKAAGRGARESPDYGVGGKDQDRSRPSSPQSNERK RAPSSPRQNDAKRQREMSPISRQDSRSPSPINRWAKSHRRSPEPSASDHRQSYDRERS PPPPPRVRPTSPANRRAPLPPQGQTFMSGGNARSWSRREDRSPPSRAWARNDDSDRSR EVQERSYPYSSEPPRDRQSQNENPHPLQNRQDFPPYPSQSPYPTKIAPQMDDALPYDS PPTRSASTLDQPSLAFTSNPPDSFVQPQQLTQRVFPTQTIPSNSGIAPATQAEPQSLA TGSIKISFNASPKKGWKSISPSKTIQNLFERPNSPTKQEPYPQAQQYSPTRSTISIDR LSSADTPTVQTPTQTVAPYIQAAFTSWFDQNMQPPIENFLVHYFGREPSAFELDQVEV LLKRKQDMAVPTNTLNTRKDDESGARSRHQMDSRDWERDGDRNRDFRSERDRGRNSTR DNGPRYSQQNEIDSSFGNGGRFDSEIRHFRDRDRRLSDNIPNNGPGGVNDIPVIQSRW TPQTAARETDDPLRGSSYSANGNSNGAMSINAQMPRPSESNESSLIDPPSNYPSQPPK QTQTQAQAQAQPIQSNQSLQLPTSEQLQSIQSQLAQSQLNQITDIPTKVSQPDKPPIT SSAFRPTTSGEMYTLLSHVGEGTYGKVYKALNTDTGNLVALKKIRLEGEKDGFPVTAM REIKLLQGLKHVNVVRLLEIMVSKGNVHMVFEYMEHDLTGLLSHPTLKFSLGNIKSLS YQMLDGLKYLHLKNILHRDMKGSNILLTSKGELKLADFGLARLYSNKFNHRKDDYTNR VITLWYRSPELLMGETRYKSEVDMWSAGCIILEIFLTKPIFQGSDEINQLEVIYNIMG TPKESQWPGVKELPWYELVKPKEIIESKFKSTFEKWLSPDALDLVQGLLHFDPSKRLS AEQAMKTTYFVSEEPPMELPTQLDNMGEHHEMTAKQDRHRRRQMEGR
I206_04645	MTGITMPKMMYGTAWKKERTTELVIQAIKSGFRGIDTACQPKRE CREDLVGQALKTLFAEGVVSREDLFIQTKFTSIDGQDKNQPLPYDPKLTIPEQVEQSF QTSLKNLGVDYIDSVILHSPLKTKEQTLSAYQTLESFVDEGKIHNLGVSNIYDSNLLS WLIEKSRIKVGVVQNRWYEGNGWDWAVWEICQKNNIRYQSFWTLTGSPSLLQHPHLIN MASKYGLTPEQTIYKLCQLWNITPLCGSKTLSHINEVLAVESAIGMKEDTLEVQQLWN AMHAD
I206_04646	MADHRKQLQEALLSLDSLLDRLSHFEEEEINYEHLLVALSDVRA KVKDYKTKSKKKKEDKENEMAIIQNMDEKATVKIWNLTSELKQYLELTSKMGKQDVQL IASPSTEPRPNTILTMRMLRAGASAISALTLSEDLKDAEDLVQAAAELNQTLTTSNTP DEAINNDGKEAQLKFQLARVDLEIARRGDNLALSLMIRAADDATTVAQYQEVLCKYWS VYQRLVSRTPSKDEVAVAFTTAPIEWLQQGLAVSNKMKDLGLIIPGLHKIQVCPSAVP SEGRPADRATPCLEGRNTSISGHVYFKTVETFWLIYSTTGQTSDIRKQAFAYSYATPR REKGSTVRPPPADVFDALEWNDEAVASVIAQLHALSTDFPDLPFSVLQTFLIHALSQD NAYPYIGQILYEGISFGRQHFSRHTQAAHHGIVVMFEAIVKTGVQQAIDLTKAIGMQM MLLNLADKLYKINEKLEEAAQWYMLVAHPALSSAGDVRSLASLRKGALCFIQSGNLLV AEDLLKQCSSNDASTQYLFFLLAQKTNDTQVAIKAIEETMKCPDFGAGHIVAITSVAE REGDHALRIIALKALFESLQQSDVRDDLKIESMTVIRCLMQIAMSSDNTQQDKNKVGA EIVGYVDCGYNFALDSLSEISAEILANLFNCSAQLIYWYGRLVGKDDENTLHFTRGAA MFACFSGKLFHYRDLPESLEKNLLQDQLLQYFRSVFDGLLAMPEDQKRDRLQTLLEVY QMELVCDSSDWNQVEDIISYLCSPTQTAESNSTRKLELMANLLLGYRDCPAPIVHRIL ETIIASCSTKTAEDVSRFSSWIRAIITLLLQEGGNSENLAKAPFYIDRVLQILRTSSE TNAYPTDEVRWLSSTSWNKGLKCYHFSRYEEAQWWCQRSLELGASLPAGTIPLSKLQR QYDAMFRLDRLQTR
I206_04647	MPTIDDDDNPKTSGTKDFTSSTTKDKNIGSATSNDYTSVSNVAS TGQNYFSSLSPTVFVVLMIIAIIGAIAIVFWIYWFCLSKKSRERRKELRCFRRKRDLE KDGKKESEAA
I206_04648	MSSQSTGEVNSTIEQSKRFQAGRTDYTGTWTLRKLPWAPADLGV PGFPHTAHSIRYKILSFNGTTTHYDDREKYKKKISPNKLIDKNFAILCESGVIDDQTI ISDKNDYDVRQVWNRWLTNDISFQNGIKSYTSDDYVEQQFEIEGTLTRTIVNTKPITC EIKSGTVTLRYELKNMNQEEGKNNKQNDITIGSIEILKTANNDTSLKQIETFLTREFA NQTWTIENESDLEIKRQKFYRVFRHYDLLDSFTNHLHRFWVNQRVKKSAVPGLSTTFR DGTVHWKVLI
I206_04649	MLSSITLSLGLALLPFTLAQGDLSAANNLTDLEGTWTSNAAIST GGTFCVPAEMKFDYPTNTGMSFSFTNTGFFEEAQYRYNSNASNPSCIQAVLIWQHGTY TLEDDGSMKMTPFSSDGRVQVQDPCAATTDIITYYNEPTTMTDWGIIVDPDTGNYQLR LNRYDGAKLPYMNLIAKPPNMLPTQVLTGVNASGQTNTRKRSLTSSPLDVFKRSSAPA RLSLDSNQIFGVAAVVGSVIVGSIAMI
I206_04650	MMINSSKVNYNIAIFILSFLVQGISSQYIPIPIHDKTNKIRSDE NDNYSNSNSKDLRLLSYDDFCLYGLNIENQNKGLKISDELNNVLSYCSKTGHNIQLIP DGTLKGVTYVKTSSWVQVSGSGDFTKIGISPNDPGGQFDSSKHSPEGSKLITSQGGDP AQNWVTIISPETFCVRACFGDPATCPTQYDSLGCYFLTSNGVGWDDVWQDCEADEGDP PGVINGQTYIPGNGPIPTPSIPAVSNCQPGSSISNGQTAAADSGSNSSDKNDSSTGSN AEQGSTTWLPVQTCLPCTATAGSFPSSSPSGDSDSSSSSVPREGGAQGGGEPSQASSA ASSALGSNNPSTISSGSSTSALKSGSAGATALGGASSVTSQEKGGTEQVGVTKLSPST TTNNNGDSSPTAAPSQGGDLSARDDDQWWNLKRQEEGETITSGDQCCFTTWTPSVIGG TGAKETGTSTKGSNTNKSSGVTTSGSKSGLAPGATSTNPAKVSGNKNGTVSGNGTNSN GTNSSSNALLAMKIWIAGGKIDKLVGVLVGAILGLTLAGMALV
I206_04651	MATSFADSGPLDEYFRDESGSSSLDEHAQGQRLNMEMDFTKDSH IPNDSGDVTLVDRPSISPMKGWTGSIASLAGPSSIPLSILDVPGKFPSPSRLTFSDES FAERFKYLICSSGLLEKDYVPVLVGNVDSEAGDEKKDDQSKANEDWTQRARERWDLVL ACGALIVGLTISLGIWIVLGIGLTACLVVMRSKDLLPVSLQMRQASESASSSQDDTPQ TQALMSLTNFISCSNALNNTLSASLFILDPHPYSLHSHNTLRVSLHRFTGNMTDHLAT ATSALLEMADKKELSVLGEMYDIPVVGSFFHSRRRQSITDSSSEEEFDSYYSSLSSIQ RPVSPHRPSSLPSPDNKHCSGYLASSLPNRLAPSPLKRLHSNSPHSHRYSFGSLPDKE DRFTQIPDRTPRLSKRASVERLRDTWSNSPRFERPRHERRITEADEEEEDFTEGETSR SSDQSVSSDDSTPMKSSIRRPSSETEGGNLPSMSPKSPPVRSKAGLGVTIPRTPILTR EREIPRSSSPFKHVPSPLSRRMSASGGLQPLRTALFATPTSRSAPNSTALLPSPFMPD NVVDQAPLSSAPLRAALSLDPTSVSASANSKRRSLQNMPYYSSSDDQPVGRLDSSVGL TRTRSMPLSDLQALRSASTAGGSKSRRSSLNPLNSIGSGLGIGFPINFPANMRSSLNT LPPPSPTPTTGLRRIESVSPLTLPALKASCLGIHLKRRRLACCLLGLKFSEKSAGHYW VDVKEILDDLAAGIKQEKALLENVVKEAEQEAKIMRSMDRFNIRQDGHVSSESQGIDE LWTSSSSTFAISKQDFAPRTSDEALLSEHIDKLSNTLAGAWKELTLIKGNMIQSNSVD LVGWHEIRGQLGQGLREWERGKEIIHRMNSNPVSELPGEEDAISHQKEVVPDFMKAWN DEEQEQEQEQTSPETSLESHVENLQLEEEDLRILNQTQYEILPPVGKDDIFETTSMPL SDEKILLSKLTREERIKLTKISREKGLSLKQLLKVNDDLEVASKTDSIKMRERGGLVL DELKCVIGSIRRIKDGGDFLDLDKSLVDMTERESEKKEEDVLKGDAGMMPNGMKVDLD LDENVKQNGNQLENKITSHKIRTSTNDHDNDHHEKDNDDTEHGLISTVKDQNEIHSTT KLRNMNPFILDIGELKRNLPSRINHEDEILN
I206_04652	MVDAQRISSQLIALAPPNPPTDLIASALFLPLHSINPSHHGKKL RFIGQVLAFHPPTSLLLLTSFPPITQPHSPSPTILINITTPLLGQSPSLKDMSTPIQT VNSTHPGFVNRESLSLNRGEWVNIVGWLEGDGQKMVRKVKTSSSYLKPLPFILEAIHV SNARPPPLDAMYRGNTPAWNGTRSINSKDVILIDDKEEMDDEVVEITPRPKRKPIKAL VT
I206_04653	MVNNRIEDIKWVENPWNYSTRINQVTISNDNDNLSSSSSSSEDN SKSTIHEQIIKTYNNGLIIIDNFLPEDEYEKLLNKMKEEFKEKEEKDKEKEKDKEEEL DNLEISKYKQNQNQNFNQNLESNSNQSKTKTETEIKSKSKSSCRKAIHYGPKYDYKTN HATLNPIPVPKYIQHVINSMNTHLLELDTENEEENSKMNQATLQFYPIKSGIPPHIDT HSCFSKFIISFSLGSLINMSFQKANLSIAEKMFSPRRCLTNSNGNLENSTTITTTTTT INKEISNLKIEESIPSNNQIDSTYFEIQLKSNSLCIMTNEIRFAWTHGIKSRATDLIP NSNNKEEEELITSKRKERFSITFRKVDFNGICNCKYPIWCDSQQQQLQK
I206_04654	MSDTFRKAIAHKLPKLPATKAPWAEEDEDEQEELEEEEGDNLSD LHAALPPPSKFGSTDLTPLSASSFFTQALEVTPESSPVTFRVYLTPPKVVDDKGKGTY LICHHGAGASGLSFAALAKYITASSNGELGVLAFDCRGHGKTKTSTSSETDLSLPTLL NDLLGLIEHIFPNPKESPSLLLMGHSMGAAPILSAAPMLQKKGCTIPGVIVLDVVEGT AIEALPLMKSILAQRPNSFRSVVDAIHWHLSSNTLRDPEAARVSVPSFIIPDSRDTPN SGVGSKQIWRTDLLATEPYWEEWYKGLSRRFLEAKCARLLVLAGQERLDKELMVGQMQ GKFQLEVMPDTGHYLHEDNPSSLASTIIQFWKRNTRVLILPPKIGQPGVPGLKSNNVV KRVGEE
I206_04655	MSHPCRLLLRAPPHLPFIQAYPGIPASPSTNRKAAGVHGQLELR VGSIPVKAKWVRVEIRKYESLPAGFPNASTETWEHIGEILTVWKPSDSSKDKDKETQS IETSDFPFHLPLPLDIPPTAELPKSTGIRYELVAAICYKQKGGLFKKESYPILKISEP LIIIKNELHSSWPIYNQPSDPKYIEKGYSIIKKFSNDQLILTFHRPSLAFGPNDKIQF SASLKSTKSQAFKLKGFECTMYEVITSIPLLQDNNGTKGGKKRKSLQTPIVRSRPIST IKAAVDERIGLGGEKSARIEMLVERALVSVTTAKSLKIEYELEIKAVMDGLRDKVETG GIKYTVGILGRGDALHAVREIGHVDSLCPNPPQPAPSPRPIDSTPFSGSQPDLPPNTR SNQSNLNLNPNIPTPRPLSEGPQSQSDQGFNPRQGFHRHSSSTSESTFTTTNTNYNAT EFGMAPQITQHRPYATMPTSPGQRQGNVIFPSPQPAPRPRSITPTSPSVEASNQNERY VQSDVGHDGSSNRYSTATMATFGRWDNGLRSAMGSGSGRDGETIASTMSNDNGMPPTT PTMPSPSTRQSSPGFMPSRPTSSRPAVPPSAFHLSAEQEKSLQRERYENARSAQQPKK QNEEEIPEIPPPEYAPPIPAQPKRQYTAPSRPVSEYTSNNASPANSSPRTINSTRLAS PPPGNRMSSPPPPSVPENEEHISAIDEKEAQRKRYEEAGNAVAAVSSNNAAGSGSNSS KRQSSIIQALKTSPRLPARSTMLEQQEDAPIPYNAIFPHTRSSSAGLGISASGSGNPL SEKEQMKRYYEAQDAVSRAQSQSPPGSPGKLVGVGSSSALSKTTGNVRPDGPILDDRP GDPAATSSSASGMNLTARSDQVMNLQNSEGSRSSASGNVVPTAIDEKEQMRRYYEAQD RVAAVSRGESSSQQSPPPHLASNRSRENIGTSAVDEKEQMRRYYEAQDKVARVQGIQS PSQSQAGPSNTVERLTLKASPRAPIPGSSAIDEKEQMRRYYEAQDRVMQASGSGSGSG SGSGFASGSRIPSGSNDFSTPPRAQPQAVSAVDEKEQMRKYYEAQDRVARASSSPQAS GSRNVQNTPDSSPKVNNVPSAIDEKEQMRRYYEAQDKVAQASGSPLISTKIGPRTQQS NPSRIIPSVGAIDEKEQMRRYYEAQDKVAQASGSGSGSGSNAVPVIGNEKKDSSSITP ILAPPVESPPGIDGLDEKGYIPAEKDKSNQQATNASPSSSRGNSESIMKEVNKPRTSL GLISEKILNNNSSDFQNTSKTISTRNIPPDSPLINRDPTIKAGKAKEGLNDFSPPPPL PTRPPKEYVELLSPT
I206_04656	MVAQAPSGKKPLPDMMTSIRIDKSGQVEIVDQLLLPHSVKWIPI STTEEAFEAIKSMKIRGAPAIASLAALSLKSSLSSSFNINGKSKEEIKNWILEKCNYL QSSRPTAVNLSEAMNRIRNYIKASTNSKSNTTELIEKVKEICKNVHEEDLERNMLMGK LGAEWLFKKSGEKQKLKVITVCNTGSLATSGYGTAIGVITALFENEQLDTAYYAQTTP YHQGSRLTSLELTTLQIPACMICDTMLGSLFQHEDIDGVIVGADRVVKNGDTANKIGT YQAAVLAQRHNVPFMVVAPVTTIDLSLQTGAEIHIEQRPSIEATQIRGLNLETGKLSV IRITPEGVGEGDKPWQKVYNPSFDVTPAELISCVVTEKGVAERKQGEKSINVSSIC
I206_04657	MPPRLLACGSNAASHLSINHPDDVSILTPTIYHPSLPSFSSESQ ILDLVSTSAHSLLLISPSSAGGDRPGKNILLGAGTNTFGQLGPRCALWNDIKPESKWK PLNLLNSAEIDDKEEWEPVKIAATWTTSFIVYQRSKSFKARQCESSIGGSGLNGKIDP IEDQKIEQIVISCGSNDFGELGSSSNFPLTLNAPSEIPISQASQKPTIVNLGLQPGER IELIKGGQRHVIVVIVNGDGKQRVMGWGASRKGELDAKTLSSCLESDSSSSSMFYSNK GKGKGKGKAVSRSTSSPPIAIDLPISSKAKIVDISLGASHNLALLSDGTVMGWGNNLK NPITDVHTLRNIKSIATTWNGSYFLNDQNEVFAQGSNTHSQLLRLGCEEISAKMGKID IPLGWKVEKLVVGSEHLLLKLKREETGGETLWTGGWNEHGNLALGDNNDRPSLERVDL QGKIKGLWGGCASTWVWIDEQL
I206_04658	MSSPRTIPPAPAPAPVPLPIAQNVPIQNPTPKKYSEGSMYEEMS PEDIEAWHRRYADFKKWRQDIPNKTQAGQAFSNPPGQSVGVRSFDHSCKESDTDTVPS TIMKNFMDAARARSGLDHQAGNMSHLPQSRLTSIKKVQSRSNLQARVETLGGSETPSS KSPSNHTVTRPPLSQKPVSSHNVAPAAIVESMKGPPIEIQPPSSKLPVKTSRLTNPQN SRSNQPTDPRPPPSQSPRPSEKLRSSVKPARPPPAPLLARPPGEANTAGHPLKPRETS HPSSTRPSRSPSEEIVPSPTPTAKYAEREAYISSALNLPLDMLAETEGGPTKIDSSSH FTTGRLDSLLGKNRSESRTKGSQRSAGSGTTLPTPNSERSSSVAGVEKADLARSNTTH SIARTTQRPETDRREHHYEGSYHLPVPGYLPGRSKTPSDATIVQAAKQPLPPSTHVSS QVTVVQPAGSHRHVSMQNTQSAGSQATIIKASRQPLPLLVTSQAIHADTIKIPVSVKT ALGSPGPAARDPPTEATNIQSSQIPFSPSTSGSRGHHSAVITLKPAAEDSVGHASPRH IPLPPTVLANPSTEASQIPAAPSHRSQKPLRPALTPQQSFDGLNQAIALSRRQRDPSV GDLTAHFEPATYPLPPSGGTFFSSPEQVIAEAPRTTAHEVAFSTVQYIPPQSIDTTAT ASNHMAQPSTKSKSNQSNKSNKSKPPPTPSASAAAIPLPPSTILSTAHSTPRNLPSAP VPSLASPTARPPPPVTSSILPSHPIPASAIEILAPSPTSSNPTDALTEQRSLTHQALE TDTHPHIHFSPGQISHSLRSDDDHVSFEVPSGSRGRLRVTLKWFRDGHRSARSSPRIA PERLSVVAEIEDRPPPLPPKTSSLMSKMMNKQPRDQSTRSKISQYHPPSEPPPQPQVR SRSTTPPSPNIHPIPEDHCPDLSPPPMKRDHPKSPTGSEQSHQHNPYYDPYYSGATLP AYAAMPQVYNMYSPPMAYRQPGAWVTNLGGPYRIAQSITQARNQPPPQNNIVESPSRE SVDPPSDAESPQPLRAYSQPPAIGMNGLPQHNQTYWNVQEPKQGPSFWQKIFGKSPQQ QQVDELRPEDSITVRNWARGVAPGGKPPTMIPTPYQNQVRPTILPQQGQRPTLAGTTY PGQGNNAFSAPRTTLYNVQGGYPPDYGRSRSRREPSVWEKFMYRRQTEEAIYRSPPIR RRRDLSSPVPVLPKNNKNRNIFGRRTTDDKRERMEGARFGADRNIRKEDRREETTRQD RLDQDIQRQKEKEERRRQRSLKRDAKALGLRREIFANVDQRDRPIDLGGANRQGRSGT LVGEWVGRFGRGRQRGQFPDSEAQVRKYQPTLWKDRLPFTGTKQSYQQTVVQSYQTRQ QQYRQQPVRPERTQTLKRNLRITKRVQPTSRNVLGLGFESRTERTQNRAQRKGEKQGM MGMLGRLNLGNNMGNRRQ
I206_04659	MIAGIGIDILSLNRFRNLLIKRDPYKIAKRICTSNEFDLFKKLD IVSERNGNGNENSIENKDELIEKQLKFLSSRWTLKEASYKSLSNHLLKINWKDLEINK NKNGSLNLYPIQKDHRDKFNLIASLSHDAGLIVGVVIAQFK
I206_04660	MDDEDNDYELERQKTIAQNRLLLDSLGLDPTGSSKIPGSKLQTP SKVSNSSNNKKRKTISSNIIQDEGPRRRSGRLAGLEVDSEILKVKLEEEEKERQVLKV LSRKEREKIMKISEMIEDPKEGEGESLERYLSSISKLDNPRKYPTNEDSAKESYSDSD SIPSEILRLKSAFKNMELKANAKVTNDRVFSMVIHPEKTKTLVLVGDKSGQLGIWDAL GSPEEKVKSEDDTNGIEEGEQYEGRVWRIQAHARSAITAMKVDPVNGSGLFTSSYDCS LRHLSFSTLKSTELFAFTDDNMLVTHFDLLPNGQEAWIADRNGGMTHCDFREDKRGRE RRRWIVQEEGRAAKLGGLSVNPLMPHLVITAGNDQHLRLWDVRSLSSVPQSNKPESVP LKSEANGDDPDTHLTGETEYEEVAKYMTSKKGAGLLRGSWQHGKSCSSAYWDPWGRRV LTTSYDDRLRVWNLNPSSLLLPQPLPPSHFTPSKQIQHNCQTGRWLTILRAQWSLNMD YMPHFTVGNMKRSLDVVAASGEKICQLWNEVVTAVPAVTASHPNIVDHVVGGNTSGRI QLWGSGNA
I206_04661	MLLFLLTLSYLFLLSSTIAAPSSKFNIVSHSNSENLIKRQANVQ FNSTWPNQFVGGESISMSWIGSNSGKYSVAWIEDYNKGDDLLNTFKVCWSPDSTSRFI VWDGEGLPLPEQRAYGPKLPLIEGDNEPSTC
I206_04662	MAELTTSSSSPAANKATKRSACISIVCSDMAEVEFEQDEKRDER VLTFTAKSISIRTREEEGYGKRLSGYMLGKKFCASFDDTRTCGLTLTTDKVHGFFNCD EQMHKQFVEFANDKKAEGTVTGNVYVDFNY
I206_04663	MARGHADPDADPDADPDADPDKRSFTIDSALCIPLSEDSKDPKI VQKNTEKLNDTLSNRSWLVARDKNGNDLYSLSPSQQVLDSALPNYEVDYDFKKSVDAL STTPGTISGLIFFRQQSAVDDN
I206_04664	MSVPAPVVNRQPSVEVKSSSSSTKIPPSASSSTLLSQSSGASAN ALYNFRLLSALRSDNPSEVQPFLDELRPSTKSADGMEDLDKVGRLVGMAVRVASAPTI QLILQSPAVPNPNLPISAGSQTTPLHVASEIGRGEIVELLLSDPRINDTIRDEQGRTA LECATHSEISHLIEESRGQLQLKYLARLAGYISSPLSSVEESLHMIEFLEGPRTGILN LSQLDERSGTSLLHEAARRRDLRLVELAVKRGADVFVRDKRGRRVLDGEKNVDERIKV FLRQFNNQDSLVQGKSDGRPPDLRGFLSKWVNYRSGWRTRWFVLENGVLSYYRNREDE QIACRGSIAMAVATLHPSTDGSRFEVHSKVSASVPKFIVKSAHRAEIARWVQSIRLNI EYHQKKGNTDGQPKRSMSMNQDRTPVPSLPPADSFLSPKLQRTTTGLSGLGVSAPSMR GSQRDLSASRTALTEDDATEIMSVFDNADGNSLIGSSERHSGIPHETSYDLSVLNIKA QLDMTSQLIDSIVTPPASENASPVRGGTEITRSSSRQQAVKEALKQSLSTLSNMISQN NIMAQNREKYLLNRVQREVEARHLWEENMLTVAQQQAEADKQLTEAAKDNEKKRRALK QAKEVLANLAGSGGGDLPSLPTSPGLPAQRSAVIDQTMLNKATASLPKTPTKPSLTPR PSVPNIQAAHDAIVAAEGPSDDEEDDDDEFFDAIETGTIPNLKLYDSIARPDKERPGT PTALEKQPFNLDIQKPPTKGTITEYLARKSLEPYLHVRNRLPIDDDKRPSVSLWSILK SSVGKDLTKISFPVSFNECTSMLQRMAEDMEYDACLTVAASEQDSLKRIAFVGAFAMS NYSSTIGRIAKPFNPLLSQSFEYAIPNRYRYISEQVSHHPPISACYSEAPTWKYFGEV DAQNKFQGRSFEIRPTGVAHCELMVPRSWVNANSDYPDAGPEYGDGLVVEHYSWKKVT TNVSNFIMGNPLIDHYGDLVVTNHRTGETCTLTFKPRGWRGKDAFEIKGNVVDSNGRT AWDIAGRWDTQLIARQHGTSAPLEVDTTFSPTQKEYLLLWRNSEKPKAPFNLTPFAVT LNDIPGDLKKYLAPTDCRLRTDQRAFENAEYDRAQELKTLNEEKQRLTRKLRAEGKLP PHEARWFEPTVDSDSGERLWIPRRADDGEVKFWHEREKADWDSVGVDHIFAEDER
I206_04665	MANLVIRLLQQLIPPLLLSYFYFAWKIATFEVGPNLISNSTSGP IIGYLYVILPSLLIVPTTLSFLRLYFLPSVQSVPPLDPPLLIQDKKIIFECLSPAEAK IIRLANDEEHDDDEPLVERCYKGECGGRWKPARTRHCNTCERCRGGWDHHCPIFANCL TAPYMRSFLALLLYTLPTVTIISLPLYKPLWTRCREAYTLSWTNEYIRQNWWEWRYSW IVAGGPIGRYAGGTFLGWKELDKIDEDSILRLNVGLMVLLGIILALISAGLAYTTISL LIKGNLTIDRGRSTAHNQALMAIRRLQLQNQSIPAKLEANLAKFSDQRYFFVPLPLTE GQLGRKGKIVETLPHERPYDHGLKKNLHIVLGRGWKWILPWNALRRGMDDEVFMWPIQ DGVERRLREEAQRRFQEGDESR
I206_04666	MPTSYRPKRPIRAEILPLLSSSSKSNDSHIAKASNSLWSRIVQT NKPNIYKRESKVGIAVEWPEDRRLGKGKERSLVVWVEKLEEDAINHDGSILYIHPSLL PPFSITPLSVLLHIHEPLELSLAILQPVLEHENDNLPSQDDIDLTSLYSSSHHSKSLV NGDSHSLHPPVIRQGGLLPHPQKLKVLLLEPVIQGLLTSSTRIIISTDPYISSQEEGG YEDEIGLGGEGSIARSSMSLTNFDPDTFLSSNLSLSLTVPGNIDGEDEILGSISSSTS GSLTPRPGDRPPSPPIGLDELITEEVERGTKFTAVRASGTYSGGVSEEGEDVCWMGVG GLGRAGIFEGDWVSLISSSTSTSTNGRLVKALAWERLDEYDEDLPLNPILLPASLYRS LSISSSSSHLTVQPTPFGARQPSLPIAKTMTLARIATAEGVDKRYERAWLKGLKAYFS NKCKGKSKDTDNGNERLIRSGDIISIPVYLSKPMESDETIEDDEEDENEDKELRNWRS QPKYTGLVYFTITSLSYDPLVPLEEDFKISISSKARAGELGCWFDMDGSTKMVLTGIE KDRIQHRQGDFIWHDIESPPPPFAAGACTKLRDLLQTAFAYPTLSYLMQLSILVKGAR GSGKRSLIRYISNDLGYNVIDVECYDIIGDSSATTQGILQARIEKAKLCSPSLIVLHN IEALAKKTESTVLGRPPSIVKTLEDLITSAKQSSDWPVVVLGTTVDADAVPNEVLGVF KQDVEINAPNEIERFNILRNTLSTYEISPDIDLKTIARQTAALHAGDINSLILRANDL SLKRVFDSSNKISINSAKLAGIQITLHDLNEAINQARNSYSDSIGAPKIPNVTWDDVG GLAHIKKDILDTVQLPLERGDLFGEGLKKRSGILLYGPPGTGKTLLAKAVATSCSLNF FSVKGPELLNMYIGESEANVRRVFQRARDASPCVIFMDELDSIAPKRGNQGDSGGVMD RIVSQLLAELDGMSNSDVNSNSQIFVLGATNRPDLLDSSLLRPGRFDKMLYLSIPSTN KEQLDILNSLTRKFNLDENLKLNFENLIIEKLNFNYTGADLYSLCSDSILNSMIRISN NINEKVIQINRENQKDENEKNGNKTKEINVEYYLSKIATSEEIKVKVTYEDFEIALKK LKPSVSEEELKHYERVQREFKNYNIGNKEEEKETNSFDGDSLIGSHANGHNGPIRDQI LTNGDTSTHSTEEHNGYGSAVLGLPNEHNGGKGQERIDGIEEEIDRKGKGKAKQVDAD A
I206_04667	MAMRISTRLLRSSPAAGPSRITSRAFSTPSQTSPSPKISSVPFK IHPEDAAQRMYINGLLASAAIPNMILAGLLRLFGPSITPLANEFGLGTNLKMKDMKAV LYPIWRVDSIAEGDVKLEGTKQRVEPKMWISTREGYVPGNPFAPLSYLSFAVPPLPDD LPQYNLAEDLTQLGDGFEVVPVPFTVSPLGLAKKIRKTIGSNKRWENISIDESKWEET LLAAYPIMFPIYIAEFEHDLGDDGKRTYNVIMDAHDDNPQNCRVSWPPPPQLVESGRF DKNYFVNPAPFLPMANLIIYPSVAPHTAVGPNTSKLVESFREWMSPSPDPDDKSIIAP SPTLSLQSDEEVDGINWEDARIQNWSGKERLENGDWIEQALKTQKGIETLETMSYFSA RAPHPEDLKGLVINTAGKRPTFERKSLSDMEHQLRVDVDRMKKELEETKPEWLRKFDE EKKKKAEAE
I206_04668	MVSLRERKARASYSNVADGLADLSSEEEQAGASVQASGSKDGST NSADEGENGEDTSFSSGASSEFRPDSPSNKKGKGKAKEEAEGSASDGPASDQDEDLEI DDAVEEEGLDETDIDPSLREPSDIPNPKPRAPKPINSIKGKRPPPNRPAASLAAHPIH GQSEIGLLSLQHRALIQASTVSMTKPNTIPKSQFDTDRHNARERDQSKAHGPSIFPSG HQIPFQTRLTSDPNHGWEKSDIEWIDQSGPNVQRNENRRNEDWGRHPFLTFSAPWEEW KGEQWYPELSIGNEDEIAEKDRGNRENWLLRDEVRLGLDDIGRWTKNELVFLNETEAE ESYLPTPIYRNGESHITCHMGPHDQQSKHTFSIFESKPLAETNSMTSHEGHTFFAGGP IWGMDWCPYPESKMKEFGFEQYLAVSTLPHLDTRPHMAEKWPKSSKGSIQIWSLGSSA SDDIQMDSSAYQSQMNCEMVLCISGGPAMSLKWMPLGAWDDVSQANIKIPKLGILAAV QLDGSVSFYAVPHPRFVGRDHGHPVYLNMDKALLRLETPDAMAMCIDWMTGSKIAVGL STGHLLVWDVYDALRIGNSEELFPSLYTSAAASAIRSLSIGRIPPSEKHLGGEPIYVL TGAYDGSTILLDLRDPNNPIELNKARIPCMAVKWLSQVAAPVICDIDYVITMIKLRGM NVGRSHIISSHRGQVWDIASSDYHTMLISAGSDGALTLSNFNLGFYRKRKAPLALQRL YEIDYSEIRDEYRLLDDFSPETLGLENATSRRPPNIAKRATNDPPSHLVKTAAWRPEV GIHKVVWNDVCGLGRAGWVASGGASGLGRIEWIEGRWRHGKAPPRT
I206_04669	MTAPRHKPRSTEDYTSVDAANLRLELSHIQTLGLEGHTLLTVES VDTAVSGKVHDPNQCSRRMSDNLKAWEEFIMIPTKNKDGEYHLTVYDPTRAFCPDAES YAALILTKESGREIERQRNSRAPYSVNVTVYGYPSVSISQRASAYADSYLGTSGMNTP TTTASRGD
I206_04670	MPSSKEDYDHVDAAYVTVTVTAAEAEHDAGNSKNRFNIEMASMT SGQGESQTSCFLRKQLGLECQYTLSSLTAEDRGKQHLIIPSEGSWDNSHYQAIYVDSK FADTVKGALEDGQPRTASFKFFGCYDDETSKLELPIPPQGEANVIFEYSAKYRKPDHE IEYKIGSFDEKQSDVSPASNFLQKVFKNTSFTITSEIMSNEPTGGIAIVLNAVGIQSR QSKTVNPADYNYTINGSSAKPLLEESVRLYEAHKQGSLDDIQEKQDTITNTEAEMFNR ATAVISFRARTFGQPNSLSHNPSEWSET
I206_04671	MASPSLASSSRAILSFPIISKIGSTRGVLHRARPPRIPKLNSPH TPKEPLPTSGTSHPVDPKIHPRQTIASGSSTILLEDTGLTFHHSPPPSAPSYTNGSMP PLLQWLNGKSINLSGEEKAPKIEERRTYEGELIWSEEVVGRMQELRAQGKSRKEIGDA LQLPRDQYRLISRVAPQTAVQKASRLTEMEERKSTWGYNKRLARAVREKRKDFW
I206_04672	MKIEKTTSASLLLLPLLATSSLALPGRRRHQSSLFRRIYGKRQN DTSSSALASPSGSAGDSHQLSAGDFVGTSSASAVTNSASNPGTSATMSATASYTGIGV APSASASSSVTDENGLSPYEESNSNSTTGTQNTNSGYDTINPNPGNVTGEYLSSLLDN AKGYNTLTYSVYTPVTETITNQQTATVTSTAQLSGTTDGSDGYMDEIQKAAESYAKAN MGGYLPTITLEVVLEPTQEADGTWDYVVHIGADGTATASPTFYSSTLPAPTAGSSYSG GSGSSTSDDEGGDNEAYSYSSGPITTSADLNAPSATSTSNAGSTGSKSIDIASITAVA NAQATNPYLQSASNIYVPISTASPSTTASATAASGSDTSSSVDGQTSSDTSSGDESSD SSGDDTSVSDSDSSSTGNSTSPDYSVPGHTTSNFDNGSSNDTDSASASASSGSSTSSA ESSTSTSVPGHETSFGGSAPSDDSSSGSASSDSTPSSSADGASTTAPYPIWYGGSGEE SSSDSSSAISADPAATTAPYPIWYGGGGGASEAGSPTSAAEAAQTTAPYPVWYGGSGS GNDTSNVNSTVPTVNGTEPYGNGSLPLNGTDPSGNYTVPIVNGTSPIDTNSTVPVINP TTAVTSAVAASGSASLPSPIATASAVPSAVDSGSFGQASPSATSIAPSAVDSGSFGQP APSATSASPSAVESGSFGDASPSVSSDPVVTSSSLSLPSAETSVMPSASDSGSYGEPS PSATSSSAIPTESVTSTSSSFESAITSSPSSSISVEENDNGIATESITSWTTVDVTMT LTASSSSPTESVSATASILGNVNLAEPSSAESTSVIFDPTSAASDFAYPPPSATASKS ITSTSTSDETVPTASTTESDSEETASVTSTSAAPDATETDCADVEERNFVDSDEEYEV VWVDEDELQPEWEILA
I206_04673	MSHEVIYNYVESIDPNLTCAICQSALVDPVTTTSCKHTFCRDCI TRAIIVNPQCPIDRSALSISSLKETEQLVKLMLDELKVSCAAEGCGSIMERGLLLSHL RNCTKAIITCKDGNCGLSMARHRLPHHRAYECFQRRMECEKCGTVLVFKDRSAQKNPD CCQVSSTKCELCDEILGKDTPRHHWICPKKNVACPHGTRGCPAIIARSALQTHLDACP FEALSGFFEQNDARLRLLEQRNETLQAEIDLLKVEMQSSDVGRAESWNTRHTGNPFGF SIPSTTDSTSPWLDLDHPDINFSAQEVSSPSTPTTHVQALSENNNLNREPRLAIPANQ SDQSNHTPTTPNSPSHASPQTQAAYPGVTTRAAADLARQRSMVIPTFGSHQSYADWTF NRLSSSNMPNIQDAIHALRDSVCQLAGGLDTMERRNEVRTMTESLRVLEEVGGLRAIV TTMRMQVMMSQPPTSSVASPSSHHTSSQVTQNNNNNFSPAISIPFSEPPSTQPTDRQD EDGEPVTEDSYLDPERSHSIRESHAFSRIVPEDARSSTSSLITAYNAASRNGSRRGRT IGSGAGLTGRTMAIPPPGENEATDTVLGGDRRNGRLSRANPMNLIRRQPSRFNHRPIL 
I206_04674	MEYISVIKDDVDLSKSQRYLRIPHSRTGQAQLYLPYTNSDGQES ILELIKLNGSQRRTWFIGDSGIDAGNILVHYPMDPLFLVIPIVLALSNSNNAQSFQPF SDLISTASSLPSFTLPEPFTGPIKPGQSSTGYNRDLESLLKLKIIRKVLRACCEKKVI PSVTSSPSSKTTEQKYYRPSIPMILNHLKKKVEHFAQPEEFEKFDHLVRGLSKDGLLG DDYEELRNLSRIQASIDHLSQWLPREITQQLLNSYDFTALSIHVKNRTAASIAASQQP KNTSNKDKDVKGTKRKAPTSKGVEALKKVNTNSMNKLTSFFKPKEGKKK
I206_04675	MPVLLEGSCHCKAVKYTVESNTPVPYQLCQCSICRKAGGYMGSV NIMGNTKTLKITRGENEIKKYIAVKSFDENDQPKERYNSERAFCSECSSMLWCYDDTW PNWIYPYASSIDKPDPLPSLPRGVSFIVLKRDSCPKNLPIPEGAKAYEGYPPGEGIEH WHKTNGVWVD
I206_04676	MAFSGALTITDLDDFLTPSQACIIPVRNKPSVTAEEGSSEIQID SNNNYYEVSTYPTDNAGPGPSTSNAKKALEKAEINLNDCLACSGCITSTESLLITLQS QNEVLQFISSNPTSTDPDAPCHKPRLPILSIAPQTLASLSAAYSSAQLSPPISLLNLL RRIRSFLGRSENGGWKVWDTTFARHMSLRETVAEYHERKDKKEKGKAVELPMLASACP GWVCYAEKAQGDLLHLLSAAKSSQGIIGALAKNWYGHHTNYKPNEIYHVTAMPCYDKK LEASRSDFYSSLYSTKDVDCVLTTGELDLLLQELGFDPYAPVSNENASSLGSNDESPF PEMLTHEGSSSGSYLATIIRDIQINHPNPTKISTREIRGSTDNVEYLIHDTLTGEVLF KGAKVYGFRNLQNLIRKVAKETGIGKAGRSGAGAGKLSLAVAARRRKGKVASGGDTGT STPTSDAESIASLSLASGEDKKLDFVEVMACPGGCVNGGGQMKPVTASINASAEEEKM DVDEEGYTRPIPDEGTDIADIPIKTSSGLGGVEEGMRWSTKEWVEKVENIYWTGLPTP PPSPPIEASNIDNHSYSQAIISDMNNVNGFKNRHGTDVRSKQADRLVEQVVQDVCGND SDKRWELMRTRFRKVESDILSQGGVTLEAVKW
I206_04677	MSSYATTFGLPSHRDFQEIEVEPSEAYDDREDYWDFRSSDSVTK ANYTIVPILNAGGEETFLRIEFKDGVSIISDCNLDRLSRKQEETCCLLAATSVNGRK
I206_04678	MRFSTILAAALVTLSFGAIASPVPVEVIDLEARGGSSSSKPPTV PPGYKLVTVGNIYILTDNDGNFAGAFSKGSYNGAQAGSQNTGAGSTNKGNTNSQNIGD TTIPSW
I206_04679	MSSSNNQTGAYDVSSCPFTHLIPGTICIKQRREAIVTYSNPSSS KEDPRFTSIEKIVDDEVGILSNKAKADQERFSRWASSISVHIPFAAFKGVYGTATDAK GNSMNVFIPYRSRIGQFDTTLKPKTDSGWDNTESEYCLAGTITVDGKTHDELVKTSEA VSQRTDTWGQTLVNCTVDLGTDPDTMKTAEQILKVDKPIFTFSPQHYVVQPVDGTHPG FRSTLSTRKHVIPEYRIAKNGEYCNQSKVIETMHPMADDEPDKR
I206_04680	MDDPWAGPSWSTPAKPSSSIIMTMPEPGRATPPPRFDESDPWGT THPPQSRSPVQATEDTLQNGDEVKSEVLDTPRWVGEEHSSWDRDQSETVTAYPQEKSK PPAIQGAEEASDWLEPDSSFPTSPSIPPSSPQQVKATSPTISPDALHKSTPPSIPTFA DESFELIASNLTSLPKSPSFGDDFGTFSAGPSYSSSSADLWGTNAGPSTGQTTVKPDS GGWGGEDLSWTAPKDEMPSWGGEASFDDDRDQSPFQSPIIPHKQVQDDNEQDGDEGWG RSRPPIAVMERPKGDDDWEEAQRRIQVKQERAPQEKIDGLTKAWTDLLGGLIHIDLEK MTGAEEMVFEGKVKELNNETADQIRMFSVIPSNINTYPPIISDLMTHERYTYALQRPN PVPSTSLLTFTNPRRQGKVDPLSFSDDPSNPSWTSRSKLGEPDAPVLDISPQQQEDVN NKSKWSFWGKRPVPERQLTTSGGGVLERKSTTISSPDLTNERASADMRTSTSSRPSSR APSISVQPSRPSSPALPTTQTAVPKITQDMGHSSPSTSLPQAPNQPSAVSRFFGRLSR NKSTTPAHYDDEHDKDLQLSPDDFSFLSEVPSLSTPPPEKGVGDLLALEAGRGEDIAS LESLLNSKVTPLPKPLAPPPKGSAPIGYRSNSGKFVARMTPSAPTNLDLLGDLNFDGP ADSELATTNVQSPITQFPSHVPSPASNTWDDFLSLDAGPSQNRRESVPSNVRSPVTSV IASPLVPSRSGTPGVSLSPPPPLSQSTLPALSSFTKPFPAASTQADTGRIDSDDFGTP RHASTSTFDDFGDFSAFNAESNSSHSTQPIMSEQTSYTCNLETPSKPPAMVAPGPAHA LSTPVNHTKPGSLDHTPTLQLLSGASASKGKRWPAPPSPVAPILAPPPKPSQVSTGGF PFLSPPPPGRPSSRSSNLLDDLNGDASASTKHSTAVGIGINNLGNPDQDLGLFGGSLQ PSRSITPSQFGQAVQPINDNDQASQLPKAVQGKGGLSAQDLSFFDSL
I206_04681	MTSINPSKSISKNRPRSSSLSLSISRSPSVLSSGSIFPQAPLVP PLLTHTHLHTTSKSTDNLEESNIESNIKNHQNIYGIHPYSNPIKRVLSSGGGNLEPII GDKEEEEEEKIYKISQQAQYENIRSDEREEEEEDTHNEINNVIRPLLPIPKVSFVEPF SNPSRNVQRTSQPSHSELEMENITYDGPFQPPDSKELLSIILSVVGVMILAIAAGCTT IFDWVL
I206_04682	MVSGISGHNGKRNSTMTELDHSTIPPIPTQQTQSQDEDRVPRPV IKIIDPSFIETTAPPLPARPNPEHIPDSTLPVPNKKGGYQSKPNKHTEWERKGKVKEG MRRIWDENDNCWKDIPINPDGNNGEGVGSGRTRISLGRG
I206_04683	MRFLTRSKRPEGIIDVTPTPESFLSPSATNTSLSIMGGNHYPKR RSWFSFRRNKQLISSDNSIQQQLKREQEENSHLVTPFQPPPSAWANNEDRLRPIQFQP NRIQTLYVSKSERDLYLAAMSQLDELPPPLPLWSDRAPELPKLNAQLTPLKAPSIPNG SIGKSGKHARSISEGGSTAFHPLTARPLSPKLVTSPQMGRSGLMIREEFKQRSDPPKS TKHALMALLGPSGLRFTGFPPSTISAIDLVLQENWNLGIGARSESASDLSKRSEEDKF TWKVELDGKVWKRKGSQELDPIRLLIALFSILGIHGWTLVENVQAGGSKKDAHNLLFS YSAEASMNPPLFFALSIPLPDRLSLISPPPNVTPAIISALREAIVSCPNKGNKHARNG TNATGVTVTSDSNDSDTIGRSKSSRNVWKGHDPRGIKLEGWVHDGVYRFWIDGLRRWL GGSLKRKVVENLHPNLLISIINNITNLHFKLAASMPLLPIMKGRDVLIFFSLPSSGLS VVDSYVPREPSIGGSESPILVSPAASFPAQELLDREFPIQGQAENSREVVSEHRQLPW TSVITEQTRSRTDPPTKPSPPRHLSGGKGNGSARKRYGSRESSKPLLAAPSVSANSTP KHKNVLLKKNSLQRRRSVSADQSGRKSAQSRSVDESGRNSSQGDYPGHVPGQWTEGDD RHFHVTNPDNASERWSLIDPPTSLGTLGVTMSNDGPHKYHQTPPTTQDRVIARNPAEG GTRESKANEDSIYADAQPTLLHDQNHQYGEPLRFVPVQDTTTRTNAADLATNAPMTIR TFAPTSPESEFGFNGTELGHTNEPLVLGQPMNDDEENVKHVPLKDSDSPERR
I206_04684	MSTPQPPRLPPRRPTPQPPTNTDTEPGATSDYVTADPVSTPSLP LRPTSGAASLTGRALPHEAVHRTAGPSVHAGSITLPPPLPPRTPSVSKPVPEPILVDR DGIRMAEALDIHRHDDHYRETGPDPLATEAIHQPTKDVYVAITPATPPDSEHGSSPTS PTASSFGQSVEEMRISPPDPTDVPHVNPTPTDAPFKSSNPLESPVPPPLPPRKTHPAP SPPRRSKSANVALSTVPTIGPWVWMISLILLAYMRVSFVTLALASIAGYIGMKRLEEK AEVLLKDPEPENTVPGGINDQQAVEWVNHALYALFPLISEDVLVPFVDLMEDALAGQV PPVVTSVRLTSPALGSQPVVLTSLKPMSDEEWFSSLSMPTPTKPLNSSGSMKSPLKKK VTHKREVSSMSSAPASGADMIRSPSASSTKSGFDTDERIQEASKRRKRDRILQKVSKK RPPMVDGNKINQDQTLNPSQTGNSVDNEESGVTRDTHHHGEENSQGDEDDPNAGQYVN YQVGFEYRRTRDAEKKGRGLHCLAYFGWGIKGVAGSEIPVYIDVISIKGTVNLRLLLS ATPPFIRNGTFSFPRLPEYDVSAHPLKKGAFNAMELPGMKQYVKQSITEVASSFVAPE SYTLDLDRLLLGEESALRTHNIGVLRIIIHSAEGLPKVDTLGSCDPYISIGYSKYHKP LFSTRTIRDTRNPRWDEEAFILVSADAIEAGERLRIRACDSDRFSADDAMGVVELDLA EIVDTHSRKLHHRRDEFQADTPGMKCSGSLNWSIQFHGLWQMSEEELKQRTKQSKVSS GREDMNPPEMDKVPIWMEMLSRIVDTKNEEKWYANREKKRKETLAWFTGEKERDTLEV QGKPDENLRSGILQFHIHQCIDLEVEPLSGTYSSHTASKLSSAGGKPALEDLTDRTPN ENPEPPSSYCEVHLNDKLVYKTRTKQVTPLPYFNAISERFVRDWNNSKITFVVRDERN REHDPILGLVVIPLKEAFKTRSQSTRWFPLVGGLGWGRIRISLLWKPLDMELPRGPSE YEVATFRLKSLSFNSLGVDDKGLSVLFSTDSDKYELGTSSQESSSSAAPMSARTSLEK IQSSSLSSPSKISLSANEELDVEFDLSSKRIRLAIMYRHSCSLVITLLHKSSVLEKRR VAGMGVVRINKMNDGSSETKIGIWATEDVEKVIRLQEMMDYDEQGNNEDGNGYLTSPP LDKQPSFRRRISERRSWPASHSSSSVNRNRTTSISSVNSSKTSLNSISNESIPLLGYA NLKFRLIPGVSRTHRKVAKRNLRFARVYEVWENMKDKIKDDDDSSDNGESSSDDDDIE DGEGKEEERELIEDDISDSEDELDKRLSERKAHSHALHKRHKGIFQLKIARTGRYMKD KISAKVYSAAHSGGNKDQSRPRGTDLEVEREGISNL
I206_04685	MATILEPTASIDQGIEKEEVKHVEVVNGEKPLPDSLKDMSEDEM KKMEKKMVRKMDSIILPIIMVLYVLNYIDRQNLAAAKLQGILDDLHMTTQQFASAIAI LYAGYIPFQIPSNYLISYFSRPGLYICIAVVTWGSISACTAAVQSYHALLGIRVLLGA SEAVFFPGCLYFLSAWYTKKELGKRYAGLFIGQQLGNGFGGLIAAGVLKLDGKHGIRG WRWLFIIEGVATVGFGIVFAFFMPEYPYNAKMLTPVERDYAVWRLEKEAGVAEGHEEV SNIKAYLSALADPKVYTMIACMICSQAMGSVGNFFPTIIKSLGYDNIITLVLTAPPYI FVCFFFYGLSWYSDRNGKIYLPMVICLSIGIVIYIIAVSTLSIGARYFAIMWTPVANV VPQLFIYNTLSLHVARPYPKRAAGLALINAIGGTSNVWSAYVWYAPPHFYAGFGMVLA VNVLFLVIITGYRFYVRRENAKLDAGGEKARHAMRHGITQEQVDLGWRYVGY
I206_04686	MLVLPGSSAITSSRQNVLLKAFQAHIPAITSVDAVHLHLVNPTS EKSAALLADDQSKERAILNALLAYGDDEQLDSTKAFIRDGMKGSVGGTTNALYILPRA GTISPWSSKATDIAKICRLKEHVTRLERGALYLITSSEPISLPVIHHELHLIHDRMTQ LVHTSLPPSATVFPPIPPNPSPLVTVPIIGAQDPTAVLGEANARLGLALSDTEIPYLV ESFLAAGRNPTDAELFMFAQVNSEHCRHKIFNAKWTIDGQDKENSLFGMIRNTERVVN SAGTLSAYEDNAAVLEGYETTRFAINGKDDWAYSSKVEKNPILIKVETHNHPTAVSPY PGAATGSGGEIRDEGATGQGSKPKAGLAGYTTSDLLIPGFTQPWESDVGKPAHIASAF DIMIEAPLGAAAFNNEFGRPALGGYFRTFLLETPTDNGGKEWRGYHKPIMIAGGLGNV RPQYARKDKITPGSKVIVLGGPGMLIGLGGGAASSMASGASSADLDFASVQRENPEME RRCQQVIDACVARGDGAGNPIESIHDVGAGGLSNALPELVHDSGLGAVFEIRDVLVDD PGMSPMEIWCNESQERYVLAVTPENLPAFEEICKRERCPFSVVGIATEEERLVVTDRL LGENPIDIPMPVLFGKPPRMHREATTINPQQDAFDSSLYKYLPVYQGAPTTSLLAETV NRVLRLPSVGSKSFLITIGDRSITGLVGRDQMVGPWQVPVADVAVTQSSYGFDTVVGE AMAMGERTPLALLNAGASARMAIAESLTNLAASSIEDISKIKLSANWMSAASHEGEGA KLYEAVQAVGMDLCPKLGVGVPVGKDSMSMSMKWSGSKGEKNQVTAPLSLIVTAFAPV NRVDRTWTPQIQTDAGETVLVFVDLARGQQRLGGSAIAQVFKQLGSEAPDVEEASDLK SFFAAVQTLKTSNTVLAYHDRSDGGLFTTLVEMAFAGRSGIEVSLDAINTKGDAIASL FNEELGAVMQVRTADLTTFTDAFVKAGFPTTHIHVIGKATGRKDQTVNIIHNSEAIYT STRGALQQLWAETSYKMQAVRDQPEGAKEEFDAILDDQDEGIQYNVPFKFLPSPQADV ARPKVAILREQGVNGQIEMAWSFHAAGFEAIDVHMSDIISSKVALSQFSGLAACGGFS YGDVLGAGNGWAKSVLLNEVARKEFEQFFKREDTFALGVCNGCQFFSQLKEIIPGTEN WPAFKANRSERFEGRVSTVKIGSSNSIFFKDMQDTIIPVAVAHGEGRASFDSSNATLE GLTTDGLIPVRYVDSKGEITNVYPKNPNGSPEGIAAVQSKNGRVLAIMPHPERVTQLN SNSWFPNSLKEEAEGKGPWFRLFQNAYAFAIEQRS
I206_04687	MNYLKSITTSVLQSTGVTFPFSIGERIPGLDTSTSIWEIREGVK RDDGTPLTLFIYDSTLPPFQPGNKDRKVLFQLAKNALKKLRTIRHPDVIRYIDSVETE THIYIATERVRPLGGVLRDWETGGALSGSGANKGKGKEEWIGWGVKSISTALAFLNSP PLSQHHAYLLPSTIFVTPSLEWRLGGFDLLTGREDQAGVLWALGGVAPGDVGERSGPE VRKGGWGVLRDSDPAFSDTYLLALLIFTLYNPIAPPPSLNAAPTPSSAGLIPKSLFPF WKRMLNPNLRTRLSTSGFIEESTSSGVWATNPLISLVNGLDNFELASESDKLGLLRII KDSSSAGTLPSPFVIHKILPSLLHSLSLPTAPSSAMLPLVLELGKLVSPSEYPKVVLE PVVKLYTSPDRGTRMALLDGLNEYADKMDNKIVQEKVWPNLITGFADTVPIIREATVK AVFPLANKLSDRILNNDLLRLLAKMQMDTEPSIRTNTCILLGRLAPILGPNTKKKVLV PAFARSLKDPFVHARVAGLMALMATVECFDKEDLAGKVVPNMAFTLVDKEKLVRDQAF KAMSMFMGRITDMVKDMPDTVLSEEKAVASYGPVTTTSSTTTTNQAGLANSAAGAAGA LAGWAISSLSKQLSTPEQHSTMSAATALNVPSTSPNPSPNASPRISSDLGLTSPSIPG PANGKFKSKPSSGSGSGLKLGGNVKKSAPGPSNLAEMVASEWDDNEDDEAHNAWGNDD LIDVNADQDDWSAFESAPIPEIVVPPPQSYYVSSSSTSSNANGQKPKPIQKNITTSSS SPKPSPVATFASTKSPLSSSVNSMKSPAISINSNNEEWGDVEDTQSNSSTRTQSPQKV TISSTQNLSTMNKEEKEKEMARRRAERQARIDAMKAQKKAKS
I206_04688	MSNPYGHPQLVSQQPPRSHTLPSGISSPTRQLSNSNTRNATPAG PSKKARKRSSFSEHTAVDPSQDVTKVRAYSACRSCRVKKIRCLPGPSNGVVGENGGLV PGTCQQCIQGGIECTYPPSRDRAAYSRQYVQNLESRVQALEAVQNRILPLLDAFEHNH NILPLASNQIKRDKSNKNDDDQGVNNQVGVEDPTHGTESEGERDSQEEENMHHSSHSD VEDGGQMTQDERGNYRYIGSSNTLSLLDSFSHRDRENHKDKEMEFPNQAGIISRSNSF ALKSNNQLSDPNSRHSPAISDQTATTRTNPYFGHVAGSGVVKALPPVHEVQYPPPDEA QKMIDAYFLEVHPSLPVVLEHEFRKEFKEVMDTRARGALPTQGTFLAVVFAMFALGER VLDHEDTVLPGEAEAGVIWFERAQILHYTCLKDLNIKQVQCLTLMAAFQASVNAMPMS WLLAGQALRVAQDLGLHRSTSRLPIPFAEKQLRSRCWWAIYGLERMMSISLGRPLGVD DLDIDVAYPAEIDDEGLERMSYESTPSSALSSVEEALGSTMSGFIALTKLCKIAGKVA HLLYRPSNEKSVSDPSWAASQQTAINKVDKMLRDWLDHDVPSKYKDPSDNRAVSLISA ILSNSYFAILITLHRNFLPSNPDYPRPKPPPSSQSLARCVEAARSVIHIASQSRTLVP PSHHLAVYCQYLWSSAVILLLCEVQAKEQLVIETVGSHVESCRRTLQSLEPVWPGSRK LKELLNDVASRAKEVAQTTKVNSKSKKRKPTSNLEKLQHNNNGQMRPPPSRNHSSSAS PHRPNHGPTTNPTKSTGTYQDSTSSPTFVVPSGSVGGSSDKRQRTYETSDTRTMINSE DRQGQQQSQQNPSITQVQYYNIPTYPSNAPTPNTLPPDQNNGNPLNQLDIESYNFDLG GVTFNPSELLQGFSEPSSNFWNQMTFVDNGNLNSNSSYNNQNQVFSGQNTPNSTSGSG VSPGMIPQNQSNVLSSQQNTGITNNSSGTGNSSGSYTPNSGGVNTLDPFSEFWSQVAG NSFDWQADPSVPFNI
I206_04689	MLSLTRTRISNSTNNLISRSIKRNYVAATQTHRATEAKNFGQHK GYPVIDHEFDAVVVGAGGAGLRAAFGLAEGGLKTACITKLFPTRSHTVAAQGGVNAAL GNMTEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPNTVIELEHYGVPFSRTKEGKIY QRAFGGQSLKYGKGGQAYRCAAAADRTGHAILHTLYGQSLRHNTNFFIEYFALDLLMQ DGECVGVIAMNMEDGTLHRFRSHKTVLATGGYGRAYFSCTSAHTCSGDGNAMVVRAGL PLQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPTAKDLASRDVV SRSMTLEIREGRGVGPEKDHIFLQLSHLPAEILHERLPGISETAAIFAGVDVTKEPIP VLPTVHYNMGGIPTKYTGEVITADKDGNDRVVPGLYAAGEAACVSVHGANRLGANSLL DIVVFGRACANHIKETLKPNTPHKPFKDDLGKESIANLDKIRNSSGPMSTAQVRLNMQ KTMQTDAAVFRTQESLDEGVKKMTEVYGQYDKVGIKDRSLIWNSDLIETLELRNIQQN AIQTVVSAAARKESRGAHAREDFPDRDDDKWMKHTLSFQHDPESPKVDLQYRSVIATT LDEAECKPVPPFKRTY
I206_04690	MSGPTSAPDKMAVVAPNLQSTPTTLATHAPHVEDEDMSEDDKPL ARKTHRLAKKRITGDSSSEDDKPLAKKPRVSNGAANKKRRVVDSDSDESDAGPSVKKE PEPTPKSNGAKPRSSTFKKPSPPSESDSDLSEDDKPLARKVNGNGNSKATKKATSSDS ESLSEESSSEDEKPLAKKKAPPAKPRQSTASTKSTPAASKKKVKAEPKSESEDDDVPL AKGKTKVTSSAKDKKPVVKREKKVKEEEDEEEKYKWWEQEALGDGEVKWTTFEHNAVL FPPPYVPLPKNVKMKYDGVALTLPPESEEVAGFFGAMIETDHAADAKFRENFFKDFKA ILEKYPPKESVKVKSLDKCDFKPMFEHFEREKEKKKAMTRDEKKAIKEAKDKLEAPYL FCTVDGRKEKVGNFRAEPPGLFRGRGEHPKKGTLKHRLRPEDIIINIGKDAKEPIPNI PGKWKGVQHDNTVTWLAHWKENVNGNAKYVFLSAGSSWKGQSDRAKFEKARELIKHVD KIRKDYTEDLKSKVMADRQRATALYFIDRLALRAGNEKGEDEADTVGCCSLRYEHVTL QPPNTVSFDFLGKDSMRFHQDVQVDAQVFKNIKLFKAEAKKKGDDLFDRLTTTLLNKH LNSMMPGLTAKVFRTYNASWTFQKQLKNTPKNGTVAEKIAAYNTANREVAILCNHQKS VSKTYESSKGKHEDKIRALKYQRMKLRLQLFSLNPKLKKKQPELAEDESDLDDEFCER HEAELLEKALDNAQKKFEKDNVKLEEEGEKKKDKSELNERLKEIKDEFKELKKERKSK KVEPRRAATEEKLLEQIGKMDERIATAKVQLGDREKLKDVALGTSKINYIDPRITVTW AKKYDVPLEKLFSKTLREKFPWAEAEADKSWVF
I206_04691	MSDYKSAKEAFVSDNPGSSIYTIIAISSTAWTSYVLYATVNNRF RSSFLLDYLTSAFPLLLSITLFATSPIIYNLSILLISVIIYITSPKSRRQRSRNDKDD KSKGSWLEESDSDEEIAESTSTNNSTNNTPIKLPSQIIQNQNSALTSSYSPNSLLDSP IRLSVEDPFSPIEGNKLNKRKLSPQPSPNNITVNILPTPPSLNAESLSSTGYPSSRIN SPSPSLDNTYIDDEKDKKGRLPFLSVYRAHMMIMTVHCILAVDFKIFPRWLGKCENYG TSLMDVGVGSFVFSLGLISIKSLTLVDLTSNINKYPPNSPGTQRKIPIKSNFLKDLIK VIKKSTPTLILGFIRLLMVKGIEYPEHITEYGYHWNFFFTIGLLPIFGILLRPLRKWI RWSILGIGISLVHQIILSKFGLENYLISNSRNGLIGLNKEGISSLPGYISIYLLGLST GEHILKSSIMNPFILNNKNINETKEEYFKKQKIKLILELFSYTLTWWSILGIYYLFFH LNKISRRFANTPYVLFISSYNSLFLLGYLILEYFFDLKNNNNLLELINKNGLIIFLLS NLFTGLINLILNSIYINNFFSILILISYSFIICIIAKII
I206_04692	MPIPTRPISVNHHPRHNKQKQLKPGYSVPRKSTKSLSNSLDWKN EIENFNSNSNSNSLPELKGRNRLINIINNNNDNVIQFEEFENKNNEIIKSKREVCLNE LIKDGKGKREKRVTTATSLGFDFIPNNIILTLPNSCDQSISNLTSLLNKSKVKSISQL KDNDDLTTCLKETQFSILSNSEPEDEDEIDFDLNDWEFIPSLNNNKNNNNNNFIEEDD NLNSEGEEDVIILGELELDDYDDDDIVVDDDVNSKFVKPIFNSSKTKKLKKDKISYAD ILSLNC
I206_04693	MPTALSPLPLNPPEIWTKPTYSNTHGGKTYKPTHPDLFKVEFNP PINGEEEYSSKLIAIKDFKPNEIITKLTNLSIAPEKAYSSVQFGSGKRDHLELNSDLL FMNHSCSPTAEIHLPSNNLQNWEVRTTLKGLKEGEAITFFYPSTEWDMAASFKCNCGS ENCLGEIKGAKHIPINDLEDRSYINDHILRLKETS
I206_04694	MAKKKSTEGAIAILPSAGKKLTFNDSDNEDDILQEEQIQHEEHL SGDDQNAGDWTDENDEDEDDAPEAVGTSKAMEEEKRIAEREASLKESQRSAAKARSQA IAQAKAQAEMAKGKAKSTIKNLPNPPKNKKAQKSQNSNADENDDQDEDEETKKLRRRM EAAMAQASDEEDDESNLEDEESNLEDDEDNSLEEDDEKSYHTDSEEEENPEEEEELDS DSSEDISNVKVDESMKGKFAAMQAMMEASEARAGIKQSSAAAAAAASSSSSSAKAGPS ITKKLEKRKAIKEDESEDESEEEEEEDDDEETKWDLLPGAPKPLSKSTLNKAAQIEKE KKEKELTKLNEQKSQIQEDGKRKKKRRRVTKDKTIKKISDKTTLHLLPPTFNPSSTSL PPIIDSRKKISTTPKGKASKDKFVKKAMSSSGMIPGRGIVDGRKRIVG
I206_04695	MTTYQPLPTSDIDSINQNQDRFSNSSNSNSIIQHETDPSYRPLR QSVQEEFNRPPPSIWKRLLLILALLIMAWLSIWLGKKGIKEKGPTIIYANRYSDEFKY RPAASPVITEYLSKNKIKIRGASLGGVGIEEKNIPLTNLQIKKQKEKKIQEAKNKARE KMGLRIKKRKSLKEKKKEEELKTLELEKRIKGL
I206_04696	MNTIREINRINERELQLGVKGSWHDEYKDSAYIFVGGLSFELSE GDIITIFSQWGEIIDINLPRDKETGKTKGFGFLMYEDQRSTVLAVDNMNGALVLGRTI RVDHTRNYRQPGKRNDEGQYEEPEEPTYNAMPPILSGSESDESSEEEKDDLDEEDPMA SFLRSEKKKLKIKNLTKGEKGKKRKYEGETKEERKKRKEEKKLKKLNEEKEKEKIKIK QERYTPIASTSRIQRQPEDDDDRRQEGRANRDDWRDGKFELEREIQREGDYLRDGRDD IRSSFNSRDRNDLTRRDKEDRRYVSRDREDRDGSDKRERVRDEKEDRYKDRDDSRRYR NDDERDRRYRDEYDRPRERESDRRRTDERDYRR
I206_04697	MSFNMFNQPLRSLVSSSSRLFASSSKASSSRSASSFTHLGDLQP AKGSTHADIRYGRGPGSQRGGTSGRGHKGQKARNGKGVRLGFEGGQTPLHRKVPKRGF INFTSKTYAPLSISKLQEFILKGKINPLNPIGIKEILQSNLIHGNLSNFSGIKLLGDS NSNLPLPSLELNLSRFSKSASEKILNSGGKLTSIYYNNLSLRKEIFPQKYAGKDIKNA KPTRKNDILYYTNPKKFGYLADTVPSSAKKMTPAEWTESNAVTETQTQI
I206_04698	MSAPTESTANLHKDEVTGEMISKSELKRRQKERQLAAKKAEKAA AQPASSAKPKTEKKADDVELDATAFRELRIREIQALRKSQSPNPYPHKFHVSQSVPSF VREWGVEGKIEKGAHVDDAKPISLAGRVYTIRESSSKLIFYDLKADGEKIQILAQIQN AASPEEFTKSHSVIRRGDIVGVTGIPSRTKMGELSLTISSIQLLSPCLHQLPGREGVV DQESRYRKRYLDLIMNPPTRDIFITRSKVVNYIRKFLDNLGFLEVETPMMSMIAGGAT AKPFVTHHNDLKLDLFMRIAPELYLKELVVGGLDRVFEIGRVFRNEQIDMTHNPEFSI CEFYMAYADMYDLMDMTESMISGLVQYLYGTNKVTFHPQGKGEGKPEYTVDFTTPWKR FDMIGELESQLNVTFPPGDTLHDENANKFLRELCQKHNVDCSEPKTNARLLDKLVGEF IENQCINPSFIVGHPQVMSPLAKYHRSRPGLCERFEAFMCTKEICNAYTELNDPFDQR DRFEEQVRQKEAGDDEAQGVDETFLDALEYGLPPTGGWGLGIDRLVMFLTDSANIKEV LLFPAMRPVVATSVEAVAPSVTATEAAKEGQ
I206_04699	MEGQAIHSHSPLNLAAANDIPSFVIDPSLEASSEFFQDPVVDID HHHHHADVDEHSQNLNQGDQLRDNTHQIIDSEGNDHNNQPTQEDIDAVIKASLDHAQA QAAADAVVAAVSRLNDSIDPSLADSGEQLENQNDGKSQIDQKFSNTEPGQEHNPIHEP PLHIAPFSRPSRSIEDTPLPLYLHFGSKNHFLRWLDAESSWCHFVQRRTTTPDKRSAE RLQARMRAHQRSLNAMTPEEREAATPLKTRRRKRVSPVFEKVTFTCHHAGTYESKHST SLPEEKLRKNTKKSVKCACASRVVLSELQTGDCKVVYHWKHEGHDPFSDADLEGGRLP KSIDTWLNKQIEAGKTLDDIRKVLNISEEDKEAYLAKVSADPTALEPNMPPPLALALK VKYPDIYNRYRKLRGPVKEHKQLKGSTKRTASGTIKAGSRVDGEESDAGPSGSTTPIP TEGDIHTLGDLDPLMSHTSSVNEHLGGLTNSLIPPYTNNHENKLDQNGMIIDDDEHNE VDTEFAQLASSHDLLNSHEHGQNGENEVVVVDDLINSHEGLARALLDLPSAGLRDEDG NEMSLEEAMRRMAEGVAQVAAQEQEQEQEEERGQKQELHDED
I206_04700	MSDSASKLEPYLLLARSTKGASAAKVITDVTAAAGVYVFAELLD LPNIKDLSADPTYQGHYNLLRLFAYGNLTKYDSSPSSYPPLTPAHTLKLKHLTLVSLA LHNRSLTYDQILTSLRIESIRQLEDLIIDAIYAGLLSGKMHHHEKVLHVDWVASRDLE EQDLIAVQNGLLNWCKTAETLLTTLDEQILVTRQNAANEAADQADYKAYRDREYETIA LELKNSKMKPAYGGFGPRGGNLKDFQMGGLGGSHLTNEGLLASTAGGKPGVGAGVAGG RLLTREVSSPDELEGKRSSKRFRD
I206_04701	MVVPTTSTHSQADKLSIQEKLLLSQAIHKLGAISWPAISKLISS HPCCLNRPSELFTPESCETIYMELMAGLKINVPAPDAMKPLAQTHKRLAQTYYLKRME ELQQTILQYENRFKELMIEITSLKNGEADENIRSEIRTIIARKYGKKLLDSWFPEPEV VKKAIEDGPITEEEKVKAEAEKDAKQDDQIPVDGEKAVEDEVVMAETEEPSITKGEDS EGLEKDKEEEEEEEEEEDDDEDIKSERNAKSPSVPKSKVKTQHRRRFSPAVSVRSDLS PAPGSDLSSPPSDHATPEATEETAPKSTRSNKRKASVPLKGAPAPKRSGRRSNARVAS SPAVTHEEDEAEAEAEEKDQSEEEDENVPRTRRGTRRESTRNLKASPEVVINKKSSPT VSRRAASVQSSTRSTPAPIEERRSGRRSMGTKGGRGMRDDVVSKSVREQSAALDSDEG QEEDAEEEEEKKPIRSSARRGRPTEKERERAREKEKKEKEKEKETPVPSEKRGTRASA RTVRDQSENDQASNSAADISGDFTIEGTPASTTNSIRGGGGQGFKPTPSQKSSQKLLY SLLDTISQHRNGNVFQNPVKKTEASDYHQIIKRPMDLKTIRARIKEGHITGIENFERD VLLIFANAMMYNKPGSQVYEMAQEMLKATEDHIAHFKNLQHHVNR
I206_04702	MSDNGQAQRTAETPSKRKLSLRLEPRPSNSIADKKAKIEPGHTT RRCDSAELTDEVEQPLTATSTRFTHSSYSSPGSSTEDDRDRAFRDRMAGRQDKPIPQD RRRPSYPSAWMVGENERSVISSSGSSSRQPDRSQLHHSSTNNSINASRNSSPPPSFNL SSGQPLVNPPQKTQAAFVGKLYSMLEDEDIAKTGLIYWSAEGTTFTCPNPQEFSKVVL PRFFKHNNWQSFVRQLNMYSFNKVNDIYTTTIDPQAWEFRHSLFRRGEPHLLASIKRK SSRPSANDGGQPISPTEDVTELVKPVAGWMRDMPGQSGPLTSQPNVLRLASPPQGQRS IVYPYSGPNEDSRPTTTGGLWESRQPSSHGNGPPPLSSNRMPPPLQDQTNLRDQSHIP RFHPDSARPPLSGQRFLPTGGFPDSPYYSQRGEPPSVEALYCQITALEDKLQKMTEVL NHDRIEHVRYNLDFTSYLLQMSGWASGDKPSPEMKALQDTLNRQNADMKQRYEDLMAS DALTIMASGGGTNGRDRTSNIDRDRDDKRSRLPFENTTPNVLNSARSLQAVLPTPRLP SSNNISRGATPLVSPSVREFSDITPLVSRPPTSFSNSISGDSHSLSSQPENMNLPLPL NLTRSSNPNVSYFQAPSQSSGLITPTINGFASGNEMEKNEIRLTPRQEPTSIKTSMNK LKENGSSKIEEDLINDNKIKDDIKPKAGLRNLLN
I206_04703	MSICPVCGEIQNADQRAFAYHVNSHFEAGKSTSMSSPEKYGPTR EISDQTTCPICDFPLSFLSPNIAQSHINTCLDDSSTSHQRTSNILSQDLDPDECGLDY DYDSHFLSDMQNERNRSVDAVDEEWDGPAKPGGWMGWAGKKVQKGDEWWDPINGSISE IPSNFSPGVIPVLAEILRKSSRRGTTRRAVLCRDVTHIKGQWKFDMGWGCGFRNSLMS LSSLLSIPAYQTMFDPQSNGAEPGIRRAQGWIQEAWEEGFDPLGREQLRGKVLGTRKW IGPSEMYAMFSYQGIPCGIYDFPKPVNLKGKRRLAHIALRDWVVSYFPRHVDTKHPQS AFDVMMRTAENGAGRGEVVRISNKFPLILQHSGHSRTIIGYEENSRGDINLLLFDPAR SMPKSIRSAGIAGLIESRQKRPSLSQDSTQSSSMKPSKPNMLKKKSSSITKTHESIPF SPPYTNGRAEINYDSSFEMNEQVPHQRGGGLILEDDEEMISGGWVRKRIKKFNLSNHQ NEVINDNYNHYNQQIDTLKPLTYFRESLSNLSKFNNYQILAFTGGPILTQNERDMRKI STSTVFKTEIR
I206_04704	MSDTRAEAEKEIDTVEMSSNLEDSLGSALESSFNIPPPPPAPLK GSEKSHEPNAIPDETVKASQSETIEGMAEWKDTLENYTKEWQAESSIAREKALATRIR IEKENSDAEKKMKDDLAKEKKFKLDAEKKKRDEERLKAELESDDISSLKNKKQLKSNE KVKEAWELVGEKKDSKGTVETDGRGPSKEAKKDIKTLSYDPTKSTDPIPPVFQDPVPA SQTSAPTESATLSRHSATSGAWEEVSRGSPGSGSSGEQVSRPQSNSEESDIVNIPSSS KGNDDKNQSQPPQQPPSLTLSLFDPSQLTFRRVLAVVGINLILPFVNGVFLGFGEIFA REVVRVGKLVWRGERTIWSFGRGAAAGRGTSGVGLSGGF
I206_04705	MGLTFSSLWSRLFSKRETKVLILGLDNAGKSTILYRITMGSVVA SAPTVGSNHEIYDYKGVRFGLIDIGGQTSLRSSWSQYFTGTEAIILVIDSSDSTRLHM VKAELMKLVSDEQLKTSLLLVLANKQDLPISQGRLTPAQVSEALGLTDLREREWQIMG CSALTGLGLFEGMDWLVGKLESRG
I206_04706	MSGRGGFSGRGGGDRGGRGGFRGGGRGGRGGFGQRDFGPPETVQ EIGSFLHPVESEMLCSLITPTKIPYFNAPIYLQNKTQIGKVDEILGPINQVYFTVKMD QGMIANSFKPEDKVYISGEKLLPIERFLPKPKTAGGKERGGARGGAGGRGGGRGGRGG PPGRGGRGGFSSRGGGGGGFSGGRGGSRGGFGGGRGGGAPRGGSRGFGGGGGGGGRGR GGY
I206_04707	MEDDPFSLPLFNHHPTGGLGGGTSALPAIRTNADLPPSQIPPPP PASAHRNNASTQYTQGGVLSSPFSASPRVPTTGGPPIPNSHRPHASSVSSAAPYPSGG FGQSYPQQQQELMPPPPTSSSSSSYLGRSTSLGGTRKKDPFAYRSDDVESGFGNMDVS DNTIPPMPSSATSGHWSNYQNNNTATAQRQSYHGYPTQPSSQRDVIMSPNKQHNNPMN PPPVPAHAMRQAQQQSSIPQNEGHSSSTTFQANNPYIPRGSDPGPSSTGASGADQSQW TDYRRPSINQRMSSTGSYQSRNSDQLSPFTKPVSLSVGGELSPSSPLMNPYDMSSSSA TTGFPSSSPSIPPPHSNIPNSPRWNNPTTTASSSNRPVASPVGGRPPPSTRGSTSTAN QLYPSSQPVTPAGKYELAPSRAENTSREATQSRFGFREVHDYADLKPVVNTQPNGRRA DPDASGKYLSPLKCLTAALPQTYNLCNPNFRYETSDNPRRVLTKPSKPAHNDGADNED WDYILYVNDVLGGEHGGDRYLILDVLGQGTFGQVVKCQNMRTHEIVAVKVVKNKPAYL QQSKMEVAILELLNGQHDPNDRHHILRMHDNFTHKSHLCLVFECLSSNLYELIKQNQF KGLSTQLVKVFTGQMLDCLTVLKDARLIHCDLKPENILLKSLQSPQIKIIDFGSACHE MQTVYTYIQSRFYRSPEVLLGLPYSAAIDMWSLGCIVVELFLGLPLFPGTSEYNQLSR IVDMLGTPPNHLLEVGKQTHEFFNTSTDPYGRKTYKLKPMHQYASEHRTDEQPSKQYF KQTKLKDIIMEYSFSKRGAKQSDIDKEMAMRRAFVDFAEGLLNMDPIKRWSPQQAAKH PFITGEKFTGPFQPTAHSSKRPSHAPTAEIPSSSPSSSKKYGGLVQSPTANRTQRVYS DAASYNQQLAQHQSFTAQVQNAANVPKPGPFSPGYDMQQSQQVYAQGHRIPSQSQQMP QAQQGQRQPSGQWQQVPSTQVYQQQRVPSLNTSSSHAQLRQPSNNAAPMVSSSTTNPP PNSYYPATRNRANTINQIDAIPPALARLVQYGAQDPSGTRNSLTPVLMRDDYEQYNNL HSGGGGGHQHKASGLAHQSYPQLEYLQEQAELASNQWLLPTNSQNPYGNTSHGGHSGR HRNHLSLSGNQNYQMQPPIGIGISPPTNEYTSSSNINGRREYDFAHSHQSRASYGGHT TGLPTYPPPAATLNNSNNVNVNFDTFGDNSGVGMGMMYTPLQPSYGSGSNSNSGSGSN SNNNNNHGHQSRASYSGPYGTNNQSPFGNANNGGGGGAGGQSPRYTGGGGRRNQYGS
I206_04708	MDPSVPLVVDNGTGFVKCGYAGSNFPEYVFPSVVGRPILRAEER LGTSQIKDIMVGDEASEFRSFLQVQQPMEHGIVKNWEDMKHLWDYTFNEKLKIDPKGR KVLLTEPPMNPKVNRQKMAEVMFEEYGFGGVYVAIQAVLTLYAQGLQTGVVVDSGDGV THIVPVYDGFALQHLTRRLDVAGRDVTRYLIKLLLMRGYAFERTADFETVRGIKEALC FTSYDLESDKKLGEETTVLVENYTLPDGRVIKVGSERYEAPECMFQPHLVDVEQPGVA ELLFQTIQQAAVDTRTELYKHIVLSGGSSMYPGFPSRLEKEMKQLYLTRVLGGDSSRL SNFKIRIEDPPRRKHMVFLGGAVLADIMKDKEAFWVTKEEWDEQGVRALDKLGRGD
I206_04709	MVCKKCEKKLSTVAAPDPFKPSSSTRVIGENKLLSSRAKSSPYA KPGTGLKKGNINPYKNCIDCKQPAQQNNATRCQKCAYKKGLCAICGTLVLTDIGRYKQ TAK
I206_04710	MNSFSTAPISGSSSSSSAPGPSRQRITGSWQPLPYIQSGFCIYP YQPNSSPPPTPSTATDGQRNHSNRNRFSWSGIRTHAEDQEENRSNAYEVPLDIGDEFF AFEEYRCSINEDGRGDLWYRGYVVQAVSLPSLAPSGSHVHAKTFPRPEPSVLIGIFPA AAVHIRPGASNDTGELTEAYERAVRAAEDNARNANLSWVGEMDTVKEEEEMEGYDVSS PERQKDLERDVIDVTDQNGIKTALKKDGLRTNRPKSLILESRLAELERDKEQPPLPKL TAGDSTIAGQQWPLVDEIACAIREWYGRLPTYLANREYRLFSTVMQHIDALFLGRRQL LSQTLSGDELVRIRRECVSRLVKCNVAQGLEVIVRSLEDGDVMVVDKERASAGASWVG GIACYVYQVQLAYIDLIPLDDLFGKSPSLIDPRPALPSAQPFALINSHASRQSSATSR SHYHCLLDVRAFIANPCAPGETAELYFSLYNKVESRFVTEEFCLILNHLGSPARDAEQ RFGRLRTLFVDLKLDDLAHDIYLVCRIVRNGAMKMRQESGTLRPTAGKRTSLYGISEG SPAQNNASTLDHTLTDDSFSVTSGYGGQRAPTIDTFQGSNGYSNSMEGRSLFRRPMGC AVLEIPALSRLLAEGTDKISSGVEFQVPIYLPKDEATFATLHENIIHKRVKEYVTSPR AEAIALSLKVFQGSVDQVIYEHPSVLSNIPLSARLGFPDVVYPGTIRNDLYVKLWSAF FAPVPTSSGGSMRVRKSVISSNHDDVQVTVEVRCGDGQIVPDALIAGGSGEPAMAQYT SLVFHRNDKPTFGELVKISLPSSAQAEGYHLFLIFHSRGKERHITNSDSSDLDQPFAF GYLPLANGITCIQDGDHELILYKSERNVHITPNIYFEVPALAHEEVGAFPTFNKALMP LRDRITLRTYLCSSVQTQDDTLRALLVWHSSGGDVDVLWSTLKLFSFVSEDEIAKFVP STFDALFSILISDLGDRQDEVEFMIFKSLMKVLAMNSDRRFPNFTSVFGIYLDTQFKH PSSAFSLLKCMKKVMLVPSTIDYRSFLKVWHLFFRIIIKARENDRSRGPGLAEEYSNE VEFKTQTKEILEAINHLMRSNEKHLIGTQTLAVQHYSDILPDLSRIFQPVEISEIVID FLDTLTLSTGSIAIHKLLLILQVVKNVFESSESRSLLVPAIIRWVKPHLGKFDELRSV GPDDSQVTRDGKRIRWLECNRLAVTVIAWTVNKSQEWLYSPLIKDDDTLRVHEEDNIE YCLTLLPALYESYFDLSKSTTHSALNRQRSSPTSTIWKSTPDVFPSTHPFALISELPP PSLLEQQQNAAQDGLPNSETFNCGLAETAVVILTLILSSPQPNITRWLNEVLDIEGVS SLSETLKLTFNFCRSVISFEAFPKSWLTLSLMSFSSILRFITTLTPILETENFIPKIQ IAEAFDSALWSGLFELLCEFLGSDELALEDMSQQRRRAEWIIVGDLRDEGSVLLMRLW NAIGWPADEAIQAEGTELKYGGYQTQFTGLAERILGLCLSSHDAMSETAVEILFSMIY AEYVIAGKFDNIENEIFLKLEKLFAFKSTSSSNDPTMRAYFVAQLRAIFESKPTIDEK FEERVSVFLDEIELFIDLLLSLREVPEDMEWSDEKSQAIHQVMSFVERIGRNDLYIRF IHQLVAIASESKNWLSAGLAIKSHAQIYDWTLDDTLLDDFNMGDIKLPAQTSFQRKES LLYHAIQYFAKAEEYEHALEICQEITTQHQKFTFDVAKLTELLTYQAALWERISTGGR NQPEYFRVAYFGDFGQLNQGKDFVAKGEAWQRYSEFCDALQLKHPEASIHRSKIPPLT SAQESSHPLIWVTPLAPEPDLKKTVFADKVSDNVSSYYRSNRISEFSSFRPYMRDPDE HEIVLTWTEKTILTSKEELPGILNRREITQIRYEQIPPVNMAIMEVEKATKNLRRLST GKDGHLPQSKLLGTAINGAVDSPVFLDGTYIEKHPEFASTVNQLRVTILEYVKTIQNT LKIHEVVCKDLAFHEALKTQFFKTFPEEIALLPRPSETSSTSIDDSSQPIKYTIPPNH PLPALPIPIHDSPSISTYSSQSPALSSLYKLPKLKLGSSISSGKGIMTMTNSNSISTH TGTTTSSSPRESINTTRNSQNQTPTPINQQQPIQHNDNLKLLNRSNSLLGNENDFGNM KKQINFEKRSSWNNSIKLNRAMSIVGFNSNSNSNSNSIDKNSSSNEIQNSDIQGGNNN YQNRENHLTQDNGKKEKVSGLKRFGSLIRRDK
I206_04711	MNTIRPFIRICPLQATYSHTRFHLSLRTIDPPIIYHRLLLFRIT KPEESISSETIGPSWKAPELYCMEETCPHLGAPLSHAEIEDIEDTKAIVCPWHQYDFG RSVATGLQACTYEVQVKGIEGNAEVWVEAPHPSPNAPQRQWELVEMRGVSEEFLDPPI PSLSRLSLSQDVEHTSRSSFSTSNATLPEELPNSLLGFAHLILRTSNPELKCLLTREA VTRLRAGKLKSIRPSVGEIKRERDKEGGLLDEPPREVEMIAPGKAPRRGKGGSEKSRI MMLHALANIEQYAIDLAWDIIARFADCTVNGERLPVEFFLDWAKVAEDEAKHYTLLAR RLVELGSYFGAHTVHAGLWESATQTANSLSARIAIIHLVAEARGIDMNPLTLAKLQAA GDKESSRVLEIIHSDEITHVTTGHRWFTWLCQKQGVDPIKTFRDEVFANFRGKIKGPF NSADRLKAGLTPDFYEDLHGGLGSNLNKTEDGINQKEPEGDEHIHPRLA
I206_04712	MDDFTDIERSSSMDKTGYAVDRTVDIDIQSTEYQEYLSLSEHFT GEILQKLHRKIDWHVLPPLMVIYMMTYVDRSNVGNAKLFGAQADLGMNGTDWNIGLSL LFISFALFAPFSAAIANKYSTRIFLIVAFFGCGISIIAAGCVGNKAGWYAMRLVLGLA EAGQCVPGVSFYVLATWYSPGVYASRSSWFYLAATVSGAISGLLAYGIGQLDGHWGYR GWRWIYVLEGFISVMLCIFLAFTLQTNPATARKWLDERERRFIVLRSKFEYGSDKGGK STVFDVKAYASAFKSPHFLIIAFGHFSFATGIYAFQFTLPTIIANMGYKAAAAQGLSA PPYVAAMFSVWICGYFSDRYKQRTAMVMIPSAIAIVGLIMCWTTAGHQNLVAVTCKSS PTVTGSRFYPLTPMYFTFYALNNAGASKRAAALGGSNFFGQAGGVLGSNIYLANQAPK YPVGFGISAGLLAFGNLIVPALYYIWVGRINASRAAMSEEEIRAKYTPQQLEAMGDRS PLYFYAR
I206_04713	MESHLDRKNDNQKSHRRRKDGCLTCRQRRVRCDRAKPLCGHCER LNRVCRWPELIDTCDSSDFPATKRNRVNRQGSVVTTHSRTASSRGGSGPSPQDSFQHP AENLIPWATPLSNLRGGDEARTDHVQLGLHTFIQPDQLFSDVQPQSQSTSHPQEPLSD PPGLASFDNFISPIDGGPGLASTSTSNVFDLGPIETTQQPFDPFIGHDLNLDLFLGLN DPFPWQSFPTTHEDSLFNNQNAFFPSLNAITSESPTIRRRFCSPVTPDMVDQSIATYF VERVSNAAITRHHLTTNYYISLFAASQFCPALYAGMLAWSAWHMAATGHMNPAQRQEH LEYAQHKHTLCGELLFKDLDVVQVDSDTELEAVYCTFMVYGQYASVTCAPLSRLRSLI DEVDKIFLRRPLDHTSSPLIKRMASILAQYDMKSSLFGLSEPRYTLHLGTAIFENRPA TVDENTTTAFSIVSPLHMLYLSAQCCVIEGQLRRSRSAHDVIGARKIIRLGDELYDKI INLESKLDSSRLDWFASKLHDPREGTGSPQRHISHDTRFEIMLACSYHGVVIQLARVL NYPSPIRSIDRIIWMTINLTRRDPDCIHSTVALPLFFAALETGDPDKEILSWFDASDT GASGAVRVQRTKQLLEAVKRAEADGTRSDVGQIMRRTNFDTLV
I206_04714	MSEYTVHTSTLFDPKQLAWLKNVSITVNRDNGLITKVFERISEE ADTKDGDVDLRGKVVLPGLVDAHTHIFLHAYAENPSVNQKRDESFVERIIRATNHCRI ALLSGYTTYRDLGSESMKEADANVRDAINRGLMPGPRLFVATRVIASTLAYEPRNENH IGGTCMPAGCDSADGPDELRKAVRRRIGYGADIIKVYADYRRRIMRFPPKQQHPYLSE IKILPEDPNPDHNVFSDEELKAIVEEAKMAKAPCVAHAHTEEGVIASCKAGVDCIEHA SRVGDAGLKAMLDGDVMMCPTLSVVEFMHIKNFPQILKTVKKAFDMGIRIAAGGDTGT FPHGEGVREIELLIQAGLPIEEAIKSATYRGWESCGGDRCGRKFGWFEEGCAADIIAL NADPRNDKNAFRQVDFVMKDATIWKQAGQGQGMLEIGTPQRGNYLTKFGLESEQSY
I206_04715	MSSPLLQLNPLEKVYQTALEAIQEKQSNLHEISSFLSNNPEIAF EEHEAHDKITSFLEKEGFEVNRSYNLPTAFRASYKNGSGGRIFGLNSEYDALPGIGHG CGHNLIAISGIAALIGMREAMKQHNVSGTVVLLGTPAEEICSGKPKLIDTGAYKEMDA CMMLHPGKVPIHNSAISAAFAHCAVIVEYLGKPAHAAALPWQGVNALDAAVAAYNGVA TLRQQLEPDVRIHAIITDGGSAVNVIPEYTSSNYMIRSLSSIGVEKLKIKIEQVFNAA GMATGCEVKITWQHLVKDLHHSEGLGDAYKEAMETLFPEHKVQCAYGEYVGGGSTDFG NVTYELPACHPHFGIVPEVGRGQHTSEFEQAARTDIAHKETYQAATGVAATGLKFLVD DEYAKEVSSAAEQPLPATF
I206_04716	MVRIPINSIGLGLFKQYAAREGYTVIGTILPLAEKIPEIPIGKG SKAIIVNMDVTDVQSPKRAIEELETKYGINQIDIAISNAGILTLKAMSRIENIDPQEF EDHWRVNVKGYLLFFQASLELLKSGSKFIFISSSAAILDRIPDKQNVCYGITKIGATY LARYAHFEHPDLIIFPICPGWVATGMGNLTAQHRGWDKAPVTVEQSASGLIKVIDEAT RETHSGKPWN
I206_04717	MIGSIIAFTTLLYIIGSPIIIPRLKRRFGVRKSLTITVITIPIE ALIIPIAQWCARVGRVWTWVILLFVQLPLKNFHQMGWPMNDHLNTACFDDYPHLVATG SAITLIAGASGRAFGPAIAGWLFSISTEYPLRSFGRQVSWISLFLMTLPPVILSLYIP DGLTRENLPEDSEEDDANNPLLARRLSIE
I206_04718	MSTDNSRIYTVIPESDTGEADSHAVEEEDRGDSTVLRAGFDNGS SSQIPWKRLLPFFILRSMDALTYGLIFPFIIEYMTSLEIPQDKIGLYAGIAEGSLMFA EASIAPIWAILADKLGRKKCSTWGFMISVISCGMVGFGKSAKWIIFWRMCIGLNPTPV LSKILITEFTHPTNREFIFSIYSPIFNTGYLIGHLIGGWLADPYGRLPFWLGGNSDFF QKWPYALPCLVNSFLGLLAVVVGLLFLEEVSDVGFQIAQLSRTDQLRGFEPGK
I206_04719	MSTSLPLDVPSSHSYSPSTVAFPVPHPSSPLIENHPPTPKQNNT TTPSTSAPAVLSPRVPSPSNIPPPTSINGQSSTLLKGNETPTTKTGDSLPNLGELDAK CGGCHEVIDQESGGVVVAFGSSLWHVDCFKCAKCKNKVSADTNLLLLSDGSPVCGNCS YQCFVCKQAITEEAIMTGDESYHAHCFTCRTCKRRIEELVFAKTSQGIYCMACHNERV ARSRRHAEHKRQKQARKEERERERREKHVDGERKREEDQPDIASPIPVPAGKFLQSTP GIPSSMSLAQFANSPTGSPSATPNSFNTGKFDTPNHDSGISNAGDAFEDAAERDSSRE REREKQRDLSVDRTIQRSTSPANRRAVDQDNMPLPSSPSDPNRGVTHSRSMDSDHNAQ GRQGSHMPSPSLGSSRSINDSIPVPAAGPSKNAGLGVGPVGLNVPTSKAERRRSINPA MTFNMDAQNSTFNVEPRMSPLPPSPLRASFTDLKEQQQQQQEGQGLQPLRSPTTPSPS PGNDMFPFRERQISAGGAMTTQDQGSSGPPPRTSSLPDQLASGKSRPLATIEDEDSTA GGSRKSSIDNTALSSGLPSKQPSNNQLSEGAVSTPRLNAPNLPPMSFSLSDPDFAVIL NNIDQSPTKKDKIAHKSGESIVIVKAEDGSEEPIEDANAHSSPISTNDATSPSIARSP QMDMLSSAAATTTNDAGAGAGMEQSGSLEAMSRSPSRLRLSPNDQVQTPQMLRIRQAS ADSTISINSRYGSGDGSFNTLVELVAGAKHRGEDKSEVDLSVLTGVIQEIEELRDTIT GLKSKYTGAKRTSQQYSEGLTIAGEEYDKELAHRRELEAEVSRLRAQVHSQTARLSVI SGDERRAENMRRRSNDLANNLTGLERDISRLRAQRDMSLAEVEELHARRASIDSFGGD DGASSLSRSLTNRLDTIKEQYREELEPLTAQREALQRDIAELRETKESFLEESAALAA KNEELAELNASLSRQAESVQDHLSRIRPPTIFGNLSGVGKNGPSGAGRSHPSGSPSLS SLATSATLQEVPEETARVVKVTKPEPLIEAAPARRFKWYKSSKGPDSSSASASISKPL NLPTSNRNPGLGVNLSGAGNGGPGGPNGNLQRPSTEFGFRDHSFAQHSTMRLTRCELC QEKMWGLQEVKCSSCGIVCHFKCAEKLPRSCTGSRNGTIEHMDGPLPPSMFGRDLIEQ VGADKTAIPGIVTKCIHAVEAVGMEYEGIYRKTGGSSQSKQITQLFERGDYDAFDLTD LEIFNDISSVTSVLKTYFRSLPNPLLTHALHESFVAAASIRDTNNKHSALCALLKELP KEHYNTLRTLMLHLNRVTALSSVNLMTSQNLGVVFGPTLMRSADPNREFGDMAGKALS VQWMVDNAPQVFIERD
I206_04720	MLNDISIITVSPGLAITNLGRDFKFSIGFIIFGAPFMFLNARSA EKGARNLTSAVANAEQSYDYWAECGPSYSESSWLSSGQGIKAIKAFYTEMIDEIEKIT PGITKDLA
I206_04721	MTLWTFIGRQWTKLPPPPKGEYLKGKVVLLTGANSGIGLESLKH FSTASPALLILAVRSIEVSERILAELQSLHTDLKGIVISLNLDDLDSIKSFPSRLKDK GIDKIDILINNAGLVFLMRRLGFDQGKVLMLI
I206_04722	MLVQSRLRGSVFCSSSRSFATTARRTNLPVVPLWINGQASTSSS GGSVTHKHPKSARETCEVIVAGEDETNQAILDSKRAFEDWRNVNGWERKGILQNVVQL LKDRKDTFTEALKADASFADIVIGGDQMSASHLVEGAANTAISVEGSIPQTKDDSFSM VLRQPYGPVLSIPAFNYPLTLALRSIAYPLACGNTVLLRASPLLPQFFGLLAPLFEDA GLPKGVLQTLNLSEKDAPARVEQLIAHRDVKMVNFTGSVRLGKILAAKCGEHLKPSVM ELGGKSIAIVLPNADLKLAANNILFGAFFNSGQVCMSTEQVLVHSDIAAEFEEILKQT AEEAQWGEGMEMVRPDSGIGARAMYDDAVKGGAKPLYSASPSSTSSTTTSFPPTILTS LSPKTTLYREESFAPLLSLHTFDNIDKIIEYANSHSTGLSTSIFSQDFQESLELAKKL ESGAVHVNGMTIHDQHNLPHGGWKNSGWGRFNGIGAIESFTQTKNIRFAKEAMLPIGA MYKGL
I206_04723	MARPPSSTSDVVLYFLAIFIPPASVFIKRGCGADVVINCLLWIL GWIPGVIHAWWIISKYERPAGYH
I206_04724	MHPRASSAVELRAGNMLTFSDYPFSTTDRTTYNRISRRPPNITT NTSYVPYIPSPLRFNRTQHRLRHNQTGRHGPANNANNKPYQKPGSLAARMGPAGSPSS IHNNNNNSNNNSNNNRGGRPTRNPINGRNPAGTPPVIRQLQTMSGKAERDHSKSELTK KLHGEEMKDWIRKRVIAEGVLDMSNLPNDPWLKENGILPPGHPNAPNNAGTVFWRIIE DVVQKGAGITIHTLSLANNNLDHLSQLSKLPLTLPDIRALDLSGNPIRSINELDHLRA AGEKKGKANAGAGSLKSLVEIKLNDSPFREKMLQQPDGPNIYKHDILRRFPGLRILDG VELERIVLPIDRKPKVRLTDEQKAAFVAKPFAFPCDVQTGFGEEGVKEPAMQFCAKFF TLFDTDRNAVITGYAPNALISISANTLPSRSANQVEVQKTRSNRPQPVSFESWTNLPS RNFFRGTTTIKARMDSLHNPSDPERLLRWWNKAVPRTKHPLADPSKWCFDTWVLDGEG EGTKLCLMIEGEFEELPSGTFRSFSRTFILTPAPQGSPAANAGWPAVILSDMMTVHSY LGTSAFDEYGRSLASHGVIIQTPQVPLPSSDNDALITQLSQRTGMNSQFSILCLEQNG WIFDAALKNFEEIKGTIPPEAFV
I206_04725	MSAFQQALANFNNTNQQDNASSSSSSVSIRGTANGAPSGRGLVS ALRGAGISREQGMELDGTNNGGRVGRGGRRGARSAGPLDQVSAIALILQ
I206_04726	MPAPVAIQPNGISANGHGSVKSSSVKSRGALKRLKAKQKAAAGI GKNGNDSASASETPTDTESDVESVTSTSTAATSVDIEFDPSDPAYAAFSSILSKFQGQ DGDLIENGQSAGPAKGEVYYSDDEDDDEEDVQRAAQRALDQEGLTRRERRKAAKLTVA ELKQLVDRPEVVEWFDCDARDPRTLVNLKSYRNTVPVPSHWNAKRDYLAGKRGIEKPP YLLPPWIAETGIGEQRDAIKAKESEQTLRQKTRERVQPKMGKIDIDYQKLHDAFFKFQ TKPSMSRFGEAYYEGKELETDLRTKKPGELSDELIEALSIPPLAPPPWLIAMQRFGPP PSYPNLRIKGLNAPIPPGAQWGFHPGGWGKPPMDDFNRPLYGDVFGVMQGAEIANQDQ IDRTLWGEIEQMDEESEEESDEEEEEEEDGEEEAVPTTGHAPADGLQTPSGLATPSGY NSVVSTVPGGLETPDFIDLRKNTRAESEDVPTGPRELYHVIPERETSSRGFMGSSTAY DVSSASRSGGPAVLGAEDGGKKRKVGDVEISISEDEDLTQEQLKAKYEASRSQSSKVY VPGADADRSGFDDVMAGEMKKRARKDDKKGKEKAEKFKF
I206_04727	MKKTIGSMVLIDTNPPRFNFKNINSTNTDINQLNKDKKETNIKP KLTDPDPGNQATLLFFPIFASFLLILLSNLSTPIMKGLNIMTFKFNYKGENINLNLGN WGWCLNGMKDFDNTCSNFRGFNLNFSSTFEQLPENLKGLKEISNIIKGDYLIANGVMH IFATLFVWLTLNWTLASSGSWNARETRAYNWTRWAFILSGYSSLFILIAWSFDIGMLT KIQSKKREIQIDGINSISIIPGPAIYMNLFSFLLCLGSFIVRMTWSRYKPRPGWTLKG NSDFHIEVLPPQSAALSPNDDLPPTW
I206_04728	MGAAQSSQNVQDQVILPNEPTTSVEFSPSLISRLSSSSSNDKSD NQTTNTTDEKIKKRLEIEIENLRLKENEILTKINKELEKENLNKEKPGMSSEILSKDI EQIKLKVERLNQNKFNEGKGISDARKQVIGCYLNNPDKPLDCWKQVENFKTEVAKLEQ AFVKSLQ
I206_04729	MLCLGLFLPLYFPGVFAGMYFVYKRPFFLWPPDPPSPTPFPSPS NDPIANAESQSYAAMASCAVKLGPRRRNGNGVKASQEVPRPAPVPYVPNPGEHILRTP TTNDVPPVPPSPEQTTLPRTDYVPPDPPSLERTLLPSSPQPTPPEGSPVSNTPETAPI SLSSEQRMLSSPHGPSPLGPGSRPQTRHQELTPPSQISSRVPDPPTSDPASLSRSLSY TSGHEDVLQTPYHSSENHKVQGGLPAGSPPPAYSHPNINQSPSVADQPYYTLPQRSRR VKPTDQQLRFASRDDIELLTFPIPHPAPAPRSASSTQTGLQPSPLPRDVVTSDPDLSF SRSPSFNSLQPPAPVPSNLSPPMPSRHPLAPLPSSMPFGPAAPAPVPEKRGPAIPEAK ERSPQSASRPHWSQEQYLKEYDEEQARRARAIEALSVTNAQANEEHQATGHPEAREEK SQNKGKMTGTKRKR
I206_04730	MNDPLSSLTAPPPRYLLESDSSDEEGQGNYPNYNEGESSKPKIR LNNDLQVSIDGIDGQELNEVITGIGQSGKCTTRLTHAQRIGNVKIGQKITGHVYRSRS EKISIISLEESDLNHEEIWELIKALIEKVKAKKWIIITSYVPSMYIPSSLEQSERNTQ PPTRILSTSSAEIIDGIRGFDAPNYLTGIAGGIVSLASHPSTSSILQPKTILLPLPLS SLSSSQMSSALQAVSSSLSDDLGQAGRPWTEDDDEPYAAPGMGRVKGLHRGVGEVSSM YM
I206_04731	MSSRRSVSTIPSHLTSNTNTITSSRPLLPRSQISLLLLPPLAHP ISTTSSSSSIKNHIQSSNPLEQNYSFKRRTSLVQSIQRQTRRLSLFNKNTEQEEHSKM STTNSEEIPQIIIDTRNAASGVTGESVEPRQTLPTEDMEPNPSKSIPLSPNRQKLIDD VIALYSCQPTIERVARYAPACVYDDQFVYANDRYKMAGQWFGLPKLFPASENLGYEIV KNDDTLIQFKNKQRWSFHLIPKKATINALVSIVLDPATKDSDFPLILYHKDQANEKDY SHEGFGFTFKKTQADIVMKLMSDKNVKYFKGDENATKEPVKKYGSGTAEAPLATHV
I206_04732	MPPKGGSRKTELRACLICSVLQSTNDFLTQGCPNCEEILEMRGS AERVAECTSVTYDGMIAMMEPSESWVARWQRIDKRMRGIYAVRVTGRPPADVIEAIES RGGVYRPRDAVED
I206_04733	MSMNQESLTIEEAIKLREQLGLSTAAPVDGEEVPVDKDAEAEAN YAQRREDMRKEKEEKDLKERIEKSRNRSALNAKLKGKTLGISNEDDKLDAKSWIKQQK KREKIRAAELAKRAKEMEEADKAIYDERDLSGLKVGHDVDEFQEGEDVILTLKDGRIL EGDEDELQNVNLVDDAAIRAAKERKRKAAEQYTGYDDEEFDEDRIGVKADILGKYDDE FSSGKAKSEGFRLGAPVEKKMKIVDDDTEMGSAPATKIKLNLDYTKEFDVSDYAKEGE KGFKKPKKKKAKRSTRKAEDGDEDDMQVDGEPTFTRRVVADGPDNLVDDDDLQAALAR SRRENAKKKPKVKVEDLAAQITQQKQEDEAALPVDGADGDEDGRITFDDTSEFVRNVT LESLAAPVKKERVSSPGPSTSRQPEQPVVVKVERAEEGEMDEDEEMSEDEEDEALAEM AAREGLSLDEYRLKIDKQMQEIETIKNEEQGVPTESEPVIGNGVAGILSMLRNQGAIK ATTDADKERERIQKEKDLWLADHRRRTAQREYERIMSRGGNKDQAQREFENRMREQQE ARDALDNYKTYKPDVNIVYTDEFGRTMTPKEAWKSLSHKFHGKTSGRMKTEKRLKKIA EERKQLTSSATDTPLGMTDAFSRRQQKTGEAHMVLSVGNKQSVPQNNKKR
I206_04734	MSFIGAGELEHKVTQLQRQLDHKDHELNSIKNEQRKKDEDLTKA KRAKEDAEYKLRDEAERAHQAEKTMTTKTNEITQLKLKLSNLESSLDQANEKLKKEEK DNERISNALDEALNSGSDGASQQIKSLQSRIKQLEANLKSIEQEKEKLQSQGQGNSND PWGSGEPLTRNERNRLMVLQNQNENLREENARLQASGASRTSSSTNDIFGAASSPSRP KTKRRSMSASGPAPSELIELENQVMTLQEQLALRKKDLDKAVNEKLALEITSKKKMQK MESDMEDVKEELDFYRRNQDSGSNLEINKLKKAMQVENDNLRAQLTEKTNEIAVKSQE IQGLEKRVELISALESDLEKERKARISLEQLPTSAGLPTESLAAEEKIRTLEAELLKV RSNSTHTSKGDVEIRQVKRELQKTLRDKEYLESLVKENDELLAEKDEEIQRMRTAIPV PGSPVLHPTGERTEELENEKMALEDQIQQQKDKYEEELKVIDSRLQDTMNRLAELTVV EQQLRAECKQAQNKVNIANIERDDINSQLQELNAEMCARKDEYEILSLQAQQLRADLG QAREMNLASRQQIDQLREQLDTTQKSLVEKEKIIDDLESQRNELAITASTRQHDDAEY AELEEKFKAAERDLIGAQADLVTMEKQKAQMEKKVEISNIQSEAAAQQMKDLTANLAA VEAQMEESEVRAKASSKEKDDTVRVLTDQLDDLKAELSALQIELDDRNTELAASRASH DEVEGRFEEAVKSLNEVQGRLGDMENAQADRSTESEAREKDEELFHLKELKDRLAQEL NNAREQHEKELTATTSKQEQDLLETQTRIQELEKHVERLQSALSAAHDAKKAGAISDN EALHRMEQKISQLRSERDDLRHNLSFVQNERHFAIRAATSDKESAIEDVKRMKEALKQ SNLVREKLQAELDVVNESRSAGREANDAENAEMSDRITSLESALADQADKVKSLELAL QNKAGTLSSLRSQLLSSESRAEGLQKELLEMIHHVGQTAKLSEPPRPPSSFSENNDLP TDLVPTLNNGSGERTRRTSLGHMRSRSNVSVSANTLQNLNMERQLQARIGRRDARIAE LTHDLEKANLNLTLAKEAQEETLEEISELVEAKDRLQRQLRQNQTVQEEDPEVLRNLV LSLVIYRQSHQSSQMRHGVAVDALSKTRIAAGKLRASITEHEYKASESAQRIHDMEQE RSTLERQIKAIQEEEARSRTGLKEAQEAIEALQTRINTHEAASASANEEAQILSAIEA QVAEKEERIIALNAQNTEYTARVGVLEKELIELSRSKDEKIAELGTKVDELESVLRDV TQKVQSLEAEKAGLIEEISAAERALEEGTQESALEKDRFESTNHELTIRIQELKEQLN AKVNELTEGLEKSESLETKLRVAEARETELVASAEADKVVMDGLRQELQNLKQSAEGE SQAVIILKEELITLKGSTKIAEQDKQALAREITELKDVISSKDNVQESSRKRLDETII ELQAAKEARIVVEDMISEIKGELERSEGAKRSAENSLKEAQIKLDELSAQIESTRQEL AGSREQSEMAKTASDEQQVELERLVSENTELKVQLVTAQSQIAPKTDVDEGMINDLKE RIEQLESSLTQKTEEVDEADDRTREAFKANAKLEKKLGKLQRQLETAQVEKNTALNKL ATQPIIAPPPQPISQVNSAPTTKQRVVSAPSPANIQTQRTPLSSVNIFKPTPNSNPNS NLEENTNANAKIGHKRHREDEPIKSIGTEAILQTPDMTKPIIKTQSVSPNKTNKSSFT PQRGLHTQSQQQNLDIGKQRPAFPLPPTRSVFQPR
I206_04735	MTTLLILPPHLLETIQDLLSEDVDLPKTLREELKRTTDQQQTNQ PEQDVQDVTSREYENPIAQTKGESHSNQMILTEQPKPLTIPHETIEKLSRWAGLEGAK TQLIRKGLDPNKFTSISLLAGTEIYIPPNELERLKAAENPEKVNPFIPSYLNPNHKPP SIGSEYRKLSKTISTILNILFSIFGSSIAVYLVCKSSSGYSNEISLLLSILTGLIVGI ADLILIYLYSDKLDKGRKESHKIGLKMLRGTGALQDNEEQSPIQDEDEKEDNTIVDLE AKEITQSTGRKVDIRLRRRGLKSEI
I206_04736	MSTIAQNIIVFGATGQQGASFIEALSTQNTLENVKYAIYALSRN PSSNQSTKLSNLPGVKVISVNKDYMNKPELAFEATGLKIDEIYGIFNVQGYVSDKIYI HLEFLIGKAIIDASKKWNVKQFVYSSVSFGGLDDTKASGMEVKRDIENYLFESNLGYT ILRPTQFMDNLLPNSAFIKHQLISIKDIGKTASQVIINSNKWLNKIIELAGDNLTIQE IENIYKEELNEPIELTYWPLATFVRWVSPLGPMAKFFDNYGFKVNINQLKKDLPEVEF EDFRTYLRRYKASQ
I206_04737	MKSGLSSLRSAVPPSSVLRRPPIKLFANHSPINSRSTLQTANSR KTIHPFHSINHTRTTSAIIFKKDFHPSRPSQDVFFVAFPALKSGLLGITRFSLLFLPF VFRYKLWQKYKKTSYALIQLPIFAICVVLALGLDQSPRTGRWRLLLMSENEEMAWSRR KQQDVLRNDGPLILSEEDERSKQVSRVATKLVTALEEQDHHIIHGASWPPRSQELSRV MSEREAQFGLSPTTEVIQKRHVHYKPSGTAHSTFMPFRPATSNPLKKLESADWNLYVI DLPQMNAFALPSKDIFVYTGLLSTLPEGDDSLLAAVLAHEIAHVTQRHSVENLGFLNI AAVAFDVLRGITFALTISFPMITDSAGLFINWVNDVVAERAYSRKLEQEADSVGLEIM ATAGYDPRAAQDLWELMQAVEADAEAAGQAGNVENKLAMLRTHPTSEARQEALAKDMP AALKIWTEHMPKRSVPSSPNSTIEKTPKESDFKGAHSLAA
I206_04738	MRYTSATLPAIKRSSSTYSLLHYVGRSGDHNDKAMQATPILAKH LSNELNMDPTVIGKPENALNRGWEEELKAALPNYKIISEKLDQVLNEGKKPIIALSRC SVALATLPIIAKHYPDIIIVWFDAHGDLNTPSSSDTGFLGGMALSGPIGLWESWMKGK KVKTENELLRTIDNIEEGRKRIFIHLDCDVLNPGIVPTDYKVPGGLSIQQLKDAISGL SESSDVIGIQISELEFGDSEKETQDAAKLLVDSIKPVLC
I206_04739	MDLVPYMYFERQEPGSQLCAQHCLNNLLQAFTYTEFDLAGIANN LDQAENATLDIPHQLKKSYNYDDTGYFSISVLERALEVWDLSLVRWRGEAMRQYQEYP EEQVAFILNLSSHWFPLRRFSTFPPDHTATKRWYNLNSFFPQPEWISPTYLRLVLTQA EEEGYSVFVVRKRTQGTKEGENAGEGQGWQDGGIAVLPECLADTMAVELGEPVGRSGA SGTFAQTSNAGTSTNPDTPTVDFPSSSGAGPSSPPARRKKRQEDLTPSDPIEVEEDEY SRPAVTRTRQSSNKSTPKLLATETANDDEDIFDNTTFPLDQHQGDGDDDESIHSEEAN GVNSTNRYAGPTDFQFNSRSYDDEDEALQAALKASMNDLPPDWQPPSELPPKEVSSPF RPSEPTPPTESVQPKEVPNKQEDADEEILEDDDAPAHEPSPDEIRRRRLAKFGQ
I206_04740	MAEENFSTALTTWKEINLSELQKSLDSTAVELVENQKENLVGRK KLAEQTREFKKLPDDAEKFNSIKVLLKAYQGEIDSLTRRSKMSETSFLNVYKLLADAP DPYPLLDAAVDQTVKIAEARVLESELNRLREENGELKKSLDEMKDVEDKKKKAENKVE QIEEKMEDLIQERVTQKENELNAEYDERMRNYEEREKDLQRQVETVRNQLRDMHSSNE STQAKLMNASQRQEQDVAARLAELDMVAADLSRANERVATVERRNELLRSEIESVRSG SQQAEKVKVLESQIEDLEAEASRLLRALDEIKERNAESQKAAKKKEDELVKEVASQNT EIHGLKTKVKQYSDYDEIKRELEIMKYVEFSGADFENDEEESSDYASPALTLPDPNAS VANKSLNRSLENLLVSKNRRLLEDLTKLRVSFEDLSNEHSKTDEIISNLQNDLEKQLG LNEKLENDLININNKDGGNEDKETKGLQGLDIGGLKVDGRISPLGQSDNSILPIVTSQ RDRFRQRNAELEEELRKQFEIISDLRIEIKSLQTDNLKLYEKVRYIGSYRDGSSSTSN PTNNSSNLSGYNVPGSSRGLNGVGVGIRRDEEIGKYKDKYDESLNPFEAFKGREAQRA IQALNPLERGVFALTRAIIGNKRARSLFILYAASLHLLILFVLWNTMAASDSTAHPPV PIHA
I206_04741	MVAKNQYASLTNLMLAYSSSSTRSKSTLTDSTSLLANLDLEILE IIFERGKYATSFPQIFRPYTEVLQEHGISPTNDSTYYGLLLKVGVMKAPTWGDKWSIW KATQNPSSVIHPTYTPEKKTVYATETSHSGPDRSFSQSQLPFLRARVPFLASASSDID EGFAASNDGQGQQSLVNDFRPSPRKEIAKRSLVGYTPEQSFDLHNKSDDLLEFDPPIR TSTPVLKSPKYQQTHDILNLPVYSVSDVSQALEETTEDFSALGLINPKGKSHSPIIDN GSTWVDRIDEIQESDRKLMEGKADDFYRLGLLGRCWDMWFKTSEFYRVTYKNIPIARN NLLLRQMLEKWSKATQHQLSLPGTADRHRRLSLKLKVFKTWAERLKDHRLDMLESKWA DERRLIEIRELFDKWKTVAERRRTEKWKIDMAEKEFEFIQKRNGKLLKRYLSYWRIET RGKLAELDEKQRLTWDFFEEWYYLAMKQRDYNLILHDIQQTKIRKLFTHWRKKSFMQP KEDEIRQKRDTDIMREVLDNWRTYSWQAKQSSIFDTRRLLLMTIDKWKIARRNQKMME RKAVIFDETGLMDRSMKKWKLESWGRLLMRAKDKRLQEQTWVKWKNRQTGLVQLDNMA NGFEDQKQRLALQKAFSRWRSIASAHQTDHLRAVLVYEKTLQLRVINKWQNSTAIVQI NRGLADKAHAFFLLRSAFKVWRSEDAKRKAAKWIDKRNKQTIQETFNTWRHLTLKYRD LHRREAAMQRYNNQITLKKSLDKWTNLVIEIKDRELRITRARNDHVTSKLFVHWQGRL AVLRDNQKKADDTFEIRELENLRRIFRSWRGRTKRHKRLRLTAETCLNERDEKLVRGV FDRWYGKRRERALQEIEKEVAFLHENVILYGVMDKWKASTDILPGISADSTRLKREAL HVWLVALARKRRADELQKDRDRKLLSEAFQSWRDATAHRAALNARRIRGRSRPSTTIT ADRQPSFGLRVYTPSQRISSWTTSGRRVTPNSDTFSQFDHKRLERNRNLDEGQLSPGL MSIGRNDNETVRSEPVYSRLRSELGLGNNRRRSRGASEEFETNPVPRSGSEMLRALKG NIPGR
I206_04742	MSTQTETRPSPGTDVQPRVIDENTDLSTLTDQEIMRLMEGMDHQ QEQLDKPLISTPVPLSVIRQEYEKGSSMILKKLDWLAQNGWDQVWRARGDGDCFYRSF TLAYLVRILHSSDPQLEANIAYEAIQRALPAMEEVNFQKDLYEEFLDPLLVLIRSFAE GGDDSTSTEYGIVQALQDPERSNCIVVALRLITSSYIRTHSELFSPFLLSPTTFLPLS TDEFCKSEVEPCGKEADHAQIMALAESLNIGIRIAYLDKSDLSTSDAINWVEFGKDTT EQGRPLTLLYRPGHYDVVTKDVLPQI
I206_04743	MIPKHYHEYESKYPDNQSGKYSESTFHGTFGEGDYMEYKFNGTG ITIYGAKRPNHGVYGIKVDDIPEERYDGKGDDVFQAILYQRMGLDEKVEHTIRVTNYP SATQNKTDEDVWLDIDHLIITHTIPSTMYTTYIDDSSSMIQYDSNWISYGYGTGGYYN LTDHMSSTTGSSMEFKFNGSSIQLYGGINNDHGDYGISLDGREDEIFDANNWQMVYQV PLYTVSGLAEGEHTIRITNHGQSNNNVLGFDYAIVNSSVRPDDQTSSMTTITSSIANG ETALPSGNGNSVASSTSLKIPALAGGIAGGVVVLALLVVIGVWYFKRKKQTTQVDRYY SEGYRPGNASTGRLDLVGSDVPSTSKGTYGNSAWTSQNSRSAGTSNNHNRAMGNFNNN PSHNMASISSGSPITLSSETNIREASQPHHPFLSDIPSPPTSSSRSNETRERFSPSQT VQHQRYDTSISPSEYISPVSTTSEMLLQRTFGSPTESNPSPSSVGHSRTLTNTSSPIE NGTTPRTIRDKDNTVLPHTANHAGPKPPYSQSQSRRAIESGFAWG
I206_04744	MNITIDDASPQFQYYSANNTWSRNHVGDDLTSKYFKQTFRATFT EGDYVTLTFNGTAIAIYGAKRANHGYYSTQLDGGTIQFQNGNSLNPQIQALIYQAAGL RADQEHTITLKNTPSKNNIAPKPGDEWWFDIDFAVVTVPTQGEVFTTTYDDSSSAVEY FGSGWAQGNPNKDYYNTTARVSNKPTDLMRLSFNGSSIQVFGGLYTDHGNYSIVLDNG SEQKYNGTYFQLEPQTPLYTASNLTDGPHTLQMINLGGTAGNFLDFDYAVVNSTINPS GQTHNTTGTNTTSSNSGADHSSSSSSNVGPIVGGVVGGVVGLALVCILAWFLFRRKQH KNKEGYPYPMKSKEPLDLNGEEVKPFQHPDNQYHDPTRTDSTSSNGDESYNTQGYPNQ RSINGRPLNPSSSAREMDQTNTPFLTAIPPPPSSNATSYPRSIIPPSSIGRSPTVVGG EEGYQNPFNAPSSATFGHRSNSNSGHIDPITPPPPPVSSTRSTKSPGVNLPFTALPPI PSSTLSDDPLSSNEDTYPSLPQAPFANQAGERNRRSSIASGQRMFVPGREQDMGPLGI NHDEDEDFGTLPPDYQQATEPLPGQRPQQR
I206_04745	MLVLFLLPLLPLIASLPSQQPFSINTSHEGRINPLKHLSAISPF FIPTEKPTPLPEQCELDRVSLLIRHSSIMGNDEEYEETMKPFIEKIYNLDKSKLPKNG EWKFLKDWKSPINEDTLEVVSPQGKKDAKFLGKYIRKTYSPLFPSRKKTTTKKDKNKT PYKVNHFFTSLFVIHLVQLIQVPNKAKDWDRSLTPHKACDTFDKKPSLEPANKWLAVY APKVIDRLRGVIPEVANELVDQDILAMQMLCGYETIATGSSQFCHLFTDEEWLDVEYY FDVRFHYMMGYGSHLSPYLGMPWVKTAKHLLEGKDTEGSDGGKGHKSTDDGTLGTFKK GPKLPEPKLPPNATHTQLLHPSFTHRESPAFVAVFLNLFNSSSHTHPSSETPPLDHRV DDRAWRTSHLVSFLGHVALERYHCKGKKHGDAGDYVRAVVNGRVEKMSGCEDGLQQGC KWETFDKWVDERAERWSDWESVCEK
I206_04746	MSRQPPQTPWVGLPRFQDESLLIDVQPIFDVSLGEDMGNDSIGW ADDKPSVIETKKGNTPVDTSLDLGDYLPEEETRYDISDVEQARTADNTNLNAEVPPYK PIVSHTHKREGSQSLIPVAGPYKKTKPPREKKDDDLYNRRLSDSLRRSSSGNLNDLYI SQTYSHIPRLVQPSSSKLPVPPKIAKLPKNTASSRLKAGLQNQLKQHDMDGCTIDRGR ILNDNDTTSRIQENSGEQQTSALSRYLAKSDVLIPRSIIHTSNETAAEAKQQNRLPLN TSACVAASSIVQNMDTVNEIPHEASASIRHDSPFSLSSSVSEALSSHTQKSSVNYDGV DEAGLSRSRRSSMSDKVVDFFSNLLNRSTSSSSLRNEEVSINDQEDDPEDTVRFEEYE ELYKSEIQLGQERSKIEAATQEQVQVNQSNKLNRGTNTVKNVQRMRGLTKPIPFSRSL HIRSDRNIRDKSSSSVSTNVKSKSIALQPTTKSNIQSSSSSSSSSSSIKKGIDRPLSI KPSTFASKAISKLPPPGKGHLISNSSQPISIKSIERVKNLNERKNVFERLSSNNSTKN NNSLFEEKGKGKLIEKTNLNLNLNLTIPLKGHTPGKLSNLRSIERNKFNELIEKNQKQ KEFLIYDKNLKKQIEDDLIYLNSRKQTVIWAKPIPAMYKK
I206_04747	MLSSGSHKANASNVFRQDLFKGKILFCTGGRSGICYQIVETMMS HGVDAVIVGRDAKGLAESAQALEKSTGQRCLPASADVRDPKQMEQAVKQTIEKFGRID FVICGAAGNFLAPISSLSTNAFKTVIDIDLLGTYNTIKATIAHIRKSKGSYIHISATL HYRGLPYQAHVSAAKAGVDALSSVLAVEEGPRGVRSNVIAPGPIGNTEGMSRLTPKGW KPENDIPLGRMGNTSDIANAAVFLFSPAASYITGTITVVDGGEHHIRQMSLPYPQSLL DPESVKNLIKGKL
I206_04748	MVYTIVVHLQSLPDKVEEVKAKLVEAASVYRKDKETLDWHVMQD PKDATKFCIVERYEKESSQEYHLNNPYWKTFDPYVVPRLAKPMDLTRWEEM
I206_04749	MAVATMSMSGSISRKRVGSVSQNNNGSGVPPLDIQSIQMPSNKT NALALKTAALSSTKSLYQTCSILRKRLRCVEDFEAFLDQPASAEPLDVVSHMCHLFRL GSPLCHLYNLLIPSFTDPNSSLYAAYPTPPAIEYDFPPFVNSPDGVRNWAKRPENAKP CQRYIASFCMAMKKRHQEGRWQSEIWALHELWGKSNGDEIEAYDSTGLMKVLNTVEEI LDHLPESAMSPLSPTTSHTASSSIHMKNNRQSYDLPFTMGGTGTGSGAIAKMAATMNG GVHLDSLTQVGNAMLNGSTSTGSSSPNGGEDMQRTKSTADANAFKSVEELVSSEKSYV QELEILVRCSMEMLDRHLVSTETNHQIFSNLAKILDFHRKFLIKLETEYEPIQEGRGP KAWAEGVWGRPFITSESEFDCYGPYCANYLDAITVVNEQMPILIRGQELPLDERPCLD PQRELQAFMIKPIQRITKYGLLLDAILHATAKHEYPFRPELEEASAAVKRIAASINEV TDFKAKQATVKELMDRVEDWKGHELDKFGDLWLDDHFTVTKADQPREYHVFLFEKMML CCKEVLPERKKSSKNSSMLRKDKTISKSLEKRKLALKGRIFVSNINRATLLPPEPSPT DPYGVSRVCIAWTVPHRHPNGWHEDQEDSFIMIGRSEEQMKKWADKVMELATAERKKQ EANRNSRPSMSNGRYSGSEKAYQHSSFAPPTPSAEKPPFAFAPPLPGQNSQFAGNEDD DEDGLISGRTTPSISNHGHGPGQMSPYTSVHPTTGRRVQSQQSMPAAMQAEFRARALT EDQFGPSMTQWRSQQQPMPPPLPRLTSAMSTLSTASEASFGNGLNSARSIGHPSMSRT ISGAGSRILSRADETEEDSPTEQREAFNRYGPARGMTRAPSHGFPPSVPYPPQLRNRS ASSPNVYQQPKMDPLPPIPAQVPHPFAAQPQQWSIDPQLSMSSSSTLLGGTAYFTKRM SAGGKRSSGESHSTETSETTSDQQSPATPYGSVPGDHPHTRGATPVSRQNSSDVVGVA GSSVLIKLRCGEDQFLIGVPQDINFPTLYQKIQKKIRLCSSSRNNIGDTLQIKWIDAD QDEITIKCDADIEAMIGECMEVGASHVNLIAR
I206_04750	MSTLTELDITPAPPLAVGYEEEDDIAKTEVANIRSEFTYDDSKR LLRKADWRLLPLLIAMYLCKNMDGNLVSYVKTMNTGESGNILKSLNITANQFAFAQTC FSVTYIVFEVPSNLIIKWASPRLHFFRILVLWSVVCACTAACTNLAGFLTARAFLGLA EAGLLPGIYWQLTCWYRPDEIALRMASLSVLGQFSGIIDSLFTYGLSYIDGRGLEGWR WAYLICGLIGLLLSVWLFFFFPDFPDSPSSRRQFLTQEEGKFLVARLPPNAARSTDAN FDWQAIKRELKSPLLWGFSFFALCMNSSLYGLAFWLPTIINSFGLTKGPKSQLLNIPS AVISIISSLSLSWILDNDTKIPRPIFMLSGATGLIGVFLGMIFCKSSGGLYALILLAQ LFASLMTCALLPLRSQSLRGATSAAFIMAFQNAWGQIPGLYTAQFFQTKYAPRYAVSY SISIVFVIALMISVLFIWYFQFNLEKQTREIARLRRKEGKKNIVVEEDVSL
I206_04751	MSSIQTTTIQADTTNPILKLRGSHLEPNTSAYPELDKKGYTVVR NVLSKEKSSSYVDKAFDWLEGFDKGFKRDDRSTWKPESLPAFSRGGLFNRHGAAHEQW AWDIRSEQNIIDVFAKIWDTDELLVSFDAVNISLPFDQDANFQKDAWPHTDQSPLRRH KHCIQGIANLVEVGPKDGSLLVLEGSFELYNEFFEIHDKDAPPEGWSWRDSFLPTNDQ LQWFYDKGCKWKKIEAGPGDLILWDSRTIHYGGNAEGDSIRVATYICYKPAKDILPDA LERRKNCWDELIGTSHDPLLFRETGSIALGPLTDDERLRPLQRPVLSDRAKQLAGITS Y
I206_04752	MKKKNVEGLLDELGAQIYCFQEHKTPRAKLEKSMAVPGPYDGFW TFPRSKTGYSGVCTYVDSRYCVPIRAEEGITGLLLDDNKGSTMKPPWSEAERIGHYPE TEEMAWMDEVDGKDFDVKRLDMEGRAVVCDFGLFVLFNLYSPNETNETRRPYKMNFLQ CLQQRVKLLQQAGREVIIVGDINIMRAPIDSGEGGIRTSAEQHYEHPARRILDDWCAP KGPMVDVVRESWPDRDDMFTCWNQKLDARSANYGSRIDLILCTPGLRPWIKGGDILNK VYGSDHCPVYIDLYETITDPEKGEIHLRDMLNPSDRPISTAPIYPNTVPRTMPEPPRF ATKFFDEFSGRQTTLRSFFGGGGDGSAQRNRKEPSASPTPSRVTATPAPADSTPNGFI SSQVEQSHSKPIPDANEAVTTPFSLARAAFDAMDEAHQAGPSITSVPSASSSMSQHKR MPLSPRRHSSRDQAIDMTSDDEGADSHSGIGTESAKIISLAKPKSSKTNSKPSPAGQT KLSLFFSQPHPPPKAKRNLSSSPAVEPSVKQARRSFSNSTVPQSLSRSPSISKNTNEA VETPIEECKTGWTEAEDELVNQAIQQAEQDRKMKNDMAKPVWGDLFAKKLPPLCTVHH QPCKDFLVNKPGPNKGKRFWLCSLPVGAGYDTGRSKRPREDVNHKFRCDFFLWDSANS RKEKVGDLKPS
I206_04753	MLLKAALLALPLLVSAIPTQPEQLTFNNHGSTDVAGYSLDLNDL RLVQFSEEEPPVWISELEKIEAKSKGRKFMDITETPTLGFSSYLLPSTANARYTYPSP GNYSKPIKSIIKSLDIKHMKSFLKEFTSFRTRYYRSETGKQSQQFLLSKLKEISSAHP GVTIKEFPHSWGQNSIIARFEPSSSMKGDAPVVIVGAHQDSANQWPFLPAPGADDDGS GTTSSLEAFRALAHANFTPSHPVEFHYYSAEEGGLLGSQAVAKAYEDKGLKVLAMIQM DMTAWVKAGTKESVGVIQDYVDPELTKFVGKLVDEYLAIPAVETKCGYACSDHASFAK AGYQSAFAIESTFEDSNHNIHTSGDTTNHPEFSFTHMREFSKLALAFAIELAGINEA
I206_04754	MSITPLSISVRLNSTSSLLLERSRIISLNLKPNPSSLSQITRNL ISIRSDLDQLELENDGLLVGQSKKGKLIDDGDKENEEIEELKRRYDQLLDILGEDEVG RGKVKDLIREEKIVSTPPIPQPTPSPKPPPSPIRTPGFNEVPKLSVEPPTPGINRDRN QDLKPFRDYPDDASDEERDAGMSPGDMLDHQQIMMNDQDERLNLLSNSIGRQNHLSVQ IGSELDIHHQLLEDTDQAMDRTANSLNRARRRLDKVANDAKQHG
I206_04755	MDHRQPLNCYQSIPSSSRQPIFDGPGSRPILESAGLSVAGPEPT SSASASAASTSASVPLKIPQEPHKRKSSSPMSDPRGTASPGQETSMLKRVGGKANVSS ACGPCKRAHLACDVARPCKRCVNMGKADQCEDVPHKKRGRPKVPKPALGEPYHRARPP PTDNGGVGRWKGPSMYDAPYMSTVDAPPPPMGSIGGRNSPPIPRPLGVEGDPAYSMPP QPNMPFTLFTTTDFKILRATPSTYHLIGYHPNEFVNLNLLDWIHPQDRHFVDQERNRL ITVPYVEGPLRSTEVNQASITQRTEIELLSPAEGMREPYPNKNVRVLHSDNRFSPFNV RLHLGGGLGASLWQPNTIGRVYLVVSFLPIPQPRDLPRDGTSVDQYPARRTSGIAPPT PVTPVPPIGGSQGLPGFSSIAAAADAPQPRYDQPPPPQAYYPPIPQRQGSSRPQTASQ TAYPYPRAGPMVIPPAGSTGMPPTYPRRSTSPNSAYRTPQTSTYPVGTAEYPQQHQQP LPPPGGYYQPPPDQLFRRPSDEEQWRAASMNNTNGNGNTVTNRAPPGIPPMQVGQGQP MQPGGLPNDGSRRAWEL
I206_04756	MEILIDDTSPQISYYSSSSTGWITNHSNGTRYSDGFLNRYSQST FHATFTEGDRMEFRFNGSGIKVFGANRDNHATYSVELDGLGPIYMSGHTDNPQFQAEL FRADDLPIDREHRLTMTNRPNSTADQSMKWWFDVDHIITSQPISDDIYTTLINDTSPY VTYDQGWKATGVDGSHNFDSTRHVSTIPSSTLTMSFNGSSVQIFGTIDSDHGNYSISL DGIVDGMYSATNWQQLHDVSLFIASGLNDGPHVLELTNLGKSNITTIDFGYAVVNSTI KTDGYGYTSPVNATHSGTSTGSNDNPKSSSNSGAIAGLVVAVILVTIALGLAGYYVYR KKRQRQRRTTHSYSPTTDQTRFKEIQNRLINILPVPRKSTERKQDWARLSDPPQTATT STSFDATEPSSTRTSTSRRDTVIPSLVSFRASFSSLFDLSFRSSNLPMIEPNIPDSNR GSKSIVSKFLSIISRESNQIPIKISDQTPNRSSYQSHPFKASTLTPRISHHSNTMNPI TSRYAHTSQNLFDNHHNTPPYQSNLVEDMTTEAERSNSTLTSPMFSEFFNKDERNNNQ SNFNNKISKFSHIQRNQNQNSNLNRARISVAIPMRHLSVPYTATMVDFDSSRYTMTAT TTRTSIFSNRRDTCISEEEEIDLGLFSIPEFAPPAYAQATRISLGPGKIEQLKRIDSS ASKDSDSS
I206_04757	MDILLDDACPEITFFSSTGRWIDDHRKGTQYPDDYLSSYSQQTF HTTYGDGDYMQYRFNGTGIKIIGSKRKNHGVYGVKIDDEEETYYSGFSANPIFQTELY TRNNLTTSNEHTITITNYPERPDPQPSSTTDAWLDIDQLIISQNLSGDVYTTTIDNIA PGISYDGTWTSDKGYSANYNKTIHISYQTGDSFILPFTGSSIQVFSGMNVDHLDYSVS LDGGPEFTYNGTHFERLHQIPHFTASGLTEGQHALKFTNRGRDDIARPVMGFDYAIVN STISPDGSTSGDTTSQQARPTFAPVSNDSPEQSSGSHHVNAKAIAGGVAGGVIALIAV AILAWHLLCRNPRSKGSRKSSEDQHKSYIDFANSNNLRSLGEVMETKTSSRLRMISNT SGNDSTKVNTISGSYKSSPSKSGSFGKMFSKKSVKEPDPESSRSDSPEGSIAYFYTPH PGRNNRSNSAGSRLSPRRIDAYDDQIYRGPLPVRPRHQVNLQSSQLDRPRNSITSRSS IYSQGGETYITDRLDSIQQTFANGNSPIPLPSPPSQESSEYEHMPLPPIPAVVNAAST IQGNGGSSTSDRPNFVHADASSALLPSATIQQSLKSTERPLPANTSSVKVSSSSATNF SPFSTSTRSTGQTATSSPVTPGDYKRGILGLVLDTTPFNIPGAEPTSSENTATQSSNS KSRLFNLTPSILDLEPPIASPPPGYEHAMTSQQEQRSLET
I206_04758	MSESPDRRHYRRDSHSPRRREYISKGQGRSSSRRRSPVPARGQD RDRDRRPRDGGYGDRDSLRDRERARDKAGYSEKEREKERAVDRKRYDGDVKREVSYSD HILSFISYSRIFVIAKANTGIPTQDPDAPLVEPEKPNFGNSGLLAKETNTIKGVEVKY NEPPEARKPTKNWRLYVFKGSEQVDLIHIYRQSCYLIGRDTVITDIPIAHPSCSKQHS AIQYRQISEKNEYGDVTTAVKPFIIDLDSTNGTYVNDVEIPKSRYYELRGSDVIKFGT SSREYVLLAEDAST
I206_04759	MSRHTLNLLENQLSTIKHELELATFLNKGILQTNTEYKLRIAEL ENENLILSKAESKLSTIEDELEETKKSFNKLLNEKNDLIIQNDNLIKGLNNLNENKIE WEEKYFKLIGKVESLLSNENDDINDIDIHSQNKITPINKSITNIISTSTAISAQKRTN KSINPQINNNNYNEQAQTLASSSKLSLSPVRPPTRSQSKKRRRVEDSLSIDEPEDEQI IEQDEPERNENISSKSNQLYKTPPRTFTPKSKQQSLSSNSNKKRSNVITTTSKKRDSS NKQSKLEFKVKDEPISPEFGRGVSMNNSRKKIESDSDDGELIRIFSL
I206_04760	MSQDFTPNLRHAQILLQDLLNHIESFDLNKLMYKAPIIDRIKFE SWGQDEIMGFKKWIDGIYGHFKLLEIMLNSSMPPKDDPLPTIYNLITFWKIIITSESP IVGIKLSMGGDKRLSNGFGIPSKGINGFRSKVLKKEKGPKSKQKEKEVWVDVIAQGGK EWKRIYSKKISHLLAEFREADSYINSDYDTESDSNTSSSSSSSSSSSNSRKITTKLEL DNSLITTAKDLISAAEKVDRIPGAPIPKITIHLTRIPSSPKDLYINSESIDNIDWPDE RIPKSFDIIRKMGVNLIFGDLSELNLPELKGPEIPLEPIPSLKFNLDITTLMGLCSDV LHYPLPKNKEEAAKRSLRPIDQLIENPKGKDFTGRGRDGTGKDNGKGKKEENFEKEFK GQSQNSIELYKCILEEMERPFITEFNKIIKDSLSYQSKQKMNESSSKVEFWTTKEAAQ YTYEALSSGPAHGEGQEQRRMRIMLGLEKGDFFENSRYKNNEGFLKDFKVKIFDLEGK EEKFKPKSVNEILENVKQYAKTLNFDSDLSNSISQLDIDIDIDTDNKIKNKTGLHQTL YSITKIFLNQYYISLLPLNFNSFSNEKMITKNENKDKLIISQQTNNLPNFLQPRKLPT PPIAKISLPFPVVSLHSLNQGSKENMTTIMMGTATLKEVWGQSLWRIRGWEKGWYNWN SSLNDENNNNNNNNDCQEIRENGFATVIIFPYRVFGEGKRVRFLKGDYSYPTKEWWDD VQVD
I206_04761	MSSDGENDEFLYEDAYDDAFDQDDEVDDLDSMSEPDVYDALSPA IEEAPQRKPYDVSWKVKTLQEIIDMQTKEISKIQSVLEVPPSSAAILLRHYVWNSEKL QEQFWNDPASALEEAGLSPPSSPTTRTSTLPPSPTRRTTRSLKAGPSTSLPKRARSIN TGSFECPICCMEFDELSVPSQTYSMGCEHRFCKDCWKEYLVVKIKGEGESAKIQCMES GCGRVVREEVIDDLADSLDIDRYHNLLNAAFVADSSTLRWCPHPNCEYIIQCTQAPPR MLNQLVPTVECKCGHDLCFGCGYAASHRPVLCKIVRLWEKKCADDSETANWLQANTKE CTKCQSTIEKNGGCNHMTCKKCKWEFCWVCMGPWSEHGTAWYQCNRFDEKSGIDARDT QAKSRASLERYLHYFSRWANHEHSAKLDGELYQKTEKKMEQMQNSGNLSWIEVQFAKQ AVDVAIKARVTLKWSYCMAFYLKRNNMTELFEDNQRDLERAVETLGYSLEQSISDTES IAKLRQDITNQAAYVQKRHDILMDDTLKGYLERRWEFSVDI
I206_04762	MASPTTYQNFAKVLSSYHDQYAEAGPSNTSSLDSPIQYEAVLDE EGGLIKSLMGALEEGIKSKSPTSSLDIDGEDQITMEEYEALLSEHRTWQLLRAVYENK IHRSDPNFESGSSKQQILDNPYASPEDVMQTMVNEDSELSLWATLVDHLQTRPFLSNP PPLEARHGYLPSTLRRAKTTRPTPAIGAAPPTPPSLDPDFTLRDPHGPGLAGEDQTYQ TPLLETLWDLIRHGELDQAIKVCEQGGEPWRGASLMGGRRWNMGGMTQDSIGISPLEG NRRRALWKKSCRAIAKNPTLSPAERQLYAALISDLPTLLPSCETWEDHLWAHVQHRIE NRLEQRWHDLGGYWEEESDLLGRDDEDIEIAQGGLEEVFKSMQGVQRAGIANAMSDPY HVAQRMIILGRTDALFNQFADQLLKLEASVSPELIGPLVRFFAHLVLTLRTLGQSVPV PAANAILQAYLTILEREGNDNLVAMYAACLREGSGEESYARFLRDMDPNATKQQRMEA LSRAKTHNLDVATIAKETVRMILSEAFDDIPSLSSTEPDIVSLSVGLSERDVHLIRSI EWLTMTPETLGEALIKANDIARYFLALGQANAAQSLIQSLPSSLIDILNPQEEDSENN IVEYKSYLKLFGIFRSYENVSDLELRRPKDTSTKLEKHNYNKALLASIENVWNKTIEL LVPDYSSEEEEEEGWLRFKVSRKFENGSKRKLELSRIRKLFIPDLIFQLDQLLINKSE FFNELLDKALKLIIIVAKEDNHLYEEFLSNSDSAGKLTLYLEKVKEIGILAIKNGKGD LFGMKS
I206_04763	MSSEASSSRSKSPSPAPSGSGESSRSASPFNPSAPEPSSSNKTF EDLGISKELCEACKSLGFKKPTDIQIESIPSALEGKDIIGLAQTGSGKTAAFSLPILQ SLWENPQPFFALVMAPTRELAYQIAQQVTALGSGIGVRAATIVGGMDMMSQSIALSKR PHVIVATPGRLMDHLENTKGFSLKNLKYLVLDEADRLLDMDFGPIIDKILKVIPKERN TYLFSATMTTKVAKLQRASLNKPVRVEVSSKYSTVETLLQHYLLLPLKSKDSHLIYLT NELSSSSMIIFTRTVNDSQRLSIILRRLGFPAIPLHGQMSQSMRLASLNKFKSGGRSI LVATDVASRGLDIPLVDLVINYDMPTNSKDYVHRVGRTARAGRSGKSITLVTQYDVEI LQRIESHIGKKMKSFQVDKESINLLNDTVNKANREAALELRELGTGGSGGKRNRNKGF NNNDGDNRDRDDDSYQAGIPQKKIKSSNGNGNGNGKSNKFNNANKKPRR
I206_04764	MAVPRRPTASGQGSSYADVSELDKYKLISNIGKGSFGVISKVQR VTDGKEFALKQLDYSKMTEKDRKQILAEVAILDALKHRNIVQLVQKIKDPKNERIYIV MEYCTSGDLGTLIRKAQRSNQPIHEDKIWNIFLQITLALHHCHWPAERPSKLGVARIS QGAQQTSDGGIARYQVLHRDLKPENVFLSDEFVKLGDFGLSKDMGTASFTSTYVGTPL YMPPEILAENRYDTKSDIWSLGCLVYEMCALHSPFSQAQTQPELISMVKSGKLPALPT QYSPALKSVIKAMLTLNPVKRPSTKDLLEMDEMKLHRKLFTVQNQTSLLLSKRDELKS YEEQLRAKTLALDEREKAISAKEAAIEAREAVCESKDEEAKETQKRLNQAAESLRGQW ERLREEKEKLTAGLGGQEILADEPRPAKSSAPPLSRPGLEERSNTLPLPATSRFSRLA YPAYGDTPSKIPLAAISSPSPLDRFAHLGIQPQPKAATPLRRNATKSLGNLAAAAKLD AERDALAAQSSAMGAKANAIGEATPAKQIYRPLGGIHSRQRSSIGSPDDLKNYCEDIS MAIATPMSIASPWLRPRRSSITPNLGHIQQPSSSSSAASGSTSTLSSDEGGLPPTMIP APVPVKSTFTFTYKESATPAKWNIEDPDAPSPFIKRPSSTGSGGYTERQVLGSINLQQ PTNATLIYPNLAKQDPSISKKSTTTTTNTTTSMPRSKSGNLNLHQHVLRHNAIVAGRT SGEGLPGTTGGKMRRIGGGGL
I206_04765	MSDKPIEQTAVQYNDGIEPVNQRSEDLHAPSIDEKHVNNMFANA ASATAKEHKMTLMEGIRLYPKAIGWSILISSCCAMEGYDVSLVGNFYAFEPFNRKYGA LTSAGDYQVPAKWQSGLSNGAQCGQILGLILNGYCTERFGYRPVLMVCLVWLMGVIAI FFCAPNIQTLLAAEILAGIPWGVFQSIAINYASEVCPVPLRGYLTCYANFCWGWGQLI GIGVIRSMFGRTDQWAYRIPYGVQWVWPPLILIGVIFAPESPWWLVRRGRLEDAKRSL IRLTSAERNPDFDVDETIDMIRHTTELEKDITSGSSYLDCFKGIDLRRTEIVCAIWAI QNLSGNTFSNYSTYFFKQAGLDGTIAYDFAMGQYGINMAGTFVAWFLMSLGIGRRKLL LFGLVGLSISLFVMGFIGLVPDSHRHAASLATGTMMLVWAVNYQLSVGTIAYSLVGEI SSRRLAIKTVALGRAAYNVVAIINNVLTPYMINPTAWNWGNYAGFFWGGSCLLCLVYA YFRVPEPAGRTFAELDILFERKISARKFATTDVNAFDMTLRHRIDPSKEGVAHDEEKR TA
I206_04766	MSGQAFEPKNMLYRNLGATGLRVPVFSYGGWLTVGYEQKGDIVK ELMQTAFDAGINMFDNAEAYAGGESELQMGRVIKELGWKRSDIIVTTKIFFGIGRKER HNTRGLSRKHIVEGLNDSLERLQLDYVDIVFAHRPDVTTPMEETVRAFNHVIDTGKAF YWGTSEWSAMQIQQAHEIARRLNMVGPAAEQPHYSMFHRERFEQEYEPLWRYENYGST IWSPLDSGLLTGKYNNGIPEGSRYHSNLNGAMDDNVKHLTSPEGQAKIEKVKKLTAVA ERLGGSMTSLALAWTLKHKGVSTCILGATKPDQIKENVKALDIYPKLTPEVLEEIEKI LDNKPALPTAYGRRSDEGDLI
I206_04767	MAPAPPQTVPCQYKTGKTLGSGTYAVVKECVHITTGDYYACKVL NKKFLIGREHMVRNEIAVLKRVSAGHKNIVQLHDFFETTHNLYLVFDLCTGGELFDRI CARGSYFEKDAANIVRTVVSAVKYLHDQGIVHRDLKPENILFKSKAEDADLMLADFGL SKVLDEDNFSILTTTCGTPGYMAPEIFKKAGHGKPVDIWAIGVITYFLLCGYTPFDRD SQYEEMQAICNGDYKFEPAEYWSGVSDLAKDFVKKCLTIDPTNRPTAGQLLDDKWLKA DQEVSVQDVTSDAGNAVDLLPSVKRGFDGKKTFRKAVLGMMAVHRFQDQQHHSVGGGQ TAQQKEQLVKEVEEMKREAERVCSMSVG
I206_04768	MEAKLQKLKVTELKELLAKHHLVQTGKKDDLVKRLLDNNVTVDD EPAEELVDPDATTSTVASELTSAPIAQQTEASSSKSPAQAEPSTTTEELTPEQKAMKA RAERFGIPFNPNPPPKTNKPKSTLATNGKAAAAPSSTKTEAPAKKEKAGAIDSTNLGI SQDILDKRAAKFGIPEKKEQASAPSPVPAPVSTASAKATKPESKKKEEISP
I206_04769	MDADIQASLEAVIDANPVLASASLSPAPSLTNTPQPHVENDANI RSPSLKKNDSKSRPIIVPNSAADFRRREANRLAAERSRSRTSEKYTGLELASKELREE NERLRNQIAELEGLGGDSSQQQSILEDVHQSFKNSDGHAGAGPSNHRVASGEDQTARE EEQEAHSNTILAALTGITGVDFSQEENDQHWMQDMDALLKESEASGRLAELAVVATEQ NEPSSETTRPPPNQPSQIDQEIDPDLQDKAEENGNVEGFKQRLIPSPANNPIIALAAA INTEVERIIMEDLAITKAAIATLEKQIEAQKNGQPLSEEGQEDIELSLPGYALLEDVE KLSICSKDLINETTNIQDGLSELHTELIKLRDERTGEEKKVIDLIEEVKGLEITDEAD KSAFMSGLRALGGFVESLLSDPRGGDLSYATGSYSTPAIARRRRGRPPKGDISRTFYQ SFLLKHSPHSKSDHLSATGKNESENPPKLKNKIIRKPKKSKLQREIESNDEENVNVEN DLRQIQASAEDHSQTPTPRDDENENENGENVDNVVQPEQGEIEPTEQITEEAVNRAEQ FILSQLAQTQDHEEQNVTTSFVDFLPAQEELERQASAMNNSNSDDIMGTSVTVNTDGQ QQDVSANGGNTGQGVVRQISESALARLKKGPPGSCDICTRTSTTVWRKLVLGDQILKV CNACGLYHKKFGVIRPPELWDDGNSIRRRRTGARASTKGDGEEEGTDSERPTKKARKG INHIKQIDHETSITNGQDDTVNINLVEQHVIDLDVPIQDEDGRREFNATLMEGISAIS ATHSASGQQEESIQFDITTNQVIEQEQENQSHQIDLNLQIDNSADNHLISETQARHDD GTNDHPVQRNDLESVFGM
I206_04770	MLKPKNKPTQKATSHPLSGDPLSDRPFEESTHNETLNPKSSRSS HRDLSREERPGQQRHLPDNESNGTRTARSDQIDYTSQPRSLATLNFQNTAGSFGQGSQ NNEIEMASQQEVELPDWVDCSHDGQSCSLCSGK
I206_04771	MASSQIDTSSSNTPASEQVKSQSQSETKNVESISYPSVQKQSPI ILGPPSLTVTPASPTTTQTNTVADPSDDPSASTTTDEQVIAKEMKEKANHPTDSETAL LTQQSSTMESNTDETVNGGSKGVSGSSPLANLTRRLSGKSSSPNTNTSKALDTTSKEK PSTSTTTNTTTKAIPNQASSSTTRPTNASSTQKASQKKKKKRKGLAGFLLTLECLSAD EFEEDPKKVNRAQQTTTQKPGTSVTTSQSTAAPSTSQPKDKKIDDNLVNNEGSSSGHQ VEPTTTTGTTLVDTNGEGAVKPDEEIVVAPTEPHTLPVDETAGVTSSAVQPPGGGSSL LGTPTRSHPTRRDSDVVPASTSADQDRTETSGGYTDISNSEIQDESSGVAVEEGVDEY GMEDDYEDEEDRLIEQGGMGIPLDENGQPAPLLPPILKEHLGRKCLVLDLDETLLHSS FKSLPSADYIVPVEIESQIHNVYVIKRPGVDHFLKEMGKIYEVVVFTASLSKYADPVL DMLDTHRVVTHRLFRESCYNHKGNYVKDLSQLGRSIETSIIIDNSPASYIFHPNNAVP VSTWFNDPHDTELTDLCPFLADLSTVDDVRGVLDGRMN
I206_04772	MRGSTSILALPKASSSSCSIARSIRLISRETRSLHSSKSSSLLR SSTSSRSNTPTILKNGYGSIRYHGHSTSPAGAEPIDPIPDIGIENTYDIVIIGGANAG LALACALLAQPTISTTTKILLLEGSSLDKTRQWTGEGNWENRISSLTWENISWLDSIG VWKHIKDDRSCPVEEMVIWANPSPSSFPTIHFPPLGHPMARMTENMNLQRALLRRIEE VGKGIVDIKEGARVNEMRLGEGERWVGLKVGDEWLKGSLVVGADGPNSPVRLFSGIET YGRAYQTHAVVATLKHSPSPLYPNTTAFQRFLPTGPIAFLPLNEENSTMVWSTLPQHA KALKNLSKESLTQLVNAGYQLPESILTILTDQMIKQDKIGKPITVFEINEIINLNYVN SNDNVDQPILPPNINSIEMSSVASFPLKLSHAKEYLGKRTVLVGDSAHTIHPLAGQGL NQGLSDVICLSKILENSKKLGGDLGSKTNLIDYSRERYFKNHLILSTTDKLNYIFKSR NFLINLIRSNGLEIINELTPIKKFLMNGAGAAVTSTFDQTRQPDGLSKSQRREFGRST PESPLPNIQGWQKTAASSVENWFTLKSVIGMAGGIAGGLIREGVKKATGSLQKK
I206_04773	MSNAGGYFKTAKALLSHLEEGKPHSSITDWVEVLSSDRYDELSL DGIPELVESINIQGYQGTTEGTRAIRKKLKYGNVHRQLRALVILRALTENAGKGFQLG WANQQLMDRLKEMANDSLLDPKVKKRLIFVFHAWSLHYKDEPRMQEVAKLYSKYGGAG PGVKKPTPMAPTKQSSVSSTSSQTTSANRGGFDDDLFSHDWAPSKGSRGPDTYTDLAA AKADADARKRERESRILIEQREAEVERRERELRRKQDMAALESRRQKEALEEAERRRQ AKEDAKKRGKAPQPKRPPFDFQKEKPQVMVSIANAIQCANNLVNSCRHIDRSVESVLE SPKVQDNLDKAKAARRPIIRYIQLVTDEEFVGTLLDANEKIVEAIQLYDRLSKPAVLD SDSDDDEAKETAAIAKRLAAQKLESQRTGEIDQLQSRQKKESARQALRRQQSVQKQPT RQAHPDLADLDFGSISQTKDKGRGLPQPMRPDSDDESYDGQRTLSDYSDYDYNSSDDD WRAQQQSRSRGPSRRQSIAAGGGRQASQRDYASLEDEFGTSLGQGKKGLLDPNDPFGD PFADDNDTPIQENRRMQWAEI
I206_04774	MPPNIDYTHLNDVIRGFLNVLQGHVVGRRSQDELMVPYSLHHLL CDLNLSWDLFKTIEGASTVKECLSIPPFPPNAGNNVQPAQSFYIRLESHPGDTIQLAN RTMLRMHSENVPVVWYLCITNLSPLPPAPPRLPAAQQQLIYATTSYLSMSISPNTLGG IIGRQDWAQFQALVDRFLGEFCDKANGGELKYTLSPIPLPSGLRLSTNGQVFVIHDMV RRLGPWLVTHCDLNNNAIITSINPDIISLGGQPIPANAPLSIYLKPGPTRHNGVHLKQ SILPSGRLAYELRTRLEKADRARRKRLAKNVGTPLYRIPVVPPLLPGVQVRKWFIWLR TVDSDGTTRMRTAGRQLATICQHPPPMIQPDHQNVQEIVVLYEHGGSWDREINHRRFW SKIQNVNDVDIDIDVNQDIVSACSLDVVTRRYAELPHVIATLPNLWFMGFNRPSTPGL YQVPDEEDVPYEDHDELDSGIEVVQPDQLTWYNINDPVIAPGIQDYLIIKQDLATEAL FHKQSHVYMGRIIANNVNLDDVRNSLTDYMTRHHLTTSLSFARVSRGDQRTNTGYAAS INRQIAFIDKVLPLDTPGRPRVVKKLEQVSISRDWSRVEDTLRQVHDTLLVVTSIDRL ARTRDSLVQLEELCRRQNIVVITLLLPPTSLQHLQVDNNTLFSSAAISQRFQHVYTLN SRSSSTPSLYPLVVIGQQVPDEVSQMTSERVEKSTGFISGFLAPNFRGDPSIQLPQGI YDARGQFDVPVRQLFFQVCLDTRAAVGNPPPSRGVFNLGDNGLLCPCAVGSSPQKPSS PLLTPRSDDPQAGLH
I206_04775	MSATERVKGIQVHRPIIYGSHARLLTEEEKLTAPPGHTHRWTVF FTSATSPPPDRLPSGELVKESIDYLPGGADDLSYLIKKVTFKLHDTYSSPNRAFDKPP FAVTETGWGEFVVQIRIQFIPESSEKPLTLNHPIKLHHWGLPIEGLAAPPTEGGTQPN STAPTPAPEPATQQPTPDETPGPSEQKVKEESVAPQAGESSTTTDVKPDESKAEEAMI LEPTQVTSTNPGDSQIVVDQPSTISIASVLPVHSWQYDELIFSDPPKNFLDILNENPP TPLPNKNRRPKDQREEHEAQNPTSRKNKKLKGRNSMVNSRANTVDIGTPTPSVTAGNG TGMSVGIPGELGSADVPLEFSLEMEKGEYNKLGDARRKIVEQMDRWRERLIAQEKELG KVKEELKGM
I206_04776	MSLITSSLRLGSKGIQAVRTFSTSSSSFAAAATAPSSSTPRYVR KTAVPSRSTTSNTTSKPTPTRTSKFTPTPVPPAPVSTNYDDFPDDLPISEPIIEDYAH LSQPSASPPLTNLPGEGYSPLPAASSIAGGTIDGEAKVGEGQDWSNSFSGLSEKPFSK EIADELLRELRPQDVEIKPDGLLYLPEIKYRRTLNAAFGPGGWGLAPRGETNIGPRIV SREWGLVCLGRLVSIARGEQEYFDPSGIPTATEACKSNALMRCCKDLGIASELWDPSF IRDFKVKHCKEVFVEHATKKSKKKLWRKKDGPKFEYPWKESA
I206_04777	MTLPDDIERDGAIDKKSFDTESRPHHTPTLLKSVTIRDANQDNT LGLDRMNRATRSRRDSASRMIGEFRTLSIDVTDTQQRQAAAVVQKGAAKEIAELEWHK YSVVDILQRLSVNDKVGLEDEQVKRKSSQYGLNEINPPKPNLFLKWLEYVLGGFGSLL LIASILCFIAWKPLGEPNPAPANLALAIVLLIVIIVQTAFNAWQDFSTNRVMASISGL LPSAVLVLRNSVQSQLAAKELVPGDIIYVSLGNKLPADIRFIDVSSDLKMDRSVLTGE SEPIQATIDMTDENLLETKNIGMQGTLCVSGSGVGVVVQTGNLTVFGRIAKLSSTGAP SLTTLQKEILRFVLIIVVCALTIATIVIILWATWLNKKHKGFITVPTLIIDVVSVCVA FIPEGLPASVTISLAVIAKKLVNNKVLCKSLMTVETLGSVNVLCSDKTGTLTENKMTV TNLACLDDEMDLTQARDRIITGKDKNNVVSQMAAIMGICNAAQFDESTNDQPITLRKV NGDATDSAILRGAESLRPVKESLSEWTEIFKINFNSKTKYMLKLCRRASAKSPLFPAP CDSYNEFGPDDLMLMCKGAPDVLLKRCSHVNDPSGGPPLPLTEETISKLTAVQEKWAS KGQRVLLLAKRIIPRSSIPKEYSFNQPEFSDFINTDLNQQLTIVGLVGLVDPPREDIP NTVRIMREAGIRFFMVTGDFALTAISIAKQCGIITSNNLHYLKDLPRTLEQIKLYDRE ELNVTPGLVLTGNDLMEMNDCQWEQICQYTEIVFSRTTPEQKLRIVKEFQKRENVVAM TGDGVNDAPSLKAANVGIAMGGGSDVAMEAADLILLESFSSIVVAVEYGRLVFDNLKK TCLYLLPAGSFSELMPILLNVLLGLPQILSSLQMIIICVVTDVLPAISMCFEKPEAGL LNRPPRNTKKDKLVDWKFLLHAYGFLGLLESLAAMSMSFWWLNKEGFKFSDLVLAYGG LPPQYDPDAYAEAISKAQSIYFFTLVGMQFGNLLATRTRRLSILQFNPFKWSDENKRN YWIIPSMIASIVFLFFFSYVPFFQHTFLTRGVPVEHIFIPFTFAIGLLLLDETRKFFV RRYPKGLLARIAW
I206_04778	MVHGRHAHDENEGNTFLGKELKYFSQAGFDLDRIHIKRNAPIAS LYEDAILNEGAVISSSGALINFSGKKTGRSPKDKRIVYEETSKDDVWWGPVNIKMDEH TFEINRERAIDYLNTRENVYVFDGFAGWDPKYRIKVRVIASRAYHALFMHNMLIRPTA EELENFGEPDFIIYNAGQFPANRFTTGMTSTTSVEVNFKRMEMVILGTEYAGEMKKGI FSVMHYLQPVKFGQLSLHSSANQAKGDNGDVTLFFGLSGTGKTTLSADPNRLLIGDDE HVWSDTGVFNIEGGCYAKTINLSAEKEPEIFNAIKFGSILENVVYNPADRRPDYDDVS ITENTRCAYPIEYIPNAKIPCIADRQPSNIIMLTCDAFGVLPPVSRLTPEQAQYHFVA GYTSKTPGTEDGIVEPSPTFSTCYGQPFIILHPGRYAKMLAERMEKNKVDCWLINTGW TGGKFGTGKRCPLKYTRAIVDAIHNGTLAKAEFENFPIFNLAIPKAVEGVPSEILDPV KVWPSKDAFNAEVQKLGGMFQKAFSKYEADITAEVKNAGPIL
I206_04779	MANAPHGGVLKDLIVRDAPRHSELQEEARTLGDIFLTERQLCDL ELILNGGFSPLEGFMTEKDYISVRDTLRLEPVHGQRQGTLFSMPITLDVSQEDISRLG LKEGVRVALRDPRDDAALAILTVSDIYTPDKHLEAENVMGADDIAHPAVAYLHNTVKE FYVGGKVQAIAAPTHYDYVPLRYTPSELRAHFHKLAWRKVVAFQTRNPMHRAHRELTV RAARQRRANVLIHPVVGLTKPGDVDHYTRVRAYQALMPSYPEGMAHLALLPLAMRMAG PREAVWHAIIRKNFGATHFIVGRDHAGPGKNSQGKDFYGPYDAQELVTQFKDELSIEM VPFQAMTYLPGTDEYQPVDEVPKGTVTADISGTELRNRLRTGAAIPDWFSYTGVVKVL RDSYPPRPQQGFTILISGLHNSGKDTIARALQVTLQQQGSRSVSLLLGEELRGDLDPK INRAITPEQKHINLQRIAFVASELTKAGAAVIAAPVAPYEKSRQAIRKIVNGNFFLVH VATPLEWCEKVDRRGLYKRARSGELKNLAGVDDVYEAPTDADLVCDLRHDTVPEIVHS IIMLLEGESLI
I206_04780	MPGPQYRDPWAAREAWRKSPIFSNRAMFRGMFPGLGTAIVAFTA YVIYDDYFATKPAHEHGGHTISH
I206_04781	MSLRSLRDTLYSLAGSAVSQDSSVEIDTESVWVAAGEGVWSDGI TNGNGLFGWRNLLSPFGFGHSHHGHHGVTDWRNLFFWLAVFAILIIFAVITNPTESSF RAHLTELSFRRHLADIRRSEIDEVSPLTDEQAQLPSTSPSAHLIASENRRGSLSGPGS ETPTHTIAPFRFANHVAISLRTPTLLYRSFLICSITITSPLTPPAYLSDPTPPHLTKG KHSAHSIKERYVLWFGCMGHWTLIGLIPTSVEWAWKLLRRGEKEKTKKRNLDKAGVIE MRAIQNKEDSAPSVKGVIGHSLTGTKGMRRTDSSSNLADSLPIHAHPAQLTAGPLSPD SRRPSLVNLIASPPSAITVDSPEIANSPAVIALKAELIAAQTILTDLQSQLTSHEQSV SDAHGHLQRNLDDIRHRRKEDDTERQELKSRTKSLEEQKRQAEAARREAEKKLKAAEA LRDGLLAKISSSENEIKELKGNMETSERNVRVIQEEGAKHVVLTQLAVEERKKEMESV DNEITEIEDRNDELNTLIQNAEERLKAIIEDGENARKIGPEEEMMMMAAAYEAAAQEG YLHNGYQPNTGHHVPPPPPGPPNGRGAQQEQWQSQAAAYMAEAGMPHLGYDYTAKPAH AGSTGFGHLSKHPNPNSASSRDLEGMRHRTDISGFEDFGPGTAFAPQRSITPQLPSDS ESDIYNLDPGSPYGGFSSSNLLPQGLFRSLEGDQTPFVSGDEGLPESFEDPLTFDLDR PISIEDAATQTSTTVTRLERQVAGEEDEGSYSASDSGSDSSREDHDNEDWKSPLPEPK QLKDAKESAYKRLSANSSAVKLLPGLGQPPSASPVSISLANNNSTSPSTISALPNLLP SSRRWFSGTSSSDNLHSGGFGGFMQHTTSNDSLNLPGYESSPFAPTSSEKKALASAKW QPFGGGMGLGNKKWSAFGAPNNNEEDANGGWPEPSPPLHNGQLNGVGEDDKGEGKKPF RFFSLRGKAATGSTPP
I206_04782	MSNLNLLTTEQLQQWKDDGYLLLPNFFSPIEVKEMLDEAKRLCN EFDITGHPMTTFQTAADGEHIGDDYFLGSNDKIRYFLEPTSITKPTIETPAKLLVEPS RSINKIGHALAILNPIFNKYTLKNENIINVAKDLNEQKKPKVLQSMIICKQPKIGGIV PCHNDSTFLYTDPPSAIGCWIALEDCTPSNGCLSFYPGSHKKVRISERFIRKQSGKGT TFIDVPEVQKNEEKWDEMNGWKEASCKAGTLVLIHGNVMHKSPPNLSDKTRFIYTFHM IEGEGAIYDEQNWLQPTVEMPFQSLF
I206_04783	MVNYRLQQSTDIDAFDDQLESSPESIANTEGLTIGTARGGPGSS AQFKEIRKSALEGVKTRSEITKGSEKLTGRVGIITGVGPESGIGTAAAKLFAREGAKH LYLVDYDDSALPNLKKWLETTYPSTKVTIVKADAASAAAISKLVSQVISEDGHLDFFF ANAGISQIRPRNTKMDVNKAIGDLKSLARNVEEIDEKEFEEVMRINALGVFVAIKYAS EAMKNVSPQHGKVVPGGSIILTASIAGLKANAGPIPYSASKAAVVSMAQTSAYDLAGY NIRVNALCPGLIETDMTRGMFTLAEAAGKSDKMGVLNPAHRQGLGSEVAQVALFLASD DSSYVNGQAIPIDGGLSSGVPYAKMKL
I206_04784	MSSSTTNTATYKFNHTMFRIKDPKVSIPWYENVLGMQKFKESPG GDFTNYFLAFPGGFGDKANASDDEKAAIQLNREGVLELCHNWGTESDPEFKGYASGND EPGRGFGHIAVTVDNLEAAVKRFDELGVKFKKRPEDGKMRISSQVTSAVM
I206_04785	MTSYYGDRPRDIPTWESLEAPDEDDLVDTSEYAYASRDHILFCI DASRSMQTPFPDTTNEEDVLVRGKSALHQALEAVVKIQRSKVITGPADSVGVLLWDID PGNTPSSSQASYKPGTQVYQNLRTISAEEIKRIVKLLEKAKDQYDAQNEDDGHKTVQP EILGLTFPPCDKEEELNIADVLVTCNFLFRDAGTNLAGNKRVFLVTDSDYPPGSKMNR EPARTVYGDLSSYGISINTFFVDRPDYKFNPTLYWNDILQREPDENVPETDAEPDIDG LAELAEVMNDLVIRHAPKRVQFSIPLKFGGKDGTIEIGVSGYAMLSSQGKGQPKLVRM RGQTVEEVLVKSEYTSAETGAVLKDTEIGQAFKFGNEATVKNVIEPNWWESDDAQAQQ KEVADEALRLEDGKADNFIEEDEEEEEVAEANGLTEKMKVQIKPDEKPKVVARTRLQF TSDEILEFKSMGIQPQIKIIGFQSPEHLAFEENLKHSYFIYPNETDYTGSTRTFAALL KSCVKLDKHALAICRFRTNTQPEFCVLIPQEETFTKDGGQDQPPGFHVIVLPYRDDIR QPPKNMTDNLLATDRQAQLMSNIVKRLRIKAGHYRSEAYPNPSLAYHYAQLQSLAFEE DFDPASSQAQELDKTFPKWWGMHKAAGEFMAEFNQSIEDDERAIESLKGGTKRSTKSG PSADTALEMNEEDLKDLRGLWKLGKLDKVKVQDIKDYAKFHDISLSGKTKKADMVEVL SWYFENEKAEGNSVKKSKK
I206_04786	MSMPPPPPPNKGKSPQLAPSNPSTTTKSPTLSASSARSPRTKPL PMTGITPPSQPEQSSQDQSAGPSHVDPEVLANAVSKLDMIRSAPAPMSQVNSPAVTPG ASGASSPKLFGIPGGAQAPGGLERLPSHDGNKSVPGTPHFGAQTDLLRTLDESTKILR QTSKAPSRAPSVSGIGTVVEKPDYSEARIVVAMVGLPARGKSYLSNKLMRYLRWLEYN VDVFNVGQLRRSKARAAMQTGGGKVDHSATYFSHSNAEASRIREQLANESLESLISWL KKEGNVGIMDATNSTRDRREWIRHRVAQEPNLQLMFLESFCDDPVVIAANVALKASSG DPDYAGMSREDAERDFRKRIEQYESVYQTITEPDISYCRILNVGQRVTINRIQSYLQS RVAFYLMNLHLKPRSIYLSRHGESMYNVEGKIGGDSDLSPRGFEYAKALPALVRDNIG DGPLEVWTSTLQRTQQTGSYLPFEKKTWKSLDELDAGVCDGMTYEEIEEKYPEDYESR DEDKFNYRYRGGESYRDVVVRLEPVIMELERQENILIIAHQAILRCLYAYFHGKTQEE LPYIKIPLHTLIKISPRAYGCHEERYPLPIAAVDTHRPKPKGKKGATPSNGAPPHEGE EKPEKAEEKADFETDTSVARDYFGDKAGKGIGVKPESVSRILEEKVQKGDIVPTAQHE SHKE
I206_04787	MPKSRASRGILLTSNLPQLQNLIKRDPEGYKEEFLTQYNHYLSL LKLQQVSSISSSNSNVTTNSSNVDKSNELFQDLITFISQVSQCYPIETKELPIQFKEL LLGNSENGGQNIGNGQTVKGDLRRTVVKNLVMLRNKEIIDSIELLQILLPLLPQVPSA LRGMIRHTILTDIKTSNQKTKNHRLNRVVQSLLFGMVESGMNAQVIGDKGKGKNKEKG GEAMWAVMMVKELWKKGVWNDAKTVSIVALAAFHPNTKVQSAALHFFLGSDNEDENET DSDEEEIRNARRGVKKMEHRMEVGKSGRKKDRMLAQMKRETNKKRAKHAAGLGATPNF PALELLHDPQTFGEKLYDNLHKHDKIYSLDHKILIMQLLSRVMGVHKLCVLGFYSYII KYLTYHQLQVTLILVSLAQSVHELTPPDVLTPVIRKLAQEFVHPGVGAEVIAAGLNAI REVCRRQPWCMEEDLLSDLIDYRKSKDKGVVTASRGLLQLFREVNPGMLKRRERGKAA SMGLIGNQVLAYGHSKDAADGIEGLELLEEHFASLRKEEDGDVNMGEENEDEDGKGWE NWDVDSDTGSESEGWEDVSSGDEDLDISDSDDEQDRKLATTAISQDTKKLSLLAQQKI LTPADFALLNELRLKAAKELAESGGGSGAKRKLAALEASKRHIDGDESERFLTENEIL GPRKKLKSTWEEKMELVQKGREGREKFGSLKGKKQKGTPSSSTNREKARNKPLMMAVH SNKVMQKKKASLRDKQIRLRAAIDKQKKLKH
I206_04788	MLRTIIIASATLMTTTEIMAQAQSTPKVLVYTATAGYRHDSIPT AIQVLGDNAQQYGVEFTFSEDKSLFTNESLSTFDGVMFVSNSDEVLDESGQAALQTFF QSGGVYTGVHSASACLFNDENYQQAVGALFDYHPPIQDATFTRINNTHPATANVPDRW SFQEEVYYFRSNPRDNGAVVLLSVDETSYVNNGTSSGNYPSMGDPHPIAWYIDSPLSS QPLSQGISKPGRSFYTSLGHLNSTWQDETFINHVMSGLKWALDGASTKAYGIGLVGNG NNNETSSSNQTSASASTSGSGSNTSGASATVSASASTSSGTGTGGTSGAQTNTHLPGN AAFIGAGILGLVGVSLLI
I206_04789	MPHQRNLFEKQESHEHSPLTYTGEPFDMDANQLKQNRHALPNRL EKVYMATAAFEAVVITGIAFAVFGLVQANIQAQNAKVRTVPVYLAVFIMAQIFSVLYI FDGLRARNIVQLIMHLFFNLCMLVYSILQIPQTKDALSDENGVPGACGNFENCTGPDS LFNLLQRLMIVPPIIFGLCTIMFCVLIRYVHAQFGWAVFHLVGASPELRKAHTRYQTM ISLLKMLLFFALAFCTAMLILASAWSAKKAEFIITIIAFPLVIFFMLGCGWALRKENK PIMYVCLVLEVAGIAYFVYKLATLWLPRTEGLYSNTKITMAIFSIFSIIILLSTFLLS LLCIGDFGKGLIDAHRNPENRTSLWSLPANARFEKKLEVAEKSGNVEAGHSPMLGETE GRQERLVID
I206_04790	MSLNHHIPKKISLAPKRHHGFQFLLFLLGLLLPPIAVAVRFGIG TDFFINVFLCICGYFPCHFHNFYIQNVRNNQNRARTPKWAIKYGLVDNTDRERRLKKS QWSKRFDERNAHSTLRDQELEEGEEGINYDPTTTNPEEIERRRNEGLWTGEDEEYYNE DRAPNQRNWHYPANFEGTVGDGRSYKRGKSGSSGDRWERAARRSSNASTSGYPPAAAT DTDVPVWGEDYGSKRRSSKVKKPTKKNNQHEWANNAEYDNAWAQSNGSSSSLNNNKSN GRANGNAKPANSGGRAGGDPNWDHEF
I206_04791	MSSPTAPLLPRSQPPRPNPSQVHSSPVVSVQQRSIPLHKQPSEP LFSEHIMSVASGSGSNSKENRNINNTDLTSNSSESGPSSKRLITPTTSGSNSNFTLGP AFSAKEVGVGNQQHPLSKQGLTASSSTSVSGLLSSGLPNPNGNSTLHTPINYYYNNTT SVNNSVNQTPQTGASKMAAAAKRGLDGLTQNTSSSSMNLIKIQQDEFSNQEEKEEEEE NHPLSEKKLKEKDYQTIHTLNRLFHLPCRWKLIRPLGQGAYGLVINVQDSYSGELVAV KCITRVFDKIILARRALREITLLRHFGGHENLTGLIDLDNVWEGYNEIYLYMEPMEAD LHQIVRSGQALSNSHIQYFLYQLLRGMKYIHTANVIHRDLKPGNLLVNSDCELKICDF GLARGFKPVTGEDDQNADSKLTEYVATRWYRAPEIMLSNKRYTTAIDVWSIGCILAEL LGGKPLFKGKDYVDQLNLILGVLGTPDEETLARVSSDKALTYLKTLPFSPRVDFVDLF PEADPEALNLLSLLLAFDPAQRIDVTQALSHPYLATYHDELDEPACPEIFSKWEQVES LTTIEELREAITKEIEEFRAEVRNMDDEEYYDEEGSGEGGETWRVDGLEDGEQVNMYA SPMPDTHLTQNIEGGLPIPASEFVGTSRDTSPKANFSPLVSSSPLAKRQSIIAATVSP ERRKSSIGLSASRPRTRDASPITPATALSEESFGNPFSAGTSGGRQSRRQSGNSMSFS MSGGNNRRPNSFLFNPFGQGMTPMPSSIGNQQNSGDQNVNINTESGHSRERGGSGHWN NLNAQSGRRSRAPSQSGSISHLIRKLSSVDLISLNNENHHGHNYGDGHGHDGEEIPPM TVSPSDAPASEVSYHL
I206_04792	MSGMPLNDEDSGDISLSSSHFHIPAQSSSRPSSSGSMTSANSQT SEDHTLAPKSYSRERDVSVPAPAPQRLFGKSLFSRSPQPSTQPEAGPSVSVASSTIDS NVGIVSLNDEPISEAGPSNKPPSTRRQSSGLGRSRSLNVKLPSLDTGINRSVSPMSAT SRNLTTPTNKLGWSRRPGEPRPPPLVSENVSKRMSRWVKEVVVCNFDLERGPVVERRA GDRRWGPGEKENVAFSSFPDTSLFAEGSILFSFKIRHIPPDPSSLHHPEPPSPMPDRV VKTVEEEMTDLKVGDPPREAGNLPGGSGNGIDTPGLSESSGSGFGPIKAGDKAEEYRR WDERGREWLYGFVWFEQRRDKGITRGYMQKSLVILTHLPFPTLFSAVLQKVAPTFFEF GYSALEAACHSIASWPDPTPDSILELPMLTDLINVKLPDTTESPQIGRAFGNLSPSSQ QPILAALPTSTPLRAFASFLPSLWSLWECLILAEPVLIIAPDPKTCSEIVWWLRDLLR PIPPAGDFRPYLHIHDHDFSLLVNSNKPQAGVIVGVTNPFFRNAASHWPNVISIPSQR TRRIVQNGTSPAVANSSPSMKDQPEGFLSRRHRGIQKDRVLLKRLEGLVAEGKFDDPE GNEALRTYFQQLTERFLVPLNRYFQTLVPTISATPGGTSISPIPSPSGSSSSIASTSH TTGVIRPFSLPNFLNHLRNNGPNPLLFKTKGLSLKSRVENDFYASFCMSSTFARWLEL RVNSLGLVLNNQSNNNNINNINNQQNDNLTIPSIGKNGLTTNSKKTINNNTDDNRKSR PGLPRSISASVGLGILGDGILNENKQSPILLNYKSEEEEEEEIENNESSEFENYSNRD SLESGESRNNWNLIQQRPQRDREGSDQTIVQIKKFDNTIFDNGFNKESYNLKSGNWFD TGRRVSEGNVQYKGPVNK
I206_04793	MSHGDHSRDPCPYVILNDFGGAFAMGAIGGGIWHGIKGARNSPR GERFVGSMSAIKARAPVLGGNFGVWGGLFSTYDCAVKGYRQKEDPWNAMIAGFLTGGS LALRAGPRSAFGSAVGCGILLGVFEGVGVLMNRMFAQPIPQMQLPEQAPAAPAVA
I206_04794	MADSSNIIFEDRFTVETVDKDGKKFDRVSRITAPSHNLQMSLTL DLANELYPLTEGEVFTLAIARTLIPEEFSNDNNDDDGISSDNGEKSKKIKRELWRSEN MGLGEDYDYVMFGKIYKFDDSAQGDNQTTAYFSFGGLLMALRGSYRHLASVVVGENVY LLMRK
I206_04795	MRSSSSISMVIAALLASPTLAFRDTSPFLLWNTDPSRISESASK SLYGSALKPAEEVYNGLSNLGCDWDNLVVVHKDGLHSSQLNKLDYPTHDAHLHIPYLS RPQKRGLDTGLEIWAESCDATIVSSFDDRVEGKKNVILIESAQGESIPSIPSNLNSYI LILTGSPVSNEKRQERPFPTHITHSASATTTLQATSTSTTTDSPRRNSTIPSKDVPLL DRVQLLTTPIITSLLIVFLILLPILGFGISMLTSIQVPPKMMEISKSLAVGKDRKDQ
I206_04796	MSAEEAAAITPIPAQEVDESTPAQESAPAPVEESTETEKPSSSK ANQEKPKSASPAKSSKPSSAKPRGRPSVGGATKKSAPSFSNDEKRQFKVGEIVLARLR GYPPWPARIADPDTLPRNVLKQKPGKNPLIFCCQFFPAGDFSWLQSKEIKPLSASEIS SYLSEPHRKATGGLREAYQTAQDPTEWDGQQANIQQAKEEAENEADEDELEEEEDEEA TTGGKRKRAAPEKKKATGKKAKTTKSKDAPKSKTKSKPAAKAKTSKAPVSKPENQEPA DDDPLASNPECVKVKDWRHKLQRAFLSKSLPSAEEMPSYDDLFKTIESYEAMTIDALQ YSKIGKVMKKIMTLNEIPRNDDFKITDRASKLMHQWTDFIASSEGKPNGNAEAANGEK KDEEKKDESEKIEVDA
I206_04797	MRFPSPITFFLPLLTLFSFLSPVSAKGGDTLYTNSVTYCAEAKA VLVNQFDIAYHKSNGSITFSFSLASVEANLNVSANLYVNVYGIEPVNQTLNLCDLLEG VICPLPQVNFTGYGTYPIPTKYSSKIPGIAWSIPNLEAYARVQLLREETGEVAACLQA TLSNGWSVRQTAVSWATGIFTLVALLIGLFHTGAVNSPSPAQYRWFDILYLFQSAAAS GLMHLNYPLAYSAFTQNFHWAIGLFKSKHVQNSINTMRSKTGGHLDSNAYSDVQYINR KFSPYNVYASFNEVTSSKASFQTFIANAQAEANNAPALSSHLELGKRATIASAITQNA TSELSSGLPVYTNTLNIPTANAFDTVFFFFLAFVAIAIVFHILLFGVLWIVERSSRGK GEISWAGRLRRMWWGFCAGNALRLCLIWFFPIFIFGFWQFHIGDSGLSIFFAVFSILL VLVPLATVFVLSILRNRKLSSTAPGISPLYTSYRWFHSVGVLYRAYRQKFHFFWFAPL ILGMIARSAFIAFGPTSAWAQVIGNVVVEFIVFVSLLACRPHKDRKGDWITSVLSLFR LIAFGLLIAFIPSVGVKPIPRAVIAFVIIVAFGLPTILLFFGMLWNLGYGYWWRKHTH RIEDGLEVERFVASDDDSSVQPAMTQLEPTLPNVTSRDQTLNTSRSPAESLDRRTSIM EPVASSQYEPTFGSKHLPSFNGSSSEYENGSPSTRISPDFNNNTVEGEFSQGVGSQRM SAAQAYEQAAQGGMYNYNDESKIQQQQQQQQPTMTRQSTASSRPLSGHSNYYTPSTGL HDNLDEKRRSGDQYFYSKQQ
I206_04798	MPRRQLDYSVYLVTGREFLPPGKDYYESLEESLQGGVTLVQVRE KDADTGEFIEVARRTKQICDKYNVPVLINDRIDVHLAVGTAGIHIGQTDCPLPLARTL IGPDAIIGLSVRNIDECKRAIEQSADYIGIGSVWHTNSKDIKGRRCLGPDGTGEILDL LDGTGVKAVAIGGIHLPNLPQLLHGSISPKTSNTLDGVAVISDIVASLHPKESASHLR EIVDSFKRARFNLKGKKGVFSIIDDNIDQATLDKEYLVKKVEGLMRILEQETPLINQL TNKVVMNDSANVTLAVGASPIMSTNPRDVYDLSPAIGACLINFGTIDDKEGMKVAGRQ ANVNRKPLIFDPVAVGATSFRRENAAELLAHWQPTIIKGNAAEIGALAESTEVASRGV DAAGSGFKDPAGVVKALARKRAAIIVLTGPNDYISDGDRVYKVSNGSHYLEKITGSGC QAGTLIACFAAASRISYLQNNEPFEDDSQLVQGDMLVAALAGILVYTVASELAAERSD VKGPGTFRSALIDELYNLTPEVVRQRAKVEIL
I206_04799	MMNKISLPSSSIRRLPLSPLRILSIDKSQHIRKYHSTSPPAPPN QLCSSCSKSIPLPLTPCPECSNLLPLPTNLSYHSLLYLSSPIINSESNENPKKIFDIP KELSHLPSNGFGLDKIDLRSKWLRRQRELHPDKFISKGEKIINLARDLSGRVNEAYNV LGDELKRTEYLLSINEKSTEETDKLDDPMILAEILEAREELEEANTFEEIERIRTVNH EKVEYMIKQLHSAFSETPPNLDLAKELAVQLRYWRGLENAAKEKAV
I206_04800	MTIPRPYRAGLGFPEAVIISSTSSAGPSRLRNYQEDDQEIDSNQ DNLINDNIKEAYSYRNIKKQIQDENELTKETIWNEWNINPALHGPSKINLPPKFILSS NLYDDLGRSISDGINITINKKSKKKVENEIEKEDGNKLSNWYKDLSSKTNSNLNSQNN SPQEKLDEDESDNEIQIISYDEFKGNDNEIKLEPNLEIENRKILKINSKDWFIRRSLL NSPLTNTSNQPIRTTSISRLLNINPDLKKKINVPRYVLGPENKGYKILKDKLGWHGGG LGKPPGWDDLSTQPSRSSQERISQSHDNFKNNKNIVSEMELDDNGHPVVDLTISSDEE EDENEDEEKQYGPGRIAPISTILKLDKKGLGHKHGLKSKNHSITHTHNQIEMARKKAK FGNSKDHGKGLELGKKGKSEWKVVDKLDRDERMAIKAALG
I206_04801	MANKSINELEGLALSDRTKDTYPTQADNGLDSSQLPQTNYQYDE EAAAAGRYRKYPNGVVGLAKRGMDVLVEHGVEERGIEPRPEEDRDTLTIWSYLPQTTL WAAFNFNILSFSEGVIGPALFGLNWRASAACVVLFTLASGLPVAYCATNGPKTGMRQM VQARYGLGYFPAMIFGLINCATMIGFMSLTAILAGQCLSLASNSTMSYNVGIVIAALI ALILSFVGLRALHVVSLTTFPIMIVVFIALTGLSGSKLHLAVAEAAAEATGVTASGVL GYGASLIGFTITYSALASDFTTSLPAHTPRLPLFLCVYLGLTIPIISITLLGAACQLA SYSIASWAEASDIGVPNLIFAISGSGGGARFVMVLFCLSVVANTAPTIYSAGLSGQVA IPFLVKVPRYFLALIVTAIYLPIAIVGASSFYVALENFSSVLSYWTALYIPPTLIEPM VFRNPVSRKTYPIEIWNKIGKLPIGFASIISAICGIPIIVASMSQSWWVGWIARRITT GGDVAFELGAIVVTLVYLPVRYLERKYTGR
I206_04802	MKFGRYLAENQTPEWKRAYIDYRQCKKKIKVVAARLGQVKERKE GQINGGEEEDDGDSSGADDDHGPSALPTNRKATPDTIRGSLRSRMGSNAATPRLERWT SRATDRTQRYGSTGVSPRPPHSSISERAPPPLDLGSPSVDNPENFPSGPSPNPSNTLN TPRLDSSSRSKRGVVFSPNLKADAIVETSIEEESQHSRPSSGESDTHLNKPSRDDIRR GEGLKSVPSPNYDAKSPRPGSGSGPGPMGDTHRMTSAPSNPDTPKGGKSPRLGPRSLR SMTLPSPALPFRSPGGAVKTADSIDELYKQLEPDEKDFFDFLEHELDKVETFYLAREN DAMKRSHDLRDQLKELAEHRKIYHELYPHGVPEWETKVGRILPQAQAVVGVQTVNKLR QRLGFQDHDLSPHQNANTESNKPDHLQVDSNVASHERSSSPMMMDEHTRSSLREAMAA DKDHQTYNPERYQKYKKELKTAVLEFYRQLELIKNYRIMNLTGFRKALKKFEKTSKIT CLEMYTDEKISKATFSQSQAIDGLIKQIEDLYTSHFEHGDSKRARDKLRRQTTERTHY NSVFRSGVMIGIGLPAAVFALIESSKSHTREQIPAWGGMLQVYGGLYLPIMFAMLFQL NLGAYVAARINYEFVMELTRPTIDYRSFLEIPAFLFLTLSYCFYFTFAQIGSANVAPT TWPAAWLVLVVVFFLNPLPVLRRGTRYWLLKVLFRVCTPGYSRVEFIAFFLADELNSL VYSMQNIYFISCGYSKKWPGDVFTVCHSAKTWPYALLACLPAGSRFIQCLKRYHDSKL NIHLINAGKYLSVILQQSLFVYWRSRNSVIDDRAFIVWTIVAIVSSAYTSTWDLVIDW SLFRPQAGLLRKDLGYSQRYVYYLAMFTNVLIRFVFIWYIPLSTSNVRLRSFMFALAE MLRRWQWNFFRVETEHLGNADAYRVTREIPLPYRRINNDSDEEGDGEMEEHKSIYNKE KYKKKNMLSYQLDKLKLRHNIKIKNKNDLTPISVSSPSNEEEGGEGRGPDALNVGPRG HEPQREYEASRPGDYDNTTPRGNQAV
I206_04803	MSVLIPSLIFRPSPGPIIVQPAPVPPPLIPYPSGVSQTFFQPVQ YPPQSYPSSTRILQYPSHQQSHLGPQAEQTAFLPPRSGSRANQRRYSNEQAYHQDRIR EQPRRFSLDERLPDEYYSPKAHPRRALTPEPTSSNYRPRNHRSASTSQRVPGHQQHTL SQDQTVFDEDSGSSEEYRRFNRPVQRRSASTSARHSTHLSVREGRIRNPPRRSFDQTS RDHLQVENSLHAHSHQY
I206_04804	MARHVQGSPTSEHNHITIHGQAYHQKQENQNPGSSHTRTGSVSS IRPSIRGHGRTPSTDHLMVPVRLDTLPQRKRKESLDTLRNQTIAHHPPVNRSQRFIPA TPAVQNRVRDSVTPTPRTNEAYLVQPIPQLLDGHLSRNPIRSLSSPIKKNVSRGNQTP RPISKDLPLETTDERYERLRKLSSREILYPTPEAAQEAMRAERTSKSSSQHRRPPTPY TQSRRSSTTVKKINSDRSIRSRVSFDSTRSKRSNRSNRSNISAFDNSDSDDTLDDRQK WNPSFGTTAGIGPAGEAIEVIRLGRKKPRHRAIDPNRLKSALRSDSNLNSRVDLRNTS NTRGSEKPLPSRPMSYVAPIVNSISRVTAPTTRKMQKRASHQPTPWPYDQDNLGSTSS VPDPNLNSASLSRTISRNVHNARGSGDGRGIRSLFSSLSLGPSSATATSQQDVRSQSR SQGVRSSVGASDSNRRSLILPADDLYTYLRYVQIPSWDRWPLNSSSDKRRLSIWGGKQ SLGLDEMSWEWHRRLNLAEDARMQGRLLGSWENQRGFERSILNCESHPIDVANRWGTQ IFALPAEGFDTLDFFDDNISQAEDLGLLSWVTGTILQTAVSTLHMLRYSSSTFTFHLI PSPEPPHFTSTYEAPSFARTLSKAHFLWEGFGTMVLVNKSNDMDRAMIVEIRPPSVID SSVMKEFARGKNGESWWGFYSETCVGDVGQANLLQAQVYDDCVQNQCFYFAVTNLKYW VFGQFSSDYTQCTVSPVLHRQAKNPSVMQCLTAWIVRSVDERPRAGDLPSQLPTSPQH TEREHRRNRRESHTRGPEVSLYTEGIRPRPSDSRSSFSLSPNPGYDHTSSSMHFQPSS SMGMMSPNVYPNTTQTVYPTNDPYSNYGLPSYNEIPHPVPTMSPSPYSQPGMFFPQQQ WNNTRAFSPTPNIPHAGTPIPYNLGNSWYNGGGVGGMFPWGGLR
I206_04805	MFGAFRQSSISFGGLLWKSPWRLSPTRKANQRKRLKQVDSVIAA VAESGIITKSLEKALSLPMESEMLAKDKYTTFSKHHKGYRKSQHKVPKWTRLTLRENP KGF
I206_04806	MPSTTLTSIIPDTASFVTQRTFSVLYILYIGLPIKIMAAVKDSI IEAVSPASPSIEKQKDSADVSSASFYPTGGDRRIDPTTKVVDTSSSSGKTLVNTPNPD RSSPKEHRRISFSAAKPLVATYNPNQPRKLFQYQYGENEADDLSDSGDEGERQINEHL LSPYRPANAEQSFESNTTSGSDIHMSTLSSSGPEIFLGPQSTPFPLRQQGIVDAHSTP LRPLMQTFVPQFRDTAPVVMKPSREHLSRRIQATPVVSSGDEYDFKSLSSWRQEQIRS TRTAKLMAGTTPRMIPTLHGPLSLPYARNPSGVDATVADESAYLSHVFGLRAAGGTTV GDIGVRAGRRVSSGTYSSGTTGSGSSGYPNSAGGRNEKSTYTDHTSYTSLRGTTHHSR PLVIRDPYQNIGIKIKGIPPKEGSAIMFTKIESTDNEDKENVNPAASNRLRRRASETS LLEPKMGEAVLGPSRSQENLRDLNTLSPIPGSPAENVSKDPYRILWSRALSDPSRDLL PGSNDGLFPKVSESVPGTSILPDLAPLQYNPETMAYEFAIPQARSSYNDLHVKTPHGD ITPQVLNGAHSSPSKADTSDNWRKKEKNSDVRPTQTATVAAASSSKDGTVGAGVTPGG VMTIDSLFEKFNSSGEHSAQPNVPQNIVTPEPSGKGALSRKTSTKLSRSKAASPQGSS VNVLGESTKKLNVNAPPYSPSKSDKDTPAKKVTNSSPTVSQAKTTSPKVKTSSARGTP GAGKLLKHLVEGGENAPSPSVQSRSKGKGKRGK
I206_04807	MASIIRSTTLQSARIARSPFFPTANFASSSRHGLDFTRPRSFPT PAKPTTLLEEQPTNEGVAEPQISTEAHGIPSTSNPNPESIPTPKSAPVISTSQPKLTI PQIPISNPTTTANFRKNQKGQPIIRWTPEECKINNLSNEQKPTHTLNVKSTRNNIILS FTDGLGPIFGSISGGSDKLFKNSQRSSYEASTQASLKIFEKILEFNRSQPIKNKLNLK ISFNGLFGMGREALSSALSGPEGQEIRKLITRVEDKTKIKIGGTRSPKPRRL
I206_04808	MSPQTTYTEHAISEDITHTIFDTDTSQTVRKPQFSNSSPSSGSS MEDRQDNFRAQINSFKRHLNHIELEESIRNDLIKNCEMVSDLLSHHDTCKSLNDFHRS LDMCLKDISQNMGDDEEFRDTLRQSADSYSDRLCSKCRSSRQVGVANLEDSNGGIIQA ISDLWEDQNQSESPCLLSCGTCVYCTLAMATLATVLGATLSQCSA
I206_04809	MPRVLIFPSRQDWTEQNAIDTMRSFFPENEASIETKGKTSGDYL AVTRKIDETRNFGMFPKSEFNEDMFRQFNKDVGNETQTSDTIKGDFDAINKILRELRT KVDSLQVLSSDLNSENDDLFLRLQSRKQKVEIVKVEDIDEGLDPCEISRGVMCVCRTA EENCSPCRIASTVCGSLSVAVVVGVLASGYAVTQASV
I206_04810	MSRLPRLTRYVLPRYLHTEGRQIDHQGPTTISPQQELNNNSSSK LKVKFELPPTSSSSVDNLEIPSQSSSLLSSNSNLIQSSRPKYNEKGFPIIRRPARKIS ISLPNGDPEPNSYPPSKEYFETLDFKKTKKHPLWEFFHLPTHARARIPTNQNKPTGEM GSLEPLVRDDANLHSGRSWTAAELRQKSFQDLHILWYVLLKERNVLATQKEERRRLNI GHRVDGELVTRRAFRCRKTMARIKYVLNERRLGLIAAAGPKFNIEPVHVPWSASGSTD PAGATLAIRGESPIPLHILQSKPLTKKLVGSDSPGAESGSFTEEPIVEQEQVAKEEVE SRDEGFGGGKEAETFDEQVKITDDGKVEKKD
I206_04811	MSEQDTKPNIIKKKKRVVQSDDEEDDLPINGASSSKVITTPQSE APIKKDSSPPHKKPKTSPAPEKIASIFAPPPKKVEKPKSSNDPSKSTIKEVKEEKPKI NGKESKSVASIFAKPAKASSSKVKVEDDVKEEEEEGRGSPTRDDEGEEELEDEEEEDE QEEKAAVKLASIFTKNHKAVPVADKGWKDGEPVPYAALVSTFEKIEATTKRLEILELL TQFFLVVARRDAAKQAKDSNLLKVVYLCINRLCPDYMGIELGIGETLLIKAIAESTGR ATTKIKEDLRKEGDLGKVAMNSRNTQPTMFKPKALTVPYVFQNLTDIAKSIGNASQAK KVGIIKKLLAACQGNEAKFIVRSLEGKLRIGLADKTLVVALAHAIVLKGMSEKKIPHD LLAAKLEEGAEIVKSVYSELPNYDLVIPALLEGGVEGLKARCRLTPGVPLKPMLAKPT KAIGEVLDRFEGKEFTCEYKYDGERAQVHLLEDGSIAVFSRNSENMSAKYPDLVEQVP RAIKPSVKSFVIDAEAVAFDLETKKILPFQDLSRRKRKDVKTEDITVRVHLFAFDLLY LNGESLLTKEFKERRAMLQEHFQPVESEFAFAKSSDSKSTEEIGAFLEESVKDGCEGL MVKMLASSNSTYEPSRRSMNWLKLKKDYLSGVGDSLDLVVIGAYYGKGKRTNVYGAFL LACYDQDSENYQTICKIGTGFSEDFLLESYNLLKPLEIEVPRGDMEIGSAKPDVWFEP KIVWEVLTADLSLSPVYAAAHGLIDSRGISLRFPRFIKIRDDKSADEATSAEQVSEFY QRQVTAGGGKKGGGGGDDDFW
I206_04812	MERSSSQRDLRAERIARTPYNRPEPSRLRKSASMTPLATLKSIV SYVSSPFTKSSSSVLPTHTDDGPLRIADVDQDTKSESGSEDEWNGEPPSQMNGQDIFT LAAAAGRSGQQFEDRAITWRTNEVPGGRRQLARLALEGSSSAKALEPAIPTAPGHFDL VKSSPSMPSLSKSVSSPLRIPQSRTSRTKSPLSSNENTHLPQRGAIPDYSTPHINQTQ GLSSSASSVALTAFLEAKKGQLMTTDDFRVIESLTENMKAESQFGGSPTKSEFSQTQT PNQVKSRGGWAAGSYPSGIRSSNSFASLNTPNKANQASTPGKVFSIGSTPNISGGSPY RQRYLGPGMSPRRLLPQPKKSNLKPLFNFGASTNGEDLSDELKGKKQKVADEDEISMD IDSNTTPSTANAPGLSSSVSMPSLSASTKGNSKLSVPTHTPAKSSPLSRDSSSPYSTG ENDEKAKRKREAELAGKKRAAEIIMDIIDEEIGPIIPTRKAEPVIFNPYDRTSLNPST VPAVPSTQPSTAFAGSTPRKSLTRSINGKGSPARRTPTRGAAAKLELHKEAMRGSKAL TTIERIQGVRPWEKGESSSRNSRVETPIPDDDEIEIDELVDESQASSSRAPSPAPSVS APASSKNAASTAKTPTAETFKPFTTPSITFNNQPLPKSPAPTPSSESFDSPLTKSIIT ASTSSSENIIPKPTFSFGKTSTTPDSIEKIDSTKEPKSKSPEKEPTPKLDTSKIYLSA KDSALKIAQPALPFFTFTLPRPMESTPSPSVLEESKKRKEPSFEFTLPSSKSEPQSEE KDWNCGLCGLKNPGSAKEKCTICEEPKPKFNPQSQSAVEPLKSFQSSISTQGFSLGQF TNKSGGKDWMCDVCMLMNPDSVKDKCTICEAPKPASKSSTNSSTFGTTTSGFGQTQAP KASGEWQCNLCMLKNPDSAKEKCQICDAPRP
I206_04813	MASPPPPSYSSPTINPSSSSSTSNSTSTYPKPPLVNKKSSTIIT FHEELSEDQEEIVEGNVASSLPNHMKSSINTSGGNNSIPLSRTNSNTSSLAEEGELNS DQSIQQQQVNENTSLLNGNDNKLNKKWYKGPYFVTAVKFSILFTIFTIVLVCTFYFGM PKLDKEDKGVVKLPRSFADLQALNTLFQKYKTRYPFRILACAVVTYLFVQTFTLPGSM YISILFGAAYGMIYGLLLSCLCDAFGSLLCYTLSSLLAPPLLTMPFYRARVETWRIKI MGDPKKGKKVTWDSVFAFLLVLRIAPFPPHWVANFVAPHLGIGMFMFWSSCFIGIAPV SVIHVTIGSSLDSMTSAADFHILSLRNILGLLAVVVAVLIPVGLKKVFKKDLGDLGEA EEILIESNRDEREINIPSINGELGRRYHAIDSGVVLSLPSKGILGNKLIRNKNGNSRQ LEIIHDEDEEDDDEEEEEFDEDELEERLFDAQQDFIIQNENQIQIENENEIENEDSNQ YASGSGYISPNLQQQEQEEENQQQQQQEEEEIRESSSFDNQYMIYDPSGKIKSITYNP IKNKNRSRSSSIQRPKSMKRHNTNLSIKSKNNLNYGTINSLNENQVEI
I206_04814	MSISNPSHLLISRNSPRSPAHPQLAHASTSRSAHAHTHHHASTS TLPSSRPDLLGRWASHSADEVASSTINPHRHRKLSEGQEDTIIQDQTEFGNAHEEEGE VVPIDIHPTFLPDSNMPGNVKVIVSKNEFWCHKEVLWFASPFFQGLLKGNSRHEDRYS SRQSTRTTRTELYLDPTSDPSATDFSRELGELSETPTRVTGAGSDSLEDYSPTVSQLN VGTASPRSVVDSPFFETHLPVVDDERGVGEEIPVSPWVSSENTTNEIDISSASSEHVS RSRHSIASGRTSMIRHSFSSGPGDGQPQHGRRRMLEAVVELHEESPAAFQDFLFWAYP HLECKVTWTNVENLLALSLKLIVPPLQKLCERFLMTHASGRPVMALCLAEVHSNSELY REASRFVLDQPTWDQDEMQCLSAQTQLKLSNRRNWFLERLLKLGSIDRADCPDLLKCQ NQLDEKWRQAYSAVCRYGPPQPSVTFRCLRQLETFPTNPSLVMSHPLCQSIAKTWVMS CM
I206_04815	MSLLSGSIQPPLLSILSSTSSPSISPLWICHTDNSKDSLITTLP DTDEDLIVAESSLKTKSKGCLQHNVIHIQSPNPLKTYIQAGISKFEYLKELERSISKI RTIPLGIKLPYFNLQIKKLNSKKEIIFEIGFLNDKSKEGIIRFSSYKNNPTCHPFRNP PLINLPIKILNQNEFSTISNNTNNNTLTKWLNISINLNSLLNLFKILPRSKKEKEEEE KIKKRKLKIINNQLPSEGKFQSITYIKIYANCRIRRIWFSEEGEKTLRSMSKNVQDEW ELYAADKEV
I206_04816	MAFSAAELQKRHGLEGAPDPFPSLGGNTAPAPTTAAQKVNNNHG PTSSSVDTSSEDAFPSLGASAAPATNITKPAISAWASKPTAVKATGGKAKGAAASSGG LGRVGTPTATSHPFSDTFSIPAADLVQGKTAQDTISKVREQTGVIVESSTQMRTGLKT FLIRGADQKKLVIARRLIERGLSKPVTISVEVPITTLGTIIGPKGSTLKGITDSTQVK IDIPRRDTLPSYDPKDAKSDAGSDDEDNEDEEPQVPISIIGPSAACNDAKNKILALIS HKTSQTSSSIKTIPSSYYPFISGPKGVKVKQLEEEIGQGEIKIHVPPPAVWKALEKQS QGDLDENEAVPKDRDLSIKVKGEKEKVKLAVQEILKQYESLNDSLRELKISIPKRQHR FLVGSSADDILDQTGCIVELPPVDDPSDQCVIRGPQPSLIPALTLVMDKANAIAVEMV DVVTLHRPNTSDPLAHAKKVLRYLLRTSKLRSIADSHSGVKVFPPFASAVANTGSVVI EIVGEDKGKVTKAKDEVAQVVKSVLPAGTTSVEVDHLIHSLLIGKKGSKIAQFEQAHN VTTVFPPAQEESSDVTLIYTGPLDSLPTEKKARDSKLKEILAGASKAIAELAKDAADI KTENLDIEKKWHRYIIGTGGTVLNALIGEDQLVNVKVGSNSNSSNSKSASTKEKENED VVVVRGPSTEVDRVVKQIHQIVEDAKNDDIINGHTVEFNVLKQYVPHLVGTSGATINK LRETLGVKVNFDDDESAVKKAGKKPVVHCKIVGRKEAVEEAKKRLDAQIEKLEDETTE IVIIKRAIQPALIGSGGKYAIRLEEKYGVKLSFPRDNKEGQKPDEVVIRGGKKGVAQA KAELLEAAEYENESRQSTTFSIPTKSISQVVGKAGATINKIKNETNTQIDIEKTPTES DKTKTEVTVRGDKSSIASAKKAILEIVDEIGDEIEDSLEIDQKYHRTLIGQGGSKLKE TINSATGGTLEGYKQAGLVTFPKSGDSDLNTVKFRGDSKLVKKIKAELEKQVATLKET VVIGVIVPQAQHASKIGRGGSALQDLQRRTGAVVHFPGSRQYSTVGDIENAAELGDAP EGDVVKVIGTKEVVAKAAEQLQISNERPARTDSRQGRSTPANDYPSRTISIPAKYYHA IADQQNLIRQIRNVGAFITIPTAPPKSTSVKPSSTSASNGNGLAAKTARIDLGAEDDI ADDVEGEFELVENYQNAPEGEQEWSVRAKEESDLEKAAGVLNDAVEKAKAANHVGLLT GLPRSAFPRIIGSKGSTISRIRAETGADVQVSKDDDLITITGDESSVLQAKDNILSIV SRPQRGDRY
I206_04817	MSNLAQARLHEERKQWRKDHPFGFFAKPVKAADGTLNIMLWEVG IPGKQGTIWDGGVYKVMMTFPDEFPTKPPKCKFDPPLFHPNVYPSGTICLSILDEEKN WKPSITIKQIVLGVQDLLDNPNIGDPAQIDAYQMYKNDKNAYERRVKQQAIERRPK
I206_04818	MGINAVIPATVQFTVHTHQRQESFPQTEHNIPSSSRDHSQSTST QSYANIVKHGNGFSMDQLRAESYGSLDLTDSPIEESRGSGPSRSKGKITRANGDSSYD EDEDEDEDENGEEEEEFGMEPEIPVTWKYMSRLYLLVPIITLLWMIGLILLVTFAWPP NKHEKEAGQKYPHPLLFKPFIIGIFASCTVQTIRVPIWVIVSWSKISQRRITFWSTTL HATIHELLRLCTLTLITISPISGFHSSYYLGLGWGSAEVTWGIVQGWEQIELYKEVMR PSSSPQLPTTDLEAQEVSGPKVNGNGKREGLSSVSERSDEDDSQAIYDESIDQQEGDE EDEEDLERKVEILERMRARRDLEDILGLPFPNIPFPLHLLWRLDTLLLNLGLTLLLSS FYFNSAPIYRHHSSIIMVRDGTMIPDTKPHKWLWQVWVLVALLHIIISLVWKNVGRVG IGAVTWGGLIVALGSVFAGLGCWGGLV
I206_04819	MMGLKNQDKVKISLKGPSLLNNPRFNKGTAFTREERDQLGLRGR LPFAVDTLDEQIERAYKQYKSRETNILKNSFLASLKSQNWTLYYALLSKYLIEMFPIV YTPTEADAISEYSQLFRRSEGLYISPPESDYMEEEFLDACEGRDLDLIVVSDGEAILG IGDQGSGGIGISSAKAVIYTLAAGIDPAKALAVTLDVGTNNEDLLNDDLYVGYREKRL RGQKYDELVDKFVGLVKKHQPKCLLHFEDFGVTNAQRLLAKYRDQLSVFNDDIQGTGA VTLAALQAAIGLNDSKLAEQRVIIYGSGSAGLGIARQLRDAIILESEGTDSKKASSKF WLMDKHGLIKESLGKDKIRDEIETEFIRSEKDWGSDENDLLEVVKKVKPTVLIGTSTQ AGAFTQEIVKEMSKHVDRPIIFPLSNPTSKCEADPKDIREWSNHKALIATGSPFPPVD IPGGDKKYTVAECNNALIYPGLGLGTILSRSTKMTDSMIISGSKRLSELSPCSTNNDP NESLLPDFGNAPSVNFEIALNVIKTSQEEKVDREKELPKNEKEIRKWAEEKAWKPKYE EYEYDLEGMR
I206_04820	MVNTSTVRKGDVYFLSHGGPPTIEQTHSAPYKAWEKFGKLISAD PPKGIAVVSAHWENESDFKGSESVIVNSNSSNPLIYDFYGFPKHYYEFKFSSSFTPQL ENSVLSALEEGGVSYTRANRGFDHGVWLPFKAALGESTTIPIIQISLPGSSDPGATIK LGKALSKIRDEGYSIVTTGQAVHNLRDLFSGRKMTYAKPFLNQLDNALSTENAISSTV DLLKSSLYKQAHPTNEHFYPIFAALGALSSDPEQREKKEEILFGLLDMSGQPAQDEGL GWAMWRWVSP
I206_04821	MSNISSSDGTGIDTPARTSGVTVRSALNGLKKFKESWISTMFLK GGISLSQVISLIVLLSLASRLPSPLYQDQSQSAPSIACPHPERYQAWMGVQIGRLLIC WGNSVWICLRRRRRDMTHSPTDEARREEMHINDSLETNSSHASSDTTGRISNLNYDLS SNCRDMNHRGIDNTAEDVTDQSSGSHILRNGRPINRLTFALDRMAPFNSKALGLLAFT LFILGNILLFSPMPSKPLTCYHSAPMLWWGVMVVTGVGWFLLAQVLLVVVLVGLGSAL MVAILSKIGIDTASAASVRSEPKPGPLSLAELHTLLYVCYVPSTPQVLIRVESLPHPA LSLNEEQNTCGICQDCFKLPESGKEQFAEWLRQLRCGHVYHAKCIDEWLTKGSATCPF CNRPVRENLAPNDVESRRSTLVNTVEDMRSSVLSSWMRKHSR
I206_04822	MVSLKLFRRRQVKSSVLDIPLDKYDRYTGLPKVIRIGEDNLTPF VSLQETRDHLTFLNSLSTLRAAIPGDLPDAFPTLCLESAKAYAYWAQTILPNRGKEGA VLQEELPSLQVLMAWHAHLLNPTIYAKELEGVYAALANLDFPLAAIATAIRQETLPTF QPVTPDKEKSLMKTVWSSEDIGKAIERQAKFIGNMERIGWLRDQYWEKGLTELQFSIV LYHAWLDLMQSTQSKYFLVPRLDIDLAWHTHQLHHTRYKADTIRILGKLLNHNDAAGD EKIGDGLEVTKKLWKDRFGWEYQ
I206_04824	MSVTSTSGGPAYQIPDRMTYSSEASQTTGDANSSNTDQPLPPSV ANQEEQRRRYLEEQSSRSCGPIPEWDRRPESCTCVAGAAFALI
I206_04825	MDYLDEPLHLQILTFLAANYAREAENSENEDTISVLLSGLPSDS LSGKHANDLIPHDEDDWTEYEINHAISYAHYISSLPVSSSHLSESLPKLNALLFGLLQ QATPLADDDSSPSSSYRNLEAGGLGSTNQALLCEGLLRALLWVAWAKHDLRNDVGQIL EDFVDKIQGFMRSHHTGLTFPLVLLHCFYSVVFHCPLPPFPNSTIASIIKSLLNISLP SNLIKLVHQSSSAASTPHIRPPTTTPFTAPHPTTPSGVVMIVTEIMNVLLATTLLPYP DASHHQKLFAHQSGGNHHPHSQTFGHRGNDPIFKEQINRLVDDVISSENVHRLSNNSE DHAILDEASTVALRWWTDLVGGSSFVEDPYARRGSLFSIGGQQDEEVELMVSVLYLLN NLSLHHAISDSSHLAKLRLLLSEDSLVVDPRVLEAVFVCTTIQVRNDRSLGNAMTHHI RRLLLSPIPAFEGEMGGSSSSVPPAVIAASKCLALCIEMSANDDLTSSTLYSLLNTLS HSTTAPGAISIRSTPVHYHFKVESDASTVKTGGTGIRATEEQRRLVAVTAVEVVSRLA MEIGTEDIIHLSISMLLQRLRGVDLATESTILTNLVPLALAAPNGDLIEVYRAFSQIS RSSHPEDPRNSSNAVLAAQTTLAKGLANRLDCADGYLVELLTLFADKGTQTQMVAMAS HGYDSRNKDEVAQLRKEGESRVGDMKAWLAALLIPIAALLSHPSYHPDRGAGPELVAH FRNLWFLCVVFGLSGPSGQKRLSDHETNALRTIAEKTPALILESSNDFVASDLAYNSI LRKDFAASIQQQQRNALASYLPHQKHAYDIRNMTTPQTTLLMAISDLEEARALRYRPS VLLQYFCNESINESSLVGCLDAIAHKISSSFLKQVYSQVVTHSLPPAVADETCKILVA CTHRMRKVREIALSYARQLLETFSALLCDKKVVFTLLEILTLMRRSCELQYTDEYSPV YDFKSDKMDLTLHLTDDYAVRNEITSQLHSVTKHWLTLAISRAPIEVQSTLQIYLNES RDVLLIDSVEMGAGLALHFSKSISRLDRQETIMPNIGGWPSDSSNLVASQFAAKNYFT GELSGARLVLTQGLSNLQEGSPANSSPAELLAFKSQMAQAISNIKTKNKPFTVPEIRR ILLRAVSVLVASPQMDRDILYYLVELPMAAFTPLAIAAGVDAWTWLLRQRPEAEVAII GAITAGWLHTIRQKKGLFSTSMNYRDPFEQPVEYAPSDKKVLDLELAKARKLLRPHLL LIQVLSSQFQAIKYREKGIMVSLIRLMMRSLRAHKHMSTHPLAREVRFSLLLFGFQML ASSRMEALLELRFRDQLFGAAFSWFAFRPQWSFGSDRIQVGAEIKLLQDLLHVVQEDK IRGDHSTSSLNDRTPAFLIRGSASMHDYEGQHRDRVKLLQLLVENEISRLTVWCNPLN EAGRPNSPAVGTLERSIAADEWTRLVSKAWKLDPAMAVHMGERFKNAPVQAEISRLVK SDPKSVIHVAEALHFLLGDKLENNARAALKWLPVWAAVPPVTAVVYFQLRYGNHPLIL QYAMRVLEQHPVELTFFFVPQVVQALRADALGYVERFIFETSKISQLFCHQIIWNMKA NTYRDDDASQPDPMKPLLDRMIDMIVAGLSGKAKGFYDLEFTFFDAVTSISGKLKPYI KKSKPEKKAKIDEEMALIDLSVGVYLPSNPDGVVIDLDRKSGRPLQSHAKAPFMATFR VQKERIDLPSDSNIEIADEARMVKTKYDVWQSAIFKVGDDCRQDVLALQIIAMFKNVF TSLGLTLYLFPYRVTATAPGCGVIDVVPNATSRDEMGRAKINDLFSYFVDKYGGVDTV SFQKARLNFIQSMAAYSVACYILQIKDRHNGNIMIDGDGHIVHIDFGFLFDIGPGGIK FEPSSFKLNHEMVALMGGRDSQGYKMFTELTVKAFLAIRPHADQLVDCVHLMLGTALP SFKGEGTIMRLKNRFQLQLGERQAAEYMVGVIENARENVRSNVYDGFQKIQK
I206_04826	MQSTQPVASSSSTPFSSLQSTSTNPGSGKPDRLYVGNLSPTIDE FTLIQIFSKYGKITKLDFMFHKTGVLKGKPRGFAFIQFSDKDDALKAMIKLHDRLLRG RKLVVTYASSAPPENLPLPIKGRRPTEAAKTTTLSLLKSSRKPQSASAQIAAMEAKLA HMKRIKPADEDYVPGQTSRNATPGLDSIEGSPMPEDVEMGKEEAELAAQALEAEMAEL VNEEGTSRPIAAVDELAGSERDSEEVQSENENETRDRIPLPPKPFTEVDPFIPPKPLS IQERLKDRDGAFKKGLASLPKKPAF
I206_04827	MSKSLPLVRFPPNALIHRLGSPNTSISTALLRFPEQGWTINKDN KEGWAIVGDSEGRKLAIDTLLSRHRIHPLTPPPGPFPYLSNLPSSIKGELSTYKPIRH LAFSRPTPTGEFTDFTARYGALLEEDKLTFLENLLKTLNYSIEEKEIKKVMKLMGIEN LLNLPNISLSSGQIRKSRIALSLLTKPLLLILEDPMSGLDFKSRKEVSKILGNLNNNS NNNNNNNNNEPRIILVIRDKNLNNLPDWITNVVEIKSGKVWIGSKNEFLSSYSTLENG NENTKIEEVNFRKGEEEGKEVVKLDKVSVSYGEGSRPVLNEISWTIREGEKWHLVGAN GSGKTTLLSLILGHHPRSYSIPSESLTLFNKARREISTVELKSMIGHTSPEIFIAFPR NMGLSAYQTIGSGFKGIFTRSQLNENQKERILNLLNQVKDLLQPTTNLKVNKEEEEGM KLKEIALKEFSHFTHSQQNLLLFLRSIIGKPNLLILDEPSQGVDEIIWERCKLILKNE WKNNPKMSTIVVSHYQDEVPWREGQGRVLKLDKGRATME
I206_04828	MSIGPTISTNKGKGKAKFTPQGESGNLSSTMTTTELEYQVRSSL GERPDDLELMGIPSVISREAGEKDPLLLRDKLISDATLTEIRQRKKGGKLADFYEDQN SRINDLLKPLTTLSQEAKQDASDNALKVKLAVNISFACNIVLAIVQLYAAISSGSLAL FASCVDAVDPFANLILWAAHRASDRAEEKKWPVRGSRFETSISFTFATVYGSIMGGVN VILIVLSIQEFVTHKGDDLQKFHLPSIIAVAVAFVVKFSLFLYCMAIRHSSSQVQVLW EDHRNDLLTNGFGILTASGGAKLAWWIDPMGATIIAICIITVWSRTVYEQFTFLAGIA APTEFINLVTYKALTFSEHITSVDTVRVYHSGPQYFVEIDIVLPPNMLLYKAHDIAQE LQDQIEKLKQVDRCFVHVDHEVDHKPEHRKNV
I206_04829	MSEEKPAEQPWGRVLVCGGMDWATNGRKERPPASGNDLLSPVIL RSLSNVKIVKLITGPSANYAVVLDIHGAAYIFGKPPSASLSDSPNGIISQHAPVKISP SSVGLPKGAKFVSGAAARGHLLLVDDQGDVWGCGNNVVGQIGLPVTMIIDSFTKVNGP WTKDPESKVIQVSAGHTFSLFLTSTGLVYASGSSECGQLGNGKTGERLIKAGKISYDV ESPPRLIQGFEKRKIVQIASGNQHSLALDEEGYVYAWGYAGYSRLGLQDQKDRLTPTI VPHYAGNNIATRAAEVLCGPTSSVVVNKQGLLAIAGKFKLTGDGSTGQPYTYFKYIQE ISNCKVLKASCGGCTHLLTSPEGDGVMTVGFGQGCVKELGLGPDLGKSATKPVKIEPL NGIDVIDVAGGAFFSLFLAKPNSALSEIDRYPEHIESPSICLGCNESKDDDPMECEKC DSPYHPECLTPPLDEPPEGEWFCPACTIQANAGPDEPFEPLHIDGPKPKKKAPAPTSI TNTTDLTTSTRLVTPKPESTRSNTPRGTPVSSKRKGSESLQGEPSFFLMGRAIIT
I206_04830	MTDKPRSTLTQSSKILTEIESLNLDSTSPGEYTKAKLEDLSKID TNFVHSSEIENLTASLLDSPIQVEVSIPSSTSSSYSTHIIDFNTKFKLLKENKRTSYI KQLEISAIYQKEKFGLDNLHISTSPYEEEISYNSISPTFNYQQGTEAKLDPKNCLQSA IEPTLYSPIAGATLFESKEDIQQDINKMIGSSDMSKNDTIRPTSSSSPIGPPRVPTTA SVAIPNSTRIRNPPPPSPLSKVSSSSPPTSNPTSIPPPSALAQSSSLGTSISPQNTSL KGLNIPLHSPCFIHSHLDKHGSLQDWLKSKSSAGSGHHLDQSTSLGNTSSSSSVGGQS SSTYKNNQNHHNHNHTQTHHVGNTIKPIRQPSYPTSKSTPPQGTHIPTKSSTHTPNHS LPTSPNGSKYTSPIMKDTGYDSDASSINGNGNSSFSKLSGSAILDGDLIDENEEAGSL TRQLAETAQGVREMSKELGRTKVRSRIQHVLIVTKARDNRLIKLTRELALYLMQKKPA TSPNEHSRPGHEGRDRGMVVYVDAQLRTSRRFDAQGIQRDYPDLFKPLSRRRSSSSAS VSTLGSLSAYPSTSNMSEFQKKSKDEGQLRYWTSEMCSSSPHLFDFVITLGGDGTVLF TSWLFQRIVPPVLPFALGSLGFLTNFDYAQYKQTMDKVVDEGIRVNLRMRFTCTVYRA IAPEEAALAASAKGGKKRKAIKKPGGEILMSHVDKSGWENLEAPPSQGSCSEVAGKDK EIMCFSTRPVEQFEVLNDLVVDRGPSPFVSLLELFGDEHHLTTVQADGLTVSTPTGST AYSLSAGGSLVHPQIPALLITPICPHTLSFRPMLLPDSMELRICVPYNSRSTAWASFD GRGRVELKQGDHIKVTASKYPFPTVCADKASTDWFGSISRTLRWNEREKQKSFVVVEE DSEPSTDNKHHHRSNSTRKKHEEHNQVVHEENAPVHVEGKNRDDENLDEEEEDEDEEE EEEEEDDEEFDIDDKSGGENTQPSSQPSSPPIAPVNPPPHQANKIHSMIDHTHNHRNG LETPNRFMTPYEAPPPLSQRHLVEALSKAEIREKDNESGQRDNIREDGSAFRYNGKHG EHLIPPGRSTLTSPTEERENGQDESEAGDGETGDQFKDQKTPRPIMNSHHSHSHSQRE DKVRIQSPSGHRHHQHSHHHHQHHQQRNEGGKGKAKAFAFFGQVC
I206_04831	MPPKTQKRNRENEMDSDEQTDHESNVSSSSKPKNKNKKNIKSDY DDNDNELKLKLNFEKKSKSFNEKILKEFEKHNKEYLKNKKTNNNNKNKKIKKILNFIY NGIFNNWLIKILISIIPFLLCILNIIFGELLGFSGPFLNFWVIKFKGIKYGAWGECKD SKSLCEIQLFYQGPEIGQWSKRALPAILLSFALVSTLQFFSLLYTFLFIRHKFISECF SDLELGSGKKRNILNNKKRSIKWFERIMDLTSIIYLMLSLILAGWVSESNSNGLSGYD LSKWVSNTNTRKTTKNGLKSDTHSEYERDSGSDEEYDYDREEEEEYDEDGYPIGPARR LRRAREDED
I206_04832	MLLALKGLRDTLRNPTVLTPDDLSFQLSSTLEALHIHPNSVTPS SISKENLKGISRYFPTIQGILLNEIIPSFYEVLDDKAQLNLRTFFVPPKSSDGLALRR QIAIVSYTTLPTFLNAPKPGQAGLLKSTRRFLIDILGELVNTYNIDDLYFAIHSQHIE NDEKGKGKDMEGRNALQWEEALRSCIGIPAKIGNSVGRWNSENQFITDLPKILEPKIY FDKMISRLEDLMYELSQRALSIDPTPIRLVFEKLCSIGLVNAAPSSSDSRSPSLFPAL LPPLLKHIRPPSESSLLPYPAEYLPSVLLGLPSSILASFVESLLSHLTFHPIDPTNPL RSNKPDQRIQRCLEVFTRIVGKPKQGEEAWNAVIRSVLSGKDELTLNDNKSQARNRLI VAWIATGGENAVKSFIETVIDAWTDPKYVKFALYAKQLNLTHVLLLSFSYVIPFTPWL VSLSHRSRLIISFQAYLSHPDASIRRLGMLVAEILTQKSQKKSSGDMKRLKFSGIWEG KGQGREECQWLRSSMGIRDNAAPLEDESVDEDWLLGWIETSSTHSSAPAPTATSSLSA VSRGRPNTREKPKSLKGKTKVQPKIVMLDPDQLDDPMQGYESSSPSSSRSPSPTPSYL EEVTADPSLALDATQKKKIIRPVYIPQLVALLKERDKPENIEMGLKWGESLIRAKREF GTELSENAVAVTLMTLGLNDPFNLEGFEEKRQGIMNALVACSPQQVAPFLCEQYFNTQ YSLQQKSVILTALAMGARELAGLSIPEPTTKKIDFPSKTLPPTLHKKYLTLVDIPQSR RQAIEDTPTNGQLENTISNMRNGLLSKGARKGDDVPDLARERRLKVRNKSQKAYVAEL GSLKDSQMLSNLPTKQKIKPVMEFKDIAAENFILPMINRFWLYYNDYNLQQSRSNSLL GSKAGYGGTGGGMILTPLGLEKFLITLSILLNASRHSSIFLSVLAPETLELALTLGIR FSSTNKINSIGGSDIQQEEENGESLVISSSLELILVILDISFELDKGRSLFLDKSELV LGIGEFATTIFQNEISGNEISGGQGGLTSEGRIKAIAAATVLKVGEIGEKWGGLSMI
I206_04833	MSSLLEESYQVPSQNNSSLKDIPPIETFQLRQNINGVETELLIQ TFDDRILVIITQNGKVGYLTQASLPPQIPLPPPPKSNSQNENGNSSSLEILKVLPIPP SSLQLIPLIGTTTNSTLYDLYINQISTLIFYIIEMSSLSRRNVVVGLSLKKRQLSNND NYNQDEEDESEILNDEERERFAGIMELVSQWTGPQ
I206_04834	MLVDGSGQIKMTKDGKVLLSEMQIQNPTAAMIARTAVAQDEQVG DGTTSVVLLVGELLKQADRYIQEGVHPRVIGEGFDLAKKEALKFLDSFRQRPTLDRST LISVAHTSLSTKLHSKLAQKLAADVVDAVLAIQPPVNEDGKRDPIDLHMIEIMKMQHK TDTDTTLIKGLVLDHGARHPDMPKRVENAFVLSLNVSLEYEKTEVNSGFFYSSADQRE KLVESERRFIDLRLKKVVEFKNQVCDTAVGETTEKPKNFVIINQKGIDPMSLDILAKN GIFALRRAKRRNMERLQFACGGIAQNSVDDLTPDILGWAGLVYEHTLGEEKYTFVEDV KEPKSVTMLIKGPNAHTMTQIQDALRDGFRSVKNAVEDNSLIPGAGAFEVACSAHLVK ELKSTKGRAKLGVLAFAEALLVIPKTLASNGGFDVQDSIVSLQQEQEETEDPVGLDLK SGEPINPVLEGIWDNYRVKRQMLHGAATIGVNLLNVDEVLRAGRSSLKPEGPGP
I206_04835	MSLNSVVNNLVRAAAGISNNISDNDLDAHVAKLLAEEAKARELK WSELGLTGLLGTSLNRNESTDNLPKTNKRFLASVIRNVDGHNSALLKSQAQSAYEIRS ERGESSSRKQVGNRLFGGALRDVGRNTNNVKGKERERERIQDADSNSRRREDDRVKPR SERYEDDVDYSRNDVDPDHHRSRDRGRSSDQDRFRDRSRDRTRDRSRDRDDPVPGRNS RRHDSERSDNISRNYDKRSRSPSRSLKRSESPKPTKESAKLPSPSPTASRSSAPPLAP PLSKMDKYFSTTYDPRLDFASIPKQGLIEDVGWDNMLAILKEKGKKRRHQSPSLLDDI SAPPKGVLPSKRQLDEYEIERKLKKKEKKERKLRQGWDSEDEERERKRKSKKKKEKEE DEKYLNLKKEGGLNKSTSGLLDGYNYVKKGGTREWDAGK
I206_04836	MLYLTADKFPVFVPFGVIGFYRYLWYIIRLLARAAYRPIPLPEN PTYIAAEDVTIIVPTIDAGEEFREAAFSWLEGSPKEIIIVTEEKMVGPLQELANSVDP SRIRVLTVPFANKRLQMAHGIRNTTTDIIVFADDDAIWPPTLLPYVLACFEDQQIGGV GTSQRVKPCGDKMTIWEVLAAFRLTIRNIEISSSTHIDGGIPCLSGRTAAYRTVILKD PDFLYGFTNDLWLGKYHLNSGDDKFLTRWMVSHGWNTYVQVCKEAELLSTMKPNWRFL KQVLRWTRNTWRSDFRSIFTERYVWTKHPYVAYTMIDKFINPLTLLVGPCLVIYLIVK STRDVENGGYHLPAWNIVISYLVWLMVTRTAKLLPHLWYRPSHIIYVPAWILFGYYFA IMKLYALFTLHETGWGTRAGIGDPATATTAAQQEKKGSPTFSGDRGIADHGIYDAESN GMGRKS
I206_04837	MLSPTLRTTLNIMSTIRTQMNLAAANRLSTVHRHLSTTSQVNKI EKLTVFGAGLMGAGIAQVGAQSGLKVILSDVTDKALENGLNIISKSLSRVAKKKSPDD IEGFTSNILKNIETTTDAAKAVQDSDLVIEAIIESIKVKRDLFGFLDEKAKAECIFAS NTSSLSVKEIAESCSNDRQARFAGLHFFNPVPAMKLVEIIKTPQTSTETFEALKEVTL RMNKSPVSCNDTPGFIVNRLLVPYLLEAIRMVERGDATPEDIDTAMELGAGYPMGPFK LLDFVGLDTTSYIAQGWREKAQSGEISKELVEPIGLLDKLVKEGKLGRKSGQGFYEYD NKK
I206_04838	MSTSPLPSSSIYETCSTLSQPINASDPTLQHLLNLPIALQNVSP ALAALHLARIRLSLLIPTSNKFNGKNLEEWCNSCGGLRLSLGGSREREKEREKGENNN NIIEKELNNKMEFNKARTPIKKIKQRRNPICSLCGINYKKPIPNKEILKDYPPARITR RMKLNQNQNQNQNQKQNQQIEKNGNKSNQMIEVELGQSLQNSIGLQLDLGNSFQQDLK SNIEVTLNTNTEMVINENSISQTYQNEFKQKPLSLVQRPSLTHIPKSSPNLPSYPQPP ARTTSSNIISNNIDVQGKKSNLNSSSGNLKKKKKSGLAKLLAENKEREINKGNGGMWA LG
I206_04839	MSQRKWFNLEAQTDDAIFGGNQSAYSGLGLTRTQRIGGFAACYV GGLGVSLLGAILLFLGATGAFATLFGLE
I206_04840	MNADLKRVRDNTIDDTPSPSAKRRVLSGHASPTHQNENDEDGIE DWMKVVEVKRKEAIFRQMLEYRRASERESKRANEVEAQRRVLEASFHAVELCWNQVVA AIRDLGGKKEVELKEAEILEPYFDPATTRPELENAIKTRLPSTKQLVGRFFEIANKGS HRSSSTEELQKRCLQLEAESSTLKANSKLLQTQISGLSESKDVLQRDLIKVQKSLDRQ QMEHDKAINEWKEESSGQRTSTPGAAGPSKTNGGSGHATPNGKMEEDVKPLNGAASIA PAGTTASGALQDTAELEQLAESRLQQLQNLRTEQAVLQQEVDRLRVLAHNPSETVLRE SPFFQVYLNQLSNHINRANTFQGRFESSEKKLDNLRDSNQEFRDLVIAEAKAETDSLR AQIAKRDSDLARLRGQRDEMTSELSERKAKEIEKIRYAEQYENLSKTRQERINFLNSE VRRLKGFLAASHNSEGYLNFLKSDNGIEGDYVKVLEDQVSQAQDQINALSAQLVDSSA KEGAQLRADADSAKRALAKYHKILGPEAQASEDVSQLAKQLEEKEKERSILEMKLGEA EAATNALYTEVEGLSKLWENLDQTVQSKVFELKDGELKISRLATEKAKADNKFFSAMR AKEAVEAEGKLAQRTVEKQLKLLERAQEVEQSLRTQIAANEKGLTSLKNTALEFQTQL ASTTSEKTQLELRLQQSQAALADAQQIMYQRVAEATAEKELRAKLQDEVETSAKTIKK LKERQEAISAAEKDKDMSAGEWQMKQERDKLLKLLRCSCCEQNFKQQVIVKCMHTFCK SCLEARIASRQRKCPACGLAFAKEDIQTLYWQ
I206_04841	MLARLSSIDLPPSANGFENAAIHKMPSSSTMGREDEDEDVVFDS PQEEISHYREKYRQAMDMLTETRAELEEFTQSSKELEDEMEAELANNEKVQMELKEKI KRLEVEKEEWKSKQIALQKMHSSTTGAMQREMDNLRSERDKTLVALRDLEMGNDELER NERVAVSSLLDLESKYNRAIEEKTLLEQEIIQKQELEEEFQRLKDEMRDANNEISILR DQISRMTLPTPPSSISEPISSSPTRPPSELPEEEEIDPASIPLPPPVPYKVSQTSRVP QSPSTRLPRSATSSSIPMASPIAKRFTSSIPQSPTMSSLSRSTTSRNLAAVAKTPGTP AGLSRSRTGLPQATASPGTVRVASHNQTKSRGFKLLHDLQARLKATDDKLGVAKVPRR NVSNPLNFGARSTSASSGTPTKDVKDEKQNAKVINPRITALNHSQTGNGTPMTSSTSS LMSPNGWVLIDGEEDDFSITPTTSTVNGFQQKQEPLSPLDATFGMKTQPTTRAVSSAS NASQRSLPSRPGIPSPLTNVNSNGLNRSTTSTATQVSRKPSSRNTTVPFPSTKNPGGT RLGSKTPLSPTTTTSTNSSRPMSPSLIPTSSNRPMSPSIISNLSSRPMSPSSIPQLNR IPLRAPSPSIHSNSNSRAQSRVGLNAIGKGPPPSFHNNNNHNRNTNPALSSSQSGNGN GNGLRRSTRRSSVGLHELPTGIPVPRDGNRTPIRPITIHGDTPPPVPRIPSGLRKK
I206_04842	MSSLRLRTIAGQEERRKPEDEEGLSEESERQLAKFSDMSNAINQ MAPILSNLKAQCRLLGSRQKSLETDIELRMSESVNLEEQILLLTMERDELKADTKGIW LSSAKPLSATSSGSSSSIFEGDVQGKLNRRIWLLEEQVKTMKSDKFTMKKEHDDDIER ERAISSGLRDRISELEIKRKKLKDDKDGLQMQHDVLKFQVEDFDMERRQFQQIKDRDL ADLNDSLRVERREKEQLREEVQKLKMERDQAIAEANTMRPNANGAGKVQSPPRILQPH DLNVSLSQQSTQPTTPTKPKQLRSFTPLVQSPSSRVHQPITSTTIARQYAILQISHDH LSQTHKALKEEYDKIRKLYAEDIEHMKKYQASQIERKQKKDEKRARKKAASVREGTAA QSTTGSSGHTLQEQEDDSCRTDIVLIEESESLPRVTIGDKVESAEQMAIGDEEEYLAY AQQEESHKSERQRDENINTSWREQIQAKRHSQQPTSLLAPVQRHVQQQDELESSLERS SKALSIQRPLSRAHPSISISQSISPVHKQSRCRRSSMSTPGLPLTAFKRIVQPAHVTP WLGADTSTPSTADKQNRTSRNRKQEKYDSDDDFASPPEVMDQTPTIGRVPLVRDRLGQ TPALGGTSIRKKTIQQRFEELTSEAHRSRAESIPGPSGIATHISEEPAITPHRFRTDV NEDALTTTRKRKIVDIETEGLTPSEKAMKLKRIAKMPVSAKRELYAGFKGKGRYVRPD DVDQSIRDEYEINPSENDGNKFAFHDVRRKRSERKNMHGGDCECCKSYYDSVGEIPRF NQAPKWRDERIEKDQENDAQGIQDHQNLVSRHRETWVRAPTPPGFWKIGFPSTQDVEE QNKQADHMNKAKEDRIKKEAL
I206_04843	MNEPTLSRLIVDREPEWLRVKNNVSLALMGTMETRLATMPGGKD GDASKLMRKELEGRISKIRDKMFEMSKYNIQVNGQKYEDYLEATEGFDETLDRTIWGL QTERVQWETKMADKRKTLPESIFSVEEDLQMRRTHSEWYPDEEDEKEEEQSLQKQIPP PERHEEVKDTFKTVIDNLAEVAKSAPIQLQRAQRAQTVREEISSLPP
I206_04844	MTSSTPVKPIKMEPEQIYLQISYSTSQPPSEMNKNGLEARYLGP VGELTGEGIYQVKSIKGIPTKRNDDTWKSNQDEFVNQLKKKEGVTGVNVIGQLKTRHK RDEF
I206_04845	MAKPSAKALGKRKAPPPSSKGGNKRSKPSKPNNENEKETGPTGK PKRKPDQPKKIKLRDQKSIPIPKTNYASGSDIDEDDLGEDEDLDVGSARFLVGVDENA LSRTVKETKRLHDLSKSREPLPKVKRQKLKIPLPEAASDSDDYDFDSDAEFDSDLASD VEGSDLEMGSDEDEEDSVYDSGASLDLTEEEDEDQDDVIAEFDNISEYDEELEEDGID RKRRKGKKEEEEDYELNARSKWTSQSSKKDNDNGDQVEVGRLPIKLPTGEIQMVEGST KIAVPQNKKQIKKPIESDTEEELESEEADDDSDDGAQAETMAGQKGKFGRMGIAEIVG RKGWKNAERLDAAKEQMANLGAEILAGGELIDIGPVLTRLSTFALPSVPSLEDGEGKL PVPASIRGLAFLSQLAVYKDLVPGYRIRELTEIEEAEKVRDEVKRLREGEKLLVKSYK GYLKMLEQEIKRRSPLASLSLRCMSELLISITHFNFSENIMGVLVGKLGRKGWDGDSD IVLESFIKVFKEDLTGVHSQTLVRLIARMIKERHFQVNPNVLTCLLHLRLRNELDQMK RGKNAKGGSGDKKQDDIKGKKFKSEIRKKWATKNQRKKEKEMKEVEKEMKEAAAEVDQ EERAQVQTETLKNLFVLYFSILKSPTRTPLLSSALEGISAYAHFINIDFFRDLLAVLR RIISEQAHEDEIENDDEEEGNNTNITQIQSEEKIRIRLLSILTAFEILSGQGEALNID LSEFINDLFNLLRPISLNPNIEDPPLRLKNFKNSNINNLSISDLLFKCLELSFFSKTS GKSPNYRYGSFFKRLIECSLFFPTITAKKSLIFSRKLLSKEPNLECLLNTEDKLNDGI YKPDLNDPQLINPFTTNIFECVLLGDKYYERGTREEMKKLRDNKAV
I206_04846	MAHPIDPVNLKPIPPLQDSYSYHSKLPDSVDGQIKDSDEGWIMG IDEAGRGRPMVYAAAYCPMSFKDTLEGMGFDDSKALSADTRQSLWQSFDDNIELCYSS TSLSPQAISAGMLRRIPINLNRQAEDATVGLIQSAVDRGINIKECFVDALGPAPQWQA RLTAIFPQIKFTVCPKADSLFKIVGAASIVAKVTRDRYVHEWVDPEDVLPNGSIPNSK KRKAEDLEIDDEDELIKQPINRGSGYPSDPKTQAFLKESIDPIFGYKGIVRFSWATIK VLLDKNGVNCKWIDDDSQPSASSYFNPDNDNAKPKIWKDLGVSGVGEL
I206_04847	MPHKYFSKIIIGFISYLLIPVSAQRSSVIPTVGAIEYEYGHGPP NCTDYCNLNPEEQYKCDHPELPTTSTDTTTLIPGNYGTVNPATVQPLEHTSYSTTQTS AISTVSTSSGPQKADPTVYPGQNSVYDSKTATQHTSSCDPTCSYTDTRSFCTSTSSAT TRTVGLYPTQPATTVKSDSVQSTANTWGKVLEDGFTYTSTSSKGAGFNADDSTSTVTS SDPSSSSTAEPTQ
I206_04848	MVPDNILQGVRRLEINIPPVKERSIAATWAALIFLLGSLSNLED LVLAQSPICNHDSSNNDTAHLKSPRPITLPRLLSFANDDKCEHCRAVTASKPTSTQTA WTLEFDMWNSFDGGFALFADTPELETEYQLVRTMAKTLERLFAPENA
I206_04849	MSPVPTHLLDLSDDIIDRIAYFVHRDYDVPLPSFNPHWANFVTE LDRGLATDYLAFRASCKRVRDICSLKGLHLFLRSWQRVLEWMADAPDSVVKGIRRLSI DIEWKFWPPELKKLDENAEIDMSGHGIYPLIPTWSTITSLLSRLTSLEELYLSHSPLC QHRSKFTMTSQLKCPPLDFLPQLKSLAFEIRCSRCAQELPGLFIPACTKIQHIKMFST IMYGDDWQTMFKVWEERHPDRKLGLKTLALLLDNETVVKEELQDLAIWGSELRELHMI KCDSDGLEPGFFLGGQRIILNDGKHGKQWIFKLFGNWITGLSDDEDEGDDWEDNKDWT EFLEKLSKYPHLETFDCVINPHIDQGWPPNVIPHDEQKASEYKAYQKRMRNSLAKYKN TQPRLASAMAAAAGMMVEKAPSLKNGYFWQYPYDYNSESAHIWRRWSWYKDDKGDIQI EPVVELFASEWMVNREGEGDPDYQNQDPDDD
I206_04850	MPRNLLDLSDEIIQRIAYFVHYDHEVPIPSFNPHWANFASEISP KVQHDYLKLRLVSRRLRDICTLKNLYMKMDSWQKLLKWSIKGPEQVKKAITRLVLDIS RRTQHPLSNGSRYQNDMVPIWITTIDFLRDLTSLRELVVVGNPLCDHRNDERTTLDDI TNSVQAPLPYLVSLSIETKCEHCVDVVPRLFIRLAPALKHLKFAPPPEFDRMAKSVVK RVLSDTVNQELKTLSIRNFNHTHKNTILAEIVRLCPHLQELHMATYSSSVVKASYLLH CLQETATSDWTFKMTDNNYDGYRMIEFDNLPEGSDQLLNEFLVTLSQLKNLRVLDCLI ECEIHSIDWDLVIVPSKTERKTDYQKYRSSHKAIYDPVLHKATNKGSYGHFESPLRSA AKLIAQAVPSLEKGYFWVHEDTEEVFGLSSWMRWEWTCKRTIDQVTIALESDFERFSE SWMSNKDGQSKDL
I206_04851	MSTSLLDLSNEILQLIGRYVNQDLAIPLPSYGPHWIPRQNLIKP STSRDISAYRATCRRIRDSCRLEGVHVVVMPSNGLTWDSEISEDMKKAVSRVSFAEGS YPNRFSKITPWLSFIDSMSQLPRLEEMEILGGRPCASHALGMGIYNPQSGDTIESLKR NLNKLQISKSVNGLTNLSSLNIATEQICQSCSIFLIQNLIPLLPNLKYLKILLDIPHN REIWDPVKIFKEPFNKWKEIYEKDYIPLEKIYIQYPYSGKREVWKNWGKVIKICFKLF PKLKEFHITTFNKIRQELNFGTYLICSKMIVNNKSLSSTQPLKGGHLKRWKFEVMNME DGDWRDSWTFEKMINYLQPPPTIRLFDPVIVIQPGESRPYQLPQNSDQSTNSYDSSRY NGLLMKYENLLIEQITAAAEEMIELVPSLEEGAFWERGIENSYDDWYRWTWKKVIING VITVETSKKPFILSKDRFKVTSLSRDTLR
I206_04852	MTAIHGNLSHAMRDNYNEHGVDEYYKKVAATYRNPFFPGIKKVI WTFMNRWWEEEGKDLYGNEVTVSSGLRALDMAAGSGEATLCLLEWATAGQRSLCSKSA SSVSTNSSLDDPLAALRAVPSISTGTSSRPAFIPPNARRNTPSGAGGVSNRKGKSVFG SMDPPQLPKNFGIDIIATDPYTSPAYTERTTRPCHELSFTDLANGLLPPNLSSISDEG QPIWDMIICSFALHLVTSPSELFALLYELSGKAKWLVIVAPHKKPEIKETWGWLRYDI ASWSPAGEGKLYAGGKGNEDDDEETELEIIRDKVKLRLYRSNAF
I206_04853	MTTTPPLPKLIITPSTPLTKSNFEITNSLPSSRKLQYTSNQQIS SKSEKPRLRSSSISSYHSFSNFSDEKEEEEEDEKSKLNLISSLSLPSNSYLNLPNSNS NLQRQIEKKQKFKSTLISIFFIIFTFLLIIFTTICTSSTSSSVDRILKIQIGLNEKFT NLLSLRGNYELDLDIPIREDHNSEPTEIQTSGNGLFDFLFWQNDDSFTHSIISAGPAI SLDGAAIWDFDSLSL
I206_04854	MSTRSPPTSTSSPKPANIPLPADEAEAGPSRISSILTRQSSVST ISSRKGKERALDPEPATDSEDDRSSSDEDDTSSGEELDDELNGGAQKDHNNDVNGDTR DEDHSSDEDSEEGDSQGDDDEEGEEADDDEDDDDDDEPALKYSRLKGRIPEILGKDTA STIAVSPRVIALGTHNGMVHVLTYEGAKVNTFRPHAASVTCLKMDEDNDFVATASVEG RVVIHSLTSTESYAFDYKRPMRAIALEPGFAKKNTRAFVCGGLAGNLIMQEKGWLGYK EQIIHSGEGPIWAIEWRGNLIAWANDLGVKIYDTTTSQRIGYIDRGAHAPRAEIFKCT LQWKDDHTLIVGWADHIKIVRVRNRAKSQTTSGLPPLTVEMTAIYQVDCMISGLAQYN SSYVVLAYIAPDTYENEATDNPEEQRRKAANRPELRLIDKGEEVNADALSLTNYHMYR CNDYSLVRSQRENEDVFFVISPSDVIVVRPRDELDHIDWLIERERYSEALESAEELRK RHGNALDVRSIGLKYMNHLLAQGQFDQTATLAPKVLGQDSELWEKWIYIFVQHHQLPV IIPYIPTKDPQLGKPVYEMVFGHLLVNDRKELLKTITSWPTDIYDLSTVMGAVQGELQ ASKDDPILLECLAELHLINRQPAKALPYFLRLRRPHVFDLIREHNLFTAVQDQALLLV QFDQEREVPDNKEEVKGDSSKHGAAIELLVDHTHSIPIDRVVQQLEEKPKYLYMYLDA LLDKDPQFCIPYSDRMVELYAQYDLDRLMPFLRASNYYDLEKAYEICKERDLVTELVF LLGRMGNNKKALMLIIERLGDVQRAIDFAKEQSDEDLWEDLLTYSETRPSFIRALLEH VGAEINPIRLISRIRDGLEIPGLKEGVVSVLTGMNLQVSLLEGCQHILNGDCSNLAVE LQAAQVGSTRCSPTSECALCHTTLFIPSTKYPSPALVLLYLCQHLVHAECALPEDVEL PLRQENLNISYLLDDKKKIGGKNWKSRAIGGKLGYAAAVRVRVGRCPVCEKGGRARGV KVG
I206_04855	MSDITELSNPLTSVRFKSPGTEPGPCHFHTLTDEFIIEVGKCLL PNQTKSIPSCYPHFENYQHPFDLKLDYNDYLSFREACYKTSYLLKPIQKDLEIEIKSK ASFQKWLHAPQDVLSGIIRLRLNVSLDPEDDLPQVSTELWDDFIILLQKLPNLLELYL TETPFCCHGSDGQKWLFEIPEETHILIETFAIEVKCRCCAEHLIKLTNLINIKHLKCT SDDSVNHENLIEPYDFLLSVPFLEDLETLYLKWWELDMFGDWSITTIRDVFPELKKLF FSTHSTEFPYTLCQRVKLEGVYEPNDDEDDDGWGFWVTQEEGTYLGLGSTLEEFAHEW SICSKMQEVDYGFLLDISQYTTDDTEYTPSRKRGGPPPEEEPLSPEQSDNKQKIHPDE YTRLYKEAIVAAAKAMEGSWLSLKKIHFWQDVTERQDCPTRDYIRWTANIASEGKISV TVDRPQRMDHDLTWSNDGTMPANVEGICDGRSP
I206_04856	MTATPPSKINLPPRPTATDLLADSALSTDTPSPTVQRVHNLNLD SPNGSPSHNGRAGGTLPRAASRSHRRSVRNSFASYGRGGRRMSRGTPGLEIGSPLPDE EDLQPKLPTLVPGIRPAYSTPLPVLPMIVMCIAMLSELLSANLCTPFLLKMVEGENSE TEAAVGLWTGNLVSVFFITQFFTSLLWSSIADRHGRRAVMVASLLGSAIALTIFGTSE SLPEAICVRLIQGIFGGAVGVFRGSIRDLTDDTNASRAYAVLGFSWGFGGVIGPIIGG VFESPADNFPGSFLSKINLFKGFPYVLPTLIASVILVAGAILACFLSWDGGVRGGSRI ALNVEKDEPLAGASPSADRTASPAPSSRTAIRVPSISYKRNAALSPGETEAASYGAGY PALSATPHGRRDSRASLGTAYGYGGIRSKHPTLAARAALEAARRASAAIPRLEDDEEE PEEDTRAHGALGLAQKLLLANEENTFNINDLWVSAAVAQDTAVFDDEDETEGELTEEE NAIEDETPQPSPGLSPGSAMDDPRGSLGFRKPSGRTTRIVSGASSIYKPFGGHRLSVS HGGRRFSTSSGHMPAIFSNTGVRTPPAVTAAYEGESPATESQDPFFPQPTTRPGQPAG GLAVISEGAATTSALATTPGGTQVVSEKQPSSFSLLPFLMIMQYGLVALHGTIHDQVF LSFLVTPYRSGGLGLNPAHFSFLVAIMCCCQLVYQFYLYPRLGPPLGKFNHLQMFRIG SALYLPAYFALPILHKVASPDSEGGFMLMTGLTLITAIRYCASTFAYTSVMVLINAMS PPHVVGLANGLAQSTVSASRFFGPIIGGAVWSASINGNPTGYAYGFYFCTIACFVQLC LSFLIR
I206_04857	MTSESSITRTSLLDLDDNLLLLIVSFILGDSDIPLPSFRPHISE PIDLGNPGVKTHYLSLKSTCRRLYHLVPYRLLHVPLCSWPDLKWWDEECPENVLRGIR RVMIDIEDFESESESEILSCQTAKLASSTKINIIIYNYVVSFLNKLTNLEELFIGSLP FTVNFDHMEDDDWRKPSCYPLFPALKSIAFEPRLSDYLPKVFKSFIAAASHLRHLKVP GIFTVCNDSIVWHTRPRGLRQDDFAELPYLETLHLRVHQRDRIRVLSNITKGYPKVSS LNITPCKWMGFSAEPSIRLGARQTDAYSDEWIFKEIRERDINLGGTMSISAADMEDWR ADDDWTAFLDPLSQFRYLEDFDCIIELVVAYVSDYQRETDWYSFGRYHTNNKWKEKNP RLRTGCKDSKIQLFSAMRAAARIISEKCSSLRRGYFWQWSHEDTEEMSERTVERWCWT KEESGEIKIVPWSEEIPYEMTLKCGRDLLRTWKGD
I206_04858	MPTRLLDLSDEILAHIAHFVNNDTSIPFPAFTPHWANFPVAVES SNRDQLRFRATCRRIRSLYRLQGLHLVVKSWGILEQWCHQVPDSVINGMRRIVIDIKP FNETESTSDQVDGEMLSMWSIFITFLSQFTSLEELIILNTPFCQHDIENLQITSRTLD LPTFDYLPTLKSLGFQIKCRFCANYIPRLFIPAAPKLLHLKTICPTKIGAMITNIIKT WSSIHPDKTHPLRSLYYRLNEDADLNEELSEINETFPLLEELYFSAHGSCNHGFHDSS TAKSEAPMIIAKSDSLVVPDKWAFEWHGVLDFELILFPRFPGRCTYTPCDFLDFVEKL GVYRNLRKMDCSINFVLHSEHGMPSIFMPATERGRLEYDRFVRAQRSKTIAYQGVNID KLRRTGMTEAARIIMDEIPTLEECIFWTEVEISGPSFEVWSKYTIIRKYDDEGKSIVE VDPYVERFPVLWTSNEDGQCDTLDGLPESDTDDSESEGDAESDMSDSESEGDP
I206_04859	MPTLLDLEDDTIRLVAHYTNKDVYIPLPSYGPYWQNFKSDINGK VSRDLLAFRATCKRIHGLAKLEGLHLDVQSFPKMMKWSTDAPREVEKAVRRLRICMPG SGGCKVITVFSTLTNFLHRFDNLEELVITDSGGCHHSYGFGGESAPREPKLPLYPFLA NLRSLAVQVSCPICAQEIPEVLLPAAPKIQHLKSGVISRLPPLTSPIQVPMVFPTPTH PFDAINRIKTEWCKRNRRDELSLKTLHLIYLVPRGLQIEDQLGATTKRTLEILPHLEE FSITRFNDNYETMQSGIKLKGKNVHGHCSFSCEGPFMTGPEAISFEETLGDISFPTRL QTFDPVISISIPLTRPIIGSVASIETTRSAFFGNKQCSNDQQIKETENYEATLKNAMV AAAQILIDNIPSLTSGTFWEKGTERSKKDWNLWSWQKVMINGVSRPVIANKPDIMTKA FVSSHSFNMSRENMVGRTNLGMAMMALDYDVEGLEGEQEGEDNSDGEEDVNVELVDGA FWQIDYSVAP
I206_04860	MHHPLTRPSSFLFALSFLFSPSSSVSAQHRNLPRNPRQHPHLDE RLMPITATTTVVVQRTATHTVWVQPTEQVVVTAPGVGGGSGSDGESTSSSVSSSASVT SESPSIQSASSTSASASIGSESIEATSSSHVHSSAITPSASSAASSIGSGSASSSMYD GSALPSESYISDFPSASFISSLASSTIGASSSHSSHTSIYPSDIPISSLSPSITASAS VSALESKITDSEFSSSSHSGSQSASTSLSSSSSIREESSSSSSSISDSSSSVSATSHS HSASRTSSSTSSTETASITDVETASATDSESASQTASETGSLRLNETEIVTATSTQSL TASSTIWPNMTSTLTSDFASSTSSDLTLSESLTSSFDPSSTISVSDTLNISATASVTS TSTSVSASASSSSGLDPIGSTIMAAYYPDWASSHLSPEQVDWSRFDVVDFAFALPTSD NNLEFTQDDSEDLLKRLVTAGHSNGKRVKLSIGGWTGSAYFSTICGNSNSRSTFVSNI LAAYNAYNLDGIDIDWEYPGTTGADGNAISSDDSANFLLFLQELRAALPSGAIITTAT QVWPFADSNGNPMSDVSEFAKVIDWILIMNYDIWGSSSDPGPNAPLSDACGNSTQPLA NAYAAVSSWTGAGMPANQITLGVPAYGYLQQSSATSLKQKKRSAFPQPPHKNSARNEG RASKVTVYNDNGGSSDGQIMFEELISQGALKLVDGEYVGTGGFTRYWDSCSSTPWLKS TYSDQIVTYDDTVSMNLKGQFAAQVGLRGCNVFSIDGDWTGSSWPLTDAVRSGLGL
I206_04861	MGKQLIVFDFDWSFVDQDTDRWVFEVLSTELRRLLQSRKSAGSG MQCTPDVVNDTMTDLYEKGFKKEDILEALRILPFHPAMKRAVTALQSRSKDTTFLCLS NSNEVYIGTILEKHNLSNLFADIITNPAHWNPDAPDHLIIGRRLPSTEPPHGCSVGCL ANMCKGDELDSYLSSHGGKDSFDKIVYVGDGGNDFCPLLRMRKGDLGLVRKGLELDTR VKEEGEKSGLKIDVKYWEQAWQIDE
I206_04862	MPRLVPSSSSIPKTYPVPGYNHFLTPLRKLIFDYDAESPSQHGI RSFIRKPLINLARENPDVEFVVRRLKRGKAAVLRGHYVNGRDKVICVNKLEMDGVTNK VKLLLNSSGAKIKPLKNLTLEAAPASESARGVWSALHDQMKDGQGYRI
I206_04863	MFSKLETSNHHEDDITLRHTIKPPTTAQQSGKFQSEATPGGVGP ANYADTLLNTNNSKGPVTTAGELAALESLHSTWSYRDGESRLKSVNDQEVYKINFEAD YFTRQDVRDELISLARSVQAEETKLNAVQGLAGFNDPKTPSYDNLQQEYKEVSESLEK SKDKWNDPMKRLFSRSMTDLTGKTIELINKQRECQKSNYGSSTKIKIPGSSWKDTFDE ALWSHFDKTVISTIAKFDPTSSCIKDQHELTKVVNSLLYGKITTEGDKDSITCIWRSE STEPQGIIGLTKKPIVQLREDSRIISETGWTWKDGHILFFKEFN
I206_04864	MLQFTLITLLPFLLPISAAPARRNCAVKSSSSSSSSEKSNNSEA IAAFAQHVNNTVLIPTGTGAVIPSIAITSLSVTNKNIAAFDKGRWNGWGGPKSSNVPT FLSSLSQPLVNTQKTSLPAYTQAPATSPSSVTQPPASSPSTIIPSASLTSKFETSQTI SSAAPVTTASGSGTAGSGSGEKVGLGLDDTAYSKLTNIQNLGWYWNWGTEPFQGMQSE FVACVWGKEKAESFTGVTDDIKYIMSFNEPDQGANVGGCDIKDTALAATLHQQWTAKV SGDVKIGSPAVARGGVESWFTPWVTACAGNCKYDFIPIHFYGTEIEDLFKYIKEFPRQ GKPIWVTEFDCQDFTTGEICDNEKQKDFMEKAINWFKNEGSSYVERWSWFGSLPKFSE LTYGLENVDGTLNSLGEHYLSL
I206_04865	MTSINSSPPSSSPLQAQSSPILSNYDSPKPNQEIDESKPKSKVK SKKKRKSKLPEVELDDQIGDLLGEEELEVEVEEENQNEAGPSISNKGGKKVKTEEIDE LADETKVEGDGNQGTFKCEWGDCEEVNGTHNGLIEHVKEDHINALKDAFICEWINCPR TGQKQASRHSLSTHMRMHTGERPYACTYAGCPKSFTRSDALQKHIRSQHIERPPKPVP PPPAISLNQTTPGISKATKNKSKARHAPIASTPLTRTPLNALPISDEDLLLDEDIAEV LPRIRKREILNPTFEEIEALNYLRSIFPRQILDSKNPIPDSLDEPPSELGIPEEAQLI QSVPDPDIPGGELDLLGRSEWQARYIMIKARLMLVEEENSMRRMELLQLLQTA
I206_04866	MQAISDTLQSATELVVGPTIVAGPEEWLKADETEQREEDEDAKI LRVGELVHDMQSHNFDAHQHKFRGTHVKSLGFVKGSIAINSDLPSYLQHGLFAEVGKK YDCIGRYANEPSFILPDNTSAPRGFSLKIFDVNGERLSPAPNGSTNQGTQDFLFNNAP IVELTNLNTTIEIFELRTKYFDNPTQLNLELAKRSDRLKQFAPFMLPNKYVIGSTFYS QSAFKFGPYACKFSLLPISQFQEEFKGKTIPKDSNKTFHRDHIREYYSKNPTEYKLRA QFSSDLNKQPIEDASIEWKESYSPWYDLAIIKFDSQETFSDERRIWWEDHIGLSPWDG LLDHRPLGSINRLRHKAYEMSRAYREKGNQTKVYLPLKVEDIPN
I206_04867	MILPDRTSFTPLSTISLPSSHILHPGSCNPSMDLVVLLSHPFNP SSNSWKGKGKEIGKTQISLWRTGGSKVWEVNVTGQVVGLAWSEDGLILSLFTWSNERT IDHLSVHTGEVIRSIPFPQNLNVGHIDDLRAGKWIDMKWVQAQNDWDRVKNGSSINII DSLPTVTPVDPPKPPNLLPFMQKSNKPEPRPTFHSLLKSFPSLLPSNIPLPPNILSIS SYPFLTGTLPLPPSQGKENAQEILELSKISDRMSGYLDIILRGLENAEIAFRESEKQT MICREDLETCAQQQAMTIPDVHSDLFRFLMTGRSGVAVNEWLGSKLTGRTLTKWDQTL DSSYKSIQNLIIESISPALERLVLLLEEMKGWSRTPRYQARIQLREDDVVKATDLVLG FAKLMEKMRRDAEHEMKAASEFMKWLKYDDIPTPTHDLKLVWSFMINGFVHSSFHDHF PYLSVRPPKDFLPDEFTRYTRKPNRNLDEVLKETQTELGIIKKEIMYTPSTKANESLN STNGSESTMSMDMSMSVVEDEDNDEDVDRDLTPTGILSEDEEDLLSSPDTVERKNLYA VQEEEEIERKIEKEPWVWINTLVRDLEKLIKDAIGLIDDGYNLPLNDGMRDKRIVQGG LWEVLVSKNDKDEQQIWLMYTSDSASSSPSATAKISTFALFDSEQSLTCLSIQFFDDD EIVLLLESENGRYLVTLRYVELLDLEQMVEMNAILPIPISRSRYLGVTPQFDTSLDHT KVAIALNGRTGRRLGCVAFEDGRSLQVWDLDVDEDEEDEDEQEEQEDGEGEES
I206_04868	MPPKSSNLHHITFHFNKSAILLSLPSNTTINLIKSQLLKALQPL STTLSFKPNLISDIQLWEYKEIIEGQEIQEKEIKNLEDDESNNNIGNKSISTLGWERW KVLFISFKNPDDSTFSKPVYTIPDVEDEEPDETEI
I206_04869	MMKASTSSFSLASTPPSESEIWGSNIPSNSSSTSNSPQLGKRTL VKRKNDSSSNTPQFASTNSITNLTKDQNDIDNEMLETILPINKHPLSGPTPPTIHTDI LGDISRPNLKRLTSETERQVAESSKSGSEYGGGSVRGSFDLLRMTPGGADDESREVEV LIHTVKPNESLAGIALLYGIDLATLRKSNKLWSSDPVHIRTHLYVPLEACRWNKAKET LIRGPGEGQVTLMPKKEKGKGKEVDLTHQNGLIGLGLNEDHHKGSFNSMENLITPSRE INLGASMSPSSSLTDLANTFLPSTNQSYNHDHTSPPTSTEPLGQIIENTPRILDVVRI PSSELRFFPKPNKPPDRKSLDQSRGSIEIYRSNGNEGGSRSNRSRSNTANSPLKMSNG VDSFFERKDSIEISRTTNSRSEESMPHNQGPTIINNLSTLPPSISKQTDSINSNITEN TKSKSTVVKLRPPSSNLPLSHNRQVSSGIQSKLMDFFTIPPPPPSQQPFPTLSTGSET VGRGKKERSSSSSTQNGLHRKPISTSNTSLSTIKTEQESMELKTRYNDLGLDLGIGGL GLGTNLKLGDLRKGGNLNRKDSLNKGDKQD
I206_04870	MVLPKTIYPPSPLDPLLLLPIPENLPISPQSDLDPLLDVIQSHI KHSPFDGKEKIENEEIPITVLTSSIRQITRKSQILLNKSRNGVAESRNKLDLVDEDLR SLEYELTRVRDEIKKCSEYAPAYEEMELPSVEEYLAQAEESALNALPSKDSEEYDHEL TIARLEYELKEIEKREIELNQITKERDNLIKIKKEIKMKFDAVDVHLGGFSRSANAVA SKLKDVAELPITQISIESPLPTSESITMTST
I206_04871	MSSSTKSLKTRLENEKRYRQNRPTNSRADKSSFARMQKHLAQAA EDHLLGDLQPEEIGSLTMGTAEILIASLGNRDKTGSLVPVKNWEVKDIEEYSDTLHNE ISSAYLAREFYDYYKNWLLPASSGKSEEKDLDKLVKNHYDFAYYRSLSRTMNVIPSVV NSMTDQIRHQINSAIRQTKDQEQGKKWLEAYVKLQSTKKELLDKYAGTVDHDSQFFFE QAKLYMHSFEQSGKENISNTRKHLIDKGEILIMNTTFDDIQDYIPEENRYSYPERATS TFNKQGGTEASIDTRDAKKGTEKSTQKDSVEGLIEIEPKARAEQIKRIRDSINKMKFD PNLTDEVSEPETLVKEVVEIACDLGTTLDDFRKEYRSNRDLGNLTEEDLIKHIANSRE KIVRKENGQLTHSFDEYYDIAQEVQTAAQSMFNDTKVDIRRFNQKHKGDPIIEQLTSA YRKMVDFHSDRVNLEMLLLKDQSQEESESDEKKLLNRSSQALSSIQEESLVFQGEPFD RATRWTDFKNTVVGNSANTDATSQPDDGNENMSKSGKFSWSDWPEDDYDVEKILDHLQ NDPRWNAQYWDA
I206_04872	MPVPDTQNDKRLPVTLLSGFLGSGKTTLLSYILKSKDHGLRCAV IVNDMGPLNIDASLIKSHKLTQKEEKIVQMQNGCICCTLRADLLEEVANLAEMRSFDY LIIESSGISEPIQVAETFTPEFAESIEGTSIKEIEESLNLIDGSIPEIRKKLAKLISE GGLSKITKLDTCVSMVDCTTFLNDFDTIDFLTDRYGQDVNPEDERNITDLLTDQIEFA NVILLNKIDLVNKDQLVKIENLVKTLNPTAKIIRTTYSRVDLKEILNTNLFDFVTAAT GAGWLQSLRENTLMEITDAKGNKKMVPKPETLEYGISSFVYTARRPFHPRRLWEVLSS PFCIIQNAEEEEEDEEGEEDDGQEDEENVEMEEAKSQVQRLEEMKAEKEALDLPSKLK FKKASPVWKGVLRSKGWIWMATRPNLHGEWSQAGVMCTIEGGGPWMCEVPEEQWPGGG DQETIDAIKLDFMGEWGDRRQELVFIGQELDRNLIQKTMDETLLTDDEWAQWQKIMKS KRLGAEKKAEKLFNTFDDGWEAWSETLGEVEEDDTKGHNHAGHSHSEVPKKKAKIASE 
I206_04873	MSSSSTPTSATPEELWRNSTDSNTPWVVQKYGGTSVGKSLESIT KIVESYISNKTNVAIVCSARSSHTKALGTTNLLLQASREALTPPSSSNGGTTSGMNTP FFPKRVGSGFFGKEMSSSISSLSQLDLNNNTTIRSSSPSPFFSSSSTTTTINSNRSKS PPKSPSTPSTFAAQELQDQEEGFHTTVDLIKKGHLEAARNTLKAGSLRDELEDEIERD CESLRGFLYAAQIIDEISPRSQDSIVGTGERLACKIVAAALRDRGVDSELVVLDNIVD SSFAAVGGDTALTAAGDQGVAQLGQEFYDHLAVKLGERLRECGQRVPVVTGYFGPVPG SLLAQIGRGYTDLCAALCAVGLKASELQVWKEVDGIFTADPRKVKTARLVNVITPDEA AELTYYGSEVIHPFTMEQVIRARIPIRIKNVENPKGNGTIIYPDEQFPRSLQSTKPSS SSIGELTIEERMPTAVTIKDNIIVLNIHSNRKTLSHGFLARIFGTLDRAGVVVDLIST SEVHVSMAMQNFTHRHRLTRLLSDLEKIGDVSVSKEMAILSLVGRNMRNAIGSAGSMF TALAKANVNIEMISQGASEINISCVIEEKDAIKALNVIHDSCLTYPPSPDKYNQGLQL KA
I206_04874	MAVWEIYCSLRICPLEGDDLCIHSSNHRNIDKMSQKDLDAAVLA YLVKRGFTKSVKALEKESEVKPGDAGKLETVWAAAQPKTEDVNMSSSSSSSSSDSDSS SSSSDSESEDEGKKEVKVAAAIPLPKSESGSSSSSDSSDSDSDSDSESGSESDSSSSS SSSSSSSSSSSSSSSSSSSSSTSSSSGKPEPASALSSVTLKGDTPSTSSSEGESEKSV KKFLDVEASSESSSSSDSESGSESDSESSDSDSSSSSSSSSDSDSESEDEKEEPKPII GQKRKASSPTPSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSESGSSSEDDSPAVTVV TTKKRKLEDGTEAITSTSTTTTPAHHHHHHPDRGTPGSGAGTPTQGSGKKQRVQGQRF ERIKMDNVTYHHSGLMDNSFAAREAAGASMNDYGAKASRDLLVTRGKGFTKEKNKKKR GSYVGGEITLATHSIKFDD
I206_04875	MPFGFTHGPLVQLANSAICSFFREIEVYGMENVPERGPIIFACS HANMALDPAILSNTIPHGHFLHYWVKDSLFKNPAVGALLRNAGNIAVDRKTKNNQKLF KGTFEALALDESIGVFPEGTSHTEPHMIALKDGTSWAALEYVKYLKGTEENGGPKKGR KALIIPVGIAYVNKRKYRSRVVVHYGQPIPMEQFESQFLSEQEGESKLAVKRLTWTIG LHLKQMTVNAPDWDTAFAAQMARELLWVHEDDLALKDFVQVSQTLVDLFSTQDESVQS LKRLLATYHRLLTSSRLSNFALSNISLSSTLDPSLPVSLPNRFSTLFYFIKDTLTCLI HLPFFIIPMLIHIPVYVVGYLGASLVEEELETQAQMKVVFGLLLSLITYPVLFFLLWG VLRGLALGVVLAAVSVWGLGRYHSQLIDENYNAMKRLVASWRLLIGVWTPKPEFPLPK FLDSYKSFAPDPPKVAGLSPTTKPEKYTKPKKLPSRVLVKHVLRIRLQAMKELNKTLL NIELKDQSVNASFWLVEEFVGEIEKPSKEEENLNEWERELNRGIRNGKEVIGFLRGKG ARLGVRKNEEGHWAASSGGETEPE
I206_04876	MSPIPFRKPKEIECWGHRGASAHLPENTLASFRAAIKEGCNGIE SDVHATSDGVVLMFHDPTLDRTTNGKGLIKNQPWNGVIENVRTIKEPIQPIPLFEELI ALLMEPENQHVTLNIDCKMQNDPERLFPQMARIISKYPDNARTLCPRIILGLWHPLFI QPAYKYLSNCTRYHIGFSIPIIKSYFWKYCQGFSICFPILMSNEGQNFLKECRENGKE VTVWTVNDENEMRTAISWGIKAVLTDRVGKFVELKQAIIENPSEMNITGLSKLTFPWS SWRYYSVAHVTIRSLGAMYLKAHGQLYDPFIDSNLPSSSSTENDNILSFSSSYSPPLA ASSPTLIPTSPETDDSSSKSTTGNSTIRRLSLSISPEFLIRENPA
I206_04877	MRTVCYQPGELEQPDLTEFSMVENADQIEEELPSSAEVASTSFN EGKIDNGLNDLKLENSEMSGLMGKVTA
I206_04878	MIRTLQKTIPIHSIRLTRSYSSPSIASSSSSSSNIKKGLSPLAN EVAKEISEKWKGTSAIGGNTKNYIGGEFKESKAEKWLDVNDPSTQTLLTRVPETTASE FNDAIDAASQAFKTWGKTSVMKRQRVMFELQHLIRQHSPDIAKSIVLEQGKTFADALG DVGRGLQVVESATAITNTLLGDKLEVSADMDTFSRRLPLGVTAAITPFNFPAMIVLWS AALATVTGNTLIVKPSERDPGATMIIAELCERAGIPPGVINVVHGGAPTVNRICDDPA IKAISFVGGDKAGEHIYNRATPLGKRVQANLGAKNHCVIMPDANKNLSLNAVAGAAFG AAGQRCMALSVAIFVGASRQWIPDLIERAKALKVSGGFEENTDLGPVISPEAKARVEH YIGTVEEEGGRILLDGRGLTIPEYPNGNFVGPTVVEATVDMSAYKNEIFGPVLTIVEA ETLDDAIEIINQNKYGNGASIFTNSGSTARKFELEAEPGQIGVNVAVPVPLPMFNWSG NKGSFKGDIPFYGKSGIDFYTYRKTTTSLWPAADAVGNRVSEVLFDYDT
I206_04879	MKFSILITILPLLALSSAAPAPAVQSSSSSSVAKSGSSTSSASR SSSSSISKSTSSIKPTSSTSIKLSVSSSSSKAASSTSKISSSSSIPKSPSSSISKPIS SVTSAIKTSTSSSKVSTTTSKASSTSTKASASTTKASSTSSKSSMVTSTSKAITSSIS KSISASTSKISSTTSKTISTTTSSSAQTTSSSAQTTSSSAGLGLSWQSYQKLANTKGL KWYYNWSFWPMSMGDIEYVPMIWGESSINDWDGNVPTGSTYILGFNEPDQPSSAGGSN MNVTQGSLLHQKWTNKLVNKNIKIGSPAVARGGSWWFNGWLQACNGQCKFDFVPIHFY GTNANDLITYIKTFPSQGKPIWLTEVACLDFSSGQKCTLQENKDFMKISINWFESIEG KKFIERWSWFGAFPDVTDPWGLENSNGTLNDLGNYYLTL
I206_04880	MDKWAPIAPSKPGGPSNQHNLSSRFASVLKPSKPSARGSFASGP LVGRWPENVILGIIDFLPIPDLPNVARANRTLARLVKDETGWEVRCKWLGLAADTLAI TSPKKEKSRQLSINDDDFGDFSSQGHGTSGNNDVFEDVDFGDFEGAKPNGGSLNGKKE NNLLDFDDLPLPSNTNSSKGQQKTGFFALSPNPTPTKSKFSSFGSSTLSNIPPGMWYK TYRKYHLSMIPYCEILRSSPSPSSTLSLLFPPQKPSLLPDQSNLLFSLILFLSPRLQP LRDWGFLRQALLAASDRFDSTCLVAFEVFDGKKDEDGMKVAAESSWKVWEAGGGSRDQ WECGRVWVEKREVFYDTARWDSAENIIPTGATTRQLDFTPMDAFISHVLEAFRIDAEF AKRVFPTNARVILSFCDRVASDVIGEYIHPLLSQARAVSQDLFLQATAATFVQAWKLV DLVMEVVGDEKATISREKVEDTVFRMFEQHLDEYLDDETERVKHHLEDICKAWDQQLG AKDPGSRSLGTGPTFLTSANPDQVKRNVLAGFKDALLLPVTIVPRTVTFATNAIVTGS TQAVSGLAMLNPQKWTGSNGKIVKKESSEGGDVVFELPDTAEVVEKVNDGNNDLDEKS GYNLEVPSQKVEKDDSRSVTPSGKDKEDTFDKLQLLVSLDTALELIQADRDSLKRAET FAKYPGRAGLHVREAIEEIFILMLKAAGDRHIAPGFRIATNQMSTYKPSEHETTTSVA PLLQFFELVHIGDTIQSMIQVYFDKELSPYVDKTDFLTAVMREKKRFESVLDDAVAAG LNAGIEVLMNQVEHIIITKTGPREYYPVDGAPMDLGPTKGCQEAIKCLEMHCNLLRGS TSKEVLEVFYQEVGIRLQAILQRHLKRQIISLEGGFQIIADLNTYHAFVASLKQQRIT EDFANLKMLGHVYIVSDAKDLAQIVRDVTRYGGTFTPEDIYEFIQRRSDWKKIEKTVD KAMYALSVREDCVIM
I206_04881	MAAASFQDCIILFGDSLTERQDVPQAFFERMSQAYARKMDVLNR GFGGFSSTNALPLFDKIFAKKGENAPVVRLVTLFFGTNDSVNFPNPRATHPKHFKENY EYFLNNLTSPKSPYKISESNLHILLITPPPPFDPQIPQPAKWIRNTKRSFEFVKIVRE LSKEWKLKENQNNFTIEILDLWKVLENKAGGLGDGLEPFFHDGCHLTTKGYEVLWEEY KNIIKGPWKDCGLDWENEDDLPKRVPLFKDLDAMRGESVVELMALPKCRQ
I206_04882	MAKGPIHLPVHSLEHSPERGRARKSWPLAVIGLLATAAVLTSFP TSVTQLTSNATSSFFEGDLSVKKGLCEQAEPFLPSGYNVTKIWEEKDVIIKRLQEAIR IPTQMYDEMGPVDEDPRWKIFAEFHDFLERTFPNVYEHAKVTKTDWALVYEIDGTDSS LKPLMLTAHQDVVPVLPDTVGQWTHDPFSGEYDGTSIHGRGSSDTKSSLIAVMSALEH LFGTTDFKPRRPIILAFGSDEERGGQVGAPAIAKFLLEKYGKNSMSLLIDEGNGLVET WGQQFATPAVAEKGHVDIGITVSTLGGHSSVPPTHTAIGLISLLIAQLEAHPHQPTIS EKSPIYEFMTCAATFAESMPAKLKKVVIKAGKGDKKAWKQLPLEIINTGMEGSSHGPG QGDPLRSLLTTTQAVDIINGGLKVNALPESVKAIINHRINVLSNHLELQERIETLLLP IVKTYNLTLNGFDGTTVFSGSPSSKVDLNLAFGYFTDPAPHSPVTLDDPAWNVLAGTS RGVWASRKEVSKDGSVVELEKGKDLVMAPFMSTGNTDTRRYLDLTPNIYRYRYTPMEG SAGAHTINEYSNANDLIEFTRFYQAIILNMDQSKDVA
I206_04883	MQINDNANNKQNSAVVPEETPITTSEQTPVIIQTEEVSNGPSSH EEQEAAALHGDQDISGQFASLSLFAPYIRIHPYSPLTGEAPRSRPSRSREQVGDNIED QPESPRGHSRPHHHHHGHRHPPRGHGSFDDPRLFMRLPPFPRRDQGRFPFLPPPGFER HGHPGLQEDMRFGPHDFEEEFDPAFFGPPPPHGRGMRGRGGLQGGHGHHHKGRKEFPH HGHKYKRHHHHKRQRPENNDGKEENDHNQSDINEKDTFAQDEVISLSSESQSDSTSAS YSETLPEAEPHHRRPHHHMRGMFGGRGRGGMRRGPRGGYPFEHDLNTVFEFGLGPDHV REFGPRGMPHFGRHVPPTHLFGRHHDSDFMGSRERRGMFGHHDRPAPPPFFGEGPHDP FMSLRGHHHRSDHQPRRGPPPFDMPYGTRGGFDGGRGRGRGGFSHGFGFGHPIPTFA
I206_04884	MIKTLLPSARPLLRTRIAPRASVSLLSKSTPYLSQIRSYNHEPY PTPKHDLKSVPSKGDHAESRITPWRKGKVWIDAQHAIADVKSGSTILSAGFGLCGTAE TIIAAIHERKDLQDLMVVSNNAGNAGTAGLSPLVTSGQIQRMILSYLGTNKGLMANYI NGKISLELSPQGTIAERLRAAGSGMPGIYTRSGVGTFIETGGIPRRFSAPDASGKQDV VLEGVKKEVKVVDGKKYIFEPAIHGDVAILRAWKVDRAGNCVFRYTTKAFGPLVAKAA KLTIVEAENIVEIGEIGAMDIDLPGIYVDRIVPATVDKQIEIKTTREQSENVSPSSGD EGHRSENTTPAVAAAKEARERIAKRASKELYDGAYVNLGVGIPVLAANYLPEGQKVWI QSENGILGMGPYPLEDEVDADIINAGKETVTLVPGAAVFDSSESFGMIRGGHVDVSIL GAMEVSATGDLANYMIPGKLIKGMGGAMDLVSNPDSTKIVVVTTHVDKHGKPKILEKC SLPLTGVSVVSRIITDLAVFDVDRTNENGGGMTLIEIAEGHTLEEVKEKTGATFKVAK TLGRF
I206_04885	MATIHRPGFFNRIWSSYTTALRERPLRTKMIQSGVLYISADLVA QFGIEGKSISRTLDGDEGDEVYDPIRTARLSIYGSTVFAPLAHYWLGSVERIVLSSKV KSLLAKLTLDICIWSPFVTFMFPTCLGLLEGKSVNEVRRKVAHGWFPTWQKAVCVFGP TQIINFSIIPTQHRLLTVQSVGLCWNIFLSWQNNRNNKLLSLAQLNLEEAQLNALSIQ FDQSSSSGLELTEEEIKSRKGVEDAQKALLKVEQRKELIKKQGGEAGVGVKMAWS
I206_04886	MALEDIIITIVVVVSPILFFTVLFGLTYLYRRHRSPFRLGKFRE DSFLPGNNMASGGAIWDPRSREKRFPHCHICFEDHSYNPALQTATLGDTPYKLNLSEK LSVDNQIKIPVRRESSLAQPHFQDKMFSIRRISDNPLQTDQFTRVNSFCSSLGRANSS SELLPFEDRSTSSGLSAIDNSPPNRHLSVILDGTGNDLQKVLSVRRVSAGGEEDELQI NKVNRQHILSETHNNSGCKDEKSHYENIKPLKLINTSNAVPPKPSSVSCPHPFSKEAL QRSSYISSTNIFPIPHIQIPERSKSKIRPSTLKIPSTSSNSLSNLSSNTTLIDKNDHL IKSNTNSNQTLKSITIKTPESKYSIDTCSSFGHDPINSKNQSFFNFNKNWNGFKQIQI KNENNQEKLPKIEIPISPTTSTPNLKLNRKNTISATFRKLNQNNNNSDLKSFVPASQF DNQEDEKGKRRKETLSNASKIIYLADIVKKEENLQNRLTSSIYSEIDIMHPPEESLVR KTGSIVSDKPPELSPLRMEQGLGVHNSIAASLGERMVLGDVEVEHNDEPRAI
I206_04887	MSSSSSPAGPSSQVALQRGASLKYATKNKMEKFTFTLGKLDAGM AILLGPNAHLLEFPSLLLPTPPPGAPPLGPGSILTITVSRDVSAELAAQQSFHNLQSD ILSTFTTSPSKPILKLRNVTQTSVCVEWEKLEIGSANWRGLEMYRNGQRWGRVGGDFG QGKKEKREWKTGGLQSGEEYTFQLVLKTTAGTYPSNLIRVRTHTMDNLTGLLPHFGPI QPPQLLAQLRDSLRQIGARESPTVALDTTHFVCTTPIVGGDDSGRGGQIDPEYQEAAR MNLPVVGPGWLLAVAGERKLVPISNYLLPSIPQAQTTTSDPAPFRRPSPQKRSSLPFT SSSPTRPHDENPEELCRSPSPETIARMSMHASSSRPSVSRNGSMEGRRSREMSLDVDI GGRPRSPKPEADGKLDRGFKFPLSSSNSNSPAQSPTEGSYRRSSSPSRRATAPAITSD QEVPAPGPQVPSRATSGQNPSTAPSVQATVDPVTSGPVDDTIPEPSPADSAKDQSAVN STQPAEEATTKPSKPTEQSTPSVPPSTAITSVVEEPTEVISDSASGPPPVVEETGSSS KDDGPTKASTIDEAIAKFETAIAKTPEPATESTTAPDITQEEVVETPVIKASEEPQIE RVEDKAVPAKAVPVVLPSQDSQSLEPQGDDQDEGSVPVPSSEAPTEHSSTTSKNKKKK NKKKKASASGVTPVSSNPGTGANSPVPPVDEEEGEGMDEIDLN
I206_04888	MSDNNDSPHTTLNDCDPNWQYMGDTWGTNHTDFSSEDDPLVGQY YEATFESTTVHKAFARLCWTGTKVEVFGGKRKNHGLYTVSIDNGEIVWLNGYSEKPQI QALLYGTQDLEWGNHQIVLTNMPKTNMTNKDNLWFDIDYAEISGWPIDCKDLPEATVT PTETMIPASAIAHMTNTSPTASSGSNSTNSTTVNSTSGSVQLSGVSTASLTSAPSTSN GSVSQFTSTSPSSNISPTTTVILSSATISNATSTFGGSSASATNTTSNADRLRSGTEG QLALCLLLGVYLFKMII
I206_04889	MADNQQNDLDDGSDLFQYGGDSWGVDHADDPWTPQYYESTFHTT TVHLAWSRICWEGQDISIYGAKRDNHGMYAVSIDNQDPVFADGFSKQEQMQAVLYASN GLEWNKHQLTIWNTNKFNFTKNHIWLDVDFASFSGSAISCDELPGIAITPSDPSGSVS TSTYPGVPLTSSGANATSTFSRVDTSNIAAFSVTTASSISPSTTITLSSLSSVASSSS VSASATQPQNNGSSAGTSSAGARHVPGVEGQVALLTALGLYLFKLWL
I206_04890	MKVTPSINTVITFFPLLSTLILHTLAAHAPARRQHRNVVKEYQR AVASSAKLASAASSLNISTATAEGVSDTKVITGSINTTATASSTSFTAPSTLVSTTTS ISSAITTAPTSSANPTATYTPDESLQFAYPQRNFKINSRSYKSLTKALPKILKNANSI SIHSWELGCFTETLLEVYNPSLTPFEWENQLCASSKSDDIPWNVIKIAKNSLVAYNWT GSPSDLGSCDGELKDYLDNSTSPVKHIPKALINGDGALGDPVSLVPAIWVLAQFAKNR LVNLGSGMKEGEDYSWAVGNQLDYLFSGPTQSNIISQREDSWELWADMMYMIPPSLTY MGLSLSNEEYLHKGLEQWDLESKTLLNSTVNIYNHVTGWDMRLWATGNGWAVYGAIRN LYAAKAMNYRAAVVTQAEDTLTEVFTGLFNELDDQNLLPNYMKQDNATLAVGDTAGTA LTVAAYYRFIKLCPAKANPNLTKLAEKAFDAVVDKIDKDGWVTHAVDPMGTYGWVVYP DDLTIHSPEAQAFAAKMWKARTEAGI
I206_04891	MASLHEDRSSVKELEKHYEDVAAPHSGNDIDRHLAVQVPESLRG LSEEELARLDKAATRKVDILLMPTLVALYILNYLDRQNISSAKIAGINKDLNLSTTDY NTAVSVLFAGYVSLQVPSNMLASKIAYPGLYICVMCGVWGVISACTGAVHSFAGLAVC RTFLGFAEAAFFPGAVYLVSTFYTKRSMALRTAILYSGSQIGNAFGGLFALAILKLDG AHGLEGWRWLFIVEGILTVGLAVIFAIFIPNKPSTMRWLTPQERERLVYRLEVDRGSK DSTDEVSVGSAFKMAVTDPKTWLLCGCLQMNYIAASVTNFFPVVVNTLGFNRTITLAI TCPPYVLCCIAVIVNGWHSDKKNERALHIMCPFIFTVIGNIIAVATTSVPARYFAMCI LPSSFYSASIVILSWISSSVTGPAVKRAIVYAVINALCNTPNIWTSYLYFNAPKYTAA FGVDLAAAVGTICFAAATCLYLKRQNARLERGEDTGKHGPSAVQIEAGFRYQL
I206_04892	MSANQPQELGTSTIATDISTQQATYLPPSDLAAKYHKGIQQHLA VQPHASASKAGSTKIKTIENFYVRPRWLFVRVETEGGVVGWGEGTLEGHTEAVQGSLR DISRRLIGWDAMNIEEIYTYLYRHRFYRGGEVLMSAMSGVDIALWDIKGKVLGVPVWE LLGGKIRERCDVYGWVGGDRPSDVMEQAKVRKAQGFTRVKMNATESIGWLDSPHALDD TVQRLAQVKSIGIDAGLDFHGRVHKGMAKQLAAALEPHRPLFIEEPLLPGHVNELKDL YNKTTIPIALGERLFTRLDCRPYFEAGCIDIIQPDIAHAGGISETKKIAIMAEAYDIG VAPHCPLGPLAFAASLHIGFSTPNFVVCEMSWKMHYNTGGFDLFTYMTNPDVFKVEGG SVGLLTTPGLGIELNEELIRKEAAEAEKLEPWINPLFRGDDGMIREW
I206_04893	MSISSSVTIGTTICRARRSSKEKKKKNTQPAPVILDSKLSFISK DLPPLPDIQHPHLAAAATDHSSAEFHTLELLGDRLFEVAAVKSLWNRCSSVKTLDQGR QKLTTNQAFSEIAKAYSLHVKLPVDSASSPEPGTKKMGDALEAWMGAAYLDACRRGNE REVLMWGADILNVDRWTGMRDYVEGLEKAYGRPQRYPIYPEGGIWAPTEVKEPTDGNI QDSERETRFTSQQGCQDFRHIKQSLLCLLPSHGKPVIAPTPRTPPVTLSSLLRASGLR QEKLCPTPDEMGDEDASMSSSSRIINLGASSEFEPANPSHSRSSTIPNWTNASSRHDL ETQTITAINVLSAVKNLEDGINAIEHTIKHNTLSPLRSESSQQNKPSPTSSPSKSSKS TGRVPESLPVPKSRKIGPVPSSWGSIIVPSKWVRPSVPVNLSRLAPLPGAFRSELNDL HSLASNKYNRRSIDGMNYLKCGMRNEFRPLCKYEQTVDYLIAMYITTAVWSYLAKLYD LMPSKLNPHHPGQKPTATLILGVIGTLADSECPETKAWLNSLVSGRVWANASILAKKF EDSTEYLKAGGIKIQHEAPKSKDSIIKVHDDSLPGKTKPGVTSQNHEQVSIEKKSHPL PLPLRETWKTARLLFVPENLPPLYQIPTIVLDAGFVDATRKDTRENASRLYFRLYETT VERLGRYSTQPEALKAGANLLLSDRTMSHLALQYGLLQDSVGELSQGEYARCFRRYLA LLLTEESDEKASSEVFEWMGSLFRLEVWPRLDHVIKSAEGKQTINAVKVALTDAPATK ARENRPSSTALLSNSSESATSADSEEQGCSEKNTLTSAEIEKDTVRFDPSQLPPPLSE IHSKPQDLHVVLGPKCRKLIETDYISTLKFLKQYNKLHGIEGQVLSPAIGYLCSTATS AILAGVYGFDKHDGKPLSAAKSARMFRRYVTILLEKAKAGQHSDTIRNWLHKVYSPEV WVYIKNIVNEANRKRSCKSQPLQQISKVAQPGQVEVAHVKGPSP
I206_04894	MASSPQTSPSEFVERTDTCIINPDGVGDERRSSSYFSNTSFPNK PSILPKRDSLPPPLRPKSASSRSSLSLSPVLLQDNRVIHDYQRPANSSSNPNLRQDGE GANQSYEGYLQERDKSVNDELEQQEKNRTNTIAKLAGDIRPLSVHGPQDSDLDQIEMT TLANGQIPKKTKTPMNFIQDHMSVKTPKLPIPLTATKIPIPIPIPTHINLPTPIMPLI HLSLLVIHLIFSALVPFLLFKNMIQPLVLWIITTVTVVLQCVYLLPGIFLEAIGLLRR RPIVTAWLQSGLHIVIMILSLIPHSATVFLLIKSDQIPSCSSVLFYKPPEIPNFESHL RWSTCKELPKVTRIALVNVVIVCIEIIVASVAVSVDYKIQRIEEKRHSVDLLAEIAKV RKKRRRKTWWKERPDDTVYGKIRRRRWTVGTGKLLWDIEGYIQRKKAKQTEDKSV
I206_04895	MNLGGNGIPQTQPGTSGIGSANGGAGPSNSISIEEKKKKDDKEG SVPKRGYRACVHCRLRKARCDLGDVNAPSEPPCTRCRREQRDCVFLPSKRRRKGSSAA EGGGAADISVDITHPQAEVYPAHGPSSTASTSTVSQNNPSSVPSKVQSPYETKVNPND WNIASFPQIIQPMNPDERTTAIYHQPITHTSLTPQNHPDTTTPGSLGESSVTSGQSPG HLSKKRRTIEPDGTRKIVNASLSNEMDALEILANAATDENGETDLSISGAKNKNHGHG HGHHHSLDDAHKQVSWDISAETSPVRELGQFHLIKNGILDENTLQELVHIYFRDHHPS LPVFQTARIPRSRDQLLDLAHKDSFLLTCIVAVASRHPIDPKYRDIHDKTWPILREIM ADYSFAGLPGSVGFVEGVLLLAEHLPREKATSPKDASLDMLAGPGTEAAGEHGTDNRR SWSLTGLAIRAAYLLGLDQIALEINEDERTPDVERVRSVWTWCYLYDRLAFWSRGPSL CFVGYSHISQTGEAAARMNFPLQLSPGSESEHNDGDPSSSTSHDDSASLMQALVELTQ IMTNAHDILYPSKSRTAVLVRQGEYFLFLDHFRRALDSYRTIWKPKKWSNQTLRELSW MTYQFVRLYISSFGYSAHVKRAQWRAEAEAQAGRDGARQPVQLFPRGSATSPDAIYIY ESIGSANEIMAIALRLSQMGSLRFLPSRYLINISYAAVFALKSSYSGAVTGKDVTRIR ELVDHVCTALVLACPEKDHPANKYGQMLRMLSKKLEQLSDASAVPSRFPSPEPTSSTP LPTNSHSYSEPTPLPWTLPAESIEPQPLPFQFPAFEFNFVPSNNANNQNADSTTPAYQ SSNSASNMPPIPSGDYVANLGLGLGAASANVNRNPIDEMLGGSHNQGQNQNQDPENLF DFDTNFDFDLKGFWDDFTLGEGSGFPFR
I206_04896	MSSSKLWAGGPSVPLVTAMNADESINYDALAKQTVRLAKAGMGI VLLGTNGEASHLSNEERRKATVVVRKALDDAGFKDEPLLVGTGSGSAETTITVTKEAA EAGATHAIVITPGYFSFAMGRDRKAIKDFFKKVFDNSPIPIMIYNFPGAAAGIDLNSD EINELADHPNCFGVKLTCGNIGKGHRIAQYTQSEAFTSKRASALKSQTATGQFQVLPG FSESTLPALLSRHTGCITGTGNAIPKTIRKLWEASVAGLQGDSAALAKALILQDRVAH ADWTIVKAGIPGTKYFLDHYVEKGLGGPARLPIGGLTDDVKKLIEVDLKEAWEYEQSL 
I206_04897	MDRIKTGQTDHTDDSVFWDEDQEEEEREPYEGNPEKWTEFLKSS KYDNRYKHSLKRQQARNVNCRDSLGNSNDQGQSSHQVDTNKATMNTSKSQITPSSTLS NDNPRDYNDPQGGDTRFSPQVHEHTKGPFGFATVASGSLLLQGPSRTAKIGEFSPSTC GQYGQCSGKQEGIKQSKIRTRDKMENEFQRLMDEGDGYHIRGW
I206_04898	MPRHSQGSDGDPESEDYWAPGYEPTRGEPDREDFVEQDGARIPP SFSPSHPSVSGAGRVRVEQQMRELESTRISNQHLGLPKAESDIPFSMRSIIDRANNEV SISVDSIPDSTITMRLVNPEHNTSVRLYESGPSKIFSIPKTKLVYDLNPSRYADPRSD KQFLANLTILNAVDSLYGKNLNKQAKLNLSEKFQELALRWKEENIPARSYRSGRRTQP PRDDCPICLAERGYFRPQPHHSSGPCATCTHPVQPAQSGPFHFFQTNRWSDQVPPRST SRRPGRSPEIRSFHGFLDVDDEEDDDD
I206_04899	MYYDDSQSPFSRTHTYTIPPASSTRPTTRRFTNPNPVTSDLSLV RCRPNDGANKGESQRFEQALGSRIPTRDSTSADRDWRREPTDPYSDPTILKNAEESLR DVEWAAAANERLGLKPPDASQSPLSDRMEIVNSDESGESRRIAVHWETDTTMTLFLAG KTVNLPNGELTKVRPTTLIYDMNHEGISSLDLDTWSAHLNIFQAIHAIHPHIPDKYKQ SMASSLLDSAKGWYHQEVSESTGYSADPNFSIRRFHTAPRGGYRVAYSKPAPFPESGC YCQSICCFCGQSFH
I206_04900	MDKHRSFELASVATASLRPSRHTRPSLMTDTCDLSDDRPGNICN LWLVDFPTPLGFVDVVCTLLSIDSLQMLDDLSGMEWGGNTKDQNRDARVIVMRVLGKI WADTAEFRGL
I206_04901	MFRIYLVLLSVLAFLPPFALAATTLKLSKHRTSSLSGPLRQVQK RAFERRIEERAIHIPMHPHKTNGRKRGKNRQNSGRSDENEFIDVLSNLIDPETRSGPT NLATIGDSNEPDEAANVIISQVTRTKTRTVSATSPTPTNTATASGPVNGEDSDLTLDN TGGLAYTIDVNIGGINIPVIVDTGSSAFWVPTSSCQTCKDAAMTISPLVVPDGCESQN ITYGIGSAEGCYAHGAVQIGPYTVPDVGLMGVTAVDKALASSGSVLSGILGLAGETDG DGQPTLVKSMYDLGLIKAKTVGCFLSEDDDVDSEITFGDVTTSEHADANHKVTLKSAP NEMSLYEVTMDSLTVGNDSVSSSKTVIIDTGSSYIYIPEEDALNIYSHLPSPKSSDQG YLLPCNPVNPPNLTFTFGGMGFDLQYKYLIGADVGSQDGYCWAKIGSLKGMDTWVIGD AFLHTIYTSFDIGTKEVTLYRLVK
I206_04902	MPSSLLSAISSLPSTSYHHPIATVPSGSTKLNPYLPIPQASGST TVLFTNPTFLPSIPSASLKRTVVQVIDAEEVTTPRASKSLSLISRSAQEAYDHSLLAL RLAQDEDALVYHFIASGLGGSVQEVEDAQSWLSGDLGSPNPANGHANGETEAADELLS AYEAICLSLLKLTRRAQRSFVHRKAESSRLIVNFLPTTLEADNVIDVVLAIPAPKEKL RSSLTGVQEVIVVEGGNGKYGSGWASVVDALEGADVNIKSVLVTGNVPASELTSAIQT SAPITRLGKTATYSIPSSSVAIPSPESSYTSLLESSPTPLEILNDPSHLAANESTSPL YAFGKAVALRKERARLIELAKKILKASNTRKDVHEALSAWLLVRDEQGAANAGEKVAE VVGAGASPDEKELAQLGANGHWEKKSLWIVISNSWAVDLASSGLHHALASGLDINLLV YETAPSPFSPNAPAQPPKERKKDLALYAMNMGDVYVASVAIYADYAGVINAMREAENY SGPGLVLAYLPWGDKEDGESVSAQEKAGALERLRETKRAVSGGWWPMFRWNPSLADEK RFILDSSHIKAALSEFLDRESHLSQLTLSQPAIDPSITSSVGTELVAARKEKARKAYD ALLNSLDGPGLLVLYASDGGNAEKVAKRLVGRAKMRGVGASLRVLDEIAPSIVDSLAE EKNVLILTSTAGQGEAPQNGREFYKALSKTAASDKLAETKVTVFGMGDSHYWPRPEDA GYYNKPAKDIFPKVIGLGCAELCPLGLGDDSDPDGYMTGYKPFEASLWRALGVDSVEV VEEKEETVANEHIKIASDYLRGTILEGLEDKSTGAIGASDAQLTKFHGTYMQDDRDIR ESLKAQGLEPAYSFMIRVRMPAGVCTADQWLHMDRISDEHGNGTFKLTTRQTFQFHGI IKSHLKPAMQAINRGLLDTIAACGDVNRNVQCCVNPAYSKTHKAVYDFSVAVSEHLLP STNAYHEIWLDKKKVYGDAAQDFSADHEPLYGPYYLPRKFKIAVAVPPDNAVDVFTND VGFIAIVQNDEVIGYNVSVGGGMGVTHGNKKTYPRLGDVLGFLSPEDGCKVAESIMLV QRDYGNRADRKNARLKYTVDRLGVAKFKELVEERWGKKFAEARPYQFTSNLDKYGWHH GHDGKWHFTMFIENGRIEDSPRHQFKSGLQEIAKHHKGTFRLTANQHLILSDVATEDL EDMKRLLNKWGLDNIDHSGVRLSSSACVAFPTCGLAMAESERYLPLLIDKVEKICEEA GVRNDDLVMRMTGCPNGCARPWAAEVAFVGKAPGSYMMMLGGSHDGTRLNKPFIESAT EPEILAVLKPMIKRWALERNDGERFGDWTIRAGYIKPTTHGTNFWENGFPTAQQATQA ITA
I206_04903	MPAFIRRSQIGLAGLTPERLRGWTTPLMFWGVAAGGAVSLFLSD VPLFRKDVLIKVPVVGNYFVGRSAFI
I206_04904	MSAPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGV DFKIRTIELEGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDSDTYANVKQ WLQEIDRYAVEGVNKLLVGNKSDLATKKVVEYAAAKAFADELGIPFLETSAKNATNVE QAFLTMSKQIKDRMGSTSMASGPGAKSTIKGLGQNVEQKTAGGCC
I206_04905	MTMSNGNSNTPRGMSISISPTTIQPSILPSAPPIPSSSSTINQS LNLSRRSSSSSSGSSSSSSRGDSIILPSLEPLSHNHPLLTSENYNADEFLLSRLHIPL EELRGELREYLNELKEELVKLINEDYEEFISLGTGLRGEEGRLKGLQGPMKSIRSEIE SVRDILLEHQEKVQSKLDERSALREDKALLDLLQRLFDTLTRAETLLDTTPDEEHGSV KMISRVAGEYTQVVYLLNKARAEGCAIVNIVEARIGNIKSRLSKDLSTLLTAELAEPS IPRLKQCLKTYELIEGWEEAEQVVRKAVRSYCRATITPNCLTVPTTPTAPKTPHSVIN PLEKSLKLPSSNSTPLASLYNRILGQMETYQPLLQIADDISEKFDFFAKIIWTEIASA LIDNLGSTIFAAGRPDELHKQYMTTHQFITLLEQLTPSTRNVVSMRTSQSYETFERRW QLPVYFQLRWKEIVGTLENSLTSAINDRYATSNGDWSLNASASIWSALNTTWNEDVFI DELAPRFWRLSLQIVARYGTYLKSTLDTFVIGEEDITQEDAALRFTASAIVDIERLKI KVSDLNTVKSLGLEEHLTLPVTPYSDVLLQILTRRCIDPLKLIRSIASQFRASPSPSI NSQQKQQPSYFISNVLKPLHNLYNLNPSLKNNYSKNWNKQIINNIFINYSNILSSVKK TEDLLRKHRKSKKNNLTSFFSSSSTSSSNNNNNNSNSDPIIGENEKEEEKFKNQMILD INTLKEDAKSLEVNVEELQSWKELVEVVNKPSE
I206_04906	MPDSNLPTHSTDPVPLSGAHPPHGSNSHISSSTAKSSGRRSVRL FAPDGDSSSDEEESGTLIGGIAHGQDGGIDGVPPSNPRSSSYPGTNTHPSPTSRISAI VSSASATQPKLARAATFVGPSSSTTSSRPPFNNNHNSTHSGTAQTPGLKSRLRHESLS KSLRDNSGSGGHTPGSGGALNRRSGMFNDPEESYFHNQAGPSRKGRRNMGSDEEDEEL RSPGWHIGVTQVGPGKALIERKVEITDNNHTQQQPQIALSRVDVSNEEKQERIDWQGM LESVLTSDVLKIEEARISQAMPTESFREEFGQALWWQIRAKLRGRTEAEEKRRVHERR ARVVDSVLEEVATFKAKPFVPSASETQNQDEEDRSLGPQHSALDQVNLMLAKLAAIKA LYPNLAAMRIDKPTYASTKFRSKTDALTAWSTIVTALQTQLKLLQKWTGSDELDITKP NTTKEKALTDKNIYTYHPLDNAKSGLTAGPNAADDSSFLDRVMKEDNLQKMFERRAFV DLISLIKNAKQTVIEFLPIFQEQNLPDFQYELVRLIGFPGRLIIEALKVRLDAAARLV DPNPMVVSDFIDNLRLSISLAVLIRKQYDSFIKPDENGHWAIPHCLPPEYNDVMIDAM KAFFKLLHWRLRGVGRGSYFKETEVLEDEGPFLYEAAEAIEGGDMVVAEHSCALSNKL LIRSCNYLDQQLRAPANQGHLNAFGRDAAAKALKTDEMISWYGKLLDSARMRHRKTQR YCRRLTQRFDNSAEYSLEQTEVEALVAQLQDSGHFLVYTNVFESKGTYIVADGSLWGQ PDDVRHLLQRAFSVTIPGSRSRPRPIDEGESDEEDLNHIASYVLLLSPRENFVWTGAV MTLDVDYIEYSLQDNRVRLIADGPTSRLALCKHLFSESLIEPETGDSLYLPCLVEAQA HLPSIQGQLIKIAKSSYRLSECIVQSAPTVRNAFRGAPGSQDLVENWYSFATDHGTRV STHIDPASWERFSRLLMRLAISWISFICQECNPTDRKTFRWTVAALTYAFTMTRGNNI LALDRAEFSLLRKHVGNCVSLLISHFDILGARSSLEAKKEADRIETMRRLQQLQENLD DEFLPRTPSPSGQPRMDRSIRLTVEERLRLINELEQKRNEAATQPVGTVLDEEVSEDR ALVFLAASKSNISMRWQQGAYIGGGASGTVYLGYSLQDNAVFAVKILPTVDLQSSPAL YESIKRESDVMSLLSHPNIVGFLGLEVHRNRVCLFQEYCEGGSLAGMLEYGKIDDEEV VGAFTIQLLRGLDYLHQNRIEHRDLKPENILIGANSVLKLADFGTAKIIKGNKTLART RGGAHAKMEGLEGTPMYMAPEMIKNVRSSKLGSCDIWGLGCVVLQMITGRKPWSFLDF DNEWAIMFHLGATTEHPPLPEPNEMSELGIEWIEKCLSLEPDERPTAPELLDGEWLEP MLQQMAELEEDYPDVLAQHGNEAAPPPADYLNAEATPSLLADGPTPPLDLAQYEQE
I206_04907	MSTLLAFPAGWIPQVHTAIAYTAFLTALFVGWLSGLWKELCINS VARWPAEWFPSVSATIGDHAPPRAPFQILIALCATPRFLLLLVQWLVHRYPPSRYSKP PNLSSSAINSNGTAMPESGLRTRSKATTRKVENVIHEIVEPLEGALEQELGNKTLADI ELRIPFFGFLAMIPPLIWFYYQHSVARISGAYTYYSIFEWSLVLWDVAFDATAVLELR HLQIAVIDTSSPSSSDKPIANGGHTFFIARAATAPAREPNEVDWTSETPVPSSEWRQA LAFASDVYWAICFWTIFTSLGLQLFYWSIWKLALAGSELALISNLSGFLLSYKTPYRF CTSKNGLLYNRICAVLFGMGFYIFPWPAARLVGVSIGTWTGWAALFGTWARLKGSAEM IAEGQILGLGLSITLLLKYVNHSTNPLWAISHPASGGWNKTGLLLAALSLVEYYNRPI DLHPASPLSIYPKKKDQSLAVTRPSKWQQRLVTVGLGALIHLTQTFVTDAGTILSWTW SGYPIKGPTLHPFAGVVITIASLGVLHQRKELQYPLTILGTIGAITLYRYPDWVGFAG GLLLISYLQAIFPFYIRIASTFESGPTFGYALVMNIVLDVLSVVTAAYAFVPMGWIFR ERTDLVLAFCMIATIAGWLATRHLDLPQGPQIPFRSRRRIKATKKYTIISSIGLSIIS LGYSYSKMPTTAPVPYYPNHKIFSGGIWTVHFGLDKEGRDSQWRMMQLIKDMQVDVLG LLESDLHRFVYGNRDLTRVIAEELGYYVDLGPGPNKHTWGAALLSKYPILNSTHHLLP SPHGELAPAIHATLDIHGQEVNVMVSHNGQAEEDALDRELQTTEIARLLSETKDTPTV FLGYLVTRPGDLRPWPYQILIEDGKMWDIEIEDRWRWCEYIAFRGLWRIAFGRIHESD ISDTELQVGKFMLPKPGHPVHYDNNKEMYWHIGESDVPEPWHMPAMFRGNGTRGHRYV VWEGPLYYLPPERSGLQNYGKGWAIDP
I206_04908	MASSIPSHPFDPSTSLNTTIAQQHLPSSMLAPSEINDNINVSDK PSSSGPTIKLNVPSNTVSRRSTPSDELTPEPQEQTTVDAEGSSDVDMDNIHQNGLQSR SIAPSEGEDEVSSAADGEDGVAGADAGYEYDDDAGDEDDEMDEEDEEDEDYDDPSFGA KKKAAKMVKLKAERRESAGPAIKKKKSTGAFPMRKEKSVASDEDYGTKSHKKKFFAKS ANGRSGSTPDTPYSDGDGAWRRGAAKKVVTYNEADVDYGLEESEDDDAGEYYNGVVEQ VEEADEIDQVLYHYRDEARKEDPKDVPQENLRFHIKWKNYSHIHNTDETYVFLKTYKG FKKVENYITKIWTLDQHYHNPSPDAPWKPSSEELEQYEIDKERIRELQESYKTIERVL DEKEDLSDDKSERVTKFFCKWTNLQYADCTWESYDEMKECPGALSSIEDFHKRSSRNT VPAQSANYGINNRPVYQKIPEDPDYLKCGGALKPFQLTGLNWLAYLWTKGENGILADE MGLGKTVQSVSFLSYLFHTQRQYGPFLVVVPLSTISAWQMQFRVWAPDINVICYMGSA RSREVIRQFEFGPLKNLKFNVLLTTYEFILKDRQDLQQIKWQSLAVDEAHRLKNHESQ LYEALKSFWTASRLLITGTPLQNNVKELLALMHFLMPEKFQLANDFDLNDADQEAKIA DLHDKLGTLMLRRLKKDVIKELPSKSEKILRVEMSAMQTHYYKNILTKNFAVLSKGGT QHVSLMNVAMELKKASNHPYLFDGAEDRNKPLHEVLKGLVMNSGKMVLLDKLLARLKA DGHRVLIFSQMVRLLDIMSDYMSARGYIFQRLDGTVPSDVRKKSIEAFNAPGSPDFAF LLSTRAGGLGINLETADTVIIFDSDYNPQNDLQAMARAHRIGQQRHVSIYRFVSKGTI EEDILERAKRKMILEYAIINQMDTTGAHINGNGAPKEKNGDFSKEELSAILKFGAQNM YKTDDNAQKQKLEEMDLDDILTKADAFDTESAAQPGGTSLGGEGFLSQFAAIQDVKAD ADDLSWDDIIPVDERAKAEEDERATQIAEAQTTTSRKRAAARPPGTYEGMDYDDAEGS SKPGSPGGKKPKAAAQPRKTTAQRALELKERDLRVLIRGIQKWGDIRLRYEPIVKEAK LEGKNRVVIIQTCEDIITQAEEAVAAHKAHLRDLQEKGEPISSSLRQKAILFTYKTVT AINAETVVARYYELKALVEHFKRIDDISEYDIPYDNLKPTMNWTVDWQLKDDAHLLVG IWKHGFGSWEAISLDPELNLKEKIFLEDPKSAKATDPNAPKPGIPGPIHLVRRGDYLC GLIREYEENRRMLVEQQAVIANMPTKEGFGFEHPPLPSLPSGPSGKASPAVSTATTAK DNGKGKRRKTPEYTDSDNESSYESMDEDAVKELLRPAKKHLKKLKSGTENLSREDKIA ALKECLAGIGTRIDEIVAEKQTAGQNPEKWRKHCWVFASFFWPRQGVNYSKLMDIHGK MVGTIPVEMPKKSKAKPKKKAEPAGERPKKKAKTEVKAEVRTETAGDDI
I206_04909	MVLIDLQKVLSTLGLVSSIAKPIPVVGGLAGGLLDSAGGVVSGV ASGGALNGLLGGSANGIVAAVNIGDGNFSTASTDPSSDDSSGSTSDITAQDACSITPY SPPSPVLLESFAPYDANKALIYRYRQQQSVNLGSWFVQEQWMNPSLFSCASGNKQAEF DVANGWGSVDNARQVLERHWDEWITEDDFKYLASIGINTVRLPIGYWSLGPVYCQGTA FESVSAAYTNAWPRVVRAINWAEKYGLGVLVDLHGAPGSQNAQAHSGVSDGQQNLFNN PTNVQLTINVLTYLTQQLVKVNNVVGIQILNEPSNVDSLPSFYSQVLGVLRQVSPEAA VFPFYLNDAFDMSRFADYISTRKDFVVLDHHSYFVFGDANSQATPASQLVASLQPGQG SLSNQMVGAATEGRRNIVIDEFSCALSTQALSNSQDQAGDRRQFCTGQMESYTNATAG YSFWSYKTENCASDVNWCFTSAVGNTLPSTFFSYPKTTIQAIQGGVPSSPSQDPTTDT SFLSFGTSSPSNDNDYAPPTTDDWLAAMGASDPEYDQVTEALATTEFDAVSDSTAAAA SAASDEIAPDSSMTTTTLWAAASTPSMSISGSDDVAQAAFAVAPLANAATISSSRQQL SQIGAKKRSLGLTSLPQRAFLLSSSLAKHRFVARSARAHSRRHANVVRRDGADGESAV LYTPEQAAIAKGYSDGWKAAKAFATFNNSRLGFTGQFISDALNAMDNKIVSGDEGFYK TWFMKGLADGEVQVIKLLAMQAEGPQ
I206_04910	MPSDRRPDAYYETRWPGGGTQSSHSSFPGQKSPELEYYLKNLKH PYFSDPHKVPPHFAGLSLEDKRSCFEQWYHESQGTEKPVVVSTPPTPCTAPWMDSSGR WE
I206_04911	MTKHEPGVSESLQGRSLRSNSQPRSNPVLTDRALNLEMTPHTVT HPLVKRQDEPTYGSTQETASITSDSGSDPTAPSTTKSGNNPITTLEAQDPSLIGTIYT IDVMVDGVALPVHVDTGSSQFWAAHDQCQECKQSNMTTIKTALPDGCGYDENAINITY ATGWVQGCHVNTSITLGEDTLQNYPVLAVIKAGGGAEAYGAYYSGLIGLGSEGPNPEG ISTVVTALYRQGAIQAPIVGFFLPKAGDSQESELTFGDPTTSEHADGSNKVVLARQGG DSGNYIVRMDSFVIGSDTVSLGADCVLDTGSSGIAVPQDSLAQIYQSAYGLDSTDSGR VSCKPPASNTGVWVTFGGKAFEVPYDDLVFPLANGNDDCAPLITSYSGDVSDIWLFGD AFLHNIYHSVNVQTGEVAIFELKGGQ
I206_04912	MHRRHSSSDSFTSSSVPSRTSRHRTTDNVHRTRQLSTPNHDALV PGPSPSSLDRRGSASSSLGFIQSRPNMISSSPLASPTRPVAPSSSGSHRGVPNPLTSV TASGVSQIHRKKPVGYVSPVDDDLYDPYEAHPAAPVAERSDRQSLPPSQASASLEVGG QSSIVKQYVPPGFAEPTREVPWNREGPAMKTHGIAWLREGDPQELPTAPKGPRWEVAR PPRPENVQGGSTWWESGSGGASYNV
I206_04913	MPVDVEAYMAGAIPSVSRGGSWLPDRQGPHANSISPPYPHSAQS SSFSPFPQTPPAILSGMRVSPTIAQPLQFPRSPWSGHIASPESVHSSRSRTMSHTEVA GAATVNGLRKERNLGPVKHQGWLTSASEGSQPESGSSYQESVLNSSSGIYVTGQPMSQ HSLSRHHGTGNLSNADQWEFHSGSPKPIASEPGCHHSAKVQVSSPNNVVPPASSPYRK INVDQEGLPSPYRRLTELIPSGLSSPTTQHSGNHDDTRRPSLNRRHSHSPLHAPQATK HQDEDLHRSAQLNSRLARLSLQGRKCCTPDPQGSPRSATSSCKRTT
I206_04914	MPMDIDSSGGVAYTLDVSIGQDKKVVPVLVDTGSADLWVSASPC DNCTKSGMINTGIITNDGCDLKSKTYGSGSVHGCLVPTDVAIGDYALKDYPLLAARDT EGFDGKYMSGIFGLAMNKISIDNHATPIDLMYSASLISTLEVGFYLTRQGDGSEIVFG NPHSDKHANHSKKVQLDKQGDGGLYRIRLDSFVSHDQPITGSNPKMQDIDVIIDTGTT NLLVTESMMSPMYAALGGLQQPDDCMFVAPCEGPDNPDAALALQFAGTVFPIKWQDLV LRPSTSKPDHCYLRTQRTPAENYILIGSAFLHNTYHVINAATGQVTFYGLN
I206_04915	MALFPLSTLFFFTYYPCRHLTVWLDPQEAAEIGQANSRSGVRKL LKDGHIIIKPTVIHSRARTRDHAAAKRAGRHTGFGKRKGTAEARMPTKVSWLRRMRVL RRLLKKYREGGKIDKHLYHTLYLEAKGNRFKNKRVLMEHIHKAKAEVLRTKHLAEQQE ARRVKNKAMRERKAQRLAEKRQGITAVEQEDEVKE
I206_04916	MLRSIVKKAATARVSAKVGYGPSISIHRPYATLSPHIPPPTTPY EVFDEPSKNRQKDRAIIRLREEGEPSTPSHENAEEHPVKVVDYLREEISERLAERIED LRTPPASILELAAHSGQLTQILQDIIADEVPSTSETQDQQSERRKWWIVESSKEALHR DDDSHFSSPPTRIQASASRLLEHPEIGKLKEQVEAVVSGGGLHWVGDIVGGLTQIRHL LKPDGVFVGVVLGGDTLFELRTSLQLAEQERRGGIANRISPMINPTDAPSLLNRAGFT LTTIDVEDMLINYPSIWELMADLRDMGESNAILGRRAHISRDVLMAADAIYKELYGNE DGSVPATFQIIFLIGWKPGPNQPKALERGSASTSLKDVL
I206_04917	MSGNKDNGFSEMGSPHPSSTTSCRPVTNTLITTVTSQGTVITTR VLIVPTLTVMQGEAITLQVNLNEQGDLMDTQTSSTNLTKAQDSSVASTVTITTFASAS ATAHSPLSKGENDGVVVKPKNSKFTKKDYIPAWKRDTQLLADERGGTVVGRCAVLYGW DINSLDNFVREEIVLATFFMWILGLAILGLQSFLTNLFRICLADFLYLEETNERSNEG FDENSSMPVPMPQNNLPAFSTAIGPGPATPPRQMFPPMPLCMTATRASSTPS
I206_04918	MPYSPFATNTDGSITSLPFLSPPFMMLVMIAYIGYHVLTRQEAA RGRTKALSKVKSSSSSSRSSSSSTSQKPLSSSDVRAGGMPSSSSSSSKRVGNPAPKQI SGSSSKDDPSSTSFRKNYFLTMQGPGRPALVPFQDGLRPKKGDPAGTLWWDNVPDDAA DLMAPPIDKEKLKAMMKNPWAGEMIEKDIQLLKIAKRQKEEEERYSKVANLLQTMLGF LLCAVDMRLGVLAIVFFLWRHFTKLHDESLSDEKDAIEKEKKEIMEKLRDAKKAGMNS QEYMGLQMALERLG
I206_04919	MSAANKILRTANAPSTPPTEIEQQIAQAFVDLEANVPELKSELR QLQFSQAKEVDVKGGKKAVVIFVPVPMAKAFHKVQQRLTRELEKKLSDKYIVFLSQRK VLPKPSRNASAAKGQKRPRSRTLTAVHEKLLEELVWPSEIVGKRTRVAQDGGKLIRVF LDSKDQNNLEYKLDTFSSVYRALAGKDVHFEFPVQAAE
I206_04920	MHTLEIASSWVASAPSQDLIQELDEPYVESISIDSDHASETNFC RMDIPPPKARPYKHLEVLPSALLTSQSFSVPRQTKRGRKPYYSWSTLASFTIPHASGT HPGTLQRFCESGITQYDSYDCYVDGNLL
I206_04921	MSEERPTLQTYTAPQDILDEHAELADQDDAPDVFEDNARSKQVA ARQSDYHNRRFNRVDGQGEGEDERYEDRMRRINLQKEEERIRRHKEQMEKDKTEDEKM VLDDKTPPRALAGDDTPPRAIAGGDTPPRETTPPKKRRRWDVEEEVKQEPGVEAVAPK RKSRWDQTPSDTAPEIKKSRWDQTPAASAPTSVITSTPSIGGVMMVEDKRYRRMTDEE LDGLLPGGEEGYEVVPVPHDYQPAPSVRKMVPAQTEHGFMMQDDSDAAKARSAAGGIQ GSQEQTEIEGIGTLQFLKPEDTQYFAKVLGEGGGEDDDSSYTLEELKERKIMRLLLKI KNGTPPIRKTALRQITDRAREFGAGPLFDKILPLLMERTLEDQERHLLVKVIDRVLYK LDDLVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLAKAAGLAHMISTMRPDIDHV DEYVRNTTARAFSVVASALGIPALLPFLRAVCRSKKSWQARHTGIRIIQQIAIMMGCA VLPHLRNLVDTVADGLQDEQQKVRTMTALSLAALAESAAPYGIESFDNVLKPLWLGIR QHRGKTLAAFLKAIGYIIPLMDPEYAGYYVRECMPILIREFQTSDEEMRRIVLQVIKQ CASTEGVTPTYIKEEVLPEFFKAFWVRRMALDKRNYKQLVETTVELAQKAGVSEIVGR VCNDLKDESEPFRKMVMETVTKVIASIGAADVDERLEQLLIDGIIFAFQEQTLEDTIM LDGFATVVNALGDRVKPYLPQIVSMILWRLTNKSAKVRMLAADLTTRLAPIIKSSGED NLLSKLGVVIFEQLGEEYPDALGSLIAAEGAIANVVGMTQMNPPVKDLLPRMTPILRN RHEKVQEATINLIGRIADRGAEFVPAKEWMRICFELLDLLKAHKKAIRRAAVNSFGYI AKAIGPQDVLSVLLTNLKVQERQSRVCSTVAIAIVSETCGPFTCIPAILNEYRTPELN VRNGCLKALAFVFEYVGEMSKDYIHSVVGLLEDALTDRDHVHRQTACAIVKHLAVGVA GLGYEEALTHLLNLVWPNIFETSPHVIGGVMDAIEAMRLGVGSGPVLSYVLQGLFHPA RRVREVYWRMYNTLILGASDAMVPFYPNLGSAADLASGQDYTRHELMMWV
I206_04922	MTTLWTCAELLRGESSKPYSLIIVNQPIRQDLLAKAWKAASLRL CADGGANRLYDIDQGKGYLPEIIKGDFDSIREDVKEYYRSKGVKVIEDKDEYSTDLMK CIAEVPSDHALVLLGGLSGRVDQTVHTMSLLHKLKREIYVLDGESFAWLLREGKHEIQ IDHNTMGQTCGILPVGVDSSRVITKGLKWDFDWETSLNGSVSTSNHLVPSIPLITIET SRPVLWTCEIKPL
I206_04923	MSSDATSPAPAAAKPAAPAAGTPNSEAPAAAAPAQQQPSASASL YVGELDSSVTEAMLFEIFNMIGPVASIRVCRDAVTRRSLGYAYVNYLNAADGERALEH LNYSLIKNRPCRIMWSQRDPALRKTGQGNIFIKNLDESIDNKALHDTFAAFGDILSCK VGTDETGKSRGFAFVHYATGEAADAAIKAVNGMLLNDKKVFVGHHVGKKERLSKVEEL KAQFTNVYVKNVDPEATDAEFEELVKPFGPAVSVAISRDDAGVNKGFGFVNFENHEDA RKAVDELNDREWKGRKLYAGRAQSKLERESELKKSHEEKRMENEAKSAGVNLYVKNLD DEWDDDRLRAEFDSFGTITSCKVMKDDNEVSRGFGFVCFSAPEEATKAVSEMNGKMIG TKPLYVALAQRKDVRRQALESQIAQRSNMRMQYGPGGFGGMQGYMGQPVYGYPPMPGY GQPMPGMPPMRGGPMMGYPGGPQNGMQSRPRYAPGPPGAYGGPGPYGVPPQGGLPQYP VRPGGARIPAAPSANGPRAGAGGPSPVGAPQGLPRGNGAPRPQDAQPQAQAPRLDAQN LARAGPAEQKQMLGEALYPLIFETQPDLAGKITGMLLEMDNSELLHLVESPAALQDKV DEALRVLAEWGKGDAEKANGDATEVKEEVKEEKAE
I206_04924	MAYNYTNPYQSTSSAPPRPVNSPSNTVTSQARQVLFSGLPVDIT EKDLRELLLSDPLRLSPITTSVKCFSGPDGRFCGIALVYVANANDAERIRVNYSGQQI DGSE
I206_04925	MAVQHILPANQDLTTSAQSAPASSVPRPTQPPKAPKAKTNGAGP SPSTGAKVDNKPAGLKLLARLSRPGQPKDKQLALLEKQKANLAKSGAAGSALLSRLQG SPKSHVAPKSKAKASTGNAKVGRAKAKVTKNAMDVDKPLAAPLKKEKPKPKTQAELDE EMRAYERARRFA
I206_04926	MSLENHIPATLPHKFNSDALANHFANKPLPEGACALIDLPGDEF RDTEDEVNVIAASLWMLCVKYDNMPAAERPTSRDNLRRWISRHREEVIQKIAGKVEPP FHAWTMDQMEKDVSQMLDEVLAKTLPVEKDAKGKK
I206_04927	MNMTLDAQKCFIGLPANAPSAPPGLHDESVGVLLVRYTTNNAVH SAPVLVLASSPALEPTKSPSPPAGRRSKAVCVLFPPIPFGCSTCPRAIIPREWIASAA VTLAKFAHALLTDNIYYGWRLLMQCPELFCVSSFCHRAYSRNSAWKPQAQQTRVSWEL RGTNGIPHKCVVLDVRVACT
I206_04928	MSQNTGECCPPQSASNPSKPNVPHPVSEKTGYEYTGHYESLGEY NQVYVTGPDDAKHALVVIYDIFGFWDTTIQGSDLLASHLLLTSPTKIYMPDVFKGKAF PKDRDGDKEELKKFFSGTAKLDDRLPEVLNFAQTLKKDHKRVSILGYCWGGKLALLSL DKKTPFCCGAVIHPAMIAVEDGDNLTVPLGFYPSKDEPTDVVEKIQQAIKAIPRSDYH LYDTVHHGWAAARADLNDPENLKQYEDVYQRTADYFAAVKC
I206_04929	MVNADPRVTDSQLAQINAAAAVREYGVDPRMDYRTVSAVNGPLV VLDNVSFPSYNEIVQLTLPDGTIRGGQVLEVSGKKAIVQVFEGTSGVDTSATRIAFSG SSMKLAVSEDMLGRVFNGSGNPIDKGPKVWAEDYLDINGSPINPYSRIYPEEMIQTGI STIDTMNSIARGQKIPIFSAAGLPHNEIAAQICRQAGLVKRPGATKSVHDGHEDNFSI VFAAMGVNMETARFFKQDFEESGSISNSTLFVNLASDPTIERIITPRLALTTAEYFAY QLEKHVLVVMTDMSSYADALREVSAAREEVPGRRGYPGYLYTDLSTLYERAGRVEGRN GSITQVPILTMPNDDITHPIPDLTGYITEGQIFVDRQLHNRQIYPPINVLPSLSRLMK SAIGEKLTRKDHGDVSNQLYAKYAVGKDAASMKAVVGEEALSADDKLALEFLDRFEKE FVGQGSYEARTIFKSLDIAWDLLRIFPKESLNRINPKILAEFYSRKTARQPEEKKEEN LIDA
I206_04930	MLDVHEIIRTACREHDHDIESLIDLEQYVSKINTQLSDPSNIGR LGKSTEPLELVSFRCLLQDTGYPMEVYLPGEHPEGEVDWSKLKERWVGWGVEIPGEQS WVGGDMQSVPGSLPAAVHTKYPLPDRKGDYVGALIKVYDDVAFRPSSTHHFIGILSSS PLPSNEPEATEVVPTLHVLKIIDEEIEETVEDVREEIVDYLASAFSPPDRLAGEYLLL LLLSSPTARPAAMTPLGTLAINFRRKDESATANFNQIVQSIAPRVVPLPLSIQMLHSH PFLPSSTDSSSLDAGLLQLSEGTVLVVEEDAMGSGGQLNEKAVKNLKALAECITEQRV RYEYPYMEGLKMDSAIKVVVLSQGKTLLPVDIDVIVKGSHENAPIAPGSLQTLRRYLA KVSSRQHAAKLHIPDEIGEVIQEGFVQGRKENAETAEETLKRRMKVARLLALSYTEAR LTKEVWQRTLQLDEEVMSRRL
I206_04931	MIHDAQLDYYGKRLATCSSDKTIRIFNVVKGEAKGEPVILKGHT APVWQLAWAHPSFGSLLASCSYDGRVFVWKEVGTGQGKGSGGELQDGWERIKEHTLHT ASVNSIAWAPYDLGPILACASSDGKVSVLSFQNDGSTDVSIFPAHGTGANAVSWAPSV VSSAPPAAGRAQPNQISPQKRFVTAGSDNLIRIWGYDEEAKKWTEEEVIKGHEDWVRD VAWAPNIGLPGMYIASASQDRTVLIHTRPSPTSPWSSTPLLPSLPGSQDPHFPDAVWR VSWSLAGNILAVSCGDGKVSLWKEGVSQGWECVSDFAS
I206_04932	MEEIELQRSRRASSSLNVDSEVQPSAQDQIPVGKPGVTQGVHDQ PKDGAVDRELLDLPSNTQEFEAYPDGGVTAWLQIFYCFCIFFTTLGGIYAWGIFQDAL HSADLAPTSTLAFIGSTQASLAAFCAIPISAIVAKYGNRNVAIAGAILSGLGPILAGW CTHSVAGLLVTEGFLFGGGQALCFFCAATLPSSYFSRKRNLATGLVYAGSGVGGAALS LAADGLIKSIGLPWAFRILGIVFLTINLPCAYLLKLRKIASPLTQTTRRKEGKIWKKF IDVNLLKDIRFVLVLLGSAVALFPLFIPPFFLPLFATSIGLSSGAASSLLAGFNLASA CGRIGWGFMADKWFGSLNALLLCLACNATSTLAIWPIAGHVGPLAVFAVINGFCAGGF FSLMPGTVSSLFSDRDLPVAFSMLVSLWAPGYFLGAPIAGYLLQAFGGPDAGYEAYRP AIFYAGGLGIFSALLILAVRIKEGGSWRRKL
I206_04933	MKVKKSTRKHGQPPPDVLALALSIAKAPQDDLLPILQQFDSWKY PRGDLHTWVDVLDKFDDILAEITKSYDLHKIQVNDFTPKTRELVLEILRVQRLLLENC TSRKLFASYDRLADLLHTSDLDILYSAIFVILRPAQQYANSTPFEPTHRHAILHRLLT LSRSWERFTNADIPLPTLASSSTLSLPEDLRTVQLQYYPTKSTNHATDSPVKASSSQE TPFRPRNAVPTTPVADLARSRPLNSAGPSVLDLGNVDDWTNPIDQLSLLCDESDVSLD DQYAALNKVRLARLGDLATRRQLLGIRLMALATYVYVSTDDAAQSGLFLYEPELVPQL ADLLRASQQVGETVTIGVLHALDACAHHRVKMSEVLTAVSANVNHGILVTFFRNMVEQ LMKGESVPNDLFDAAISFVAYIPNSPVHINMLMGAGILRLLLEVLGTTGERRENYIPR ATGLIDSIIFASPQALSNFSNADGVNLLVHRIKAEIEGRDRMILPHSSETLSEDTILA YMDNPLKSVLRSIHRLMQASGGTEGLRNLVDSDLPKCLKSIFEQPAKFGTRVYGMAIN IMSTFVHNEPTSLSILQELQLPQALFAQLEKGIPPSSEVIGAVPTAIGAICLNQAGLD FTLAHPSVINNLVAIVNLPSHENVFHDRDNGKSLGGALDELSRHQPALRPLIMKAMLD LLRQATEAGAEFAPSTEDRREYFIDEMDEVEVNDTRPPPSNPPLVAFSRIFRVLDGLV RNAGTAKEFIKDGGLKYVLGLAELPCLPIRFGSTEAAAALSSLLRHVGEHDQAQLVEI IRTSIQAAMGKCDIVWKGDHVAENWIGMDNRNTTPEVQQSFKVLRSLGFRLSFLSDVI FTLSFTNSRHATSIITALEVDGNSSFVNNLGQLHRVAFQQHVLLKKAKITPADELDPT RSQNLASDSAKDTGAKYLATRLHAVLTKFFKTIIKLIHVKRNPDVAHVNQARNLSNAI ANIMIEHLSDNSNLSVNPTGIDTVALGVVTMLLFENGRGTDGPLHTTLFLDFLKKDGL ARLVTSTTRITDRMAELAALPEENRDQSHKDALVEATAGIKIVLVLLSALASPRSLLE TPETHALQQRPQAPLVAVKIFIKLRLAMFPLVHYIWNASWLLECPISIVKIAVRCHST LIEGKSEEAHTEEDSLAVPPISSRIPIPPTITRPAPVTADPARVDQLVDMGFSMLAAG RALVRARNNVAAATDMLLSMPHVFEEPSATNDASVAAPPASIAEEVASSDSNAPSSSA TAVPENEGNSVPEAENHDMEVDSDSSDSDREALHKLREDYRKDLPARALTLLDHAEDL VFDLLPCFPSGEEGVKYLVNRLAEFSSPYNPTSDKAIAARLRLIAVCLRTADGILLKE DSISTAVKILSELPLNQSNPKPKWIPALLLFAETVAASSFTINNVKIGDDNTMDISSP SSAFASIAPRLAATCVEIVGHEETERNELVSALRLLVLMTREKSYVAIENTDLVNLLK PFKQPSAKLASCHPLLLLILRHAFEDASTLTAVIRKELRHWLTPARNKVVDIQHFIKQ LRQAALRDPNCFVRVVEEECALVDPTPPQSVYHIRAKDQPEDASNPTSAQPSDPFQDD SDHARHPFVDHLVAELGQAVQTSLNESLDDSSDEVKQAHSYAGLLISILTELLGSYTP VKQYFVASVREQGLGTTRLHRGIASLINDLVCCVALQPDVTGIPQFERGSKPARRLAI SSWAVSMILALCSDTTPSATVKAVPEDMANIRKTVLDAIVKVLRDSGSSSDLSVRYGR LWAIGELIYRLLMARPIGPTRQMNDSTLHIAKTMLEKNFVGLLTSALGEIDLNYPNVR NVLVSLLKTLDHLSKTSVKWGRVNKGDKDAPAGAPVTEESSSSDSDSESGSDIDMMEE EHDAPDLYRNSALGMLNGELGDEDDDQDDEDGDEDDMDMGDDITDDDEGTDLQTSEDE SMGSELDPDNWTDEMEGEDEGDGDEQEMDQEVILGSNEDEEGEVWDDVPDDEDSLGTE ENEMMEDEDDMDGVGEGDFDGDEIDMIEPFPSSARGGSMHRQSSDVTGPWAWDQSNFA AIAPSRGRSPLTRDAEDPAVSLFGRPAPQTNGQVAPHPLIIDPSSSAPPMRGLSRSLG SNYNDLISAIEGMGGTEAVQMLESLITSRQLAGSEAIRIDFAQDHNGTIGLSVGGRTF ALHPPQNRQAQSNEADVVAEFVPVPTMQRWQEDMQLATSARNELTSRLVVHVINHLMP EARRRSEEETAKQKVLEEEGTRAQAEERKMDLASAASVTLPESRQPSPEMNIEADLAH AIVDGDNDSAPATAEPVLVEPAPVEPGSESLARTVISIHGQDVDITDTGIDLEFLQAL PDDMRADVVEQHMREQNRQRRPMGSTNLPEAASQLNSEFLDALPPEIRAEVIMQEAME TARRNQPQPPSLSMNRAAGFLAGLTNELRDVMLLNQPTNLETLGIIPSSHAPVPATST AKKPHREAIQLLEKPGIASLVRLLFFPETIKKGYLFKILVNLCENTSTRSDLLNLLLS VVQDGSGDLPAVDRSFQQMSLRGMVTPKATPRGKSIDSPAAAIVPNGLFSHLQTEHVP TFIAQRCFEALAYIVTANSAAVNYFLTEHEQPVGLKKHPLKKSKGKEKIMPQTKYPIV VLLGLLDRPLLAKTPGMMETVTSLLVTITKPLAEKKPDNKPESDATETPVPLQPIIPP AVLRLIVNCLTAGECTSRTFSSTLVAMQNLACLPDAKDHILQELRSRCKELGNTVHTQ LSELTSALQDSSSEIGSLTLANFSPPTSDQAQLLRLLKTIDYLHLNKVDSDPPTKEMT DEERAVSAVFDSFDFESLWVQLGNCLSLVEARGSTDQIATVLLPLVEALMVVSKYRSR ISREVRSPSAPPGSAIDADLFVSFTTTHRKVLNTIVRNNPSLLSGSFSLLIRNPRVLE FDNKRTWFFQKLKRKRDSHIPMGAIPLNIRRQYVFEDSFHALQRRTGDEIKYGKLSVK FYNEDGVDAGGVTREWYSVLAQQIFDPNFALFEPCAADQQTYQPNKASSVNGDHLAYF KFVGRVIGKAVYDGRLLDAYFNRAFYKQILGRTVDMRDLESIDPEYHKSLQWMLENDI TGVIDVSFTIEDDQFGEKKIVELKPGGASVPVTQDNKEEYVRLVVSYRLDNSIKDQIK AFLEGFYDIIPRQIIQIFEPDQLELLISGITTVDVDELKNATQLSGWKTSDPEISWFW RALRSFSQEERSRFLMFVTSSSRVPLGGFTQLQGSSGTQPFQIQKLYAKEGSLPQAST CFNLLLLPTYASYEQLRDRLQFAIVETGGFGKA
I206_04934	MAASPRVPLQSFSPPSSILYTPSRKRRAASPNRPDLSSPLGANS THKIKRQRPNLANGFQSLSISTDLLQNDLQTSDNMPPSPNDPLQTDEGIGLTHHVDSD DVKVEVLPERFPDRPDLHHHHHSNTHHWSIPNRAGPSSSSSTSPTSSTEDNYDSDVTY THPSRRHRRFAGIAQQADEIVQPDQPPIQRGDDVSVEDITAHKGRRRREDLDEPFRAK RARKGPDIDIDMSNSDNLDNIDMDSSGGGMKRRTNWHEPEKDRIVITSLSDSSSSVGS SRSPSPEPHESQERLLSQPGMQGFTLSPSLLTHLLKSQRHHLRDNLNMTQAENSLVLY RPLGIPPGEFQESIVQAWQPGEGYADSGRFEIVDDDENLEASQAPPVDDDGDVQME
I206_04935	MTTESIQAVLLANAVRSFPYRQPFFRRLYLIFFLLVSLLILLPA WSILYIPVQNRPRRQWTLKKCLRVRFSRRLCGLVARCEIDYLGRDLSKDPDPTTLRFS HPVTIPPPPSGLLRGHPKEALAQLHASRGQWIPRYIHRYESEKRRQGVWGRWNDWQES ERIYGFEEVKGFWYTGEKTSPEEGPQVRRPGDPVLLHFHGGGYLCGTAAETDATSSIP KALVKHSPIHHILSIDYRLAPSSPWPLPLLDAISAYYWLVKKEEVDERDIIVAGDSAG GHLALALIRWLRDEGLSAGLKLPKGLVLMSPWADLGFTNAWGEEAIKHNRDSDTIDDT FGPFACALLLRAQPASIMHTSPYISPASLLITDDKSFIGLPPTYIVYGGAERLSINIQ LLWNNIQKCRQSKGLLVPDRLFVSPDGVHDFMIFPWMAEEASEVYEDLDVWLRNLLAA DLPEDEDQEVVGSSETEQSEGRESDWNKRAKRRRMSRQLTKESLRSQKSPTMGPVGDH MLKMVEDMSGEGLSMIGSPLTLSQLETNLSKGTEWLTPLTAELRPEYGFDDDEIPWYD LDGSSEDEAGIEKRKDR
I206_04936	MSGSSSDLQHLIAHYLAQNYPSVLPSFLAASCTAPPDLAQPPVP DLRTLVEDYASQAIVRELENVQVDDEMEKAEDGSWKGWTAKDIVKLPLAPEVKLGGLK MSIEGISAMNLLAVGEAKIPKRVFDTNTASYRCSFDSKIITTSVDKSLRIIDRKTGEV EESLEPHSAAVLSFAVHPQNPRYLLTGSMDGTAVLTDLITSQTIQSFKSTKFVVRVSF SPDGRFMATSSYDHTIVIYAAIAPAIPPPLDEDDMPLDETDDILFASEPGLRFEEIHR IKVDSNPEAILFHPESTWLLYTLRSSHLLYYIGLPDADGLNAWETRTKSFNPHPMDNH VSFSVLNLALHPSGKILACQTGDHRGNTGERILLYGVEPDETERLAVLWTGSEGDDFV LPRMSWLPDGSGLITTTPNGYLNLIALNGENRSSVKIHGAINLGQASSEVVRDCVVVY AEDGDWEVISVGYDRQVRISR
I206_04937	MAESKADKSLVFLAFGNNVCGNLDPAGPSILRQPTEVKHDCKGI EWTSWACTVGKDPLRIWGTDPLVHDKQATVIALTRRLKRVVGFDRPQAFLLDDGRVQD IEGRLSCRSWDDVIITELGTTFECLKDLMQETNAQHPIPGLPQNSSLTSLQLTATESR AFVLVNGPAQLIYEIKDVKVMPPKSVKTSEPVILDYIADLEASGVGADSETVVTDQNI YVRGSSEFINIDFR
I206_04938	MTNQLKKRLVVIGIGGASCSGKTLLAKHIRRALPEGSNIVHQDD FCPPEDRVPYSAQYPELQDWDDPETCIMWPEFRSILSQIHQKGQHDAHASHDHLNKQV EVGIRSDIFARCTERFRSYIQEQKDLGIELVWYIVDGFVLYWDKVVVDNLDIRIFLRV PYGILKTRREERQVYVLQHGSVWVDPPNYFEKIVWPGYVKAHSQIFDGVETGPVKEEW GPKGKGLHLVEPKDGEEGMTSAFEQTCDAIMEQCQNGAGRVMSA
I206_04939	MISSQADKAKSSIALNPHTNFESPGQISPTASSSTTSLPHTATS TDSYFPNSSTGPIAPRLRKTSTSSFSKLSDFSLDPPLLDEEDRYAPAAEPELRMNSFK GIRHVALSVDASEWRHPVFKQKVLSILRRLRVPLWSSNLLTPTSIHLQKVSGALTNAV FFVTYNPSPDPTSPSESPLLTPTMPASDPAHPPPLTPDQYPPTILFRVYGPSSDALIS REEELRILYVLNTEYHFGPKMYGTFQNGRVEQFFPSRALTAAELRQPHIYRSVARRMR ELHSVELKLIGYEHGRETEPMIWRCIEQWAASAESVLDTLASAGGKWEIWVEKFGLHR LRKEVEMYRRWIETEPGKGKGVVFAHNDTQYGNLLVLDGDLPPNQPEHHKYIVIDFEY SAPNPRGFDIANHFQEWQADYHHPTLSHCLLAHGPYPTLAERQDFYRAYLSIEMDGRE EVVRRRKDVLSEKVDALEREVRIWSPASSALWCLWGIIQAEEQVEAIVAQKEGYVPEY DCLGYAEGRLDMFRDEAKQLGVLG
I206_04940	MVAIARIAPRVAAPLKARTFAAITMTTRGQHTLPELPYAYDALE PSISSEIMTLHHTKHHQTYVNGLNAAEESLQKFQASNDVKSAIALQPALKFNGGGHIN HSLFWKNLAPTGSNQAKFPSSGALYDQVQADFGGFENLKKEVNAKTAAVQGSGWGWLG YNKGTKKLEVVTTANQDPLLSHVPIIGIDIWEHAFYLQYKNVKPDYLNAIWEVINFEE AEKRLKEAL
I206_04941	MLLPSSFSQPAQAQSAKQLYRRYLQHIRLLPDPHIWSVLIPRFR QYLRKPYVGSIDPRDNDLLSTRKAHAESSLDAQRRVLRWKREKALKRAEKELQRLRAA VACHPHALTRLIEESYGQRGRLRQEVLRSISERCGVKAYEDPLPPLLQPLKPAPAPLS EAQPRARANMPPCRIRTGLRRTIQRDWSMIKPPIPFLLPVTMESRITLLNSGSSDALV ENLARLCNLGDLSSSAPVDMATLDLSSLPPKIQRIFPLKSIPVLRESPLYPPRPKSTR QNPNIWSLPRRLDARLLRRTYQRFWNGLVWVRPVTLSQPEMQWKQCSFEEFRCWQTGK VTDQLSDHKGGQLRKNRRSVEHFARTNDASKWSVASKGEIESLATGS
I206_04942	MSDQYSASASTSPSLTEKLTTLRAFFKAQKAVILTTRAEDGSLH ARVMAVAEITPDWKFRFIYDKESHKDNEVENDSHVNIAVDGTQNNTGWASIAGKAKRV EDNAVVEKLWNPTIKAWFSDKGDGVHDGTPSDPRVVVFEVKVDEIRHFHQEKTGLGTL VDVVSSTISGSTATPGSIRTITGEEIAGAWAKNELKEP
I206_04943	MIHASTTFPRHRPDFWSAVDGDDDSGELMETKKEAAEYHDSLVQ ELQWKEIGNGQISSFAPVLEIRAETAKSTHTGLHIDMPSPEQTIQAPSWQVAATPPIQ DPPTPSAANQTAPPPSFHPSHFLQTSTPRGLTSTFPSVPTPSEKGTPHLPRPASTVIS RHNTRGSKALDTPDHIASPVDSPSTDTSRPLIDPNLHGDGHGRRDDPATPVPIGKSAL SEIKSKREHQAISKHFDLLTKVLVKDQIAPPTKGKGKPISGKTLGMAASLGGKVFDRL RFCLTSEVNQTSKLKQRADIITNLGGQVVLQPDTAITHVIYDAGRSASLLAQKLGLET ISELPAGTVCVKWDWVVQCKMAGKMLDTTPWLSFPKTSFSRDVSTNAMKPTSRIFDIT DQKREATASDSDTEESARKRTRLGQTKSFTLFAPISEKSESNQQAGPSRIRSLANLGV SVATEHQLPSGPGWERASRNDRDALDDMIDGVMKGSIVDQEVSITPRPQAGASLTNVK GKSDGFKCAQKMDGKGYTGPNEWLAKKFEELYDLYQGQVGKNSFAIRGYQRACFVQID EFLSGAQGRAFYENTEQARCVALFKDIYGVGRQHANDLYRLGARSIDDLRTGRYSLTA GQMIGLQLYDDLKARIPRNECKDIFEIIRSEAQAIDDKVWIEIMGSYRRGQDSSGDVD ILITREGEEGDSKKGIVKELIQRLKKKGLVTHDLGTPTDWSAPEAKWMGVGRLSTVHK HRRIDILSIPFENWGAALIYFTGNEVFNRSMRLYARKQGFGLNQRGLYKGVMREKDGT KLTEGELVASRTEQDIFDALGLRWRSV
I206_04944	MEASRSFSVEGESQLRPEKIKRGYRACLHCRSRKAKCDLGDIDA PSSPPCSRCKRESRECVFAPSRRGGNNRKRNRKGSLDESDVDTKGEEDRDQLSGNQLH LPNSTNQTFPYPYPPDSHHTLPQPSGSHDSRPSPHATYPYHGPNTGSSGANLSPMTGT SVHTPPARPMYGPAASSSRNAQQPSSTPSPKRRRLHLNPPLHAADPSSIVVADMQNES DALQILALASGQAATKESIVGGRGKRNQETYLHEKSGQAATVRDISNFKRFYDRRKSD EAPALEDFPLIKLGILNVDQAVRLVDRYFRYYHHLTPICPSAVIPTSKEDLAVYAQKE RYSITTFIIIASRLDDTPGSRDIHNKSWEVMRGWIADVQTLGAPPTVGFVESILLLAE NPPRPSPLASPVELNAVGAREEPHGDENRQGWQLIGLAVRSAYELGLDKMALRLLPDS ERTLEIERARVAWTYCYLSDRHVSIRLGKGFWARGAAVCFQGFSSSSQSGPAAGYGNF PFLREIPDDQEHPQEDYGSLLQAYLELTQLMSNAHDTLYPNAARTRSLVIHGEYFKYL DEMARSLDAFKLLWVRKQWKMFPLVDIMWTFFYYTQLYICAFSFQAHVERATVRAEEE YRALQRQTQQKGTTTIPKLSLFPRGAAQSPDARYIFHMCDAAREMLHICVNKLYPGGA LPFLPTRHLLWFTYAAIVLLKALYSGAMLRGDHQRTNELIDKLCHCLSQTSTESDYPA VRYGRQLEALRQKLAGLSDAVNTRSPTGGVEVPLPPIRRPSISQWEGNDTYRNEPSGH QNAPARQEQSDPPNHHNGYNDTNAWRQHSIQNQPPTQHMEYVVPEAREPIPPLSNLPP YMPTANPLNTMPYTQQPISDIGGTQASLPKTFSGGNVGEPDFTLNSSSSYGPTDNWFG FVGLGNNWQAEVGNRQEPGFGQVAGLDLDDFFLQVGPGEGQGGFPFR
I206_04945	MSTSMSLEYTSDRAEELKENIESVQAEIDQAVSASGSKPRLVAI SKIKPASDIKALYDAGYRHFGENYIQEMVDKAEVLPKDINWHFVGALQSNKSKLAASV SNLHVLETLSSIKVADLLQKSLSPSRTSKLNVYIQINTSAEDAKSGLPSLSSTSSDGE VVDLAIHVIRNCPGLNLLGLMTIGSFESSHDSSKPNPDFTTLKETRKELARILREKGI EGAPEENQLELSMGMSADFAQAVKEGSSSVRVGTRIFGERAKKVPKAAVQ
I206_04946	MALPSARSALAAFSRPCISNAVASSSRYLSTSTMRSASTSATTT ISEDAETALPIPFPKINKYLSFPKITPHKPKYGIHVATIHLQSYQSYNLDLTTQFTIH SANSLNISTSLPAFLPKEKSLYTVLKSPFVKKKSQENFERITYKRAIKIFDSSKESLD LFLRYLRQNSLPGVGIKVYLHEYVQVGFGKKAQQELGQQQQQDQQLLSDKKIQDAAQD LVKALSAESEQMESAAAVSDGKNENVEMAGKEAEKEEKEKV
I206_04947	MGKSQAEEYLAKAEKKSSSSVGWFGSSSSKWEEAGDLFAQAANA FKVEKKWRESGQAFEREAACRQQANETNDAMNAFHNAAKSYKKSDPEAAVTALHQCIK LLVNSGHFRQAADREKEIAGIYAQEGLDVAKARDSFVRAGDWYKQEDANATANQCYQQ AAELSADLQDYQRSMELYQTVADWSLTSALTKYSVKEYWLRAALCSMAMGDLVTTQRL LETFAQKDVTFPSTREAKFAHELMDACEQADVERYTAAVYQYDQVTKLDNWKTGVLLR IKKALEEDEGGLT
I206_04948	MASHLANIFGTEQDRVNCSFYLKIGACRHGDRCSRKHIKPQFSQ TILLPNVYNNPGHTPEGQHMSPEQLQSNFDTFYEDFYIELAKYGHLLEMHVCDNVGDH LLGNVYARYEWEAEASRAVQALNDRWYAMRPLHSELSPVTDFRESCCRQNEMGECKRE GFCNFMHLCHPTKSLVKSLEASQRLSRRKKQENGAGETTENAGMGWVPGGGDARNDDG PGGWQPRGREDGDLGWVPNRG
I206_04949	MAPLTPAERQKILLQQEIAKLSGAISRRSTTAPSSSYHPYRGRS VPRGYSATRARGRGRGGSYALDLRQINKQSSSAEPSRPSSSASIHNTTKNEEKEAGEL DPSPPDSDPVAGPSSTSAPHANWVKGKGKSGNMSLMTAEKRDQLKVQRQRTPKLPPQI QMIISESTSSGDKRVIIDGVVFQFEQDGKKLTRIGEAPSSTNTSTPTRRTLRFGGEKY RRTSRGNLVSRKSGSTSKSMELCRYFTKTGTSCPLSHTPSPHNTPSCVRFQATSTCSK PNCPYPHVKVSPDAPVCEDFARIGWCDKEAGTCENLHVWECQEFREKGTCSRNGKCGL RHVVRAETNRAVLEDTAETVPKNGEGGFEEQDSFIEFDQGSPALLSDGEEEKAKHDST ETEDESASEEDEEEDEEENDDDENVAEEESEGEDREDIIDVGSPSFDGNGKYTAASSP SAHPPSDMMDTDEVDEDAVLDVVL
I206_04950	MFFSEDLLTNKNGTLAATLGPRSKKITKKQLTSVDLSRTCDLIA EPPEPLALRLSGSLLVGVTRVYNQNYDVFYSDVTNFHQNLRRSIATDFATNGDGSSGT TSLDLPGGGRSRTDVITFPDSGLNFDFGLNLQFQHIDWHDPLSTGRTRRSSSKLSSQA TQEDSEEEHEDEDNEEDEAEEEVGRNAKRKKYSSSPFVGPTTVTRARTSVHHPSEPTA LYAGINVPMGEIDLGLDLEGMNGGFGDESFSGPSGRDFEMPVDGEEGMIADQADLVPP SRPGSAPPGEQDMLVNDGSNSDFKGIRKRRLSGAGSDEESVKAVEQQLIGEPKQRKVK KVKKVTFDDLKLSHGQDAEARRRYRDVMKEQKEASEFKVRDKASHLTAAALVDSTGGL EFFDADMKSFFSTFTQVKSFKWETDTVVHRLGIEHEEPQAQDDDQRQGEYDISGGDGD APMMGIFQSYDIPIQELSASARQSRLHPDPEQARRYSQGSQQGPLPASLSILSRFPDL GDTSFSPATLRLSVMTPQEAKLRSRSIHHSSGPGSLGRRRARSSSLISNRPDDDPLLL VHGNDLELPGGEEEFQLESLAASQQARLADLPAAFKPEMLAALETQCRDFFTFVERKM VTLSIDELDFEDLVPVESKKHVAAVAFYDCLTLATKKILTVKQGEAWGPIRVSFAVAS T
I206_04951	MALSDQVQPEAGPSTSSSSATLQPSPSPYHESSQFRHWRYSPAS LTLIREELNAKSVEIVAKNTELEKEAQISLGHSYTDPPPPTAYLTVSDELLLLRFYCS QISKICRHGFGLPDIVETTAISYLKRFYLKNSVMEWHPKNIMPTCLFLACKTTNYPVL MDQFISKFSKLTPDDIIDTEFLVAQSLGFEFWIRSSEKTLRGWSLDLQNQPNPQVDVI QKSLSEALKYLSQSLLTDLEFIYTPSQISLACFHISNPKVIEDFLDFRYSSFKPNTTP SLDEDQESKDENNQLPYGMTKDRLLEILSDIEKVIKMDGSIDIDMKKVKEIDKRLKNC TNPEKIPGTALYVKRKREKEVNEAANKAAKTSKAARATLDRESVFGSILSIPSSNNSP RKPLSPKVTMNGVPVSAGVMKPGGAEVDLEDDGMGVRDENGIVLGGKGLKDVGLPL
I206_04952	MRKPIHIISAIMLLPFVTPFSCSLTASSIPYDISPLAGLRQVSK DTPTPPTTTEARCPPNTRVCLTLLNHKPSASDPDRVTAVIPIWPIDIPDENVYTTPMG KKGEEGLKVYVQGADYAGVQQHLNLTLICSQSDTALNPTFVSYTAGLVSLEWATPDAC PRSADSPTIPSGGSSGSNGMGFWGFVKFIFWLIIIGLILYFAIGIFYNHQQYSAKGWD LIPHRDFWREVPVLLQDLFSHLFAGLRGSSGGRGGYNSLG
I206_04953	MPSDPKRRRHRSTDPKKRSRESREDESAERKHRSSPKDDAQRKV KDTAKTVGSGAGKAYDTIADLFGGEKELNRTIRRYNPTFYEFADKTCLKNFGLPNKPS KFLLFIIALALLQSFIPLFQWPLDFVARWLGFVFLFGSGTEELKLGFESSRKANKIKS LLTIFLILSALQLIPNFLFDTYYHFGALWSFFLPVILFITPFKETPDQTIASIICDTF FSSFSMVLGSLIPDSMQGENTQNMAILVGGVVALLFWVGYLGSVAAYVTVWCFLALST INILGETFIAKDESSSGFFRQMKIWHNLMAIWLWRYLISAIEGISIPGIISVIGLIQY YLPSYFLWMTGFMFAMLMTKKIEKRHVSSLLA
I206_04954	MGSITDDTAENPTSEAKLVERLSALGAEENKREEIISILDTLTV ALRNDAIRIPLGQTELPQILVDLSGEEDDELLRQVGRVAANLVIDCDANRNILVKAGY VDSILSHPIFQLPARSAPASAVLAITASLHNLTVDKNESAIKLLKQELHLRTIIDFTH RWTEDFYSSSPLDETITIARWAWSIMASILEDPPSSLPFNTISTLLLPISSHAFDSNI DIDSHLHILTHSCNTLDSCITQANSSRTDLLEHLGSLTDFVEKADVPNQTEVEDEGED QEDDDEEDFEKRLGKAKAATVRGLVDLSTDVPITSSFWNTMRRWLDIKERSDLLNCAL LTFGNSVKDDESAKNLVQGDESLLPKIIPLLSPSTPAMTQHSVIGLMKNLSVARDNQD VLGKAGVIEKLYEMGVWSEKTDMLGSVQGGAAGIVKNLCRSNASNSSRFLVLPLDPLL DLIKRVDDPALKFECTRVFVNVIKSLAIAQKPVAPVGDARIIDALAKMLVDGEKYPVL QSESVIALTLLATFGGEDINAAVELSLEGKGGDIIRKMGEDPRKEIRENANTLLKAIQ R
I206_04956	MTSRGTLIIPVFTCVHHTSLSTSPLLHTSCNRPLNALTFHRIRS LRLRTRGHPVDSSLHSGWHVDQAILVEEDRVVCIRFGHDHDPECMAIDETLYGVSEKV QNFAVIYLVDITEVPDFNKMYELYDNSSLMFFYRNKHIMIDLGTGDNNKINWAITDKQ ELIDIIETVYRGASKGRGLVVSPRDYSTRQKGK
I206_04957	MSEIHPDTTDIEADDEEDTSDDDQAVFLGSHRADELSLIAKLSA STTSTPSPAVIRVKKRDSREFMRRKTLLLSPIRNRPVLAERQIRAEDYSDNSPSSSPS KPSKCSCSTPMKIQDASDLTLNFAQFHLSSPRHCTPQPEESGSDKENVPVNAPVEDKP EERAMGEVVVIGQGSSSDGSDDGEWKDGQNGAEILVDVILDMGNLKLSDFSDPETGFE GQTSVYKHADHADSSYRTATPSPTPTSPSISAATSPQSSPVDLLTAGDTSNIDSPLPM ARAGPVVIPVLSSHHFESIRAESRSPCRAIPPPIIPFPESSNDSIELPIPSSPLSLPI ERDIRPLATPSASILPPPSLVEKGAKLLKESTTARPAAIVPTKSAVRVLTIRSQLDNA LSSRMGALGPPQRSVSSTSTSSSSSTSSKSRVVSSSSSTSRSSAAGPTKPITTQVKPI IKSVMTSRPPVPVTRPLVPARQKPTIVPLASSTTKSLPRPALAAKEIVPTSFQTTIKS ANSTKPIISSIPLKRPAPVTASIKSANVPSQPPRFAPVVPTRSALGQPSRNIHHQAPN LQAVPIFSVGVATDSHAIGRPAFRSPAKSGLMKRTFVDKSTPRKLGTPMRFGTPRQLT MSTPSTLSLAPPIHDVSAVPEPVSNGPPASATRQSFSTGPSSPPEPARGSSADHEEVS VQLAKPPSSPAKSPSPKKQKRPVGRPRKIPEPQSTTNSSVTVHPILKAKSTKIIPLGI SEKELKATTYRNTIRNQVYHCAIDRQIVRQNGPRPPSPTSKIRTTAERDEEEKKQARE ARANRRKGQTATEEEERPVIERFEQHRFPGDESDFETPRPVKKAKKEDKPDKGVKFDK GLTVIRDDGSIRPPSREDKVEDASKKGCLRTKAELDHLGNLHEAHRPIDNLKRIRVVV NAVFYDGEEPVQFSYSPSNGTRSKKK
I206_04958	MTVTGVTTAEVAQGTYAPPFKYVTSYAQDPEVTGSITSALPINP TVTASSNAAYATAYLQAHMMSWPSYRYAYLLWFIFIALAGIYALAHHLRLSGGSIGLG YKKWGMKRKPIGRKIKGGSRGMSLPSNSSIISITIFTIISCVLTLIGSDYIIPTSSTL NFSTSFKRNIIEKRASIGYTISKSFWTSGSRFGFISFSLIPLVILFALKAPPIAVLAW KGFTHLYSDKLALFHKASAWLVWIFTTIHVILWTIQLFKDQTNGKAVWFLIWNSYRFI FGCVAYGAMTAVMVLSLKPFRKNSYEFFYICHVIFVFLTIVCSAIHHPVLWFWMAGAL ALWGLERIYRFFRLAKINGLFGKQKSRGGNNYDVLAGKPYQDKTQTYGMQDLKRSSEY DPVYTDKTLPQPPIHDHVNGQDEFGKSTNQGYYDEGSLQPLGSYEQRYDQPLPQHERT ESTTNMMPIPRSQSGAIPRTSSMASMRMSSPALPSFVPVPIPIGYAQAQLLPSRTVRL TINVARPFTWSPGQSVLLYLPEISKFQSHPFTITNNDPNEIVLLVKARKGLTRRLFNL VRAKSLAAVGINDVKDKRISYQSLNPNDSKAALQVPPIFIKSWVDGPMGSSGRVNWTN YSTVLIICGGSGVSFGTAICDYVCRKMNNGIGRTRRVRFCWVVRAFAEIAWIASQLRR CQNLVPKDQLEISIFVTKGHKPLTSSRSTGGLSGGGDEFAPPRPGFAGGTHQRRGSAD SIASQMSVDSDNEGASREYDETVDSHLSSNYADVIDLTNYEDEEDVNDPAENILSENL QKQGKVRRAKSRKAAKKTRPSYGGQAIPQTGSPLYPPNRQSQHQQQSSYDYPQPRQTS AYSGYDEYQQRSSISSFDDLQPPRRSGYDDAYGGVQHSQQGSSNLYAPQPNQYTSTQQ SPTSAPMMLGNTSGSQSQSNYDRRQSYRSLADSTYNQYNPFNNGNGTGQYSMGPSPSP SIMNFEQSSEIDNNNGRRKSNFSNLNLNFKSTAEQEKDGGLWIDESDYVAMNILSENS VKGKPKLSIVIQEEINLNLGNMIVATCGPITLNTVIRNLISKNISPSKLRRGDKSGQI DIYSEDYET
I206_04959	MTSTSRKYTLHLALPPRLALSRTDSEDSAPSTPGPHTPLPYDYG FFNASSMNTMQLDECNSINIGSKRGRDEDDDNSLEKEWTEEELDVLQAILIHPYKPVS TSYPPGELPPAKVIDELTNQIIQYAFRNTHSSPTEPTSRGRSRSKSPEERGKWTHNWD STRKRLFDIALHESKLAFGFENAEEKKKMTREERNRPGLRRMDSMDFLDQAEDVSEKK GDNVGRAIRLSTTLQNSAKQEPLLSLTRSTSVAAITLTPASPTGPIAKPILRRKSSLR NLSTKPSRPTSLLQRGRSFTADDLRAEAETLSSEPEEQPVSNQSIVSPTSSEIVTSPI TSTSPLPNKPSDTSICTAKLTRSQSSLSALNSEPHAFQRAFLQNPIPSTADRSTLILP LPDGESSPTTLLESPPLDITSSQSVFRCSNGGGWSDSEDEGPKMKQSRKVKKLRSTKG KLDLGGGLRGPQMLLPQTMKEGSGSLGLRSPFEEKDEPQFI
I206_04960	MSERMKKELEEKRARVAELRKAREERKAQLAQATSQGNVEPLTS SRKDVNDLVDSLLARPSTPITVRQSSYVSAQSQTPAARSRTTPEESIPGTPGGRASRL SNDGSIGRASGSRIAELTGGAITPGHAIDRETMGSPFQAIDMVDMQQELYEMPSKQVV KPVTYSKAIQTSVTISTSTSDLESDDESSDEMGQRRRRRRRVDGESGKETEEEMRKRI LEELEEERKALEKELKELKEKGEELKVNGEWEPESNLIELALVTKRIALSDEQRQAIF AAPDFSAFIEESTKIVQRALSDGYDYIRDYTIGIDSAFDEAEGQQVKLHCAFSDERWT SGRSITDLDWSPKFPELVAASYNKNPSAVNDPDGIVAVWNLHLLERPEFVFHSPSDVL SVTFSPYHPTLIFGGSYSGQVLLWDTRAKHLPVLKTPLSSNGHTYPIYGMKMVGTQNA NNLITTSTDGLVCSWLSDMLAQPQETLPLTVPSHNKTDEVSITCLDFPDNETSTFWVG TEEGSIYQANRYDRASSKAGINNEDIYRGHSAPITGIDFHPSTGSIDFSDLFLSSSVD WTVKLWRTKANSNKTNTKSSSSSSSSRNEGLAGISSIHSFEEANDYVFDVKWHPNHPA IFGTVDGNGKFDLWNLNQDIEVPIISTKVSNEAINKISFDKLSNLSKKVGLGSSNGKL YIYDLSEKLIQPRENEWNELQKNIQNLIISDNNNNNGLIGIDINSLNRDNKYGSRYR
I206_04961	MNPHQPDAGPSSPRHRQTSRGQLQPGYSDPILQPAYKSWTSHSH SHIPSQTIPEMSTSPSYASLSPTHNFHPAPSPRERLASSVSNSSLTSTLRGKRAPAPA ALDLSPRREDRYGNLGLGLGPSPDNRRVVTEPVRRASRPLPHPPSQQSLAPSAYTTPL IPQVPSRQSSLMIDENDPRASSESQYRLDSYYLKPSSPLAASSPEQPDPSNIDRRALI GVGELATPRWGASNTHQHLRTPSMPFNLGSTPPTSITTMDNWKQGQDGVGLGFETNDS RMRPMSMSQAPIAPRLPSKSPARERRQETATAPPASTAKPEDSPFSLDTLADFNFDST MESALAASLSMPENKTSTASMPNSPPRTRAVIPESPIRNLQHISAPDSPLSPGSARSR IYARRQERERNAAANASASAHLSQPPSSADFQTSAHRATLPQPPTSADSQTFAHRTPK DSRKTPPNQLRHSSHDILKQFTPKDFSHLPPSPSSASINQILKGSGSVNNMVGSVSTP PTSASGTTSHFGAKSVQRSDSQRLRTATSSSKLDANTEEAMRKLDGIATTPGKTKAKP KTPVASRPATPPSTKKKVPSRLSNGSLKEKVSESPLNNWIDIGEELPAVPIPKVRSAK RESSSSTSYVGTPTSRDSHSLPTTSTTPSITDVAPTKARRASGGSDISTPSIIVAESS DQDKNISVPPVPPLPKSYISMRQGMNNVASFVPVREDTSTSPMLSPPTQQAPTTLSSP DASTSPVVAPPRMSKKWSFSSALNLKASASPAASSEEAPRSPQTPWSEIHPSEFPSPG LNRHESDDSQHLSTAKSAGKRLTPSSIPFFRRTSSNSTQSKITPPETPKQSDSKPMPG SQSRKSVLGMHLPSMLRGSTSKRGLSQQFPAPVKPEVKPEPQTQSTGWTGRRRGKTLS ISADPSKPFIPPELLKHKSSIESGLDSRSSAASNRSESTINGQQDRRLPAIIGSPARP ADMRPSDSSRNLPSITPTKIPRIANRAGVGSPHTSMPPPAMPSLRGKTVSSSMADLTR PPISEFGVVEGMTKARQSTSSAHRAHLLAPMSARQETRRTTSHNRPSDPPVRREIVPA SRRHLPQPPSSATASTLSSSAKRTSRELRGVSKKDSRETLQSQSGGSSGKTSPIKPSK SLHSKLSMPSTSRMSSSSSMGAPGTSFRKTSLAAESPATSPADDEESLADAEMAAYVK RRKQRAAAGKKDDLSDVNEFPEDTLAAEPMSQRAFISRFLQQMSDFERKEVLDFDKIY YTPLEAKKITRPPQPNGAIYNHGYDDERGDYLVVEGDHLCYRYEVVGILGKGSFGQVV QCRDHKTGQSVAVKIIRNKKRFHAQALVEVKILQQLVEWDPEDKHYMVRMTDSFSFRG HLCIITELLSINLYELIKANQFAGFSTVLIRRFTTQMLASLQLMRSHRIVHCDLKPEN ILLCHPSKSGIKVIDFGSSCLETEKVYTYIQSRFYRSPEVILGMNYAMAIDMWSLGCI LAELYTGVPIFPGENEHEQLACIMEVLGVPDRHIVERASRRKLFFDATGSPRPFVNAK GRRRRPGTKSLAAVLKCDDDLFVDFIAKCLTWDPDKRLKPQPALRHPWILSGRRRNVL SPPNNTPSRSNEERKMINGNGSGTSQLLNSPAIPTRQLKENPNSSSSKSKLLISPPTP LMARQSSSSNFNSNIGIGIGNLSRVGGLSNSINASIGNNSNGSKLGHQTRNSLTVSLE CLF
I206_04962	MASIISSIWPILTSYRPNAARDRQAQREKTPLRFGAFEATYRPP RWGVVRPQNDKDAQRLYNHIRTIAFWLDAAPVLADLGLPFRAGLDDIISLVPIYGDLL SGILQLYQVWLSFIFGVPKEILGYMVLNVFLDVIVGLIPILGDFLDNLFKSNLRNLLL LENYLLNQKKYNILLMPESNEFIPKPPKNKKFSTFWFGGGSKSTELNEEIERERINGK IKKTRRMKINEGEIFENFSKPYSTSTFTTSEQPDEIKPSGSTTGTRRRTRQSGNSDPD PVMEPVD
I206_04963	MSSSKSPSKTPSNTPTSISTIIEFTTKRKGKGKAKAPLQHVTPP SQDTASVLSRTSREKHQNLDREFQGQISTATTLAAGPYTPEGQSIPVFPGATNDSDHR DSLSPKSQPPLPNLLTSEKSIEEQSRPSERKRSWLKSLADQLEGVFHLLTGMSNNETR AVHPRQTDPASRDKIAPSRGPGPTIDHSGDTQPPPRMAGPSGLEETPNTSARLQHNSD QAIAGALYSGQAFQRMKRPSPPLSRSQRAECKTRQLAQEALLRGFNSVRPVMPLPQSE IAAWVDEGSPSNDGSSDSHQSDGHSGYQTPMELFNRPWSPDEDRPPEDTMRNVARPLV YPVPFHLVSRSKSYPTTIGPKPFRTATTSLRHDDSTTKYPSHSSIDSNSQQHTDNHSR QTRKYNHLDVLASSFSDSSCPLEDSLHEFEPLQVLKRDDPPSLRSIIDPNLWPILEGH IPATLTISLPTNLNLSSKIPHSLIHNRSSHSAPLPSGHLVQRGWSPIEIGTQLSDKTL QTPPLEHDEGLSSDNLSQKRCALRTPRTPYGAHRSQTSSAQRSVKNSIRKAHTRRKVI RTRRGTPKTRDAAQKITRALREVRSNRKLVTICYSNDDLSDKPTLRLRGGSTFWSSPT YSSASPSLGIETSDNNVQVRYPESSVNASTSSAMLPGSTPQQQTAQSRISVPADRPSK PIAIFDVTRSVMPGVSVGNLVPPWLWAKISAVVQIQLDSALGSIPPQPYPSHLPWYMH EAVLQKLERIAAGDLPSHFLPLMQAAYASRYGSAPSATYNFQPEPSVVQRSAWPQQNR VLDELAKLSTLVPLPPSPFDPSTEGLYEPDVEPIDQPSNWEAVQQVRPNSAPPQLQAT SIPSLTTSYGNSNKACGAQFDEFQPAVPGRMQAAAGPCTTWDCPASQVGAQATTQYAT WIATSPQRESSASTSSMHASTQVPQDIYELWPEAFTTTTTTGPAGLDTQGVTSIPPAV QEVQSPASVLADPAFSIAGLPQGSSVLNDLLFPMAPAVDPSAGWGVPSQSESSAGPPG TYSTPGMTWLSAPSTSPIPYSPPGAPSPFAAEQQQTTGYDAHQGSWSTTATGGHNQIQ PTQQEISQSQQFDFSALSPTWQAGIDTFDTPSSLPVTLSVPPTSSAVPSSSPETHHEM PTWPSWVTSQPSNMTTPRRLVRNQSPPLSDAASVNFSPSPRPTLASSLPVPSLVDRYG VSSLSPTNQWGVPGFAQYSDLQAWRPAYTLPHSINIPRLNLIEPTPQTSVGSGSTPNT PANNGMTPGNGNGGSSAQSLRKIGDLAVVSESSRLRTGRSRSRSRSKSRARSRSTSVA PEQASEGGKRLVVPSVDTTNRARRSRSVSIPSSRSTTSYYPSPESRKSAQELWMDMKK LVGSKQESKRLYREWEIKNADRFKREEEERIERYKTAARIEWEITKLEEWNRMRTLGI GIIDPISRMELINSPGGTPHLVVAGQTEIPRLILDPSGNKYWDGESKYLSALERMEVG NTRAGSSSDPEQRPKKKRSITDIQDMGLWEPEEGRRKKSRSTSGSERSDTSQIDEQME DEAERSRKDKGKGRAMHF
I206_04964	MDSIISPIVISIIAFSAGYQLNSYLSSKSNQSLLLSSKNSFSSK KKSESSNNNNNNESESEISNESEGGTDTESDLEDTKAALSSNLNLTKFNNKEEMKLIL IINDELKMTKGKIAAQAGHATLACALTLKEANPKLFRAWQNQGQPKIALRCANTEELE ILAAQARSLNLCARTIRDAGRTQVAPGSKTVVGIGPGPARLINTVTGKLKLL
I206_04965	MAKTANTPTYSTAGTVSAPIDLSGLKGEYTTLNLQNGSKGKKVV KPNVKVTLTSLTINNSGTLRRIYSVVIPIIYSDKFYKEVRDPLLDDVNKLIYYADIPV GAICCKFDNLAKGSKEPPTLVILTLAILAPYRSLNLGTSLLLSSLKASINPITPPPPI PSDNKTNTRSSLTVVPPRVKVNRALAHVQVGNLDAKRFYERLGFKEIGIEENYYSKVE PHGAIIMVCEDITTAIGENQPNGSS
I206_04966	MSSLADQIKKLTASQPKYVDPESAYTSLDSLSLPKKDTNEGKEH YLDVGPSRLRSNLNNHNNNNHNNNTNHESSEGGTLRGVKYDGQKVGRQKIFDDDDEEE EEEDRSGAMAFGNVEDSEEDEEEDEEDDAEVEDDEDEEVDEDDDDDDDDDEDEDDEQE EDEEAHERLRPSAKSLNKALDPMASLRDSRAKDIEKGALFESLLTLRITFQKALTTSQ SLPKHEDNYPNDPENEILIKKNEILKSLSELNERLFTIRENLVLPEEGGKKVDLGKRK RDEFDEENYWKESASSSLKLSDSNHNQLLPILQKWSTKIQAASLQLGSKQAGGSKFLQ SVKSGNGGVVEAIEAGLASKRESDKTLMESEESGYRSLLREVIESRSGSGPAADLTHL RKEKKKKREAERGGSKGRKLRYTVHEKAQNFVVPIPLSNGWHEEQVDELFSSLFGGVG MTGAIAEIRVEVDIGPVDGEGLSGLGGLRVF
I206_04967	MFFHLSALSVFLIIAFLIIYYRSRILPIASKYLPTSLVAKFTNY EPLRNFSFSEQANAGITSNNFDLESNNISENSGESRIGLDERGVEEIRRIMAIEKCTF DQARLIRHNRILAKNGIAPDGTPMDSKAITRLS
I206_04968	MSTIDPSAPAPLRLPAILQNPTNTSQRQIESYNSQQREKLQARR EAKLAPRVDNKLNGKGKRVIRRLDNAAFASNPHIAPPLKSDYYPSVPLQSRSKPPAYF DGDTIPRKQSIPSTILPPKGLNSHDSVNGNFNLSLKGTRQLLRKRGKRVEGLINTVEG ELRNWLSGEGWNISTSNINDLREGPSWKIIDNILIDLSLFEQSINTSTITNNGQIGNS NRPGRRLPPQHQIIGLLPSLPKVNDKEIPSIMEISRSPVHLSWYIVDSFERLVIHILV RYYELVSWSETHQTLSGESIRLTNIIVPTIIKPRINISNQLITPETSELSSLSGPDST GSFTASELDSDTATERGGDDNEEEEEEEIGYNLEGDTTITSLPEEFSQNLIINNQVEL ERTISNTSSAYASSEGGTSDYSLLEDSLILPPAPNRISNLNMNSKIPPDDEWSDFGSD LGDLPPIISNSNLSRPDRFLSNGLKSIENGNGNYNLNRRKGWEDKPTFFEYLYGA
I206_04969	MPPHLSTITRPIQKPHDIPFIQQIAVILLSFVLAAGFLLVILSC ALWANWLPLLVALTFVLAPLPNSICSRCSRADDISPEYNSAYVDFGRFLTGMLVTTGL SLPVLLAHSKLIQPAACWMSIAGGGLVYGTILIYSGWFGGSSDDEGW
I206_04970	MITTQHILTVLTLLSISLASLPPAHHPAQNLETSPSSIPEIRSK GYLPKIQNRRKSTQLYKRGPSVGISDVNLEIHERAASVDIGKGKRALNVNGTEVNLGT AQNTYVVPVSIGSPASIYPLQLDLASSDLLVASTLCTTTTCPTSLGPTVNAYYDVSKG SSGFEVVNGNKTYWNSSYADGTTASGIVVREVLTMGEVVLDGQVMGLMNATNLTLSQQ KISGIIGLGFPRLSALSHILLEPTTADDTSSSSSSASISASSAANSASSSISTSSASS VSTTSSSKATPTYYPPVLENLVSQPHVPYPVFALALAPPPSNTTSTSTATSSSATSSS TSRYQSQIGSLTLGGVSNHYISNDTDSGRTVDDIEWHQVIPFGKAKSSTNDSAQALSR TNTISSTAISTSASTTPTSSSSANGDSSRKKRSSSSELNTFPSNLDELGDEEYLFWTL ELHNLSLNGTDITLNSSYADLGLGSLALLDVGFNGISGPQQDVVKIFEKISDARQVDE GQWVVPCNTKMTLGFSFGGRYIQLQPSDWISTQIDSSSLCLAWPIVAPSTGDGMDWQL GTPFLKKVYSIFSYGINGIQAPLVGFLPLENNPTSTNSGNGTNTTTYNPDSPTPTTIE ELHLTTTIQTILPNQILPDPTYTTPSYVYSTILQTGINQYIGLANNSIYSEIEEVPVV SLDSAATSRMASMAGGENGGSAASGSGTSGASKNDLSLIGIGMISGLFLGVFGILGHL 
I206_04971	MSIENHLSTLFSDDHSPSSSDKEWENLLIEWQKLHPSTNGIYDD EEDDSPEYVYNTITWPSILDYLPTSENAGPSSPSFPPSNLIDEVKLNENENGNGHSES RQNKSGQNLLTRIQSHLTITSTLNSLITLLSSSKSDDEIQSELIEIMGFEGDGLELVE EILKPGIRDMIVDQIGNNGESSGSRSRGTSTPNYLPNNRMTVKAGKGKEKKTQINISD VIGSAEDIERRIQEQLERPKAMFSEDGPRIFEQEILPHVYTASGSKAVNTSYGGKMAL PIGTQRHINDTFEEVTVPPSNPVPPRRNERPVKISELPRLARGCFKYVELNRMQSVVQ PTAMNTNENMLVCAPTGAGKTDVAIMSIIRVLSQHVIPGPSTHPSGFNINRDAFKVIY VAPMKALAAEIVAKFGKRLAWLGIKVRELTGDMQMTRQEINETQIIVTTPEKWDVVTR KPTGEGELASKVKLLIIDEVHLLNEDRGAVIETIVARTLRQVESSQSLIRIVGLSATL PNYIDVSDFLRVNRYQGLFFFDASFRPVPLEQHFIGVSGKPRSQMSIRNMDRVVFDKV SELVQEGHQVMVFVHARKETVKTAQKLKEMAIEEGVSTFFERTDHPKFGLYKRDIGTS RNKEMKELFDAGFGIHHAGMLRSDRNMMERMFEDNAINVLCCTSTLAWGVNLPAHAVI IKGTQVYDSNKGSFMDLSVLDVLQIFGRAGRPGYETSGVGYICTTQDKLDHYLHTIMA QHPIESKFIPGMVDSLNAEVALGTIANVSEAIQWLGYTYLFVRMRKEPFIYGMPHDVI KDDPQLGNKRNELISQAARLLQAAKMIRYDEIANTFAISDLGRIAAKYYLRYQTIEVF NTKFNTRMSNADLFQMLCEATEFDQIQLRENEVEELEAINKSDVIPLEVAGGATDKRG KVNILLQAHVSKVYIEDFALVSDAAYVAQNAARIIRALLEIALSRHWANCAYLLVELS KCIEQRQWVYDHGLAQLNVLQRDTLHKLNQYTTDDMTIADFRNMSAIELGDFIHMNEK HGQAVLDAANMFPTVGMTYKLRPMSHDLLQIHVKVVPEFKWNNKVSGGSEPFYVWAQD EEGLNIYQWRSVRIQPTTTVIELDFILPFNDTLPASISIVSISDRWLWSHEQITIQLD DLVMPDHPSPHTELLDIPFLRLSCFDDPALESAYRGSMDTLNSLQSQAFWTIYNTSVN ALIAAPIGSGKSFLGEAAIWNAFRHNKEALVLVIVPQHHAVHETVARLRSLCPRGKNI DITPLLSAADFNKTSSPRGVIGVTTPYALLSCDKLDDICTSPKLALYVMEDLHLLDET YELCITKILTFARTLRTRIVGITSSLDDPSSLASWLGLDTISSSEPSTVLIPPALFSF TPSSRPNPIAISIKPFSIPHGPTLLRSMIKPTYDILKQSIGSTIIFVPSRRACTEVSN DLVKQSGTEMDLNGFLTTPRDHVEPLLQRLRDQGLFEPILHGIGYIHPNLKPADLSLV LELFASGVIRCLIVVRNLCWTLPVKANTVIIMSTQYIQLIPTSNKKFDKEGREIFERR ITNYSNLELIKMQSFTSINASPIQEIQSKMFIMCQSEQEILIQKILINGLFLESNLNN FLIQQQQQKRDLINESWNNSKINKNKLFNLLSLKKDLKISTPTQFSSGFFKKIIDQRK INLIDFLNYSYFKERLKKNPTFYELLNNKDDQNQSVSRLIDQFFEEFDKNNNENGNEN QMKIDKKEKGIKNKLENGHTKEPEEEEEEGEEIDEIESRRVTIVSDGIDGDAETEVGE KDGETLEK
I206_04972	MAPFTPPTPSTPSNALVLRRGAGPISSISQPPITPSTPKRAPRR HVVKHEFIEPALPSTPRRIMAPPTPPSTGLIRRSRTSTPSPRRRRSYTAPSPHRPRPP PISSSANFRAKLAEIRARAPRRASNGSGTLSNQNSSRRSSRGQATVEDDDDDEEVQFW RSGGRRGSTVDVAIEVDESDDDSPPSPTPSSITIRRDQSVIPVDRELSVTPSIGNSND DHREGRADSTFSLSSFGSRATPFVRAPTWDDSDPRYGKYYPRADALNKDTNNTINNTI VNTSPVPLAFLQSIIRVSETITASQPKVKGETKKFDDNVFSDIDKLNIVQSAIFPNGT TKEHQDFINKIKVDLESSQIEISIEAISNLISIFRISFNQSIKSHLQDYKTSTNSRGS KEFRQNIWFNEFRTFLKYSKSTLPIEIDKNSAENILLTYRVICYESNEMKRIVDVLNE KFQDFNVARARKLLDTMIDLFAQAINIAWSK
I206_04973	MSDLKEPGFKTSDVLSALAGVFEKMPDKEKQAQIKKTNGIFQLN VKNAEGKEAVWVIDLKKEGTVTKGPAKKPDVSISLSDDTFIGLADGKVNAQKAFMTGS LKVKGNVMLATKLDGVLKGAKAKL
I206_04974	MSSMLPNVLSSSNDNWVADEIPKSNEVYVTKRGAKLNLEEIGPK PTKKVALICCMDARIDAFSAFGFKEGESHIIRNGGGRASDSIRSLVISQQQLGTNEII IMHHTDCGFFTFKEDEFRKNLKSKGFSGPDVDLMSFMPMSSSDINENIKQDVNYLKNH PLIKKESKISGWVNDLKDGSVRRVV
I206_04975	MTLLLTHPHGISLSPFTKHLPSTITPTALPLPSRFPSGTTPQVV TSSIGHIYLYMKSFHIIWEYDNKGRRISEISLPGEKVNQILPTSTGGKQNVIVSLEDG RKLKVMEKHESRWKCINTLQAPEGNTMALAGNIDSTLVAAGSNTGELVVFNRIKGERL IVPLNKKSEGPISPLLTFCPSQPSTILLPTSSNLLRLTLSSISTSRIDIKELPVKGPV LDITFSPVVETADGSKKGGLCAVLKQGGEVALIGIDSESSLKIVSFGRDLVGLTFLDG ATLAGRTEEGSLLVKDLRALSKPPFHVACNEPISSIQVLPRVSCLVSLAPSVSSTSSK RTPLGENQAGNVPTPPPVPILKTDLKGKGKLRDTIEVRAKSPSHIKGQEQKSRVAIEK VEPRGKERIVSAPITQERIKQPRASGSRSTSGPLPTVQNRDPERTQSNGLTSGRLQPH PTRCDKLDEIQEEESVTHGHDPSIQLDWALKSPSEKKKSELDEIQKNESEMMIELQRD LRNMHLNMLRIQRDLRKEIRIAVEPLVKELEGNKVIMESQRREIERLRRGY
I206_04976	MAKLKAALANQQYNAAKAAAKKRSLQNEENKKQSIKANLTGSKK GSKKQKIKTSLSLQNQSEHNDKEGGENSKKLSSSSSSSNLNKKSIIPFDKTDSILLIG EGNFSFTLSLLNFPHNLNGKQIFSTCFDNKEICFKKYPDSEEIIKDLIKKGVKVEFGI DATCLEKFKVLGKGKKFSKVIFNFPHVGAGITDQDRNILTNQHMLLKFFRSVEGFLTD GPEELTTKEKNKNKRKLSELNSDSEEDENENEMEMEEEEEEESPYIIEEKEGNENQFI SFRNFEKQEEQKELKIPNKKGSILITLLNCLPYKLWSLTKLATKPPFFTPGLGGESKK FKQPRYKLKRSFKFDLNLYKNYEHRRTIGFKKGLSKSKNEEILRKNGDARMYEFVLKN NDNSKDQED
I206_04977	MSTASSSSFTKDRKRSAHWTDQDTETLVNLLLRHKDIGRTADNG FKPEIWEEASMLLEGNTYMGGPKTADACKSRWQRLQRDFKAAKDMEAMPGFSWDRNTN RLSASPECWTNAETQLDSYKYRKINLPTFDSIAILCTNLGDPNKTRPRAAKGRTSLGS ISNASSMLSLSTQTTTSTSTGTTAPSQASNTTNTNQVPQNHLIINGNGQDQNNSDAMV HIQQHLHHQNQTDQNVFNWTTGTNGNGEGDFENAFSLPDGSQPFNLGQKRPLPFDPSL LSPAITTNQNQGSGPPQNIHSQHTLPMAQGSPPKKPRPSKSHPQAQQNQPIPTHMQNQ HQIHHIAQHLPQAHTIPQQFYTLHPHPHAHPHFQHPSQTQIQVPNQTQHQPHSETSLP PARHSINSLPRSPEFPSSATLLNSRMTSTPPGAITINRHYTPNTLASNHDGNHTISTS QSTNSLQSLSLPVELVSSSGNNDIANKEVDLIKDQGQLSESQRRTNAIIQLQNQQENL ELKDEELIEAIVEFEQNVNAADTFLAIQKDHLRKMWLAKIVKRRMGGGRKK
I206_04978	MPSYAPAAEEIDDDDFIDDDDLPGPPSRSKKGGASGGKSGGKGK DPGRHAWEAEYKKSWDIVQEDESGSLESAVESLLARGRRKRALMADTPLRRSIIRHMF IIMDLSESMMDKDYRPTRFEVVLGYLRSYVVEWFDQNPLGQIGIIIMRDRLSEVLVPM GGNPQEILSVLSDKRRLEPSGEPSLQNGLVMAKGGMSHLPTTSSLETLIVFSSISTAD PDGPTTIHNVLEDLVENKIRTTILSLAGEIKICRSISERTGGKFGVALDQDHLKDLMW ETIPPPATTIAPPITIGVRNALSAAGKNASGSGGGKAPNGDLMVMGFPIQLPLGGETL CSCHGLLKRGGYLCPRCGSKLCDVPTDCEVCGLMVVSSPHLARSFWFLFPVANYGVLH IEDVMESSKSHTCTGCDVEFPDVSTIEEGVAQVEDGVSPTGRYRCAKCQNDFCADCDL YIHDTLHTCPGCAQ
I206_04979	MIRSNPTAIPLRANDIKLLQSEIDKRKANKESTQSTQTQTQMKS TVQTEQDRKNKKSNDDEVFGISEERKDRQGRSVADRIGL
I206_04980	MEQLARVGDFARNFRETKLSTLKSPQEFFDHRQLSRPANMNEAT SRITHNTRHFSGNYLLVIIVLAVYAMITNPLLLIAIAFLAGGFAAINKFAPEPLQVGS QTITQKHLYTGLFVIGLPLLFIASPVSTFFWLVGSSSILILGHASLMEPGVETEYHGV EGV
I206_04981	MSTTYNRSLSTTASSGDENKNEATSLTTNCKDQEVDYSDLDVPP FNPVCPYEEVLKNSLWFVEHEQNRETMASTVLGLMKKREQNGIQSVDSETALDILKLS TQTNNYVEWVWKNDKCKVAHLDEEQTILNHGVDSFCPSLKEEKPKLWDKWLKSRESSE NKDETNLIQAQNSLINHYDKRDKKR
I206_04982	MSSTYHEAMDEGTIPTSNSGDNTYKKDQDVDYSYLHPPERDGTV PGAERRNKWWIDTSDEGKKWAKSVLGLLRDKDQNGVQTIDSKTATKIIEASIRTNYFK KHGYTERFCDNPHLHEYQTVLNHAVLEFCTSLKEEKPELHDMWCRERAPEEVTLPDAK KSLDWYFSNPDRYM
I206_04983	MSSESGISNIQPSITEDMSMDGKFDEMFGYNTYVLNRWRDPTDI PEDVQAALQKNNSSIIIDEVSETAHRKGMKRQWQEQMEKFRSAGILGTNLAESSSDQT FDGFAGEPLS
I206_04984	MYTPSTVSIRDAMELLDDRRVKCVRPLIPPQILLEELPLSLRGA QTVLDGRRQVEAVVKGDDDRLLVVIGPCSVHDPEQAIVYAKKLAEYAKEAEEDLMIVM RVYFEKPRTTVGWKGLINDPDMNGTYQINRGLKLARKLLLDITELGLPTAGEFLDVIS PQYLADLSSWGAIGARTTESQVHRELASALSMSVGFKNGTDGSIDIAVDAIKAAGSGH TFLSVTKQGLSAIVETEGNNSTHVILRGSSKGPNYAAEHVASAGEKLKKAGLPAKIMV DCSHGNSSKQHVKQIEVGHDISAQLSSGSTSSMIMGVMIESNINEGKQSVPPEGPSGL KYGVSITDACISLEQTIPLLDELRRGVRQRRENVKNKHLNGE
I206_04985	MGGQLSKALGKLFGNKEMRILMLGLDAAGKTTILYKLKLNQSVT TIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYYTGTQGLIFVIDSGDRDRIDE ARLELERILADREMRDCLLMVFANKQDLPGAMSPAEVTEKLGLHKMRDRSWYVHPRAR VSQKTRRSYTGERRAVREVKKAKSGLRGKE
I206_04986	MVSPAFPGLFLAFAAVALLVFASVSPPAWDKVNFLHASSGTQEV VYGVFGYCIKGGECSSRSVGYDLQLPGSSNVVLNSKVLHNLTYTLILHPIAGGFAFFS LVFGILGAIAASRVLTIFMAITAFFGALITLVIFVIDMVLWNVLKNRIQDAGYTAGLG NANWFTIGAFAALALSSCTSCCGAFGRFASGRMAGEKY
I206_04987	MDQQNKLLAMLQTATSPPPPNGSSSSIQGSINSQSNQFLSASNI GSTGSPREPSPSPPPPSLQAVSLLDLFKNIGSPPPPPPTVNTNGNSNSNQDDQKNKLL GMLNSIGQPSPNAGVISPPSGSGVGTPINTGDKDPLAVFRASHPAHSPASPTGQGPII NHLTGVSSPNVKSLPHVQAQHTGTSTTSSQARQTKIEEKPIVPSPAPTNEGLKKGMFH FDSPFDAFTQPPRSRQTSSTQPQTQSPAKSATPKEVKIKLEDEHSLNRVKSIDKLPVN GRSSPQRNIPEKKVYTPPPPISTDAAPLHELTQNDIDDKIKDIWQSNKIVKDAQGQGP KALTSHTIIDISKPNIQSLVNTSGAVQISPTTLMRTDSLDFKKGRRVGITNTYIAYTM SKGRVRLIDSSSGARLVIQLPGAASLGPVIDLACTTNYTASIGWDRAIVVHKLPIGWK KDDPKVEVIFNCLAVDSPIGSPTKIEWVKRDAKDWLAIAGSEGVIVIDPTAHGKTSTL EEVCKQSKVLNTEGSVVDFCLNQTHQVIGLLSSTSCCTLYNLSNLNRVWHRPLPSASV ESEPSSIQFCESNILVGRAKNTHFDLIQITIDLAILSSIKFMAPPPCPEELHYSHAVY DSNKSTFYIAPFARGSLYAFRYALKGQSPIKDVSKPDGPKVVAFDKVAEYPLEPITSL VLANRGVDEDSEILYATPQGFSQATIITKAHELPAQTPDNASASVSATASSTVSNGKA VPSPRSPKGSKIELPKPRSTVPASKSSSKHASPTIVKTELPSPSEDEGPIRNKSASQS RKLSIAHADPTPADGVSSSGTTLTQEELNKALKKTEDRLSNHLKQLVKNEITALNVRF DGLTGPDFASDISARVERSIKGSLSNTITQEIKKTVIPAATATIQNEVRTVTSDQIPA AIYDALQTVPKELERGLAPLVQRTISNLVSNAMDKAVQEAIQHSLLPALTQASSNVVE QLSSEMRSEMLQIRKELSPPLKDGQLANDQLLKTMSTSIADLQRQITALSEQLKAPAS HGPNGVVSPNNAGFVAPPPPSHGLPPHVPQHPPHLSSQPLSHVPPPAAQPSGPSPSQL EDTFLAALGAQTTASTLQLVADHLALTDYCLPTNGKSPLSQAVLLTLLHRLAIVLSEI PGSHSMFAQVAGWERRTALLVDPKDQNIAGYIARVLSVVQGQLNSVLNNLQRYPDQNT QSHLVVVRGIMDIIGHKMNV
I206_04988	MGSNNPLFAPIVSTNPNDSQINDEIEPSPHTSLPSAGRPNDFVA GNDDPLYTSIRADRRGTWPTEIDTITNDDKIQLSKESFVSINLLKRSKTITATSSFGS SQISANTLISEIQINKNISNPFPIITEKIIMDEEGNFNEIVIIDEQGNDYEEFQKEEL ESLHNAIITNYTFLNKYPMNKYPMNIEVEGEFENQNTFNINTNLNSQLPSDIECNNLK NFNTCVDVGCLTSIESLNPWIELWKQQKGEIKSKFNIDVESSLF
I206_04989	MRSKTPLVALLATISLVSATHLTDSLLNKVYNVMNEINVASWEN GTKSIAILESKYPELTVYSSNSPFQKLDGLQSGQIPEIIGIASTTLENRPATNTSASA HGGSSLLVDGAAADPASLGIAVLLADQTTNNQQVNGVGYGDAADAELNYLLYDVPRTS SGAISHRADQAQLWSDFVYMVPPFLAYYGALRNNQTLLQEAYRQCSLYRDGLRQSNGL WAHILLGNGTYDPNLWITGNAWAATGMLRVWATIKWSSYASDMSSQMSDLQGWIAEIF DNAQPLITSDGLYHNYLNDNSSFKDTSGSALMAATGLRISTLNLTDSYVPDSLKLLAA VSSYVNSTGYLTQTVNPYDFSKEGTYSPEGQSFVVMAYAAYKEWDSLGRKGSTSGKDD PLGKSSSAFKQIGISTILSLGSAILGIWSFI
I206_04991	MNPTPAKRARRTSSPSSPSGSTSSLQQQRARPPTASPSRPTLPA PVPSNPPGSSSGSAGPFPPYAPGPSGWQSNWRSDHRRSFDAGSPNSTTSPKLAAEDIH HDEVSGGVIFTRDVNSRAPRSMMACTRCRRQKMKCDGPSQVPCRGCRQSGQPCIFEPR SRPKSISVIPSRPPPFHMTGRPGSPGLGFYPAGPQPAPPITSRLPPPGAETYAFRQAR EPMPPPPATSLAALTSPYTQARHSPPPSSSASIAPPPAPLSSIPSQHPLPLQAIYHPP INVVHPPPFPTSSMVSQPPPPPPTQSSSTDSRLRHVESSLRHLHGVPAAIASLQQSMV QIQRHLLPKRSVPVHEVAWDNYRNRAWPLTPWLVGLRDTEGLPGMVVDILGRRSVIET DDYRKRECDALLLDVNSEVGRLTSERGDWSREEIRSLGVLATWINEPVYAAVSIAQAR SAGLDKVFGPKKNHDDWREWIYLVIMDHLCHIPDYQPPVTRDPLAMAWREKLSLSPAN DLNVRDRDSKLLAWLEYTEILAERKNAPLPPPSDTITEETILDDRRIRAMESWRKFQG RIEGWSRTWQVNSDPVLGLHHSYVTLFATSPAFFADERIWQELADSKEGYATLEIGRE AATKVIQAICSVEIGRTLPYSFALFRPLLGLAIIHLMSLTLTPLPSTSSPLVGPPHLL SLLRQAYNAILTHQPIDRIPVQPGGLPCLLIEIVDSGRVELAKKVFGSELNRELWKKL VG
I206_04992	MSQAPAGPSTPGGITRPPVQNQSQTTINTIVHNLPNLFAMHSRN ELSESQLIQLRNLMHTHFRQVIASSMAANRPNPLLDLPPAIDPTMPFMGKPPLISKEA YTALINTTTQHIREAMNQRAQQAKKDAQAQAQSQNTTQFPSSSTGTSAPNQIQPQIAG NPPQASNVQTTNNTSILNPINNAVRPIQVPQGAVTSSGMANPAPIPQQTTMSSIPTTS SQAQLTSNGLPPGVLPYSTMRAIMKLTQEQRTKWLKEDPSRTGAFSVSAKYWTSRTAT TNPSNTSTAGPSRSTPSPSQSQLQAQTQLPTSIAPSALLTPPIPQQTNTVPPANAQQS TSVPAPTTSTAPTINTAAPSVVQLSTKADSASAHLQPSTVEDTSKSDKSIPDQTKQTP SDPPPPTGEAGIKTEVTTTISSADPALPPAAANMENAVIATVTGKEGGFATALPDPKV FALKPPPPPPEPENVRRKRKCKEFIGELHPGLEMEYGVDKVIGDILDELIDEGLKGAT RLAKHRKSDKVELKDIAFFVDQCWGLENPGFDALGHTHRKTHIPPERERRRTRVVNPR AARLGKAREED
I206_04993	MEALRDAIVNSAHQLYASLPAHGKPVVRSNGTPEWTILSTISLV DCSNPSTESIIPISLGTGVKCLPYTKLSKYGDTLHDCHAEILARRGLMRWLLYQAQLY TRSRSCSDEEGTDETVYLELVNGRFRLKGNLQLWLYISMLPCGDASTFYTSSHQSVEE ASQWKEADSRSINQADIHISRGRNGYTALSTLRTKPGRPDSIPSISMSCSDKIASWSI LGIQGGLLSNIFEPIYLDGIIVGGLDTPSSVNEVEWREKIKREMERSIWGRLESIKDH IPDPYVLHRPAVHFSSNSFEHSKSTLAKTPTVDSLETSPSPLSISHLPFMPASTTRKG VKPEIIADGGALGHPWKKGKLIKEKGRSRICKLALLGEYERVIANLDPDQNYDLVKSQ KTFYDYKHNHASKYQLVKSILRGIPKQGNTLKGLESFDEILSTGIADIPGSSLEYPAP IPPFKGWLVAGKPFESFTASGIIP
I206_04994	MAPDTPRSSLFKSRFSILSLLPARHPPLHVTHPMPHRHEVPCHP TVVSSSISPVHSEYPDPSTPLPPTKQSNEKSRQGPKRPPPLNLDKTRMMYPSNHSDVV IEPDTSKIELPASIRPEAPPSSKKERKNLKAGRKVYEEDPFEVAEVEIGHRYPSWKGG QVDIKPGQVIPRDLVVPSLVSYGSNPRSSSPINSRSRTEEEEEPYESVLHNVLLTPTY FDTSPNPSSIGECSRYTRRKTLLGKATDTIVGVAKGTRNSEWLPGKSILKPSYALEAD QSLRAMKAREEQEMERFRKNVRPVRLNVPDYEDDHSQRYGKSRSNVEQTSTWSMSSSS SPVREGGGAHKRSPGWIGAREYAAGGYEGQKARLPTNNEGGWRSTRAEEDKLKRKQRI WKVSIALAILVLAALIIGLCTTLLRKDSKTSSASSDPSKTTDGSRSTATSSAETPTST SSETLQSCLDQFRVSPEPSTYPCGDCVPILSSVTNDYSQPVVNGNATGVGSTLQFCAL KDVYQQVSGTKNGLNGWMIDSSPCGGWNGVSCDSRGRITGLLLQYPNVPDTLPESLGN IYALEAIHVLGNGSVPTGKFPSNLLSSPNLKTIDIEYSALSGSIDQAPFSNANTLNTL VLVNNPNLGNTLPDLSGNTDLLTVVVTGQGLVEPKADKLPSSLTYLDLSYNSLSGQIP SFDQLTNLNTLTLQNNKFTTSPNSLPNSIVSLSLTSNNDLSGSLPNSICSSDQLQNCD CRSTKLSGTISNTSNSSSNLSITTSSSSSSSSRSINSNPSTTQQQSSTTPSQPNTVIS SSSSAQTSTSSPSTISISGQVNSMNQIQKREPASCGICKFT
I206_04995	MAPKGKSPAATPSKNTPISTPLAKKATEDPLAKAIIVEDEEIPS GTVTPKTIKLAYPLPEHISSTTINNTESLLRFVILALICGAAIGSRLFAVIRFESVIH EFDPWFNFRASKVLVNEGFYEFWNWFDPTAWYPLGRTVGTTLYPGLMVTAGLIWHFLR LINMPVDIRNVCVMLAPGFAGLTAWTTYLFTKEMSTPAAGLLAAAFIGIAPGYISRSV AGSYDNEAIAIFLLMAAFYTWIKAMKTGSALWGMLTALFYGWMVAAWGGYVFITNMIP LHAFVLILMGRFNNRLYTAYSSWYVIGTISSMQVPFVEFLPIRTSEHMAALGVFGLLQ LIAFVEIVRRLVPGKQFQLLLRAFVVLVFVVSFAALVVLTFSGWIAPFAGRFYSLWDT GYAKVHMPIIASVSEHQPTAWPSFFFDLEMLIFFFPAGVFWCFKELRDEQIFIIIYAT LSAYFAGVMVRLMLVITPVVCVAAAIAFSKLLEAYIDPVIPESEDEIEEATAHQPMSK AKAKKIAAARQNKGEFNFTGILGGKGNKGIFGLDTRFAVVSVLSLFLFLFVYHCTWVT SSAYSSPSVVLASRNPDGSQNIIDDFREAYYWIRQNTEKDAVVMSWWDYGYQIAGMAD RPTLVDNNTWNNTHIATVGKAMSSNEDVAYPILRKHDVDYVLVIFGGLLGYSGDDINK FLWMVRIAQGEWPDEVQEVNYFTQRGEYAVDERATPTMKNSLMYKMSYYRFHELFGGH PAQDRVRGQTIPSTGIQLDTLDEAFTSENWIVRIYKVKKEDPIGREHKAVSSFEGGKK LKKSASSITAASGGKKSRPNM
I206_04997	MQVEYESSSPNFPGPIQHSPGYDKSMIEEGNSFRPGEVIDSENE NEVQVQSEVVEGEEEEEQEEEDNDIGENSNIIEKPILIKNKINKQRQSLAEKQLGTTI FPISRIKKIIKNDKELENMSSEAVFMISVATEYFIKHFMEEGYTKARLEKRKIVNYKD MAAVVARSEEFDFLKDVIPQPMNLSEALERRKQKMSIEENTSYDEETSNNQNGILLND EDLPPLVNSTNPLFPNAIMKKPPNTHAKTAIPKPIPPPTTTTNNQFEENEELMNNNNN LLETENISNFIEQQEEISPKIKNTNTAPNSNSKLKKNSKEQEKEKEKEISKESNSPKI FTGKNAPSTPHGLTTRGAARRSLVGTDVDMSPVNAIRNELAEDEDDVVIDNEDRSIQ
I206_04998	MPLQLKARDPLPPFTFALQSPSPPADLGLPINQNEPIPPIPPAS FIPEKDMYMFGTYPLRSEGEVGRGIIRCLKCKKTGMEWAAGEHKRICNHILEGTPLTT KKVNTKTGSTKTTEVSKKRRASEVSNPTLSPKKRSKLSAFPLNGSSTFASSNKDTLLE KDDEEDDVLSSKGFLKKNEMKKMQKEKQRLERKEAKEKEKMEVAERKRKRATEPINLD KQCGVINDKSLPCARSLTCKTHTVGAKRAVQGRTRPYDELYLEWMRENNPNFKEPQKR ETKDSSLNNKDKLKKKKKIINKYRGIGGAEEGLEDDEDGLRELEELIGLTKMSGEKCK NGFYNLGIGLINSTPNREKSNPLEDISRPSLSNNRKSSLINNTSLSNSIVTIPFQTIW KSSSTEFASVGQMLTKALAARSNKHSLPHNHGHKVTIPINGGKNTTNQLSIDGLGVTA 
I206_04999	MFAKLTITALLLAASAQAAISILYPNSEAIWYKNNTVQMNWTLT MPETDIYFFRTYLSNEDQSILAGNHSIADSTSATAQDVRILLPQIPSG
I206_05000	MSESTDIPTTNSWADLDDEEDGKVEFKGLNPSASSFNPSSSSSI TNTQQQITSLSVMSKADQTPSSLFKEDDAPVASLLSRISGLTTNPDPAESSSTPSTPS DPASSSTSASRPKPNTSNPLFGRALAGVKTDRPPPAQAPAQASTSASGSHQGKELIDN KQEKKEESKMSGFDNGWDEPVQNGNSNSFEDNNEKKTDTSMSEGGLISNEFQVEVKLA DLQADPNSALYSAKTFEELNLHESLMKGIYSVGFKKPSKIQEVALPLLLSNPPKNLIG QSQSGTGKTAAFTLNMLSRVDPALLTPQAICLAPSRELARQIQEVVDKIGQFTDIQTH LAVPGSWSRGQKITKHILIGTPGTLVDMLSRKGTIFDPRQIRVFVLDEADEMIALQGL GDQTVRIKKMLPPGVQNVLFSATFPEEVQRFAESFAPEANKLFLKKEDVTVKAIQQLY LECDGEASKFDALSALYDCMTIGQSIVFCRTKATADNIAQRLTEEGHSVASLHGDKDS TSRDDILDGFRNGKTKVLITTNVVARGIDIQQVNMVVNYDVPDLGRDGGFRPDIETYI HRIGRTGRFGRKGCSVIFVHDDRSRDDVNYIMSELGKPMKQINAKNQDDLDELEKVS
I206_05001	MDALRPGHAHRSSSDRLLNNYLDAQKSLSTSLLTLISHSHSSTS SLLAYVTSSPGVIVPIRKAVRHAAFEGPLSPELMNDPHGGFDVDNHGQGGWASYISSL DQFRKDLKQIHLLEEELSRVKRDREILVTRLIKTTKSRPTRSDLSALATSYSTHNGPA QSSRSSVLSMSSNGSGTVSTKEGKRAGKLADAQAELLGCEEHLRSLEVRIENERNKVM MRGLEERFRAMDVVGRMWVQQSKKGLRDLERLQDLPPDAFELDSNGSLAPSQSASQIA YEDSSHRGVPFPRGFGHPNGPGSITGSIQEEDEDGSSADEAQGGTLVVHENRPGSRAS ALHARGGGTTPKPSPLGVPSVNTQARPLSSTIGASRGGLHGDDSDDEPTNFRSGGRRA ASDVGGMAYRPPTGRQPLRRTFSDEQHNNSAPPRRANSDSSSIRSQKKKKGFFASLGR LFKGSSSTRKSRSGRESPPYGASAHNRRSSGGGWHTRTDSNIKRGGTVGRRGGGGDDS SSDEDTGNFVSVTNNRNSTWSIDNQRDPKGSIKRSSTMPIASGLIPAKPAAKSDLGMK RNSSQSTITGNRPRSMTPLPASAKANTTANQGSLSRSNTVKSSMSVASAKSTGTVKST GTAKKKTRTNGSIARASITAQQAAEGRNIMQIVDGVNGNAPKMPEVPKAPKSQVTPQM ELPKAPGSSLVHPEPLKSQAAHTNGDIPTLGRTISRSNSVKKVPAAKSDHEVPATSKK EKDTSPKRSTTPLPPSRLLSPPLKSALRPSSPLPPSPPTVHQEPPKPMFSITAPGPVQ LPAEPEPAPEERSIPPSNNKRNSYHSMTSDGASIYESANEGDGSDEDGQGSSADEDDD MGYKVVENEKVRRAGEIATAGRYVEDGDGDDTASDHTVEAGPTFTGNGNNRAPPPGHI SVPPPSSAVGSNIETASTVSRRKSVRMAIPDSPIVEKAPPPITKGGKTTDNAYQPPNS DYGNGNGNSNEEIFKGERPNSPEPERIKEDWSTRIGRMREDTSDEDDLDPNYSKIRKN LSKIDKKWDALNSEEKERQQKKDEVKLKKRNSIKSNKSNKSRNSRI
I206_05002	MPSICTPITSRAVPRIRRRSMIELPVRSAVLAEILQKRGVITIE IEGSIVEFVIKAWKEGKTVRNWCQDIQQVLEAVTITETLEKVVGSPSFLALLPFVNLP SIAYLATAEPPFPPPLIPSESLRDISLSHLTEALTELLLRNQAGGSRPFCDEPLIHEL CLSYISSIWRKSSLHPRNTESMIDSISKDLTEVEFELRLNSGSSHTIDSILQIFHDFR FHLGFVTSSQLITRIYSKSQHALLASGSSFTESLNLENEAANAEHFYGVLCAWVDRRL SVGLSYHTVISELNDVKSKLEDIDHQVLIEQILGCRFSALGERANEGLSTDQSGLLWC SPSSPTNSITNHSSTSQPQHLYSQLTSPSLTSLFTFRMGRKRSLTSHAPKWLYHKRNA SSPNKLRGRNSDQSRPTSNYFPEVPLATGSDGNNKSSSDISFEFPLSDHTIRLSTVSS ATNQSRPQTTFKDVLTSSLMSLADPEDVRTVLLNQLMEMRYHIHGHEDEGWFLGGGRE EAEELMLHLEKKMIGKKDLMGFKGIFDSMRSAFDLPPSIDSNRNTNTMTTYSINHQGT GSRTVDQSENRSTLDLDRFFDEVAKLPDTIQQCSVTHIDAEHQHHQESKGKQTHKRRS DTLSLATKRDEGNLVTGRESGSTYSMSTMTSFLTTESTDETRISSFEIQEAHKEYQEE IKAIEYHFPLPPSTPPHSSVANEAGIGKLVLSAWQPDFSESNERYTRLPPRINDSRRG RTRRTSVKKHSQEGSSTRPSPRDETLKPLPPSEAIVDVVDAYSSAEPLSPLSPSLKSA EDSPSRSTFDTHILTPTSVRYPYMLEDHHQALSTLSSQATLFNPHDTPSQTQTLNRRR ASLGQTDKNRRQMHRHCAVFDGKVSPSKELNSLSIDVEKDQADLDPITPIMRAIHLSN DSDENLSRSTCNPRTEEGNEDTTPKVQIKRSSRVANTPKKKLSFMGKPIMSDTFLASR SRKSNVEKKLARTSPVPLTEVLALFAQHQHEKELSSYRVEAGLIHMIEIERSRVENAG EEWDEAKKGRMKWLIEQVAVILGDPIYVAPISRVIDSLNNCSQLNLSQLNLSQPRSPS PQIEQSRPSEVFPFFKRPTLSRYQSQPQFSTSFLSSPPRPESIRPNILKRHTQQCLSI TSLDSAPSIYSLPSADGEEETELEINKYRTDFPAPSIRGMLINSTAIEREDTEKRNMR LSKGYEEWDIPMPQRLEWPIPIPHIRHVYSDRRKNNDGVWESESPSEGGGSVGSKGST DTFGVR
I206_05003	MAPANKPSSKPTLIIYGATSFTARQLLAYLDNHPDKASFEFILA GRNQEKLESTNVKLSYPREIVACQLNDEDGVKSLVEKGDVVVNLAASMIANLLLLYRE CVKTGKHYVDLCGESSWLATDIIPRYNDLAIKSKSCIIPSCGFDSIPSDLTLYLAHKT LQAKYPELTINDSQSFFKIKGGSMSGGTIQSMYAVAELPKDKRRSGEYDCIPSDGMII KSNSTIPKLIYKLEIPNKQKRFGSFFFMYPYNRTIIRRTQYLSNLFSLNENVNENEIP KYGKIMKYEESMETGNGKLGSSFISFCLFFLFGLFYSSKFIRNICSYFLPKAGEGVSD EQLFKASYIVENLSSSTSLPNGKNVKVITTFKGQGDPGYLNTCYLLAESALSLVLSKD QLPTPAKKGGMLTPSIAMGDILIDRLNKSGKFEISSEILVLDTDKKID
I206_05004	MPIASAARNTTRQDGRKIWRELSDQKQKEREEKEKAFREHMGLP IEPRRKSKRDDYWDEDYVERKPATLYSQHIDSAPTWLKNAAARSDAKGLMSAWLRKNE VEHRPNHSGHYGNQGPGNYEGFPPLRYPAEQVPHVANHPPYPPQHQPRLPPAIPYLQR DYPYNFHFDHQGLYILPQQQPSVYLPSDPMHAFPTSHHQNIDHTFPANGPPTPLQQGQ LFNGYLPDAASTPRGHPTTSTVQSYPSSASSSRQRFLPPIPMNEKALSQQAPLPYPPN QDDCQPARRQLFEASTAGLTQQPSDKLAVNPIPVQQVLQIYQNIRSQSQPNPNLAPPI LQQPHQDIVRFRAAKITEAASQRKLDRQVIEDLERMVELDADVIPLDVHLVTSTRIRR APRGQKRYTDRYTEQYGYRKNDLINSSSDTDSYTSSSTTEEDTFDDRVRSRETRSGKR RHSGRRSRFESDRKRRKRAGYPIFQNSHTDDSSTTSSSDQENGTDPEFRANRFMKERD IHHSKRPLVTTENLFDPDEFDRDKRGSHRRRKTKPIQPLSAIADNVMIPPNIPAVIKT VKTKARPGRMVKKQTTYTTDTGEAEPLKRANPFIARKRPRNVSPTNTEAFEDIQGFSD EDVTMEEDGIEISQSQEIANTQERRTRSERRWLAKSDERERLSTVDEEDEQQFIQGQA KEGDNVGNRVVIAGQARSKEEEEQAKFWGNGGDLGFKVWRDEY
I206_05005	MSHTPLDDHFISQPDLDLLSHRPHKSAILLFQALTATAVFRIWP SLLFIGGWTTAIVLINEKTKAEMTVPSTLLTALGVLLGLTLSYRTSSAYAQYSEGRKL WTQIIQANRTWARLVWIHCPDAIRASPPDDQQQRAHEETRAVIEKATVVRMGLAFAVA VKHYLRGEEGILYEDLYDLVKFIPSLHLPSGIPSSEDANIAIGRFPSQNKHNKSSSIT LNSNQNSISTELKPLNTSKNSLNTSTLSIKNEIEIKIKNKNRPILNPSRNPPKFRFSE TFPFKWLVTKKQRYKAQGRILMKEKMRKERNSGGVGQNVPLEITMFMSCFISILQKRK LIDVPTLNSLFSSLYLMSESLSNLERILTTPIPFTYSAHIWEVTWIYCLVLPFQLYDS GFGWITIPAVLITSYIVLGFAEIGSEIENPFGYDKNDLNLDFFTNNIIREELAAITAR PFSTPDEWIFVKENDTLGRVGVGADELLERGLVEVRNSLAALSGEKAQHTGLRGRARS PV
I206_05006	MDLDKSLDDIVAEKRNANKPQSQNRKAPRVSNGTGGGNERRSNN SPYNRPPPRSTDEKWVHDAYQGPGRSGGGDRRERSNVNLTGTGAGFTGISTRIEVIGL HYEVTPADLKGIFSQAGTLVQGPTIRYDRSGRSKGEATMEFASPQQAKIAINKFDGAM TKGQTISIRLLAPIVAKPRPGPGGPGPVGAGAASGQSLLSRIQGGNASAGGPGPQRGG RGGRGGPNRGRGGPAGGRGGKRGPATTGDLDKELDSFMSKDSTNAGDVEMA
I206_05007	MASAQSLSQEKVTFIEAAIENGVLLFGEFTLKSGRKSPYFFNAG LLYTGSLLSSTSKAYAKILNSSRIPEFDVLFGPAYKGIALAAITAVDLSRQGREVGFA YNRKEKKDHGEGGALVGAPLKGRIVIIDDVLTSGKAIREAIDIIKTQPEAKLVGVVQL VDRQEKGQNTIKSTVQEVEEEFGIPIEALLNLKDIIAYLETKGGYEKELAAVKEYRKN YGIDI
I206_05008	MVRTHSKNEPSLDFLLNGGLIPSLPNNGGRVGHQELMGMGIRPG PAPTFQMSAQLNVPIQSQTNRSSPQPIYSLPYTLPMGLQPGQIARNSSGNKSQNINQL NPKSTQNQNQNQNQNQNQNQDNKYKQGHNKTHSINDIQQIKQSKLNSKDSNKLLRKND NNNGKLHYSISDSAVNSKSNLNSNLNSLNQSFNNTGNNDIILGLEKDKEYINELLNIL YTLIPKERYLNESNKIKERRLNSFEFLIPLSIILESLVNERILLKLNYGEKQEEEEEK KKEIFKKLIILNNGLKLNLENGEIDWKILNWYILSFSQLIYSILPFFQNQNQNHFLKQ NELINDLINSIKIYINKLKKIFSEIAILYVNKYSFVRGFWDENLMKESAGEVGKWVET FNV
I206_05009	MGITRDSRHKRSASGARRAHYRKKRKFELGRQPAMTKLDSSKRI HEVRVRGGNTKYRALRLDSGNFAWGSEHTTRKTRLLTVRYNATNNELLRTQTLVKSAV VDVDATPFRQWYEAHYAQPIARGAKTAAAEDTSDKKQSNHVKRVLEERKKDAKIDPLL EQQFKTGRLLAIITSRPGQSGRADGYILEGKELEFYSRKLQARKAKHA
I206_05010	MAFSSLADELAGEFENDQSMIIGLGQSLADEFIDVDKKEYKSGG VKDENEMNDDNQAELQNPITPIKIQQDLPNLQTPNNIKGQQSSKSSISYEFTNLDDIS PNRGIDLNLELNLELSEKYEFSLNNNEFNDYQNYLNYSPTKNRSVKNRISNKSLKRLM SNRDDLNELPKDLIILSENLIGISKLLNDLKNLDHDSLNDNLQRHLNKMNDIEKIRDD WIRELNMCLNEFRLGNSEEYSNYDVLPKRNLEEDEEQEDEYGDSIGWIGKLNSEQDYQ LSRQSHFSPEHITHLEVVNETTEEEEDNEHIDQYGTLMQDDFLIHQNALSPIISSISQ ELKPNLPILLNLLKLVQQDTENLIYSLSNLSENIHNCQSFGNSISRQIKGIKSSIDSL RERENLENEAKRKIEIYEQNKLKIGLTHSCDTNQNRLNKECKEFEGVLEIYERSLKSL LNNRETWVK
I206_05011	MTSSHSQTDRSLLHPNPSPAPTSFSSTHNHHQQHTTSNPIIPHN QQHNYVPSFDDLGLSSQGITERALFSENNTPIPTPRFTSPAPPSFTPNQNHNDLSTSP HSDIVESSSIPEWRKASPKWLYPFIIGVPLCIGMSMAPKAELYVNLACLAHPPSASQD STSHQYVVNSINRFEDFSKSGISYLSFVESQGLGRIQPIEDSNPTLGGIPTGGDYVLS PADKWFLKLQHDMYEYRLHHNGNSTKSPSSSKPINLPTFTTSYERPEPTSPLPRPDKP FPSNDDDKQKQTPYPSDDDDEDQGDDNDKDRDQDDKDHRPYQAIDPRLCKKDVKVQAA AAKLTMVMTLTMGLLSALTTGFWGKTSDKLGRTKVIAIVELGLLLNEICFIVVANFPY LIPGGYRALLLGPTIEGLLGGYSTISATLNAYVSDVTPDGSRVTIFARIGGMFMAGFA LGPVLGSLLISWTGDIMTPFYVNAVVFAIYIPLILLMLPESLSNQARATLAKNASTAR EEAKKRDQLEREWEDETPFPADGTIGNFRRIFRRVFGFLEPLAIFIPVKKEGENRRDW NLTAVGAGLFFMSMVFGIMSIKAQYTFYAFGWTSAQLGPYMSITAFSRSFVLIVLVPI IMHFVKPRYQQDETSTSILAGHPTIDEEAFTNEVASTSAITTESNTASSSSLTNSSSD IMMKTKTKRSAHLDLLTVRVSLLLESIPYLLLSLSPPPIGFILLSIMTTLGGSGNPAA NSLALSLLNDPSTQSGKLFGALSVLHALGANLISPLMFGTVFASTVGIYPATIFTIAT CSLILAQICMSFIRLEPLIVSQKDNYNNNNKKNNERIRGRSRKIKRVNSSNLSNTSGN ESGIQNIRNNSKNINKIGYGTMEENSN
I206_05012	MSVLQPIRKAAIIGAGQMGLGIAYVSAVHAKIPITIHDPSSSVL SSALDKYKSLISRDVSKSRLTQEQADEALGKFSIVQGDGSGEGIGEPLKDDVDLVIEA IPEIPELKLGLFKRLGRILPSTTILGSNTSSISITKLSASAGSNLSGDEDRKKSSERV IGIHYFNPVPQMKLVEIIPALQTSQNTIDRSKAFGKALTTSSDSPGFIANAILMPMIN EAIIVLEKGIATPEDIDTTFRLGMGHPMGPLTLADLIGLDTCLNIQKVLHNEFGDSKY RPSNLLIKMVDAGWIGKKIRKGFYEYDENGNKILN
I206_05013	MSTSASASASASSSHAAGLVDTTGNSTFKIVGICLAVGSGLLIG SSFVIKKKGLIQSTEKYGNVAGEGHGYLKSWLWWTGMITMILGEICNFVAYAFTEAIL VTPMGALSVVVAAILSHFLLKEKLTFFGWIGCTLCIMGAVILALNAPEEQSVTTIKEF KKLFLSVGFLVWASILIVGSLGIIFFVAPKWGKKSMLPYISICSLIGGISVSCTQGLG ASIVTSIRGDNQVKNWFFWFLFVFVVITLLTEINYLNKALELFNTSMVVPVYFCFFSS ATLITSFILYQGLKASAVTLITMVLGFLVTCLGITLLQLSKVDPESLDKLDRKSTILM QAAKHQTEDAEKGQVTSAEDPGMDALRGGFGAVGSIIRARSISRRMSSASSGTGFNKG MYGYSSGNLSTHGLGHLERFQLSDNPMPADAMDQISLHSAKSPSAISPHGFPMGNNYF PSPHKSKSHLKASQKFSSPKIEYIPLDIIASPSMTISSYNSSTYPLISSNIFLKSSAS GRSDQADRINCFQQFEEADVVHQYHYGNQPDDAAIHTYRQHSLPPPSPSSEFPQMGLR KPSGGPVLPVPEEEEEEDLTKEKFNPVIVRDQVFSSEPQRLSHSASNTNHLDPYTNSQ PAGNKLHSGHKGFSGIFHFPSGPDLTLGHHGRRSKSKDRSEEKKFPGDNKKNRVDQDL DKQERAALVTPLNEDGYDEVEAQRSDDDEDDLDLYDQRTTEGGHSPVEFGVGHRVNLS TSTTGQQSPIPVTASTTTTFGTSDRLSSNGSSTSGNSGIKGPRGPRSRDPPGFAGPKY GS
I206_05014	MSFPKRITILGGGLSGLTTAYKLSQLPQASNSKITLIEGSNRIG GWINSTKHHVEFINEKGELIKGDVTLESGPRSLRPRGSKGAIGMLKLIKDLDLSNDII PIPFSHPAAKNRFLLDISNSNSKLISLPNSLFSLLFNNSNSNLLKGLISSIIKEPFKS KISNEIKDESVNSFFERRFSKNLAQNLASSMVHGIYATSSDELSVRSSFPILWEKEKK FGSVILGMLFSSNKKSNEELNEIKELGKDLNENIKNWSLYGIKGGLSNLINKMNESIK EKGIVEIKLNQMINSIKINKNKNNLIEIDILNNEKKLETDYIISSISPLNLSNLLIEE KQEENLKLSNLNSNSYTTVGVVNLVYPILSKNLHPEGFGYLIPRPPLNNTKKLNKFGI LGCIFDSTAIPFKEDLKNVTKLTLMLGGPYWNSNNNNNKKDFYKPKLEIPKNEEELIN NSINHLENVFPILKEKNLKPILKIGKINYNCIPTYKVGHGFRMKELHHEIKQSNWNNK LSLIGNGYGGVGLNDCIYSVQHVIDSLNKGEIVTGLERWENWE
I206_05015	MVEQDHSNSINDQEEIDMEIDISNTSTKSNSPIPFINQNQINKN EIKIKSKLEDLFQDLKEDSKSTPTIPSIINEEDLKSRTHIKNENDFKNSSSSSSSSSS SSPSSSSLRIGNNNENKNKKVKIENNINNNKGPILINDLPLAWDDAYSTYQNLDKCHY ELKNLGLSKEQDEMMICDCIYDKSDPDADPCGPESDCINRALFIECLAGECRAGKHCR NQQFSRKQYANVEVVLTEKKGFGLRAGSFIPSNTLIYEYIGEVVVEKTFRKRMQQYAD EGIRHFYFMMLQKEEYIDATKKGGIGRFANHSCNPNSEVQKWVVGRRLRMGIFTKRDV VKGEEITFNYNVDRYGHDAQICYCGEANCVGTIGGKTQTDVSLSTMNDLFLDALGITD EVEAGGMKGSKKKKSRQLDEDFVPILRPIQEPEVQKVAAAMRQSMENRTMMSRLLQRI KMTEEPAVQRQLGRMHGFSLMSMVLAELADDREVVLLVLEGLSKWKLQIRNKIEDSNI EEPVRKLQESGDEEISNLAKQLLEYWSTLEMSYKIPRVNKIASLDAEDEAGTTTIAEA ASLSTSTPRRPDAWENTAQIQIDVAPVRPRVPVPSFHRPRPPPPQTPVRPPLPVSQSS DRLKIDAIIAMAQQNIQSPVINPGPSYSPAAESSRSGSMIVEEEERRKRQKRSHYDFE EEDEETRKERRLSKLVGEVVVRSMSKYKEQMEHDTFKRYAKECTGILVEKEKKGNTYS TTKHPTLSDEKKAKIKSFTKEFTHKVLKRLKEKGKLRKPASTSSRSLKAEGNFNANTP IPTPNGTIIETPGEGDLLDDIFGKDNDNMDLEQTPSSINGNESVRKDSIVSIEAKSPI DEIKSAPVTVRKINGGNGGYTVERLDLSSLGKINGQSRNGHENGNRPNSSTL
I206_05016	MTNTSTSPQLEGGISPSFKTYDSTLISTSRKSTFPISNPITTSN KNSPNLLNNPQLSHILVPESPLIDLTMSSPDHRNLIETASITSSTCGVIGEEKSKNSI KRSGSYKRQIEPRFLNDPSPSNILSLLETQESSNNDDNGDLGRELRIGLILRRSIKWA IERSDTELIGWLVGLEGKWADILDFQANQLEDEEGWGIVGMAIQASCHRQETEECVRI IVGRWGLEVGQRGGRDRTGWTPLHLAALISTPPLISFLLSRGSSPHALTNRGLTPLDL VVGMPDREDVALFLEHATCHGESSTTPKTATTIIPHFPPARQAMLERRRRHATLKKEA IEREETKQQLELERETWLRERARMVDVDPELLIKPLPTKRDSKTPSDDSGLGWMGYEL DLEAERTDDEDSEYGEDDDFDVNQLDLNSNMLVFSLSHLPTIFDILITEYIPVCQPLR QRTLPANALYLYARFAQYKCDETWLEELIEGIVDRIEQGVYSNVENLAYLAFWAYNSA VLLHLLKSDPPIHAACEHLGLLSMMEELINAIHVFVIRVAERRIDMYLDAAILDYETL EDFNDIRFEGEWSLFRSFAPKKKRETPRAASIFAPSPAQSSGQDSPHSPSVYGTPHRT PNRHQSMSDLRLGTGTPRSISHDSSISGHSGFSHMTPTVELEINPSRITDILSGVLLI LQLYEVNPAIVVQAFSQIFFWISCELFNRILAQKKYLCRTKALQIKMNITVLDDWVRA NGLPAQTATKHLEPVTQLLQWLQCLSQIKEFDTLIGTMQNMRAINPLQMRRAVRDYKY EVNEGKMNEECAQYLAQLQKDWEKRRVQFSVQEAERRKSLSSLSSDQNHEQLPPPTSD MQIIADDSTPIDALFDGTIALGEFVPSSSPECLGELLDSRYMLPFILPYDNAYLVAAP PKDAAYRNLFMNPASPFISDGSKTNSRPPSRSSFSSSRPMGWHLPKQTKLRELPLDFF GWLKERETDHKLNRDAWEFKNKRMSSVHTDLKVDRDTGFEGLPIPNRIQRNNSQHGEK SPTPTPTRPVPLVDKIKINQSTDLQGLLPSLTEDNDKTPIKESERIKYPLGEDLPNSN SNHIIKSSKSIQELRESSKLISSPTFEIISNKIEHNRSESFELKLRMQGNINNIIGET YKSPFISSSIKSPTLSQFSEETSISNLSNNSSNELGGKKKWWKLGKKLSSLNLRGEEF DFDKRKFRDSSEDTISPGNGLNNLEMREEIRTPIKSPESGYDREKRGFWS
I206_05017	MFYPHQQTSHASTGGGASKHHDSNGDSGGNRLGSGGGGGGWARH PSHPSFTPSGMPLPSPGGYPVLGSSAGGGGAYPPPGAGGHGHHQHSNHVHLHGHNQHH PTFGGSSNIGGGLGGPGNASHGPSYGGMSIFGGGGTNQQNSPPRGNEPSMPLTAFWQH QMLRAEASRLSSSPHHRARAAAISSRATNKPSAVIITDPNNRPASSQSNALNGIHRKQ TSLSVTRADSDRGITPPPPPSDPSSTPGPDHADPANPAHPPAPNDKEEDRVSEPWTGL DLGGIRLKTLSTALFSFDHITSLYVNHNALTTIPSAISRLRQLTLLDATGNELTSIPP ELGVLSKLKDLLLFDNNLTTLPYELGTLYQLETLGVEGNPLDDRLKKLIADEGTSGVI HFMRDNCPPGPEPPERHWIEIEPDVSSPSSGKQESFTVLTYNILCHSFAPGTTYSYTP SWALEWGYRKQAILNEIAQASADIVCLQEIDCEQYADYFLPELKRHGYEGQHYPRSRA RTMSADEQKAVDGCATFWKSDRFQLVEAQVVEFNQLAISKTDMRTEDMFNRVMSRDNI AVVAALEFKASGARLLVANSHIYWDHRYRDVKLVQIGMLMEELEKIVENFSRLPAKLS ADPEYNLGRGPPKYDRIQKGRDIPLIMCVDLNSLSGSAVYDYLSTGEIPPDHEDFMEH TYGTYTNKGLKHRLGLRSSCASFGEMRMTNFTPTFDAAIDYIFYAHKTMKVTSVLGDV DREYLDKTVGFPNTHFPSDHIPVFAQFRLKGSSDNLNGY
I206_05018	MSGRLLDGGRFIPDRNGHNLHAVYQFNTDPNPKEATFTKLLRTE LSPIPLHDSTPIAGPSNYSTDYPLSNAGHTPLHHHPPPSSMGERESSPASSLPPLAQH APPTPTSGHGRPPGAGPSSSHMRAHQSQVALTSSAARQRSSPNLNGRSAFSPPPGNTA NMNNSSPSTPKKKRILNFTSPSSPRSLGGLSGARGISGDGGLDDMSHPAYSLSPIGKE SQRILQSPRKQIRSIAKTPFKVLDAPELADDFYLNLVSWSASNVLGVGLNSCVYLWSA QTSKVTKLCDLVRVAPDGQEISDTITGLEWTNKGSTVAIGTNSGLVEIWDAEYCKRIR TMSGHTGRVGALAWNNHILSSGSRDRTILHRDTRIPEHYIRKLQGHHKQEVCGLRWNS DTDQLASGGNDNKLFVWGGTDSKPTWRFGEHRAAVKAIAWNPHQRGLLASGGGTADKK IRFWNSLTGGLVSECDTGSQVCNLMWSRNSNELVSTHGYSGGPVSNQIHIWKYPSMTQ IATLTGHTYRVLYLAMSPDGQTIVTGAGDETLRFWNAFQKAKGEVGKGFGDGMGVLAK IR
I206_05019	MSYHMSNSPDPLALTGSNEEDEEEIGDCIIVTTTSPKLASTPNK KRYQPVVEIVTPKKRKKTNENTPKRTPTTNVKKTQGGDRASPTPNGKIKHTPLSKRPL QSNVTPTKKSTPVTSRKSANTPRIKGTPTLASSLKEVLPTPSPGLEQNGSSRKRPRIS TPAIAKTLNFDDGIFESSKDKEKSLMEREEITREAFLANEALKRQREARNFTYEGEAS APKLTRSGRVVGQDSPSIRQSEEVDEYGGTATQDINPLGIEAPVIPDVDVRDDIDEDI IMMDDIQDEKLVSTEIPKSAKLYLRRVLSTLNSQDAVMNPPPFLDEEKNESLTGIVNL LKGTVERGEGNSALVVGPRGVGKTRTVARAIDLIPSSSKSSPIIVRLSGHAQTNDRLA IREMGRQIAEAEGKKFESTEEEENENNDEEYAPTTLPSHLLALLTQPSPRAIIIVIEE FDLFTEHARQALLYCLLDVVQSIKTGPVESTGRGVAVIGITTRVDTLLLLEKRVKSRF SHRTFRITSPLSSEGMGWKMLLKNALIPTPPKEVEPQGEIWQRDWQFAIEMLLDEERI KRNLARLTGLTTDVRNLYRPFILPVTSILSGQIEYISVPDITDNIINQIEGAGWGIQL SKLKSLPHPALGILIISKHLSFAGKEEFNFSQIEDEYFKFSRTKLVGSGKIRWPIGIL KNAFKLLLKISLLIPINNNLNSNNSNQFKFQKVKCTLSPYEIINWFKGEGYNILGPEL SNWGKIQGGHV
I206_05020	MGSNKPRGLQAARKLRTSRRENRWADKNYKKRALGKFYKTSPTG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFTDENDEV LISGFGRRGKAKGDIPGVRFKVVKVSGVGLLALWKEKK
I206_05021	MAPLDFSRLHPSYEPQFAHFPSRRSSIFSTKGVIATSQPLACQA GLEILNKGGNAADAAVATAAALNVTEPSCTGIGGDIFCLFYDAKSKTVKGINGSGRAP KGLTLEYLRSQGINGDSIPLTNLNSVTVPGAAAGWLKTISEFGSGKLSMREILDPAIR LAREGVPEHELNSRAWANSESLIKNASPNWKDFPLPSHVMTHPELADTFEAVAEHGRE GFYKGRIAEAIVDLVRSGGGIMTLEDLAEADAEVIQPIKYDFKVGEAGDQGVSLWECP PNGQGLTALIALGIIEAVEVQHGIDVLDLPHNSTLYLHILIEALRLAFAARYYVTDPD VVHVPVEQLLTKEYLIKRAALIDLKKSGHITHGNPINSSDTVYLATADNEGNSCSFIA SNYAGFGTGAIPKGTGFTLQNRGTGFTLKEGHPNNVAGGKRPYHTIIPAMVTQNGNLL MSYGVMGGFMQPQGHVQVLLNKLRGFSVQSSLDSPRFCISAGLPNANKKGSESSVGDI NSEIYFEDGISLEVVKELSKMGHTCEIVSEFQRGIAGRGQIIQRVEHNNRRVWAAGSD LRGDGCAVGQI
I206_05022	MLISPSKIHSNLISLLSTNYKKGPHTALLIYPNGQLVSSANLPS DDYEDDDEDNQSNGKLKGQEELEEEEEEEEPYLEIQERFRLLLGLASQWDRQDSGKME CELGKLHFKFIQLPISPLVSSIGKESLPSVKNQFIDGFILILNSTKNVEWKILLNKSE EFKKNWDS
I206_05023	MIDFLGGDPPRPTTTVPDQWIIDLPTTDTHICIYRYRQSSRINQ AGPSTSSSSFRDILVDPFERAWRTVNDASNEDYTIKHALDEPCGIISNEDGEKAFWYF SSNNDVESPEFEGLEVFTPSLQPLSITQLVTCSKHGHDTSCLGRKLLETDTPCNISLD LSGGVARHLDLLASALVEKMAWKRGHRLSTQLTLTSSYASLNPALRPISPQKFLLTVR PSSTPSSSSAHTPSPLILAPLGLPALQIGPSFLTGAQESHLISTFDTTFSHTWKDGRS ERRAKSHILGGTYSDWSIYWVPIDDKTSSSKGKMTPRQLVKSWQSSQGVLTIWPTHLS QSYFTFTPPKNRDANVKPHLPDIESSDLLGISTGLFDFLSTYKEPDPPVDQEEDEEDI NMDAESTVITVEADSDQILHQSTGLNDLPDGIEGGDSDLDDLFSAHSDSPNVTIIPDQ DQPIILMGDTDTPIEVSSSDIVNSTSPKIPITNNNTGGPARLSRNGTGNVLENGQKEE MVTEDDFAFFDSPTDEIDAPLEDTNGFEERKQDILDVHQHISTDVHQHISTDVTMEVD SHHQIDQIEILPVQEAKISEQHSSLDSQPVATTENQNIPAATHEDIDGFANRSGIQQD MLLLPVATLPSPPVPPSPNLVPPPFPLPHIVPPASWVTKDLVPPSFSPLPLLPQLDSP FPYSLPTPAPTPSDLNWNLVERLQPPKSSNPSYAKDWKVDEELSELDDADTYTGPPTP MSDYSTDSEEEETNRKNDAQTTSSTPPEGFEYVVEFGGIRCAGADWIHLIYDVQTLQS HAVDWNPSWDENLDKSLVPPHSPPEQVIMKNWHKGLDVSGLIKELVGNFVLRDTCSNR SQRSATMINAERFWQSSSIKEGMSLSDLNSSMKSRSLSQPQLSAGYHHYSINLNISSI QYWTELGLQPHGGYKDVRAKFLCVASDESAGAADWAVQIGKQISRIWKEMNLGHHNLT ADRVITVKPSALLESVATTLNQSQANTALYIVLPINRIITSAIKDLFNYSVSPSCSTV IHILPSHSLSLTDCKQVALEVYNKISEPIRETNPRTVSDPYGLQQLESEHESERTTRQ AFNLARTEIPTPEFSMSWPLQSYDVLNKNRFIHSTYTINEDIGVMIVFIIDDLGEMFD MNIWTDIDKIKWENRVEKLFRWIKVRADKWIIQWRLTLMRSGRMMENELNAWNQLLEN SNSPITLLLVDQQEYNELKENENIPHPKGFSNIPISTLNDPTMSIINLNYLAQLTIFE NKIPIDLLSSNEEIKVIYPLSTFLISQSLKGLEGYESKLYNILYNQFPLNYNKKKKEE IEFELGEEIYRLNCLIDLRWKIQGGFQGLLEIIIQGLEFISEPKENE
I206_05024	MSSSSRNALHVLRLVLRTQTISKSRLASSSATAALIRDSDISSS SSTLNNKSHAILSEDTTIRPSFLESESDEYTTASLLLPDRELDPEPIPWPKLFSHSGE IPPKSKFCDPIFRLVTQDRYKEALTIYHEIVSHNDKIRQGVTIGHSIRIQHRHEYLKP ALYSLKIGDHKSTLMWLGIYPNRPATYNHPALKEVWTPIINVFINDKGSFRDDPEFLQ EFLILAGRKGLLPTLLPPILPHLVFSFPPENSKAILENAIQAYRESTTSDTSRTPRAK YQEEIVIKQIIEWWGSYLRKLLIAGWKIEARYLVDDKPFEGEWDQITQKFIMEELKSI SESSVDKSESLKLIDTSDIIMRIRSSLVDLPTPTELATLIRALSHPLINLEHPTLLSR FKSRFTRPPSTHRARNYPTIQQKLWLHAEILNLQREGDHCTALALFRDNFVWAGLPDQ SLLFGQAPPPIGNLQLKSYPTIQIITTIIPSIIYNLPHPISKTISTFYGNYVESIHTY PPSLRPNSVTYSTLLRELTHHSGSLAGLRAIRLVIDNGIEPGESSYAAVLYALAGRRQ IEQFWSFLYQAERENMIGTTTYRGLMAILVKTGLTKDAEKLFWRARERYQNENVFDGL DVEGK
I206_05025	MAMSMGAARRGKPKIRPPKRPTSETPIKDTWTKLANAIREIQNH NASKLSYEEHYRYGYNMVLHKHGDQLYNGVKALIAEYLDRLAEEKIVPTFPRSGGTRG AGKLGGGAEAIERALEGDRFLKAVKSAWEDHTGSMRKIRDILKYMDKGYSFANGLPLI YDVGLTLFLSHIIRSSKHPIHTHLISTLLSQVQLERDGETITRSTIRECTDILLRLNV PEREGGKTVYVTDFEPEFLKRSAEFYGLEAIEELEKGDAAQYLRRVERRLAEEADRTV HYLSTTTHPALQELLIANLLTPHLQTILDMPGSGLVVMLDSDRIADLRRLYSLYLKVP RDAGKTSLRAALRLDIEERGKNVNEGSSIEAGPSTIDQDDDQAEGKGKGKEKEKVKSA PTNNALSSALKWVQDVLDLKDKFDNILDSAFMGDKQVQVSINEAFQSFINANPRAPEY LSLFIDENLKKGTKAKSDSEIESALEKTIVLFRFLLDKDKFERYYKNHLARRLLYARS ASDDSEKQMVTKLKMEMGFQFTQKLEGMFTDMRLSNESANTFRNYLNRHGALPIDLSV NVLTASYWPQPIVATNTCTFPTALQPAMETFQRYYDSRHSGRRLTWQGNLGTADVKVR FKNRSHDLNLSTHALVVLLLFEDVKSGENLSYPEIKSLTDLPDSDLIRTLQSLACAKF RVLTKIPKGRDINPNDKFEFNENFTSPLARIKIMQIASKVENSKEREETQEMVDEERK HQVEACIVRIMKDRKIMSHNDLISEVAHQLSTRFSPSMSLIKKRIEGLIDREYLERTD DMGSYRYLA
I206_05026	MSSSLTARPLFGGAISLDLPENYIDASDLRQIPDNQEVFLSSTS DTAIVLEVLGMVEDGLASTDLWEAVKFHFSSIAHDNASLESKIITLPPSEPIKFNPSS NSLEEIKTPNPIILSGTQLIHKFSHNSNGLPREGHENDLPDKVWIGISLWRIWIQDEI KKTKKKADLVLSINVNLSDKNGNGEKERENIESWFFNKCVKSLKIEDFNLFGDLE
I206_05027	MTAKTESPLIPLDIRLRTIEAQVYGLPSSFSENSSKRSETSNKS VIRQIRESQETFDRLSNESEGIKRLIQGYDHYLPLLNLSTVLPGTTSTFTDNHDIKEN DNKDKPITESDLLNDQVKLNMILESATDLKQSERILREIDLLKQKNVEGSGQLEKLLP YNKDLTNAIKKQQIHSTELSKVENDVRGLLNRYNQFTTTTSELFIDIHHNLQYLEDRV DKLERKKRKEIENRY
I206_05028	MSSNQTSTSSYTQLASPISTQPPKRPTSQLKHRHTSDSSNADVF RLQSTPDNKRQHLDGNGLARNLSSSSLKVLPKMENEEKRRSRPTTSPSIGVNNGDGSM TPDPEDDFDDEEYNRRDSILDPPQQRNPTKVSPLAKRLGEEPPFMLPHPSKDTTTSQA YPGVVIGSFAGGGSTFGEGMGFDGGMGMNMDDIMDPCSSDRAANKTAAAPNIAPWLMD ESPSSNSSQFVSQPLEIERTISSKGPAATLREKDSRKASGVLNHFSSVPSLPKIRRQP TVDNSGGYNDSSGSASGSGSRTASHTSIATTIGGSSAYHSIAEEGNSRQRNISSDSLQ TLNQRSNRDRSPKFETASSHDRSTSQQSNKSQGSARVGRFGSTTSSNSGGGTTGNEKK KGFLGGFLSKRRTGPSISLDFTPPDTHTRGSTGSTASRVSNPPSIGSLMSSTQGGLSP NDRTPSDSYGKSYRQGSNYTSEGAISPLQEIAETPFHLDMNLDDMEGIVDPSKSGVQP TFARPAPATNNSGATATTDSTAGSMSMEDALAQTSSFATTVSAGGSSGSNQSSAPATG RDLLGEAERLSGPFVRNPFSTTGSSAGSIDGNKPSTPPSPHTLSPRHGLPPTTQPRRP SQLRNVKMGSIDSELSESVDGSAPIAPSWASNGAVQTVFNDPFGTSKALAPIVDESPV PQQGSSVAGESRKASASTTTAEMTGSISALASSAAAAAWAAPESWGVEGDEDESDEES SSSGDGADEEWNDNTNMPIQSSLTESERNNRIPSTASSIGTKLPDVSSPTGVKKPPPF GFKSQQGGSAKGRPPSGRPSTGQGKRGKLNGGRPSTAANSNSGRPGTSGSTHATATAH WIRMYRSDGSYTSHNLPINTTTAEFIGILSGQNEAPFGKKITTSMKLYLRERGQDRLL LPSEKPIAIQHRRLSQAGFTEAEHPEEIGKDDMGILCRFIYQTPALPIMNPEEESSYD SFEFIDVARRDLQTIPIFLHLHAHNIIILNVSANPMSDIPLDFIQACSSLKELRMSYM ALKRVPNSIRASTTLARLDVSCNRIADLESVPLNEVETLVSLKVQNNKLTSIPSYFAQ MKALKYLNISNNKFESFPSVVCEMSNLVDLDVSFNNITELPSKMSELKSLERLALFSN ELTSFPPSFSTLANLRILDVRRNKLTDLTAVYALPNLATLQADHNTIVTLDAQIGANV RQFSVPHNSVTRFTLAPQPNMAMVTYNLTNLDLSHGKISTLADDSFSGLENLVKLNLN FNQFTRLPSTLDRLKNLEVFSCTDNMLNDLPVGLGKLQKLRVLNLHNNNLKNLRSELW ACTALEIMNVSSNLLKGFEPLPDDMAAAMGVHPSEVAETINGLPPCAVNARHLYLGDN RITDEIFHYIADLPNIKTVNLSFNDIYEIPPDTIGHCSKLEHLYLSGNKLTSLPAEDL EKLVNLKTLHLNGNKLQTLPSELGAIKTLQHLDVGSNVLKYNIANWPYDWNWNWNTAL KYLNLSGNKRLEIKPTSAQDMNHASSFRKELSDFTALTQLRVLGLMDVTLRIPSLPDE TDQKRVRTSFSDINNMAYGISDMLGSNEHLAMFDLVVPNFRGQADECLFGMFGRTTPS SHAGKLPKRLQELFAGQLMRQIVKLEKDEDVSTALRRTFLYVNRRLFEDCLSPGTTRK GSAQSIASIEDMFRGWDPAIGSPFRTGSSGAVVYLVGKTLHVGNVGDILVVVSKRGEA ELLSKKHDPTDREETARIRRAEAWVSTKGFVNDDKDIDISRAFGYWHNFPAVNTSPEI RTRPLTEQDEFVIIGNNALWACCSYQTAVDIARTEKDDPMMAAQKLRDFAISYGADGS VMVMVVNISDLFFGQSGHRPRAPVPQGDSTAEVDGQANTSTAVTKRLITRRREEVGDR TLNRLQQEIEPPTGHIAIVFTDIVNSTHLWETNPGMPTSIKIHHNFMRRQLRLDGGYE VKTEGDSFMVSFQSVTAALLWCFNCQIGLLSQEWPRELLEANDGKVVYDSKGILIQRG LRVRMGVHWGSPECERDPITRRMDYYGPMVNRAARINASADGGQLMASGDVINEITAV REYLETNDEEALNELQGDIKREILELRRIGLDIKDMGERKLKGLEVPEKLHLLYPKTL SGRLEMSNEIRPDVEVNERKTEHRIIDIEQVKELSKITLRLEAICNASEIINNNDNSS LKEISSPPASPRPIIRDDMNDEELLIIIESLTTRCENSLSTLYLKQLGGFSSVMAALE KATKIDSKLITHALSLMNGAFGG
I206_05029	MKSNFVFQNLCGTVYRQGNVVFTPDGNSVLSPVGNRVSVFDLVN NKSRTLPFENRKNIASIALSPDGNVLMSIDEDGRALLVHFRKGTVIHHISFKHKVNHV SFSPDGKYIAITHGHKIQVWKTPSTLVREFAPFELHREYTGHHDEVVSVCWSKTSRYF ITTSRDMTARLYTLNPVEGFKPKQFAGHRDVVIGAFFSHDEKTIYTVSRDGAVFVWSA KRGVSEEDSDVEMDILDAPTTSASGANLVLEHTVAYTRWGIQNRHFFNQPGTKVVCAT FHPKSSLLIVGFSTGIFGLWEMPSFTSVHTLSISNEKISSVAVSPSGEWLAFGAAKLG QLLVWEWQSESYVLKQQGHYYDMNTLSFSPDGQNIATGGEDGKVKLWNAQSGFCFVTF PEHTASVSSVEFAKQGQVLFTASLDGTVRAYDMVRYRNFRTFTSPTPVQFSSLAVDPS GDVVCAGSQDSFEIYMWSVQTGKLLDVLTGHSAPVCGLSFSPSGEQLASASWDRSIRL WSVFGRSRATEPVTLSSEATALAYSPDGKEICASTLDGQLSFIDVEEGQIKSVIEGRK DISGGRRVDDRLTAANNAASKYFNSVTYNADGSCVLAGGQSKYVVIYDRQEGVMIKKF QISENLSLDGTLEMLDNRKLTAAGPIDSIDNTGDASDVEDRLDKSLPGASRGDLSKRR YRREAKTTCVKFSSTGRSWAGCSTEGLLIYSLDNLTNFDPFDLDLDLTPENTLKKLEE KEYLISLIMALKLNERNLIKIIYEKIPTTQIGLLSKQLPNENYLIKFLNFINYHLENT PHVEFDLIWIASLLTSHGKWIVINKSQLAPTVRGLIRGLSGLQGSVTKL
I206_05030	MTTKQPLGISTVTNIKTSQPNHKYDDKLKKIQLEAIDERRRRAE AEGTSQGWKMELHQSQKQIEDLMQDLEKAKGKIIRREETIKSLEIRNKESNQAPDSEF NMKLEDLVEKHRSSKLKYQAQLANLQTENNTLQETLSQQIQETINLKGKIESNDRDKM QLLEQINTLKRETDLGLKRETRDYENEKTEWKIEKHRLERNVASLISEVEHLKASIER NDHRAERNIHKEDDLRKANRILEKENASLREKVIRLTEVEEENEILENLVSACSIQYR ILYKNTTSKARYRELETKYITAHTNSLEYKNRVKRLEAKLSFQQYENTDLSDQLRAHK REVNMLSESLASVLKDKQDLRKDISSHVKDFVRGEVIKPLRTLPILPAIELAVDHAEL ANCHLRTQLVDIEDQHFDLLSKYETTKEILASNVQSLSDLQQSFAELRTSHQGLEAAH APCQPMINNLQNLLAASESQVVQLTGDLSSAVQDAKKCTNQSKEDREALKRANETVMR SKMAEEALDEEVKHLQEAYVSAAAYEELYADLQEKYEILECRERVAVEEAEKLGLENA ELAGHQNEYQRINYVEGVRREMVLLKQELASTRHLLNLSNDKILILENDIQAYTSIEP THLRSSINGLHSSRLRVSRRQPENGRLTVSRSQGRCVSGPIGR
I206_05031	MSLSSLNVDLSISTPSLHPTITLHLKTPSTSILPDPCNLQAKLS IRLPDEIFLDPDELSDKFAGSAVTSYSLFNSKTQGKVKVDIERPAISNITEHTILNLN IKVSEKKFENLRIEIPLHVRYLNPTEDGKTIIKFPSTEGKIKGRWYCTEISDSLPFID PTPVNIIIPTGQHSHQPFVELITPLVIWAGWGWLIYKIIRLRNRSKSLKEKDF
I206_05032	MSDSEEDFMSDKFLLEIPSGSSSSSKSKTYSEKRNIDQLKSMRK GQSKNQIPLKQLEEQRRKEGLSKSLFDQQSNVNPTNSNIGGGGGGVALNMMQKMGWNI GESLGKKRSSESLISSNSHLKRPKFLPGDEEDEIPKKSNIIEPIRISMWNGRRGLQAK SPSPPPSLPKNLSGRDPDSLDIEKLERLNKETDDFRTRQRREYNEKEKERKGRAAREK LKTFDEEKGIKFHPLHIIPWLPLQTIPRPLLKLIYPSQAFSPSPSPPPQTTAVEGYEK ESNLSAAEKLREQMRRDMLSTLKSDANSDDDDEEGLVRFGIIKEDDDGLEEGKKLERK YEDEFAGVDWNDQVNGAKRVLAMDPEIYLQFVVDQLRNEHLFCFWCSYKYNSFEEMDG VGGCPGSEEDDH
I206_05033	MSSSRRSLISPISLLLTLLATALYVTGLPYNETLDPWNINKNQG AADDVLQYSTERSNKTYTPSPGNWRSLPTYTLLLDKWIDGAPDNNDFFGLKYEYDIKE TQLRSGGDAQGLMDARGLDYLQAMGYKAIYIAGTNFLNMPWQSDGYSAIDFSLLDPHY GTLDDWVKLIDEMHARGMYIILDFTVGTMGDLLGFEGYLNVSTPFSLDEHKAQWKLPS YAPWGFQEYPDFKFENTYNDSCTYPTFYEDDGSIYDPGKTGCYGSDFDQYGDIEAFGV FPDWQRQLAKFASVQDRLREWRPQTMDKIKVFSCLTIQALDVDGIRIDKATQVTVDAL ASWSNHTKECAAAVGKNNFFIPGEITGGNSFGAVYLGRGRTSSNLPPNFDSAVNLTQD QGQYFLREQGQTALDSAAFHYSIYRSLCRFLGMDGNLQVAYDVDVNFVTAWNTMVVSN EFLNSQTNEFDPRHMYGTGNQDVFRWPGLTNGTERQQLGQFVSNLLMPGIPLGWYGEE QAFYLLDNSASNYLYGRQSMVSNQAWKRHGCYKLGSSQYYNMPYDKVLTGCDDDWNSL DHFDATAEPFRGIRSMFWLRETFPSLQDGFGLVTRGNWTNFIQLPGSNQTQTELGMWT VTRAPVSEQEGKGSFGTNGTLDQAVWLIYTNENYTKDYEFDCKAENWISSPYQSGNTV RNLLYPFETYNLDDSLDPFYANKQAPWRGCLNKITLQPFDYKALVPIDQWKQAPPAVT KFTPGHDYRAASTNGGNFDIAIEFDTEMDCASLRSQMSITKQSVGSATSTATLSQGDC ITIAAADQETPYLVSVAPGAWRWTGQIQNAADGIYEITIGNVSTQTNNLYTGANTHFL IRIGGTDNPMVYPEEADYNNNIFTTSGDTYTLTHNAPGADMFRYSADFGQSWSAWANY EATTQLNATMFKAKDIWWDGDHVIVQYYSAIAGSSNHQVHADANFKANYKRYYPQILA RGAFNTWGYDLGASAHLKLESDHTWRMPLMAAWPSFIQLNIWSYDDYFYGDVDGDGVI DRFPPNSQTANYLNMSVPPSPHLAWNLYVNDKTGEWSTEPIGTETVTTVAFVLLLVIP AITAFAAALAFRYSFYSIKVNKWGLKPSKAAKETSYFPIVGGHGEKKGEFNEKGGIVA HNEKKVPHKIIGWPEDQNKRRKVLIATLEYEIIDWKLKVKIGGLGVMSTLMGKAMSDV DLIWVVPKVQDLEYPQGEYAEPIEVIIFGEPYLIEVETHQLDNITYVILDSPVFRAQT KADPYPQRMDDLSSAIFYSTWNQAIAETIRRYPIIDIYHINDYHGALAPLYLLPKVVP TCLSLHNAEFQGLWPLRTKDEMKEVCAAFNIPKEICSKYVQFGNTFNLLHAAASFISH HQKSIGVAGVSDKYGKRSWARYPALWTLRNIDSLPNPDPTDIAALDEQPLAVDKIEVD TEAEAKRPEFKRQAQEWAGIKQDPNADLFVFVGRWSKQKGVDLIADVMPSLLDKKPKI QLICVGPVIDLYGRFAAEKLARLMEMYPDRVYSKPEFTSLPPYLFSGADFALIPSRDE PFGLVAVEFGRKGALGVGARLGGLGLMPGWWFPVESSATVHMLSQLTKTIKLALKSTE EERAILRARSAVQRFPVVEWRQRLEDFQKRSIATSRQLAGEQAWGYDQVDSSVGGFYA HGENGSVTSLARGEWGRSATPDSTAPNSPMPGHSPLTGGDNPGYFDPTTPMATSNNNN NNGLLGANRANYHKRFDKNANRQSAESFYDEDPNASPLYYEDKRRSRASGKPKFYGYD DEDAPSSQGSSDHGDTTVVGSATSGGGRSGDASAAHSYDNFLAAANKQFARNTGGRNA PDPYFDNRASVDASGNPTRPFTVHSRVSSFDSISSIVDEKGASPLNKAMDTFTDSDGE VAQSFVQKLRDLSADNSKGDLCIEKFLIKSEKAFFDEIKKEKISAMSLRSSRDSFINS RAPSMIDGFRPESPYSNSGHGHDEDHYGGGGGDMYEENGPRETQMTSLQIFMGRQIGG WPLYSIIISLGQLLSATSFQLSLLGGSNVQKEVDLYIICSIFVVATLAWYTLFRMKPS VWCLSLPWATFAVAFFMIGLPSLHGALLGPRITITRVATWFYAIASSAGFLFFGLNFG EEAGAATEVWVTRACIVQGLQQIWVSALWYWGYTLNGTDPSVYVPSRIILYITWPLAV ISAIFAWLMWAGMPEYYRQIPPYVPNFFKTLLRRKLVIWFLVSEILRNYWLSGPYGRN WQYLWNASDVARWAVVVMIVIFFIGIWGLFMGILIKYSKIHSWLLPVFAIGLGCPRWC QMWWGTSGMGLYVPWGGVAGPYIGTCLWLWLGVLDAIQGVGLGMILLQTLSRLHVCAT LAGAQLLGSCIVMLARGTAPDKVGPGNVFPNLAIWDLSTGQNNPFVHWEFWLCLVCQI VIVIGYAFFFRREQLSKP
I206_05034	MSSRRAASRVICRASSSRQNSIPVFARAASSDASSSSSSSWQPA LPAGQSPAYDAALSYLSSHQSKTLNKLDKLRSNVDKVNPDPELIRRIDQLEIEAYAND PAIRRKFRETGGKGEMSKTIYRWLAEQKWRKEGGLDLLMQRLLQMKIVPDVLPSLPPT FPLSLQSKNGNSTIEPGSVQLSSNFSSSPSIYSQLYYHPSESTNSTPNPEALHTLLMI DPDSPSHETHSFQQRIHYLKTDIPLSVISGEVNLTDSTIGKEILKWESPAPEQGTPNH RYIFLLFRQQSPSSPSITKRDNFELRDYLAENDLVIDDLVGVNMFRSKWSLEENEHIN NVYISQRGIESGAPVYGKIPKEVKYGYPMSAKRQRKEEAREEAWDRAVVELEGLAEEV QGLTGLSEDTEKVKL
I206_05035	MPPTRRLSSSLRLLLFLLMITVTGLVIVYRPTISDIKSSPYILP STWQSMPIPIPPGIDELSPKEKALAIKQIHYAAKVETKFRPNPNWGGRDHGREDYKYY SENELRKLAVCMATDTCRQNQTSVIILGHIFNHFHIYEGYMGGEGIWTASLIETLSKW GYTILHARDDWIYMWYLYNQIPDMVKGIIAWRTGQFGTFEEQMKTGRRANGIPAWQFF VYNYFPDHYTSIVGDAWNMHSEFGYSQHQRSELISTHNLPRGILTRASALLADFTFIP YVVEPATIPPYIPASQRPNQVYILAKFVRYFYPGAQPAWEDRGIFLRAKEILEKEFPG FEFVVGCRDDRNAGQQEEVPMELPPGIRNLGQMDKVEFERQLANSRVMLGIGWPTLSP SPHVALSLGIPFISPFSMHGWSSLDDPETWASSQHHTLKTLTEPYVYHVLRGNETQFI DAIRKALSTPIEPFILPLMTRDHHEKQVNKWLNTDWKAKAAKILENRKNGKETENGNE IGEFTL
I206_05037	MNHQSKNININRNLCPAGELGNAGAGATNSTPLLFSSHHHHDID NAYNPPLAIARAGGKGGQVYVYSDDVGCGDQSSYASFAGGGRQWGDDFDNASESSETT RDSLMSYLHEPLSQISPFNSPTHSRRPANMAGPLTPPSSFTQSVDIPQQATNQTHSSF SLPLTPISCSSMSENSSPPKSQISPKHKTVLPNKQEREVIHLISSIFPNHFRDISSLS STLEIVTPPSNLLKGFIVDHKVRTVFIHLPPLSISSNTHQRPEALSPNFSQVLRPHDP LLSISPPLSSGLAMSNASGMTNFGLDIKEYLTASLDLSADALEASNMVLLLDRDENDQ ESLGELLHSLMYVGGQVLKSPAKSLNGWEWDIRKWVLVGMDL
I206_05038	MTHSVLPPSLKRPRSTSQPPSPSSLSSPKRAASEDPFPSTDSDS GRPDMPLQNSNNSLTGTNSMVGTSSPLRANDYNDVDETGSRGWVKRTEDVKLGSDDGH GEEDGDITITRDSIASVWKGRYDEMLNLLGPPFTPYQTYYILPKSIVDNFTELAYSDN EGDATSPSYPVELTEAMTRLIPDQSAEIFWVIQSVNTPSGGYALTGQGKEEQVWTKGD AEENRDFVFISKEGWNKIIEWLGPYDGPSLPRYCVPPENIEIEPATIRLFIIVHPSTT YTKPENDENAQVQLLCPSTTSMPIFKSFVKSVALQKLRINTNILPARLWKIEKSGLDD EKLLTSGPLVISSSALIGTQNNYLSAEDEAENLAEAVLGNSKDQIVAIEFGQIENGNT VWNVDINAEKKAADKKAAPLFSKPAFFGGSNNGLNVPQTANSANGAIETRSQSKLDRK GKGLVGLQNLGNTCFMNSAVQCLSNTQELNEYFLSGVYTEELNRDNPLGMHGKIAEAF GEVIENLWSVPSSSYHSYSPRTLKYTTSRFAPQFAGYGQHDTQEFIAFLLDGLHEDLN RIIKKPYIEKPDWKAGGGEKELAALGKECWDGYKKRNDSVIVDLFQGQLQSTLVCPEC HKVSCYILKFMYLTVPMPIAQHRHMKTIFVPRDVDKPPFTVKLLVPQNASFAQFKDRL AALTGSKASNLLGFDLWHGRPYNFWIDADHNSEAKEHDVIIFYELDAPVSATRRSVGT VPMDESITVPVYTFKTKENRHRYGSEYPSENAAKPFFITLAKSEASDASAVREAIMKG YSRFVRPEMKDKLYVHASSSKAVIPSPITPKQEDHELVTEIHLNGVGEQPTVIEVTSD RNSMDVDSPTHATTSVLQTSPSATSLVSQGSASGRSITGSVSGKLVPRADLFKVHVSE AASESMLNTFKTKEKYNVHRIYDKEPSTASSSWSSLESRKKPKKALFKRMTAGINSIV SPSYQSEDEGNLSDSSTSHPHAQSGQPIIRPGEGIFCEWSPAAFEEWLDEDIMGDEIV DPAIEKEIAKKKEGRKITIEDCLDEFSKEETLGEDDLWYCPVCKKHQAATKKLEIYKA PDILVICIKRFGSSRRMGDKLDNLVNFPIDGLDLGERIGEKKVAQSLKMNGSSLEEIG LGEQEGEEMIYDLYAVDNHFGGMGGGHYTAFCRNRVDDQWYNYDDSRVSKADVDSIQS RAAYLLFYRRRTKRPIGGVSKEKTEAASRVATPLPGSPVAGPSTINLHDQISPPTPTS QSSDDLPSYSDSSDTPPTPGQPSPAISDDEEFINPALKQTSTDNQTWDNVDLSGIGQT IGFGNNAWGSKSSTMNRVSHTSADTLNPRSDMSSTSTINTEELDVASMDDEEPTMPGG NEVIGGKDE
I206_05040	MSSPPRYTDNPQAAPLPAKKSYGATPNDAEASQPLLAAAQASTS AQSGLGRNAWMDEGSPDDLPDDFKVGVNVIDCDTEIRLAFIRKVYSILFLQLVLTSVV SLGMSFPVVSDFTKQNSWIIWIPLVGSMVSLFMVYWKRHHHPANLILLGIFTLFEAMS IGFVTSMVEGRIVLQALFLTLGVFAGLTLFTFQTKFDFSSFAPFLFVGIWGLITASLV QIFLPFNANVDLGIACFSTLLFAGFVLYDTQQIMKRLSVDEAILGALTLYLDFLNLFL SILRILNNQNNR
I206_05041	MHASTYYQILLVLALFPSTSASPIKVSNTDTAPNIKGTIIPITH RSPLVYHPILSARTEDVIPIFDQQAADLVRKELKAVRNKYSKAAQYLSGVQVAEADIT FQQPTAGLPVQAAQSAQSAKSISTTSYSSSSSSSSVLTTSIKGSSTSIPTPVASIGLS SGSLTSTSAKIYSTGVTVIAPNSVPTAVSTSSSDKSGLTVNIPPIASVTVDNIGVFNF AYNHAPLLAPIIGSHTSSTISNRPTNTVLTSSFVQSSTSTTISQTTTTNTKTHNAFAS LPTNWIEADLQSQSNQDLGDLQVRDIEMTIEKRGSSPVVPLIDYIQGSMDVLYYGNIN IGTPSQTLSVDFDTGSADLWFPVNCNNCQSKQFDSSKSSTYKTSSNDFSVQYGSGSVS GKLSQDNVQVGNTQVLNQYFGSVNSESSDFQGNPNSGVMGMAFSSISSSGKSTYFENL INNNNVNSPLFGFHLIRRQSQGSQLCIGCYDSSKFQGSINWIPIISQTYWSVSMTSFS TNGGKSNALSQSLIGAVDTGTTLIYVPTNIADSFYSQIPGSSRADQYGEGFYQYPCKS SLTISLGLNNKNFNMNIIDFNLGRTGSGSNMCVGAVLAVADGFPDNLAIIGDAFLKNW YSIYDYSNGVRVGLASSVNNK
I206_05042	MVKISIPTLPKVPLIPLSGISILPLKEAASYLSNFLNKGKGKTV ILTGAGISVDSGIRAYRGEKGTYSNPNYKPILYHELVEDSERGDMFRRRYWARSFLGY PPVRDAQPNPTHIYIAALQHLGLAPNLITQNVDNLHRKAYSLLSPTYNKSSILELHGT LAKVHCLKHRHEQTRDVYQEDIAKMNPIWDQESKEAERTGQRPRTNPDGDVELHGVDY RSFSVPPCRICEQEKMTNSMVKPNVVFFGETITPEVRDRSLDLIANSSSLLILGTSLA TYSAYRLVKLAVELKKPILMITTGPTRADPFMGKGLEKMDRVAGDVLGKYLDEAVKTS TGQEVEDVKRYLHSGVVKRPPEVEGPRAEG
I206_05043	MTARTSNHNGPVLQPSSTSTSNSTTNFKVPKAKGLNRPTTWFSN TSFGKSSSSSNSSSSSSSSAGGGSSSSSGVTARPNVGSPMANPFSVPSSSSFKSPFPS SKGKHKLSPSPSPSNKQDDDFVMISPVRPTYGLGVNLLPHQLGSGSGYSPSASPSRLA DLQNSSSVSSSSPLEESPTPACGMASATARLKLVDDESPLRRVPGQSRSLARISKNTE DPRSVGKFEIRDNSSPLKFATNHLMSNQAEDEDDESPFLPPTPVMSNGLPAPPPQPLF PPIRTSQSQDSSTSGALFSGNNQKSDSSRIPRLSTSHPLRARAGTDAERPTLGSITKK AISLDQIPTTSGSSQDELFGSSSHIHVKKTRPASTFGPSGMSGLPASSSSNGRAHKRI NSGEGLPHVANSASLSRSFGAKSLALSLTPNLGPLPDFSYSNSSLSSLSTANLTPPAS AFSPAEPPIFEDVKPLQEAFEQSHNTVSRKFKPRDSGVSMGPDIDEEIRPKPKLMMPP PSVMKPTASGRPRRPAMLKRTSSMGDERSSIMDIETPGIGPMMNSGWPGKQANSFDFL GESGVGLGLGQNGNSSEKPSMPDTPVKKNAFTHSSSNPRGIGHSTSQPTLGSSGLEDE TISNAPSEDSGKSDVSLSTRSSNMPPPSTKKLPPPSVMKKRPGSGVPQLTLTTSSSPE WATPMDTDDAASSPTVRIGSNAAQASAQAQGLKSLTLGGSGTSQVNRIGLLRRLSNGA VSESEEEGTPTKDGGDRATLAAARSNLITPTPSPKTSSSATLETTQHPKPPPSSMRNI STQGSGIMPRLSLPALPPSKPQQHRTLHHRQSHPTTSTVQLEEEDLFEKKFITLEVLG KGAFSTVVKVQDRHGEGLWAVKKARGVFDGIKDRLRHLEEVDILRHLSKTPCSHVIKF EDAWEQNRQLFIQTELCLGSLAFFLEEYGRVVERLDEGRVWKIIRELSDGINHIHSNG VIHFDIKPANILISSTGSLKIGDFGLATRYPRISPSEIFEGSGLGPGNTSLSTLGKGY GEKLEREGDRVYMPPEMLRGMFVMAADIFSFGLVILEVSTNICVPDGGSPWQALRSDD FSVVDLTPLSPALTDLITNCMKSIPEARPTVQQIIQHPVIKRAKMKSEKPALAPEDKN WLVDVLAGGFAASSVQEGEDVEMIEG
I206_05044	MSQPIAITFHFPHSSSTGNAGPSSRTLSKRKIASNNRILASSYI TIDKASRPNKLTPTPASAQSSITSPPDTSSSSSSSETVTTVLSTGSKRRKGPVFLPPS DVKLASLTPLLKPIVNRCTTSATQSEESLVVHASPTRKRSKKSTPVPSISAPSTLHPY SKSSDLSNTRSSVPSPREIARNKRLEEEKAALKKQNAAIARANAIAKRKSNRKIGSGG RGGKSQSRRSSFEATTTVASKPSSPEGKNSAVPVITTQAASEDGSPVLGSRPNGMKRT RSHGVLPISTSLTNSINGSPVIGSPLKEVMSRDQDEEGNDASKKKSKLSDSIEGGEGE ARTSRRANTHSPTSNSFDLPDQERLMKTVPLSHGKVLPPKAVSIESGIGLRRSVSNTI PYGRSGSVVSVGSNTSENGRTRRETQLPERLRDYEMKASV
I206_05045	MSTTAIRMTTHAPSPSYVPRSTLGSRPNSPALPPKPQAATYSNH GRSSSTSRLDTSLKASTMSPSIGGGAAPTPRLGPIERSVSGLRNEVKDESRKEQKSEA ARAILKMLSSLPAPLPEKLPPTFLPTPSTSPISLAGSPTRTFTDYARSSLKRNKRKRA TDSSSSSSDSSTDIPSSGFTGNHANGNIGLGLGLGLSMSSPEQARKKPRLENDKPDTV RRSSAINQNGRSLTPAGSGQSSSIPTTSSKKPGTSNLRNEVTEEESKENWSKEKWRST AIMYRERALLMKRHGDAYQRVSTAQPKYVSQLPKDPLKGCLSLTDAVLLWLYSYFCDE QDRGRVRATPYNESAPLREYVKKAWENEMRNALEEEEDRREMAQGMVGLMYLIEAVIG YHLTSEQFIHLNQRGKELQNSIQINVQHQQQQQQTSSSYKSSNSSPPNNSNFVQIQNN ISPSSNNNQHSPESNISCNSSSSSSSTINTNYNLPPDLLPLINSSTNSSFKSQQNLLN SRNYLTILILSKRFPKTFNFALNSSSSFNNNNKLKIINLNDNNKINNNNLNLNIDKNP ENFNWPIELGMSEPILNIVAFGRCLIEEMANHFKKDWIRIVIE
I206_05046	MSINSPYSPTGKGRTDSTLFDFSAAPETQQEGETEFLDNIDLDA PSPNPDKYKNENENENNVWRVSSSSSSSSSIYPSPLIASSSRPPLSTKSSALSSTTVS TLMTSGEGPTPLVLGVAVVDFNHLIGPTVEFAYPPSLQLAIQDDEEWMKLLPFLALPD GAHLSEEDYSYFHCTYNPSGGEVPTDVPSNQTLFGISCNRQLAASELTRRPSDVTRSM VQKAVVVIASQPVFGPIRDKLGVVTRAYFAQRDFTQTEILEDFYTSLESSLEGKSGEG AIYIGTSLRELVHKFRHRTLVLLKLLILQKRVMLFGYPVEKLCTYQYSLVSLIPGLLM SLRDSGSPDLDVKKKRVRPTSLRTSDRSSLLRYMGLPLQVFGKDSFFQPYMPLQQIHM LQAKSWLVGTTNQIVTQQKDCKYDLLVNIDTNAFEFSDPKIERLVTLTPADRKWMDDV VRTVEESYVLVILNMLMKLKNRFKGSDDDLRARFEEYLCAALSSMKYADFLTKAKRQD ISIVGVAGDGPILSPFSEQWIASFRSTPAYEIWNGCTDPALFDICEPRHPCEGKVNAV SDLGLRLAEGFHDLHLDQQLGPTRDALSSAFAAGSSSIFKAFDGVRSEVNTRLQQQRE ESQKGNLNSNSGISTPITTSTTTTTTTTTPTSNVNQSGKQIEDLKSTLGGIGSGIGSF FGSRLNNLRNNNINNTSSSSTSGQIQNSTINGQNRSIGKDESIGKGLRPMSLIGSTNN NNNNSNSNSNMGSISRLGGWGKS
I206_05047	MSAPRVIAELVVTGIRVLGKATAAAGSQAVKNFKHKPEGATDTG PVGAGSSKNKITSQLNMSLDEAHLILNVKKDEPMEIIQKHYDSIFAANGPQPIKPEAH TTPQAAKKASRIPTHSHYLQSKVYRALERIKAERQAETPPPPSSTSETANSSTIVETS NPISAEATKTVKEGETVAPPPPPGST
I206_05048	MMIHIAQGRQGDIYALKSRDINENDNIHYHKNKDEEGQLEHSLA SDEIDVYGGLKEWQIIKTVYAPLNGVVIGKQPHNIVKEVNLLKRINHPNITTLLRYTF NNDTFEHQLIFPLYAITLNELFQDSSFPFEYEHEQITHIQNNPLDIPKYISYQLLQGI NYLHNLNSPISHLDLNPSNILLDWNGLLKIIDFGISFSLTTKDQEEFSNCIKEEDNEF TLFCDVGTGSYRAPELLFSPIRYDPLKIDLWAIGCIIAQLFRPFESIEINSNFGSESS SSSSSSSSTKSSLIEISNNSIKEQFDIFDNLDNLKFIRKPLFNSKYGSLGLSSSIFKI LGTPTKENWPDFENLPDSSKINFPFSKSKKLLNYLPELNKFNNENKNDILEIIEGLLK LDPNLRKNTKEILNFKWFNNLPLIQSNEIMRKYLIIAKSNFIRKINEDTTNPQGRVW
I206_05049	MMLVSPRPVPLIQTFDNPISPTEVESDIKVENQLEISVFSPSLD EEVDILPLQASGSRSRSTSAPACRTERRFKCHFKGCDKAYFKPARLAEHELTHTGERP HKCPECGQSYLRASHLTAHMRTHLSADAKPFKCEREGCDKAFWTATHLKRHEDTHDKA EVYPCHVCDQTFTKAHLLREHHALVHMPEGTKVFQCPHEGCDKSFNMKAHLRAHEKTH DSNRYTCSHPSHGTDCPSFPVWSALQNHIHQAHPPICPHTECDGRTFKNAQRLKDHLK VHDQQAVDKAALALAHPEGELPPLLLEGISRSKRRRISQVEKPQDGGASPKLRRVMSG ESGKDWWCDEEGCEKRFKTKFALESHRKAIHLSLRPHVCPIEGCGKSFPHKSNLTRHI ASHSRPTTPVDRDDATSNGIVGKVKEMRRFGCPAHSFHKFIHSPPNTISPETPAMEDI GVIELPAEDRCLKRFWRVYDIRRHLVAEHAIEVDDMECRRLLLFDGQG
I206_05050	MSSYENGAPTRRPDIKRKLVVVGDGGCGKTCLLTVYAENRFPEE YVPTVFENLITMIPSPNDPTKIIELALWDTAGQEDFDRLRPLSYNDTDVILIVFACNH RPSLLNVQDKWYPEMAHFCEHVPILLICTKTDLRNDPQTQSLMAAQGVQPITSIEGEK ISKEIGAKRYLECSAKEGWGVKEVFDAAIKESLRKGSGRGRGKGKKCVVL
I206_05051	MMTLKYWMNRTGEINIHENDDSTFVSSDKEDSDSEESFSSHSSS PIPITNLDNLKINNSECIKKVSNLIKLGKAKNIILLLGAGISTSAGIPDFRSPKTGLY NNLKKFNLPFPELIFELNYFKKNPKPFWELAKEIYPGKYFPTPTHYFLNLLNKKNLLK RIFTQNIDTLENLTGLNENLIIESHGSFSKSHCLKCKKEIKREKILKDGLRKGKVIKC DYFINENENENENENEKNQQKCNGLVKPDIVFFGENLPDRFFKFLPDLKECDLLIIIG TSLQVQPFASLIDKVPLNCPRLLINREPVGPFTQIKLNEKRDMYYKGDSDKGIYELSE ELGWKDELNELIESGNKKLKIRYEESESENTIKNKLDTTLKEGFEDETEEADELEEAM KKQLNL
I206_05052	MTLKSPPPPTVPTAIKGRENIKQGEGVEHATFASGCFWGTEHLF SKHYGHLPQFKAISGYTGGQAENPSYRQVCSGSTGHAEAVQLTYTTGSVSYAELVEFF YRTHDPTTVDRQGPDRGSQYRSAIFFHSTEQEELAKKVTEEVQEKYLKGRPIVTQITK AGKWYPAEDYHQEYLDNNPGGYECPTHRFYW
I206_05053	MSPHVQPLFTSSPLIFPPASSTPVPGQSLFVTSPISATPPLDET VELKHAGSSQPSSRYNSIPPSPVFETLSFSKVPIPSSTTASTDSEAFSSDWAGPSESK RSSIQSPSLISCLFQSTSIVAKDTVNGNRKCSFNQGRSSSGEGGNKPILRRDTASMSI STSASEDNFSSNGFGLGINKLNLEENDDRKAPALRKRPSILTFAVSSPRAGPSRPPSR SASTSCSPTSSYACTRLPRSPCVRPNWGKIRGQVEKEIKEDDDEDKDQDDDDEEDEEE DYMIDSPLPIPGQESDEEDQGYVEDDEEDGFTSDEDDNAINHGADRIFANSTVGDKAG PSGWNSIEWNKDYLATPKRRSNIIPSQPNYMTSTDDCFDQPIMRGRKTSIAINENTKP SSNRCTRHRSPPPPIKLKSSSIQVPPAARSPSAFDLCRRRGSGSVEVTTTTKKGWKSD DYGFLPNTASTSQKVLEKTNSFKLPSASTKTTTSNEPLQVQTQTQIQGGIRKNSLPTP KLDCRSSSTRSILKRNATSSNIHEPQSTPMIRSSGEIAKIPPKTPPLDNHTIPLNGVN LLRRGSAPTKSINKQNKANILEIAKPCLARSATGYEEEEMGYHKDRGLRIY
I206_05054	MVTEDDKEKPYYLQQDSEEEQGIVLPAEEDDPTIPPDESKKDKQ ERVEKEKQAGVRRVKVGKVEVDPNIDYANFSSDGPGLDSKAILDEMGMINIWVDLKKP LPDLPKDYARPVKEFAVDRRKGVKCPPLNIVIFIVGSRGDVQPYMSLALHLITTHSHR VRIATHPDFKDFVIEGNKYLKGKKGVNNEDVEGNLEYFDVGGNPKELMAYMVKNPGLM PGMASLTNGDIASKRTMTGEMLEGFHKSTYTPDLATGRPFAADAIISNPPAFAHVHIA EALGLPLHMSFTMPWSATTAFNHPLVNVEQSNAEKGLTNYLSFALAEMLTWQGLGDVI NSFRSKTLYLEPLSMGSGPSIVDRLKIPWTYCWSEGLIEKPKDWKEHIDISGFYFMES DSTFQPEADLKEFLDSGEPPIYVGFGSVVVEDATAMTKTIFEAVKSAKVRALVSAGWG GLGGAEIPENVFILEGNIPHDWLFSEGRVSAVCHHGGAGTTAIGLRNGLPTIVVPFFG DQAFWGNMIHKAGAGPAPIPQKTLNVDNLAKAIEFATSPHAKSAAGEMAQKIKSENGE IKGVESFHRHLPLLNMRCDVDPSQLALWYSEKLHLRLSGPVAALMVEKGKLDWNDLEI HRAKEYDSKRRVTDPISGGATAILGTVTGYYSGIAQIFYNPPKGIINTTTAIPRGLMN IVDNVYEGMGNIPRMIGSQEVREQAKVDDFESGVKEGAKGVFYGYWDGITGLVREPVE GAKKEGFVGAIKGMGRSYVNATVRPAIGIMGAISLPLRGATKAFKNKFSAPQEIVLQQ PRKLLSIEALSSCSDEKKTHLSKRFEGLTTSQSIKQRRDELKKRAKRLLEGDETALDE EGDSQTDGGNGMSEKVNIQAEPSPTGQIDASKVQVNVADNTQDKEEKKLSQDEEEAER RGYERALKELKMREGK
I206_05055	MDSLFSPAQRPASPSSGPVTDFALIESQKENIRPLATGRSAATL SNVFKEPTAADKVVQDGHERFRKDIEEAERRDKEGEDMVDGIQDVLDVYNKYILFVVQ HHPSSDTHLLPLLETSTRRFVNDARYTQDVRYLKLWVMYARQIERREEIWSFLESRDI GTRHSVFYEEWAGALEGLGRRKKADEIYRLGTARKALPLDRLKNRHKQFLERIMAPPS GIVPEDDPSSSSAPLRTPGRSVLGQVATASTSVAGATQLAPSLRVASKGNGSKMEIFS DGSGKNEDSAPGEWADFGTRDARRKENTVEATQWKGETLPQSAARTRVAPRTPKVEVF KDVTENEGIRVADEVFVRQRQPPTEAELLKSDPLRHYDTSNLSTAIPSLPAPPSARKP PKPNGKPTGGFVMSPWECPKDGFEVRSAAGKLERRMFDWNAVFKNDDEWSFEEIRARQ RGLLGRDWKGEVKEWERTWHLPGSSTPKAEVKKVKPPSPTVNTKLAELEVMRMFDQTI HGGKIRGAGDSDTDESSDEDEEDEPIQCAPTPLPSRQGPVTMLAPTPGGAVPPTPTPA GQVQSRLFSPADENGQSYIPSQPFVFSDENTPSVKPGLAVFSDENSVPPSANKTGKFN IFSETPAKTPLNNKTPLATTSSSKPKAFGVFSDEQQGNAQDAVQATPSAPPKRKPLSQ NLFATPALTRQSSYGRGTTEIIQEVPEGEEDEGAADLVHQVQEEVGQIELNDGHEDDE DNERPRGGMRRFQINAMTPITERTCEYTNMTNLRSSVGGNARPFSVAEDEDENVSQDN EEFPSNVSEPALVASDSKSQILPPISDVTTDHDHSLLSEEDLPSGDLDGKFQLPEGFT IHRNQNDIHTMVLTDGETMHTAREGSVEPTTEHFVTASHGHGAHFSIPNPCEPYSEEA STAVMKSIQPPITSLNGFMDCRESTSGKWGVLQKYAKNKGRRGSTNSRASVAPSDDVV NIELEGKGFEIQEKIGEGGFGLVFSAVDIAQRQLQDDADSDDEDDDQETDEPQDKSIL AIKVENPSSIWEYVILSRIYQRINPTFLPSIIQMRSIYAFKDESFLLMDYSSQGTLLD IVNKATSIGIAPSTQGGTSTLDELLTIFFMIELLKIVENLHENDIIHGDLKIDNCLIR LDEIPNSKWSPSYSQTGAEGWNKKGIKLIDFGKSIDLTLYPAGKNQKFINGDWKADEK DCIQMQEGTSWNYHTDYFGLASIAYTMLFGKHINVEKNDGIWGIDQGLKRYWQQDLWH ELFNTLLNPGEELPITPRLVGIRVKFESWLEDNCQKGGKSLKSMLKKIELAAITGKR
I206_05056	MSIKIGIILGSTRKSSNTKGISKYFESILKTNEEFKNIKIEIIH LTESFGHPLPFELENEIPQSKSNLKENLPNEYSNLLIKNWSKTISNWNGLIIITPQYN WGYPALLKNSFDHLYYEWNNLPFGLITIGGHGGSKVFKQLSEILEGGLKMKLINKDNN LQINLPKKYIISSEEDRVKGDENWLKDYKQDFEELIQDLLQTIKERRNEENVEL
I206_05057	MLLSVASLLLCFLTFVRQIQARATKGNPLFIGCVSDQFYPNDSY DVGSFDDPISCAQFCFDSTLHTTYSTWTLVPDDSNRCYCHNTFPSPNEIQVGIDSNGN CDSSTQSYIFITSSTFGLVGCKSTQSSSFQVIVTSPEICIKSCKDSNYSMLSPQLDGF HCTCGEIEDVGPQSVDCGIGTWITYKHISGQYVNGPSGFVKRQKFNQKRLDQQNNQFC PIGLKACKLPNNDEAYECLNINTELESCGGCLYGDYGRSDTPTGVDCTSLPGVPLGAT TCTVGQCEAFACEEGYELSYNSTCLSIF
I206_05058	MPGKYDDAPLPFTSTAPLPTRKQTLSNSNGRTPTLKRGKTLTRP ERHVAPVPLIAPPTNHFSSSNNNSPLPENKKFGNLDWWILWCYATTWWAPPVILRWFG IKEKQSRQAWREKITLCWIAILLGGIVGFVTMGLQKALCPNGGNAGHLYERLGENNLT LSVSGYVFNISTSKTQETVDFYALSKQMPGQDITNLFTRSAADYPQCTATAKYASMGY CSNSTTTSTTTTSGSLPSCPLTKPGDSTYSTLVIQNTSKIEGYSWEQVAALKNYLVID GVVLNMSPYIQANPTAIAGDEVDTAIRQVLDNQATSGKDATRLFYHRKVMEDSIPCMQ ARYAAGRIDKVAPGCFVASLFLYTSLGVILGVVLVRFAMACIFNWFMSAKLVRPPRDL ARTAISPAVMPEGANLSIHNKTGTAPWSSANPSGARKLKNGKVINGNGLLNSSSTTLV NNQNSEPLISLAKIGAELFTVCLVTCYSEGADSVKGTIDSIASTNYSDSRKLIWVVCD GMITGHGEKISTPDICVSLMDADPRFGNPMPMGYIAVGSGAKRENRAMVYAGHYVSKN GHRTPTIIVVKCGMPAEARDKKPGNRGKRDSQLILMKFFSNVTYNDRMSPLDFDIFRK VQTLMGVTPDYFETCLMVDADTKIYPDSLRYLVNCMHQDNMIMGVCGETRIANKRQSW VTAIQVYEYFISHHHVKAFESVFGGVTCLPGCFSMYRIKARKDTDNDWVPILVKPEIV SEYSQSEVHTLHQKNLLLLGEDRFLSTIMLRTFPRRKNIFLPQAKCRTVAPDTFSVLL SQRRRWINSTVHNLMELVRVRNLCGTFCFSMQFVVFMDLVGTVVLPVAICLTGALIIN SIIDPPNSFQEAIPLMLLGAVLGLPAILILITTRKVIYVAWMVCYLIALPIWNFVLPV YSFWHFDDFSWGETRRVEGEIRSKGHDDKTAVFDGTTVPLRRWEDWEKSRLRKLRRDE KKRREMEKQFGAGFHGDNDGLGLGPTPRAAWTRSDYESDSGSVFGSEEDVWGAEIGGY NEHNPAFPPPPIALSTQYHHHHNYDDSGGETLGMDEMAAILDSGFDDPPPPLPQSSFK TNNNIPSPLHRHQHDFQSNGGGGYFDGYGMKTPNMPTSANSVSSSIESRPQSSGHAKK RSGGVNNQQAKKYGPLGPLADDDTGWGGDFKGRKL
I206_05059	MSQSVSATSRPNASGSTSTSRNGSSSTTSISIPATAAAGGITVT QPPSTASASYYKIAKDNWITFGWNMTSLYVQPKSLTVVASCSANGNIYPVGPSPTASG NTSANVFPGNTTQVVWNPYDWEQIPGQVPFAEATYVLKIYDERGDGSAVKGGYLSPYA GTNFMMYRPGTYTSIAGE
I206_05060	MANKQVIVQFKKSSSADDRQKIISELKGKGATIVNEDNINSKIL PFITVSLPESDFSALQTDFSGDHEVVENVEADQEVRIQ
I206_05061	MSPHRQLSISSKRHPSQIQDIFLGLAISLGDQSTERKHDGSDSG RDLEYSAVLHDGTGVVESETFHTKYYIDGKSFDEITEENKRIARDILGLIRSIQTDKG MNVRMVAVAEPVPKEFKGHQGVQFFSTLWLHVDVIPILINPSTSIFTKLPAPSTAASA TAAISAGVKHLHPATHSATTADVDPIDHSVQVDCNGQVKLVSLVQYKESTSEPLWDRF TALADHLNKNNVSISFFSATPQGGGVALMRHAMLRLWKMVGLNVKWFVPEGHPTVFDI TKRKFHNVLQGVANQDMDLTDEDKKWFELWTEQNYESFWSNGAIDASIIVIDDPQLTA LIPIIKKKRPDAKIIFRSHIQIQSDLTDDPSTMQHRTWNYLFDFIKDVDLFLAHPVKF FVPKNVHETLPVLYMAPSTDPLDGLNKPYGRASVRYFRQYFNSLSQQQCGVKIDWDRG YVCQIARFDPSKGIDDLLAAYLEFRKKLEKSDKPPVDGGPQLIIMGHGSVDDPDGSWI YEKLHDTLGTKEYTLVRDDVAVVRAPPSDSILGCILQGAWVATQLSTREGFEVKVTEA VNKRVPIIASDAGGIPLQVKHGKNGWIVPTGDRNKIANLLYDIYIGKEKIERDLSKTN LDLKGKISTDPNNLAQLWVGDFDKEAKKVHEDEGSTSEDFWTVGNSTRWMLLFDRILG LSPEQNLNISDSEKEKEKEKNEKTNIAPVPITTKQIDLLKKMEIGKKLNDKGIDGINV WKMVMADDMIEGEGELI
I206_05062	MNGNQPPYYANTSVFSTSDLSTTSSLNFNSNYNSEASNSGLEIG TNGNDKSIKRGKTGCITCRIRKKRCDEAKPICENCTRLGLDCMGYSIQRPSWLKAKDE KGEYRCMGNNVDFSGFWDYRKQISTERRRTKRNVEPKTDISIGKSTTKGKGKKKQDKS GQSPLTSTTYNFDAQETKGWDHNNRPFSLETQNDETNKESQREFTMEYDNFDTTSHFG SGSESRPESSRSGGYISTTNMMEPILTHQPLIHPHGNLHETDLGWQHIQASNEPNYET WTWDPSQSQPFPGEQPPDYADWSKAFTIHHNNSSILQDNQAVLHSNMLANSHSIPAQY YQANTFHSSPLYSLNSEIPAHPQQQIHQQVQIQAPQLTHQEGLNQVAQQQQNQIDECS PRIAHFRNLSDRNTSLDELWLWLLNSNSDILSSLQSPSPSVQDSLNVAPDDGQIYSNH YLNIVVPLQYRFIEYTVNDLPAPSALTEQVVWESLKSLAALHLSRHKHKGRRRKKYRR TKTKDRYNQARLSNNNGELATRNINDDQNNPSRINGMDDLEEAENQDEDEDEDEKIGK KTLEKMIEILLSMSFENIQHANSDGILVIAISVISYLMFEGCMNKQSTIVLEISRKCL WFIFENSPEFKSFLPSNNSITNKTDNQINPFYSENILLQKPFSQTQSQFQFQSDEFNQ EKQVQTIGSYWKRYKIFLKSIIHLDILGSITENKASNLLPIYRFLFNHSTIDNLNDNM NRINDKFNNIIPNLGNIDNTTLLALAETVELSEWRLKCIKEGCLDIEELVKRGNMIKN LLNERKWREKRILDDEKLNNQSKQIETKMNRIRIINKEEEIDLKEKEKEKLISNCFFE STKLLLAITINGPFSKLEQIKKPINKIIELINKLKIIENNLLNLNLNLMKEKNENENE IEIEEIEENNNNNEFIIKKLIFPIILSSCYCTFHYQSYFKNLFLNINKNFIKEKEKEK ENKFISYQLIIEKIWEKRKFFNNNNKNKNKIEDGDNLPINWLDIIKELNFKNGILLI
I206_05063	MTISGNFSKTNQTIATIYSSENLNGRIGSTRIIRDMSGLLVSSF TSSICRSSLAGPSRLAYRSISSVPPSAGRQVLGRITPKRIAVPRSAIENGHYSGTSHE SFSDPTLPRRSIWRPIVFCGLLGGGGYIASALYTNIDTQTWGDKLGGSSWWRKGQSQP SDREIHRAKQLEGARSAQKTLNNLPTTLSFLPNFLLIPVLRTYVMASEFYLNTPSAQL APMGLIFFMGNVFVWWKLRRLEPFMRKWWLHRPIVFGTKRDEWRNCVTMFTSTLSHQS LPHLAFNSLALFSFGSAAYSYLSSSPSLPALSASTHTPHFLAFLLTAGLFSSLGSHLW TNIFRYPGLKKALSHPARISSPQALMSHQGILPSLGASGAIYAALTLTACAYPDSSVG IIFIPFVSVPIGMGVAGMVTLDLVGLIRGWRMFDHVAHLSGALFGFIYYRIGREAWQW TREKLGAKAKGSGLF
I206_05064	MSTFFSGGGVECGPSNVLKDVKGRLDKDRSLQYDRLVSVSNVAG SSKQSFRSVPSAQTHSKVAPSNTQAQSTFDLASLRQHLSPPPASQQYSTAHSGSWADA FGAYAQAGPSRPQQATAAQPRHSNAWGAEFAHNAGPSQVENNQSQSRALNQPIAPWKR PIYQPTFPHLDAPFTLPHMEQKSIPIARQDSVSPNQTTSQTAPVQETPVSESQDLLAR TARNIMNELGASSGIMKSNPKLAQSKFVSMLKGLGDGSLVVDEGVQATGEEVGEGARL VDYRMVHGDGNDWASNFMNDRVNISEKSEEVENEQTVYPRSMTNNTLGFAPGVMPALD YTRLNTPVNGQPSTIQTQWDSHFQDQEALIRSSENLRKSVHFDSDPLSGKDKSGVPNN LEEALRHTTGIPGSGAQWEQEEGLDIDLDDVDALDDFNDEVFMGFNGAMQQASAVEQN SAGNVQNWGQLQEDWEEYSQREPAVRAFKGMGLGDQTQRYLFQSKNPYFGVSELDNYW EAESPTLKGVLELEAAVQNSPKSYEAWYNLGLKQQENEREESAILALSKTIQLEPNHR PAYLALAVSYTNEGESEAAVTMLDKWITLGEGHFGISSPISGPAVNWNGRKGREDLIE RLIDIARKNPEELDAEVQVALGVLFNATEEYQKAEDCFLSALSVRPDDWLLYNRLGAT LANSGRSNEAIQYYHKALEIHPNFVRALFNLGISYVNLGQYPLAAQSALDALRLQHSD LSEGYSVSANSNTSYENELGFGQENKRSKGVTSDALWNVLRSACIHMNRHDLIGLVEQ HDLSGFPTSFSDVLTA
I206_05065	MAHHANEDARGKPGMLKTYLYEMIIEVNQINQIKGNYTMIQYFE WYCPSGGVHWKKYENDAEHLADIGITAAWLPPPTKGSSPEGTGYDIYDVWDLGEFDQK GGKPTKWGSKEDLIRAIKKAKDNGIISYIDAVLNHKAGADDKEEFLATMVDENDRNKE VGEMHNIEAWTKFTFPGRGDTYSPMKWNYNHFTGVDYDAKTETKAIFKIQGDGKTWAE DVDDENGSYDYLMFVIDHAHPEVEKELFKWGDWILKETGAYGFRFDAVKHISQHFISE FVKHVRSSEGGKAKAFCVGEFWHDSVDALVEYVEGLGTQFSCFDSCLQDNFHTAGEER ENYDLRQIFDNTLLQRQPVDAVTLVDNHDTQVGQSLQRWVSSAFKPLAYALILLRVDG YPCVFYGDLYGTAGENPQEPVSQLEDIIRARKLFAYGELNDYWDHPNCVAWLRKGDEE HDGCVVIICNGKEDGSKKIAVGKEHSGEKWTDVMGWHEGEIAIDEEGWAEFFCSPESM SIWTKSDARGREEYKKKE
I206_05066	MSSLIVLPSRTNAFSAYQPSKNAHTDFISAVDLGRGGSSKEGVN TIQINVPVRTNQTPTNELLQSLLQAIPNLLSGKPTIQSFHTLSHTCHQLVLQPHTLGP TIYGRIKEELEKSANGLVREWRGSIMSRQEGWLIRFVEGWKLWEKRINLLSAIFVYLD RVYSNSLNGIASIKELSITIFRNSIWNNEIIAEKTRSEFLAWSKNERELERVLDDQRP TIVEITNLSKLLDTFNESIVKPYIELTAEHYSSNAEVHMEEVENNIMKPCKYVEWVLS KVIEEKERAEINLRKDVAEQVVRAVRKSAGEDVAKRVIRRAVDECMDSQNVESLSRLC TFSIDVNSFGVLVQAVEDHLEDRLKTLISDHANDSKMIDATLKIKRFTDKAISSLFSS SEDLSLDKSEDVEDKVFAKDISEQKRLRRNRQFELEEASRVGFKKGLGSRQNAPAEWI AKHLDLAMRRGPSLSDSEFNAHLDEIIALIGFTKDKDVFKAFYSSQLAKRLLLGRSAS DDMERNMIAKLQKEMGEEFTSGDIMMKDLTLSETLVRSYQITQSKEPETYKDAGNFTA NVLTESAWPAYPLLKDGWNFTLPPNLQSSVDLFTSWYTTQHKNRQLSWRFQLSTVTLS SRVGKDKYEIGVSLFQAVVLLLFNEEDTLDFKSIQSRTGIETQELVRTLQSLALGRKG TRVLLKKPPGKEVNPTDVFAWNKGFTSERIKFKINGIQQDMSAEESRKTNEQVHIDRV SVLEATIVRIMKGKKKLSLQLLIDNVVSDVVKRFPPDVKEIKKRVESLIEREFLMRDE EERGVLHYLA
I206_05067	MAPLDIGGIAETAVNGAAGAIETATKGADGVAKTAAGAVSTATN AVNNVKGQYDDAKGMLGMFTQIQGYITKIQDAWDKYQYLIIFGKYLWKNRNKKLINNY LCKICLINSNDKNKNKNEKENYHKFSKICLKFIPKKIRIELEKQHGDLRKGWFDSTYF IRQCGRIELPNEPEERIKWYWYGHENSKIHKILKFCFLPKNYKEKRLEKEFEKENKRS KYFNNLIKGEDKLGYKSSDWRDRVNRSWLKEKERDIKGSEINSYLNA
I206_05068	MSQTPQPASTAGLGGLNISSTSLDKESTIRQRKVRTSSISISNE GNVSSKSSIGDQQSIENLENSKSKEEINWGKTTTGEIFKVPNTHSFLHTLLYTTHKSS ITRLTLFSLIAQPILFYLLSNHKTIRSIFFLFYFAFWRGAYDFGFAWLLRKQSEKKYI QRWLKTRGWLNLDQQENSQGLEWAKWWKKELTLKMDDSYKWENVPQEFNAWLMFRQLV DIVLLNDFVSYTCFAWSNLHFPPNHSIPFHILRWVGGWSLILFNLWVKIDAHRVVKDY AWYWGDAFWMMVMQNDLVFDGVYEIAPHPMYSVGYAGYYGLSMVVGSYTVLFVSLAAH AAQFAFLLWFENPHIERTYGGGQKPLVSRAPLAWEGNSSESAFAPIAEEGDNPTPSAT EGETETESELPELPSSNLEINPIIRKPRSDSLMSSGSNTDSGYPKYTATRKSSTAGKK KETKKLSMHDLTHRFFRKPTIVLSQLDLFRANDFALVILIAYAVSTLIPHLGSKLALT GHFIHALLWRLFHSYGLGLLLRAQSKSKWLVRHYLKHYHYPGDGTFDLEDELDEGEAK EDVVKRATEEAFGNWQVIYNISLVMTYVSFAGLAWKTYHLPSDWTVSGTILRHVLGLL LIALHVWSAVSSYEVLGDFGWLYSDFFLIEQIPSQLAYTGIYRFLNNPERSMGGAAFL GLWLISNSKLVLVVALTSHLSHQWFLTFVEQPHMKKLYGDRLRKDGGLTKTLKNVADK TLSTKGGRRGSELRRVVQEVKGSIEKVEEKVTEAVEEFLDHARPMFSDMVHDTKILLQ HSRERMIITRVANDISAYDPSRYSLTLPTSSSSPAPRYHVGQPIRVSWTAPSNHSRKD WIGIYRLGSCKSTLVTRISSVGKWMPINEEEWDGDVYLNPLSPPKDSDAGEVLFKGEQ LPWLPGQYEVRYHHDGKHNVMSRVAPIEIYVSKPTNPDSIRSIRSTLLNIVSLSLDND PKLVPKSAKSKSKTRSSSSASISQNHSHSSITMSASSSLSNSAHQPHTQNGLKGKGTG HQSIGSALSSLATLEDNPISEVAPAPSDGLDGEDISDLPLSGNNNGSLGNQDEPDTPP ATARAISIPPRSDVDFGMDSQEDLFGFSPSSVDLHTGPPDFFESSGEEVNIQKGFGGG DQDDFVIMTPIQAQRISELCQLAFGVEISKDVVVADANVGALARRVAGARGLTATKSD GGGGVLTSGEGANIDIGDNHD
I206_05069	MTKKGFTKCDVCYEKFEIDLNKLLPLVERGEEILEKDSKGQLDY QDVKNDLINLIENLQKYIPNYSYPLFTLLRISTLTLTNNLNFSKTQKSEFEFEFDFEL CLNYLRNTFEASKEIFSENHSNKTLILAEYSKFLNFSFNYIINNNNNKNKKFNQIEII SKKKNIINFLENSIKFTELSFGKLNKGGIVGKELNLILNDCKLELDNLNILF
I206_05070	MSSFKDLQSSRKARQGRSVVGSITKNVNQLENSSTTTKDDSMTK HRNLSNTSLDIDSHRLFGKHLDQAGLEVHKLPGRGRGLIAKKSIKPGTVIFKIQPIIS TLQNQYFQTICHGCFLTLEEKNIYQSKNEKEMLDNNGKLKLNRCSKCKVLHYCSKECQ ISDWSLHKYECLALQKFKKMYYKAYPTKIKNDEDLTWTEKSSIETVRALSRIIFKRKE EREKNNNLRGKDGEWWESINLLESHLNILNENDKLKLTQQAQHLKFYLGASIPSSSFN SEDELYPVDMKEFGFNNLKEIMDFCSSFHVNSFTLSSPSLSPIGVSNSPLMALSNHSC DPNAIVVFPNGGKYMELIAIKDISPDEEVLTSYIDISSPYHIRQAELLERYRFKCDCV LCEKSNGDEGWVDPRWCVKHIGCKGKGKMPGEL
I206_05071	MSDAQAIEQQNPGLDTKHDSAGAKHPATGGSGNPQTGEPYEIAK PDAHKRLDGKDERSHPNAIEDAKRVESLEKEAEKAHEDALRHPTAAALSHGNKPSSGA VKDEKILDDEEEELRKKDEAKKQSAEAHKPKHH
I206_05072	MSDTGRQSLTDKAGAALKPDSEKTYLEQGKDMLAGKADSAASTG QPQSQKSYTQEIGDAVSGNSNENQTSIADKAKDALGMNKQ
I206_05073	MSDTSGWIENSKGLVVDTAQIIARSGHYGLSDTVVRNAGIAANV AAAFSLATTLGFLSCSIWIYSYSNCRHTLDRISFRLLVIAMFWEFWYSFNFLLLFIND TIYLPGHSLGPKHCTAGVYFLVSAMSVVDFLIMFIALNLFLTINMGINPVQFRYMIPL ASAIKEHFGWDYALGTCWINGSGNHTRLKYLIEGIYITPIITCTISTICVTAVLIVLF RQGKATSKALFGGIGGKQEISSDEEDIGCGLMIGNLSLGPLSASSGSGSGSDQRSSSL NLMSNSSGSPNSNTTITTTTTNGDLEKDEGEKVKVKYGIPILWKLKDEMNNFAHGKGW RTSKEIHSKQGESYFNSLSDKFLGIAIKIAWYPITLLFVNAILMIGDLVIAARGGAKS QKTIWLYCVYYFFYGGRGICIAGLAIIIDPSLVRGMKAAWRERKSRKNQDILPAITQS SPLESTLSPALHGRTQLSTTLNSSPSNVGQTVSMPIMRRGSSDRSFDFASALAYIPKP AQASQRNFIQNPTATLPVLELDESYLNQELDTAILDNPSPQEIGVPTDQRSLMRSSTL TSISTAKKTVLSFIPTPTAPTSTYRPVIGGGGGGGRTKMRVREQKSLDPEILRRREEQ RRRQERVKEIKKKFEEVQKHL
I206_05074	MLKFFTLPYVLGSLLVLGVAQACFYYVYLESPYDYWDRYCKVGC VGMNNNDENFNQCCLPMTSGQTTPAVCATLSASCAAAGTVCPPWGSGVPTSNAPSTSG PPASYTPPAYKPRDVVPSTETVWVTETSTTPCASPSPTTNNAAVPTTSAPEECDCEGE DQGETSNAAAVPTGSAPAQGDAPSAGVQTDNRRRRRGLMIRDKCVCPTSTSASSVPST TAPPPVTSNAAVPTSSSQCVCPTGSAPGQGDAAPEGFQGDRRDMGSNGECSCPGETGN AAVPTTSSSSSTPPTTTSSTPEGTEECECDCEEEGKTSNAAIPTGSTPVEGDTPSQGV STDNRRRRGLKVRGGECVCPTSSSAAVPSTGNAAAPTTAPTEPKPCECAPSSSAPGEG SAPSRRGFMVRDNCVCPTTGNAAIPTTSAPGEGNANPDYSGSDNRKRNAPPSYFVGDK KSQLPGENFKFNKREVNPTQTVTSTTTITTDDCAMQTAPTTGETSVAAVPTTSAAPSP TGSAPSEGDINTTFIGGDNRKRSYGRWRGL
I206_05075	MSSPQPPSTPKSTRPTITTPHRRSNSASHASPIDIKPKSPAARR MSMTSTSQSASLTPQTFLERASENEQIVLAGIITSLMLCGILYSIVRSSSLDTSEIHH HFLPHRAAYFARKSNLLNVIFVKRAWGWTSLVYLIHLFTSTSSPSSINTGPGSRARRL GVWVLGTIAWLLFTRWFFGAGLGDRIIAVTGGNCAVPLPTGVNLKAARDIFPSLFTAG ESSRTIAQNQAGKIYVPLPHQFCTGVPLNANTFPQLFSLIPSYADLKATSDHESLQAL PRPRWHRGFDISGHAFLLTLSAMVLGRELAETWRSWVESSTKRRKLVKRDVGIVPIIH KWSGIAASGLVGIWCWMVLMTGIYFHNPPEKLSGLLLGLSTAYLINILIPPSSTPSPF NPIITPRLIFSRPSSGPGGIFDENAARRGGVVDDGVIYEDTLESSEEEEAKISGRRIN GREKVA
I206_05076	MSAPQTHEIKPYESHIEDNKGEDEVFKTLVLKSELDNLSRWETV KRFWKVNLNGAIIANPGFVNRVGEKNAAGKIALTVHATATWGAVQSLGQLVGMWGLTP ISDRIGRKYMLYLLWLILFASIMIETFTTNWSQWAAAKFLAGTGIGCLQATLPIYVAE WAPANIRGGMLLAYSAWNHTGGFMGPLILFICKKTLGDYEYKIPILTQWAFLGIMAPI FLYLPETPSYFAARGLHEHGIAVLKRVNGNVKGYNVESEYEVIKNIIVEEQERLAALG LEEHNWKGILRSYIECFKGTNFKRTLAASLPASCQQLTGLAFLSVYASLFFKEAGFTN AFEITSILFGIKIFFVIIFALTTDRFGRRNIVIYLGALCCSMLLVIGILGHVKHTKIT KDLLITAACFWSAGSVGLGAFGWSFAGEVASQKLRARTSGLGSGIAIIFGLTFNTSVP IMLLDGGKRLGNNTYNTAFIFLGFGTLVWILTIFLLPEVASRNPAELDEMYEKGIQPW RMKNYITDVQRSHASRTGQIIEERN
I206_05077	MLRNRIGPPSTSAKPPPPQIPVPSSSSSFRLANRISREEDRYQS TPHAGPSHIPTDQLRPRSPPLAPSSTRRRSRSPRKRIREDEDRSNFKERPRRSSPQNN GRFDQPYYHDLPHQARSASGSRWQSNGESSYSNAGRSIQNSSDSIRKTSTGTRFFNNL SFCIHSSGGEGDWRRKDEIDRLREMIRYHAGTVSRSPSSKEVSHIILPLNSEHQQDWM DNDIIRYFAQNVGFVPEEEALNANKKKIVLRQEWLDECIRQNRIVGLSDHYAGWEIKG THDPQFVNITPFGEPHLSFDEFQADTATRQLSAHQAEMSPLKSQGFGLKAESQDVPPI MSDRSGETSLNYPFVRRDDRYPEIQDEQNSNQQRPTEVQEEEEEDSKPHIVEVSRPET PELPSTDPLPIPPLSSRISSQFVDPIRAISPDSQIESPELTSRGSIPNKKSRLSSVDT PSVSSQSITTDLLGRSKGVFGSGVLPLGFHVIGNSRERRSLEMLITHTGGGYIIPKSQ ATIYVFPIPLGEIPTNPDHLEIIDYISSNPTQAVVTEDWIYDCIYAKRLLSLDEYRIN KSNTCET
I206_05078	MQPGKRKTPSWASTSPQPNGRSSSTPGVKQENGEGESKRSKPNV PLGADGRPIYSASHGMYKDVRTAALDLFHQLKRASTLSGHDAYFRVQETSPGLDAAEA LELLKSMDKVEYKEVNDVFMYIPDLTLNSISEIRSHIRVHSTPTSGIPVKNLREAMPN GVAPLGELESKGEILIMRGLTGPFKDIPLPRLGRKNLNGVGILEGGNSRWKTVFWDHE REKGLAGSRVDDEFVFSWADVPMAETDDVTKLLAEHELTASSSIPAPSKVIPVGPVKK KKKTTRALKITNTHMKEQGIDFSKDYEKPT
I206_05079	MAVGNQKHFSGVGIASPTRSSPRKSSNPHTINKLYPTPLSMSPS TASTISISTSAGVGINGGNTIGTLRFPPSFDPNHPSSRYHNQNYTPFSPYRPGEYGRS ERGLASDRTKIERKLFDDDDDDDVAVEDNEIKRDENKLVVDTPKKEGSSPLAPAFQAK MVLRNGASIDLISWLRTNFHHLPPPHTVLTPCMPLNGIRHLLLERFPRAPEVEEISKA VLAAFPHSQWDYPTGTSSEPPNIRGLVWHGKDITDEDDVEDSAKPPIRSSSGPVTRKI SSTINTELNGTKQTSRSPTQSTLVSPISSSKRHLPDTPARSVLEEFAEIATLADKTPT LKAKSLPDDDGMNSEYQLDEAVDQLNKDFMNRNHRKRHASQSPDFELGHRRRASTSDK LHGLLAAAEAVEGSPITSVLNLNQKTSHGHKRRRTIGGIGSARELMGGPSSSYRKNRL SRGTLSPPISGRFNGFLPSTIQENDYVVPLNDTTHNEQEDYREEDAISNSIPLKGRAP TSSSTSQSSIVSSIIPSSTLAGPSTTTNGSGRKVNELPTEGDAPGYDCKPPYPYHEMI RHAIENAPDCRLQLSQIYSSIADRFPFFKTLDEKKTAGWQNSIRHNLSLKKMFVRVNK PDGIPDDSGGKGGWWTVQPGVPDEGRPGRKAKAKKAKADQEGDGGEEHNDDGEGNTSM DVDYQNIIPEQNSILTTHHNGYTEEQNDITKGMIERRYNQQQDQVRMILPQIQYDITN SQGFLDEKSNGQNNDTQISIHEAI
I206_05080	MSYQRCDSYRPRNQPKRDRDERTYSPPSSEYSHRKKSPSPFTEY NRSSPTSRRYRSDKLSPSSRKYRTNKSRSPPTIPQTSPIKHSNIFKGLTFYIDRSNYD SKTLLHLRDLIRFNGGSLYARPYESYVTHIITFIPSSKMKNQIWYIENRNNLNSEKPG MWDWTQMDLIRHFSKLVGPGSNDYWSKYNRKHVIREEWLNQCIKYNKLFDISGDYDGW EIKAMVNQPEVVPQDSLNKSKITQTDNTAIEENHDDPAKVSGNETNDALDKLATLSPQ TSELLIEDHHAETSYVDLEIEVEVKREKEDEDSSIVNRLTYNTGFSRSKSQDEIPKTP VTHLFQSDLAEAKAPQRSSNKNNLQADDLSIIQVLNQNPSQYRLDKDGTTSTTSPIHT RSFNTSSVSNPTRSKVFERGIIPLTFFVHGSGSNKVFTEIAISDRGGFIVSPSYASIF TFPSSGYSIQDDPKGLEILKHLSNQPGRIAISVDWVQHCIEQDDLLPLDEYIISYKEN SLMTPPASCQA
I206_05081	MRIEELILDGFKSYPVRTTISGFDESFNAITGLNGSGKSNILDA ICFVLGITNMQSVRANNLMDLIYKRGQAGVTKASVTIVFNNQDRTKSPLGFENTPQIT VTRQIAVGNVSKYLLNGHKSTLQALQNLFQSVQLNINNPNFLIMQGKITKVLNMKPAE ILGMVEEAAGTRMFEERKDKAVKTMAKKDKKVEEIESLLREEIEPKLEKLRAEKRSYL EYQKATSELERLTRLVKAYEWTLSVEKAQKAAENLKSKKRDIQGAKEDVERGGRACEG MEKDLDEIRKKREKEMAKGGKVSALTDAVNNLDRELVKVKTQIEILEGTLKDDVNRLE GAKKTVQETEKTLEDRRAQTSEDASAFAELKAAYDGGQTELNKLEELLQSLITGLSSN KEDDENAGGYMGQLAEAKAKLAAAGTEAEQAKVKMGLAEKEIKEKEPRAKKAEKEGEG LIKELAAKKALAEKLRKQVENADWDEGKERELLELQAEHQSKITELVERRDTLKSRLA AIDFGYSDPEPNFDRSKVKGLVATLIDLNESNFKSSTALEICAGGKLYNVVVQDEKVG SQLLQNGKLRKRVTIIPLNKINAFKMSAEKLAAAKQVAPGKANLALDLVGYSDDVSAA MAYVFGDTFICSDKQSAQAVTFNKNIGVKSVTLEGDVYDPSGTLSGGSAPSSQGILIK VQELRSIEREINEHKKALDEVSRQLNGAKKVIDQYKKDKRELDLKEHEVRLLEEQVNG SNATKIISEVEHAKKVVAELKEVVTQAKEKQKQASADVKRLEKEMDDFKNNKDSKLKE IKADIANKKKELGKRTTQVKTRQKEVQTAELELQQLESDLETAKAEIDEALSAQEKTK SEHAELKATYKAQQADYKAAEAKLKAERAVLVAFDNELSDLEHDLKAKKQEIVDAELR LKKLDHDIGLVAKEQNTAEGHKENLERQFTWITEEHQFFGKSGTPYDFHGVNLNQARD QCRELETAQKGLGRKINTKVMNMIEGVEKKEQALKKMMATVLKDKSMIEDTIIELDRY KRDALTKTWEKVNGDFGLIFAELLPGNFAKLQPPEGQDLTEGLEVKVRLGSVWKASLT ELSGGQRSLIALSLIMSLLQFKPAPMYILDEIDAALDLQHTQHIGQLFRNRFKGSQFI VVSLKEGLFTNANVLFRARFRDGTSIVERTERRSSNSLYSSSEDKENSQEITTAGGKG KRAGGASGRSALAVR
I206_05082	MTLPIPSHLYSVIVAIHSSDLTSPIEEGEINSDWRLGEINVDWV DFTREKSIDQSSSSSSSSKMSKHDNLSKPKSTAINTNIRNVPGFSPRINKNSKSNVNS DLDSLSLQPLLGHYPSTQQRGEPKPLDSSFASGISELGRGVIHLFKHPPPSYLIASID SHPIGEGSKSKSKIITKDELDLIGEKAEGEDGSLIAILAVPAWMRPSDFIEFIGGWSN CLEGVRMIREATTPNRSIVLLKFRDPLQASDFSTIFTGKAFSTLDPRETCHPIRIHHL ILHKLDRSNTTTAIPAFPPSVYSSRARELNSLLKGVDQKATFELPSCPVCLERLDSTV TGLVTLPCAHTFDCDCLRKWGDSRCPVCRLSHLLLSSGSSQSPVNSNNKSRRSEGDIT RLTKCSMCDSTENNWICVVCGTVGCGRYEPSKGHARRHWEESGHVLAMELETQRVWDY KGDNYVHRLIQSRSDGKLVELPSASSLVTTSSISRPLPFGGGDGGDGGNNTNSNQISE RCNQHNMNDNAGPSSGDVEKMSTIESITLEYSYLLSSQLESMRHHYEQEQKSLLMKLE KLELSNSIHESKLKELGELEKEKEQEQENLEEFQKKNNLKFIEILNLNKNFKKDLEIE KLLSNGLSENNKILEIKLNEYKNKLKEKEIELENLKEINKDLMFSIESSFKLKELGEN SGQGGDLIILPSNTSIDKQIKNGKKKSKR
I206_05083	MVLVGLLPILAFAGSIQAAAIPIDSPPASPALSLQGSSTFSPIS TFSPQLNIPSPTIQSTISFPTNVNSSPSPEYQINSSGDGKLNKNEGSDFKGNTGIGRG KGMTNAERIKRGLGILPPTRRMSGKPSRRSEKPQIDLSQFQDPQSNSNSSVNDENPDI NNNSNNNNNGDDDIANGNQGNTFAPKKYAMRMKNPDDGSDMGLVGSSNDNNPLIGYTP TTDNALKMTMPQDPTSTPFLISPNDNSNDGDDTFNGPRKVLAAVPHKGNNGSDLNEGD GNYAPMGMGWKDGSGILHLDIQALINSSTNNLPDSSNLPNDSTDVEGIPKQLLSRPGG SVLPISQSDLPIDNSNPIQLIHTSLSNLPINSSNIPLNNDDDDGNNLGNPQSTLWTFQ PTSKRLLAHYVNSDGNAVPTYFVTGGECAHTICLTADVEAFKDAQGDDAHEIHVLAEA VADL
I206_05084	MDSEIEQQLASISSTPNQKERTSSYFTLLSTLLSSSPLSPNELV TFGRHFVTSTSMAMVVGRRVLGTYVLALSAGTSMIKSGTSSLTFDDEGSGDNDKFEQL GIQAFKSEKGEEARRDIVEGVLAGGNLSGWCEEQTTVLRHLYSHLLQLEEDWLEAAKA LMQIPLEGTSRLISDEEKLAVYIKIVRLLLECGEWGQAQTYFSRASLLIHVTKDKETN LSYKLSQARLFDFSARFNEAAQRYHEVSFDGAIAEEDRLQMLKAAVTTSILAPAGPQR SRILATLNRDDRVHTSLLPSLSTMLKKMLLEYIVRQEEVKEFESGLEDHQRATVEGGG TVLERAVREHNVGACGKVYDNISFEALGNILNLDAENAENTARRMIEQGRLRAWIDQP LQLLYFESRSAHDTDAEAQGTAGGLGIENKEKDIEPRLWSERWDDRIRETSIKVETLA EQIQQKGLIPPITTA
I206_05085	MSHNLDKIVKLACKPKNAPPKAKYIEVLIAATYSEDGSLQDIIR SLSLRLREANGAVVFKALLTIHQMLRSGATDNFLNLLSQQDMMKLRNIQGQNWEGFQP PASMSAYAAYLDSRIRSYKELKHDVVNQQTESNRKSDGQSANSKARKLRHLSVEKGLL REVKHVQKILDSLTQCRFYDDDLRDENTVLAFRMLVKDLLVLFQAGNEGVCNILEHYF EMSKVDATESFDIYKSFIKQTDRVVDYLGVAKKLNHVVNVPVPNLKHAPTGLVKALEE YLNDPNFENNRMEYKKSLGVVEGRKSPPQSKPATSSSVSSAPPPAAAPSSPPPASAPS GASQKMQDFFDSIQSDQQPTMFGGPAQQINYNQMTMHGGQFNPFRQSMMMPQATGFGQ MGMGMQPQITGFMPQQQPQGQLQPQQTGIMAFGGSGINQTQRQSMFPQMTGQPFMQPQ QTGFVQPQLQQQQQQQQQQSTPFAHPQPQQPQQTGFLQPQSTGANPFRQSMMLNTNVT GAMSQPSSPFGHHQPSSPFQTQNQTTGVNGFGQIQRPGSTPAFSTTSPGPKPLTAQAT GSKNPFAPAGGVPPPVPQVPKGPSMNELLMGGVNSQPTGGMSSPWSQQNGQQQPNQQH NGGGMSDIASAFSFENANKPKQDDFSSQFGSLSTNTGASSPSTTNPTSSSAFGSISSQ PTGMTSLSSNPTGSTQGFLQPQQTGYGGSTIKPFKPTSTFGSSLLESLPPIQEPGSTP TKSPSNLSGIQNQSTGFPFSGAQSNGNQGLSPQMTGAPNPFRQSMFGSLGPNSTGQGQ GQGQGMNSQITGFGTIGGGAFGIGSPFAGQNVPQQQQQQQQQRQGMNQFGMFGNAGQQ GQGQGQNQQGSLI
I206_05086	MWKNTLKSYNILHSNINQFHTFENDQDKTSIVKSKQSESPKIHT STFRYHDSSRDPRNRVRVERPSSISISPADVYTSLQEHQPEVVFPLLLDLPGPSNIKD LDEVSNLADGNEDEHIRTLLKAKRMLEDWKKSIKDHDALRSSHTAENGQTSPLLNRVD VSTPLENECNEDSDEYGSLNDSSNHIDSISPPHWLFDLSTPPLTSSPTSFDLSSHNHI FHDNDSMEPLRLFAHRCNQSLPEDIEAWGGVLESQVEKAHILLLPRTSNSKSDLIPKN GKEVQIIQQAFMRDQRVLASSWVDHCIEQEQLLPVDEYCIWLSEMTIYKRRPAAIRAD ERRHELERDSSIFIPREQEQEHDDWLKEEGAKPWVLELGSELGSEEEFDGNGEIRKII FDPT
I206_05087	MRDNRRGLFDDMVFYIHITGDDYKGQGKLQEQIHEEILNRGGRI TYKPSNESITHILVPPDKELKERNWDYCSLVKLYTKKFHKIKNQKNQVKNQTLNIEEI KTQSSISCQGQKIENQNNLLSKEFQLILNGFYENLEGSLKENLKEILQFDQKLIEKEQ IDWDIPRLIETYSECLNEKNEIIQIMIKPVLRFHWILRCIEVNSILQGGNDEKAWGGQ LLRGHVLNTPRSKIPRLSNFIQGPPIQDIPMSGQSRSQAHLDFARSMNSGNSHNSSYT AMISPKTGSQAQTTPRPVNTSYQPRPKFEMTSSTEYVYKRPTWAPDYNPQPKPPSRPL GPDYSTQKISSLNTSAPIKPSSGKSEVSIDDLFGDASPKPSSDTLTLRSNEIPIEKVQ RVTSSPTLVIEKPVNSDSSNHMDLPPTPSSSQDSVLQDNTPDVKPSIPNNTHKPIDPR KSRKRVIMSPVDEGDTDQTPTKSHAGQNSGQSDIERSVHQQDRTVANAVMFSPEVVSK DAPCNPKANTPHREMTSHRNDFKRPKIERSPIQDKFIRTEALAVSDQAMLPPSTVPAG PDRVQSHIIQRVDTSNLFKIQGIPMTFWVYGDNFTVEFCIKSGGGIVFPNADIAQILI IPRMNSTTNAILTKDEDEILTQIEARGSWQKVLSSRWIEDCLKNSQFLGYDEYEITKI PVSANTVIPDLAIIAPWGLSYIDTQEIMIAKEPVIKNLAMEEQAESDDESVICIGESR KSSPQEIKPKITQAFARKKPSSPYMSKEVNNQQTERVQVIPSSSNKNAEKRKRTKSID IEPSKKKQKDTRPAFISKSKIQGLRNSQSTTEEVDENIILLVRNMRKWIPQECSRTCF LNEFKHKYNHKDWVSFFKRHRSRILIKFKEMGYIYPGDDDFDEIDHDHEASDGSVYEP 
I206_05088	MTLQLNSLFDGLGFYFLGSGSDSITIKINEIILSNGGSIYNKPS HPNVNLILISSNQNFLPIKNFYMPLDPNSVWIDPNTIDERDEWTFELLIRYFEDTIRN GELCQGEKVVLDARWIEDCQKAEMLLGENFDWGGYRIRGSYDSWYEDYDTWNPFQQAP KSSTRSPIQWSAYPTHSSLDDITVVEIEPSPNQMLACQLDTSHTITQFHHPATINPSN EVRPPTQPPCHYISTNHAHRSSSMTENPREQLLSAQQSFRKDYMASSNPSSPSMDQRI ALVPHYESVRTHHQPLTRDHPFKSVDHTAIHYHQDRYNRHLHQQPNPSDRDDAQINWN NRSPYIVPIPRKFPKDTPQLIQRHHHLNERSLIPASSTSSLLTSACLPIDRVLHNQHD LPYSHRELTAAASQMGANVRQYPIIPSQRPPGHHIPPSPISPMCLPQGRPQQKVTLTP SLVHSSRTNGLGAQRQPVSHSVQNNVRVTNELKTQNELKMQNELKTQNKLKTHSEAIT LTQRTAWWNMNHSRTIPVTSPQAQMQKPNATAQAVESLGYHEKRAHALPQDQLASGKR PKTNLCSPTRPNLLSINHTISIGIQANGIFRNVLGHPLRIFIAANIHPSFKQKVINNG GEIAQRVNAKISVIFRGPSDINPLEPKSISENDAGWNLLQRGKYTVTLDWLKKCLIMG ELIGTDDYLIKFVDPKKRSSQDPTTTFSNREKLADRPVVASETTKAFSTDPSAVAKAV SDGGAISSVGIAERTSISNTSSEKPASALQRLDATSPATTSHLTLFSDYSPPMNDVPL SGTMEESIGKTRTEAGAEGKQITLGIKNNCEDPGDHWERYYRSGISSLSDQNLTDQPN IKQGHSRNATHGEQSEIVQAKIKKIILKVPQPHPKAHIEEDNDDIVNKGTPTNSIIPV EKPSVIPIDSIIEGDITFQPD
I206_05089	MSQDTKPNIQISSFDSPSSSQAGPSSSTEQYFPYVYNEDEELQI SERKNNSSVWALKIPRFLLERWEMVEEKGVELGSLIVDNSTTPAKITLRLANQDEKPS IDESKSDEALKSKSQYDISGIPDEYTVNVPEERARNLYVFSEKKKVYGKNTTSVAISE GDLESEAANDGSGNGSKVGGKRKREKAFPKLIAKVDHECQVTPLHTQKYLKILEQRRL ESEQSKRPVMRMDDTGISQAEQNQLASGYRNAISTFGSKMITSVKVNNGERHARLERH ELTDRIFQLFKEKPYWGIPALKMTLKQPDGWLREVLRDVAEQIKEGKYVNMWQLKKSW RSNNEQDKDKDDTKIEIDIKPDLKENDQFENDNNHEEEEAEDDDDEDEEDDFEEVLM
I206_05090	MDYIEKLAKEAQNLTMYDVKSYYNQAKNMVLNVSEMEAKVREAT NDDPWGASSTLMQQIADGAQFNEIMPTIYARFMEKEAREWRQIYKALTLLEFLVKNGS ERVVDDARAHVSTIKMLRSFHYIDEKGKDQGINVRNRAMEIASLLGDVEKIRQERRKA KSNKNKYQGGGNDGGMSFITPGGSRYGGFGSDSLGGGGGGDGGAGGSRYGGRDFDAGD EYRSSSRNFRDTSAKTEYDEYEGADDFDDQPPRRTAPSSSRPIGSSRTPKPVAKEDKP VEKPKEVNLFDFDDEPAPSAPAPAPAAINNSFGGDDDFDDFQEAPSASTAPAPTQGGA NNANLFNLLNSNTSSVPAPQAAAQTFSATQPPAYNFSPQQSQNRAPPTIASRPSYSSG VTSPPITSPPAKGGASTFDDLFNSSLTSMGGQSTANKQVDSKTVADLQKEKTMNSLWG STPSGPSQGHSSAAAQQQNANKNLGGGGFDDLLL
I206_05091	MVRSYMRHGPTQAFGIINSPTANSSYDGRYAYVAGWEDVLVWDV KRGEMVSMWHSPSHTSQVTFLTPSPSSESSSSSSSTSKTFAVSYMDGSIKLWSYDPNQ PEIEAVELVTFNGHKKSVTYIEWDEDSSRLSSGGSEGEIVLWDKISEIGLYRLKSHKD SITGLKFIKHPKKEQNNHPGFLISISKDTYLKLWDLSTQHCIQTIVVGRGEVTSLEVK EDYNSKVEENDIVDDEDIKGRWIIITGSNDGEAKVWTLEKSLLDKGLKENDNGELPTL IQPLCILPLPSSTQPITQINFHPTSPLLLLQTNDKNIISLRIRSEEEVNAKRARRKKR DKEKSKKKGKVDPEEEELNDVVEGEVKWEERITSHCVVRANSKIKSFALAQEEVDSAK GGIQLLVALANNSIESYTIPSPSHTKKSKSAEGSSSSSTEPTKTHVVELPGHRSDIRS IAISSDDQLIASASNGTLKIWNARTTQCIRTIECGYAICCTFLPGDKHLVVGTKSGEL LLFDIAASTLLASYKSHQGSVFSVDIRPDGRGLVSGSEDKDVKFWDFELKEYGEGEKV YKTKQLALTHVRTLKMTDDILCVKYSPNGRFLAISLLDSTVKIFFSDTLKFFLSLYGH KLPVLSLDISRDSKLIITSSADKNIKIWGMDFGDCHKSIFAHDDSIMAVQFEKGQGNE NSHNFWSVGKDRMVKYWDGDKFELIQKLEGHHGEIWALATSNNGQFVVTGSHDKSIRI WEKTDEPLFLEEEREREIEQMYDSNLLDSLNRDSELEGGEAGEVEGVAKQTTETLMSG EKIMESLEIADKDRETIREWEEEKTKTQLDLPRPSRNPELIAAGDIDADEFVLKTIQK IPTANMEDALLVLSFRQVISLLGYLDEWALKGVQTVLISRILFFLMRTHSNQLISNKI MRTPLLTLRNHLRESLEKEREIMGYNLAALKFLKSRWEIERTAGLYEEENMNEDAVRK RLEEGRGKRKRIEVRA
I206_05092	MPVQRQKLSQKPSDRSLPEGATLVKACNRCHDRKTKCDGKLPRC TSCVKNAYHECNVSDCISWSNSRVVELESRIQWLEQLINRECQVENFIQKIATGSSVA SSVFNKNDNDSGQSPTDEFAEEIGMLSLRACGSYMGSMSGITLAALISSSIGLYGENV PSIPPEISHVRNCSGQPPIIACFPPQNLLSIYITDYLDCIHQWYPIIDLNLLDDSIHR LYQSRENIDTFPRFVILMIAALTPRNLQDMNGPIDYFRFATTFIPTLLARKSLESITV LLFLCIYSIRSWKSGQGEHHDVNAWEIIGLAMRLGVSMGLTRNNEKWPFSLVQREYRR QLWWSLYSLERYIAVTTGRVLSIRNEGIDALSPQALFETLPDRLVAFVSNSITTIDYR PFLHLLEQRRLLGEVLESVYITRPRMGPRMSIEDTSARVDTIQSKIVEWARYSFQLTE PDTVTHDILQVAFHQVTLLLHRPSPSFPCPPAQVLNVCMGAARATIRISARAVENQLV EQIIPGWQGFAAVFTCGITLLYCSWSGPGCSNAIQIGEEYSLDEDLALCRNTLNHLAA DDDARRYAQLFERLIGAFGRMSRIPIPILDVMQSTTAVADPFSPWFKQSG
I206_05093	MPATHAVVPDERNASILVGMRDGVTREFRLVPKEKATVSVLDSA FMLGDGIWEGIRCKQGVIQFAKEHINRLFESAKAMYMDLELSRFQLLEYIYETIDANN MNSSDDVHIRLMVSRGLKDTPHQNPRSTIGAPLIVVIPEFKKADPSIQNRGLKLATVH IRRGPPDVKDEMWNHMSKATDIQACIQANYLGVDEALMLDDRGWAKTCNSTNFMIVRE GTGEDEGRLVIWSPTKNNQMQGITRRKTIEACRDAGIPVYEQDFSLTEVYGAVEAFCC GTFPAQISVIQVDGRQIGDGRSPGPMVQHINTLYNQLVARDIGRGRAATLVDARIWDM PYTERYQNGYIQLPGQRVKANGVHHEIDLL
I206_05094	MFLQEEEKIRSDLDPNITIATTTVIADEHLDKRILFKIDPIVLT LVTLVATLEFLDKNGLAYAAVFGLKTDTNLVGQEYSWLGSIFYFGYLAALVPCLYLVN VVHTGRFIGALTTLWGITIMCMAACHNFAGLATVRFFLGIFESGILPCFMVLQAKWWR RPEQALRTALWYNTFAGIFGGILSFAIGHINGKLAVWKYIFLIYGSVTILVGIVVFFA LPDSPATAWFLKRDEKLRGTARLAENQQGQEKKKFDYRQCLELVKDPKYWVVVLFVVA QAITNAGITNFNPLIISGFGYSKSKTTLMATPQAAVAFVAQVILSSIVLFVPNIRCLL WVLSCLPALTGAVMVHTIDRVAHRYAALTGVYLMGFYNVPWVLCLSLVTSNNAGTTKK TFASISVATAYAVGNIIGPQFFRSSQAPTYNLGIYAMMVCFAIMCVCGGVYWGLVKLE NNRRDRLYGKPLHDTQQIIDEAYHTGDTDVKNRNFRYSW
I206_05095	MVLPIDNPTKSFWIESAESPLRNHRTTEDLPKETDVLIVGSGYT GASFAYWLHKFTSNGTTPRMVMLEARDVCGGATGRNGGQLRPHFYSRYRNWSTRFGAD GALKVIQHEAAHLKSFDKLFNGEGIAEKVCFKLGETFDAAMSEEAWDRLKGEYELMKK DHGENGPIIGECRLIEDSKEAEDFTQMKGCIGAVVHPSGQVWPYKFVHALLDILLSAG KLNLQSHTPALEISERGADGLITVKTNRGEIKAKTVVHATNRWASHLLNEFSNLIYGG RGTIAAIKAPEGFIKNTGAQHWDAVVNNYHLQLPPPYNTIIIGGAKPLTVHDPWQYLN NDKEDEQFKGVPEFYSRWPKKDIVDWQGKDPADFEKKVEDGGVWSGVYSSSIDSFPFV GPVPRREGNFVAAGFAGHGMPRILGSTAHLAPLVLKELGIEYATPEAAAIFPPLPQPF LATQERIDSLKDIDAIKKFQDSHTEDLASSKKPFAAPFPQIVTD
I206_05096	MSSSYENAVVAVALSDKKLEEVKQKYDHVFYHPDKEIPEEHLKV ADIWYTDWAGLPACVTSLDQIPRTKILQLSSAGANNALSSAIMSSDEARKQIKVCSSS GMHVLSIPQYIVCNVINLYMKLQIQLHIARTESIWPARDRVVKEAGASGEAFPGNRFL MGKTVGLLGYGHIARETARLFQAFNCKIIAANSRGDRRAEDGYRIPGTGDEDGSIPEE FYSTNDEKSFQTFLSRCDILVASLPSTPQTTYMISQKQLESLPPGSIFVNVGRGDLVK SEYILGALESPKGLWGAVLDVTDPEPLPKGHPLFTHPNVIVTPHTSGSFEGYFDGGAD VLIAQADRLKAGKDPLNFVDPAKGY
I206_05097	MPSIDPAPVKIDFTKYFSQESTGRKRSQLKELRPYFEIPGMISF GVGIPHPSTWPVNGMTLSVPFAGKSVYVPGYESRSPEDMLPLAPYSDPVKGDNLFPDL AGELQYSATYGTPHLLGWIKEHTQRIHAPPYEDWVNLCTAGNTDGVDAVMRAVFDRGD YMLVEEFAYPGLLSPAATLGIKCLGVPLDTDGLVPEALDEILTQWDEKERGGPRPKML VLVPTCSNPAGVTIPVQRKREIYSICRKWDVLICEDDPYCFLQIRPNGADSPIVPSFL SLDTDGRVIRVDSFSKIVAPGSRLGWVTGHKTLVEKIMNTRESATQCPSGFSIAAIAA ILRAWGSHEGFEKKYIPHISDIYSKRCLSMIDLLKKHVPAATVELPEPSGGMFLWIRL KIETHPSFPSEDPEVISKRVFQSMIDEKVLMAPSEFFKAPSISTWTKEEEAKRNFVRI SFSLPPPEEMEEGCKRMGRALAKEWKL
I206_05098	MTTTSLPTWSELPADHPLLQLHSRLPTILEEANHSQIWGVRLIK TTPPAFSSLLILQKYLRSVANDVDNAAENLTKTLKWRKEFGLDKENAIPEEFGPDFEG LGYVTQVGKENGGANIVTWNVYGAVRDIKKPFGDLQRFLRWRIGLMERAISHLQLATT STPIPDYGKGDDPHRIDQIHLYGGVSFLRMDPLIKAASKATIEIMQAHYPELLLRKFF VEVPLIMSWMFSAISFFVSAETAKKFQVISYKENLGKELGKLEDIPKDLGGNGPDLAT LDKRLISDDVA
I206_05099	MVQLTSTCHCKDFTHSFSIPEDIELPLNETTCSCDACRYRTGQI SFLSLSAKVTQSFPPLNVLDKLKRYDQKCGFVIDQEELKGKQDQSDSDGLNKYSQNST CGGTIITSFFCGKCGTKVYLQVASLGGEVEIGMWTLGALNDILVDNEPIVKLTGHHFL EDTVDGGISNIWTTFEGEKLKKHHDGSKEWSPSKNISMNTENYLHLHCKCGSFDAYVR RPQPSLPLPEGCYWYQHPFNDQGIPQRYMASFCACDSCRHITGSSLPAHPWVQIPFID ILSSPSTNSKSYFPSPMTTETELPGLTLYHSSPNNINTARYHCSTCGASILYFDSKRD FICTLPVGLNDSHNGVMNISWFSWWTGEEGHPIPPINAKDDGKKRWGKVIDEFEKGLI QWGRNIGQRQ
I206_05100	MSLHNTTTECNFKVIVIGAGIAGLAAAHHLERAKRQGNAPKGLQ VVVYEQREGNPITDKYPAQLSEDARQCLTNIIAPASYSDLVDLSDYGISHGGVNILNN DLTHLFSNKKYENEQPQWINRKVLSSLLSDGVNVLRGRRVVRVRDLKDNKVEVVLDNG TTELADFVIGADGMNSTIRSQLHPAFSKTPQLPFILVQFKLATPFPQIPKAFQRDCVN LVLGNSSNSTQIILFSGSQLPLMDPKTAIKLSEEGPFAEPNDEVKAVQQALEDPKCGF VLVRMMIPTRLTQDWEDLTDGSWIDKILTFLREDGTNLELIKMIENDLVPATIQASPI FSSEIGKAVPFKEGRIVLIGDAMHVVPPTNIAAALKDAQDIAETLLASSELGGADGPL QTLLPQNHYALKTRSEDYLKKSLHLLEVSNQTGIQGFLHRGMLRSMNWLKSF
I206_05101	MSRQAPLVIDNGTGYTKMGFAGNSEPSFVFPTVIATHQSASAGS SNNSGGSGARAPPPIAGKPSHLASKRGIEDLDFFIGDEAVANSKTYSLHYPIRHGQIE NWDHMERFWEQCIFKYLRAEPEDHYVLLTEPPLNPPENRENTAEIMFESFNVQGLYIA VQAVLALAASWTSSKVNERTLTGVVIDSGDGVTHTIPVAEGYVIGSSIKHIPIAGRDI TYFVQQLLRDRGESAQIPPEDQLRVAEKIKEDYTYVCQDIVKEFKKYDADPYRYFARF AGEHSVTGRKYDLDIGYERFLAPEIFFNPEIYSSDFLTPLPEVVDNVIQTSPIDVRRG LYKNIVLSGGSTMFKDFGKRLQRDVKAIVDGRIAGSEERSGSHLKSSGVEVNVISHKR QRYAVWYGGSLMASTPEFYNVSHSRVDYEEYGPSLVRRFSVFGSAV
I206_05102	MKVVIFTVTGDQGSSVAKYLLEGETKYQISGVTRNPNSEKAQAL KELGVELIKGDLSDPSSYANDLKGIDAAYINADFWTHYLSNGYDALAAQRAETLESIG AIDACVKAGVKHIVYSTLDQVKEGECPHFESKNTVSKYLKEKNIPHTNLITCNYFSNL TKFGFLKPNESEKDKWVLAFPIPDDTKISSFPVEQTGIWVKEALSNPDKWIGKDIYAT TSSLTIREMADVLSKIGGVQVSTLGVSKSYFYSNENKNSVGEELWLALKLIVEGKMER TPETTTSLSGTWDFEQWAKQNESLKEWFKL
I206_05103	MATIETDLPPPTVLLDADRQSYVGKPASKKGKWQKFVSFIWDSD YYEKSDAERNLVFKLDCFMLTAMTIGWWLKNLDQNNLSNAYVSGMKEDLKIQANQYTY MGTIYNAVVAAMQIPSSFIVMKVRPSWFLAACEIGWGIFTFAQAGAQSYQAMYGFRFC IAIFESFYYPIGFFILGSWYTRPELAKRIGLWFVAGPAGSAFSGFLQAAIYKNLDGLH GLAGWRWLYIICGIMTVPCGVTLFFLVPDFPENTKVWYLSEEEKVLAKERVARGAQPM KGNINGQVILSALKDWKFWILVPFYCIYGFAVQNGTQFGVYLKAYKYSVTLRNVLPSL MYIIQIPCILIYCYISDRTTRISRGWIMLGPLIWGIFPTAVLAFWPNSNPLRVFAFMV NGSLYITPVFYAWVAEMCGHKTELRAFITGSTSCLFYAFNAWLPAIVFLQTDGPRFKK GFRTTFSCCIVSVVFVLIIIVLRRREVKKEKNEMISDQAPITPEREGDIEEKL
I206_05104	MSESSTGPPPAKRRKARRACDPCRNRKLRCEYPEDGQSAIETKC FACQRSGAECESNQPAKIDKRRLRTLVDLATTDEQKQWVAAQIASRGIYVSSTDTGAL RKARPSTVGDPAQLVARLSSPGIPNSKRSSTQDIEDKGIGSSKRTSQSPLQTPTRTEF PPQIVEQPLLLQSEPAESEPLTSAYLSSTAYGASEIPRRGSDEPPNLKLSGPEPRMQG PTATINLLADLPEDSAALDRRYGLFRVTEGWVYGANPDVPMREGQLSEQQIREDVIAK LISFYTEQIAPLNPVIPPHRISQAIDNSPFVLSSILAVAALSRDVPSSIYASIRERLQ KDLDQELGKGSTLQQVQALLISGMSHELHGETNMEGGSNCWIRIGTAIRQAQDIGLHR LNAGHWIPDMYADRARAWLAAIITDRWYGGAYGQPLAISLLDCEDPLASPDILQTPDL THAFQVEMFHVRISHCNSCSLQTIYRPRSMERCTDDILESLLRQVDTRLSLIPEPFLF TGRSTSVQGGLLSLVTVSTEVIFFRSFVKHNRKLPSHLKFRPTAQRWSRTVQRARQVI SWLNERGDHILDCWLIAKYAIVYAALAQYYNYAAERDIESLQSLSIAKDMMNRWALGH NQLRPIAARAKIADIINLFYKAARGMSSNNLDPISQITRQPNLNNNQSTSSNEYTVST TNSFSNQDSTLNQHQQTSTLVSAATIPNGINDLDINHGLDNSTLDDWLADFFRQHDIP DTGLSNSAMYQGTLD
I206_05105	MTPVDPGESSSARQPLLFEREKFYVHAIGIKRDEIEAYIKEKAG GRIVDRFSSVFIITPYQLAYSRPYAESLPGSEGEKVISLDWIKDSIQGGKIISRERYW VIPRAELRIDKTQHVSQSNSQIRDSRYDSKSTTGANTMEPNSYPGETSSRNHYRITQN PPSRLRGQPPLSDLMQTDPTVATSMLEQLSAKVNDAIEAAKRREISQKTTSSTTNSMS RDANRYPTPISPTRISQQAVLRQPQPGMKGHDQVDYLLGNGETSLSPNISSEFLRGKT FWLIGPPRGRDRLTTIIHRMGGNLVAHLCHADIVIFCRTDNPRFIISRIQDYWRAVEA GCKILSESWIHDLNISRQLVPQEIHSIRDPKVLQSSKLWDEVLPWTALVNGRYVEEAK AFKNEKGEPRLDQKGSLQTSKPCYVPRTQIVTSEPTCLEGLSHPSTSDRLGLRAKQPE PLVISGSIADNTGSGLSIQPESERKISCIENQPSKATEQEEEEDLYEDLAGIFSSDDG AESEEDAIISNSSLKGAAKPDDITEKKTKMAEPMITGTQDASPFLIPVKRPQDRPLGS FKKIRRHSDAKQIKPIKKAEEEEEEEDDDVPLRLKRKSSMMAKGSSLVYDHESNTNEK EDSDWEEDNCRLTKRKSPNKVLAKDKIRYDRLLRELSLRVKNNDFPNGLRNFLRSREG DTYLYKKYCSVYREALPGLPVGRFQKRKTA
I206_05106	MAQQSNPDKYPPSGEASSSNRESFPYTPRTIAEKEVDEISDFPF DKLLFDQTFWLVGNDVKKKQLKIDIQKHGGIISSSINIATRVIFLRPQDFTHMFKGLT ELRVMLNSHKVCGKGIPLAEGWIHDSIIAGRPIATKPYLINDRNLFDVKFWERVGLGG GRAIDTKMKKAKQKPHTSTLPRFPRSFDSYEPKPDTGHEATIDPVLLHLTATTATSSS ERATTAAVFPSTMLDTTPATSCSSITEALDHVSAQTNHDKVHLARDHISQSIKVAPPL SIVHSSVSSGKDDLIMFSPTGSLASAMPNHHSQDVTALEYKKRKVDESDGDYEEEPDR KQAKSISKSALYNRRYRNQATVQPQDTEAFDAIVSHLQSMVANGGLPKGGMRAYLIGK GIHSLYTKYGSLIRAAVPGLPNSRDQLTKMTQGTNRKWQKFVDEQRSKVLQDV
I206_05107	MIIPPDPEKDPRLFNPSASTSSLVHPPSEYEDTTSRWDGESLPP YERRRDSRGSLLSQEEHDVFSDQHASSSSRSLPGLIIHNLPTHPEPIHSSSPISSSDS LTPTASRPSLLLPHFTDQASSTLQASGSTSKLWESSTTAIPVKRKSTRICGCIPINNA GFQRWWKKWRRWVQGIVVLIFIGIGLVVGLLVGMSRNEHRGAAGPPWQKPWMDQDTDG KRVAAWSGYGSFNLTYVDSRDGPSPSEGNLTECNNFAPLNTTSSPFVNLFTPYPKSNI SLASFSFPLSSAGIPPSDLFVNARGFGSSGHLDFIGSDGPESVISSGEEGKILIDVVV RYSGPQDLTTMMRVCQMTRGDTGVGVGIYSPKETDGKLTNPFKLDPSLIPTNLIIVRL PPSWYSPQSPYSTLPSFSLDADQMVVRLGNLNNVAAFTALNLDTCRGGVQVNYAKAKK ASVVAFEGSVRGTWNISESLVVNVTDGSITSDIILSDPKMQMDNTTTLPPISDYTTVV RRIPDGDTPPDNTDVDFENEVHSLFNATSYNPTESNDTRATIVTNLFTTSGYVNLRYL HQPPTIDLYAIIGTQQGNMDISLNPTYIGPLISRTHWGQINLEQPSPVPNYDPSGKAR VRQLQVGPIEIKQNTTFGVLGYTQEKLQNSADTLSGYVYWADKNYNGQIVTKTMDELQ NDESQQSDNQIIALGNWGDVDISFDGQ
I206_05108	MLASPETMQMPQITWYKPSPPPLTKEGKKLFRGELFHIIPNEKE KETIGQGSLDLYERLKTFIIERAGGSVVSIEQAQYILIRHSSITEYARTIEDPIIAKK LLHFDWVLDSLCSKEKQSRNQYWGIPRNQVDISTKKNENLPKDPRRIISSTSVSSSSS 
I206_05109	MGPLSARRNLERKIIDGGGMISPFEADSEILIMVNPIYSPDKQV VYHHFEQARRQNRVALTEGWVHDCFSTRQRLSQSRYLVKLEDLETLEAWKRTKDRRLS DVPKFNILPSVRSVTPAPQELICTSPDQIDDDNEKASRAYGTPISPVSICIHRAVSTG EPSKASAMESLTLITKNDLAESKDLKRKFSIDDSDLDICCSDPEDGNENEMISEDEEE PIEVTLGHEKSHVASISRRDDLVFDDDFMSIFSSEGDESGSEYEEALRKREKFRSILD VSNEARIKRKIANNTHRKTRSRVSERDQPGYERLLDTLKKQVQKGGIPKGGIRAFTNR FGLEAIYRRYSRIVRKSVPGLDGYKMKDRRPQKIKRC
I206_05110	MSSGAIHSSPFAGPSQPRKGEDIRVFAPTDSKECISCGKCWPWV VFNVERGHLPSVVCTRCARYDLEVERWKGLGTQMHQDLAKARHKFSKHRGEQSLSQPI PRDTQPRKQSASHQGGSNILYVIAQSQVGSSRSRGQKLLTEKELSIIDVNIPVLRTIA EPQRASIWIQLTYQPTSSQQDQVPQLDIYGSYTAFVGNRKASLDGPALIKLIIKTWAD HGVQMRGSPEYTIAALRIRDSSSNSFHQAGKYSQNVTVPIDSGVSISKQIQPVNNSQY VSSSIPNPPHHPPISQITSHAQNALQTEDTSSLQSTHSPRPPQIHPPPESSVLAQPQQ SQIQQSLHFANPPDDEVDELEDDVEHRDKEERASSEDQVGDMLL
I206_05111	MASNQIYDLEPNNGVGTSEPKRTVWGKIRRVIWDDIQDPEERAF VRRLDIGLMSIAMLGYFVKYLSQANIANAYASGMEEDLNFKGNEYNTLLTMFTVGYVI GQFPGTLATVRISPSIWLPACEVVWTALVMACAGAKNVHTLYGLRFVIGLLEASAYPG MLWVLGSWYGPAELGKRVVMFQATSSVGTMFSGYLQAAVHKGLNGSHGLQGWQWLMIM DGIICMPIAIAGFFLIPDIPTKPNPRSRFYLREQDIAMAIKRMERYKRAPTKGFTLDI FKKTMTSWVPWAFFIPYTCFVVGLGSYAYMNLWLKATPQWKNNVTAINVIPTGGYGLS IVMSLVYAWSSDAMGTRWPICFIGGFPPLIGNIILSTWPAANSTKFAGFFLNFTATPI GAIMLAWVNEIMSASGEARAITIGFLNTAAYTVNAWAPNLIFPASQAPHYKAGYKVTA AFFAIWIISIPIILVLLRNLSIPQYLPQGEQEEEPEQKVIEEDRNPEHLPDLETALQR SLVVAGVDGKGTQPQ
I206_05112	MAIAPAERPVQEGVRVAIDRGGTFCDVWAFIPNHLFNPPIPLEL LGNADIIPGDESDNGVQVTFKLLSVDPANYDDAPSEGIRRLLQIVKKQEVSREGLYDT SLIESVRMGTTVATNALLEKKGEPFGLVLTQGFKDMIEIGDQTRPDLFDLSISGKVKM LYNPEDIVQASERVTLEGWSLDQSAPSAEELIERAKSIGDDDVVMGVSGEAVRILKPL DMGRMEEDLQKLYDRGLRALAICLLHSYTYPQHERQIGEIAARIGFTQISLSSNLSPA IKVLPRGNSAVIDAYLSPILRAYVDGFNSHFEGKKAGRWSEFMKSDGGLVSSDKFSGL RAVLSGPAGGFVGSALTAYSRTRARPVVGFDMGGTSTDVSRFSGEFELTFESVVAGVP IACPQLSIETVAAGGGSRLTYKNGMFVVGPESVGAHPGPACYRKGGDLAITDANLVLG RLIPSQFPRIFGPNADESLDVQASRTKFEILTGEINASRPDKSPYTVEEVAAGFIKVA NEGMSRPMRQITEQRGFAMSSHDLCCFGGAGGQHACAIAAGLGIETVVVPRFSSILSA YGIACASLSAEAAIPLSAEVVDDFQSSKTYVEASKRIELLKQDVLRQLQDQGANHNEI DYTVTIAAQYDGADTILQIPFTPSLKEDFIQAHLRETSFSSNRKVLMSNIRVRGIGKI FNVTPIDYATSLLEAERLSTSPKIVKPSTFNTAHFDTSSGVQEFQTPVYVLNQVPTNS QINGPAIIVDSTQTIVVEPHARAIVLKEHVIIRLEDKKSGQNEVDGEMSTDPIMLAVF ANRFMSIAEQMGHTLQRTSVSVSIKERLDFSCSIHGTDGALVANAPHIPVHLGSMQYA VQAQHNHWLGKLRPGDVLLTNHPQWGGTHLPDLTTVTPVFEPGDDTKVLFYVASRGHH SDIGGTGVTSMNPIAKELWEEGVIIDTFKLVSQGEFNEKGVIELFEKVAERPGCSATR RIDHNITDLQAAISANVRGIKLVHKLFEEFGTKTVLFYMKEIQVVARETIRDFLRNVY DKFDGQALRASDYMDDGTEIKLEVRINREEGTAVFDWNGTGPQVLGNCNMPVALTYAA IIYCLRSMISPEIPMPLNQGVLDPITNIVPAGSYINPTGVVAISGSTLASQRLVDIIL QAFKAAACSQGCASSTGFGSGGKDASGKVTPGFSYGESLGGGSGAGPSWNGSNCVHVH CTNTKLTDTEIFEQRCPMLLVESAIRRGSGGTGKFRGGDGMSKLFEARMPLNFSIVSQ RRVFHPRGMEGGQDGARGKNTVFRLNSSIGVEEEGYSEIVAGSNGIAKLGTGDRVKIE TPGGGGWGAVEA
I206_05113	MSPIATQAINSSHGSELSKDPHVLANVSSPDTEKLVINTIRCLG ADLCQQYKGGHPGTVMGAAAIATALWKYSMRYNPANPDWVNRDRFVLSAGHACLLQYI LLHLSGYSTWTLDQIKKYHAPTMDGIAAGHPEIEFPGVELTTGLLGQGIANAVGLAIA NKNMAATYNKDGFPIVDNKVWCFTGDGCLQEGIGQEAISMAGHWGLDNLILVYDNNSV TVDGSIDICFTDDTSAKLKSMGWYVLEVDDGSNDLAAIVEVFDQARSSVGKPTFINIK TVIGIGSANQGSGKVHGAALGEDDVRNVKSALGFNPDEKFFVPQSVYDYFKETKIRGQ QYEEQWNQLVENYRRSYPKESAELQRRLKGELIEGWEGKLPAKGSLPKDPKATRQTSG MFLKSIIPEDNSFLVGSADLCESTFVNWDNMVEFQNPKSGYGDYSGRQVRYGIREHAM VGVANGVAAWHKGSFVPIMSSYFIFWLYAAPSLRMAALMKLRFIAIATHDSIGVGEDG PTHQPVAFPTFLRALPNFNYVRPADAEEVAGAWILALKDEDSPSLFSLTRQPVPLLEG TDRKKVQYGAYVVHGDAGEIPDLTLIATGSEVARAIDTAKILKDYKVRVVSMPHMGRF DKQPAEYRRSIIPSTKCLVVAIEPYCSFGWAKYAHAGAHMTGFGHSAPYSVLFEHFGF GPQNLADKISTWAQTRKRSQGWDLPGVGEFEELLLHQNTAH
I206_05114	MRMGFDFHHLLSKQSPSKKSAVVEVDPHSAQRKKEYTFDSKILG AGGYSQVLRAHWKARGGMVVAMKVVRKEAVKDREAYLTLIDYGFPRINSHPNICAGLD WFETNSKFYITFPLLTGGELLERLNTRGRFTEDATKLVIKKILEILAFIHSHGIIHRD IKPDNFLYRTPDSEVDDLVLIDFGISKVKSDEDPKDQYEVGGTPGYAAPEVFCGTGYG KNSDLFGVGVIGYNLLSSWSPWESTDTLALVQESATANVNFPPEPFEGVSEQAKGFIR HLMQPPNRRPSAKKALTHLWLSRPIGDVNQEHILKPHEIHEGDLEPLQPHHTVKPHHT IAKQLTARPAA
I206_05115	MTVAEQQEPDEVWDLLDALEHFNSKAGASELDEDEMASLEQALA YEPTYSPAISIASSGVLENVEVPHLPPLSGTMLGNGTSDQVRTSEPISKGVQQGKEQV LLDMASKQRFELLMKSQMGLTRFEEWVEDEGLPGSKQLLSYYKDIRAYNALFEEVKAI GSGIDHIYLGNQSNDRQIASTSTLLSINNPSILSASLSIHTAQEEATDRLYVAEFKRF ISGKLTEQAKARLQFIPDHKRRGDLGEVFCIADPRLPDQPVRVCSSLCPLRSQADKGA ACFYLGWVLSVIRVFSGFTDWAKLSIFARTRDGQVCSTRTSSRHRGWTGALLLATELY KDWKTILEFVEHGSNGAVEYLLGAQIDVTSAMSGGKAFQNLQDLVQSGKQDAASSESS KFSPQVLKIAGKEITRPLTLAALSERPVPKRVTSARNGSISYPAPDVASQRKNSFAPS LMSPKLGSLGLEKKSTWLSKLKKGGPDPAIPMDS
I206_05116	MSTFSAAHSKLLIFDAKCGRIQYVTPPLLAYLRYPIRTHRDRLA SQLVHMDIVDLLTGESSGETSSIIKSVRQIIAEQSTHSVFGGLLLCPSGRDAPDHIAD AVTDGGRKYARSLLHLTPVKDRKDKSQMYVVVVG
I206_05117	MDTLRESFAGQVIRAFTGSRLLPYPDELPDYVLPNRYRFHEKTA QDPHSDSQRPSDHPFHERNLAPGENAEQHGRLSTTSEDSDTTLAVDERLVTRQGAQGI PPDKIKELMSKSEIVTWYGPEDPENPLNWSLFKKCWLVAIIMLMTSSVYMGSSIWSPG VMEGAQYFGVGQVTSTLGLSLFVVGYGVGPLFLSPLTEIPAVGRTIPYIITLALYCIL QVPTALVTNFAGFAVLRFLAGFWGSPPLATGGATIQDVFAAHTTPYAMGLWGLSAGAA PALAPIIAGFAVEYKGWRWSFWEMLWLSGFTLALAIFFLPETSAGTILLRRAKRLRKL TGNDQLKSISEISSEQMTGAEVVKMTLVRPFSMTFTEPIVLAIDLYIGLIYAILYSYF ESYPIVYMEGYGWSLGVSNLPFAALLVGSLISYAGYCIWNKLYFEKVYDETNHQVPPE ARLPMSMAAAFCFPISLFWFAWSANRTHWIVPIISAAFFGMGTTWMFMPFLTYLPHAY PEYAASVLASNDFFRSMMGAGMPLAAHGLFVNLGIDWGNTLLAFLTVLFIPIPFILYK AGPWLRKKSPRALHDEPEEKKSDNTEA
I206_05118	MRARQVLRKLETNHVEGLSRKEMFLVTADLLPVPQEQKTWNAWS FVGFWVADSFNLNTFTIAASMISAGLNWWQAFLCVIIGYSLVGPLLVLNARPGAIHGI VFPAVCRTTFGLFGSLWPVFNRAGMACIWWGVQAWLGGECVYVLLRAIFPSFARIKNT MPASTETTTAYIISFIIYWLLSLPTIWVPIHKLRWMFMAKAIVGPIVGFTLFGWSIHR AGGVGPVFSQPATLSGSKLGWQMIISISSCFNNMFTLITNAPDFASRAKTPSAAVWPQ MIAMPLGFTITSFLGIVICSASQVQFGAPIWDVVKIMDTMLDGGSSSTRAGLVFIAAG FIYVQLLLNVAANSVSAGCDLTALFPRYLSIRRGGYVAAIVGICMNPWLLYKSSATFS NYLGAYGVLLSCIAGPMIADYWFVRRGHIRINDLYSADKKGWYWYTAGINWRGYAAYL CGFAVNAPGFINTLKPDIAVSEGAKRIYYLSWITGTGISGLIYYTLCRISPPPGMNKH FEEIDESAGEPRIDEPPQVEDEKLSNDEFQAFGEKNMKSPPRHDRVTVLEA
I206_05119	MTAQDPCESPDRRIKFDENASRYELAALSLTQTNHSDGFVNIRS RYGSRRPSTAIYPTDNEDEDPNLRNAGDFRQPQAFSGWSLMKLSFQSLGVIYGDIGTS PLYVFSSTFVEPPTKQDLVGVLSLVLWALILMVTTKYVLIVLYADNDGEGGTFSTYSL LSRYMNITNRDPREASLVQMKRFATGDLESPGRNLRRGIEASKFIRTVLKVIGVFAVT MVLADGLLTPAQSVLGAIQGIQVAAPSISKSTIIGVTDAILIILFLIQPFGISKLSVV FAPIIALWLGFNAAFGIYNLVKYDATVFKAFYPYYAFDYLIRHKEQGWRHLGGVLLAF TGVEALFADLGAFSRRAIQVSWLGYVFPCLLITYSGQAAFISEHPEAYSNPFFNAAPP GTLYPALVVAILAAIVASQAIITASFQLLAQVMKLSYFPQFKIVHTSKVHHGQLYIPM ANWLLMIGTVLVASIYNNTTSLGNAYGVCVMFVTFFDTLMVSLVAVFVWRIRPVLVVL PWLFFAAVDGTFLSSALTKVPDGAWFTLTLAAVLACVFLLWRFGKEQQWRSEAEDRYP TSHFVTRMQDGNLRLSDFYQSTPLTQISGLGIFFDKAGETTPTVFSQFITKLTCFPEV MVFFHLRPLDRPTVSAEERYTVSRLAIPNCYRVVARYGFNDEVISLDLGMVICDQVRR FIASEVSKREATIGDQTITGANMVNGHMDNTVPDDIILLDQAFQRKVLFITGKGQMKI RQQTNWARAGLLWAFLWIRDNTRNRIANLRLPPSGIVEVGFLREIS
I206_05120	MSELQALSKIYKVADHEPLLTGTQPALVNDLQTAAIEPMGTNLG KYGGKYEEKGAGDADDLVTVQAAAGLHMIDADEPTSDELMTLRKVPATMPWAAMFMCL IELAERASYYGSKGPFNNFINNPLPKGGNGAGAVAKGSAGINQSAGALGLGSVAASAL TNLFTFLAYVIPIYGGIVADTKWGRFKTIWIGTLVGAVAHVLLVIPAIPSIISHPNGS LGAFIISLIILAFAAGFIKPSLGPLLCDQNPYKKPTIKVLKSGERVVIDPNTTIERWL LIFYACINIGAFFALATSYAQRFVGFWLAYLLPAIIYMIMPVVLVICGPKLRKAPPQG SVVAEAMKVMGVALSKGGWKKMFKGGDDFWNKAKPSYIAARDGQVDLEKVFWDDRFVD EIRQSVSATAVFMLIPIFVLADGGLGNQLNDMSVAMTLNGLPNDLINNWNPLSIIVFT PIITFGLYPMMTRLGYPLKPMMRMCIGFLLGAVGCIIAAVVQWRIYKTSPCGYYATTC EEVSPVSLWWQVPIITIPAIGELFVNVTSYEIAYTRAPARMKGLVYALALFNSAIGAA ISLALSNVIQDPWLIWPWVALAAASVLCAILFPTYYKHLDDPVTDFGDPDRQAGAQQP KAILERQQREHTNMEDVETKY
I206_05121	MTLITGSALQVSPLPLKGSRQWKGHQFPIAFRVDQAGKTPDIEQ SVSYLHGLAASGEFTHLLQQHGGVVFRGFGSPSAETFSKLVNAAERGRNNTPYEQVGL AGKRTAQASEVYTANEGPETQRFFLHNEYARYTRYPGFIHFYCEVEPITGGESPIGNS LEMFDRIVQEIPEFVKEVNERGLSMTQIYPAPYDNDGKVSWKLLDTFGQTLKLGDDQE TIRQKVEAQVRRLTDDYEWLEDGSIRVVQHVKVIHPRQLDPDSFHLARHGTATFMDAL EPPYKGTDGKTYPPATYTDGTEIPRAYLDRILAISRELEIPFKWEAGDILFVDNLRTM HGRAPWSDGPRRILVSMWDVLPGTVDNYRPNA
I206_05122	MLIEVERKDVEHVAPTRNVDVTGMPKSWKNYIWDTLDKSPEERR LLFKADLIILTAGCLGTFIKWMDRANLNSAFVSGMKEDLHLYGNELNYAQTVYSVACL IAVMPIQMLLTRVQPRYLIPSIELAWTIVTFSHSKMKSATQMYVLRALLGIFETGHFS AVVYLAGGWYTKNELGRRLSIINMATSAGPMFGSFLQAAVYKGLNGRNGLAGWEWLFI IDGIISLAITLPQFFVLPDLPARQKPNWIFDEASIELARDRNVKEGRVRQGKFTLQQI KSWLVRPEIYMLWGLSVFNSIGYKPSDSLALWLKAWNTKKPKSFTVPQINNYAAGLPA VIIVQALTGAWASDTIFRGRRWPTIVICGVVNGTALVILAATEVFPKHRAFRWFLYYL TGTLQSTSSMFWAWTQETFSGDPGARSFASGMDNTLAYLVTATVPLAVFQVKDQPAIV SGNWTAAGEYLLCGALGGVDRLEACCFASPITAVSLAYYQRRKKLASQIEEEVSDSSG TSNVYEDPDNKDIEVVEDSEPAHTLRGNS
I206_05123	MSKLTSDQEKNLPGTTQTGVYPATGVGNGYATDGANINAPEYGD KPYDGIHSENSLHRGLKPRHVSLIAIGGVIGTGLFIGTGTALSNGGPLGLWLGFSFVG ILVFFMMLGLGEMAAYLPITGGQLTYAGRFFDPALGFAMIWSTVSLIYLLRRMSAVAV LVNYWIEPTRVNNAVWITICYVVIVALNLTTSGVYGETEFIFSSIKVLTIIGLIILGI AIDCGAGPSGHYLGFHYWKEPGALAQYEGIGGSKGQFLGFWAVMTQAAFSYGGVEFFG ITAAESANPRFSIPRAMKQIYFRIGIFYILGTFILGLIVPFDNDRLGTASNAAASPWV IAIQLSGIKALPHIINACLITSAWSAGNADLYISSRSLYNMAHKGLVPKVFLRTHRYG TPYVAVLTMATIPLLAYMTVSNGAAKVFGWFVNLVAVMGLVEWMGICLAYIGFRRAMK AQGFDRSTLPYRHPFALFGAWFALFAFGIIILFSAWTVFRDTANFDRATFITNYLPAV FFLALYFGYKLIRRTKIIHPMDVDLISDIAEIEATMEERPPAHGFKGRLQAALF
I206_05124	MDDIDDSYKPKFTRSRTGCLRCRKGKHKCDEEQPICRRCRNVSK ECVYPPPPVPKSTVRATKKRRIRREQEDVEEIVRRDDDRIDDGAISLNRSGSSDGMLP FFLTPSDYLTLSFPDAQERDLMRHLLRFGNVVMYSVPVQNEPIQFLHLARCLQHSRGF SLESDALLLSLISIAAGHKSSLIAQQEKKYSDKYPAIRWDVLAETNSQTESHQISSAS QSAQRLISDHFSTTSLSICQTAVAFRESGNGLTAEMSNLLLTSALAIIIAQCLNAGTM WKQAFETACDLIDLRGGPSHMLEQAMLISQAEVVRIRLLLENFVVVDVCQCLATGSAP RLMKEPFASWWYDYVTNDADTVHNSYGVDRAVVEVANRVNMLVHESSILGSVLDEGYL DTHNQKIQNVLQELYIWETSFSQQVGRNLRVVYGNRVMVNMLKVVVYVDLLKKRHADC EVQESAWAALTAFEEGKQLDHGVGLLLAAIITGSVLQDEEKRAQARGVITRLRATADY AYDVDEAAAMLDKVYRLRDEGMVDPSWRVVTNSGLLVF
I206_05125	MPKPSVAEFVDAFFTRTLFQDDDVVASSVLASELSPDAVINMNG NDLTTESFINLINTQFRPAFSAKVLEIRDLNIVTTNTEGTTGVVAQYTRYETSGKSDN TILKQSATTIVAVEERDGKRSITRIWEAQTVDTD
I206_05126	MSRLLISPTDPVITHPDTIVLDASWLYEPDPPSRDAYKEFLAGP RFPRARFWSLDDVSEPHPQGFALMLPSPERFAKFAGDHGIQKDSHVVIYDSEGIFSAP RTAWTFKVYGHEKVSVIDGGLPRAKAEGVELEVGPPIEYKVIQRSLITFVLTLVTEYD EIAKIASRSTPLAEDTVLIDARPASSYEAGHIPTSLLFDFPSALLKDPSGYTHVRDFE DLKSFLEQKVGIDVARKVLSGQVDVINTCGGGLSAAINWLQLQSLGISSRLYDESWGG YSARPDTVRVVGRNPL
I206_05127	MTIAVKPSVLIIGCGPAGLGAIEQFLRKGFDVVAYEARDSIGGL WNFDPNPPPCTISFDKLGHAIALSELERQGKPAPAPTPMYAGVTANTPRDIMPYRSHP YPNGTVEYPNYKTIYQYQLKHAKRYADYIQLNRVVTRVRHTPEGHSSVKRWLVEWTPS YTSKSPDIGTVFEEEFDHIVVANGTDSRPFIPYINGLWSWKGEIIHSRWYRTPEAFAG KTVMVVGGGPSSADIVRELGMLKVTNSPSQAKKIYRSFRSKLRYDTEHEKGWPAHINN LSPMKIVHPPSEDSQTGRIVTVLDEVIDDVDIIIFATSFVARFEFFKETDAPFSQAPL VRYPQVPKGVPLPPADFITEASLEGGHRVHNLDSHQLFYLPDPTLAFLLLHAEAIPWP FSEMQARVVAAYWTGTPLDLIPHSDEDNDSHSVLILGHPGEFEYAEGLLKLIGEGGTE EVDSEGRWGAWPEWKKHIRALID
I206_05128	MSVSQKEKAVDPVDIRWKMAVACDVCRRRKVRCDGERPCSRCKK GNRDCTFSLPRHIARKAQHHSSSKRSRSTSSPTQDIPSPKRPSLRHTSSSSHGRRDSP IHESPTKDHLLLIDPDDQIVYSGPSSGMPLFARLGLLRTVEVSESEQDSSQFASHSSS AFGITSAVSASRDYFDMCLQRCPQELMNSLIGHHLSTPVFFPLLHAPSFLSEFIAVTE RRLKCTPQYGAMLMSILAVTARLVEGGRGLVPAAERAGETYYEFAQDLLRVSKNKLDI RHILALYHLALFAEGRNASAGVASSFVAEAIGLAFATGLHRSTDDFRMDPVTMQIRTR LFWSLYSLDIALAYSQGRPALIRLSECSIELPAVVDNGMITKTEILPQPEDNPPVIMA GAVKLIEIYIVLEQVLSAINAPFITISQRFNLSDPPRRRSEKLARAQICLDEIEQSLP PYLVRSSLPSDTSLNLIFLQSSRLRSTLLFVRTLIARQALIDEFEGSAGPSSDPIEST LAACHLSVDIVKTYSRLRHFGFLQYCSFTAVSHLTAASHTLIACMIRSSNLAFEHRPD LLSAIDMLILFSARFPCSKTVAQLLIELSRGLDLNSGDSSGASNEALAIRVLARKMAV SPAGELGPMNSDRAELPPASLRIQRSTPEQFDYEWLVRAATAGTPPAIFQNDSRLLPS LAEAVGDSGLNGLSKQGTPRSIPDLHLPWNSQGEELPPDWGDNFSFLNDGLFGSL
I206_05129	MSLLPQSAGYATILAGGAFFAIMMNGLTWVQRRYTAFDPSRIEE FSSASRSVKTGMLSVGITSAWVWAAVFLQTGTLTYLYGVSVPWWFGMGGFVEIAAFAF ISSKVKVNAGGASTFLQVAKVRFGVLGHLAYMFAAIVANFVVGSEILIGGAGVITGMT GINEYAGIWLLPLVIVAYVLTGGLRATFVADYLHCCILFTCLLVLVLATYTRGDVIGS PGKLYDLLLAASDASPAVGNAHGSYLSFRSDGGMYYAVTAATSFFGLSFCDQSYWQRS IASRPAGTSKGFIFAGCFFFSVAFGIGSSMGLAARALESSPAFPTYPNPLSLAEIGAG LAGPYASVAILGKAGPAMYTIIAFMATTSALSAQYVAVSTIASYDIYREYFNKQATNS QMMNVNHAVVIGWAIFLAGINTVFAHIGLDLNFLFYFMAVCTSGSVFPIGLLMCWTKL NKSGAIAGVLGGLIVGFIGWLVSAVKLQGTINTTTLTASKVILTGSLSALGAGAILSI GISLIRPASFDFELTRAIGSGHKPRESSQTTGEKDGHAETPSSIDHEPALAKVEGSGR SAAADADYAEGVIKLEKSQNRFRLITAAFLLVILVLIPAPLAGTGVVYSKGLLTLQCV AAATFVFVSTLAIIFWPLIESRQDLRVIFGRIVRNERADVVLPEQE
I206_05130	MNPSSRLYHQAAAKIKLSPSLKALVNSPSALPSPIPAPSISVTS KLFDTIRSKAPSDLSKKAWLTVGTAALLTVNSPEAICQLWDYAGKNKEDARIMREVGL KCISFNGIPRTINGLGALRSHLPEDVKQALTTKSYRQPDESNISRITSQSRALWDAIY TPHHEKLLDKLSASHPDLPVHILNSHYGALLSDPPNLPSPRIGRVLTSVIAITCLRAQ RGVGPQLTSHVFGLMKAGIEEGATQEVQGGEWLCSDEGATWIIESTDRVSEIITGGKV TFGGPSGQ
I206_05131	MSTTDSLSPFGTARSTVQGKPLSAEELRKTDAYMRASLYLCLGM LYLQKNPLLKEPLKKEHLKSRLLGHWGSDSGQIFTYIHFNRLIKKYGLNGLFVSGPGH GAPAVLSQSYLEGVYSEVYPNITEDEEGLRLFFKQFSFPGGIGSHATPETPGSLHEGG ELGYSISHAFGTVFDTPDLITVTMVGDGESETGPLATSWHSTKFLNPITDGAVLPILH LNGYKINNPTVLARISHEELEALFIGYGWKPYFVEGSDLESMHQAMASTLEQAVLEIK QYQKQARDSGKAFRPRWPMVILRSPKGWTAPRDVSGHHLEGYWRAHQIPLPDVASSTE HLALLESWMRSYKPDELFVDGKLIPELRSLAPEGISRMSANPVANGGLVRKALRLPDF KKYALQVSPGVTNAPSMSNMAIWLRDVMAANLTNFRLFGPDETESNKLGAVYEAGKKV WMGDYLPEDSDGGNLAHAGRVMEILSEHTVEGWLEGYVLSGRHGLLNSYEPFIHIIDS MVNQHCKWIEKCLEVEWRTKVSSLNILLTATVWRQDHNGFTHQDPGFLDVVANKSPEV VRIYLPPDGNTLLSVMNHCLDSKNYVNVIVADKQDHLQYLNMEEAVAHCTKGLGIWEW ASTFPDEEPDVVMASCGDVPTMESLAAVALLRQYLPELKIRFVNVVDLFKLISNIDHP HGLTDKEFRAIFTDDKPIIFNFHSYPWLVHRLTYKRPGHNNIHVRGYKEKGNIDTPLE LAIRNETDRFSLAMDAIDRLPNLKNKGSNTREKLLNEQISARTKAFENGIDPEKYRNW RWEFGPKTEGVLDQVQNKLGLGQGDQPPAAVVGTSE
I206_05132	MSSSTSIPELTNVLGKSKSPYLLQHKDNPVAWQEFTPSTIQIAK KLDKPIFLSSGYSACHWCHVLAHESFEDEEVAETMNKYFVNIKVDREERPDVDRVYMT YLQATSGGGGWPMSIFMTPNLEPFFAGTYFPKVRFKSLLMRIAELWEEDKEQCLAMGK GAIESMKDMSGSSARIPGTLDEILSTCPSTKIYSQLLKLCDTRYGGFTQGGSRSSGPK FPSCSMTLEPLARLASYSISDQVDNEKAREMAIKMIRGIWKGGIHDWIGGGVARYSVD AKWIVPHFEKMLYDQAQLVIGALDFALLSNPKLCFDLAADILKYTLRDLKSPDGGFWS AEDADSPPSKGAKKSEGAFYIWDARELDEVLAEDSDLVKEFFGVKDDGNVELQHDMHG EMKGKNILYQAKGYDEVARQFGRTADDVRDVVKNSIVRLKARRDQRERPGLDDKILTS WNGLMLSTLSQAASTLPETYPIKSKCLPAAEAIVSFIKDRMWDESKRELARSWREGKG PIGQTDDYAFLIRGLLDLYESSGKEEYALWAIELQEHQDELFWDETGDGYFASASDEH VLVRMKDAQDAAEPSATSVTLHNLSRLSLIHSNEYEKYEKKAEDTYLSIGEELKQMPR AFGYSACGLMDIEKGYREVIIIGSPSDNKTTQLLQVIRSTYSPNQVIIQIDPSNPPYG LGKLNDVVKSLVDNIEAGKEDEVSLRICENGSCGLPVKDLEEAKKLLGVE
I206_05133	MTPNPKVALQSTRISSGLTALVVGGTTGIGSAVARKLAAIGCSR VIILGRDEKRAGDVLDRMRDTATKGFEGSFIKGDISYVRGIKAALESLKSLLGNDKLD YVVMCQNGPPTGTVNINEDGEGKEFTIQAISRFLLTHLIINNELLNPNAKKVAENGRS KPLLTIDQSRRDSTVLDSVILEFNARYPQYTFYHLHPGLVKTELFDIHLFPFPLSYIA GLGLLMMGSTPDEYANIPVYILVHPDARKELGEGKFWDHRIKVKNPSVWPSARENREK LWNKLLAMTEEK
I206_05134	MKLWTYLEILRNARAHVDTGTNHRTKSVPDHSIPLNPEDWLIDL RPGTNYNDKPDVKIYLRCLGRAVAPYLRGDDMVEAGRSYLAEEMREQRGVFVQPSDLT STPHNALKNPYYLSDSIPKQTWIELDSRGWWYFASLTPLMMRARCFMDKQGGRPGEKF VMTRRTNITNFKEKIPGLPFEYIKTNIRAPLLPGVTPPPSNSERFTLLISQLYRTNIH PALRYHFLCVADIEEIEEHMDAIGRPGVIMRGWYPQALEPNESWEAVDRNRIVFLDGW TEEEREKRASEIERIRNYDSDD
I206_05135	MSQVAPTLDLISAPVPPPAYLGSAKDEEKNVYSPYNHGSDPNIT SGHGSEHEDDIEPTEEEYEKLRKVPATIHWPAIALCLVEIAERASYYGSKKPFANFVR GALPKGGNGAGAVAKGAAGKNQTAGALGMGSVAASAVTSTFTFLSYVIPILGGIIADT KWGRWKTICVGVAIGAIAHVILVIPAIPAVIASGHAFPPFMISVIILAFAAGFIKPSL APLMCDQSPVKKPVIKTTKSGERVIVDPQVTVQRYMLIFYWCINIGSFWMIVESYVQR LVGFWLAYLLPAGIYVLVPLVMLAVYKRLYFAPPQGSVLLETLRVIKQFYKENGFFKG LKGGDKAWESIKPSHILEKVGTLDKKIVWDDRFVEEVRQALEACSVFWLLPIYYLADG GIGNMENDMSAAMTLNNIPNDLFSNCNPLCIIVFTPIIGLMYRYFEKIKRPIRPMTRL SIGFALASINMIIGALVQWRIYSTSPCGHYATDCEAGVSPIPLAWMIPLSALPGIGEI FIAVTAYEIAYTRAPARMKGLVVAISLFNQAISAAIGLALSDVIKDPYLIWPYVALAV ANFICIFICPTVFRHLNEPIREFANVERMAGKLQPTHHKSEATGSDETVKEGRV
I206_05136	MSANIAARMSVDDEIGPAVDEKPKIEHLERYNVESKEVDDVIMK SSFEDLPWKKTWVVFRKAALMCIFASFSAAADGFQVSMTSNIVANKGFIQTYGTIIDP KTGGPKLDADILAAWGGIQSAGQGIGMLTQHFVADRLGRKAAFYALWVSLLIAVALES FGRDWRSWLCAKLFSGFGVGSVQFMTGMYMTELAPSRIRGFLLIFYSAWYGIGQLCSS LALKVMNDKSPYNYLTPIYTEWAMLGLMAIVYVIIPESPFWCASVGKHDKGRAVMKQL NGGIEGYDVDYHYNIIKRSIEKEQSYQKQIDGESHGFLTELRNVKEVFIGINGFRTLI AFWPACVQQIGGLAVLSSYSSYFAQQAGFGDPFLFSLLLALVAIVCTLVEASLIDLIG RRSLFLIGSITVWVMCMVVGGLGLMTNRSASVNRLVLVFSLFWRLGSTLLGNLGWAYV AETGSSRLRAKTAGIAAAGGVCLGLVFNTSVPYMLNTTGANWQLKTAFFFAGISTPFV VASFFLIPDTSRRTPAELDEMFRKKVKPWRFRSYVTDAQKALNEDRARRGEMDPARLQ QNA
I206_05137	MFSSPRTWVESSGHRVTRLSDEAGSSSLYFNFNAYTPEGDFLVI STPTGIAKIELPTFKLIHVITIQEPFSLLFVGKAFRRAYYLLNNAKTLYWVDLDTGVS HLIAKEPLGDIQTINANETYLAGVEVDPTYKSDILELFSKRDPKTDQFVYEANWPDGT PMTYADAKEVRLSQRLEARVPMVLFLIDVNTGERKDVYRATDWLNHLLFSPTDPNLLM FCHEGPWHQVDRLWLLDLEGGSKPQRIHERRMNMEIAGHEWFSHDGKTVWYDLQTPRG EDFWVAGYEIKTGKRTHYHLERNEWSVHFHSSYDNSLFCGDGGDSGMVAHAQDGMYLY LFKPKRIPDVAGLKSPNAGNLIVPGSFESKKLVDLSDNDYRLEPNANFTPDGKHLIFR SSKYGGLHVYAVAVEPEMK
I206_05138	MSIAQHVLIVGGGLAGPCLALSLARQGIRSTIFELRSGPSDSGG SISFGPDALRVLDKHAGVYDKLKVEGYAYDRMTMYFEDGSKLGQVLVAEEGEDGYPAI RIMRSSVQKVLLDAVKEKVHLINMKFDARISKIEEGETGVTALFEDGSQVNGDILVGA DGIHSKVREHVLDAEAPKPIFTKTQVVNGFIPATSAVKPSPDFTFPAFVVTPSGIFMT LPIDAAGKTLTWGITTSAIERTRVGWAEYEKSGEAIKAAKQDFDDIQTEPVRSLLDNV DESQARVWAPYSIPTLPRWHTERVCLIGDAAHALEPNGQGSAIAFQDAALLSRFIASN PSSSSYGQVFKRFEELRKPKVAEVSKNSKPASALKSKTGPWVWFLKKWAMWGFFTWNN GTLRMHKGPRYDVDEVDLAL
I206_05139	MPFQTSISYLDTYPFPAFIIRTQEEEDSEPSRSQISWANHKWDE WRNGQALESLLPAGVARSFEEWIRAEKDETMFPLKASGKRLNLIKTIVYEEDAQTFIV ITSFPSGYRITPVSQKDDLLKPTNGDTSYSEPLVSLGRAKFEEPSHFALERKRQFGSN SPAVTSLECKLLLERTDWSKTTLGPREKWSPVIETMLEVILRSSTQDALWLGPDFQML YNDNYAHIVDHPLMWGRTAKAREAWGPVWEGVSHLIDDCLTLGEPCYREDDLLLYRRG PKGFWVEKYHTWSFIPLFNEDGKPLGLFNPTRETTASVLARRRQESLRDLSEQLLTAR NTAEFYNGIVEVLENNPKDVPFMMCYSVEEGVETGQVKLHLESSLGVPENHQAAPSDL TLPLIADRFSRSTFGFKANQLSSPTLSAISALSSGTGRIQYSYDKTSWPIAKAISTRQ AVVVEDCTELIKGFPLRQWEALPDSAIIVPISSETSVDIPQSVVIIGLNLATPLDPVY EDWIHVLRAHLTTSLGSIKAAEAEQHRQDEKDKMERAKTIWFQGAAHDLRSPLTLVAG PLDDVLRTKLSTDQRAGLSLAQRNLARVQRLVNSLLDFTRIEAGKLSGRFLPVDLGTF VRDLASIFRPAVERRGIQYTIEIEPHEGMVFVDPTLLETVVTNLISNALKYTESGAIS AKLNYNTSYADIAIIDTGIGIPQAELTSVTDRFHRATTSLSRGTEGTGIGLALAKEII RLHGGDLLITSRTAEETGGSHGSSFVARIPLVERQVIDNQLGAVEFGEYGKAVLDDAM HWALPSDNRSDSAYSEIDQSISSRADAFLFDRNDVLLLVDDNTDMRHYVKNIFSPYCK VIEATNGKDALEKAIKNPPNLILSDLMMPVMNGQQLLMAIRSNPSTRMVPMVLLSAAT DDEVRLAAFVEGAEDFMLKPFKPKELLARVHLHMQIGKNRAHMEMLYAQRQQELAVIL DYCPSGIVRADADGHIVYGNDTYRAYTGIPHDVDLNDWADYVSEDRRSHLLGAWNEVV HGNERETTRTWKWLNGTAVSGTFIRLDMIDSSLSGVLGCLSDITYQEEQLVEAERRRL EAEESKHQQELLVDLTSHEIRTPVSAILQCSSLVRENLTALQGQLRSIGSEGFQATPE LLAEIDEDLEALDSIHQCGLVQERIANDVLSLARIQLDMLSLHDFESDVTTEAKKIVS VFVSEAKMKKIQLVLEFGDNIAKAGISFIKTDHVRLHQVVTNLISNAIRFTATSTTRV ITVRYDISLTPPSDDTCVPPSQEHAASVPLKEDTPVWMFIAIKDTGPGLGPAEQVNLF QRFSQGNKMVHTKYGGSGLGLFICKRISELLGGRIELESQLGVGSVFRFFIQARTGQA QKSITDSMSSLTLTTSASTLAPSPSPAPSNSSDLHFLIVEDNIINQTVLKRQIVKAGF TCDVANHGQEALDLLNEVYSGNAQSRRPSYDVVLMDLEMPVMDGLTAVKRIRGKEESG VYSRQLVIALTGNARQGQIDQAMAAGMDEVVIKPYKLPELIAKVKGKIGTNAKVA
I206_05140	MPIFTKRQDPQAAAPLTRQPVDLKKLLAVYDDYPNVTNALDATL LRLEEAEEATRIAYEKRDDAEKDLADERQGRKADNDSAASAKDEALKLAKREKDEAEK AREEKIRNELNPKITDLETKLKNVTEHRDKLEKELSEKRTQMENWIKSLEQLSLERKS GYDKERKAIEDRWEAEAKTQKLDEEIVEGLKNALKPVDTASIRSSARSVKKGDGI
I206_05141	MALKEKDKMGLGILPPLSPPSSDTKSINFTPHADNIIPTNKDVK VDWEGGYTFAPIKEWQVSRAMTKRYGDDLYRTAVSDVVIIGAGSAGLTCAYALAKERP DLKITICEAGVAPGGGAWLGGQLMSAMVVRKPAHEILEEVGVPFEDEGDYVVVKHAAL FTSTILSKVLQFPNVKLYNATAVEDLITRADPSSPTGVRVAGVVSNWTLVTLAHGLQS CMDPQTITAPLVCSFAGHDGPFGAFSVKRLVATGMVEKLGNMRALNMNSAEDYIVNST REVVPGLITGGMELSELDGANRMGATFGGMLGSGYKAAKEAIKFLDAHEIVDGEVVGR KEIA
I206_05142	MKFSTTPILLTSLALSSTTFGAPLPQVPNNSGGTASGFNQQSIG NNGGKSPNPDDYSDSPRPSSLINPSNPLLKLSSNKINPLNLNIDFGNDNKDGTNANTV DGLGLNLDIGNLIGIGGKGRPSRRQLPQIPSPSNPTIPTNAAIPTSVPMIPQAAKSGL SVSDVTGLTQGVDALGLTQAQGISSGINSQAQGVLGGTIGQAQGLLGGITGQATGLLG GTGQAQGILGGVIGQSQGLLGGVTNQVNGLPLIGGLLGGVSNQVNGLPVVGGIVGGIT SGAGPLGGVSSTVQGLTNGVPLQSLTGTIGSLTGGIPLQGLTGTVGSLTNASPLQGLT GTIGGLTNALPLQGLTDTVGGLASGLPLQGLTGMAGSLTNGTPIQSLTNGLPLQAVTG TIGSLTGTVQGSASPAPLNDLTQPLNGVVSSSANSADTVNNLAQAVNSTATQALTSPT TGLGNVVQSLGQNTYLLSSGQILRLASDITPASGLTAPVLNSLRTIQVEGKMYIINPA GQIIGTLSSPSSTIGLYSNDSNSDVDTNAGGLDNSGEGWNNPYPDLTPLGDIPDATAL IASQMGGGMIPSNSDKSYLQSTTPTKDVVPQQDSDPSQSQIIPQPDSTWGQMTGSRMT TASPIVPSFIPTAIPTASLTAVPTASTTATPAEGWGEWVIGVPSAIPSSFTNQWNDDG AVGSDMVPQTSTGLA
I206_05143	MALVAALNDWNLPSTSAQGAKQYEQLAHALQIAAEYIYPRDIST GRSSVKPLETPEVTSAFHILLRNGRGPALYSDFKDAVEARFYLIIQDVQKYIDRLGSL MPEDEGEISPIMCEMLERLAVWQRAWGVPLRAFQDSKLIGTFTASFHSLLHSLLSPLF PDYLLTFLTISLNSLPKHLLDPPSIPQLFQSVPVNYHKVHPPSPHLSRLGIFPRYSGS LSKVAYKEIEKIAREEAGKGWDARRLTRARQRVGDGVANWLSGMFEGNETAQAALRPM FSRFDYYLCKCFFDIRADELFDIIVDFPDSMAALEDLKECLFKVDQRLELVNKLNAAN LRRLLHPGAETKLVLSLYISTIRCLRILDPPGVLLHKVASPIRKHLRDRPDTIKCIVA ALVEGEELGDENENAGLIKDGEGDDGIEDWSDPKWDPEPVDAAPEFRSGKAGDIVSTL VSIYQSRDVIIKELQVLLATRLLAVKDYDAVKEIRTIELLKLRFGEQALHVCDVMLKD MADSKRIDDHVQGDIKSTVHPLVISKMFWPSVPGTSLVLPPKLLKAQVEYEAAFHHFK PDKHLRFLQSLGTVHLSIELEDRVVEVEATPIQASIIELFEEKEILTIGELREALQIT EGLVKDALGWWRDKGVIKDMGSKNGWKLLEVAEDEELQ
I206_05144	MSYPNPPPGPKHNPSSYSSGSSDPFNGHQLNYDNAPPLPGHPDA VNHPGAGVAPPGQGGQYAAHFDTDAEMEARMIGGGRGIETWASDSGYSGNDGYYNGSE YHGQQGYVPSRASTPTFTEGSRDGHRPREPYPAWTQEANIPLSKEEIEDVLIDLANKF GFQKDSSRNVYDFLMIQLDSRASRMSPNQALLTLHADYIGGEHANYRKWYFASQLDLD DAIGAVNNPGLARVRSVARRGKGPKGKTAPATAQEKSLDSATNRWRTAMNNMSQYDRL RQVALYLLCWGEAAQVRFMPECLCFIFKCADDYYRSPECQNRVEAVPEGLYLRAVVKP LYKFLRDQGYEVVDGRFLKRENDHDKTIGYDDVNQLFWYPEGVSRIVLNDKTRLVDIP PAQRFMKFDRIDWNKVFFKTYLEKRSFLHLLVNFNRIWVLHISVFWFYTAYNAPSIYA ARGTTKATTPMAWSVTALGGAVASLIMIAATLAEFSYIPTTWNNTSHLTRRLIFLLII LGITGAPTIYIAFWNQTGQVSLILGIVQFFVSVVATAAFATLPSGRMFGDRVAGKSRK YLANQTFTASYPKLPRNNRIASFLLWILIFGCKFTESYFFLTLSFRDPIKVMVGMKVQ NCHDKYLGTGLCTNQAAFALTVMFVMDLTLFFLDTFLWYVIWNTVFSIARSFAIGMSI WTPWADIFARLPKRIYAKVLATADMEVKYKPKVLVSQVWNAVIISMYREHLLSIEHVQ KLLYHQVQSDQPGKRTLRAPAFFISQGDKGVKTEFFPKGSEAERRISFFAQSLTTAIP EPIPVEAMPTFTVLVPHYSEKILLSLREIIREEDQNTRVTLLEYLKQLHPIEWDNFVR DTKILAEESNMFNGGNPFGGDEKAEAKKADDIPFYTIGFKSAAPEYTLRTRIWASLRA QTLYRTVSGFMNYSKAIKLLYRVENPEVVQMFGGNTDQLERELERMARRKFKFVVSMQ RYSKFNKEEHENAEFLLRAYPDLQIAYLDEEPPRKDGGESRIFSALVDGHSEILPNGR RRPKFRIELPGNPILGDGKSDNQNHAIVFYRGEYLQLIDANQDNYLEECLKIRNVLAE FEEFRVSNQSPYAQNGHQQFEKFPVAILGAREYIFSENIGILGDIAAGKEQTFGTLAA RSLSYIGGKLHYGHPDFLNAIYMNTRGGVSKAQKGLHLNEDIYAGMMAFGRGGRIKHS EYYQCGKGRDLGFGTILNFQTKIGTGMGEQMLSREYYYLGTQLPIDRFLTFYYGHPGF HINNILVMCSVQVFMLALVFLGTLNKQLVVCKYNASGDILPGQSGCYNLQPVFKWIKR CIISIFIVFWVAFVPLFVQELTERGAGRAILRLCKHFLSLSPVFEVFSTQIYMHSIIN NLTFGGARYIATGRGFATTRISFSILYSRFAGPSIYLGLRTLILLLYITLSVFVPHLI YFWITVVGLCVAPFLFNPHQFSYSDFIIDYREFLRWMSRGNSRTHANSWVGYCRLSRT RITGFKRKRLGLPSEKLSSDTPRAPWKAIVVGEIIGPICLAILFVVCYLFVKSFTVDG KTQPGLLRIAIIALGPIVWNMAFLITLFLISVFLGPCLNSYTNQFGATMAAIAHFGAV VGMIAFFEFLWFLELWDTSHAVLGIIAVISVQRCIFKILIAVFLSREFKHDETNRAWW TGVWFNRGLGSHALSQPAREFVVKTIEMGLYSADFIACHLLLFLLTPPMLIPYFDRLH ATMLFWLAPSQQIRPPIYSFRQRSQRRKIVFTYSIVYVLIQAIFVALIVLPLLFKGVI GLTPDDVPFGAVI
I206_05145	MHISNLLSILILLQLEQVLAWTDPFFVIQHGPDIITSRIDPVIS PGGISSHVHSIVGSSSFKPTYTYENSRAGRCTTANIAIDHSNYWTPQLYRKRDDGKFD LIKMNRANTYYLMRRGSQNEQVYDFPPGFRMVAGNPSRTTYNASNYADNAISYVCLGV NGARETGAFPTQSCPNDLRAQVFFPNCWDGVNTYLPNSAHVAYPLSQGYNSGGPCPKT HPKRILSIFYEFHFTDNFEYKEGSRIWATGDDIGYSLHADFTFGWPKGFLPTIFPYGE QCAVDFSLENCPPLKPLMTTNGSACTPDPGLQIVNEDIGTNNPIVKLPGNNPIWGRSG PKKPDPNYKETAQFTTSTIPIPSGWKKVGCLAEPSGSRALTAASTTNQTMTPTKCLNF CASKGYTLAGVEWSVECYCGNSLTKTSLSAIDNNLACDMPCNGLDYSAGYCGGSSKLT IYQKSNSAVVSSSASKASVSTSKASTSTSKASTSTSKATSTSRATSTSTSKATSRSTS RTSTTTSKQNSTAKTSTTTKKTTSTSSSKSSSSAKSTLNIKNASSTVKTSSASTSTST KLAGHKRRELH
I206_05146	MSSLFALVALLAGVSVSADPFFVVQHGTAAFTTRLDPIVSPGGV SSHVHSVIGSSSFKNEYSYDNNRNGKCTTANIVEDLSNYWAPQLYRKQDNGTFELFKM NRANTYYLMRRQPDEEVHEFPPGFMMLAGNAKRSTYDENDYTNAAISYTCLGVSGKAD THAFPEYSCPDDLRANIFFPNCWDGVNNWLEGSKHVAYPASGGHDSGGPCPATHPKRI MSLFYEFHFTDRYDYKSGARVWANGDDVGYSLHGDFTTGWPTGLFPKVFDAGESCNVG FSIENCPVLEPIFTHNGGGTCTPDDPSVLIDEDVGEHGAIPALPGNNPVWSGNGAPAA ANSTVSASSVINSGISSSSISVASSSVSHKTGTSTSSIVSTTTFPSSTSASGIGAINL VATGTSTTSGGKCKAKKRRLPIQL
I206_05147	MASSTSLKTMVDLETNFPRHESISSDKTLSSLDNGEKVTTNPQT GDDATEPLDKVIGEKLVKTAEPRVKLSQSRKWMLLLVFSVAQYLDVAAVSGLFIFTDS IANDLGILYEASTWIVTSYVVTFSAFLLFFGRVSDLYSAKPVFAWSFFALGVLSLIIS FLTNQFAFFVFRALAGIAGAGTIPSAFRLILTIFEPEELHVALTLFGLSGAIANGTGL IIAGLFGFITAGGQLAAWRFFFRMITAICIPFALIALILIPKTKGEATSECTTSKDRL KRLDIIGTFTMLFAIVLLILGLTLGASYGWTTAKFLAPFLLSWPLFILFFIWEARLPE GYALIPPEFWKILNMPLMIFVALGIYSWWNINQLSLIERFLTVFDEEPIIAALRILPS GIAAVLVALVMPKLLSKVKSYRWVIATGLILCATMYILMIYSHGEIYNGQYWKFLFPA LFVGSGSTMAVFLGVNITVMTSAPASMSGVAGALLQVALQLGVVIGLSVQAGLLTLYE GNVKNFKNVQASFWFEFGWLIFNAIMIIFLFRNEKQGNDEEEEVTGKGNVPMIH
I206_05148	MTKKHPELRERSDSPRISTNNNSTDSIPSDKDNGTKGNGNRNVV LADRDRSRISRSQSLAHPRYPSWPREASYPFGEPGTTTGPSLSETQSSSDRPYVPLSH LQQDHHLQATDDNAYDSLVELPTPVSTQSLRFDFSEPSVTGPSPPVPVWTMHSQHIPQ ITLTSAQISLGLHLFLAHLAPSIPFIHIPTFDASSAPEPLQLSMLSLGFQFLEDVNQA QTLSSHCYERGKQILREDEPEYSGATPLITVQSLLLLHFYAVFYACGGQTKWGLQLCG KSVAIARREGLMDPLPSRSAVTSDLNALWTQFIKSETHKRTLFFVYQFDAAYYLILSE PRRLSHLEMKHDMPCAEGLWTAPDVSTWAHRSIIGTIDQSGPRGQRYIHVVRSWMSPS AQVPSVPVSPYSALLVVLFCSTSMREVSGWSTMTGKICFERFEALNASLQAIEPVVCG QAPSGNFAIETEVTWRMTMIELLLWNPSHTNGLVEQSLDAAMAAAITLSTAQSIIFTP QVSDLLSPHLLYFLTFLERTAVNPTELPWVTIYAFKAVLVAWQLARAGSPEVIQTVGI GGVDQMGDWVRRVFKKREAWRVGKLVMKSLEELESI
I206_05149	MPATPKFSPPSPNAEGKPFVPEWNPPPVTKLVDNFAQLQVIELS KMDSDDPAVVDALIAQVKAAIRDDGFLFLEDYGISLEQLHRQFALAQYLYNNISEEDK ERLLFDPDTGRWSGYKHPYGFKKHRGIPDGIEQFNWYPREWADQENRYLTKSVNRRLL ALFSRILELPEDYLWENVQSHGTPTGEGYFRHALFRPVDSATAKCAKGMRMHGHTDFG MTTLLFSVPVSCLQIWTRNEEWVYVPYRPGALVVNIGETLEIVSGGHFKATRHRVYQP PADQLHLERLSLVLFNSSVGDLRMTPAKESPLIQREGCIEEQGVYKEFKAIMDQGVPV PTNQQWREIQIAEATDPTDQERNRLGADQILHKGKLYQRREYFGVQVLLPV
I206_05150	MSRELISSEKFPTKPHNCPAVKVPGLVFCAGQTATGDIKQATRT ALQNLKEVLELAGSGLDKVVKYNVYLADMKDFAAMNEVYIDFLPQPTPSQSCLQAVAP GAGTVIEIECIAQA
I206_05151	MVSDGSTSYPTAHTTTIQNPRLRLLNALKKDEKPMMTFMAIPSV RHAQIVALTGLDGIIIDCEHGHIGDDAMHQSVAAISALGVSPIIRIRGPLPDIIKRAL DTGAHGIMVPQINTAEEAEAVVRYSKFPPQGLRGQGSAFPAIGHGLTTPEYMQSANQT ILTMVQIETAEGLKNVDAIAAVPGVDVVFIGPNDLAQSILGYVPAKGDEPEFTKALED IVAAARKHGKWVARLSNNGELAKEHKKTFDSLAITGDTKAIQNWYIAELEVARS
I206_05152	MSAVPAYNTKTGDEVTDDVKDLTETTVDYVDDDNGNGHINREPE SLRGLSDEEKQRLEKKLVRKMDLIILPIVGILYILNYIDRQNLAAAKLQGIMEDLNMT STQFATAVSILFVGYLPFQIPSNLLITRFKRPGMYICTAVVIWGGISAATAAVQTYKQ LLAVRAILGVVEAVFFPGAIYYLSAWYPKRELGKRLAGLYIAQQFGNAFGGLLAAAIL QLKGVHGIAGWRWLFIIEGSATVGIGAICALLMPEYPHNARVLNQLERDLAVWRIESE SGAAEGHEEVGILKSFGMAMKDPKVYMLIFMNMMSQGQGSIANFFPSIVKSLGYGSTL SLVLTAPPYILAGILYYFLSLWSDKRHTVYPLILMCIFVACTMYIIPMSTTSLGARYF SMMILPVSSVGPQILLFKFLNLHLARPVAKRAAATALMNAIGGTSNIWASYLYDAPPH YFKAFGTLIACAIVFALTLTFYRWFVLRENRRLDSGDLAAIEKVKRGGVTQEMVDLGW RYEMFDGHF
I206_05153	MPYIPPGTNQIQPISIPIEKTHQLALDKIPKDIPPNPSNEGGSP KTPRPAENDETNHAVKIPPSKESSIESISSAIAATSLYSYKSTPPLEDEDEYEEGIKT QNLSSPATSSVISLPEQQQDDKVKTSRSDSSLRRRVNEVDQEEVEEEEEKKVAGEEVE PSTLEDKPNTTISEDKTAFDLYRQGLYAYTHTLWIQAKLSSSRAERRRQSVSISGQFG AKQSGMERMAAKKALAKRLNG
I206_05154	MSRVNTYYFIRRQGEEKIYEFPKGMKMTAGNPFRNTIDENDPAQ AAIEYVCLGTDVTPMNAFPERSCPDDLRAQITFPNCWDGKNVWLEGSKHISYPAEGRF DAGGACPSTHPYRIPTLFFEYHFNDKYGYTPGARVWAQGDDIGYGFHGDFTNGWPEGL FTEIVNAGSSCEVLFEVGNCPPLKQYFSGIGGGTCQPDDPTVIVNEEIGDNSAISRLP GNNPIWNGGSAPNKSGSGGAASASASAPASAGGSTISSNGSGSTGQPSAAAIQPPSSS LAPQPVEDSLTSSPAPFYIPPTSTAAVEPPATTSQSGRWGGWGAKRRIDQ
I206_05155	MATQVDGRYTGIRSHELKEHEGRLYNDVQANYALPADQEEIDRL NSQHRALTMLYGAVIPEPIKANLIEKTKPRILDVGCGTGIWSIEVGEEIPKASIIGVD LVSIHPKNYPSNVSFEKFDILEDFPTSWQGSFDLIHARYLIAGIRDFEGLLSRLYKLL KPNEYLIIMEPQAIWNTANNDFQITCPNTAKICKIVYDTMIKLGIDPIPGQRVSEYIR SNARFEDVKTITLDLPLSPWSSDPRLKAIGQAHLPVTLSLPGAFRRLTLGSGLIDEKE YDEYTINSKEEIKRAEGQLIFPVWMISAKRK
I206_05156	MQGLVHYSDDSDTSPGPSNQAGPSRLRDQPVLDSPSTLSKLKNR PPIGIVITPKSPKRRRLSPKPNESSAKGKSKSHKSSKEALPENLTHTAESDISKSELS VQIPSKWGGEYEGLIQDEIIRIVTTPDEIEGLHNWGIPDEVNPGECSEVSKNKVEHFL KLKYENGEHINTRLLSSSAFANPHIYSKLVEFVSIDERSTNFPSSGWLTRRNLESLIP KYGPATLATQQKAKEEAVKASQAVGQRREIKFAPPKYKDNKRDKNGHSGYERDKHNHR DRHRDRERERDRERDRERKRHRG
I206_05157	MSEISPLQPIPVPPTPRKNANAIELIAGSIGGASQVLTGQPLDT LKTRAQTAPKGQFKNTLDIFTTTVRNEGFLALYKGMLSPLLGIAAVNSLLFTAYGASR RIVSPYPDLSIAQVATAGAMAGAANAVLASPVEMFKIRMQGQYGGSGDKKLSGVVGDM WNQYGLKNGIMRGYWITFVREIPAYAGFYAGYETSKRWFTKHYYPNPVPVWATLTSGA LGGVAYWLACYPLDVIKSRVQLSKIPPLKGHWLSGGYINHEFRAILQEGGIRALYRGL TPSLLRAVPAAGATFLAYEVAKGEFKL
I206_05158	MRITFPNPLGPPITLNTEYLNHLLPLNSNSNSNNNNNNNNNGIM NMIGGGGFIKRRQNFKLIILLFSLIILFTIHPKPPFPPNYNLEWKNENNLQQLSKSDL SIGEGKNGRYVKFDVPRGTGFNHQLQRVLLQHHLAVLGNRSLAFEPYIEDDTYLPFKI SRWPWRSARIPLSAYISTVLSGFERVCKSPRAVPAQYYRKVCPSYKEQLHTIMSESQP EGDLQLVSDGQSRIHQIQVLLAGSEQSCVRISGEPFDDEFFDSPASLDLYDTFVKSPV MKHFSFSPTVLDIINRNLHSLAPEAYPYDLDAAARTTSTEVHKTSSWKHILALHLRRG HGWEEVCEEKGKRAAPFVSFNKLPRLPGNENVPPPNEMVEATRMGLYKAKCLPETLDI IARARRMRKNHPLLRSVYILTDIDDEWIKEIKMWLQSEGWDNVWIGRHDIYPNWQDKE IGVAVDMEIARRSGVFVGNGFSTTSSNIVLLRSRDGIHPDLTQFW
I206_05159	MQAHRNGHTPPPLPRNPSRSMSTSTVSTVQTNYTQQSGLIPPSP IGGGSLASPVSLNSRQNSYGFGSAAGNGNGAGSDEIDKLGYMYSLRVAVLHHHMTHPP PPTMTHRASTASAFSLHSTPPLPPMPSPPLQGTSPNGSRLTFSSFSPPTTGSKTPEMG GTASFPSSGQTPSPGMSGRRKSSGFGLSLGRNKSDDGQSVKLPKEFLLEFWGILANED GDSGWKTSVITFLGLTKKGTKTPSGLNLREVPTLLEAFTQSIPPNVPGSAPAHAHQSH LLQLLYNSLPRSSFFSPLAKPQTEKDRDFLFRLRAEVQSYMLSASPNPEQDSASSGLS MGMGMTNGPITPTSPPQSVRRKSSAIGLGRISTHSQDSVKRKPSPIWDGDVNEMVDTV GQVWGIKRDVLDRDVIDIKRSGVLEQMYMADLKRAMTVLSSQPPPLTQSQKNRQMHLS QAIAGLLKDFPDLATPGSPNDYNNAPLSPTSPRGSETSQTSFFIPPRSIEVFGKLASK SAELGHSTRTRDLLEKCRDIWGIASRREKEKELETIIGRWGETIGTGRQEEIQLSKNI AETVKLLSYGVRPSDPLSPILSELLSTLLSLINTSLLAIFPTTSSLPPAPPPSLLIIF NAAPALFEGQSHAQKVLENTSDELKGAAIGEYVQAVEYLTGGVGQQDDGLRKVGSSGK DKLIEGFENVAGWIYNEIINVKKVWGKGLGSSLNPAAIIISRQLPLFLAELQVIDKPR GVASDIFSLYETTGKLLDLWDDLCPNQEHGFELDSFFEPHVMAWLKDTEGSQVHDWVS RAVGMDSNKHSQSVIDLFEFIRSSAQVILHELPLTEHKRAVFLIDYSKTVSLAVSTYA TTVLALFQHDINPAKVSTPTSEIQNKLGSKAGNWLAKGQQAVKSLEKKKVDGFAVPPA ACVKMTDMSAAKQSLEDLMYAMEAEDTARIIKQANSVNKSLPDKTARHVFTVTILRGE NLVGKGGSKPADSFIVVTDREDGSRLIKTRTLLGAEDPRWEQSFEISVGNIKVLELQA YDRQLVGKHELLGTSTFKLDSRAFNEQSTRDIVLPLSSTNRGGLVHLRISMEGGAKND ISYHLSTAARALDRSSLDMSRELIDRMSEYLRSQLSANNLNNLTKPLKDKKKAKSVLS EQDIESSLGGLFDYLNDNFSVFSVTLNGETKLRLMISIWRRIIDILISLLVPPLSDKP FHGTQLTSSEIDVVFKWLQLLKSFFNANESGIEHGVPLNQLQSGNYKDLIMLGQYLDL PTPTLKERASAAVKQASKPHSSPKTSNSTLSSGFRNLNLDNNEDIQIGRDENERMAEI LLRIARTRPDIGDFLSHEIGSLNRGRVEKQGGIM
I206_05160	MQFKFRANEDRGGADHGWLKTFHTFSFANYYDPFYEKFGCLRVI NEDRVLPLNGFPKHSHKEFEIFSYIISGELTHKDSLGNIEKLKKGNIQMTSGGTGISH SEFNENLKEQVHFLQIWSLPFKNELKPKYFTRNFENFEKENKLLHIVAPIGYEGVEEI RECKGLTPIHSPLHFFASLLSPNKSVIHKLLSSINGKLTKKIYIHLVQTSGYNTKSAS KDGKGPLIQISGGSELTKLGEGDGVFITSGKVGEEIKIENIGNGVGEVVLFEMDDE
I206_05161	MIHLLFFPLLAILAIASPLESRAPKYNVTAMNIALNRGSGILGS YSKCSGSLCSWMSKISDDTKLIDISIPGTHDTASWNYTPLKQIEYLKYTNLIYPSSIY KCNKESIFKQLQSGNRAFDLRIGLSPKNSNDLIFFHSEAILDLNSKFEDILFGFWRFL DENPTETLIISIKDENSTFGSYNILQQNIYNTLTTNQAKIYINPTESISSINLSSVRG KMVILRRFNSPNNIGIDLTNNFKDNNEDFQIINSSPDGDDNIFIEDLYEPFTNFGLKS HVNKKLNVTISHILNSSNKKNGEGLYITYASSEVISQLLIPEIMSNGLIIPGVNLGLK NWLINGKGKGLKQKGIICK
I206_05162	MPKKRPGPITISSPIPRSPDGLLTPNSAHRNYPSPSTRGASSPR TPTKNTRGGKNQAKVYDEVSLIWFLTADEFISKDL
I206_05163	MPISPFDSPSLGNEKDPLGGGGSGSKFGDNQFSSNHYLAPTLHP HGQRNKALPTTKSGKKYKNTTRTCIPTNPTKRKLCFFGIPLLLVIIAGIVIGVVIATQ HKPSSGSKSSSNGTKTNNGNGGQTTWNQYVEPSSGGDGDIVTTDLGVQFQYQNKFGGH WAQNPYDPYSVSGKAQSWSPTLNEEWIWGTHIARGVNLGGWLVTEPFIVPSLYEQYQT STPQAIDEYTLSQAMGNNLSEKMEEHYKTFITEEDFAAISSAGLNYVRIALGYWAIET IENEPFLPKVSWTYFVKAIGWSRKYGIRIFIDFHALPGSQNGWNHSGKSGSVNWLYGV MGIANAQRSLETIRTITEFISQDGIKQVVPLFGLVNEVMAKTVGKDVLEKFYYQAYQT VRGVSGYGAGNGPILLMHEGFLGVANWTGFLNGADRIGMDQHPYLAFGDINTESHAVQ AKTPCTWGGGTNDSMTNFGLTLGGEWSNAINDCGLWLNGVGSTPKYQIAGNDCSIYDE WMNWSEDFKKGIMNYTMSNMDALQNWFFWTWKIGNSTVKGYPTSPQWNYRLGWEQGWI PKDPRIAGGFCKILGIGGNQFEGTYPSSAVGSFSGTPTIASDQISSHSIWPPPTLGPS FIVDQISLLPTLTQTGSIVILPTATHPSNSTNIGNGWTNSQDTIGAYVRVQGCNYPE
I206_05164	MSSSLTIGESTPLANQVAGHDGVMSDASGSLVIKPALPREIAFY QTLNSSSREDPIRKLKPFVPKFYGTLRLEGQLSSEGDIKGLPGKEEIPESVVIQNLSY AFTHPNIIDVKLGKVLYGPDASEEKKYRMDKQARDTTTHETGIRLTGFTTWHEPTQSY IVTPKSFGKTISVSQLPEGMKRFFPLPNDVVPSLVSASPTKSQSELEIIPEKTGVSLE SGIPPTPITASNAPSSESASSENKPQEYTNHTIRPDCMIKVLDLILEEIDKLFSVLSE LEIRFVGASLLIVYEGDSSKLPKSLEKLEKKKALNLLKANKINEQRSAFSDDGSIYSS SNDSDFEDDFENEEGDDEILNEDEEENKIKLECSPIKLKLIDFAHTWLTPEEGPDQGV LLGLQTLKSLIEGRKDQIESAQKHS
I206_05165	MPLPWQKSHTSSSGGRVTTSNKSRRLRIFLSYAPDWALTIVLWG IFYLLDKINGYRRLFDITDNSLAHPYADPERVPVWLLAVLCGVVPAVIIIITAAIRRS FWDAQSALLGLILGLGLVATFTNIVKITVGRPRPDLFARCILPENLIENPLHSLTSWT VCTQSDDSMLKEGFRSFPSGHSSFAWSGMWYLILYLAAKMRINNRSGYTYKSWLLLAP LSCATLITISRTMDYRHHSTDVIAGSLIGIIGAWYSYRQYYPPIGSSQSYKPYSPRIP KDEEIPLHNRPIRSSMEGILNIQNESHHHTSGSSGDTVNGQQPIGMVNGGFESYEANR RDNWRAADSSKTQNNIVSSKNGKIGTEHDYGEGEETIQRSVDRAD
I206_05166	MSNRFNSKWQFLDYNQTQVVPYPPIFHLLSVILAFLAFAIIALW AAATVGYEPSTIFDTDINRTDDKHWFTTFIPRKIIESEKGKLCESAMFPTGSSLMTNA QFPSYTYTIIRYNRDPLYRLAVAEYRGSNLSVCAIDTLKITVKMVSGVVGIAAEVQCP EPWPAQLYTSINLDPGEIWAKTNLQDLALDLLSRLKVIFDSGQYTNNTTKGKWTMLNL ILRDMTLNALEEDPNHRLQTVPPLTLNAMRLSNAEDSTWNGTSSASGAYYGRPPEIYN DFVIPLTNFIQVFISSIYSDLGIREGNIYANLTTLNQLINAKDNVANTSILGPKDLIN TKELLSNIPINPYSSYSDLSIIRNNASVATAYLCHVTKLKSPADWIDSVAGLTLSIWG GLWTTYMLVVSYLSSRKAERMTDQTSISNDQGQMDKRTAFQSTSKSDTIDAVPLLLLR TEIPHPNSG
I206_05167	MNRSNSDMSVGKSGSNLVPHLNKNLSGMRIGKTKNSKWSVLSYN LTHPAKIRCPNPWPAFLKSSYAYKAGISSQLPHTQDAYRYVVLWLDGLAWDVIYRLGM IFDSGNYNDIQNRPSNETRKWSMMHTYFINPNITMMRVLNYTMLSGPPVTIVSMTVSN PDEVSWTCE
I206_05168	MRFLLFSLLLILPAVLALPTNKPKSTIENSMLSKISSYFHSDPA AAIITIQEESEGQDATTEDLSQEEEEYYKAKSKGATKVSSKYPVCESMMRSGFASYTG WKLIGDDMSGALPVSPRSHCLNLCHAYGNSCGGVYFDDDAYRCWLKGIKYEKWEFVET GNESDVLSLVGGCAAWGELVPEEMDEVCCRD
I206_05169	MLEPTIPHDLEKSRDSSHSSSTAAELSKNEEPESPLRPPYRSKY LDSMESPSISDSEHHDTFDSTLTTSRPRAFSKSKSPHIASSSQTYQSGGDLSRMVSGL SRMSSRQANQLESDLRRHISIHGKRRHSAGIMEDRAVVDLGNGEEEVIIVDWIPDDPD NPFNWHTSRKYAILLTCVFITFTGAASLVSVGILSQWGPGYFDVSREVFLLQLTLPMM AIAFTPMVLAPLSELIGRNMIYQITSVVNLLLFIPQCLSKNHNGILTARFFQGMASSV GNSMVGGTVADMFYPRQRGIAMGVFSVMIFCAQGSGIPAVGWIGQNLGMRWSYIILTV AAALNVILNAIVLRETRADVLLSRRAKKLTKKTGKKHLCAADLQKTSFLTMMRISLIR PFQYLITEPIVTALSAWIGFAWSCVFIFGSSVILVFEAYGFNPAQAASFEVTLAIAAF IGFACQFHQDHLYRKAAKKNNGKAPPEARLYWAAYGGLMFPLFCFIYAWTARAGVVHW AVPAVCLVGCYTGIFMMYTGVFTYLADAYEIYSSSAQASQSFIRNLFSGLFPLFSKQM YQGMGYQYASTLVAVIALILAAAPFLLIIYGKKLRKRSKVCSTLYKED
I206_05170	MGNKSSTLSKNNTHSDTKPDQAPKNIKKIKQNETSHELLPPTYT TNVFPELHSERLRRELIAIDAMRAEIRAKKQVEDGLPAYTEKK
I206_05171	MIRPKQISLFGIPRTARSTIRIRFNSSSATPPKPRSRGTKWAQR GAITAGIITGLWVCDDKLNASAVTRSLKTAYIGLLCTLDYKINFSPSKADQIEALHER VASRLKWVIDTNQGLYLKLGQALGLQAALLPKPYREAFGHVFDRAPAVSYDEVIGVFQ KDLSINPLDVFQTFSEEPLASASIAQVHKATLHPKIGGEGKVVAVKVQKPAIEKQMEW DLFSYRALMWVSEKLFDMPMYFVAKYVSSQMRLETSFRNEANNARRCSELLAQTPELR DDVYVPKVYGEAEGCIESDRIMVMEWVDGCRLNDRQQLEKWNLDLRETMDLAISTMSA MTFSWGFIHCDPHPGNILVRPHPTKKGKPQIVLIDHGLYISLPREFREDYCTLWRSLF VLDVPKIETIARKWGIALDANMFASAILLRPFQVNKGKKQKEKVPEKSQYEQQVELKE RMKKMLENEQLIPRELIFLTRCQRMMQANNQLLGSPSSRVNLTARWASTGYTNSLTGS RSLNSVGISTWLKDRLDAFIFKCTLGIVDLVFWFTLKKQRFLPKEKGGWEDKLQKQFE AMAKEEFGIEIDDTVFLG
I206_05172	MSDPDAERKAKAERAKKLLAQRQKKKKAEAAGASPAPTPGSPAQ SLADTTTNVASARTSLSLDDNARAELEKPEFESAQKDDKEVKEENKAEEQDKTPPPVK DEAMKEAEHLSKEAPENSKKKKKKGKKGKSQSGAEEDENDKDQNIEDDTAPPTPHSDD VSTFAETTMPLKSAHTQSEEAIPAEMVPEPQEEMNPPKITPDATSELRETISLLIAER SDLQDQVTSLQTQLNSAKGDSQLLAEGRDLISKLEADKATLEFRINGLESDAQKVSSL QEELQRIRKEYDSIQGEKDTLSSENSRLENELKESSEKEKERIAQLEKALERERARES GLEAEVGRLRQSNTDLSTSLENITKDLELAKGNSAFSTKELEDLQSAHKALQESHSDL TSAHDTLRSTHDQLFTSHTDLQSTHESSATKIKSLEDSLLSSKTELEAAKSRLSTISK KAESSEKKKTALQAENDELVKQLEEVRGKVVEAMEEKATMASAVESWEVKGKNWEKSK AELEGQVEEGKTLHEQVTSLAEENRSKDELIEKLRPLESKVDELETELLNLKNTLAER DQTIAKDKLSISEKDSLISQYENNTTLSNELENSRGEIESLKNELKTSKKNLEFAQNQ ISSKSEDQTKTNNKEENENENESISSSSRILEISNLTSKIRSLENELYESNKNLHNLT KQFTDLSLNRDSIQKERDDLLLSRNSISSPPIKRIQSNLNTSLDSILPPSVRHKRQIS LNALKARMEYNNKPSLNKVKSFKENEIEIENNNINELSEDNQFKLKNNLRIPHSQRRQ FGDEIMFCCPACEGDLITI
I206_05173	MRSRPILLRALKLRQTPLLAARGLPRFHPATQIQVFRPISTSSR LLEEAKEKSKESKENTKEKEKSKPPPEDNSPPRSPWAVFTQVLKEEIEKNKGWQDNVK QLQGDVDKMADSAAMKRARDIYEKTRITNLIKNNPRIQSAVGDLQKAGISVQDAVQHA LRDSEVLRAISAATSRFVSAATSATQPIRDTKTYQVIAESIEDAFDDTTGVSSRYGGY EEKDARRKKRELRAIRAAKAGKVVHKKVEENPEAGEALVLSDKPETVSRFAFIKESPT YQRWLETYYESDSPIVSVIRTVGTKVGSLFEENETAQVIKAMKEIDPSFRMDNWTGEL REYIVPEVVDAYLSADRESLRQWCGEATFNVLWATMGEYTKKGLVSDSKILDIKHVDV TSGKMLENNVPVFVITFATQEQLLFRSAKTGEVIVGSERDVEQCRYAMVVTRVEKELE NELTSGWKIVEMARRGAKGGL
I206_05174	MYLEVDDLTKKTKPSSATYTIIAGGNMLTSAGTFYRIGNTTDWK FCIASPTKEEIENYERMKSPDMKAVDFASLPKESIDSSTVVAKGTASETPQSIDFANE SGISLKVWLEPVKQTGSTE
I206_05175	MASSSIPILSGPQTPITSPRERSDTILTTSSSDSYPLLQTPPSA SILGVLNNDPYKPLISSPSILTRNRQNSYGFGGILASSPETNQRLSASPQIYPSHSDI GSSDHTEPNDIARNGHDELENNGQSSLSTAEIDDTENFHNGTRRSKFKAAVRQRLERS RSSLRNLRGSKNEETENNSGLSNPPSLISIDSLMPPSSINSSRPKKSRLKSLLTISRN PSLASIKSTKSVNAEPVTTHLPLLHVHSTHRLANLNEAATRILQTGHHEITVRDFAHN PNHLIPAAARARHLSDDATTQLDEGILFTKDRFMGTVSPVSDISRNHVTNSRNTARPK RITRPRATSMPLLDTSAALLSEEPEEIAEDEQNLFDTVLPREIKVQILKTLLDMHKSD VGNTRWSGEVGGKRELIKLSRVSKSWQHLCLDGQLWSDLNFTPFAHVLHPNTLKRIVE SSLPFITTLSLQGLNTLRGSILIPCLSPFDKSASFIDRTEGVRVWMPNLRSIDLRGAN RLTTTELCDILSGSPGLKIINLKGVQACNSEVIRTIARSLRNMESLDVTRCKDLTLGD IIFLIRAMDEHQSLKMKCLRIGGMKSYGRHASEFLPLLAKKLINLEIFDVQECTHIFD EDFEKFSEILQESKYKSRLNHLNLSGCKGLKGRFLYHLIGVLPNLRILELAELNEMFK GLSKNEKDKLEIDLIEFIKTIPIIERIDLDFTGLNGGISDKFLNNLLSNSNRDDNIPI GKNLKELRIGHSIDITSEGLIKLIKGLKNLEILEIDNTSADDKVLKTFIKFKSKGTIS IIDCRSIRTSELDKIINLTKCRNPNTSSQFKKNKWEFKPFEYDEKEFEILCTVKSFQS WRNTKIPINWRILRQDQNHKIENDEILQNKFLRKEKQGKSWWSTGNSTLEDWNDFEEE EEVEGVRGCIIM
I206_05176	MPSTQPREIVIVGGGLIGVSTAYYLSKNSNLHSESKITLVEQDN VGAGNSGYASGLLERTEDELSQEGFDLHYKLSKDYKGDLKWGYKSIDLYKTTSHSSSS SSSSLSSSIITFPFLPSTSLIRTKTETAICQPFDLTRHLCGLFLTYPGASIVIAKATS CIFEDEVEVENNEKEEKGISDMILNHTPCIEKITQFFNGTDKEDNKNDNDNEKEENEK INNNEKIRKRKIKGINVKQIINGVEEIIHLKANTLILSPGNDLINLSKNLFGEEISLN LKIKKKEWESIILKPKEKLNPLVIKFDENKQNLEMIFRDDGKVIISRPFQENSLNSYI ESISSKFNSSNGTLILSKTNWSISFLSDNFPLFGKFGEELDIDGIWYGIGGNTVQCPA IGSRLASEVLSE
I206_05177	MSYSKPPFEPTIPLESFKISIPDKDIEELKTLIKLTRIPKETYE NIDSEKKDFGISRKWLIETKDYWLNQYDWRKNENRINAQPAFITKIKNKDGLEYKIHF AALFSKNKDAIPIILSHGWPGAFIEFLGLMEYLRERYTPEELPYHLIFPSTPGYLFSS SPPLDREFSVRDVGYLYDQLMKGLGFSEKGYIAQGGDVGSHITNELGRSHSACKAIHL NMRVFLQPPKGTPENESQPFGIPEFLNKLQKYGYNLEHATRTSTVGLAIGSNPISLLC WIGEKFLEWSDESPSLEIILNFINLYWFTDTYPSCIFTYRYGFGSKRDENSAEKEFNN KPTGYSYFPKEITPVPPHWVKTAHNLVWTREHKSGGHFAALEGPETLWEDVEDFVKQV WPEVSSK
I206_05178	MKLSLTLLGLPFLSLATALPKITRTGKYLYDESGSRFYIKGVAY QPQGEAAANTEANQANGGFPEPESFHDPLSSPQNCTRDLPYLKQLGVNSVRVYSVNSS LNHDECMKTFSDNGIYVLLDVSLPLNGSIDRASPSWSTNLLNEYITTIDTFRNYDNVL AFNIGNEVVNQVSNTNAAPYVKAAARDIKAYLTSVGSSALVGYSATDGDADFRNDLAE YLTCGGNDIAVDLYGLNNYEWCGNANYNSSGWNTIVSGFQNIPVATYMSEYGCIFQPP RLWTEVAALFSTPVTDVFSGGIAFSYFPTSDGYGMVTFSSDGNSVTTSDDFTRLSTQY NATQPPNSPAQSSVTAGQNDCPNESASLVASNTLPPTPNQGVCDCINQKALACLVTSS TANSPTIVGDLLNYACSLLDTSTNGTANCDPIGGNGTSGTYGQLSYCSPAVKLSYAMS AYYEFNPIDSSCDFAGNATLSPTRPNTAQDASSAASQCLSAEPSGGTFTPSAVSSSGA SQTTSSGTRTNSASASASSGASSSGLRAIIEVKGSTLGGVAVLGAILGGGLMVL
I206_05179	MSKRPNSFNDKSPTKEEEERSKPKPRIKSYGMNLLIRSDENSSS SSTNSLDYNVIKSQLNLEENGNEIEKKQLDLISINGIASPPPKWLPTLPISSYNSIFS SSSSSSSFDNLPFLQQPSPLELIDSHIENHLSADSQNSQSGNYKSEITSPSISSSNVS TSPPLTPDSQYLPHPLGMNGFASSTGGGLPSPTGSTFTFTSNSEKMKEDCIMRLRAYT PTVDDYGLPADNEEIQRLDIQHHAMRLLFGGNYLAHVGEHLRRNSALGKDMRVLDLGC GTGTWCLEMSREFPEAEFIGVDLVPIQPDNLPNNCSFMIDDITNGLSYPDGMFDLVTG RLLVMGLRDYPSILQDIARVIKPGGMYVATEPDIDLILDNGSVNKDMKGWKTWERGLQ K
I206_05180	MFRNFGRLPKRHKYHNSNEEGMELPISIEDEIYELESNVPLHKM IFELDGESNQKQQKQKKQVQTCRNDSIFNSNSENVYELDGNEDNMKYSLTSEEMSQPS RRERTVELMGDLPEHFQREKGLGNENEGLNNDLLSDYEYMKFNTENNLSDYCQKKEGS MLNDKYNKKKEHDKYFNSYEPYSSVKDEELNLNSNLYFINNGNPSCNNLTIETTKSKE VPEIFPKVHNSQNRYIPFQTYRPHSTYTPNQTYPYHPLNQNFINSNDNQYIPPNHKFL RYKDEYKIPLNDDNDKSTAKSTEYWISPNSEIQSNIINDIKIPSSYNLNNSYIYPISP GISNSSNSNQLEEFRKIAITNSNNLSNFESDYIISHEYSSIQRLRRQSISLDLNISIQ VDNSKRFDECVDILSKSLSEINGIMNER
I206_05181	MTSSARPQSVRGLYTPPAEEWVFLPPSVSPPSTSSPSASAAPTH LPSSFNPNVEDEENLALPMMGRPFNLFLSEYLTTAMGMPFEVGKTLLQVEYRPRRKFV KEDELDIQSIEEKERFELQDDQISNPEEADMYFSDRLAQPAAPLLPPPELIAETDASG YLPDLHPSYLLNDDPEVSRGNGVWGMIRRIRYTPSEGLPGLWKSQILSTIHSFLSNLL QPTIHSCLLILIPNLEPGIGLDISLSALPNPGIPLTLQVSSHLISHLILSPLEIIKTR LIISPSNHSSNLNSISIFKQLIEKEGGFFNLYFHSNLLIPSILEHTLRPLLTLSIPLL IERQFNISPDISPITYSLMDLSLGLSSLLILLPIETVRKRLQLQNRGILTNGEKQKSI VKLREKNYIGVVEAIWRIVNEETGIRRKRKMTERDEGGWFSGVRQLYRGFGMAATAHV TVFGLGLVSQVLSGNDGGGWKEI
I206_05182	MPRRDLVRIVLVGDDGVGKSSIITSLIKESFVNNVQHVVPEVTI PPEVTPENVTTSIVDTSSNPRSRAHLLSQLTRAHVICLVYSISEPSSFDRVAEYWLPL FRREGVNIPVILVGNKIDLRGGQVTNQGLEDEIAPIMREFKEVETVVECSALLPLNVS EVFYFAQKAVLHPTAPLYDSREHTLKPKCLEALKRIFKISDVDKDGLLNAVELNQFQQ KCFSTPLQYQELEGILDLVRHSPPPAISPPGEGITELGFLYLHTIFIQQGRMETTWTV LRKFGYGEGLDLREDFLTPRFDVPYDCSVELSPLGNQFLTDIFEAYDKDQDGALSQSE LDDLFSTSPGNPWLSTGFPDTTITDDMGRVTLQGWLAQWSMTTLLDHRTTLNYLAYLG YSSSPATDLPTPTALHITRPRKQDRRARKVTRSAFLCYVLGATGSGKTSLLRSFVNKG FIGGDDALGGGYEPTTKVLSVVNSVEIEGAEKYLVLQEFGSKYESETLRNSKKLDMAD VIIYVHDSSDTNSFSYISNLRQQYSLDHIPAIFVATKSDLDLAQQRHEVQPDSYCRRL GLSAPMAVSARLGPMTNLWVAITRVALNPTISLARGPSSTMSPAQRVRMIASVTLATT TFTAVVGIWMRYQGYTLRGIWGWVGRISGLGRNQ
I206_05183	MHTSTLITLLPFLALPTTYALPGHFQHSEQKGPLPAFLRRQNSG ALNLPPSLNSPTITNPTIDPTSIITASEEISFIQTAESTLPSLWQINTIPQTLLAQNQ AGQIKATITSSPTSIITQMSTNFNSIDNDGMMNAANDTPSAFETGMTAVEYQGDSLLD FTSVSMEAIETAQSTSMDITAAAVAITSMVSGITASTTSSTIGQNTITSAPTSTFTSV VASSVNVVMYTSSSNSGSSSITQSANASASASISMNGSSGIQIDNVSSSPSAALPEET ESKGKKIRYKHCSETKGTVTEIKIEPCEGGKGTILDPCHFQAGKNYTITLSYISPEDS ISPRANLEVRDKTSSDGLTKFPYPGQSFDACQYTTCPIKGQINEIYKYEFTTLNNRFD QLTFNMTNGLDGNSIMCAYFPITFMPNMAGRSLKRNLPFGGIGSRW
I206_05184	MKFPTVIILALMYVTFSSAFPIDNPSSLSQETFKGICPNFDVRC VKDGNWRNPIGKLGSKPFCREGLRCNQCEESRNTRECNRTFKECEGECQAYGPIPI
I206_05185	MSNSSLNKTISALHLIPQPWSIYTFFYPLSIISQITQEMYEKYP YFSVTRGPDGISIVVAFPSEEKGIIVEGEVRNLIELGPGDAGRWFGPWKAIKIRGPLY IGLTGILHEFLTPLRKVEINIYAISTWPTDYILVPAEKLDKALKVLKEDGWHVVEPND HTESISLH
I206_05186	MSVRSTTPTSRPLETLKRLPPNKMTTPSAKRARVSIAREPMTPH PSSDIEEAQFPMKFTAVPIRVLHGEPMTPSTSTVAFSSSPNRRMMLSSSPTRFARTCS KSDITFDHDITLTEGTSLIFGRHRHSHSNSNKSSSSSISSSIPSHLLPLLAHPENQST VIHLSKDASHASRVHALIELIKARESDQKDIIRIIVIGQNGMKVKITNKKRGVRLLQG QKFDLALTPHQSAELDFFGSRVLIRVPPTFAFYADEDRERLFSSSPISQRNDMLPNLE SSMPPSSPPMLPIDMDDEVLSELEPEAEAIAPRLEISTAEPSAEHEEEDKQSRASSPL SPASESRLSPLPDLAEPAQPSISTEVVPEGEEEKQVKAERIEAVITKPSSRASSPILP VPSSVDLQAIIASTVVFSGSSKLSLPDLVKHMLESQPSLKEHGSEKNWSAWVGDALEN NEMFGKVERHGKDASGHPLLPHYYYNPAADPDVSRAKELGALVRPLRTAQRTGGKVID WRPVGRGRRHM
I206_05187	MSCEPSTKVDKQNNNKIGNDKFEILIVGAGIAGLSFLYNLKQSK FFKEGKINYRIIEKRKEPGLELGYPIHLSSESRKLLEKTLIKKDLIKLKKYQNKIPIY HDGITISNSNKNKQVYKLIREPNKRTMIERKDLLNIFKNSIIEEEKEIEYNKEVIELN QIENNKIEVILKDGERLKVNLLIGADGMFSSIRKLSSNLNGQPKKQKQDLLENLPWTV INFKTSCIQVLKWIKDPYGINTIYGNGFSATLIPLDSNNDNDEEDEIDISRNEVEQQI DEEDLRINYETNQPFSNSNKNEIDHSNELNTSKADTTNTHHELNQPNSVYIALTIPSN WDIKSKHFINSKFLDDLKKSKEWKDKKEFKLYSLKKTFTGKFENIILIGDSSHGTIPF CGSGTGNAILDSIKLIKILKKYFENENENDKKNNLNFLLNEFKKNIKKRNDPIIKESK KILWLIQGSNQFSKLIRFLIFPLLNFKEKLIGDRDKIEKELRNVIENDRQGIH
I206_05188	MSPSQMKRRYTYLFLILPLLSIMILKVEGKRYSENEDNEDYNSN NYDQYNDNDQVQNQNENENENANYNTSNENEFRWLSPLNGDLSYSGKPLKVIWKSNNK LNSPSISLCTFNLNLNTPDCGDETWPIIENVNDQNQNQDQNQTFSTIVTMPIISQTIE KLYLSLNSDGKMFNSPVFGLQGNSGSPNAYLASPVTTSLTSPTSITTAFSTASVSLND LNTGINGDLTDSTEIITSIITSGKSKSTIKATPISTITLYTSMNSQPQIQSPLQATLP PYLPISTQSIIKPNSNSNIAAASEIAGNIATVKENSQIGIKVIALPITICGIIFIISL IYCARSKVFKKNGSVLNNNKNIDKDVEKNWQDVIKEKASTGLPISQSFSTVTKGIEVI KNDYANERDYVIPSLGNKGRQCSDRSSERERYCKDEERFTRIPKVNHERSDRVDERRY IEDERYRERERRHRCNDTERCSRGHYDRRDEYRRRDKKSKDFYSTSRRSSSGIGGWYD DEYDKPKSKDRSRRESAYFDRESSYSIPNRQSSNSIPDRNLYFLSRDLDNYENSRKYS DRTLYSTASRRSSNERPNNQRNNESYLSNKSRELTNPFDPKFNENKNRPLPEPRIRSL TKSSISSNSLREEEEEYDLIKQKTLPHLSGGLRDDSRLYSNTKSKKSKIYEERERERE FESDTEAGWELANQGKYVTGEEGMSELYESLRIAIQQK
I206_05189	MVGIATKALRPTVIAPLVRGTRGHAVAVDPPYLPPTPSQQSTQS RPRYVDGDVRHDWRRSEIQKIFDAPLMESIYRAATVHRMHQDASRVQLCTLMNIKTGG CSEDCKYCSQSSSYKTPTKASRLVDIDPVIKAAKEAKANGSTRFCMGAAWRDLAGRKS GFEKILKMVSEVRGMGMEVCTTLGMLSPEQARRLKEAGLSAYNHNLDTSREFYPEVIT SRTYDERLATIEAVREAGISVCSGGILGLGEQDEDRVGLIHEVSRLPQHPESFPVNTL VPIEGTPLENNDPVKVHTVLRTIATARIVMPKTIIRLAAGRHTFSETEQAMAFMAGAN AIFTGEKMLTTPCSGWDEDKAMLGRWGLRGQRSFEDAESVATPMMTKEQQALHGVSY
I206_05190	MPMHKEVETCSNQDLHYLPSNQPVFSCKNCSCVIALQDELCSKG FTGSSGTAFLMNSTINTNLGEKEERKLITGTHTCADLLCASCGTSLGWKYLKTPTAEQ KYKENRYILEQARVVKENNW
I206_05191	MKRQRDTSSSSQPSSSRPRTRPKLDLSHWTRIQSPRHFSQSAPA LNRYRSDHLKQPQILAEDEYSAPSRMESFCPSSSERERNVEISNDQNDGHNPSNYDFS DDLPPLTHSPTPPSSTSESEYIFVDNFSLRPLLGWTTVKRINYKSRLVNNTIIGISET NVQINNLTAQVFSGMADMKAVVWQMFRPCGKIISITVFREYENLYFHNIQVDFEDAGG ATRALSLHRIYFHNLLWRKLRITRKTYNQANWRLVRPSELPRLQNSLLNLNKLNTVLP ARFEAEYSGLFPTNDNVIPLRRFEEYRSFTELGDVYTPLILTFGETTHDRGSKFALAW STPSPSSITDGYKSDIKDLAKTNARKAEILKRIQLEKARNGNEDQVHSDLLELMQHRE SFEYKNPLRSPSDKDRYTAHQVNKLGRPW
I206_05192	MVQAPEVTEYLKNKAKTLDEISDQIDEVNLLNLKKSHIKQLKEQ GKNDIEIQKALEEEFDFDGESKFDSEKDKTGFRQYENACDRVKNFYDEQHTKQTLEYN LRIRKEFKETVRARMGVWEALELLNTLIDESDPDTTVPQIIHALQAAEAARKDGKPEW MQLTCLIHDLGKLLCFFGADGQWDVVGDTFIVGCKYSEEIIYGSKSFKKNPDYYNKEL QTENGIYKPNCGLENVMISFGHDEYLYHICKTQSKLPKEGLWMIRYHSFYPWHRAGSN AYRNFMVEEDEQALKAVRAFNPYDLYSKSDAPPVVEDLKEYYQGLIKKYFPDELEW
I206_05193	MPSLPILCFNDVYRVSQKYNAQPGAPDDKSGGTTINVSQFAQLL FNERNKWPEKSSSTSLNGSKGKEKETEKDGLVLFAGDVFNPSVESSISRGSHMVPLLN ALELDVACVGNHDFDFGYPHLTKLIESTSFPWLLSNIIDERTGSVPDTLNRFWITERC GLKIGVIGLVEQDWIATIPSWPKSFKYRPMKEVALELSKELRDPNGPHKVDLIIALTH CRVPNDIRLCNELGAVANKDGVENEHGVDLLIGGHDHIYYIGKGNTSWEGYSGRHDAP GTTEDKGVRLIKSGTDFRDLTSAVLELTPTREGSIRRHIITDLKGKHLYVLPSSPSSD SFDSMVKSLLASVSEAIQKPVCFTLSPFDARSEIVRTQENGLGNWIADVLLHAYAESL FDKGKSDEKGVAEDNERMEGKCEDGADAVIICGGTLRGDSQYGPGKITLGDILEILPF EDPVVCLEIDGKGIWDTFEGALSKWPAQEGRFPIISGLVVKWDHTRPPNQRVISIHQL KRPIHGKNDDDNDEEEDEIEDPRDFVDFKEQEDGTRVVVKQKKLELGDEVKNDESRIY RVITREYMALGYDGFDALKNRKFVVDDENGQIMSSILRSFLLGSSYIFRHKQLESAAA AHLSSRTDKVLSRARNQHQHSPTSSVSSSPQSTKSFLSPQNSHHAAMQNSGILSPVSE RSTGSAWGVLKRHVVQHDWSTIRDALHVAKNEHMSSVDEVAGQAMRQQKQAKPSAPHM PGQWESRSTTPLPIPDSDKPAKGAQNDILVNQEKRELDNLSDDLAIVCPLIDGRMKDV SADKD
I206_05194	MDQDAFRNLLSAPRPAASGSSSRGVLGAPPPKRGWGLKTKEGYE KKKEVNPTKPEFAPRKYHKKEHQQESNSESQYKDRAEQRRKGVENSEYSDVTKLLKDF EERKSKVKNSEELEELEKQRAYLGGDVEHSVLVKGLDYALLASRKAELAREKGEQIDD ELDNLGQGLGKKSIELKVDNKEEKLGRGFKSIAQKKIEESEKGEKKKKKKKKKVKSDI PANTVEEPKTEMKDDVKLSEPIPTQVENLPATIVPALKKEASPLPSDDEDIFGDVGEY DLKAGVGDSDSSDDGKMDIDIKNHDEDISSRGRSRTRSPIRRKSGYGPRSPGYRHDRS RSRSYDRSRSRSRSRTRTYRDRSPIDRRRRSPSYDDRRRSPNPYSRRRSPSPYRRRYR DRSISYSRSRSRSRSPYYARRRSPSRDRYRSASRSRSPRRRGYARSKSPSPIPKGRYS TSRSPEPVQKRERSISYTPEPYLVEPPRSPSLSNSEGEEDGGGGIKYGEKLKPLQGSI ITSVKSFLEEDIKASKEEEKKMKKAKWRAAQGLSIQDGANDLLNQDKKEREDKHKQNR EYQLLMNRMNKKENNKD
I206_05195	MSNPLLRIILCGKTTTVGKEVIAGLKPEYEVIRFIISIEEARKE IPLLFSGQTENLSKEEGKGSHDYSKIPDGVMLGQGYDKTNAENLEIICKQQNLKKIPF FLGDRTKPSPPYGPQYGPHIMKRAREALERWRTHPHKEKIDNPILF
I206_05197	MTQSARSLEAEIPNESSSKWYINHLDAHITASKRQILGQTSILN PNKRSAYPTIVPPSNALWTPEEKEIFFASLRRHSRYRPDLISAQVGTKNEDEVDWYLD LLEYGAEITGQVDHNRRHEYPEPKIRYDGLRSWRKGLAPSARETSDRWIEIEEVLAKS VIKDIQQREEDELSIITKRNRRVEKRELAQKLEFIQDEDKLTPYKREKLFEEHPSYKG MENKWAIDDYLKEIDGVKLSELNGLMKPDWSTWYSDRIKPIKSFNYKPPPLDGENNNR YASPQERAEAEEADLETNAIAPKRVDPQGKIEMDQQNYLEIMAIPKKERTNEQRKLLT AITNRRRNREKYRIQKLMEEGMTKDEIDSAGGADAIFAGREKGDTAIAQPVSRRIKAK PESSAMVGKLRRMGMYDHLMMSGVEVFNFDMIRKVYKEQNPDRPSNISFSVLQGIHKL LVNELRRLIYHTLFVAEQAYLQRPGSEDDQAPEVEASHVHQVLLTLGLNHPGVTIIDF LERIFDEEGGDEQDREGTPRASDDDQDEDEGSEGSCDREDGTEEEKVSLRTHQFESSI FPPGEIPWHHLPHFDPDLNDQSTEDTEESYQVDEMSDAATELEGKELDDALVKLDEAH DATYERSLWKHVKKDTKGLDGDDRAEIWTMKYDGAKNDKSDQHLAEKGYISLLLSSDV ARRKRKYIELIHCRYPTTRIKTLARANKRLKSNAWIIDSDSETEDEGGYVWNPNQEER EDYDSDISESDEGSQVSNDEDALDDEEQDELEDEKGDDAVDEDDGEFETEKGDPEE
I206_05198	MAQVTFAQEWEGSTTATEGSKTSASEDSSGLARTNNLISSEDIH AVNMIPGHLTLRPRQKECIPNKITDMSHIEIGKRGNTIETWDERLSNRKLPQICVLSP SEG
I206_05199	MTQDSKHLVPSLSPEDDLDLPPPSYDSALASSSSGAGGSSSHAP TVEDRIIPQHLLHLFSGPCNGEPHIDNESANSIEYRQNGLVVETSDPKLSNPNVMYDF IRSQAMILPAIKIRCTGQHPETSQIDQTVWQNGVQVSKKRGETYYVTDFNFTIDLTDI INHPTNRNHIHLRTIPADYTTYRGDHSLRYGATFAPDHQNAHGEYQSLDTETEYLPTD LGRISTRAEQAEIDAWNVYRLKKGIPGWVKMQDIPEFWDSRIASKAPQISLDNDIENA RRAVEDQPSLKEWCKIYCRDIGIFREFWIQKGIYGWELESLQAAISGAILSTGYQSNY ITIASEITPKAIVIRPNNVFSRAMNHGFIYFLSWITLIWPMIWILKRFFPRFFGAPWN VTFINYGMKFYPPLPSTFPNESISAAQDRLPSLYKLHPELPENPTLQYGPKGVHYLLG RKEGEWFREWEERIRMGVRMKFTGQLEGGVTDGQNVGAGLDGY
I206_05200	MPIGPSLPPHLAHLAGQRSPTPEEEGPTRPPPAAAANEDEDDDD DFGPALPPHLVAARKSKPAGPALPPSVSSIGPSIPNAGPSRPYAPPNNDDDSDDEVIG PMPSASTGQEESGSAVKEFLEREERRRKQLEEENAPKEKKREEWMLVPPTSGVLSSVD PLRKRPTTFSKSTREPESVDHSVWTETPAEKAQRIADEVAGVKRKKDKAGERIMSFDE EQEERRKRRREQEIKSTLQSHVRGPSLLDQHASKLSKKKKGDDDAPAIWDHDRDMGVT GRLLTDNERQKKIKDARGLGDRFGHGKSGAYNM
I206_05201	MSSIEEDQPMGDDPSTNSSSPWWSEFNEVNNKLRDLGVTELTLK SLLHTQSPTPFQYGIRAILDQHEQGYNKSSAQNHFPDSSERYDFIWTATRKWIQEYFT TSSENDIFEVQSKMVKRGLADKLDESAISELIKQLTSKSTHAGSKRSGESLGSDDPVQ QPKRRFLTPGARGEAC
I206_05202	MSQQTSSDSNSAAPNSAAKVEEMTNKLLGEWRTMEENLSKHPTF KDAQKESKKAHVNLIKSSEPYPNEESCPACRTSLESVEDLPGRLNPSVSSDLLELGGD TVKSFMRVYNTYYHTDLFQLSDNRDTSPEESDHTTEEEDAESESSDSAVKDEGC
I206_05203	MPGLPPTQEDIDQFVAITQASPEDAAHFLESGTTLEGAIEDFFA TQTASEPLIPDRQDDEDADLALDSPTMSDEPSNSNTAAPTGGPRTLGGEAAAEPLPAG WGRPERSRFGRLGESNDDEDDAPTGGDEPEELYAGGGRSGLAVQNPDDPRGTGNSLVD NILRMANQNGPRAPSAGGVQGAPQPASAFRGTGHTLGSDEAPSTAIAATDSSPAPTGS GAPSIPSVAGVTPSMMDNLLAGMFGRGAGGPAGAAGYPQQEQDDEEDDDDDSDENVAT RRLTFWRNGFSIEDGPLLSYDDPQNKALLQAIESGRAPPSVFGVRFNQRLNVEVAQRR REDYQLPPKKPAKPFGGSGNRLGSPAPEVTSSTASMPGNLPQGILVGGSGSGTPTSSG NAAAGGQATFELDASKPTTSIQLRLGDGTKMVAKVNLTHTVGDLRNYVSASRLDARSF ILQTTFPSRELSDFNETIEAAKLQNAVVVQRFT
I206_05204	MAGSSSTNGYSSGTNGTTGKASNPLNGLVPRKVSVAQAKTIMDG DVNPFKGLAPFSASYRKILDQRKNLPVYQKMQEFLTIFSENQITVMEGQTGSGKTTQI PQFVCYSDLPMLRGKMVACTQPRRVAAMSVAKRVADEMDVQLGKQVGYSIRFEDMTEP GTTFLKYMTDGMLLREAMNDPLLERYSTVILDEAHERTLATDILMGLLKDVAKRRPDL KIIVMSATLDVEKFQKYFGDGQPSGIAPVVKVSGRTFPVETFFTQEPENDYVEASIRT VLFIHQAEDEGDVLLFLTGEEEIEDACRKIRAEGEELSNKGMAGPLLVVPLYSSLPPH QQQRIFDAAPPARKDGLPGRKVVVSTNIAETSLTIDGIVYVVDPGFCKQKVYNPRIRV ESLLVSPISKASAMQRAGRAGRTRPGKCFRLYTEKDFVKELEEQTHPEILRSNLANTV LELIKLGIKDLVHFDYMDAPAPETIMRALELLHYLAALDDDGNLTPLGQIMAEFPLDP QLAKMLIVSPEFGCSNEMLSLTAMLSVPNVFMRPASQRKEADLAKAQFTHPDGDHLTL LNVYHAYKSNEGDAKTWCWQNYLNQRSLAQADNVRTQLKRAMEKFDLELCSTAWEDKN YWNNIRQALTCGFFMQVAHKEGEKGSYMTVKDNQVVRLHLSCGLDTTPEWVLYNEFVL TTANFIRTVTEVRPEWLLEYAADYFNPESFPENSETRRALQRVLNKKTGKYADGGSNI DLKKKKKKRKAE
I206_05205	MANPNSNGTDLNPTQPTLSTIEELVATYDARVRPVADEYLEGCW QLAASTIMQHDSRRADEISGSQADYSNLVIADKVYNLRMDYVEWSENGLGAVREREGY SCITKSDG
I206_05206	MSQQSEKEEPLQKLCRRLADIGMTNTIGHDAQSRPGPMSGDCSV CSSTKKKVSQADEASGLDEEDCEKARQYIWGSAAVPHWESDESVDEDALFNEDKSVHA SDSNDAGGSDTERESINNNGKRVRGD
I206_05207	MTDNNPNTTDLWPERHDKAWHQTEQEVTNEHNLHHTCGNQATGG MTDHNPNTDLSPEWLEDIWRPTEQRITMGNIFTNRFWDMFGQTFADHCNAGSADLSTS QEDCGLCTEYSRQLSPLMTEYGLGQVLRPFARCPGQTYKDAVLATSKWSLREIFHDPS RRSGGSTGRAMS
I206_05208	MIRGSSSKIARTRVNASSRIATRGMADRPIIAANKNFVPIRTNL RGSALLNTPRLNKGAGFSREERQIFGLEGFLPYDVHSLEKQALRAYNQLLKQPSVILK HAFLASLRDQNQVLFYKIMQDHLKELLGVLYTPGAAEAVANYSNLFRRPVGCYISFPN QDGMRAQLEGHLLDVNRTADVAYDSNNPHDAIDLVVVTDAEAILGIGDQGVGGITIST SKAALYTLGAGINPNRILPVVLDCGTDNHALFSDSLYMGWKRTRIRGKNYDQFVDRFI QNCRELYPNAIIHFEDFGMANAYRLMEKYKNIPMFNDDIQGTGAVALAALIAAIKVSG ARLSDQRIVIYGAGSAGMGIADQIKDGLMILEGLSEEEASRRFWCVDRNGLLVESMGN NLRHAQLPYARPDSEVEEWAKSEGDNDGVWLMDVVKNVKPTVLIGTSTHSRAFSEELI REMGKHVERPIIFPMSNPTALCEVDPADALQWTENRALVATGSPFPPVELGNGKQYTV AQTNNALIYPALGLGSILARSKTISNSMLMAGVNSLASLSPALTNPEASLLPDLADVR NVSVEVAAAVCRQAVSDGNAQDLNTIKVVQGKGSLTLEEYIRSRMWDAVYRPLELVD
I206_05209	MDFNAFGSTSGKSSMRRKSLLQAFGKSSSSSSSSRQSAIPLPSS SSSTYRQEGTSSLPGSLQSTPLTSNTNTFNHDELYSPSSGIDSYSDTFSLNSKVHSSN YNHNQNSYGLGNSSLSNQQQQNHGNRSISANYNLGGGSKDKSNQKEKENSEKNLRRPE DVFKLVRERIMSWSYLCEWYQGDTHWLNTVRIPRSTLEQSIGPKQLENRARNFYILGI SLSALFDIPSSNEFLKALIKLLEEWEGFSDSSGGKGVKNLFRGQRGNRKVTAGGTVMS DFASGMDSTESYLMNVNMPFVLDFYQTHSNLCSIIRDIYKKLLGMFLPSSPSSSLPTN PNSKSLLHPSTIIQSAPLEIPFGNFGPTPKSPAASIATATFSNQQHQMTSPISEGHAG SQGYFGGTAAPTFNPNHHISQSQGIDALQLFIAGELPSDRTLVGDGQKLTPQVVEMFG KVDTKLKKQFSALLREGDTLAKKVIDDELAMILDSLNPGSKPLNFDFNAAIIGSGNGW YDSNSNNSSIKINHNIGTVGGYGGLQGLMEEDRRERDFGTI
I206_05210	MVAAFDPLSPSLASSSKDKSTFKTLTRERQNRHPSKNSSDIPSL DELVSPHIQSFNSLIEDQNGLLNGNQKGLLQLGIEDIGEKVIFDYKPFNENNQLGTKI SYRIDRVVLSKPLVPEKDKLAIERRIFPSEARERLTTYRSRLTVNIRWTVTGPDGVSR EHEEIKECGLLPVMTRSIRCNLQNLSAEELIAHGEESTSFGGYFIVNGNEKIIRYLIL PRRHHPLNLYRPSFAKRGVGYTAYGCQIRCVRPDQSACTNTIHYLSNGGSTLRFAWRK VEYMIPLMLILKALVNASDKEIFEGLIQGEYDNTFLTDRVELLLRGQKTWNLKTGEEC LDYLGEKFRVVLGCPEDWNNIQVGSFLLSRVVLVHLPNPRDKFRMLIFMLRKLYSLVS GASCADNPDSPQHHEVLLPGFLYGMIIKERFDDCLNAVKLQIQQDMRQNKARSFSDPK YFASVLAKTNWDIGAKLSYFLATGNLVSPTGLDLQQTSGYTIVAEKLNFYRYLSHFRC IHRGAFFAELKTTDVRKLRPESWGFLCPVHTPDGSPCGLLNHLSHTCKIVTTQLDVSH IPTLLSAHGMTQIFASSIDGRRMVCIQLDGRVIGYASPAKSKQLANLLRKMKTENDPK VPLDIEIGYVPVTKGGQYPGLYLFSSRSRMMRPVTYLENGKLDHLGTFEQVYMDVAIT KQEIENGVTTHLELDPTSMLSVIANLTPFSDFNQSPRNMYQCQMGKQSMGTPSTALNK RTDNKMYRLQSGQTPVVRPNLHNHYGFDNFPNGMNAIVAVISYTGYDMEDAMILNKSA HERGFGYGTVYKSDIFDLKDSIGSNRKSTKPTLHFGLGRDIKEDHTCREIISEDGLPK IGSRIKSGDSIAGYIDDISGKTKFHKYKGDEIAFIDEVRLLGSDSGDSELQKIHIKLR IPRSPVIGDKFSSRHGQKGVCSQKFPSIDMPFSESGMQPDVIINPHAFPSRMTIGMFV ESLAGKAGALHGICQDATPFKFSDSDRPVDYFGEQLKAAGYNYYGNEPMYSGITGEEF QADIYLGLVYYQRLRHMVNDKFQVRTTGPVDPLTRQPVKGRKRAGGIRFGEMERDALI AHGTSFLLQDRLMNCSDYSTAWVCRTCGSLTSLGFEELNGGSGNNGEGMKEYCRICDS HLSIENNNKENENQIKFENENENDIGKSNKVGVRMGSNDGLIRKGKMDVVAVPYVFRY LCAEMACMGIRLNVTVT
I206_05211	MEVNKEEAIRCLTISSRYRSNSNLTSALKFAKKSVSLYSTSEGE SMIIEIEREIESGGSSSNSNSNSNSNSNSNSTKSNESSKSDKSNSSESKGKTSGIEEH ITSAHSRTGHSSSSTSTTKKDSIPSSNNTSKKSYTAKQLEVVKRVKGCKHHQYYEILS VEKSCTENDVKKAYKKLALALHPDKNNAPGADEAFKMVSKAFQVLSDPNLKAAFDSNP SYDPTQRNPGPSGGGGGMRGFGGGGPGMYQSEINPEDLFNMFFGGGGGGGGFGGSPFG QANVFTFGGPGGFQAQYGGRPRRQNQGQQAGEASSPVVALLPIIILFLFAMVSIIPSL FSNQQPDPSYGFEKSLKLNLNRETSNWKVPYWVNNQEWQNSEIFKSIPDNRKGKLNEG IYSTKLRSFERGVENVYARKLQNECQYFLDRRQQSINENSGFFGIGANTDKLKEIRLQ KSPACEQLRRWGFAVNQGTW
I206_05212	MLQTIQINLPSPLLAKTIHLTPQQTISDLFTYTELSFEDVYLRT RSSGPLSPSTSISSLQHGDTNHLIEINVCARLLGGKGGFGSQLRAAGGRMSSGKATNM DSCRDLSGRRLGTIKEAQRQAELLESAPALRAKLAAEEKSKLEALERQLGISQPDALD DQNGEGSSKRKVEDVNLEELAAKKHKFDDNKFLEESREINDNVRNAVSKAMLLKKKKK TASASATAKSDVKTKGREKVEEITKKEKEKMAMPPPALANVA
I206_05213	MSAKIISRPESTLPTPALSPSNSIGPSPAFSTVLQSPAVSEFEL ENPFDRLEVSPDGKNDHWQIPECWGHRGASASFPENTKASFVEACKAGADGIETDIHI TADNVLVMFHDPELHRTTNGKGLIHKQPWAGVLEHVRTTKAPHQPIPKFTEVLEILLQ PENLNVKLNIDCKVENDPTKLFTLIKGVVEGFENWQTKLAPRLILGLWHPKFITPAVN ILPYLPRYAISMSIDQCRKYFFDVCHGFSIMYTALASTEGSKFRKECQEKGKWICAWT VNDIEEMKSCGRWGIRSVISDKPELWREIRKEIETDRAKALKPTLQSYIQPFLSAKYY WFHRERLAREETEYLEREGGTFDIIIPEISLGIARPSSQ
I206_05214	MPEVTNFISKDYSSSNSIEYLKNLSKYQKRLKDENNNKGKIIGL NHYADEIPLNNSERIKRGLPLKPPTNFIQKSIKREEKQRQKQRRLLNY
I206_05215	MSDPTFTHEFNHSAFKGKVEIPTGLYINGKWSKSIDKNAKTIDV YNPSTGEVLTSIPEGREADVNEAVKHAHTAFNNVWGLHTPGFKRGELLIKIAELMERD LDILASIEALDNGKTFTAAKAFDCTEAARVFRYYGGWADKIHGKVIETTEAKLAYTRH EPVGVCGQIIPWNFPLYMFSWKIAPAIAAGCTIVIKPSELTPLTAMYMTKLFEEAGVP PGVINVIVGYGNTVGSSLAAHPDVDKVAFTGSTAIGRLVMEEASKSNIKKVSLELGGK GSNIIFEDANFEEAVKYAAQGIFFNHGQTCCAGSRIYVQRPIYDKFVNAFKEATSRLK VGDPFEPTTYQGPQVSQLQYDRIMKYVASGKEEGASVICGGERHGDSGYFIQPTVFGN VKPDMKIVREEIFGPVVVVSPFDTEEEVLASANDSVYGLASAVFTENISRATRVSAQL KAGTVWINCYNELHPQIPFGGFKQSGLGRELGEYALENYTEIKAVHVNVSGKCGIPL
I206_05216	MPPSPAKKSLWSEYTNSEGRKYWSHATTKQSVWEKPDELKTPFE KALAKTQWKQYTSKDRPYYVNTATKETKWDLPAELKELKEKVEKEEEKRAQGLERIIG SPDRSSRSPTPEDIRELREAAAHALAPYGKLPPSSTDSPAKIEAPPTPKVPAGEVIVM PPGGFAEKSKAEEAFIYLLKREGINEQWTWDQTMRQIIMDPLYKALDTLAEKKGAFEK HINSILDARRQVKQLRISKLRPVFHKLFSNSVHIKSYSTMKTADQVFANNKYWREAQF DERALILEEYVDDLKRMEQANEKGLRDRNLKTLSDLIRTLDISVSTRWRAAHDLIVSS ATFKQDTDLQKIETIDMIQVYDVYSRQLEIEHEEESKKLRIDNVRKARKAREGFKALL TEIQEQGHLNRLTKFKEIYPKIKVDERYNALLGLQGSNPLDLFMDHIDDLNEEIERSA EKLQRALSKEGKEIKLETTFEELEEWVKDAKIENQFEGRVRKEVYDLVHGKLQQAAED ETRRNERRRRHRIDDLRYALKKVGRHIDLEMTYEEALPHMKDLPEFKDVTDEEDRKAA FDKFVKRQKEKLREAESSEHGSTRDRDRDRDREDRHDRDRHREKDRSERDKQKDRRYS STRDMEKDESMDIDEKEHKSSRKDRERERDKEKEKERDRRDSHRDRDRERDRDRKRGS VGPEEKDRERESKRRRMSSNSDRKGKDDVEEGEI
I206_05217	MKIGLCEDQPINSSDKSEKSSFFDLFHHTNVKTDSGRQGIAYSS GDCYSEDDSLSIQSRGSERKPNENPSTRMESSYQAIVNEWKDKLRWNGLDEQSYL
I206_05218	MSNNNNDSSAKTTTDQDYPKPATCASGFMTEVKHQDRSSIGLWN LSCKASRDLGRQGRASQQGRMRREREEQEEREKREKAQREADDQQRENDSKASSGLSS MDDRSDTAQASPSSPSSEDTSGTRNDPSGSSSKDI
I206_05219	MADFIPPTPQHNEDEGDVCRVCRVEGDEADPLIYPCKCAGSVRF VHPDCLKQWLAQTGKKHCEICGHKYAFTKIYPDQLPESIPITVYIRQTLLWLYRQQLW VARCILVVVTWLIVLPSINMFSLRSLLWIADHIGYTESSTPENSFTGNTTDISLSNAT DLLNATVESNDTVSNGTRGLTVGDITDRPISTLFGIFKRAIERWMKGDENSAISFVLR GQILSISLAAVLIGLILLREWITQHNWQEGARPQIVEQGDIIPEEWMIVNGIARRTTD VMAALLGKARADRQNSELNRGQRGNLPDSREERLEIKEQKEEAALRRAQNQEEEAKKE QAGEVRKNDSFGLLAELKAGDDEQKKRLKTVATGLQEYVRSHQLAEQALKSTREEDVK AGEAFDQLMDQALAGRRPIQLPSAHGHFKEEEDGDAGPSRSRPDTNASDESRHPIKEN DDFEKSLKERDEVAYKAPELLKKGKDREYRGETSQAGSNTGPAAEGDIPLFLPPSPTR TVSSASSPSNNVFRAGDTILFDERGNLIHDLPRAASPDQPVMPPRLPTPHDAENTDGG EDDRDWEDEPEAEIREIIENEMPPLGPGPGLEIRPVQVDFLEEEEEPWDRDDWNGILE VVGLMGPLHGLFQNVLFGVIIMSAAISIFVGLPLLIGKLFLSTDIIRTILSTARRTLH LIRKVTDPLVDIVFEIFKEVVALPVISSLRAVETILARKMGLGDPSSSNQRDILSRLF DILSLSASSSSPTAGALTGQHQGRYAGLFGDALAWLGQTAYDTYAAYVAGKRKISIGN TVSNRMFTVFSGYGVAAAIVGLIALPGENGGSISKELSKIVKDHAMFLKLAFFMILEL GAFPLGIGLMIDGCTVPLWPGATILGRVAKLRASPFGVMFLDWLIGTMFMYQFATLLS HIRTLCRPGTLFFIRDPADPNYSPVKDIVEKSALSQLRKLWTSAVMYSVIVFTLFGAS CWSLAYMPFVNLLPLKLNPTFGPLTSIPFDLLFLHLVVPPTVTYIRPRYRASKLMNIW WKHTISLFRLNTLMARRNTTSDHSTLDTRPNKLEKVWPILDPVYQVLFGKYNNESTKS RVPASDQVILLPPAQRKAEGGVFISLNENGVPFTPEDKLRLLKQDRRAREASRDPIRD YEVIHLPQYWRTRVHTFIGTTLVTSALVLAFGAFGPIVTGRLASGLLGGSRSVHDGYN WLFGAYIIYLSFLLGRSARRHIMTLSRAARIRRSARSTRIKRTLIKYLTGTYGLVTIY GVIPFFVGLLGDIYGSTFSWTRRDSAGGRIVVHFWDTWSIGIVICSLGVGLMSNLNKL KPTRGSLLDKLKVQFKKPFKEDLITTHKVVLPAIGCLLLVNLSPFAITSLVALITKGK YDDVVYQALLQAIIPLLFRIFIIIGIKQYLNTGWQDMRQSMIDAEYVVEERVENYDPS KEEQKQKKKNEKKKKGVILEEEEEEIEEDWEDENDNDLEEDEGEVNIIRDDVLVVE
I206_05220	MRLATLALIASPAIAAPSLLNFQSPSELASQALDTAQSWLSDGL SAAKEQWDTIENDAIDQALNVETVNMNGIDYMSLTHPEFPLHRLRVVQPELCDPTVNQ LSGYLDISETKHLFFWFQESRSNPAKDPLVTWLNGGPGCSSTTGLLFELGGCNIADKG ENVTFNKFAWNDAANVIYLDQPVGVGFSYSDEGEVNNSPAAAEDVYAFLILFISKFKQ YSKQEFHIAGESYAGTYIPNIASVIYKNNLALDLAPTPGVPKLNFASVMIGNGLTDPH AQFGSVPDWACDHTLSPYAPYADPDGPECVSLKAKAPRCQNLVAGCYKTNSKFACVPA ALYCWSLFNEMQQLGLNMYDVRKTCDKSPDKDGQLCYKEMGWMETYLNKPEIKAQLGA PESITFQSCNMQINQNFLLQGDAMHNAGALLNELIDNEIRVLIYSGQADMLVNAIGCS RVVDNLQTKYSTKYSKASQKKFINSDKKISGWTKSAGKGAGNLAFVSFANAGHMVPHD DPIGALTMITKWLKNEPLA
I206_05221	MSGSISSSDQLIWKKILATKNKYMTMPQIEASFPNMLKKAVIQS TSALVNSRLLTTSKSKDDDKTILFHAKTPDELKQKAAMTNEQQIVLQVINSAGERGIA SAAIHRQIGNDTIPQAIVRKTLKSLEGMQIVKTFKPVNAPTTVYYVMANMKIPEEISG GIWFDNNQEYDQGLVDALCQVLKRRVYDLTYADNKKRSEDQRELIPNALSVSTKNHNL LTPVALKNYINKLKVTSVELSTKNVMEVMRALELDGFVESVKPYGMSISFEDDYLNGM AGSDDEAESSRKRRKVVDDSDNEDDDMDDDEKSRRKELDHRKAKEKAKEKKRRERQKE KEKKRKEEKKRKEEKKKREKEKEKEKKKKKREKEKAKKKKSKRKDSDSDSDSDKLISL NEESSSKRKKRSRSSSISSISSSSSSSSSSSSSSSSSSNSSADSISSVSSNEIDEKDF TIKSKSSNNLNNSIPKFFPNGLSGGLTDLTDISIIYKSTNRLNKILNGQNEISCGKCP IFNFCEENGPVNPSKCNYLNQFLNDQIGGWSKDILLKMRPDLNEELPSQSQKHQNHIN GNNNEFQNENELNDNYNEFNNLEQQGENFEMNLEY
I206_05222	MSSQSDALDNIFQRLASRNEDVRIQAGQDLRDHVTSYTQEYPGH DGMKGVWAEVFHKTFDFTRSNNQFERLGAIVAIDHLLDLTNDDTPDRAQQKVLRLYEC ESSYMDVVTVLADEWRIGDMVRSAPALHNEAFLLKEVGQALTMLEGKLQEVGRFSGVL LLHAFAVNAPAVFQQWVPKVIEKIWIPLRDSRTMVRERASKLLSACLDIIKTREKSPT ETYRKIFEEARSGLVKANSSDAVLGSLLSFGAMLQNQQLSMAEYYRSICELTLKYRDS KEVVIRKAVIALIPSMATYDSDEFEAHYLHRSMAYLLQALGKPTDRDIAYVALGHMAV QLGSKMRPFIDDIVKILREHLRMRGKKNAPFEAPIFQCLAMLTTSVGPMLTRQMHDVL DLMFPWGLSEALFHALEVIASHIPPLLRTIQERLLDSLSMILTGHAYRPLGAPTPRAG VQRDLSLLQSSAGGQPPETLTLALKVLGTFDFSGHTLNEFVRDAALPYLEHDNSDVRK EAVLASTQLFINDPICHQTSSHSIEIVSDVLEKLLTVGITDPNPLIRRTVLENLDEKF DRHLAQAEDIRCLFIALNDEAFHNRELAIGIIGRLAQHNPAYVMPPLRKSLINLVTEL EYSTNAKQKEESAKLLELLIGAAAGLVKSYAPTILSVLLRTASSSETSVAVQAHCVMC VGELARVAGEELVPNVQTILTLVIDMLNDQSSTLKRDAALKTLGQVVSNTGEVIKPYL DHPQLLGILFRFLRTETSQAIRLETIRTMGMLGALDPFKHKLLHGGADDPNTENASSR VNDIVLLNQHNGSVNEEFFQTVVIHSLVNVLHDPTYKDHYEAVDAIMMIFRTQRLRCV NFLPQIVPAFLNVIRIAHSSRTELYLKQLAQFITIVKQHIRNYLNDVFDLIHEFWNPN STLQITIISLVESIARAVEGEFKAYLPKLLQQILRSFDGELTAKHLPELRLNTLLHIL RAFYVFGSSIEDYLHLVLPVIVRSFENPLAPDSLRKAALRTTGQLCRKVNFSDHASQI IHPLVRTLGNSSEELRATAMDTLCVLVLQFGPDYAIFIPMVNKALVENKIVHPGYDQL ITKLLNRERLPPDLGPVERFANDLSSESLAPAEQMQLKVNQQALKLAWDCSHITNKVE WLTWITGLGHEMMRESPSQAIRAARTLALSSLTFGKELFNVAFYSCWQELFESYQEDL WHNLELAITNPSVPPDVVSIILGATQFLEHDEKEVSIESRVLGDYAAAFHAYAIALHY KEQEFFLDPSTAVVEDLIGINQKLQQSDAAWGTLEWAQGHMEMTHDVMWYEKLGRWEE ALQVWNERSEDPDSTYDESAVALGKLQCLHALGEWEELSDFVQIRWANSTQDEKKLMA PLAAAASWSLRQWDLMDDYIAAMKNDSADRNFFKAILAVHRNQFSSAIRHITKARERL DGELTSLTGESYGRAYDVVVRVQMLSELEEIISYKDHADEPERQATQRKTWQTRLEGT QRDVEVWQRILQVRSLVLTPNEDMDTWIHFADLCRTSDRLNLAEKTLTSLVGFPYPSM DPESRARAPPPIIFAYLRMAWAKNLQSGNKEERLETLQHLRDFTDQLSTDVGLGARDQ YGRLMLPDAKMYGEYTKLLARCHVELGQWQAALRESQANSDPTNILHDYSLATELDPE WYQAWHTWALANFQVISQLEVSQAGLSSAHFTGYIIPAVEGFLRSIALSPGNSLQDTL RLLSLWFTYGYQQGVSAAISQGLHTVNIDVWLEVIPQIIARIHTPRQTVQQLIVRLLH DIGKAHPQALVYPLTVASKSNVPARRAVAQGITAKMREHSANIVDQAELVSNELIRAA ILWHEMWYDGLEEASKHYFADSDIPGMFAVLEPLHEMVERGPETLRETSFVQSFAHDL RIARDHLRRYKIHGDLTEIQQAWDVYYSIFQRLGKQLKLLNVIELQYVSPKLMAVRDL DIAVPGTYQSGKPVIGIASAVPTLKVISSKQKPRQFSLRGRDGKEYTYLLKGHEDLRQ DERVMQLFGLVNTLLNADQECARRHLNIQPFSVTPLSPSAGLIGWVAHSDTIHVLIKQ YRDQRKILVDIEHKLMQQVNESYDSLPLLNKVEIFQYALDNTTGQDLYRILWLKSRNS DVWLERRVTYTRSLGVNSMVGYILGLGDRHPSNLLLDQTNGKIVHIDFGDCFEVAQQR DKYPEKVPFRLTRMLIHAMEVCGITGTFSRSCEVSMEVLRENRESLMAVLEAFVYDPL IAWRLNATDKRPGGVPEGDDLDDPAAYAKQRKTKANETEILSEVERGTEVKNDKALQV IERVRRKLTGRDFKPDIILDVKEQVEKLVEEATKVENLCVAFLGWCSFW
I206_05223	MAPTHSAHLTYPKSHQFTPFSQVYRPIHATASESSSDIEVTLDG MSNDINVNAVTQAEFTMQSKESSDIDSQDELDTNTNYLRKPLGNFYEEHPDYSRQPPR PRFSDGFGAIDRHLNGHRSSRDLKPAEPITIFGQADQAKAYGHVKGFVTPPLEADVRS PLAPTPSTYLRTAEQSHTSLQPSAHASRTIPRPRAVLPDLSQFATAEHIASVIASTPR QSRSDGLPVTQPFSLYGPGYTDDDIPLPQPYNTEYPHPLTAYASPQVNPNVVKKSGYG QLLQLLVQNNLPLTLGSRLYNAGFRDLESSVYLMFSFGASQGNGIPEALWSKLENSTT TNSSRLPVGIAGVSPFARPSATVGEVPPRFAQAQAAMQYGMLTPPESTFDHDYFSKYT PPRSDPDYDPTPRPSPEFYRSIRHASSTGHIGSPLQNWESHNRNGTSPFYKTELCAIW QQVGTCNYGTNCQYAHGSEELRLPRHLQNAVRRSNNPDRVITRSPQSFETFDGVSYLK PAKSVSLQSHTRFEPMTIQNNTSRYHKVIEPRRASCPPQRLLALSGIEGSNSDSASAT RSQLSAGIPPPIGAERSTTNIVSGTNTPFSRQSIPSTEKWEDMPAFNLADSSYSQSSA IGSTSTQLRSEPSTMSRSLSTSSSGDYSLFSQYSEDFASKPFFPINEDLIITNNDFGN GKPRNVMESNNGQSIW
I206_05224	MSMRKLAAEIDKTLKAVAVGVETFESTFEKLGHATNSTQKEKTE NDLKAQIKKLQKMRDQIKAWIGNNDIKEKGPLLENRRLIETQMERFKALEKEMKMKAF SKEGLIAQSKLDPAEKARRDMISWVADTIDELSRQIESTEAEAEGLQITKKKKSGNDR LSELEELNERRSWHISKLELVNRMLENNSLPVEDVENVQEDIKYFVEANAEEDFDYDQ GLYDELNLQEEEDYIHDYGHVDDLSNVDEASVADVSESVSSSAPAPKTPAKEEPTAKK GTPASKASIDEPPSPVSAKKVPSRKATMETKKSTESIPPVPPPATTIPVPTPKATALP PIRYAAAAAAAVASSPTVTNTPSAQVETSPSKAKDPLPATQLTESPNEEPPTPAPPAK DESPKAREASPANVEGHINGNALPPPPGLATPSPLPPSQSPAPPSHPTTQNSAPAPPG YAPQHAESSRAAQQPSSTAQAQGQSAQSGVMGNLMHSFEMAKEMSKRRTNDVHELNNA LDGSYSNIPQQLDSEPPRYYHPKNPIKTPSYYPQARLPILEDKTIYSRLDLDQLFYIF YYMTGTYEQWLAAKELKRQSWRFHKQYLTWFQRAHNPQAITEDYEQGGYYYFDWENSW CQRRKSDFRFEVSF
I206_05225	MVNLMRAAIVKVFSTKHSLTLPSAALQYIEQVLLDNEIPQDEWV VGLEFWAREYLKGEDSSSLVSLPALKKAYESLQLGTTDDTDQADPSEINVESHFSVIG SFDMPPVHFDSVRGGFTTGKGKASIAGQASSRSAFLRERWGIIKEIILRNENFTPPAI GGHDRSNYLKLTSTRNLLGRAGQLFLLFGMLSRDPEGRLCLEDGEGRVVLDMEDAVPG EGLFTEGCMVLIEGEYTIDETIRVLAMGHPPSEKRDIARGLHGHVDFLGGGAMSLKEE QKYIPSILANTQVSFVVLSDVWLDHPRTIPALRRMLEGYAEAVEYRPMAFVFCGNFSL KGWEGDGGLKRYTNGFNALTDLLMEFPLLHSSNFIFVPGPLDPWSSTTLPRPAIPSVF ASRLIQRIPKARFVSNPCRLRYFGMELVICREDLMGKMVRNLVGVKKEEGVDMKRYLV QTILDQTHLSPLPINIRPTLWEYDHALRLYPMPSALILADKYERYELTYEGCHVFNPG RFVGSGGEGGGEFEWSMYYPATGRSERSALTLDL
I206_05226	MRGSGYWMIRMEIILKSADFTTGEEAAAKKASRSFKKYQYRGVE LDQLLDLSNEDFIELVHARARRRFQRGLKRRPLGLIKKLRKSKKEAGPNEKPAMVKTH LRDMIIVPEMIGSVVGVYNGKTFTTVEVKPEMTGHYLGEFSITYKPVGHSRGANMKDS RL
I206_05227	MRSISFSLISILPLIGRALGGTCSAKGASTGTGVVGVAAVATEG TTSSVSSAIVDSTAKVSSSTSNTDTASASSATSSNGNTTSSKDPTISPGSNPNFKFKQ PDKEKCDCGYKVSGLGDIYMPFKFEFNFSSLENNEGFKSGDDLKEHGWRINDGKWVGG PNSTGLTEGGQPESISHCIGDPSSLSIKDGNLLLNMKGAQTAAPNQLHCPEIIHDNAT LYGIFQSEIQWTDTPGTCQAFWMNHTNPNQFADELDIEVIGGAIMNPNKEGTAPGIWS TNWDPAGDPNSPLPNKEYTGIPHASGENGSGQPTTFSEDPTKDFHTYTIAWVPGTYSP RYMDGKEIGSPNQYNAIHPMEATFNNWSNGAKGWSAGPPIEDSIMKVRSVLFYYRTEE VQSLPSNCKVEDVCTV
I206_05229	MAEKALESLSISSLPPNINLPPLPKIQDLNIERKVFTHSSYIAK PKYSIQLFENEDESRDNEKLELLGDSLLDCAVVGLLQDLYPNLNPGIATQLKSNLVNN SILRELCKYYQLNEKLIAPPEQLTVLRNGEKVLANLFEAYIAGLYYSYLKHGFKNSNS SSSISTPPKSPSLFPTISPSYIYNDIDFSNREKKPTRGEAIDYLDKWLRPLFQPIAKH ILLQMKEKEQNARLSGTTEDFDTDKKAIGANARLNQWFEHKEKGIPDYAHSRAGDLWK VLCIATDRHGKQWYGEAVRTTVKAAKAVAAYKVCVQFESERPDFKG
I206_05230	MPKSDFEDDIINNSYQATIDKLDNLPRLPDTTNELIKKSAMTHP SVPLGSGINPKYRYDKLAFSGEGIIFTFITALLQDLYPEIDKESASALRTKLTSPSLF SALSVYYNLPKEMTISRHLQDKVCHSIKSTSEMFVAHLGGLYYAYEKEHLDASNGERL VGSTDGTQKKQSSQDKDKSKKKKLNTGIARPALKSRDTNIADELIQAESNEVNHIQAS SQSYIQLIPFLKAVFLPLAQALHDPTEDENRRLKAMSEGSKAELHLLLGRDKMQMPIY TQDKILPEDGKGESGLNWRVRCTVIFPHQQIIIREEGIAPNSKDASNIAAYLALQKVR EIKGNSGKRKRGESDT
I206_05231	MSEQIPTSALVLLESNFVYPPLPPITDPKLKKAVFTHRSLVNSL PSDLQIDWESYDKSAHVGDSLLDTFITCLLHAMYPTARPKVATDLRSKLVNREINSHI SRYYDLPSKVKTSKGTSGTFQLSNDEVGEVYEAYLAGLFYSYLNLKSLTSNDEIDFIG YGKAFEKLSNFLILIYKPLIKSLYDDRINKFDYLIEISEGSKSELNTFTQKFKISQPQ YGNPELIWPYWIPENERKGNYGKVWQNTCTVFKKDGTMITCTGMAEGTRVTAENVAAY LVLQQLKGQGRNTY
I206_05232	MSNVVTAAPSTGSTPTATNIFARPDTSICSHLSSLLESANKATQ DYQLPQDGMNGSVPAPAPERKKHEVEKRFTETVRWGAVAEGAKRRKTSSPACHSCSTT LTRPWACLTCPYIGCLPFIGVSSSSTSKDCMRSHWQQSNGSCGFAVDPSSGAIYCSSC SDTIYPDQFESIFRIVRIRTEEMHDKSREPGVVGGGRGRGRGPWKAWNPTNMVKIQES EVEKSSCRGLRPLLNLSQTCFLSAILQSLIHNPLLKAYFLSDKHNRHVCPNGSRGLSG CMCCEMDRAFEEFYNEDKSPYGPITMLYAMWHASAELEGYGQQDAHSFFLAALDQIHA HAKGQLSSCNCIAHQTFSGQLLSSVTCSSCSHTSSTIDPILDVQLDFPYSPLAGSSSA TIASNTSTSSSEGISAGNQLTLAGLLRRFCANENIGETDGSGKGFECSKCGGGPGTYA TKNLAIKKLAPVLSFQLKRFAHMTSSSSKIESHVKFPSSLNMRPYVDSPSPTSFASNQ SVSEDVKPNIDDETQPAEDNVLPDSLFMYDLFAVVTHEGKLDNGHYWADVREGEEWWH CDDDKVTPTTLSSVLSQKAYMLFYVKRSLAYAQPMSKLLSTTAPSASTNTANTTTNNV PLPPVLNTVNSSGNVSTITS
I206_05233	MSNTNPLLSPPFYVIPGIDPNSPISAQTEQIDQLNTLLLQEIDA NFARFHQIVTSRILPEIKRFAIAGEPTREAAQFWRSFFEAASSIRLPGTTDTASHLQQ DTSTQYDDQTMTLRRDQDDTAAHPNDESGSSFIFDPSATSSTPLPAGRTGVHESWEDS MESPFDRLDRKLRDELKIDQNYGDQSALSSDLPTPSLPSGYSLPHLSKNASSISSWNQ TPSFQNQNQPQHQNLDDSQEEYSTGTVNPEDIPITSQNHQPSRPSSATPKANRTIASS SASTSENPFGANFSGIADLRSTPLNAGKFKSKSSKTKRPPKQSILPGINDEDSSDEEG GIPYGMSPPVTMKFSLPPKAQAVFNVSKTPAKSSSKEKDKLPVKEIHNEGEKQAKFIL DDLLEEMGSELSPRLDTPEGLGRYSIMPGDLIPGEGKLLFSQERIPQQSITEEENGEE ENTYHPNVHSNLGQQHSRMRRSTGANTSFGSDIIDLPVGQQVYSAEDSFEGDSFGDSL DDEEITSSNTISHTGTGTGTGTGIATGTISSVPYSIYENNIADNSYLSSEGDLTRQGD LSEAGVIFGQQPNYLPQQQQHQQQIHNATLAGGKEGIGRRKSQFELMKREEMDTYFGG KLEDAAGNDVLNSPTNQRKAGGL
I206_05234	MWSSRLLISVLVAARLGLSQQLAFTGNSAPQQDNDHAYGAGAAE DGGGISVNAETIVSALTASTQHTITLHLLQRAKCIPLLAHIGNATIFAPTDRAWTDWA DKHRPESEVRNELSSGWLGQGGLQDWLKSEDEVLDMRISNTNHDEEWERNMMDNQNWA LRQHLLYHMFNYTLHPTAFIPSETTPNTTIETTLLFPLAEEPQLPPTPEPGPPWLPRG GEGLLGGHGQRIRLAKIGSEHGGERGKIGLEWNGDNGVTFWDGKGWEDDTPRPKPNKT ELADSNIGKGKDENKEKFKGIRWTRNGAVVGINGVLDMPRSIEDIIRTHPSLKYLSHL LTFNNLPGPLPDSLATSPHLTFFAPSHEAFSAAFDDIEKGYLAGPYGEEGTARVLAGA VVLGVGKDCVGWSDALVNKTIEASSGLNLTVQSSGQGDLTINGTDAEVVDIFASNGVI HIMPNLLLPENFSLLNSAEKMLLSLNATRFVSLLRSANLSDTYIGSSGTDNHRRGKED EWTILAPTDDVLDMMDKWGGEWGAPIPEIWAAAEAGNVELLTDMEGTAKQPIKDAAPL AALLQYHILPGRLLPSDIKDGMLLGTELQTSLLGGGRQRLRVDVPERFEKDRNDWETI GEGEIRFGGATVLGKPVKIGKSIIYLISSLLSPPDDVLQTAVSDLQLSTFIAAIYAAE LDKSVKRSPATTYFMPRNRAFNQLGLAMQYLLLPEGKDELRKVLKYHSVNGVIYSPEV EYGKKVYTTLEGGVIVLDRTKGKNGSITLSSPTKWEGFDSGESLPANGELRSTKIWHS DALTNTGVIHTIDTVIMPADVKITNAKLIRGSKQSTMGDLMMRAGLSWILEGREPTKK EVSAAELQGRIASIHSQDDNDGDNDEGDEDRNIEELAMPSYTVLVPSDKAFSRLNLTH YLNDKEALLNLLKLHIIPSTSTILSQEGGKKLQQPIKPPQDGKPISLDDDLIYETLLS TKSKFGKLAFRATGDNSFIVGIKGARGGGLKDNKGLEIGNSARIGQSGRSTVRWKKNY KKDLASHPFDFDMAKKRKDKKIEDEDKEQFDKLWKDGMTLGGGVLMIDNVLIPYQPSW FSRWGWLVITLSGIGLLLIITAISVGWWWMKRGKEEDENQYEPLEGEEEE
I206_05235	MIFPIFLLGILPSSLAVLTLSSIPSPTPTASPGISLNSAIFPST TKIPNKRCEGDCTFGGTATTLEASIVTSTILSTTSVPCYITTYITNSKTITETIYSTE ILTSTITKEGTIFIIQYSPTPILMSTEFTSIMEITNTFWSFWITSTGDYSTSTSSGSE ITYGGEVNSNNSND
I206_05236	MSARTSLLSLRASARTAIRPIASRSFRSAAVIRQGQPSVAPFSE PVGINPADKSASLSSPLHEYGQYILTCLPKYVQQFSVYKDELTLYIPPTAVVPTLTFL RDHSQCQYKQVMDITAVDFPTRVNRFEVVYHLLSVAHQSRIRVKTYADEVTPVPSAVG IFSGANWYEREVWDMYGVFFSGHPDLRRILTDYGFEGHPLRKDFPLTGYSEVRYDEEK KRVVYEPLQLTQAFRNFADGVSPWEQVGSGNPNTRPEEFKIPPPPPKEENKDQKK
I206_05237	MSESPLNNTNNNQEINKPDAVILNNGTILVAAKDEEGAEVEKDE IPIEDQPKGDLTKIISAKKDDQIAPAEAPGSEDEVDGAIGGDTPARGSSPTPAEDDQP IEPESVNADIATVTDFPPTPTIAEPTLFDPQPSQADPLVRAATPSSRTSTPPLGSSAL TKKKFSSVNVNQKFLSKAGSPAPTAGPTKISSVNGRQTASPVPIASSSSRLLSTKLTT VPSAKSSVSSNPPTSASSSPWAKPVVPLPADSSSPRAPIPSAASTPTIHQPAPTRARV LGTTTAPAMGAGLVSAMVPPKPAWKAVSGESRKPGLGISRDFPTAKEVAEGKKAAQTA AQAQAAHNQAILQELNTFTTLDPGAHRWDEEDEDDDVIDFGDGIGENPHYMNTSIHDA PESHPVSKSDRFAEDFDRSWPRRPLPPVESNHLANLPQRPRPDGDTNRVLFNANSNRL EAPRPPPAASIQPTRLMSRPTDSNGRQQPPHLANGRPLPPHLAGGQTGDRSLPPHMSQ PPAEPRSQPGASAAAPPTRSAWNVPRENDRHLPPHPADRQELSTQSSAFAQSRSPEKP TSHLPRRSFSQAAGPPHVASDSSSGQPITSPTVGIDAQTAEMHTAAEKAKARRLAEEA EREAAAERARRKAKELEERLRGLASNVESKTSQPQSSMAVKETPQITLAQRPKPATLE QNTQSQLTLPPRPDIGDKQIAPSGRSTEPSWRNKAQAPPNNEEQTANIPSSILSPLDV QSRTNRPTAESFFEAELTQPPKMFISSSADPVAPKKEAVFDDMLARIQAAMVEARNTP MPAQPIIDKSPRQSSRSPEVTPAQSRASQPAQQKPTSIFVQEYFDVTYPEPPKSPPPA WRTYTIRLPKSHPPRPSVARGRLLAAESTRPPISHAWLMSFNPPLDGVNSTSLSRSEL LLPQPILRRFQRSEPVVSISPRQLEPFEKKIKKKPSNIDSNRVAAEIPNVSAESLLPA PTTLKSQSLRNQRNRADDWRQTESSSTAEKPLSMPTDDHARMEIPPRKTRSPIKSSAA AKAEREGRFAFDGVTIGVPLPVKETAVTVTDKPGVRFMVSSELEGDSLLDEVNKMSLE TLDEDDKTQQGDIGESAKTSGSETPKTPPLPRPASPNTATWPSTSLSYPASHSPARSS SQHDHSALKSVWQSQQPSAKPSSDLNAAAPIYPSLNAPSSTDPTSAQPLPGVGGMKMT FSTSQGFSSPGAAGPSVSTSNPFSSLRPSPATHSPYGQFTSPSPDNLNQHIGMNYTSM SNPQRAGTNGFQQGVWSPTAFGTSMASPGYGYTAVPTKSAMSIDQKPPVTMGYGAKST ENMMYAGYPSTTAAYSQQQQYSSAQGYGTGRGVNQSMYYGYGAPGQQVGARPVNAAQQ SRFVNSQANGTEYSSPQQQHQQYNLDQGGYYGGLPNQQQQQVMYGTNSYGHQSHGSVG QNQISRGVGATRKMW
I206_05238	MLAISSSFALLSLLLSCELISAKPHHSPLERRKVQHRRHRNQDI SPVPPSVPLAARKDDNVVYVDVWSTTTVTASSSSSSSAATSATSADDSKPPEVIGAIV ADQDGNSPNVVTVDVTSTVIKTIKQGEKEQKPASTSQQQVQETNKPVKTTLTATKAIP TVGAIAISTGKGITKTIQETYTPTREQYTTETALPTNGYDKQAQKSWIDLHNDRRKIY GNVPSLQYRADLVITAKEKAELCNANHTKVAENLQWGDGIGTPYSAIRDWVDKEAQIF DFNNPTYDDRTGHLTQVVWKDSVYVGCWIAMCGSDTKVGKGATGDHASMIQL
I206_05239	MSSNQLEVEPNEISPTSFGSRTRIVDSSTNEGGTNNGVEILMKG QSNVEDYSFYESKKFSICFFALCLNVMIFGLDQFIITVAVPQIVTRFESLDQVEWLNT AFFIPCAGCILIFSQIMTIASPRWTYLLSLAVFEAGTAICGAANSMFMLIIGRAIAGL GGAGMWNATYLIGGEIIPFEKRPSLFGLFGVSFIVASVMGPLVGGAFTDMGPSGWRWC FYFSLPIGGLTGILLLLTLPSIPKLPPFDGRPDNRSILMKLIRLDWISAVITLGFVTC LGVGLQYGGITHAWNDVSVVVTLSLALALFLGLMSWSYMMGSRAMIPLTLFKNKHFAA VTWVAFLGYGVVVVYLYYLPLYFEAIKDNSATRAGVLLLALQLTSALMQSPNFAETIV QRTGQAKYPMIIGSCLLAISSGLQTMLKKDTSVAMVVGFMIIGGVGLGLVMNVMVVLV QAKFLKEPHLIPHVTNVFNFWGFIGRIISMSVGTNIFNNKLRSGLNGLPNLSKSLVTS ITAGPKAIWTQGPSGDLNPVLDVYSSSLTKVSIALSSSPPLLHLADHLVIL
I206_05240	MLLVTILISIFTVLAIATPLNPRATSCSCGYVLTKYNNVYFPKS LTVSFDKVNSLSALQAAGFEINTGWQMGTSAEDGGYALGSSKNIGFKDGYLTLTVPGG QKKGKSITGAEISTKTIFGGGIFTMNAQLSKVPGTVQSMFSYTSNYEKFGDEQDIELL ASSFLTANPDNGTPPGIELTNYAPDNSGNNEETIVPFPNNPTEGFNNYTIGWIKGGTQ YYYNGKAINSPKKYSSINPSYIILNNWSSGDPNFSMGPPAQDSVLKGTSRDSLNH
I206_05241	MPINQALVATGSRGRWTGRPASTTAAISASVSSIANTDEEENTA TLSASAPNQISSYASPSVLVDPIRTSSAAFGTASVSVASSQNTTSTQTGNCSCGYILT SYNNAYFPESLIVDFSTVTDKSSLANMGLRIMDGSRAGSVAPDGGRSLTSIDNVAIKD GILTLTVPGGQAKGGQISSAEIETIFAATGGVFTMNAKLSPVAGTCQAIFTYTDNEDR SLDEQDIEIVAITPGMIQLTNHDPNKTKKSDEQKSPFTNDPFTSFNEYTIGWFKDSTK YYYNGAVLNGPTQYRSVNPSQIVINNWSSGKETFTQGPPVDDTVLQVKGIAYYYQKES MATYPAYPNGCSESQACRV
I206_05242	MSALPDSRQRAPFAHQSSSADLRSAHNISSLRSPPPSSHPPAPW IQSTVPEPQQSTQPSYRPEKSALWGIPMTRKDSNEIPSNGYADNPYNLNVPSDNTYNP DNTRGGSISASSSRASSPSPFSLPKFTVPGFATIRFVSLCFLWYTCSAVSNNTGKVIL NNFKYPVTLTIVQFFFVSGCCILCSRPELGWTPRLRSPTRSILKGILPMAAFQVGGHI FGSLAISRVPVSTVHTIKALSPLFTVMAYALLFGVSYSPATYLSLLPLTLGVMLASSA DIKFTNFFGLLCALGSTIIFVTQNLFFKKMMPTPGATESGGATPKLDKINLLYFSSGM AFLLMIPVWLYSDAWRLLDLWLHPIAKTGGPSVLIYFFINGTVHFAQNLIAFSLLSST SPVTYSIASLVKRIAVICLAIVWFKQSVFFVQAVGIALTAVGLWMYNNAKRDVEKGEK KMRQVEAVREGMLPTTKADQRILEGRANIDPLAYGKASPKPTYPTSYGQQMPLSTSTA FNKSHFSPQPPLPVPPHAHPSKGMHTTSAAEASYPSPPASTASSPPTEPIFTTSHPRQ RRLSVDSKPDNFRLPPSISPRATTIDEEVSGMSIDTPKLGIVA
I206_05243	MIRRSAPKIVKAIPPVSSRAAVVDIRYISNSRRKFTTAESGLSN ARVGRPPDTTSRSSNIPTVNSDGEKTKIIWPDGRETTFDNYFLFDHCRCSKCFHEQTK QRLKTLSEIPSDIHPMSVEVDKTGVHLTWSTSDSHKSTFPLEFLRRSAYDPPLASYRD DKESRILWNSTIAQSPPNVLYDEIMSTEKEGSTGGRAILKLLNKIHDFGFCFVENVPT TGEKTKELIEKVAPIRNTHYGGFWQFTADLSHGDLAYSNEGLPAHTDTTYFTDPAGLQ IFHLLSHPSPPGKGGTTLLVDGFYTASLLSTLYPTSYSLLSRLAIPAHASGTEGTMLR PPLSQPTLRHDEKGQLIQIRWNNEDRGVLGQGWTPDEIKGWYQAARRYDELNRSEDAE YWIQLKPGTVLFIDNWRVMHGRSPFTGSRTMCGAYIGADDWLSRRTALTKKYEIRKKS ILDDNWSVGW
I206_05244	MAFRSTGPLLSALRSGIARNRNGSRRNFPILPLVTGVTISGSLV GYAILKDRDWTTPLKEQFTLHADSAPSDFRDDPKSKAAFRVDSDTNIQFPLDLSSSLV TPSPNLSLVGLGVRKVSFLRVKVYSAGFYLDEAATKDLKNVEGWHTFTAQHLLTPPTK APSDPLNAPQLSGEELMKNLFDRPVAIAVRIVPNRNTDFGHLRDAFTRALQARQRLAR TKGELTLEDEQRITESIQILKSFFPAQTVLKGKQVVLLRPREGGLIVEFEGKILGQLN DPWIGKQLMLTYFADKETVSEKLKEDVAKGLEEIINQK
I206_05245	MSQYQPVQQRRTHTYEASGSDHIDGTGSRTAASVRSLSLRNPQE VDIDPNDPPLPSYSALMASESAAAAGISQSPQPMGDAASSNHARIPSIDQTPYAPVDY GPPSSGYPSSQHGHAYGYEGNPNSNSNRHSQGSIGRRPASDPNNIDFNQLSLTSASHP SPPNPPLRQQTAPPHQPYPPRGQYPRQRTNTGGYPGADMDGAASVYSLDSGMGAYSSG GQPQQSQHQQQYQAPNYDPYPGGYAPQQSAPDFSNPYYNAANDFLGLPPGAEQQYAPS VASASTSRAPTRQQSTTTLARTNTSATTLSNASSMSLSRHVPEQVGASSTRRRGTQAA VDLNKPPYTKQYVDDYRKRMKDDPDPEAQFAFAKYLIEAAKKIGDEISQSDPKLGRKY RDVLLQESLRNIKKLAEGKEPYADAQFFLANLYGTGQLGLQVDHEKAYYLYLMASKLN HAAATYRSAVCNEIGAGTRKDPNRAVLFYRKAAALGDTAAMYKLGMTLLGGLLGQARN LREASVWFRRAAAQADEDNPHALHELALLHERPNNGVVPHDPNMAIEYLTQAAQLGYA PAQFKLGSCHEFGTLGCQIDPRRSIAWYTRAAEKGDVEAELALSGWYLTGSEGVLKQS DTEAYLWGRKAANKGHAKAEYAVGYYTELGIGVKADAELAKRWYMRAAAQQHKRAMQR LTEMQNAKNVKGKGNRPTRHEASSECTIM
I206_05246	MVPTSPPAVPSLDELINLFATSSSSPATLAPPSASIFSTPLETS KPKHAVENLKPNLIPVFVEIPADLLTPVAAYLKIAKDSKYSFLLESVIGGENLARYSF VGADPIKTIRTGEGFDVEGDPLTALEKELAPYRYVKIPQIGTFTGGAVGFITYDSIVH FEPVTKPKNPLHNPFPGMPEAFFMLTSTNLIFDHIYQTVKIVSHVHLQDGTPPSQIPA LYEEAVGRIETLRRKLMTPDVPLPPQGPVHLGYETTSNVGEEGYKAFVTKLKEHIVAG DIIQAVPSQRFTRKTDVHPFNVYRNLRRTNPSPYMYYLDCGETQLVGASPETLCHIKD RKLRNHAIAGTRKRGKDEEEDQALEADLLSDEKERSENLMLSDLARNDVHRVCKPESV KIDHLFRVERFSHVMHLTSQIPSPSVNSASWNTIISAPKIKAVQLVMGLEEARRGPYG GGVGVFDFDKNNMDTCICIRTIVFHGGSAHIAAGAGIVADSVEQSEYEETVNKAKACV RAIEQAEQYYSDLQSQS
I206_05247	MSADSPSSHVAIGGATSGLTLNLHPLPILNISDHLNRSRLTSSG DIKIFGALLGTESNREISVVNSFELIFGSPDGDVDMAEASTSATRNTSQMLNTAFFDT RREQFKQVFPTLDVVGWYSIGKYPALEDVTLHKQFAEIIETPIFLLFDSEHQPASQAL PVKIYEAALAEGGKDEDTKGKFVELVYGIETGEAERIAVDGVSRGGMGGEGEESTVVA NLTTQRNAIRMLYERVSVLLQYITAVINKTAQPDHTILRQISAIIATLPTMDAKEFRE ELTTECSDVQLTTYLTTLTKQLNALSEYADKHNLLHPPPNDDFGGSHGGMRGGRGFAG GGWDLGGGRRRK
I206_05248	MFPSSSGSIPDRPHRPLVVKCAFDGSARRVTFPSAATCRLESLR NRVEECFSLSASPFSLAYTDDDGEEFFIKTDTDLTEAIHYFTSGDDDAAGSVHSGHMS HGFTAQKIALRLDVLVEYDGPSLSDTSSVSSFRTGTASSSSSMKSERGQRTYRSSLYT DSLASGSRSSGLDIAQEGTEHGHSLEERDSTLRQFSLMDLELENRMEITSGSATPKEV QSLHHSASTRRSLPSLPPILTGPNSDPAPSLLTHSDLGTRWLREQSRLASRKLGPTPK SLARSNDFDSDEESYESDEESGEIALVRDARGRYYYSYQNDDTSQSSRSEGEYVGYEA GPKSRPISRMPSDFTLSTSPPKTPNLSALAHELIRIAEPPGPPILAPDCSACGIRLDY MRYVCCTCGEGEFWKADAPGKAKLGLPVKSSDSDPSDGSSSEGTEWAPRRPSSNESQT VYNLANPRLRSNSSSTNASSGSMQARSVDQSSPTTATYRYDSDHTSPQSPVSHNQNLP MTNTIKPHGYELCAGCIEVHGIAHSKAATRAARRELAHAERRRQKMTGNSRHTFREMI WAAEGWIDVEYNEDSNCTICRNPLFKYRYKCVSCPNFNLCRTCHHKVEEIHPAHAFLS LPDIVDPHVATPRLALNEQRPPNRPHLIPVPMRHPGAFCHNCLQDIVGPRFHCAVCAV DLCIQCEAVVSDFNGTSHTADHIMMKIPVPISSHEVDAVSRRARDRWFRQDRTMAVNQ PDPFRDDAGGSSRSSSPTNDTVYAPTAERHGESQPMATQQVINVTTPDALDHGLKCGN CHQWIMGRRYQCANCPSDPEGYNLCSICELRSYKLHDPTHVFLKLDRPVHIPIKSSRP VLPLLYRHPVGKVPTSALATINSRDPTAYLQHLLHRETLCDVHTDQIRGIWFRCCHCA AGFDVCQEAEKALNHDSTHVFAVFKSRVDMSAFRALADLEATHSKPLLRQQVYFS
I206_05249	MPDIKLIQCQDALVAGPSKTITSKTEKQWNVRVSPSVNRSRNPI RETLASITANTPSSSKAPINLGLGDPTYYPLHSPPPAAIAAVEKAVLDGKSNGYLNGV GSSEARQAVVDYHMRWDQVQYSIDDVVLTHGVGQGLDLIFSVMIPPASVERSNILLPR PGFAQYTALLANLDAEVRYYDCLEENDWEVDVDMLNSLCDEETRAILINNPSNPCGSN YSREALQGILEIAEKHKIPIIADEIYGHMTWSSPFVPLASLSTSVPIITLSGLSKRFL VPGWRFGWICLHDPLGVASSLKKGIQCWGNRFMGPNSLIQAALPTILATEPEWYDQVL SKIEVLAKIVHKGVSDAPGLSATFPSGAMYSFVKIEEGAFPALQDDVAFATALYNEQA VFVLPGMCFGMPGYFRVVLGTPADVMLDVIERLQEFCQKHGDL
I206_05250	MATLPPDAPPPETPPLIPIPTLDVRWVHAGAQHLDLLPTPITSA STTYKSFSYTESLRIEERWRETPENERRKIIREWGHSEGEGAPARTKAKEKDKAKRNS VASTHSETSANSHPLDDKVKERRKNGDVPDTEHLRSGEEQTMAEESSLDNAEQKYKDI VRKVQSDYDNCEVIQGVPVSQDSLFEVSIPTLSLHPVFWAHSGSRVPVLRGTWFVESE TRPCSWELAEEIEKAYLEIQPWQPSYKHELATAISLGTAGEEKLKYTLPAKFGQGLGI IFEDGEKGRLLTTGTLTYLTRAFWASLRAKPSGTYVYRGFDAAAAAASKDKSQSSPAK SQPHSRRGSSSSQRSAIMEKSPSMHQRALSRDTVQKGHHHDKSETVVGAAVRGLGLSA GETLNGVKKSLDELKNEERRQAPIGLTADTRNALEEENAPLVDSEEDDVPCTDLILVL HGIGQQLATQYEAYNFVRFVIKQLSGELYRKQSANPALASIIRDRRCQILPVQWRTSI DLNDEKTEEDKSHGMDNRFTIADITMHKSIPYVRELTNSVLLDIPLYMSHHRQKMIEA VCQQANKLYRLWIARNPEFENGGRVHIIGHSLGSALAAQILSNQPTKMPGISQLPKQV ITQTKDRFLFNTSNLFLCGSPLGIFLHLEQAQLMPRKGRERTMHSPQDEALDRSGKYG CLAIDSLYNIFYYTDPVAYQLNAAVDVKLSAHRPPLAITSVTAPFYAPVTDGFTTISK YLPSYLGGSTDEKKPARPGVIRLPSGIEMSGPSGEERLEGTRGERRFSALNPHGNVDF YLPSAGVSEYLDMITAHLSYWTDPSFAAFLLAEIFSTRLDLVRTGMGLAQQLMPEGTV I
I206_05251	MPSTVISSSLKQQHVVRHRSAEPVPPPLPSKPIDLNSSTMSTDK ESGQKLTRRAFICDVVLEKQGEESANLLASLGKPLKPLGFVPTPSSVTNGRPTTPARL SAPSNGDEDYSDGPSPGWSGARKNEMANRLQNLIEELVKTERSYLSRIHALKTSYADR LRLFSRDPNQQLIPPYEAKAMFANIEAIVPASAAFLADLEAMWERGQAEDHIGDVCLR HFKTLRTFDPYRTYLSKQEESQRLFQDSLKRFQGFAIFIESTKYQTTGIGNIGLRELL MEPVQRIPRYTLLWHTMVKSMSPLSDQRTQLLEAIEIASGIARCEPDPRTVRATVMYN LERNIEDFPAKLFSNNRDYVDSLDVEDLPAEYPSASSPPSRPLSSLGSRPLSMSSNSN PSMASFGSLASQSPPTLHAAATPLHCTLFLFNDKLMIVKRQSSSISGRRITGTDDIQK LARGSGALEKTAVKKDKLSFRGEVDILDVIASDVGNGEFHIFFERPPMDQTGRWSARP FRSYTVVHPPYSVALDPVATRRDKIRFIHNLWSAQASARAKLNSTRMCGPARVLMSES DISLASAGDAMGRARCYWSIWNRSEWMAHRKAKVVIHIDEEGTAPDITLGDSDPLLCI RLQPMSGGLCRFSYTTADAANEERMVIDSSEVVERVVTTIHKYGIFKFRTGTTSCPTT PSATSHRLRPSMLNLDAISRNLFGAGSVSGKSDIFGTKGSRSTGSRSSTMDLMDDTSR KRLSHRSTSPFDGLIKSSRELIAGAPYRETIGQSEVDLNARLDLARNNSKSVASRDAI QDLRCDELEDNSDRRSLDDVEVSLRAISELLDLVITLTKIVRSETPPPTTAPLRIIRK TPSPVRILSPTIDNTPRALIPLNANQDSQSLVPVIATDDTFFNSPCQSSSPTPQIVSP RPAGPRSPIPGSTLSPSSRPTSHLPTIGSAHTRLRIVSGGGRRISVGRETVPLKGSEE GEASHGQSTTTGIVAAKRQHSADNLTPRKRSPTRSPITSRDVSNSSRVSATNASGSRR SSGKYATRRSSGPLTTPRTVSASHNSVTSLRSSVGMEDIQMKDCQDIETALDLTSKKI HDAQGSNKRLKSEVAGLRKQINKEGKTKDLVQRLDRNTSLPRSPQRRNINRIVDHENY EHVATRHAVSGRQDIDAIVMDECARGITSIVDRVDDHLRQADVANTQAIILAKQLIEE KQQQLQQIRTLQGQALRSREHQDLLQRQLGDTQIELDVIYEAFNTELDGMFNDAQLPE TEAFAALKSDLQTTKASRNMLELENKKLKLELEEANMKRDQWARMLRSQGYNI
I206_05252	MPTKSPRRQQSTLSSASSNNRTVRAQSKCSPHLDSYQAANGADH QSDRPVLPAEEYNPFAEWQHAEDPLQNNGGLEPEAGPSDYWKRGTPSLRSTIETAPIN WRKLSDKPFDPDTVDDGPRDVFWTSHAAPLASQSLEDHPTNTNESDEYEYEKRLKEVM EHESSSTGSRMKGTSAHANGNGYDQTFAEIIGVNGNGDLMGEEEFGRMNSPQTDGVSE RTLSITSKDPRYRISQPSNASPSAGKRASFIHPSISRLRSHMRTPSSTTQHTTRQPQL AHLRTSSHFSQISEPKSDTLSIGSNYSQRPLEIMTTTISSNQSTPFFVFHPLRRLSIH LFQKQSNLNAAQVTDQFTGKPTVMDIRGMIAVGTDRGFVAIYGFGQDLKQILGNEGSL SPVTTITISSDQTYIAVGRASGNIHLYDLSNSARPARTSIALTLQQVLSGRREGHLQD SRIIHIGFVGARHTSIVSGDEYGRAFWWSLGKVIGVESNDVVRMLGSYPESGAEAPNH PSKRSTSLFAALPLPLDEETHTSDSFNLSALLTPTKLVIVGMKPKPRTWYRKMRENIG GGTGGLAGTAAWNRSDTKDPVLAYSWGVSVHVIYVKGDKEPEFVEGKTLHLQEPIRAL QWYNANHILIISSTQLILADARTMTPVETTLLQTRLLTCQDLFSGLSKASVNKAPEAI AGSVKFHREKLFLLTKTTLQVGTLLHWNDRILSHVHQGDFLSAIQTALAYYENRAEGN TINLPDDLEERKVVVSTRLRELLLASLRWAFSPDRLRDDSHYGQGVDLTGLFEGLATA SIEACLSMQDTTFLFDEAYDHFAQAGIQGIFLRLLEPYILSGRIRNIPPTIFQALIST HEGKGELDEAEAVIWNVDPTSLDINQAITLCEGHGLWDAMIHVYTRAMQDYVAPLVKL INVVRDIQQHRLNRPYLAGALDDQDLEGWAPNAYKLYAYVESVLSGSSYPSGEPLPDY EANAARNEVYSFIFAGRTISWPTSSDFVLTSDSVEPPYPYLNLLLRFDTEAFLHSMDI AFEDSYLNDTSGAINRQSIVNLMLDVMDPEYFHPGDITFLHIFVARNLPKYPQFLFIP PSTLHRILVSLASDPDQSTREDRQLAAEYLLSAYTPHDSDVMLELFDQAGFYRILRTA YRREHKWAKLISTLLKDPESDDQVFVGLDDIIKTASASSEVYTAVSDALPQLLSLGVR QTALLLDRDLPLCHGQAVEDLAPAPLKQMAYLRCLLEPDSEENGMRQPSAQVDLPLRH LYVRLLCQNEPDHVVNFLDARGPAFFDLQQLVNECEACRNFEGQLWCLDRQGKTKETF NTVGDILRATGTELNEAILADQVGTLHISLSTIQAVSKMATRLCQEHSQSSITGEVED LWLGVLHEIIELVHTTSAIQLPGPADAINISMAALRGIVQETLASLVSSSSPSLSFPR LFKRLVNASTSTSPRSKKGRTYAEFRTILTGMLDSYRAEGEMLSMTTRLVEADLFEVL AELKKRRENGWSADLNNCAICGEPVIGSDVVVQARGTTLHSGCGRGASRDACNGRTVI 
I206_05253	MSDKADQTQKEFVAASTWLSSAPSAASLSTDLKLELYGLFKFLN THAGPEGNRPSIFSPAPRAKYDAWALQFAKYSPNQKAGQEMARQRYLEIARQVGWAGI VEEEEEDDIDLENLDDEPSNAGNRDKSQGRADNPIGGVKVSIMSGQEETEDDIESASP LHDAVSDDNRQIVQALIKRDPRTINLKDPFGYTPLHLAADRGHVEMVKLLLRHGADRE AIDEDNQTPQLLAEISGRDEIVEILKQA
I206_05254	MVQLRVWGRQITHRAADFTQGQKLVGTPTFTYFSAFISIAITIL VYQCYQDDLSKSGAWGCEMSWMSPSYQRIQWKDDPGHRYRLFLYREQGLDIESQVTGY PVLFIPGNAGSYQQVRSIASAASRQYADGKTMTGQSRMDRKLDFFTIDFNEEFSAFSA RTLSEQANFVRHAIERILLEYLHMSPSQQPSQVALLAHSMGGIAARLAVIDPDVAPKV DIILTMSTPHTIPPLTIEHEMESIYTKIAKPSQTLLISLCGGVSDTQVVSDSCALSST LGSGDNGFAVYTTGIPTVWTGIDHQAMVWCHQLRWLIAESLIQMAQQDKRMQKLSIAR GKFLNDQQTFPSTSRTFKNLSVSSTDMTVLLRPNAATQRISVEALHCDEECQTVSFSV QQLPFPTHSEAPFPLPGEGIRPEETLLALDVKLVNGKGLLSVASDSHEIIAFGPRVTS TTEDSTWGLAMTPASHIPTSFLVRFGNLASSSLLVRRLEIQTGDCGGK
I206_05255	MGGNKARRLRKLPISASAFDDRAGFSTSKSSSADAPSHTGSDFR SRKKGSQRSVVSRKVTQSTISRFHTLLKRQAVLKRILKSASTKNESVVTIEEELASIA QEIDALGGLTAYQVASTLGQSSERGGDSSKVLVKWLEEIGLKQQAISEATKLHMLEIG ALVPTNFASCSRWIQNNPIDLHSQHPNILEQDFFDRPLPSKEEEAFDIVSCSLVLNFV SSPVERGKKNAATHPQTAEDGFYFGSAVPGVASTLSDKLTLFIPDVASCASQEANSDK WRKKAVLEDGPKKNNFAILLP
I206_05256	MNLQHTKDPNSTSFPAQVSSASHRAPLSQPSTPSHELSCSADFG AFNEAPNLNQPGSSRPPRFQFADQLQPQSNQQPFSLLDDDDDLQGASNHLKQLRPMNR SAQASPHDLTPPSSEIDLLGGDVWEDATPQVRSQPVKAPPSTPQYRPNREGPTSSPIH VTLPPRPSEISPEYVPPLRSPRRMSSFSFSLSSPPIVTDAGNDIIFHPSHQPVDDNAA SEMRKVQARSDSTYGSFSPSSPEKYLQDSGLRRSVTRSPPHQSKLLDTLATTTKIASK WRSAISNSTFVPPHHSSLPEQHSTPGLSHAEPIPIDVTHQTPFATPEQIAGSYRPPSG APGFNPHQVADAKHNDQNEDEWGQIRLKGRRESTAPVLQAADAHRLRRHLPPRQRLSN AWTLLFSLDQHGASLSTLYRLVDMFAQTHKTSGNVLVVRDARGNRFGVFLNESIVKRE GTYYGSGESFLFKLSHSSDAQVFKWTGKNQYFALCEAGFISFGGGDGKYGLLLDSTFT RNSSATSPAYNNDVLSESLPRKSNQASLFDCIGLEVWGT
I206_05257	MSDSGDEDPLWAVEEDIQAIDVDSSFNADDSPSPVNRLPAASKV MRDSYPLATRLKSLSPKLNEILEISAPDDVGALPESVAGSTSATVEKINNNAMQISDI SDKLNNDDTEITVTPEILKEREIALPSVRLIPTSRLTAAQQKCFKFPVFNEVQSAVFQ DVYLGTENVVVAAPTGSGKTTIFELAFLKTRNAVSTGTKPLAIYIAPTKALCSEKRRD WEIRLESNLNVRCCEITGDTGRFDVVTGLIKDADLIIITPEKLDSITRRQSWANKKFY NRIGLIMLDEVHILHETRGATLEVVMSRITQKAPNVRVIALSATVPNIDDVARWIGRR ESQMAMAKIYKFGEEFRPVPLKKHVYGIDGGGNEWSLAPRLDANLFPLLLKHAEGKPV LIFCPTRKACQTTAAFIQKTYLNAHAEGQALPWSSKRNVQLDLKDNKIKEFTNQGIAV HHAGLDYSDRRDIEDGFISGKIHLIVSTSTLAVGVNLPAHTVIIKGTMAWHGPVLGFQ EYSDIEIQQMMGRAGRPQFDKSGTVVVMCEKTNVQKVSYLTRIETLLESTHDFLATVD LNNEISLKTIQTLSSALEWLRRQVTIFRPRSRYCRSFFYIRIQQNPSHYSLQEAFEKT IDSSWEEYLNQCIEVSRDGVAHKPGEFDLQTTDEGHTMRNCMIGEYHMLTRYSRIMLR PITNRIFNEAPSSHRYKDLRIRPGEAKMLNNLSKEGKVRFTVKEGAKTYGDKVFLLMQ VQFGSYILDTEKKTESTSFLQTQIIIFSHAERIAKGTIAGAISILKIALSRKYGNTTK AALELHRTIAGKAWEDSSSMFRQLDQVGEMKLNIASILTANLYFDQLLAKDILQLDAF HKRGLTFWRTVREQAQKMPRFIVTIQAIRSERIEDSTDKSHKVLVLNIDVRSLGRDLL QVDGFRKRKFKSSSWFFSALLLRDDGGYIHYTRTSLETIIKAKSPSLTVKVELKSRFK TIIGTFGEEVSGCTETVNFDLNLDDSVWPETVTAAAEQQADLDPLSGTVVPIEDDASI RLDADSSVEILDATKEIEDDTLTNRPTGTCDRQSQLSPAPSVSLPPQAQSATDPHSDD IFETIPVHWEESNVRKMQLDRPRIANDASCDYIEKGSGLIDWDVNEMFEGVDIVADPP LSPPLVAGEKQSTSCNRVDKFLAVTANGSRLHHRSSERPGALHDAPSVQTAEHQDHDY NASLPPQKRVRLDYDRSFHREESV
I206_05258	MVVKVGINGFGRIGRIVLRNAIDHGDIDVVAINDPFIDLEYMVY MFKYDSTHGRFKGDVETKDGKLYINNKPIAVFGERDPTAIKWGEASADYVVESTGVFT TIDKASAHIKGGAKKVIISAPSADAPMFVCGVNLESYKSEYQVISNASCTTNCLAPLA KVIHDNFTIVEGLMTTVHATTATQKTVDGPSNKDWRGGRGAAANIIPSSTGAAKAVGK VIPSLNGKLTGMSFRVPTSDVSVVDLVARIEKGASYEEIKAVIKKASESPELKGILGY TEDEVVSTDFVGATESSIFDAKAGIALNANFVKLISWYDNEYGYSRRVCDLVSYIAGV DAKSQ
I206_05259	MGIVEKIEEIEKEMARTQKNKATEYHLGLLKAKLAKYRAQLLEP EKKGPKGEGFDVMKSGDARVCMIGFPSVGKSTLLSKVTKTESVVGAYEFTTLTAIPGV LEYEGARVQLLDLPGIVQDAAKGRGRGRQVVAVAKTADLILLMIDATKSAEQKQMLET ELEAVGIRLNTKPPDVVFKQKTAGGITINNTVKLTKTDERTLRSILQTYKIHNCDVMV REDITTDEFIDVLLGTRKYIPALTVINKVDGVSMETLDTMAREGDGRTIMISCEIDLG LDWLTEAIWAELGMVKVYTKRRGDQPDLSDPICLRQGATIEAVCHGIHRGLASHFKYA LVWGKSSKFNPQPQKVGLTHVVQDEDVVSIFTK
I206_05260	MPRFHPPLSHSSTIHIDSTHPYIQLNFFAVFAEGEDLSGSWQIW SDLPQLDEHGATISRPGEWTATDFRSFLPSIPKVDESQHINGSLSNTAIHVRSRTDIH ITSSGPPTLFASIIIPATDGARYSYTYRHITPSNEIHWLGGVGGNGIIRMTEGERVND TKDGSTGIWSEVYANLANKTWAGFALSFQPFNGSTKPLIHELPADSDIAASLALLTTE PLVHQTIPFDQPTRSSSIIPPSTSMTVLAVLANHSGLHIGGGSPLPEESDKAVYSDTT GSFVRALAAALRAPGMGKQPFDLSTIAPPHQNTAALLTHHDGRSGQAHLVVFALNLAS PQEIKIALADFDQAPLAAISDAHHSIIYIPECADSSDRELALRWDKGLVAEVIQLSAF TQLRGAGKDDPIWICAPDAASVEIGEEEICQAHPARQASLTSVHNDISPKATLGAEDE DDDPSGHPEPSEAIPEDEAASEAEGGSSLERPNTSDRPQGPWWLFRFIGRFFVNIWQF LFSPFRSRPAITSGTDEVQDAANEESPSERTPLLGSHSMSRDTSSSSTAVDPLATPAS LAVHKDQTQHDELQNGLITPVGNSATSEFPFQPPVLNAVQIRSYAQMSFKNLPPFRFL LPPNPGDVAANLRFLVKEKAGQKWERVEPELQSPVEDGRCQEMMVQSINGTGGTDWEV QIERI
I206_05261	MPRRKNAFLSDGSDSEGSNSAESIADYDSQEDDDSRAEKSLFEH KGKRRRTGGAGHGKASAWEGIFGEEPEEGHGARGIGSRKKGAGKSGRTDWTKAPAFVS SGPSKDPLNEASESHENASYTKQDEDGESSLSEGVNDSESDDDDEDEEPSRAPSPRLR EEEEEEEIPRTGMGLGNKSGFARAHQEAEATEGDGPKLSGKRGGIGSSSRGRGGIGSS SRPPARSTPPPAEPLADGPKGGLGSSQAPIQPIDEFAPSTNMPSAFGRPPPSLTSSSG PSRKQQSFMTRSTTSAAAKQAELTAAELAHFSKISSSFGARMLAKQGWEAGKGLGAQE DGRAVPIQVGKVFRGQGIQSGMRTEDSKREARRQGHVFSDEEEEKPKRGHRSRGLKLP KEPKEEVEQSWKKQKKVKVKVEHKTYEQLLAEAGDAAPAAGVGLVLDARGGDLKEVSS LSSLSLSTWTPTSDNMKLPELRHNLRLIVDVAKGDVEGLIREGKTVNERRRWALREEQ VTRAKVDETEKRIARIKQIRELVDNISTVAAQQANATHPSLALLSDSFNSLIGSFREE YELHSLDEVVVGAIAQIQRRAFAEWEPFDVSTDILLSSLKPWRKAYNLPKFEDENLAV IVNGSHDDTQAVRKTQNGEKLMTAWESLLWSRWMPKVRSAINNDWDPASPHAAVHLIE SWDPILPAFIRDNLLDQLILPKVKTAIEQWDFRRAKQTTQTRSLASIVFPWLPLLGDR GDEMLDGAKRRIRSVLRNWTVKDGVPDELARWKNDVFSSNEWDKLIIQYVLPKLGVCL RDDFTINPRKQDLVPLQEWVMPWHKLLRSSMFSHLLEVEFFPKWLDILYIWLVQPAYK PDEVANWFVWWKGRFPEAVLDMRGINHGFNSGLELMDQAIKLGNEAPLKLVKPIFEPL PSSKSSALKAVKTKPTLEEIRRPVDIQTTDITFRSIAEDFASQHDLIFLPVGKSHDKT GKPLFKVSRSVDGRGGITVYIGENAVFAQGDDGTFRAISLEDMVKRAAGSK
I206_05262	MSSDDQLDLLFDPSLISSSVQQLLGPDLHLRPLASDDVKRGHFD VLSVLTVAPRLSPKAYRENFDQLKACSGTYFIIVIVDKASDKIVASGSIIVERKFLRN AGLVGHIEDIAVSKTVQGKKLGLRIINALEEIGRARGCYKIILDCSEKNIPFYEKCGF KHKEYEMVRYMEDPKDVATSPTTSKL
I206_05263	MSQRDDTASGLSSPPNSNGKTRRPPFLGLTGPSISSPITTLSST NAIAGPSSQTSVKKERSTNQGGPRVIRTFEEIKVPVKSEEWYEELECAICSQILGATQ TIVPCGHSFCGPCSWKWIKSNDHPSCPSCRMKVSDTTPLIPNIMVDQIIDRKLANLPD GAEKSAMMIERKEKAQAWKVIQASMPPVKAPPPKRPRGLDDIMHNLIDIAAPMPIIRS GHARRASRQLVELGAPIDAISAEEADAVARLRSERIQERLSEMRRLREERIRVLSDQV AANRNAEVMAQNEDVEMPDTSRGNQRSPAQPQMATSYTPPLPPLRSFSMRSPALARRQ ARGRDSGTRDDPLVVLSDEE
I206_05264	MSNESDQYHLSQELNPSHQADVKAVLAISNDMIASASRDSSVGI WTRKGDSGFQLKTLLNGHHAYVNSLAFIPATDDTDDLLASGGNSSLILLHSLKTLVPE SQHCLIGHSLNVCALAYSTKFQKLISGSWDQTARVWSKSSAEWTTDVVLEGHEQAVWG VSIVEEGPKAGCFLTADRMIFLWNKEGEVLQRFKGSPEPVRSLAILPGGNTFVSACND KQVYLIRIWSFEGTILDSLKGHKDYVYQVTLGSQGIDFVSCGEDHTARAWKVGERPFT VLHPCQTVWSVSSLPNGDIVTGGSDGRIRAWSEDKARIADQATLDAYLNVVKQAMPSG VVGDDHSGQAVQPTKLTIDIDLSDDDPPVSLEFEVGSDPRTTAEAFGNEHGLSENYIN QIEAFIRAHLD
I206_05265	MEAGDPNSWRFAQCFGDKGDVDDITEADIISTVEFDHTGDYLAT GDKGGRVVLFERNEQKRGCEYKFYTEFQSHEPEFDYLKSLEIEEKINRIKWCKRQNAA HFLLSTNDKTIKLWKVFDKQIKVVAENNHSDGYTGSGNGSQPPLRLPRMTTHDSITAA VPRKVYANAHAYHINSISVNSDGETYISADDLRVNLWNLNISDQSFNIVDIKPVNMEE LTEVITAAEFHPIHCNLFMYSSSKGTIKLADMRDSALCDQHSKQFEEEEDPTQKSFFS EIISSISDVKFSRDGRYILSRDYLTLKIWDINMENKPVKTINIHDHLRQKLCDLYEND CIFDKFECTFSGDGSQVLTGSYHNYFRIYDVNGDNDVVLQADKSAFKAKKIGGARGKA PGKKEGMQTEGIDFAKKILHASWHPRENTIAIAATNNL
I206_05266	MATALPAGLSNPRQLLKRSPLASLDPIMSSSNKSGELSIFNEID DSFEVEVPDFHFDWKLDKDKVSAEKSASASRKSPSTHFASMSISESSNRPSSLSPPSH VSVISAASRATPPPRSSHSARSSLSSAQVTSLTDASTASGSSLAPTPPGSGFSIGTRS ASSHGLDSGGSASGSGGSQRIYGAGGRKFQRVVSAPIGRSKYEGDDNGMLTIEESSTL SASTTSHTATMRPPLTHTSSASSVPQNHSSTALPRSSNYVTPGLTDRTLSSTTRSTGR RLGGLSKFGGPARRVVPALEAEELQEEAERASPVLIASPPRNTSSPISRPAAEPQRSP VLSDQADLGSKFASSSSRHRSPPITRYDGLPLGQASQIPSSSANDRNPRENVQFAYVK TPTRNQPDEGDQPFRPFSSQINRPGPSASRHIEQPTDVPSHTRAALPRRSPPTQTQER FSPPIQQPLRAVRPAPSVSEVPERQSSMAIQHTAPPMLGQSTKVDAPAPPAAPAPATR ASFMVNSVPYERLQRLGKGGSSTVYSVLYSAPPKKRIIYAMKLVQLDRADEETYQSYT NEIDLLKRLRGHDRVIQLIDHQITFNSSGRPHRLMMVMECGEIDFAALLDEQRGKAIN MNFVGLYWEQMLEAVQAVHKENVVHTDLKPANFVLVKGRLKIIDFGIAKAVANDTVNI QRDQQIGTVNYMSPEAIQRMNNQKVLKLSYPSDVWSLGCIIYQMIYGSPPFQHIGGGP LAKMQVIADPRHLIEYPEMAVPKTTVGVGLDGQPIDPSSLAVSVSPAAIDTMKRCLAY RKDHRLTIPELLHHEFLKPKIRAPAIPPGSTTITEHQMGLLMNFILRENGLPERSDHS AEDLFSQLQAQNALSE
I206_05267	MPPQLLSGITKRACAYHLTDTGGKLYDSDGNECVNLSKDDKIFL AIALSICGLVLAILGGIYMRKIYRSRAKERAAQLAYEPKTSSMGTPLMRHAGSFASLP LSRQTSNVPVPVSPLLSSDSSHSTSSSTNPGSPYSPNSENFHFPILSADGHLLPPPPA SSRRSPIELIDPNARPLPLAPTSPNAPLYAPVPKSTERWSYRTPSEDSHANLYPSGLY SGVEIRRDGSVEIMRDDFGIETANMRSSPTISRVDHSLNGYAPQRATFYRSLSAEGDD LSVYDYVSEEQHGRY
I206_05268	MSDQRYKQASIFTADGVLFDMDGTLTDSIAAVEAAWTAKAEELG LEPEAVIKATHGRRASDNLTELVPGLRKEHVERVEQEVEKFEKSILTFADTPPYSRRG SLSSARSRNSSSSSRRSSSMTPLTPVSNTPDISKHPLFQMSTADTLNMTSMKLSSTTI SEVAVVDETPFDEDEAEDIIDMSVKILPGVRKMINSLPEGKYAVATSGAKTYCHGCLN RTGIIIPKVCVTADDSRLLRGKPFPDPFLLAASDLGIAPTRAVVFEDSPSGIKAGVAS GATVIAVCTSHTRDKIENLGAHYIVDTMDQIKVDHLEDGQLQFTVAH
I206_05269	MQPFHPPTPQSHRSSYIPSTPDSPTVEKESHIGSTVDARQAREA ADGVVRDYEEKRDRLAKAIDSSLYLLSDLKNFNGEQWTVRYPHLKPTESTENGSSSSR PGMSRRTLTFADEPSHTTDVVLNSTPTIRRTLKRSLTLAPNPPCQSITTVAPTIAEPK RMDENEDDFSILRLNLNMGAARHAKGLISHLEKSSISALLDNRITASLDHLSSLQKRV YDAQSRVLVTGDLNAGKSTLINALLRRDEVMPTDQQPLTTRFVEVISAKENEDKEEIH VLKEADKYDPKDDSTYSTEDVSKLYEMLVDAEDDASSPSLRVFLKEADSNLANPSILH NGVVDISLIDAPGLNRDSIKTTANFARQEEIDVVVFVVSAANHFTLSAKEFIWQAGHE KARLFIVVNRFDQIKDKARCRRLVLEQIKQLSPKTYEDAADLVHFVDSAKVALGYGEA GSDDDDLDESFSHLEHSLRSFVLVNRAKSKLGPAQNYITHLLADVELLAAANSLVAAK ERDAAREEIARVKPVLEKMKKGKEGLEESLVGEEEAATDKASGRTKLAMERGLDRVGR GELAAPGPGLSLPSYPGLLGAWDYAAEVKRVLLASLDFAVGLAEDDARKLTTEGVDEV IKLGDAHLPADVERTNRKFNPQAMFTPRAIRRQSSVASLGLGLASQSQLVEVNVTDIF DLQHHIHLARSALPSASSTSHELVPFSTEVGAASLAVGAFSMISGKTLGLRAVVEGIV HFSDFVSNPATRKWIGPVVGVFTAGAIGYVIYELPRSIPKNVGRHIQSTLTVSSGQSV QSDDASTPFAEYQAIRVSKEVRKVMRLAAWDFRERFRAAVEARGEVVKASEEIEKKAT KALEWFDNVDKRVENIREEVGIKV
I206_05270	MAYHFDTPDRVLKRVQQLEDMELPSLPSFQHDDIDYDSMSNAES SRDEPLYHPQQDETSQLDMTTPHPLRKAIVPPSSSTITGVSGSSADSFSTTSGSPFPP ISKSPGVETPSPYVSNTALTSTPQSHQARSLSGSLRTSTADCTATTSKPSRHERTRSG TGSLGHSIRGSRSQRWQTPGEMSGSFSGDEIGQGLKLGTEKSVPLNDDSEVKGHSQAL SELSSFAPPPEETPVTRRLSSGNVLFERPRKRGPSATLQQLEAVLETPSDPSSPATAN IVSSQSSAITALPIPQNDRIPSLSRSEVSGTDVSEAASTPEGPVRNVSMITPRLDAHQ QYEITGEYQYNMESEDENHPENSTWDYNQYPEYTDQDEAHHSPDPSYPSPHKLMNSNS PARFTSAHYATPGASREQLFNENSYASPSAPSTALQDITVSVQNTSSDPVTPSPLGML NKSTDVATPRAPLNDAERRKSHILAVLGSSGPPSRIRTQIRGTPHPLRRVSAAPDTDS IAEEGSDFGSSVRSFRRAVTPGQTSRVTLDQSADQSFVSVASSADLTTDKRASHMHSR LSRGNTSFPTILLPTGPSTPGGSSLKGMSDSRADGIKIHKHLNAMNKQLLETNADLAR EAEAWRDEADRLRSILEDHGIEVKNSDVLDNLHAASTSANLSQQLPEWPTRSPSGRNS TKGDHSQLITQSSSLNSRNGTSPGHKDSNHTQDLLDGLTPEEYAAVMHEMTEKLEYLE EALNEKDHLIEELQIQLEAVREADPPEHQDLVERIDKLSFELEEAENARTTLHAEFSA KTEQHAKRFGEICTGFEDQVKALEKDLLDARAETDRLRNEKSRLQRSTSAEGPSAKEH ELKKQIHELEVELQVSATETKKRLTEVENLRKHSAHMLEEKEGLMERVEEAESQVEDL RAKIDNLKVGQHNAESEDIEALKDELRAACEAQNIAEDSVARLEAEMDTLHQKVSEQE EELDQQHGQIEELNGLIQGLEADLALAQNTSISNQQSEEELVDLRQEMQKINTVMLEK ESEIEMLKGKLEVASIATQALRNSQRRSTSPPSTATLGNVEMSTNQDSFVLALEERLD EAYREIGRLKHELTATPVRKSAVEVRDAKIQALEREKAALTERLNSGRNMTISASPIP TGMQEAESPFRRPTPLVHRAIASLRTPMTPGPMGELSWLQTTIGDSNEPVLQAQLEYL QNELKDANNQLDNNFNRLESAGLGAVALAEKLAAAEERIGELEDEIRTLLQRNKASLA LVSVQREERERDNEGRMQKALQAVHQRMEELQSDIASERSRLQKDNSRLHNLVSELRL KSKAEVESFRAEMERMAEAAESKLRSTQDDLGKVIRERDDLLRDDQSQKNRIIQLERD VAKEQRAYESLSRRNAQSLQSAASQTELAEKVEIIRDLQTTLSEARQESDRIRNTLSQ TTESLEQAQTRIVRYQRERETVSKELELFEKDLQVQRAESEVFGRQLQALRKEQNGSH GQNQAELKALERELSVARDNEKRTARQLEDVKGKYDTLDHWKENHNCNSDLPQALIDQ KAHFKLQSRSLASQIRYLKAKFTRESTFRNALSLQKRYLLLLVGGMSLDQQSTLRAIA KMGYPLPEPPRPRRTFKAVALAVLGVIRAKNSATEWKKEKEMKNNLSSNGSGEGERRR VSART
I206_05271	MLRSQTAPIAGVQKIKQPGPYDLFPRPAVASWLDDLQAKIQNGL NPPDSPGPSRSPSPVIQEEDDEDEEINPESDLAFLEEEKVVYNAEISNEDEDEFGGIF DDDDDEEEEEEEDDDDEYEEEAIQTHDGQASVDENLIDSDDGESLKDHVNEGDQGSSV EDPHQSPEMQQQPLFEMYGDDEDDLEEEEEGSTTPYQVADQDGEDDEQEEDDEQEEDD ELFETRSQEGYDPAYGVAPEEDGAAYVGSDGDVYGDEDESEDEEEDEEQGDHFDEDAK EEQEEIEEDSDDGIEYMGTSESPAPNQLTSPPNTLYPELPAPPSAFVGHDTTVESQDQ IDQLPAAFSEDPIDPVLLAEIVQQVHEAMPEDAAGPSSIFPHIHPEESHDQIVDPVFG GPLPVDAALQYSIEEFAEPHSEEGHQYDQESQDEHNDQDEEEDELDSQGSYDEEEEEP QPKGPVFTEVIEIGSSSDEDEDQYDGLEEQEPIEYSEENKEEDSTEEEEEVEGNEQEA ESGDGEPTVIKMDVLETESRDGEAEEDELLLETGGPFSIDQDVQQDTRNLEDVETVPQ EAIMYDGEVIEDRYTFEALVEEPGKLSPDRQYERIAIYDLLVTPAVTNPPSMFAGLSQ MMEVDEIQVVDAAETSEVDQPFAIETPMTDSGLFSFGAIPSSTIETVVEEIFEEVQPI TTVEESITTSQDPSILWEQTEESGLSIANTPDLTDDLTMDVDDVKELEEANFSPMRSP IGEEDQPFNAGDSISFDIPETASTITHESSMSIAPPFEPVMSASGDITTLPVVEAAPA QALPQMGNVEMGNDIFPLPVPAFVPRDVSPIANSGTSSLADLHQQRRPDLSLGAEPAE IRHDLAATQKQPEHSSELPDPKLSPPDTDKLTPAVPHDTRPSIDRSPSLAVEPPEHAQ AAEDVPTSAVSRPSTPTTLPDPTVPPPNTTSIQPLDIHTMTRQENNTPSLVVEITQDP ILADIASAVPSRAATPPSLPDPSLPAADTSAIGPLDPHDLIPHPPSSPSLIVEPPGRD PVPSDILSVPATREATPTNLPDPALPPPDSYLEAPITPHQLTPSEEVQTPSLQVEPDS PAPPMSRVASALATSSHLSNVDVMSEHDDDEDIQVHVRWIESTVTATEDVITSVEEAD NQPDIDRNTMSPNKHLVVEVDQAGVGAESQDANGEDEARHSAVTAEESAQIMKIGGER VDNNDDIQVEVRQLHSAVSDKSQADDVRESLTMETPEVAISSAASDTPQAESVNDDVD GAKTSPLAATDSDTAQSTATSKDTTPAASEDQANSLTPKDKPTSTDSPLKPPFLPHIS ESTIERLFHRHGSPDKAFAAGPGPATAIHRATRSRGRPSASLEPPATRSHCYYEKLRI SDDDLTAVILVPHCAIPELKQLEQETSNSEGRSTTAEEAEARNLQMDHENPILQPQLT TKIRRLVGAQLFDEGHCYILSAKEDAKLPEREASGTPRGTPVQRGRKRKSFGSNSRLS AEVDDDSNVSIKVENFATPTKNKALSPPATRSGKKRITTSASVEPDHGVSDTTPVKRG RGSSARPSSVRKGKARESSILSDTGSRTSNTASPGPPLRRSARMSVARGDDIQEMDES ISQSANDNDGNPNTTSPAPHVDRMDSPPTVAPRRSTRKSLRGKQSTPSATPEPQAEEV DGMQTVEMEEDVATPTDEDTKPSSPTKSRSPRKPTSLVNREDEAPYRPDEEEEEQQEG EEEEPSSSTKSNFSIEIPSSKKRKSRAPGIEEDIKNNVLLASGVIEQDGEDEPRRGTR GMKRRAIESKLEPGTPVPDIDSNEDQDIEVRTETSPSSVTRRSNINEKWSGKLWKKFG WGKK
I206_05272	MAPQELILSACSSDPSSSSSRQQSTPSIHLHDLLTSGSVQSFKN SASSLNSLGYVQSQNGQGGGLFAVQEGKAILNVWAWQKDQMHTKIHLPEKMSCFSVSP NGLWAVAGSPNGQIYLWEIASGLLLSSFTAHYRSLTSLTFTPDSQILISTSLDSSTHI FLVSRLIDPEDPATAGKPYGSLTDHTLAVRGVGLGKMAGSMGGRIWTFSDDGTVKMWS LHPPFTLISTFTLPSGSSPNNISIDPMERFFYVSTIQGEVYYIPLFRRKNLNSNLTET NDSYESSINESSLISNKIEGSVISINEKEKDKKIKITSMTLSISSTNLILGFSNGQIN LYSLPSHQHLRTLSSHSNSITHLSTLLKPLDLIKSNNSNGGAGGGGNNGNGKLLEEYS IFEIKSFERIKSQNVKLNHNITIKLSQNTNYNNTLSTNLLLNKLDELRPKSIKSIGSN GNTQNIEQNQLEALLEENKRLRTSLEKASKINEKMWNGIIDMKLDNGES
I206_05273	MPPRLDLSAFGGSDDEEDDGFPPKRQLELNALKSKTFSHGITKK TKKDLEREAEDKKRKEEEEALRLVNAEYEEAFEGPSAFNAPRGGVAAPNRRPMGPGGG FVKAGGAPMDIPKAPYQPPRGPSSMSYGASRHHPPSRMRAPSPPPPSSAPRGKGKRAM DSFLEEIKNNQNAREERLGHLAKQEGSSVSALAAWESEKGSHIVGNQETTNLFISNLP SNITEESLGMFFAKLGPVGAVKIMWPRGDEDSSIGAGMTISRRVKPGLSGFVSYMKRP AAERAVREYDGLDWGGSILRVGWSKPVPLPLRPIYDLGSGRESRDRARSASPKHRSSH RDDRKVYDDRRDRDRDRDRDRGRSKSRSYSPPTSKKRSKRSPSYSSRSSRSPSPVRRK PRRKSYSSHSSDSYDSRSPSPRLRKVSAKDKWLESVPEEHRKFIKTVAGRVKDHGRGF EELLRKKERENPKFAFLFNEDLPEYHLYQSSIDSRYRIPTPPPDDFADEGYASMYSSD SAEDSERERVVRGKLGKLARKRFEAMLRVMSGKRAEIARGMGFALKKAEAADEVAEIV CRSVRLDATPVPRKMARLHLISDILHNSASSLPNVWKYRQAFESRLPPVFAHLSTVYQ SLLAYSGKISADVFRGQVFNVLEIWERWIVFNSETAEYLRGLLDGTKSLITPGDPKMT KAKQQEREEEAEKKKKQEEEDKKDGKFQTSGFKSSFKPINAVPVSAAPVDGQDLDGEV MGNDDDVDGEAMEMDDLDGEAMDEDLDGEAM
I206_05274	MDPSKILVPATGSIGSRFESQASIDEAKENKQKAWKEAYARIGQ EPPPEQADEEYDPRTLFERLQAKKDLKKEEWDSKMKLSNQWRGIDSEEQRFLLEKDEE KKLNQRKVEEKEAEELREYRERQASKATQIENVPSSSSLSSSSNIQPKKIPPKLIKKD VKSLMKGIIVKKKPKSTTTNTNTNTHTNTVIPSTTITQSQIDTSPKSSSSIGTKRDAT EIEEITIDQISSKDESDEKRRKVDSTDSGT
I206_05275	MSGLTKENLDKHTAADGGGGDAPLQRQSSRTSSHGERTPSLHQP GMSRRSSVSAGHPHGLKPVDTTQIGVPIVTPRKERPGHVRSLTGSYFPAQKGVVSMED EWPIGDEKTWKTALKDMEFDHEDEQKVANTVVRHVTTSLARQAYNMDTIAAYQATALS VRDRLLERWNETALHHTKKAPKRVYYLSIEWLIGRSLDNAVLNLGLRNTYEGATQKLG FNFEDLLNEERDAGLGNGGLGRLAACYIDSMATLNIPGWGYGLRYNYGIFKQLISNTG EQLEAPDPWLDRENPWEIGRLDVAYPIRFYGRVESVPHSDKAIWTGGMECLAVAYDTP IPGFNTKNCANIRLWSAKPVQGFDLNSFNAGNYEASVAASSEVENITRVLYPNDNMYA GKKLRIMQQYLWVSASLQDILRRFTKLDLPWTALPDYVCIQMNDTHPTLAIPELMRIL IDEEELSYEDAWKITTKVFAYTNHTVLPEALERWQIDLFESLLPRHLQIIYRINYDFM QAVAKRWPGDMDRMRRMSIIEEGTPKYVRMAYLAIVGSFKVNGVAELHSQLLQATIFK DFVEFKGRDFFTNVTNGITPRRWLLQCNPQLAALITHTLGNDHWLTHLKTLKQLLPMS ENEEFRKAFTSIKLENKQRLADLVETELGITLDIDSIFMTQIKRLHEYKRQTLNLFAV IYRYLRIKKASPEERKKITKHTAIFAGKAAPGYYVAKLVIRLINNVAKVVNNDPDVGP LLKVVFIPDYSVSIAEVLVPASDVSVQISTAGTEASGTSNMKLALNGALLLGTVDGAN VEIAEDAGEDQSFLFGHLTEQVEGVRFTNSYQPTPLEQRSAELAEVFKAVESGIFGEG HTYDSLLKTVYEHDYYLVSNDFGSYLAAERLMDETYDNNRTEWVKKSILTAFNMGDFS SDRSVQDYADGIWSVEPCEVPNNL
I206_05276	MSSSLGNEKVSNIDLDNIADWIIIRESWTRKAIEVEGYEALKKI SALPGGFGSNETRKKAWSTLLNTQRLVKQEVNSTTNPVQTENNQEQVLLPDEKIEIQP SNEAGPSEPKPHQNERQVKLDTDRSFVTYPKGIPSQSKLLLQDDLNDLIVGVLRKYPA LSYFQGYHDILSVLYLTFIPLKAIPPRSRSTSRGRIRKDKYNLHTPESLSTAAGTDGK VPLEGEGADDKLADVAQKADNNEQRGSGSSFDSNDQLKENLSSLTHAVIDRDTEEWRE LRRCAEMLSLNRVRDAMGSGMEGMMGLLRILKRILKAADPELSRFSAKISPVPTLPFF ALSWVLTLFSHDCDSLVPIQRMFDFLLARNPISAVYLAASILILKKPQMLAIAHQLGS EYQEDPTLLHPLFVRLPPLYPDTPSEPNPPTASTPSPKIQELQDDTVNPYKPIKLSEL FSLTDTLMDRYPWDGDVIRGKEIMGEGSVVQTYSQEGDDTWTEEKMLDMIDVQVVKSG AGEISDEEEDEELRVPVRQKRNRLPRNKINTLVALGVVVLGIGIATYGFKAGGNEANW GKWWGLVLRGWIGKEGRLLEGRFGNLIGQVRKAIRDAL
I206_05277	MFNAPRRMGGGSLSSSAFLTSPGMSSSTYNDPLASTVPAPGVSG GFGDVDPWSAAPSPARSGTPRDTADEVRERNVPHPSSLAGGTEGLNGLINDPPAIYVS LFDQLDTTGIGSISLASVHRLLTTSRLPASAIEKIINLTSRDQSSLARQEFFCALALV ALAQSSNLDEDDITIERLSASIPNLPLPDFKPASSAPDTLSAPPLGYSADHSPVVTPS TSTGFNAWDNTPRTNGFSDSHPQSGDHVSSGYSANGSAFRSDGILDENQLGYWKRLEH VDVTLITEKEGWFLQKYRIESDKRSAGAVSRRYSDFVWLLDCLVKRYPFRLLPSLPPK RIGPDASFLEARRKALKRFINFVVNHPVMKDDGALNVFLTEGSFESWRKRTKVSTEEE SNSKKLNSAQEMAIPSDLDEKLNLLKDNLSSILGSYQKLVLLSEKSLARLINHSADSS RLALSVNSISESINKSCYKCSTYNNDGESNIIGESCTLCQGISKGLIDVGESWSKIAE ETESKVTIITNHIESLKSIRDLYLSFRDLFIRHEKLSKDNVDYLNKKIEIRNKKIEQL KTLSKPGWEIEIDKLISINDQDQSTIILLLSRRIFIKACMWHELSIVFHSKQAFQTIL NWKNLTNDKIENLKKIENIWKNLKINLENMSIE
I206_05278	MPPKGKKSKAEEALDFLSNLDNLDASNEDSSTTTTNPTSTGSVI PSSVNESTPRGSQDSIRKSTSSNRENSNQGGEGDEEAQKALDFLQAQINQKSKPLSST TNNKPLSRSTTPSQPVPTALLSPSVEPVNVPSSNVNVNTSPNSGGWGVSSFWSSATNA LQQAQKVADEQYKKVRQEGVQGVTHQLENLGVKGVNVPNVDLNKLRKGAEERLGGIVK GVDLEKLRQDLVNTTTSTLTSILDTVAPPISAHETLELWLTHPMLGYSGVEGVIYRAW VRILEQTESGELIIVWSPPLNGNEKAENEEDDVEGEGRSINPVEGWDKAWEKSKVEIE SVKKREEENPKGRIVAPNPQVPVTTVPIFLHLQPLLAPLPYPEPPIHTSTTESQPPKH LFFLLTLHDSSHSLSFTTVTQPSPSDWMEVEYEKSEWVEERLVEILRTSVEVIAQDYV ATRMGLKPSAPTAAAVTALVEQANQEKDKQKVVESNVEKEAD
I206_05279	MANPLYAVADFCLIPMGLPKPSVGPEIAEVQRVLEKSGLDGYGT NLEGPWDDVCKVIGECHQAVHALGCQRIATDVRIGTRTDKSITSGGNNSKVQRVVDIL SEEKK
I206_05280	MPANAPIPGRFEEDPRVHFDKTAGKWQYEDDDGTEYEWTGQAWI PLIDEDLWKAQQAAYSVAGVDESTPANAVVARDEKRNKKRKKGEKDYTSNTLNTSNNI NNNGSTSSTSGQQQQQQQTQATPKRTAVWVTNLPPNSTVDILASVFQKAGVLLIDDEG EPRIKLYYDEQGKFKGEALIMYFKEGSVDLAITLLDDTELELGSGYGNIKVKIAEYTP KDKEHPAPKDKDEKKDSSEHAYGRGEKKKLIAWHSDDDSDDPLAPAGGAPAPLSNRFN RVVVLKGMFTLEDLNKDPGLLLELKEEVREEAETLGTVTSVILYDKEEDGVMTVKFKD AVSAQACVLKMNNRYFDGRVIYAGIYTGKERFRKSGGISFGDDEEADKEERERLDNFA AWLVDGEEEGSIKQ
I206_05281	MHRLVKARSQHFASSPRKTANPLITKHTLYNGKHPPKRRRLGSE AFVISRPVVTSDSEEDKQEVIISDEDLPIKLGESSKRISLPLNPEIGQQNINKSTSQK INGTRKSTSRKNLNNKSIAKEKAKIEYTNGNDVFGNDPNDDDDEEYESSSTSPRKRKR SHRDPDSSADSGSWIEMDEDEQEPEFIAESDQHLIDSAPAYALHRLRKAELIRLWKVA GMWNEEDDVDSVNSVDEENDAGKGKKELVDGLISGRKSFDRLISPFPSSPNRSSPRRK ATIDSSTDIKQLRSSASSSQQSPTSSPSSYDRPIRGRPIRDLDTTPKAIPRTRSRVRL AETTLIRTAPRRTKDRRKSMGKNGFRGRSKSMGDDKVDKKARFGDDVKSPAKGLIERR TRRSSTLSSAYTTTDTSQDGNSPPPRQTSQRIRKQAVPRGFTPARQSLKTKTITNTKK RLVNGHAQEQANTTEEVEEESEDDEPTPLVNRLRPRGRSSYIKEMSSEIEADDEENED EDTMTMQETDEQEENSPGPSRRLRSRDKLIDSDITMISTSKNNRKSLPARGAKRKAIE ALKGGESDTEIEMDMDVDGDTVLSPEEIEDGGNEKDRSQIRAHQSVPSTPPRRLTRRS SRHSPVVIVSDGDETAEPPESDLTATPDSPSPQPEDDVPATPAQTHTTRSGRAFGVMQ SRKKRLRQEARDDPDMEVDDDEDEEDDEETEDESFEVDVDLTDATIASLTRLLRDELV QMCESRGIEVGGTKPQLAKALLEWRDEQSGGQDAPQSDSTSSQGTAKPTSSSSRPSNK KSKSKSKSRKIIHAIGSNVHVPGKTTPVLLRDHIHASDPATPPISDESNRPAQSEAEL NLDLQELGLEDSIIKPSQLIKMEKIGSGGFKDVFVGKLRGRKVAISEFRGHLSEMDIR ELKLLAEFSHPNIVRFRGICIPEDSTHVPCMLVSELCENGDLFDYIRNVNCPSLRRVL NLMLDIARGLEYLHTRKPSIIHRDCKSSNILINRAGQAKVGDFGLARVKNSTRSMIRS LVGTVNWQAPELWHPHPRYDYKVDVFSAGMVYWEMMSGWTGDKVCWTCFYLRSYRYKQ SLTVDDPASIFLQKYPWEGHNEHYIYDAVGAKHRRPSISGLRKHWGDEPVNLMERMWH QDPAERPTMTDVVADLESLIAELR
I206_05282	MAIENQASTLEESTSTSLFSNFVSFFVPTAHAEAPEESEEPAEE GSDEPEEKEEEEEEEEEEPEDPAPAIREECEQKTCAEHVHHFKHCQEKVEAGKGFPGE DCVEEFFHVLHCVDQCAAPKIFKKLA
I206_05283	MSDLENELLGLVEDDPARRPSKKRHNSGGGKQKKSKAFEESESE GEADMDIDSESDEEDAVTNFASSSNVNRKPRGMTTNPYPLEGKYIDEADRERLENMNE IEREDILAGRLEEMQKLKDSQALDAMFKTIGGDDEDDDDDGPSRKKRKHTSVSKEASR AMADLKNKRKAKDERAQRRAARQSQRRPRSASPGSENSTEDGEISHSQSYTQRYSPPR SPDKNLSPNKEAKEDIDGTPATRLEVNSARLSRYELVDMMYKDGFEDVVAGAYVRLMA SEPDEQGRPKYRVHRIKGKFHPAFFVLVEVDTSEKFGAYNIEYKGRNIRDARGLLCSY GKLTRLFRIADVSNGDFEEKEFSRFSMTSKADGVKLPKRSELKQKHEDIKTLRDRPMT DTEVNRQINTRKAHDPSANRSALLKISQLLSTRDLALRRNDVQTVESINSEIIKLGGD PTTGQLITQGSNESSDDYDLRIQKINENNKRKTKEIMSKAHQAALARKKAEEAMIKAK SASQENSTSIPKPDVPPVSGLRKNETPQQYVARTIDLDLGDF
I206_05284	MIFRYFYVISAILLAIPLCIANKQLDSQVTDLFSNTTTSHTNNW AVLVCSSRYWFNYRHMANTLAMYRTLKRLGLPDSNIILMLSDDVACNPRNAFPATVYA NAGRQMDLYGEGIEVDYRGYEVTVESFLRLLTGRHDSSVPRSKRLLSDASSNVFIYMT GHGGNEFLKFQDNEEVSAYDVADAVEQMWEKRRYNKLLFTIDTCQANTMYSKFYSPEI ISTGSSSLGENSYSHHNDLDIGVAVIDSFTHYILQYLETLGKASQATLKEFFAIYDPV KIQSHPGISTALSSVSPEDILVTDFFGAVAKVEPSEKDSELSLFSQAANDWEKSIKSP LEPKLLNKKFLEQKESLESLRLAWKKPLESSQLDTKRLIRTLTGIGGIVLFFYVMHAK GRSKTD
I206_05285	MSRFAGRGFDGLWFWFCALHIPITILLDLQTIYPKHWLADTYLS ALFEWSITLARDPILGGAISKTREFAWLQYFFFLEGGFQLPCFIIGAICLWRNDKRVY PLLLAYGASTATTLIPCLGAIFTANPKPPLTTKEMITLLSEYVPFLLIPLGMAVDMGI KLTKIVSMAQDRKRV
I206_05286	MNSSIAKHLPGDPVFEGAPFVLRTAQPPHLGDHVTSRPQFSYRE PLIGWSTYLASLGQHPLYFVEFSCPASYPSKESNTVTREEIIPDGLDKATQRRKQQLL NKLEACDAFLYELDLTLGILFRLEITGQLPSINPVPYPFDDEDFVMHAVRLGQGATEK NLTTLFVYATRVLLEMQDEKKTEEFKDLEERFGFGGYAICQVYGLTDWNAEDN
I206_05287	MVYFATFILPFLVTLPIFILSKPNPTPTDPLEVRGYDNYPKCYN YETRDPHTCKCYSEYEEPKNNWYYKRAEETTIAQVDTQSKKGGEHHLEECICPDYPNT ELDDGWGIGDWKRKGKDHEHPKCVCKGNHQTYNAHTRKCECIKNWCPWTPSTHDKRSG KKGGYGHHGLDCKPCGPTGSPTPPGNGGGGGGGGYGGGYKKRSPSLHEAMQMRKTDSR GVEDFMGCKDQEKACESNGNWKCVDVSSLLWSCGGCPGDGVDCGSVPGVSEVTCHQGR CLIESCRRGYKLTDKPDIDYFTNTTCVNENDSPRHWFVAQGV
I206_05288	MSSSTYIDSLPYYDKQLDDPSLKAAAQSLIEAELRNTPQINDND ERIPKSIDVFPKSSELSSLLNEYPKKTIKSIDPTKYQPPSISSESTLEELKEAEKLSK ISEGHMTLRLENTNLLSNYSPNAWLIRNFQLSSQVKELEESLNQIKEEIININRNRRI YQEDKGKLLNKYENKWQDLISSNVQLEMACKAMNIEVESLKRREEILQKQVNELEV
I206_05289	MEPILGESKRRVCYFFDSDIGNYHYGPGHPMKPTRIRMCHSLVM NYGLYKKMEIFRAKPATKREMSQFHTDEYVDFLHRINPDNAQQFAKEQVKYNVGDDCP IFDGLFEYCSISAGGSMEGAARLSRDKCDIAVNWAGGLHHAKKAEASGFCYVNDIVLG ILELLRYHQRVLYIDIDVHHGDGVEEAFYTTDRVMTCSFHKYGEFFPGTGEVRDNGIG KGKGYAVNVPLRDGISDENYQSIFQPVIKRVIEWYQPGAIVLQCGSDSLSGDRLGSFN LSMRGHAACVQFVKSFHLPLLLLGGGGYTVKSVSRTWAYETGLAAGVELQKNIPNNEY WEYYGPTYELDVRSSNMTDHNTPEYLQKVTESVFEFLRDKNAAPSVPLQDVPKLNHDD EDENELEDIEDKDKRRPQRLWDKEKQNENSLSDSEDEGTGGRKHRQNHKISSSSSTSL LIENGKRKRSKSKSKSPTTNIDSIQPISSTSRVSNVNGDETEGINVEQWAESIQPTNE SVLPTTNINLNSNVNGDGDVEMIDSTAPTTITETGITSQTPIENVNQDPIEQVQERTV DEGVLSGTTAGDLIAEGA
I206_05290	MSIFQSALAPFTVKGFYLITWGTALGTNVWNTISGYRTFKTLPR QTFGTLQSRLQPLYFTFSSLATSTLLFTHLWFHPGLISSPRVEPHWATSTEGQQGLLI VASLIPQLLNLLIVSPLASDIMFERHRQERVEGKEYDEPNVSEALQKLNTKFSLYHGI ASALNTISFLGLAGLGLAVSM
I206_05291	MSFLRATSTLPLSRASYRALSTSSIRSKSLTESVKDAADAVNKK VGQTLASGLESAQTATEQAKGTVNEKTPSQSEIDSKASSVAQGAKETAESARQNANQA LGSAAGKARDAADEVNKRV
I206_05292	MSSSTSNSKEELIPQGILITANKVHTSFKTELLTTIGNSEKFNK KSPYLIGILSTKKEDAKIYSEFTKKACEQIGINFEIRLVGEAREGLDGKGIGIDVEEA ILEIGF
I206_05293	MVQEPSNSLSTTTSKFNSHHITTPLIDFNLKQALSISDVVISAV PNSNYKISTKDLKDGCICVNVAGEKNFESDVREKASIYVPSVGMMTIAMLQRNLLRLC EYQDMIKSAGL
I206_05294	MAAPQSQQIKQNFLNHPYTQQASRFATGQVNALDAELNKYPLLR NLEQQTKVPKAYGVLALGASSVVLIFFNFLGLAQPVSNLIGWALPAYLSIQAIESPQS NDDKQWLTYWVVFGSLNLAESLGVRAILYWVPMYFVFKTLFTIWLMLPATRGAETLYY NVLRPVLGNVKQKSQANIGQTNPFAKDTASGFNPAGTTAPSSFERESLLVV
I206_05295	MLDSPRIRSPSLGPPNEKGLIGNGKRRSGLKSRGTQLLALRFGW VVLIIWFEVGDFFHSLSTCRFPDSILRRSNPHLKEAPTHVVLLADPHVPHPVLSYAEG SRPWINWLKQQIDELFMRKSWNVVTRLGRIDAVIVLGDMLDCGRGVMTDTEYDDYHNL FRSIFQLPANVPMHFVPGNHDIPLGPNRLFSPHARDRYATHFSKPNTILPIANHSLIM LDAVGLVEEDYRRYAAEMQFGEWDGVEGGVIEFVKDLSENPLPGPSILISHIPLARPE ASTCGPLRERGRILKGAGPGYQNLLGSETSRFLLEGLKPSIVFSGDDHDYCEHKHPQG IKEVTIKSFSSSTGIRRPGFQLLSLVPPHPNGYAGSQTLADRPCLLPDQSGVYYRVYI PLAILTLLYLFGTNIRSAWQRWSGSNSTNGAGMYGDLKSRLSPALLSSETMPSNQNNL STRRGVSDRPVPLTLPSRKSSQQLQGLGTSTARRFTSNPSSDALSSLSRMPSASAPVS PFASPRMSFVDERPALSNFSSGLGTMNEDVEMGSGARQTYDPSSPTPSVSRRSSYIYM NDKPQYQQQQQSLIETPSENHNQSYFLPLPNTSTSQPAGLGFTTPLGSSFPLSAGNSQ TLRRVSSSTFSLASHSQGQQGNLISPAPIRRVTMPRMLSTTDWSTAASKKDQSLFNYA LNTSKGGAGGLWITAKRFLVWLWKVRNGFVAKSWREALAIAWPAAIVWILVNALFFLE 
I206_05296	MEGMISRSESGLEPDNLGGFSELKIRTMSISTSDIPSTSSSSLN PSTPTNPIPPNSEDTYLDVSTNWGGEHDTRNNAIRSSRMIGNRIGVSPPPPPGPPPSD PPPLPPISSSHPFAASSRPKPSARLSSLSSLRKSNLMPSRPSSPAGPPPTSDLPPIPF SSSATPPRESIPMSSGSSSSTSAENRPNHIRQLSQNGNAPTVSPRSSSLFQKNSQSNH AGPSSSTIGESLGTPITLSKKLPETSQNIAVAGPSHSSKPPRTPSRHLLQTALDLAQK AVEMDKNNDVLGALAAYREAVSRLKAVMERVGVESSGEKKSGRKGKSEEEGRTLRGIH DAYVARIQLLSSYEAPQSENDPSPEAGPSTHRFSLKQSSESSHPSTSTATLVPPSQAS AGETPRPSLDDGGMADIGNLMLSEASASATVSPARPKPSSSNISSGNQPFPSSFLVNP SPPNFNGVTSVQAPSDVATTHQRGSIPSIGLGYPTSPPTMTHPSSSSATPMKSHVSSN SIGGESAGSPSSTRSRLKRPHRPSMGLDMEADLSGIDGIQEQDEEVEMLARTPREGQT RIPSGKIPDSPQSVRSIDRPLPPLPGSASLDHNGQLIITARTASLSGASKPLPSPSTL LVSPTTTQGTISQRRQSQPLSGTLTTVVDQQLSQQQPIASSSAFPISSSGSSIRSISQ SSGNRVRAKSQPGQRPIIDQGQTTGSSVPPVPQNQLKHKSSFSSSSQLGTGISPQISR QSVAIQSNGLRIETNNGYEQSQSLAPPAHISNSYRSGIGISPRSLPPLPDTAITSTSF GGGGGGSLMSPVPENQPSESLHRPFHLLRILYNSMNPEIGGSYLTGSIHISSSIWNNQ NLKSFSSSGNKNLIPPLKIIAQEIKIKVLEGLILNFENIRITGQILLSNNQSSIKNPK RTTSSINNTSTINGGAEDFCLSLDEFDEEMDNIHKILSKNGIGVGSWKGKKQGGTTKS WGSRISRSMDKMTSNNRNTNEKGNLEGIEKYVELLGNFCIGSQIINDHLLNFTSDECN LKYSNLSENLFKKIESRLKRISEFLSFIIIPFILEDFKQFLLRYLKSGLKYLED
I206_05297	MAQETLSLSEVIGRAYARALPPKKFVQLLKSTVRSSPDAQDDLA SAILPFLLPSPPSLILSYLTNLLSSSILTSRTIFIHILFYLSDHDLPPIPIINSIFNI LASNLTGLEEPIPSFLSSPSSSNLETDKIPPEVGTSASAMNSPIINRENQMSTLSLIL PLLKTISSNPSLSLNSSLVIYLSKVVSILSNFPLPSLDVGLEVGGLLPDLPEEINTHL RDHLSGLMTDLNNQGPTSQIQMQNGNVQSQVSQGVQDIQMNNLSNDRSQRKAPLKESI IFLIEWLKRSSKFDKSPRAGKELGHNWILLLKAGKYLTMDSSQFLSVLLEVGIQDLIR TDVEDLEAAQNWNILVGIMPALLSWWKEHAEEEFPFPVNITEILTDLFRNHSMAMQSY SENLSQRYTVLIQNAENEEEGSTFTSLEGWTLLSLQETLISKLVQSSLITSDEASTVA PGANSHTFSMGESLTNRLSSESHPHLPPLVHTIQYAYSASASFSEEVIQIIKSCPSIP PPESVFTYIASQPGLLGCLTSTISAKALLSLMEKQLLDIGVDENSRNDDPQGSLTRFG EGVALVEAFVAFYQLPLPPLLQDARCASSFSHLDDESKECMNGWVKAIFGSDGIEDAI LLATPPQKLYSLTSTLIQQAILAVAASQIDLDTLHSGLSYFSQPLLSWCLGGVVSWLC KEIKRQGLLSALHLVVLQDLILGHSCPEALIRVNLKVLNDLLNDNSLIEVFQSSNFDL NGIKLKLNHFNLNELLSIPSINSSIPLNESLKLLRKIDENNSSINWEKTFFNSIENEL KLKSNKKIIKIIFKEIFNFNFNFNKINNDNLIKFIPLILNFKFNKNQNILLLNTFINF SFNENFLKSLNYDYNNNNQEEKDLIEILKNSLIFNSIFNLNLNSNENKKDFLILNFIN ELEYLFNKSLKGLNEISIKEIIENENYNSNKKLNLNRKQQLILNIIKSLKNDDFFNL
I206_05298	MATTTTNPKDRTLLAVIGDEDSVTGLLLAGIGHVDQNQKNNFLI VDSKTQTSVIESAFQDFTERKDVAILLINQHVAEKIRPTVDRYQAAFPALLEIPSKEH PYDPAKDSVLKRVQKLRGD
I206_05299	MSIPSPTHSAGPIDSRKSPLAVQEAGRALEPSIMEIKADLPASR KTSSPLSSPSSYTKGYMLDRDDHLDYQRSDLPENHGEIRSPATSSTLPLTDEEEEDDD DEQIGDDDSELTEEDDEQEQEEDDKNIGKIRQPIFPSSRSNRDSRASSASLTPPPSDQ SILSSPQHSPKISLKLNPPHIDEQEDFDESEDEELEGDGDVTMRAGPSEEEVREGNQE VMNEIEVVRSAHDEENDVVENQGADNNKTMQEAVGGSNDDPSNPDEEEEQEEEGVDDN EEDSEENKIVIDDDEVDVAPPVEIEVEVEEPTEEAEDENGIEGETSGERGERGDNEDF EAADSPAYLTVPNHGKHSTHAPPPTTANMRALMMLELKFAALRDRLYIERMEEAAAEE EMILNGTHPALQYLYKTLSDRRERLHEVASRRHQQTLGELKRVRESEKHLIWSSWTDD RDQLHWDEFEQTWSKRRRLAREKNEIETPRIVKPVPKVGQALTIRAFDWSAGATPSQL SREDGNRDLALMNTRRHHQPQAVTRHGSPAMFPLQYNAAGTSTQPANGGATIYSYPQT QHQQSRDPLTHSQPRHTSSSAGLQQQKEVQAQAARPPNVDGQPPNSMNPAYPPRIVKN HQTSQVQAQQTYHQPVQRREGRTVPTTSDFFTGQRRDLPTATQKVKSPEEDQPASPIG LWSRGMNGTLGGGNKKQEVGATGSSPKTSSSLANTPTLPNGSHPSHSVQQQSARPGSA GNANIVKQSPTDGGTNLANNSSSGPIRSNSAENASSKPTSGAGVQLQAARSNSNPTTS TNITSDNATQQMGRNVRDLDRPGNGTSSNPLARERPDFPSRFASLADYLASSTAPPGT GLFGMGLGMGMGMGMGGMGIGVPLGVGNKGRSPYQNGNGTSASTSNANSTMNTNNRDV SSISTKSNSPIPPPPPAGPVTTAGTTDSRK
I206_05300	MASKNEIAAEHGLHNQQSINTNSDGTLAPNNNGNHNNNTGYTGG GGPMSRFITPGGHPVDNSQPAFPVFHRKFANPAPLGLLAFAGTTLLLSFINVSTRGVT VPNVILGMALGYGGLVQLVAGIEEWACGNTFGATAFSSYGGFWLSFAVLYIPQFEVVA AYTDETMLASALGLYLAVWGVITFLFLIACLRSSVSLVGVFFFLDITFWLLAAGEFTA SATTHKAGGAFGIITAFVAMYTALAGLLTKESSYFLLPVGDLSGRK
I206_05301	MCRRQPGIAIGRMCEKCDGKCPICDSYVRPMTIVRICDECSFGT AAGKCIICSSPAISDAYYCTECTRLEKDRDGCPRIINMGASRVDAFYERKKLGLEKGG GFKKG
I206_05302	MTSIPTSNGGHGVGTIPEAADEELPDDTERDSAQTKVVNVKDLP YMFDNLKPPSATQSSAFGTPSLSSPNSTPESEAEPISPPHNNLSQLQHAKSDYHPSPL GNGNHDADHIAHKSLNGGHDTLQIPQNYHFPPLSSSMATVGSTDSPRVQHPQPGLPDP SFPFPNFRPLPSTTNSPHPDHSMNIPPPSASGMNSAIMSRANSSASVYAGSHHSHEKH PEIQTRQSRSHTTSSKPQMLGEHGPKKSFLHKMFHPNEAKHEAKLAAHAQAQAQAEAR SHAGQSHYDSLSVDVSRQHSSSGHNSPSRSRSPNPPSRDATRSPPLTPSTPPANMSDS DHSRPPSRAPSFRRQNSDKGYDRDPHAQPPTDRKGASTPPVPAIPPLTRKLSGRSASH GSTGGKEKPVALVGGQPVAVANKQEVASSSGNKFTLKDLVGLGDNGHKLSRKASANGS AKGSDRASTKAGSEAGGDGGSTVSLLKKYGICDKAAIGKGATAVVRLAHKWDRREEKL YAVKEFRKRRKNETEKDYVKKLTSEFCISSTLHHINVVETVDLVQDEAHHWCEVMEYC PGGDLYAAIKKGGMSSGEVECTFKQILQGIQYLHSMGVAHRDIKPENLLLDGRGHVKI TDFGVSDVFRMCWEKKTHLSKGLCGSEPYIAPELFDHKEYDARLVDVWAAAIVFYCMQ FQELPWRVAKTSDSTFATYAQTYKGDGTNGPNEKPPTPAPLNNLIPRECRNVIKHMLD PDPKQRWTVDEALKDKWLTSVEVCEEGKKNGHNHTSAGMDVVKL
I206_05303	MEKIKNIFASSSSSASAKLSKAFKFNGEHNILRRTNTNGLGGVK PFLDGPVLEEEFVAFKLEYVSV
I206_05304	MLVTLAQIDKIKQPSLPKTHLVIHVVAIFFTFLAICTMGAVAAF QSKWFSVSGGTGFVLFLLLLAFLLSAFLMIVPIVYDRWDKLKSPARFLGQTRSTFILH AFGTFLLLLCAFIVTISAWTAKGCKNADNDPHADLGDDFKNGLKDWCTTKKASAIFDW LAFGAWTALLVLTALVFRRERQQNRRREPAFIPPESNGVSYSNILATDDERYADKGSE PNNELSSYDTPGGYGYARPTTAEPISEGALSRPSVDAYGAFDGDMPGARQGQHQYNSS VSTIGDGGQSRTMQLAYNDPYAQIRASLMNNPAPQAGYAQQQQPQQLYGNGGLPNPPS YGGYR
I206_05305	MSNVNPNPTSTSTAFNSTSSENNQAVPPSIVASGTRLPSAPIET AEAQQAATAPGTGGIVEQPKYEDKITFKDQVNGYAKKFAGTVFKNENEKEFGEKKLDG QA
I206_05306	MPLTGPRPNPASAKNAINFPDYHEEGIPAYSSAEYTPPFQERGH HSTSTPSYPHQSSPESGTARLEPVDNRYQSGQSEAQEIMRDYRNSKLDDEGDVGLLSS TRPGEYSKEYENPYEDKDIQQQPRSISPSLGPWDSASQRSLPFHSNQSNPYPNLYQPS HIPLSQNSSSIINNTKHNPKAASYGGLSYIDENGEYFQTKYSRPASTTLQLENNQNDN VEMGLIGNLDRSNGNIENPYYSSSTSKYEESPYPYPTKNAGLTLRGPNALYDFLLFPT GLDRLLALFGVKNGQYPIEQAIERKRRGIGGQRWPIAAWGLAIVMTALMVYEMVANYQ AMGSPIATKPTFNPMIGPSSEVLINIGARFPPCMKLVEDLPPSFQLACLNDTSSTPTS SCSIEEICGHGGFNGENPDQSWRFVYPIFLHVGIVHLLLNMIAQITAAAQVEREMGTI PFLIVYMAGGIYGFVLGGNFSRTGIPSVGASGALFATNACVLVDLILHWKYEERPKLK AFLLAAEFLIGFGIGYIPNAVDGLAHLGGWAVGILLGIILYPSISETKRHKYILWVSR VIALILTILAFVLTIKNFCEFYPNRLL
I206_05307	MPSNPYTSLNHTLVSMPTKDQEDRAEALLELSISLIDSCLEVLK LNITKDEQLIRESNLMPGGTLGKHFRHVIESFNSFLLPLKTSTFSSTTTTTTKEEKEN FEINYDNINQKSRYLISRSIKNCILSLEEIKNDLINWGEISRNSSNNNSNNVINGEGI AGEIGIKRSNLGNIMEKKINVIAITPTKQVMGSTIGRELWYCSLHAIHHFSMLRTIAV HEHGIELPVEFGTAPSTLLYRGLNWKPPTENKEKSMAVKSKL
I206_05308	MADEILRNSAALNALKRHQLVSLSKRYGLKASGKNVELIQRLED YAVNHANDLDFYIPSPAPTPGPHIFSEPATSEPPTPTANHVPSSASRSFQYALAPTTL NHKDSMMSVQSRASDAWEMLSESGASLISPKKQNGMTKSSSCSSWKSANNGEAMGEFG GYHNEKATSTSSMKALATSLSRRGSRILLGRSTSASSHLSQAAEFQPELIAQPQPEPE LIEEIVNTIPPSPASTVGVPRRHSRITLLERPSTVRLCSPTPTSPRFDPQSDHEDDEL PFFSRQDLKARRSVGPLRSSMGQTTPLSLQNGMSRKSMPALPSSGSASVSRIYPPLPA MASQFAEFTSTPPVPGSFPPISPAPTRMVFGSTIENGVSNQQFSEAAQAVLREMNAKL PGGLTFGEELLKGQRAEIEKLVQTNKQLGTGGWGLSNSTGENDRYAQAHQKEFANTHL IPSAPNGAPLAHTTSNEEGRQAKRKRVSNGPNYLGSIREAGRSIANLLGEEKGKSGEE MMKSMKERRDKRRSSLIKRKGRGISSRFGFLRNKKSSTHPKNTSVPPPLSITSPPIPT PTMPRKTSVYAPRDPPHGRIPFDLEASLARKPTATRRRSTDLSNVIRPHPEVLEDIKS PATQATTRGQKDRGRSTSAQTMLSQTSSNAPRRARIPDFAPPVNTHNAALGSTNTLGL PKSTSVSSSLAMSKKASQAEIIRNARPAPPPPSSFAEKPRIASNDSTRPTSINRSSTL YMPTASSLARMQATIKPNADRPLPMPPLATPRIASTVQPFGSAQSRDNQSFTSNFNLS KPTSSKGVSRLKPKTSQSAIGKPQSSAAARIRARQSGLSAVKSKSNLREDMEVKRKRS EIKARNERREEERGLREMLGR
I206_05309	MPPFSFRDLTMPVAAFTMAIVLTAHVYNSINHARLDAAIARDQQ LTDIAERRKKRYADALRTIEEKSNVKDQ
I206_05310	MNLGGINHGELGRTYRITPIQSPILGAAFQGAYLSRLPLAPPLI LQLDVWDSEGDLIIPYDELPFLICHLSLQTPEEEDAAMIISPEGEQVSMLYGTLVTAP AEMDDTSNAPGIYFVFPDVSVRYVGRFRLHALLMRITGGPPLDTATTDPFDIVRDTDY IAPAITDLTRHFDAQGVVRFGLPRSEW
I206_05311	MRLVAFLVVLLGFAVTALAWSKEDYEIFDLVSALEAAEGKGIDF YKHVGVEPSASTSEINKAYRKKSLELHPDKNPGVKNIQERFARLGVIAQILRSSEGRE RYNFFYKNGVPKWRGTGYYYSRYRPTLSHTLVFLVVLTSAFHYLVLNLNYRKHNRRID YFQNAARSSAGILGVTSSQNGEKVTVPVQGRRRKVRVPMVEGSDQGGTLELVVVGNDV FIPHSDGTLEPISGLAHPPSITQTWFVSLFLSLYFKGFDRLPPSIQESIPEFLQSKQP AQSDLILDEEEEQDEAESALDTPTPTNRAARRLQGKKSRSVTSTPKDSPATTELESGD EDSKGEKKKKLTVGKAGAARRRKMGLKR
I206_05312	MSKPIISKSFKEMKDTAEAVTNSSLRSTVLATQDLTNKVTSINW KETAHQAKGYIHQGGQAAMEYAKEHPYQTAGIVGTTVVVACPTLVVGPVLSAVGMTSN GVSAGSIAAGIQSTIGNVQTGSLYATLQSAAAGGGGLGIVNVMTQAGAAASNAAMCGV GYWKDRGRGAKL
I206_05313	MALFLVAFFITPSIVMILILAISVFAMLVYVPATIGLTARLKVT QWDRAWGEVVYLVFQATLWLANAIVGGIVGGPSYCSERLYELGKIGGTSKYSYEPITS IQTLCNGFSAQIALSSIHVVALLLWIWWIYKTVTKLSLRKSASNPEKSLWNISVGELM RRDIRYNRKYDEEESPNGVTPFVILPQSPNSQDEQITSNVSVEPERDIGPYIPPPPYQ NSYESTSPRNIIPETPSLTNINSASPINPNYASDARIPQSPTNESTSEGTDPMVTSPS TQTVVKNEQQIEQRTTLRRAMDEKEDSKDVIRRALQR
I206_05314	MQSQTIVRPTSLVHLSSMKTWGLEGLTNAKFTFDTKTTTATLLT VVISLLLLEQLVYRTKKAHLPGAKWTIPIIGKFADSLNPTLANYKAQWNSGPLSAVSV FNIFIVIGSSNEMARKILNSPNHAEPCLVASAKKVLSPDNWVFLHGKVHADYRKALNV LFTKQALSVYLPIQERIYRSYFNKWVSDKEGAKPYMMEMRDLNMDTSLSVFLGPYVTE AQRTEINKKYWLITVALELVNFPLAIPGTKVYNAIQARKVCMKYLESASAQSKIRMAD PNAESECLLDEWTRAMIQARKAQDDGETTKLLSREYSDREIAMVLLSFLFASQDAMSS AIVYAFQLTADHPEVLAKIREEQYRVRGNDLERPLTLDMVDDMVYTRAVVKEVLRFRP PVIMVPYETTKAFPISADYTVPKGSMIIPAFWNSLHDETCYPEPDSFKPERWLPNEDG SAPLADSKPQNYLVWGSGPHKCIGGQYASMHLAATLGTASVLMNWEHEVTKDSEEVKV IAAIFPKDELRLKFTHRAPPA
I206_05315	MFYVIGLGLSDEKDITVKGLEAVKKSERVYLESYTSILMVDKEK LEAFYEKPIIIATRELVELEADEILKDSDKVDISFLVVGDPLGATTHTDLLLRAHSKK IPTQTIHNASIMTALGSTGLQLYNFGQTLSLVFYTENWKPSSWFDKLKENLKLGVHTL ILLDIKVREQSEENMARGRLIYEPPRFMNPQQAFSQILYTESERRSELNSEEEIEKED LLPPSKTLAISLSRIGTPSQNLISGTLEELSNLSKEEFGDPLHSLVIVGKRLHALEFE FAGKFAIGGENGDWWKVGKEVYGVERETF
I206_05316	MFALYTPILLTLATWLWSINWNSFTSISHEAMEIVWKNVPQQTI HFFERLPGEFIDSVADLNLTHTPHMIKNHLQEQIEKGEQVIAYYDWKELPKGTIQWVQ DHPYQTALNAVSVVVFYAPNLVWSPILRHRGFGKPGPAYSSWASEYQSLNHPVPAGGI FATFQSANAKGSGYGIGTLNAAVRSGVGLVSGGCWLYYCFGENSTKAGKKGYQVQQQR R
I206_05317	MSDTYASIKALHSSLPAFQAPISISLLPSIAFISLLAFFVLTFL FTTLPKSKFPIAELSTALIASALAGGGIVALFCTLGVYV
I206_05318	MSTDSINPKLNGESSKRIPTSETAVFQHSPEPDSKLKREWEPGC QPDEIYDRYLPKWRAWLRRYLVKRLREEKVWMADWQSRVRTEGRDKFFYWTAIFGTHT FFMTFLPMLFFFGFPIKARGLLYVVGMGIYISSFAKDLVCTPRPYSPPVIRLSMSTHH HEYGFPSSHSTNSVSIALFFGGWLYELRNQVGMTNVVAGWSFLVVYAASVVGGRLYTG MHSTADIIGGSLMGGTCWLLWLIVGDSTEGWVNTGTWLVPAISIPLTLGMVHYHPEPL DDCPCFEDAIAVLAVILGSFVGHWWGVISGEAIPPAVQRETYQAGLVQGLTITIFRLV LGLGIMFAWRLIAKTTLLRILPPLFRTFSRVSGEDLPTRRFYKAATDYNKVPQKISFR HIPSVVDLQIGTNENSSFSTSPIDSPLSHMNGIASKSSAFLQAPSMNGLSKRSRKEEE KHQVEEDRKRKDDEIRLMIRINKRKGDRSKYDAEVLTKVGVYSGIGLLATTFIPILFN KIENIILA
I206_05319	MNINAGNTATIGGFDEAGAKALFANNAKFMLRCQMSSLIIDTFL AGVLLLQFITYFMYQKDDKIWTKCIVLWCTLWSFGITFYYWAYMSYLFVDNYGLWLPW LEVRWLALMPLFDVLCVGVVQSFFAYRAYLLVRRNRFIYALIMALILAAAGGGIGVTI VFGSQPSLLGADQSGPTLITWTATTTAADVLIAICILWGLLQSKSGWAHTDKLITRLI RLTFEAQLPPTFLAMGYVIEWSQTPSSLLGAVFQALQSKAYTIGLLFTLNARLTFTTP QVYAMSDRKPTQLEVTVQQETYIHNDIYANQNGQQKAKNDAKSDSTSDYDEQHVVTNE NGSRARLTFPDNMA
I206_05320	MPPVSDELYPRHRRSRTGCLTCRKAKHKCDEQKPVCGRCQRTVR ICKYPSPTDGSSYSQMLTISSSDRTSSFGSIPHAESSMNAIERTLEAIPPAITLVDLL ALAIPDTKERSLLQHFLCFGTVNLHAIPHPNKPIHCFDVSECFQNRRGSSMEIDSFFL SIISIAAVHRSSMFLQMEKKYIQQPPVGRWGVPPLPSSSDPPNKSQIISLRNTGISSS KAAIELGKIALNLKLSASNTEGDITLATTELKTVADVILTSIVCICISQVMLVSQAWK EAYNLGLQVIKLRGGPPKMLEEAKTMSADSLDRTRTLLENLAIIDVWHCLASGTAPSL LEKEFQPWWFDFSDQITDAEHPDSFQFICGMDRGMLEVVNRINILVYEHGVLSKLPNP AYINIHAQKVQDMLLELNIWEMTIGSANRPARVQIGNLIIAFTMRVVICVDLLGYTHS HPTVQSYASLALTHLETSRKSYTVNMLVPTIIVGSMMYTAEGRNQAKRVIEALRSDVS FSYDVEEALRILEQLYALRDQGNIDPSWRPLMQDILLL
I206_05321	MSSSKNEVGLPPVGMENSSISNLKLIDNVLGLPIESGMSMSHWL GTVRNNPLLDLRSSEKLPASAEVVIIGSGISGALTALNLLESRTPPNSIVMLEARELC SGATGRNAGHCKPDLWRGFTEYAKRFGNDQALKILANEKETREALVKFIEDNKIQCEV WNGKTMDVMMDNTVVEKAAETMKAFKAAGGDASFIDVILNPAEAEDISRVKGAKAVYA SPASTLHPWKLVEFVIKRCLRLGLNVQTLTPVTSISGSNNKWIIHTDRGDIRTSTVIH NTNAYAGYLLPETKKSITPIPHMCNLVYPPSSHSGSKGLSNSYAVIYTEGLFSINPRS TSDGAILFGGVQPAQDALESFVKEKAERQYDDRLKDFQPITECVKTLGGNVFDWDKPP KPGFAVRYDRSWSGIIGLSSDEVPFVGAVPGKAGQWMSCGHNGHGMARIFTCSRGLAK LVQGSSWVETGLPECFQVTRERLNGQAFRPSKL
I206_05322	MSHSTSNSNHDMDRLDRSTSTTPKDYNDGMGVQIQTFDTDQTPV NDLFTNTSHRGLKMRHLQLIAISGAIGSSVFLSIASPLRGGPAALLIGVSLWSTVIWG ISNCLVEMCTLLPVEGGFVYYATRFLDPSMGFSLGWNYFICQVSLICGEFTSMNVLIA YWAPDLNPAIVISVGLALLVFVQVFNVRVYGETEFWISIGKIFMIFGAFIFTFIVMVG GNPKHDRYGFRFWKDPGAFAGDTGSDAAQNIWHAVQWAAYGIVGPDYISLVAGEVKNP RRVLPKAFNSTIYRILGFYVGGAFFAGLNAPANDPHLLGSSGAAKSPYIINMNRLGIP YLPSILTAGILISLFSSTSSMAFAASRTLYCMGLEGHAPRFVTRTNKYGLPYICVLVT LGLGCLSFMALGSGSSTVLNWFINLTAATQMITWIAVAASYLRFRAGMKAQGLTNSFL PAKGYFQPWSAWWALFWSPFALMFSGYYFFAPGTFAIPDFLFTYMAVFIFIVLCIGWK IRNVIKFKEGWFGISASEMDFKTGLPELEEMTLQAEEEWKNEPQTKLDKIVNNIF
I206_05323	MRFPVTSPLILPLTILTFFLSCISAFTFSTSTPVQCQNFTIQWS GGSGPYHLSLVPTIIVAGGHIENITIPSESTQWSFNLQQPAGLDFLVTMSDSTGFGAG GTTSVLTVGSSDDSSCVPSFSNYDFTFAVNPDSNPSSCGTMAVSWLENATEPVSLFGL IPHGSAFQLPIDQSSTNYDWTVDIQENTQFLLFMSDSGQFQTGGSTPLYRVQSGGNTS CITSSSPTTASGDSMTYATSAGQPTASVSGVGGSSQGGSNGSSSSSHTGAIVGGTVGG VAFLVLLALLLFFCIKRRARRDDRSDSGGLKNYGLGRNSAEKNGRRTNQLDLVEEGDG DETPEGGRQVEMNGDVYEPSPFRYPSPPETPGNTNNGLGSMTPSSNNTPQMLALASEK ATNSNRNSIQTPLTPSTNTHPRTSGETYTPPDGTTVAASEGIAETEDSNRATVGHGAG RMSSIRKTPSSQQINTSNALGSGTGTSNEGSGNTNLSSGEARYIQHEDAGVM
I206_05324	MVAPAGLFSNRYKVEDMPDLNGKVAIVTGGSRGIGEALVGDLVQ KGCKVHILANTPEHAEEAIQNISKHTPNAKDLIKFHQLDLGNFKEIIQVSKNLSNELN RLDLLFLIAGIGVAPFGLTKDNIGNHFQVNNLSQVLITDILLDLMKKTSEKKQNSQDE LEKYSTRIVSMSSELHRTTNMDIACDNLEEFCHEKDALMLYGRSKLLNIYFIRKLAES HLPSLTSSSPILAASTHPGGVATEQEAGAAQSYPILGTVLEAASKVLFMSREQGAESA LWAGVGQTMAKRREETQGRYFTEADGKVDTESDQAKDVELRNKFWELSKQVLQDKAGY TLKH
I206_05325	MLLYIDNLVVQWGIQDNYEIVRKVGRGKYSEVFESIHLPTSAKC IVKVLKPVKKKKIKREIKILQNLAGGPNVVGLLDVVRDSQSKTPSIVTEYVNNVEFKT LYPKFTDFDVRFYMFELLKALDFCHSKGIMHRDVKPHNVMIDHEKRTLRLIDWGLAEF YHPGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGCMFASMIFRKEPFFHGHDNA DQLVKITKVLGTDELFIYLERYEIDLDSQFDEILGRYPRKPWSRFITSENQRYISNEA IDFLDKLLRYDHQERLTAEESQQHPYFAPVREAAARQ
I206_05326	MYIPTTLYLSLRNSKNGLLTVGREVFYLIIQSLIILILGIMSVL SNKEDICSSSSSSIISPFSSDIEYHSKIMNNDLKCKEIIALSVFSFIQLIIVLLWLSL LFISIYKYRGYRKSNQKDAFDLIIHQFIKKNKNQVNFEHDSNEIDIEYNEFNSTPIEN LNKNDSPISNYSDNINEIKYNSTLSNEFENNQNQIQNQNQNQKTNSSWRIPSLPIPEF KFNFQENFRNSTKSQNSTNTITPYEDKSFSNSKKPSNTLNNSINILPTLESTRNSIKS WKRGKEDLEDFKKDSKRIESWRISPIPLPDLNLISSLGLSFNNDNKSFKKENDDDDNR NSIKSQLSGLTLNEVRNSIQSIKSSSKQRDSIKSQFSYENSHSHSNNIRKKKESLEPI IPLPNTKLNGYRSTFQFKPELSLLENDNRNSMETLNNNNNNRDPRKIESWRISPIPLP NIQMSFENEQSTPSIPLPKKAIIHETKNKNWNLNLNDFQQEEEGGRDLLQLPYKSRKL EGIRNSAQSGFSLEHSRSSIRSQIGEIINNNNNYQEQEREREQDDNLSNFTDNDKLSY NSESRSYLGGARNTFGRDSTHHQHHHQHDENDYSWQDSYSSLGVIGVGQVGNFRKSTF QVNFDGTNSEENGKNDGIAVESESKFSIGNQSDEEEDKAN
I206_05327	MAYAYPSGDLGGSSSSLNAISSNAGSGHGNALRRYEAGFDVLRE FKHKISEDEHYITFFQDRIRVEEQYIENLTRLYDRSVAIDTLHDDSSGRRKQSKTTAR KAWQEVRDYTQREIQSREAMVGALRADVLKELSKLKEEQTRIRGALKDNMKLANDMYD DHARTQLPKLKKNYNQKHQALEDHKRQENAIAMQARLLSTPSPPSPAGTPLHEHPFAV PAGPSYTSPPTTTGPLPAMSNPALPHSEPVHAPFSPEKKMNRLRAGSASGGESKGKDV LNDIAHQSKKGFSAFMQKLGGDRDKDRDKDKDDYIIGGDNIESNLQRRGTGVSRTNDQ KAMAAMRAVKVKREAEDADKAYRTGVFHLESLRLRREKLHVSAMNSLENFNDELSKSL KYSLESYMDTMHGTAATNAQATEVARASIEGINLEQDMMLFRTRLRSIASPSIAPIPY ENFYVGPCRSLIFGVSLTDYDFTRGDSSDHGRPPTILEKAISAIDEKGLDFEGIYRVS GRHAGVQKMVQDIELDEEKFVFDERDDVASIGNVLKQYLRELPEPVFPLPHPERVKYT ENRESHIESNFSSLRGRLRRLPPIHQTTFQAIIEHLGRVHQRSAVNKMDAKNLAVVFN SVLFGQEQTPTDTTSLLQQHQSKDTVLQDLITFSDLLFGAESPILAPTALPPGPALSR SGVLSYHPLDEGPQPGSSRTKIKISHSTDQTSEAKASPIISEESSDAAPTTNEPGTAK PLAIAQFGDTPIKEETYTPDDRLDLLFESKHIPANLRNDLPDGLHIRPLSSTDLLRSH FELLNDLRTSPALAPSVYSSIFHHFKSCPGTYFILVMVDKNTDRLVASGSLLIERKHI NNGGLAGHIEDIVVSDSMQGKGLGQKLVVGLRDMAIELGCYKVILDCKEAKMPFYEKC GFHKRSVGMAYYVADHQPNSSINPSFGTSGFFSSAAETDSSPLDSTSQTAPLTLTTDL TPGSPSLTSASSGTGVTYSFPTADLPAWASEGLGSSPLVPPTSTPMPASLPTSTDTSP INRKEDVRTPLPPGAAPASTEAEPERLP
I206_05328	MSNDHSFSLGQELEEELVSWSSGPPGLELMCGVDFIANKAATNP PLSDQTIIAELVSYRQVIQPGTGIWDLLIPGPYRFTEYRDVSVTGESGKEQTVNS
I206_05329	MPSNTFATSGRDLIGHRIDNGRLEFLSTLGVGAYGVVYLAVDLH APRPVYLAVKCLLRAGLDSRQRHFQRREIALHQLASRHPNVVTLHKVIEEGEYIFVVM DFCDEGDLFGMITEKQRYLGNDFLIKRIFLQIIDAVDYCHRMGIFHRDLKPENILCTQ DGERICIADFGLATSERYSTDFGCGSTFYLSPECQGGLFERLESYSTETNDIWSLGVI LVNLTCGRNPWRQACPNDETFRAYVHNPDFLRTILPISRATNRILKGLFALEPRDRTP LKVLREQVLRVETFSMTDEELKTAHSAARAAAAAVRHVAPIPAPTPAPVPVHVAPVPI TPPTPVTVATAAIPKLSVQEMMDVDGDAELDEFSNQQDQRNDRARVQSNSRKQESSWS SGNSSPYTTLSQAQISKNVFAQIDFSQPEIYNSSLNSTNETPSLIPGEVDPLDMYRTG SRSSSSGDISLPPTPEFRPVDQQRQQQSFQSRITKPQPINTKNSKLDLLQVNMNPHSP SSGEFVL
I206_05330	MSSSLYGSTIRYSLFGITTITFILALFLNLFLPGWGDQGVIVAG IHGFIGVIMLIYYPPAIFFSIKDSKKKWDQGGGEVAVNVIITISWLAVFIWECIIASM RLCLDPDSGFYVNHNRCQPAAIGLTVLYFINMVIHFGWTTWIITIVEKNSIGRKERDE VYKIPSHDLVRGKFNRHIGDTKIAEDEEAGLYNLKPK
I206_05331	MQELIDLGRDPPSSCSAGPINDNLFQWQATIMGPADSPYAGGVF FLGLTTAFIDYPFKPPKVQFTTKIYHPNINANGSICLDILRDQWSPALTISKVLLSIC SMLTDPNPDDPLVPEIANTYKTDRPRYEATAREWTRK
I206_05332	MVKLLTSFTLSLLVALLSISNAQAEVAGSKSRPRRLDRKGTPVQ IAPRATTSAADPWTNFKQFDWTYWQTNWGWAGHTLSYVKAWYYDEYGLNLPPGVYSWP QLSYYVNYFGTYSPTPTPSISVYGGSNTEDPWVYGTLASSSTSSSIAASSSSSRAAIT SSSSSSAAPSSSSSSSSRAVSSSSSSSAAASSSSSSSSRAASSSSSSSAAAASSSALP SSTSSSAAASSSSSSVTASSSSSSVTSSSSSSASSRAVSSSSSSAAVSSSSSSATVSG SSSSATVSSSSSSATVSSSSSSAAASSSSSSVAASTSSSSAVVSSSTSSSTSSSASAS PTPSLPTVPSGWAIASTPCIADGKTGRALLGSFTIDYANTVESCLAKCDAGGYPIAGL EYGNQCFCGSYLSNGASLQTTATCAVACPGNSLETCGGYYALSLYISTKLNGAALSSD LLGQSAVLPSGWSTAAKCMPDVNGRALSDYSWATDAMTVPLCLNKCASMGYQYGAVEY GRECYCGNTIVNGADLTKTSTLCGTPCAGDPSTSCGGWNSMQVFNNPAYSYSNTVIND YVKTACLQEVAGRALRGAAYTDLTNMTVESCTSYCKTRGFVMAAVEYGSECYCGSALV GGASLLLTSGQCYMPCVGNANENCGGPNALWLYINPNSLSSSVVLPTGWNYKGCIAEG WTARTLNFTATSYVQKGTMTGEYCAQQCAQLGYTMAGTEYASECYCGNSFGGGATGAI IDTLTDSTSQCNYACPGNSAEMCGGASRLSLYSNLVTLPQLTSS
I206_05333	MELEGVKEVRPEDDYEFFFTVQCSSCREVHPKTVSFNQREEHEI SGSKGTANFVWRCGNCKKEHSASFAPSNPSPKSKSKSIIPISYSSSESFQPLISLDCR GLEFIEFHINHGKWFAKSENDSSLKEFEINWDELKQQNEDRWDDYDDNSQQAISISEC KSKIERA
I206_05334	MPSTEIPPDLVGQSGLYNSPDPLLRRLRLEEPSGKSINNLSKYF ADKEVLILYAGSEYGENNIRGFHRDLSTLDSKYKSSSVIYISTDVLIQQAENVLKDKS WLRMTFFDNSDFAPIGEPLEKDWSVGLEEVKRGEEFLQAGEIELGVEKIEFGKEENEN DYVRPLSRAGLTILMNVFSTPSVSIYHLPTHTFLSKNVNISLFKPENIDKNYKIWQGG ETTSIKISDIIQKMKWTLFLLVLAIIYHLVIRFGGQQYDFIPKIMNGINGRFNGKI
I206_05335	MIQLIPFRLTTLLTQNLLEREQENSSLQHKLSLAEADVEKYEDQ IKNLKNASEEGETHKTTGENLARKVQLLEEELDKAEKDLKDTTEKLRLVDVKAEDFER RLQSVQQERDQWEQKYEEVSEKLRQSKKELDELVGQMESLVSFTQIASDQSSKIRY
I206_05336	MSSPSQSRGMVPPPGSWSSTSRYQDNGDQSRRSIDQQQQPQASS SSSRNPSMNSIHNSPTSISSSSRHQIVGISNGSSRYNENGEGYSDSYNGYNQDNRRPL PRITTSNTDNEDDGSGRGPRSAPIRPMLDGINSFNGPGPLRSSSSPDPRTSNLSSSRH SSPSNSPIEHRGNFASSSSSSASGNQRNGNGNGHISPQPAVPSLGAPFLNASNIPTRS GSSNQNRDIGNMSTSDRERGINSKQSIHSLNDQSTSASTSSLSNQNDSQSGSGAQPSL VWPQPRTRREGGTTYCGQCGQSVHGQFVRAMSKVYHLNCFRCKDCNKVVAQKFFPVED GDGMYPLCERDYFARLDLICAKCDQALRASYITACGNKYHVEHFTCSECDVLFGPNDS YYEHGGKVYCHYHYSTRFAVKCVGCETAILKQFVEMNRNGRDECWHPECYMISKFWNV RLASKTFNTPASSAVSSTISLLEIEAGMTPGELKDRQEAMEMKVQQIWHVLSGYEESS AALIGDMLRAVNERRLLDIILLAERFILHVETLFAVIDDLEAQFAQTGAKGMAHAREA KQLCRKLVNLFSTMSQISPSGGPPPNNSELFTLITQLAHYLKILIRIALTGSIKLERD HGNTSAMTNCLARLNLLAIDNGDPTIKQRGEQLKRSIEALTRHPDGYISSTKDIAYGY RSLAPEITGETTLRGPQDENFIPPEGCAHCKTAIEEDCVRSGMFNRWHSACVICIICG ETSLRPLPKDDNTTDDGSSHSHTDIVPTKIVKKSNLPARVDFFYCEPIQQIEQVPQSI YCGSHKTLGSINGFAAVSRLEQYAFLLHIALRRLYVHFRIHHDLPSVRDHGISDRSDH EVKRMKSVTLDRKLSSTARLPQRSMVVESPAGRMADANGQVVSARSTSDSNPQALTTN GPEINFPNSDSNNNIEMIDPDDPPSSATVDVLRPPFARNNTSVMIINENATTEDQEID NLTMPNIVQEDDAITLGDIPMLANVTSRSTQPSALNSQSGGIGSLEGKLLLSNINPLQ LVILKHFALLTLSKTGLGHLIDLDDVLELLEVRKNQWWNKIFKGTAKEKQKKKGIFGV PIEILVERTGSDSSQGASNTVLRVPEFIEDIISTMRQMDMAVEGIFRKNGNIRKLQQI CDALDKDSTQVNLSDENAIQLAALLKRFLREMPDPLLTFRLHKLFCAAASLQNPDDRK RVLHLLVVLLPKYNRDTMEVLFVFLRWVASFSYKDEETGSRMDMANLATVICPSILYA KGANAAKDESFIGIQAVQELLENQDDFYLVPAELEFVLQENIYQIFAKELDLPPKEIH RHCSKYMSARAQALSSGLQPPQPQYAGTNNAKYSPSGNGPSLLPTSNSQSNIPTIGGG GQQREIRDRPSDPRLSVHASSSDPNTINSYSRTPIQSQQPVIASGGNGGSSRPNSWIQ PNTRGNSQSSLNSPSHWEKPRGPFQSGGSRQSSRGSAPSSPGPGEEGRRSIGMDRERS WTPTHMNEGYTNGQHSYSQHR
I206_05337	MNQSQPYDSNQYYQNSQPYQSLSNSQTFQSPNSQGYNSNQGYSS PPPQQQQNQYSSQGFQSPNVNFGQQQEWPQSPPPQQQQSGQGYQQNPQSAQQGYFGQG GYNQQPQSPPVEVTHSPFVRTDSSARLTFTDMARMAGRPQTFDEMYAVPESFLEIEIR NPMTHGIGRKMYTDYEIVCMTNIPAFKLRHSVVRRRYSDFEAFRDILERESTRVNIPP LPGKVFTNRFTDDIIEQRREGLQRFLEIVAGHPLLQTGSKVLCAFLQDPSWEKSQWV
I206_05338	MSCSHISHIAPRLKSPTVGQQVHREECTLCFDGQDGPEGVLVCL ECFNGGCFGEGRRHAYLHAEKTGHGVGVVIKRTRKERTKRDSTEPPNKKLAISAPKDE ELYNISTSLRCLKCSPIGEAINSDDANVSSVIEGIMKALSSAQQSEVKAWEEEILPCE HTLTLEQVPLIQIGHVPTECSSCDLTSNLWLCLTCGFANCGRKQFGGVGGNGHALEHF HETGHMLGVKMGTVTPEGTADVYCYACDDAKVDPDLPKHLQAFGIEVLGQIKTEKSMT ELQLEHNLKFDFSMTGDDGKELEPVFGKGLTGLKNLGNSCYMASVLQTLFSLPAYRSR YTSETASHHFQTCNKLPAECLECQMLKLADGLLSGRYSRRATLPPPSTTDFDENTEAP RFQEGIKPSQFKALIGKGHEEFSTMRQQDSEEFLQHLLGKLRSQAKSDSLPESLQPTR ITKFGMEQRLQCTGCKKVRLQVEPVDLASLPVDATEKGIDEEGRKLFQEVELEKCIQQ LCGDETIEGYQCETCDGKTTAIKSTRFKSFPELLVFHMKKFQLINWVPTKLEIPVNVP DTLTLDSFLSQGLQPGEEEMTVKSASDSALPEFNATAMSQLEAMGFPTNRCQKALLAT GNSDAEVAMSWLFEHMEDPDIDAPIQVQTQSKSASEPSQDQINMISDMGFTPNQARKA LRESDGNAERAIEWLFSNPGDPGEDVSTLPAGEGKDEKDENIGGSSDLPANYKLKAFI SHKGPSVHSGHYVTTIRNPEGVDGKDGEWILFNDEKVVRAPERGGEEMRGKAYLYVFE RV
I206_05339	MSSNLEKSITDSINSSIPSSSRPSSSTQQRPILYHSSTSYATQP PPPAPPISSNSQNTNQNNQENLRYSENQYQDEDEDFKNSKEFKSYSSYPPTFESNEYL NPNLNSNSNSNHLNPNSTGNSGGRRGNRNRSVSLSLNEMWDKSRNGIGSVCSFKGENA IGKGMILGWISTTLLVLGILGWWRKELFQSLDSMSTTLEASSYGHAIFFLLILITTIP PIPLYSTLIVLSGYTFGVWQGFLISYLASLVGAVGVFIISRCWLRDVIGRLLSCSQTS STLLSLLSSHPHLLLLIRIAPYPYNLLNVILASSPSLSLKTYTACTALSLCKLVLHTW IGSGIHNLSEAYGHSHNVELNEPSKDDDQHEDDQWESNEIPGHKYENEHKNHHHPLPP WIQNDNDDEWEKREQIKTYSTWFGILLCLFLFIYLTYLTKKALKKAQQEQEQQEQQEE MIENGQGLLNRTSFESEEEEEV
I206_05340	MMIIINRSTYRSWNKSSIRYIKRYFSNNLENKLDPSIMLREVMR NVAQPVAIAVTSVPKHSSLFGKSKYHGATLTSFTSLTLYPIPLVAFSLRLPSRMADCL KPPSQIFNEVSDEYIKNGFIKNDYLEKNQSSIKSINLPLKSELPWPLFKLPIPEKPPN WAINLLSNLKSSSSQQSLNSNPLNSSSSSSSNLNSINSNFNEKSIINSNKSRIITISL LSKENEEIANSLSLPCSNHNEIFNLSNTWDNNNFDDNNINNIPPSLKNSIGNLKCEII QNISLKDLSSSSSSSSSNFENDILEQEKEKEQEQEQKGSELFICKVLNVNLSNDKNLQ PLLHWRRKYVGIKDFE
I206_05341	MSLTSSPPSISPRQSHTDLIYQQYYRKPASLSSSPGSSTSYNHA VPSSQTSSGLSSPDRSRSRSNTINTSTGGFPAKPNVIRSTSTRSAPTSPSGRLVMQGL TPLNNISTLPSDATPTYSQGSQKVYAQRLSQQQQSQGLMGPPATLPSQYNLNQQRPPQ FHSHSLPPANPLLTLPVSGSNQQPSPAHISLAGPSRQQSYPNAIPIPIPSNSSHMPSA KSITRTRPRQSFSSAWSHGEDSGLDSDATVRPGSKGDMSRHKGSLSQPDLTVLRSKRV EGWAGHMRSEGGPTKTPIPQADIPSGGEIKRRSSGHRQKTPPSSYSKTPPSDKEGWLP ASPSRSYSSLSSLRKSPSSSPRSSQLNIPTMSPIIPNRVITPLSNSSSEDEEYVSLIS SGINRTSSSLERGSSEDADDDYESTSTSTNSPGGSSGSITFSPKRTKRFGSSGNLRSR FPLDRKGSYPSISSTSTTSNDAGGLGLNLNGDTSETITAKTDKTTSSMENTSKSKGLV EKFEDFFRNSTLLPLRLLAIIPSLWGICVLVEALITGGLWVDVWPWGVDLSREALERL VAGGENTEGVWRSCDRGDAILCIAWAICTAHFCFSLTTGLTHRWRSYYSLPSTITRLV SLQCLCWPATYLTLWFLGAKRLLLCWVVIGVTTGWSRTIQMWVTSNVIPSNTIKEQDK NNLDNGGGGDTTPNLKNINLIGPPEIPDGLSTWESFKWGRKWDWDKIAREVIWKIGFL LLVTCAWLFWGIEKGMKVRG
I206_05342	MRKGPGLSALSRHSAYNSSYSTLSTSISKTQLESLQTSLESFRD ILIEFSIKHKKDIKNDPAFRFQFQKMCSALNIDPLIASSSSSSSSSNSRSIGGKDGFW GLLGIDEFEYELAVQLVDISISTRNSNGGLIKIKDLIKRIENLRNGGKSNSNLTNKIS EQDIIRSLKLLEPLKSGYKLNIIGGIKYIRTIPNELNTDQSILLNIAITTGGKLNKRE IKLQTNWSDDRIELGLNDCVMEQGLGWVDEQSNDIYYGGEIKGDVWIIAATTFEE
I206_05343	MSDPTIKGLPPPPTKFDGSNFRIAIVHARWNDAIIKSLVEGTIN KLKEQGVKQENIVVKSVPGSYELPFATKQLIEAGKIQSSNAAPSMIASTTNLLSLIDN TTSQPSTEKKTDNASNSGPLTKPFDAIIPIGCLIKGSTMHFEYICDAVTHGLMKVQLE TGTPVIFGVLTALNDDQALERAGIGRTEKGKGHNHGEDWGLAAVELAAQNQDWSKGIL 
I206_05344	MRSLTPLGIQISSLDQFSSKVGNIAINPEDGTIFITLERAGEDG GVEIDILQITSDNTEPEIIASFSSPVLAPFPKPEHQGETLDIHYLPDDRTLIVLLAGG DIATLALEGSDGQISPVEIVGSVDSGIKAAAWAPDDEQIILVTGEDNLVCMTRHFDVI HEEPLRSDEFGEDGFINVGWGSKQTQFHGSLGKSAAKQPINTSKSISHPTDSGLPYIT FRGDASYFAISSLDPYGGESSESRRQIRIFSRDPNTGFIPKLSSTSESLPGLEGNLSW RPVGNLLSTLIRYGYEGGGEGREGKWDIAMLERNGLRHGGFELRESKETWKDGKVKGL SWNSDSEVLAIWIERKDRDVVQLWSMKNYHYYLKQELFSHDPSSSRFSGFRWHPEDPL TIYLFGESFVQARTFVWDTYTARLPMPNDTASVAVVDGQKLLITPFRTQNTPPPMSSY HLDLPSVPVHVSLSDSTDSLSILFADGLVQVWDLNTRLPDPKLGSRLRGGGKVAEPKL KHSTTVSSAEKNLVKQIAIGPNGKVAILSWSEKDGSAKTLISIVEEKEETETEELEDD IERLLWAGEELLALTAQGQLKSGNDQSIDITLGPHPTALTYSASSELIFALSPNSKLH LSSISPSSISTSIASNVTSYTLTPDFLIYTTSSQSSHYAPLPTLGRLANGDDLSTQEM TWDERRVERGSLIVAACESSMSLVLQMPRGNLETVYPRALVLAVVKQDVLSGNYRNAF LQCRKHRLDLNVLYDLDPLQFMKNLKNFVEQVPEVDYLNLFVSSLRLSHATDKVNTIC DALRSILEERGVEQYIETILTTHVCKQPADYESGLQVLLTLQSDHPEIVEDAIKYIIF LSDVNRLYDVALGMYNFQLVLMIAQYSQKDPKEYLPFLRELRALDKWDQRFRIDDHLF RRESALRNLKQSGPERFEEASSYLSRYELYDEAFKLYADEPEHLEVVHDLYGDYLYDR RDFADAAISYILGKKESKALKAFEKAHAWRELFTLAKKLEVSEDDTLQICVRVTDHLA SRGRHLEASQVFIEHAQDVDSAVDVLCRGADFSEAYRLTSLHDRSDLVESMIHPGLEE AHEALMETFEEMEGQLDKEMNRLKDLRKIRENDPEQFYIVDTEPAIEGVDVATNATTA VTGFTRYTVAPTTVFSQATKHTAKSSKKARKRATGRKGTVDEYEYLIGSIGRLLIRVD EKSAEATTLLRHLILATSDHVELANSLQKTIKSFRTKLESSIELAWEGREDILKEAIE SGGTGLEEGLDKSLALIKPQVSVWKGIGMLAISLQ
I206_05345	MSSKQDDPSSESASRHITTVVTPSVDPKQIAFEIYINERWGHGQ TMKDWPKEYPVFWEGAGPIGLGRERDERDS
I206_05346	MRELGYSVECALQDLEKMNFDLITQQKQSLYNLGNGIYGINGTY TTYQLIHMVESLPEPQRSRKNKNKTKGKAQSSKFPIVDPGYDNGNWIRVYDPRLTRPM VLGDYLVKWSNMGITAMTD
I206_05347	MDPDSNTDVANTPNDQLVSILNRLSMLEQQLEQSEEKTERIELL VKTQESQIIHMQKRNAELENKFKIEKEVNTVLDQRYRQLNDNFVILKNQIQRLEEIVA SIKTKSNGSENNNNPEDVDKEDKETNSRILAIKNAGEYTISQIRKLRKDYLKEECKID LAFQALYKAEKEALGVIKKEKQVLEEAKNIRKKRN
I206_05348	MSNEIPKYSNSNSNSSQTPSNDNENSNSNYEGIKFKLDISSLSL DCSIPLGSNLNFQLGIPQSTSTNQQNSKSSHFHTGTTSSNPITVESQSNKTFQNDSIP SNINSELFLLNLVNSFINRLENVERELEKEKNINKEFRIQIDDLKDKLNNLNIIDNSI ESIMKKDLILKQDQSYKSPKPSKEDYMKNEFLISPSEYNSSQMNKNQKEEFQLQKDHI EPDKIDENECWQDDSEYIKSEQEVKSDSNFIKAENKNEDFEEDDPSELGLNDDQTILY GFKPKQK
I206_05349	MSMMDLNSAKSINPSISPAISNHSPIPSTSEPKAPDTQQSISNL DLTLPTAISHLVQFLIQPLSTHYPHPIILSLRDQLSSRLNEIFRSIWEESKPQRGSGY RSLICTRQLGLPTALIQAAKSSGVDKEIWKRALADKKSGKRGEEWQTWCDPGQVTWRW GGWEWEDIGYEPFKVIKEPFQIIWQSSSSLSPSISTSTPNASTFTPARASHAIPIKAP MLMAAGPPTPGPGPTAPAVYAIPPTPSRQPETDLLPAFGTLGLGHPSSSNLDATRTSS GWTSSTGSRETSYTHSDAYTDSEEDEKNNDQSSSTRRHKGSGSTSSFGSNGSLSDSNS GHTQLLTPSSRPNSADPFGSSIPAFSLKDKEKDRTSRKAEGRGRTPSPNNDSSNNQEN VTPNTENTLTPSTAVNHTPSVTPYDGGNVTVLGGGVKLGGLSRPSSVMSHRTRSRSPS ISLASRALNTATTEGSGGRKQRTRRRIMPTYLGHLNSGVGGPIMGVFTQFNTSNTPTI TANKSSVGVGVSPPPINVVGGRSVSLPTTMPRMG
I206_05350	MVEPANPFIDLAATLLDSLPPALPISDTLLLQLHAIFGPMLLSA LQLVDKREVVRVSLPSDRYVYQVSSSTGKNYTIHLNPPSANPTLPTTLPSLAITDISS IPPPPSNISMTESIPRTPSPPPSQNFNPLLSSPTPHRTPPFPPTTIKESESNLGEKTV SDAVSISPEFIRGERIMKLANDLRSMYCPCAGWSYGCLAGEKTIICKHLLAILIASKT NRVIKAEVELGGVAGLLNLS
I206_05351	MNRIFGSNKAKPKPNLTDAITSTDARMGSVEVKIKKLDAELGIF KGQMAKMREGPGKAAVQQRALRVLKQKRMYENQLLQLQQQSYNMEQAAMTTENLKNTM ATVDAMKTANKEMKKQYKGIDIDKIESIHYDMEDLIEQANDIQESLGRSYGVPDEVDE ADLQAELDMLGLDDEPIGENETPSYLQDATALPDFVDSAPIEELGQTNTPTAEVAR
I206_05352	MQDELDFDMMNDKNDQDVLEEITNMESKFYQEGYKAGYDHGELH GLYEGRELGKEKSWELWEEIGYYEGFIKVYIDLLSGKDIQEGSKRGKDARALNHAQIL LSLINTFPISNSSQSNFNISQINKEEEEEEIDLINLISNIRARYKLLCSSLNLKPRLQ NAQFVQTLPGSGSSGISSTSNYENIQEGIEGPIKGVDTRQLRF
I206_05353	MRFSSILFALPLLGSVFAAPAPVNDVTGLALNKRSVDVVATVQE LANTVHGCQPLGASQTEVDVEVTLGIIVGALEKCGNTLGIDLSLGVDVDVVLGAIIAP HGDIKKTVADILVQVIIDINAIVKSCKTEYKNNPTCAALLVRIDVCLTLILKGLETII SGLIYLIGTLLISLGCVLDGVLTSVLHLLIGLLGNLLGAILCGCIL
I206_05354	MRFAAILCALPLIGSVFAVPTPIKENSIATRDVDVLAIVTQLEA DVKAAGSLTNLSVEAEVTACLQVVIGAFNKCGEALGIDIGLDIEADVDIAAIAKRQDV VQEVAQVLANIVVDVNVLVGSISSEVASISVVAALLSQIDSALCLILKGVESILAGVL VLVAGILVDLQCVLSPLLGNVLGLLDALVGGLLSGLLGTVGGLVGGIVGQNKV
I206_05355	MSRLDGTNTLKDILPTGKTTLTSSISSTAQPNNIASQTTKTRSA EDVISIGETDTRSSTQTESETTSDQLSKSTSSNILFSQSANAKAASEVTSTNSRTLMS ISTTAQSGSITSNSTSSFSTSSISTTNDIETSSQSVSSTISATTSTNDQSTSESSSTT QSTSSSIPPIPAPVPLLTSSTFSNIDTVSYSAESSTWQSDTLSTTDEAQTSNPTPVIA NLEMSSTSAITTSTISPMQKIKAASDSTDDSSGVLTEIDTSVPEDSTTGIESVSAIAS SSSVGAISADSAESHAGPGTKEGGSGKLSTIAVVGIVGGVLVTLIALYLAWYNWRKKR TRAALFDDTIEGLEKLSPTEYHHRVTRSSFGAADPITPWSYRQKKSKRVTYADDEEDW FDPNILQNQNQNQVPHQNQNQFQVGYIRENQNPFEDNLFDPSKTGKTNYTIDYSTEEE IRASLAEDNSPELLYRSNSNKSQIEINQNPFIPPFPAQGHNGLNRNETVRTVRTIPPG PDDVEDDENPFEYSLTLGSRTRAPSDPSEPPTSKLVPWINKDPSRENEIQAPLPVQAG QIRENNEGNRQPIKAAMNAIPSGERPVGHGGDLAGIPIPSFR
I206_05356	MGVKAEQDLYLDPSIRDWVLIPITLIMVLVGVLRHYVTLLLNSP PKKQPAAAVREQRAMGRAALLRLTAPLSPLPPAQYKSFSVAFASALSSGEYLKPSTKK EGDDATPANPFDNGQMDTMMEGMKKQGVMMVPNMVIMQYINVFFSGFVLMRLPFPLTA GFKSLLSRDIPMPDLDVRWVSALSWYFLNLFGLNGVFKLILGSNNSAVDTRDMSAMSS LSGAGAGMMGGPGQPDMSKLFKNEVENLALAEGMYKWVGEGIEDRILKSWNKL
I206_05357	MSYADKRPTVPTTAPHPWPSPADWPAAKVRQTYIDYFVKQPGFE HTFWPSSGVVPFDDDTLLFANAGMNQYKPLFLGTADPKSDLSKLIRAVNSQKCIRAGG KHNDLDDVGKDTYHHTFFEMLGNWSFGNYFKIGALTMAWDLLTRVYGLPKDRLYVTYF EGDAKQGLEPDTEAQQIWRDLGVPESHILPGNAKDNFWEMGATGPCGPCSEIHFDRIG GREVPELVNADDPNVLEIWNNVFIQYNREQSGELRSLPAKHVDTGMGFERLVSVLHNV SSNYDTDVFTPIFAKIQELTGGRPYAGKLGEEDVDGVDTAYRVIADHIRTLTIAISDG GVPDKDGRGYVLRRILRRGVRYASNKFNVKIGSFFSSLVPVVVDSLSPIFPEVSKKIP ELVEILNEEEASFARTLTRGEALFNKYASAALEEKRDVLGGKDIWRLYDTYGFPVDLT QIMAEERGLKIDQDAFEKARLESLEASKAGGKDKGVAGTVKLDVHDLGALEANDQVPK TDDSAKYQLDDIKATVKSIYHSSKFYSSTSELPANAPFGVLLDKTNFYAESGGQEYDT GVLAIDGQAEFKVEDVQVYNGYVLHIGQMEEGEIKVGDEVICTYDELRRWPIRNNHTG THILNFALREVLGDHIDQKGSLVAPTKLRFDFSHGKSIGVPELTKIEAISNEWIQKAA PVYAKEMPLAEAYKIPGLRAVFGEAYPDPVRVVSLGYPLEEIAQNIESSKWRGTSVEF CGGTHVAKTDDIKDFVIVEESSIAKGIRRIVAVTGHEAHEVSRKAAEFERRLSKIAEL QGKEKEAAMKPYLVELGQSSISLIKKASFKATFEKMQSEIVAAIKAKTAADSKVIQDT IKGYFKENPNDNIYVGEFEVAGGNAKTLSAAVTAAKSLSKAVYVFSTDPETSKVAHTN YLPKEVLDKKVIDGKTWLGEVSKIVGGKGGGKDDSATGVGSEPAKVAEAIVAAKSYYL SKVEA
I206_05358	MNLSSNDSIIITQRPSTSLISFKVQCTNPSSHYYQASTNEKPGQ VAAAGNNDPPGTSVTDTKSEPSDIPLILFYPCQTSPIVLKDVSDDNDDQSVYSLDPGE GNYIFRPIYSPNTTTAEQRPGRYWQEALSFHEKLGEIFQQRTDEAVKQEDRAFLETFG ERINSGDDIPASIRKYYKARSQHFDEALITRFKKIRTEKNMEDMVGWKFVHDTDSYQN YEPRKSLPPGFRPMTPDEVEAEISRYKGWTLGDITLPVRPSKVKGGLYFPVIKPECSK NTDERDG
I206_05359	MVSQPECRRVREQNFGGHELFFEACGEDPPKKPLSVPSEMQQGD DIPGLLLKTVDSDTLIANDTLFKWANSKRKTLEMEGRIALRLCNDVNQYESFGTPTKI QRPDGLRPLSSRKQIEEIVNEYKGWTLGDISQLKDPYIWPYNKPGDFANDGTSTEPGN RSNPEVDTLISEGRHPTEDDKESPPREIHFTVGQ
I206_05360	MFSNTPFFTLALAAISPLVASTPTYRRDGSSSKNSSEGLSFEFP LSPKIMLISLFAPEDVWTQSLGLTNNITLPGLSPLFPSIGCNDDASICHMTTGESEIN AACSTSAMLLANEFDLTSTYFLIGGIAGINPYMGTTGSVGFARYAVQVALGYEIDARQ IPSNWTTGYWLFGSSQPGEPAKTIYGTEVYELNTNLREKVMKYTEGIKLNDTEEAASY RSKYDYSPANQPPHVFKGDVSTSDVYFAGNLLDEAFGNITSLWTNGTGQYALTAEEDN AIFEAMVRAHLAKKMDFSRVVLMRTASDFDRGYGGSDAVTAFQAEQYGFEIAIANIQI AYTPIIEGILNDWESTFSSGISPQDQWLYNADIFHNLVQRKREVKEFDKMIKRAQRGN LRR
I206_05361	MAYVAVAKALYDYDAQDPETEISFKEDQIFYIIEKEDDDWWKAK AKDDEGDAEGSVGLLPASYVEEIPPVNTTRALFAYESTSPEELSMGDESTLHVYSIEE DWLLVKIEGGDERLGFVPRNYCEPMDASAELEVEDAADAAAEIEAARQAEKEKEIAEK QRQLKLKDKVETWSISELDGKKKKKGTLGVGNAAVFFASDTDKSAPVRQFPISDLISV AQPSSKTLDLTFATLGQPLHFHCGGSDTTKAILAKLETSKAAAGEALEMINEARGGYS SAEEETLPPPTRNVPPSSAAEPRGVRFAPETAPASSASAETATVQYDFDADGDDELTV KDGETVTIVDKENDEWWLVRNLRGKEGVVPAAYVQLNDGSAQQNGAAVEEHDSEDERE REAEAAAQLDAERKRQAQAAAEERRRIQVAAEARRAQEEEDRQLAEAIQEEQREKAAR KALKRQEEERRQREANAETARERARSGGMQPPKITKRPSNNDVAAAAEKIPTRGHAAP ARPPENNRPKPNPNRIRTWSDKSGQFNVEAEYLGLNGNKIRLHKVNGVIIEVPMDKMS SRDSELIRRHEAKKRAASMDDDDVPLGQSSRRNGNSTPPVRSTESQRRAAPAEEPIPP EAMAMPKPRKPRFDWFEFFLSAGCDMDDCTRYASNFERDRIDESILPELESSTLRTLG LKEGDVIRVRKAIQNRFAKKTPEQQAQIDQDMEYARQLQEFENSGRKGTAPQPPPGLF TGPGGKLSNNTRRGRPEKKSSGIESVDPSALAAASDQLAKTFISTPPQAPTPPPMAVS PPPVEEKKPAPLIAGFDDDAWTIKPTSKPASPAPSAPPAPPAPPIFQTTPAPALTAAP TGPANNTDSLLAQINALRPASTGISANDTGGSGSFDTVSKMVGQPRPPAQNYGLGMQN TGQPMSQLYGQQTGFQPQQQQQSPQPTGPRGPLAPVPANAGLLNPMQPNMTGMFVPTR GMSPMTAQQTGFSPQQQPQQQMMAQPTGYQAGFQQGYGGQQQQLGIQPNFTGYPGGMG MGMQQPQMQQQSSFNAIANMPPPQQAQGDQNKFAPSNIFAAMKKNDFGKPEEQQPQSS NKYDALRPLTTGYNGAPGQMMPQQTGYGMGMMPQQTGYNMGMMPNMTGYNPMMGNGYQ QQQQNQGQNPYGYR
I206_05362	MSRPNTAPRAGGSKSSNNPGPNPAGSSPANIPRRNPGTLPGLAR TASSLRQGTPLAPSTSSLASLPAHLRNLSVPKIPSPLGRGTPARGIRQSLPSRTSKTT EKHVLLPEDPQLAPLPKSPMGSQINLLIPPPKSIRESRQPYYQQHPSSSSTINISNSS DERNEAEKMTKREREENKLPRLTAYATADGYRLKLLQAFLKREHGVGVVRVFDDCVYA VYNLPLLPGYGASTKVRSSPAVKSPGGVSLLERMTMAEDLGYNDSYFPREDPSEATPA EYILSATPPSPTGPIGDLIDIGVPGDQIREEVREHLEREDTEEGALGLRMAQAEAEQI AQEHLEDQLRNEERELGTHMNSKHPGQLEHIPSQALSPNELASPNLHPSPPSSAPPDL PTQTSPSNDSQISPENETTPTSYSQQSRPIKRRRNSHSTQNVAEAVFFSYGVSVFFGF SESEEREIMEDCETAGTWMRGLDEEDWEIEEFHYVYDSDAEQPRIYNDMFTFKSHSHL FKLSLAHAIAQSNKLSIYESTMQETLSLTASFPKELSTTGHLQLSRREALKMTGRLFK LRMDVNLIGGILDTPELFWSEASLFPLYEAIHEYLEIGPRIQVLNDRLAVAGDLLEII HEYIEERATHRITWIIIWLIVVACFVEAGEVIARLLLHAIPREPGEFLLYKAPRLLIT NNQHSLL
I206_05363	MPSERAIKISHSVLFGLTLLVSIIALIISAVLVAHYNKDGYPPV HTGAYTARIRILLVASVWTTVFGIILTIGFQLMGTHIAFGILPHLIPITIGFILYLIG SASLTALVDKIDCGKSGDTFSRCGVVKGLVVISWIDTIILLITLVFLITLAFVARGRY GVHKSTLYAD
I206_05364	MPLSSSTFLHVLSLTALSIAPLTVQAQTPNTFKYVGLSGVSAQQ LFLGTLNKVYIVDKTENNNATVNGHPAWATEYDLTTNEFRTMDVLSNSFCAGGTVLGN GTWLNVGGNQAITYGGTAMPNTQQSGQSPYKDWDGGKAIRLIDPCDDESCEWVDNPAM YMTSRRWYPTLETLEDGSAMIMGGCEWGGYVNYADNQNNPTVEYFPSKGTPFTLQFLL KTMPVNLFPLIWLLPSGNMLVQAEFQAEIFDYKNNIEYPIADIPDCVRVYPASAGTAV FPMTPENNWTATIIFCGGTFLEADQWTTTWAINTYPANQSCVKITPDVDLNWYHEDPL DTGRSMGNFINLPDGRLFYVNGAHLGTAGYGPEDWTIGQSYADQPLYQSWYFDPKAAA GSKWSKAAVSPIPRMYHSVASLLPDGSVIVSGSNPNADYVNKEQNTTYTYFTQYQVEI FYPDYWGKTKPNPTGMPTQLTYGGDPFDIQLTLADLDNSAININKTRAVIIRTGFSTH TMNMGQRHVELDTSFTTQDDGGAILHVAQVEPNPAILVPGPALFFIVVNGVPSNASWV TIGDGIIGQQTIKPRSVLPRSQISAQLMAQYGGYTGRSSYTVNSSAGHMTITTSTAML GMMVLFMGLGLTSLRVI
I206_05365	MDSSIKLLGEHMPTDPLYRGCSFVLSTAQPPKLNDQVIRKDHFS YREENSISISKYILSLGQTPIYFIKFYDKSTSGKEISITREELSIAWITGLNYYRHWH RLQEIVPEDLDFETYNRRNELLDEFKEWTDCFSELELALGMLTKLEQTGKFPKKWPMA YPDHIPSFSEYRFVWGLRSNAGPETLTSLFIHAALRMSHLDQINRSNAFQDLEERFGF KGFPVCSVYGLTTFERIERDENEVTE
I206_05366	MSLHNVPIFDAEVLLECQNNLGEGILWDSKTQLLHWVDIFNSQL HSYDPKEKTYSIDKYLNSKCLTYITPKLNDENFLGTFEGNLIELPKPTKPFEFIENSN SNLINKKIKINQKNYLKIISEPLNKELVLNETIRFNDGGVDPIGRVFFGSMGQNEKVP KFPGELWQIDLDGKETKILDEVGVSNGLGFSSDGKKMYYIDSRKDQIDIFDYDLLTGK PTNRRKFASSPPPLDKENPTEGVYDGLCLDGIGNIWVARWRNGRVIGFNSNGDIIAMI TVKGSKGATIPCFGGPNLETMYIATASSYLGGEGDSEKYPNSGDLYSIGFGPDSPIRK VLGDNWKGAERYRAGI
I206_05367	MSIYAPSIYSLEPTPLPTNSHPSATLDEQQETESIISSIFSYLA SPTTDSDQKTILRKNEHTQFLASTFFKLPGKFVALDASRPWLIFWSVHSLDILGVALD QGTKDRVVSTILKFLSPNGGFAGGPSSSQIPHLLPTYASVCSLAITGNSGPGGGWEEL KYARQSIYDFFMDCKREDGGFVVCKGGEVDVRGTYCLLVVVTLLDILTPELIHNVDKF IASCQTYEGGFACSQFSFPSIEDDNLFTRASMAEAHGGYTSCSLNSHFLLSSIPLPNF PTPIDVDSALRWSVLQQGESIEGGGFRGRTNKLVDGCYSWWVGGGIPVCEELVKRQKK IRSNGKENKIEIIDEEKEEDWVDETPTPPLFNRVALQEFTLIAAQVEAGGSGGLRDKP GKRPDQYHTCNNLSGLSIAQHSMKHSSSVVQSNKDKFDTTKSLPPIIPRSKDGGWASE EMRQNARKEVWANALGWIEDESGEIIVGGKENRVNTTTPVFNILALRLQPFINYFYCQ ED
I206_05368	MSAHIIAQLPTALVDGVKAILTKLSMDSSKPLLPFIPKPIKALL LILFVLHSPSWPFQWHIRVWYWGIKAYYLAYKKGRSKYLKDWKVQSNKNGGIKDLRTR IHRIAWIDDCDYNLHLSNSSYAKNSDALKMKWCIEALSPLFTSGSHMALGATHYNFFK EIPLGAEYVMEARCGGWDEKWFYVVIEFILYPQKSSKAKSKTEKAKIATENSVISVTE KASETITESTATLVPSISDPPTRSTSPALPTTNGTSTPQFASSKVEEIKRSWAVKRGQ RADGGVVCCSTISEYCFKMGRVTIPPRIGLFLSLQSPLKSDQDRARKIVMSKDGGRAF LRGGWKEEADAATLGGDIGLKEEDDEQSNWVLKARAGMENVVEGMSAF
I206_05369	MFSYYTLFALFLLLPLILGGTPHAATPESGVIWSVSDGQNGVGN PYYYNGIGEDPCASVKQDYDVVVSERTACGYETGKGGGVIVALDQDVILNDPNTWCGR EVRITDPWGKIYTFSGGSLVIGEACAGCTGKPWIDLSSIVNTEIAGGNCANQIGLQTE GTSIPPITIEVLENVIPGFEYSGTESPSNPDTDAHKWSEKSGNSASSTTTNNNYSTLT QSQNAGYTSLSTSSNVNNVSTTYSDPDKSAYNPNTETSTTKVETGPPAYTSATETTTI QTSIPTYDSSTTSLPADSGTAATSQRGGRRPPGAYQNDNPNVGLFAEGAKDQIESESG SGSGTGRCERKRRRSRL
I206_05370	MSSLQLMNGIINHMKFSTNGLSKSLSNILNVIPKPIKYLIIIIL FLQAPSWPFIWHYRILKSAIIPKIKEFYKGRLRFINEWRNDIENLGGLKNYKLKYNRL AWFDDCDYRLHLSNSAYPKNCDPAELLYGMTMFSPLLKTGCFLALGARHYTFFKEIPV GSKYTIETRCGGWDEKWIYLVSEFIIYPKGKNLSSKTKSYNGQNTPLTPQGGIEMPKS KLEEIKKSWYTSKSTREDGGIVCCVGISEMCIKMGRMTVPVRIGLWASMLHPSKDQQD RARAIIMSKDNGISFLKGKWRNEPNADTLGSDIYLHDVNENGENWLKQGRKGIEEVGR GMSVF
I206_05371	MGNTFIILPTIILVTLILSIYYTSKKLTSRSSNSQWEWERSGLN VSLYTSTLNDLPRILLNKLNIGLARKLKIIYSTGIGFGLLGLIIALLGSFWATYNVWL EVLIEIEIHTLQKVNMHNISIVKRDLAVDPTNDLLGNEKKSNWDFAGGLQPLVPGLTM PWSHLPTLILALILNQLIHEFGHAISAALDDIQPSRFSVNLHVMLPSMMVSFPSSIDT LDPNTKMRLATSGPFHNLLTWFFIWLLTFGGLGNLFWYDRSKEGIVVQDIQWNSPLYN HLEPGSLITHLDDVSLSSITDLDLWSNYLLSDKIGDEGSGWCMNKTTFQSQLDSIFNH CDKTTNKIIFESMNGLTKGMKKCLNPNPILNIKSTKCPCPDSRWVCVRPIYSEQILRI GIKENYEERIIIYKGPREEVLRNVKVGKKDARGWPSGIRWSELFVKYLSTIALSLFFF NLLPLPLTDGSQLLISLLQWESIYKPSVKIPLRATLNNVEEGSSTSNGPNISLYKEYD FDSDEEEEEYIGSSSQMREFIITEVGWKRWFRRGVQWFTMSMVGFWTAGWAMLFLLRS S
I206_05372	MSESIHSEQYEEEDERPELSHLASWSVSSHKYGFGVDNLRDGND NTFWQSEGPQPHLIDLSFPKRVHISAISVHMSHPRDDSYTPSKLSIRAGTGLHDLQEV RHMEFNKPDGWISIILRPMEINENDQEKEGPPIPCHHLRIIILSNHLNGKDTHVRGLK VFGSKM
I206_05373	MTRKGIHLLGVGSIGTLLAHHIRLSSPSIPLTLLVRSPRDFPEK IKVIRDGKSSNSSGYTVESSLGNESSKDPISSLVITTKTTQTLQAIEPLIPRLSNKST IALLQNGMGVYQELTSNFFQNEQTRPQFILGTTPHGVSPNSKCGGRGNILHHVSEGQG FIKWGLVNDDSKQIGNQTVENWLFNEQNDIKNEDLDKVLIPKDREDLVNLKNTIMILT NMKGLNSKFILYNELNKELLLKLVINACNNPITAILGRGYLKNGITLSNEYGQDIVNL IIKESSEILLKYLELTQKGIDTKAFEYDQLKKLVYDTILFNSNNISSMAVDVLQKRQT EIDYINGYLIKLGEELGCKSDVNRLIVNMVKFIEETQKDQ
I206_05374	MSIHFAPQWVKPIKPSGTSLTTPTSEHPVSTLKSTSSHSNAAPN VPFPALSQNQRSSVGGGLMSPTTQQPLSYSRVTHTPSSPNFPNDPSYFPYQEPNGIGG TGNGQENGTAHPFRYSRDQILNLFDETKFKERPIELVEMAEGGGVLVSKSVNRPVGSR DLSEIEKKLLATSIHPPLPSRRQNTHGNANNANNANANANPAEPPTPNGLPSRRAGGF ARGEGGAFGGGLGGKIGTIGGGLISPGGLDSKAPGALGGGFGGVAKRLGRVRGDIVEA DGPRSTGPNWRPPRSGSGSFEGVLGFGSAAPSALSTNPLSPNPNLEEPSESGGPGWGT GQKKWRIAAGLTGPGNGDKTLEVPIANEPSSASVIATPSATPVPERDATVLESLIAPA VPHVNSLPQEGSAQPEEKVDLGAIEWFYRDPKGAEQGPFTGTQMHDWYSHSYFEDDLP LRKATESSFRPLAELKVATGSAVQPFLTPIRPRQLPPNLPIPIAALQQQAANGGPATL PDSFRALGVSSPVAADPRVSPQPPIQHTPQQFNQGFLPERAPYSPSVYGGPGFNGQLG SPAAFGPAPGQGAWGMAPGGAPGGPRLNGPFGSIGMPSPIGSSPLPFMPPQHQGQFFS PQIGSPIRGGDLFSPSAGVGAIPPSPWGMPQHPQHSPAYAPHIPQQAPQQAWPVEQHH QQVQPQHQEQQPNPEIEKAVEEALSPVAPQDQVFAPVAGPAQTEEVTLVSQPAPAREE TGAVETPQRERSPSPQASPVSAPASAKATASVWGQPASKPASRKASFATPAPSTPSSE APTPAQASKLPPAPASLPAKPAAHAKSTASAEPTAALKQLDPATPGPTSGTTSGEKSA TTAASTKPAPWAIKDEKDIKTISSPSLREIQEVEAKHAEARRAALAEARAASSSPAPT PLSEDFPTSMAWGLPSSKPSAPAPVSAAASPSAPVWGGNDAAPKKTLKQIQEEEEKRK AKAAQAARASQGAPGLASAAGAAGSTKRGYADLAANAASPPVPAGWTTVGASGKPSAS AVVTPSAASRVVSTPSAVPSKPATPIKTATSTPSIATVIGTPNKKVNGSTATEDSSAP SVEFIRWVKGALNGFRGDVDDFINNVLLSFPIDIPQSQRSETLEIISDSVYANSSTLD GRRFAQDFYNKRKLDSQKSSSSSSTTIGNSNNKSGSLNKITSLADVVKTQPTKKSDDF GFKIVKGKGKKKN
I206_05375	MSDYSKALIIWQPKYLFFPPVQEPPPPTKVFRHVDLDQGHDNLT STKRTIFGPTAAQTQHTPDHISIFPQLFLNDTFLRFILNLPRSLPNLAFTDQLSAQHV TNSTVQSNSSPPIEAYLEPIEDEDEITLDEEKESSLESIDETSTILPHPASTPSPIKR TIKPTKIILKRKSPDSESFINEEDVFINTDKSGKKPKVDETLEQWRVGKRPGFQGSFI APKKVSNQNNEGEDEEGIRGKKRTINEDINNSAEKVLKKPFRPPTRVMSKPKSQPQFT KDESSFDLPSLSSNTEGKVENPIYLPKVDPFFPEFPTPPSSHSGKSRESSKISVKPFK TPSKTTNSNTERSRSLKSNQIYHTPKSIISIKVNSNNSLTSTSTSTSSISNQSEIINL QNEIMITKQALKYLKEEKEDNKLSELIEIWKIAGREIVENLFKIIPEPLNFENQNINS NYTRNNFSFNEDDDSMNNKMTYEQIENLKNLPKNKDGELCDEDGNLLILEVSQKEQDD FWEGIGKDIKSSPRGKWSNNAIVNYDRLEDRHKNSDSIETTSQEWNYAALMKMFGVDP ALLGWNYVEEDWQEMDG
I206_05376	MAEDIPIEGDFTFRAVAVGLGVGVILCMTNIYFGLQTGWVSMMS LQSALLGFAIFKLLPHLPSLFPSSKPLTPQENVVLQTTAVATGTMPLAAGLVGIIPAL EMMNLKEDGREPIKLGYLSLVAWCLAVAFFGVFLAAPLRRQVIVKEKLVFPSGTATAQ LISLLHKIPPPSQDRETSRSAYRRLPRTSRSMSPPGYTVGETSEERREGVEKDNEVMK GSGWWALGWSFFASGALTVLSFLFPIIFAIPVFDVFSIPFGTSLAANWLWWFTPSLSY VGQGIIMGFPVTVSMNIGMLVGWAVLSPLSKNLGWAPGPVGSTTEGARGWILWVALAI MIAESIISLLPITISSTSTLIRHYKKRSSGPRIFQPSSSPRTSNVTDDDYYDPLDEHE DDDPETEPPERLVPMSWIKAGLVASAVLGVGLVWAVFGKDGIHPWATALGLVLASMLS LIGVRALGETDLNPVSGIGKISQLLFAVLQPGNVVANIIAGGVAEAGAQQAGDLMQDL KTGHLLKASPRSQFYGQMIGSLASVFVATAGYKFYTSTYEIPGPQFAVPSAGIWLNLA RLLNNGHLPAHVIPFMFSFGLIFAAISALKAFKKYLPEYKWINYLPSGIAFAVGFLNA PSFSIARLIGGYIAYRTSKNTSNGETPLLAIVVASGFVLGEGVLSIVTLTMASFGMKA VSCYGCGIAGGGYCSGGC
I206_05378	MLLSTLVTSLFLPLTLGFSFSLQNTTPAQCSQVSIEWTGGQTPF SLVVIPALDYPTSISIPDSSFDSSTVKGNYSWTVNYPKDTKFVIMMSDGSGTGTGGVS PLYQVASGSSSCNIRSELADFEFYLNATSLTQCAPLSIYWDNSAVTPVSILGAIPGGQ VFQLVSVNDKTNSLVWNTNIAANTQLIMAALDSGEHGNGGSSALYTIGSSSDNSCIND QSPSSTTAGSPTATGTKSGSVGGVKTVTAITTQTSLPKGAAGLSTGALVGIIVSAVLV VIALQAALLWFCCRRQIRSLIYHRREMKSQEVKPGGEVDLALADHRSIDEYQDDNTDP YAALGARTSRYSMARSKDDVATTVSPYWDSTALPASSNARAPALDLDFGSNGTGQGSS SHERHDSFALSIGQSIPEHLTPSPSLSAGGDFGFAHSPSSPLVPNQYPSSSSASASGR GMTKAQMAASLSASNPDTTSQFGAGNRLPPQEAPSGGFRRHEDAGPLTRPSPPEEPQV EDLPPMYKPEWETDSQRGSER
I206_05379	MSEIPKSMKALRIHKAPSKHYRLEILDVPQINDEKVLLKIGSAG LCHTDLMVLEGSFGDNLPIIGSHEPAGTVVDISKESSEKYGLKIGDRVAALLPKDVCG KCSDCKFGDWKYCANSKYGGINIDGYFAEYALVEAKHCVIIPDEMSFEQAAPLTCAGV TIYTAIKKANLESGQIIAISGLGALGTLGVQMAKAMGLKVVGIDARSQPIELVKSFKL KPDLIIDGSKIKEEEAMKEIIKLRPEGYEGWDGVDATILTADPTSSHSFALNLTRRHG HIILVAQPPELNFNFKNFIFQDLTLSGSLHGNEIDLKETINLVHKNGIISEVQKFSIE NHEKMVDATSNEQRKGKIVLSFE
I206_05380	MSFSRSSAQSLKQALRATAPKAAGRQVARRSYSILSREAPKAMV ASRLGATRGVKTLDFAGTKEVVYERADWPLDKLQDYFKNDTLAMIGYGSQGHGQSLNA RDQGLKVIVGVRKGGESWKQAQEDGWVPGETLFDIPEAIEKGTIIMNLLSDAAQSSTW PEIAPLITKGKTLYFAHGFSVVYKDDTHVVPPKDVDVILVAPKGSGRTVRTLFLEGRG INSSIAVYQDVTGQAKEKAVALGIAVGSGYLYETTFEKEVYSDLYGERGVLMGGIQGM FLAQYEVLRKNGHSPSEAFNETVEEATQSLFPLIGKYGMDYMYNACSTTARRGALDWA PKFKEANLPVFEALYTSVRDGSETRRSLEFNSRKTYRADLQKELDEIDNQEIWRAGKT VRNLRVSTFGPIIPKNIFTDKN
I206_05381	MTSTSNTPDVTSPPRTAQPLFMLVRLDMNDFYPHLLQLVHISIL AIQLMASLLLIIEIRGSPRYSMIDKSLAGLLEKDINDLIKLRQDIRYLKTPTVRWNER HSIGHSTFTDWSPHKRTLDETGEGTPRRRSRTSSNNTSLITPSAPSKQNIDLEIETNH NKDKGYEFNNQDNIRRSSKNNRRLSVRFDLSRHLEETISPRTELFVNPALQDYFSLPI TTSEVTRPIPLSIVNSSKEANGFSPCSNGSETLEDILEEEHDHSEQKDNAWMDVRGEE SV
I206_05382	MTNPISPTFCRGFILAHQHPNQIENKNENEKKIDPYSVIPCPYN VKIPNKISENCKNSKNNKVCWKSKYCEMHWCGGCKKINKIGKAENKKDDNLNEHGIGN EMDKEKV
I206_05383	MGGSRIRKSEPYEHDWTENQICLVLDKISNSKNLVKIFFNKQGI ESPSSILAEQELCIELLGSTSWITYMIQNKRVIRDSNGLLRLKSEQWPKRLRVISKLF DFVHPSAEKIRNQLGPYHSVVDLNLYNSDGYKQWKEWQAQGQNTWYFKYVFIKQHIDP KWLKRNISSPSSTTGMETASRREYNPINTSQYPREAPPHLRGTRATYTTTDSSKIDIS MNDIEMTLPLSSHTPSSTPSIPAYLPPTPISAPRGQGYPAPTHHNDLTGGTQRDSEEN KITGREEFIAAMLVLISQELNHRPSSCMAGCSIIHNKAISISEQSVLYLIKKLGGNII NASTTSIQDTLKDKKQDTSTAFILWSTEDDYLSGPIGLDREKGDSPTPITLVGLVHLI IAKRKIELGEGQIDLLTF
I206_05384	MHSIRKSTPPRHYWTDVQRIRLLEAIDGSLNYIHAFYPKRGNIP CENERAELDRLSNRSGLERHLCMIILDKTDWMKWMIKDGQIVDGDDGLSWAIKDNEGS ENVDNPVRILLDFLDKRIQEFDRDLFQARTMEDEDESYSEECKIREKHHDLCASDWFP IYTNIKSLNHFHWRPRNPPLSEKDTFLSSFEPMGRTSTFVNQAESSESSIAASNLKQR LPITHYNTTSQTRYDHSSSSDLQLQKRPRNLDSNFETQSNESSTKRVKRRAPSIISIS SDSEEEEIIQTPDNTIEIDSSDDDEIVITGFKSSARKPFLRPSPSPSSSSSFSATGIG GDDFFIRSYTRTTERVGYLTNFDESDNLDDIRRLSGPIINRNITQNGLSDHSEDESRP STPPLPIDIHDDEDIRPSWQDTIIPHFMEDMFRDTSIRSYRLDLLHERMGITPIRRSP SPRIMIQSEPLRLYTQEYTDMNDAPRRGKIVYPPLIITDGTLEEYPIPIDDSSPQMNR KFIDINDVEEEEDLVIIGTNDISYDLNNRILPDKHISGSGSVQQSSIVIVEEEDDSWE IVER
I206_05385	MSKSLSPNQQQQHYPLTPPISPDLPPFSRKSSPVPPYQLPKTTV ENPFLKHRQSAQQQQTAHKSIPVCSRLSSINNNHRNHQAIAPNFFPSHLIVTMDQVKI PTENVPPGLKQCEQILKRANEIKKAEPVVAYWCCFSAAQKALNLKQRTKDDTLFLMSI IDALESMKVILANNEAITSEAAGAALVENFALKVFLSADNDDRAGNTGKASIRKFVVA GQFIEVMKCFEGGMTDELEQKLQYARWKAADGAKALREGRTPAAGPPIPETQEEFNPF PTIPTDAPSDPSHQHESPSQSRGSFSSQTRPQIPSNSSNTSTPIISPRPSPAPASRPT LPELKTSQGDLRTPTRNHSTGSGAWSTVATPGLPDDDSEMHVPPNKPELILPSAPPMT PPEKGSPGDKKNVRFMGPDGAPLSPASTHFTVSSYDVPPAPPPTDFASEPASPKPSPP TVVLQPPPGRPRGDSSASTSSRSGGNGINNHQPVNGKSPSSNTPISRNGQANTQPKQA TNSVTVPPPPPPSLASYPMHPPSQPRPHGLGLTSPQPAQSQPHQHTSQSYGPTRTGLS RREVETVQKHAKWAVSAMEFDDYETARSELRKALNMLGG
I206_05386	MSRKKEVPQIGVRVTWPPLKILKLLETISSNELWIQTYFPKSDT QIKGKLKQSKKFCSIFMKDDSYMKELKRIDLVKKINYDDNWDITNWQISDGKDPIYDK IVTLKRQFHNGKLQEGFNLDPKWNSFDDIPNKSKRAKLYAKMPYYFLLKQLCTRSQDH SRQLIIPQDSILPVKSKRNKRSHSTSSGDFSSPNLESAFIQDHLDISSSPVIGPTKKK IRRTVPTSSTDLEQQESQAGETANFPMEINEEHISENPMVPLAAPHQTRSSRSPSIEW VFPTDDRLIGQPSKPRRSVIKNTIITPKPIIRGGFATVSEEAIEVGDDDTASQVKASQ ISSSEDEHSDWISREGSSPRSDGRIQDDRDAASSATAELNKHCSKEEVLEYLQQHSAI DEAAVQSLSLEELRDHVFLPNQSCGFLRPWADCLRRSFKPIMRKQARTEAGVAAIRRV IDNLGPKISYNILVGQHFVIPAGIRRDYATRRLADLIVDLGGSISYEEIQEELACLNN SVILLYNNTEISGERRINLLARSNAIREVYTWLSLTLDLCNRAVPPPTVLDVASSQAI TTGRQYMLRLSPVAERLDFKELINVLQASELRTITSAYMHVDKSATKRMKKRLYTFGQ NAGFTQERNREAFDEISSGRRKREQIATFSFVMIESNTSKPHVSTDRMEGRIRVMSPL GFLRYLLELKQAKESQLAFQNS
I206_05387	MLRRCSNIPRSALNLSRPSIRAFSKPSAPVAASTHLSTKENVLF ELDVKKVGNEIRKRGLTGALGGQREGGMDRDTIIRLLYSLGSRHEVERYLRIFTQSSK DASAGGVLPEAKFAVLKIGGAILSNELEDLALSLSFLNRLGLFPVVLHGAGPQLNDIL EAEGIVPDYEDGIRITDPKTLSIARRVFLQENLKLTTALERLGTRARPIPTGVFTADY LDKAKYGLVGKITRVDKAPIEAAIRAGCLPILTSLAENAEGQILNVNADVAAGELARV LEPMKIVYLNEKGGLYHGVSGKKISTINLDEEYDSLMKESWVKFGTKLKIREIKELLD TLPRTSSVAIISTDMLQKELFTDAGAGTLIRRGHKLYKQPGVEAVGSTQLRQVFSERD PEVISGKRSVAEIFSDLKTSPSTIYGDEPFDVVAVVSHPEGETPVMTKFLPSSNGILN KIADNVFDVIKKDHKRLFWTAKADDENRAWHFERADGSFTRAGRSLFWYGVADVKEVE KIIEGFEQSGRIERVFLPVGPSIPPHRMTPGQTRAFSTSARPTLRASSVNSTRGYATA TDVPRKKVALIGARGYTGQNLISLIDNHPHLDLTHVSSRELAGLPLKEYKKSNVSYSN LSLQDVGKMAESNEVDAWVMALPNGICKPFVDAIDAAAQKGGKGVIVDLSADYRFEKD WTYGLPELYGREESKKSSRISNPGCYATNTQLLLAPLMEHLDPQSMPSVFGISGFSGA GTKSGEKDSEGRPKTVPKISAEDLGLSIRPYTLTDHIHERESANHLSKLLLKSSSSSS SSSIKENNDFKLAFIPNVAPWFSGIISILNAPLNKTFRASEIFELYQEKYLNEKLITL GKTVPDVRDVEGKHGWRMGGIQVHSSGKRVVVVGALDNLLKGAATQCLQNLNNALGYD ELAGIPLDKL
I206_05388	MSEDQENIAPMSRLPRLASPAKPSAIPTLSNSVAPLIGSSNANK RKLPSSPLAPPPTKRTVSGSSLGSSVSAAGLRTSQRKPAGFVPTTTRKPISTLPSSRP ASALGTSTRRNGSTGSTGSTSSTTTTATTRTRTTAVPPARRPPAAASTNSRGATLGRS VGPTARATSRGVSPGLGAVAARSGIGSGVASAAQFKSHDGRLENVERMVGGFKELIER E
I206_05389	MSQVDLQALLQSTQNTEREARRDLSSASEELAALRAAHAREIDD LERTVARKDREKRGMEDELRDSRDDLSRERETIRQLKQQLADQSTQHLTLSAQLQASQ NQLSIIQAEVECATLKVSSMKAELEVGQENAKKAEELAEIKVRQAEEERDRRIAEIEE DLRNAETIRRKLHNQVQELKGNIRVFARVRPALAHESSSPDALAEIAYGDDRTALETG QSQIVVTSRSESATGQSREQEYPFTFDKIFNPSAGQKEVFEEISMLAQSVLDGYNVCI FAYGQTGSGKSWTMEGGQDEEHAGMIPRAINMIFSVSNGLKDRGWRYQMEGQFLEVYN EVINDLLGNGQFDCKKHEIKTVDGKVSVTDVVSIPLNNPRQVSTLLERARGRRAVAAT LMNERSSRSHSVFTLKVKGENPLTNEKCEAMLNLVDLAGSERLEKSGAGENKDRMKET ININKSLSALGDVIGALGSGKEGGHVPYRNSTLTRLLQTSLSGSSKTLMLCNLSPLSA HLNETLCSLRFATKVNTTVVGQVKKQIAK
I206_05390	MSRPSITSAFARLSLSRGLQTTAPLPPPTTSLPPSSSEASSSSS TSETSTSSSISHLPPILPNSSNEIKKPKNTKEALKLISSQSTNSFGRYLITRLYSRNY LLHSKDILTLPQLKKPFLPIGTQLNLTKILEIGSRDFSLKSNSSFASNLKKSMNWKEK TLINFENLPLNLVNCKLTIIEHTKSPLERILKKKRRKGYKKTIEHKQGYTRLRVGDII INDIEKDI
I206_05391	MRVAFRNTLRGAVASSARTRAAPLAARTYATAKPAASEVSSILE GRIAGASVGGDVQETGRVLTIGDGIARVYGLRNVQAEEMVEFSSGVRGMCLNLEADNV GVTIFGNDRLIKEGDTVKRTGQIVDVPVGPGLLGRVVDALGNPIDGRGPIESVGRTLA QLKAPGILPRRSVHEPMQTGLKSVDSLVPIGRGQRELIIGDRQTGKSAVAIDTILNQK KWNDGADESKKLYCVYVAVGQKRSTVAQLVQTLEENDAMKYSIIVAATASEAAPLQYL APFSGCAMGEWFRDNGKHALIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHS RLLERAAKLNADYGSGSLTALPIIETQGGDVSAYIPTNVISITDGQIFLEAELFFKGV RPAINVGLSVSRVGSAAQTKLMKSVAGSLKLYLAQYREVAAFAQFGSDLDASTRYLLN RGARLTELLKQPQYQPMPTEIMAPLIYAGVNGKLDKVAVDQIGAWEKSFTDLLKSQHS ALLEKLSGGVLTKEIEEEMAKVIDAHVADFTA
I206_05392	MAAAKPKSNSKISPANSSVMAKAKGKGRVAVTPDVQNSASKIKK TKQMARTTKPFLDTVNFPFDDIDLGFPSPSSSPVKSLKKTTSNSTVLLSSTQAPISSP PKKRRGRPPKAKTTEVGNITLATNVSDLEEEIPLPKAKRARIANTHNKSLTSAQMTDS DNMNSAGPSRSISCPGSRDYTEDIEEHDLSEFLTLCDTSSPAISQPVFTPSCIPDDLI KDDSIYVKDQKCWWAARLLRFIPADNLKDQQKGKDLYEVETIFGAILKKKRDQIITKT DQAIADCTLGGFTVKNRIFTSDALKRDPTPEPSSPIEPLLPAEYTQLRRVDQIYAIRP HLLDILNDQYEPAIWRANKFFAGGKKRLSLNSEAAYGDIHEDEVTNVILPELHRWVLR AEGRNDGQTRSDEPTRPSGTKRYNSLTIHEIKQYIDFVLLPETIIQICIRSVELSDLI ESAQSENGDEDDKLLLNGLSRGEEENDNSTKAFRTFEKEKKKNFGESEQILNEIKIYK LARNYILNLREKNLDKDFEIRCSELLLSRRKMRLKFNLPEENLNLEELNKWKLNNKKN EYNQIWLTGRIIEKKKKIKIQGEVIWIKT
I206_05393	MPLESNADKAYMHDLPKVSFRVIDEDPSLLAPAGVQSEQSRSYG YNDFSDFTRSEHYIRYIEPIESELAVQVEYDMDEQDQEWLDAVNAERKKDQSGPISYE IFEILMDKLEKEWFNLSKRIPQPTQHLPAEDSKCAVCDDGEGENSNAIVFCDGCNLAV HQDCYGVPYIPEGQWLCRKCTVSPENPVSCIFCPNEGGAFKQTTTGHWAHLLCAIWVP ETGLGNVVYMEPVEGVENVPKSRWKLVCSLCREKTGACIQCDNRNCFTAFHVTCARQV GLLSSMKSFNQDGVLKAFCHKHLPADSIEETETASDYSSSYSETLPPKATKTKNKHRR SSQSHHGTTQVIAPTTKKSAQAHSKSFRPGPPIIPRLILDKVLDYVAKVVMRKRQPFV ERLCRYWSLKREARRGAPLLKRLHLEPWTASAASRQQTDAEKAQKLKFLQFLRNDLEK VRMLAELVRKREKEKLRQAQAIKDVVDGFIFPHHGRLRVALERISAMDRSELYLNPVS RLEAPDYFEVIKDPMCWLWIDEKLEKNEYLEVAEFKRDIMLVLDNAMLYNPKDNPYHR KAAKIKKEAEPILADLDGITETARAALGDQNLTESNKSPIGDLEPVGTVLSTLLLESS GHHDPSQDYLCSIFSFELEKPREPTPPPPPKPAKAPRKSNAERRQQWEARDAAAKERS LAGSRPTRAALAAEKVFNQEAGIQPASPAPIETKVEGLDARSRRRSLREINGVEGPTT PATSKKAKGKAPASTKPPSGRKSDTIVNTNLQKSKSTSLTISGSASPTKSTNSFPSRH KSQPGVAGVETFQALTDRERREQEKSLDLLTQEVGAQDQFKRFNVGWVLPEGSKRKRS ERTSDSIRE
I206_05394	MPTARAQSSSSSRKPPSASTSKPRASTTPRTMHLSLSPITSQPA EPTSVQTGSGSDLSSPPPSSTVTSAAPTPVKPEKASKRKAASPEEASTPRLSKRARTT RNPQQDEIEQVQDEDEDMTPIPDDTANADAEDAKGQTPEEIEEIEDSQPATHTESGAT TKSSPAKLRRGQAKTKTVSMSPIQGEGPVDDDIYPPGTLVWAKVHSFPYFPAEVIDPT DTEEIPSAVLYAEEKERAAAKLLNKKIWLVRFYDNTASYGWVMEDKLDLLGEDQDIDA MYLSGKDRGKANKYKHHAIKMVKKGYREALASLQSEEEEV
I206_05395	MAAPQSSQLSPFHKLSTSMPMVSAQSYTTRVSPDDLAPVTLPRH NTQILYTCDSNRALPYNSSSIDRSFSQLTKRKSSAKALANSSVFTHEKRRRISHSGLS FGTASSDTRSADILIAKVRADQEFDDFICTGYKPALISRTERYHSTTAIAKEESFVGN KSGTSKSPGFDAGQLVEGVCAGGAECSFGGSSDLRMNGNTSIPTSTPLLHIPTTVRPL LSINPIIRARPRWVTQPVVHEDEVLLDEGEDGKLRVEDGTSEGEEVFEADEESERLKF VPRPAQDIDDEQDELVDDEVSVKEEEVSHLEASSEVTKQTVIEQVISTNMISHLKSST TLDISSVSPSTSNLLTPVSQPLDATLTSTTPRFAPSASDIDEDLPASHFTSTIAIPYR SVSIRATPRPVDIPSSEPPEPVLEHSDSDESALHPIDSVHLGGDTNHTTPLPLTAESA SSAVPDVNRSDETKLKRLECFVELPSFRQTRKAAYVRICQNFSASEETHRSDSSPASS SVNDDYGSSASTDSPFIEADEILGVNVRPTSPNEKKKTKKRKRNDRVIPDMSGTPNKR PRLESNLKSEYKGADLTVEEMLSRGRETSFHDSWLKPRSTPYKGGRRDRAIRLKRCQL LAGGYRLLLKRSPNNLLRRYVVCMRPDNLGEKYKHTIQKVHKLVSRSGGSVIDDQRQV AESSDSKAIIITDSDGESQPLKAERNPHSTYSMDLLQFLLWYDRKYTKFSSGKVSDQS TLTYETSTMIAEALDYTLSQPESHDGPRAAKTTTTRHVRFAPIPEDSFYGRLDLRSRQ IHPIESRGSYQTTSRSDSCTLQPSVSNTAPSNSPVHQLDRAHISLETTNSAKRDPAQC ISSLLRKATGHTISNKWLARLGGANATDLSQSRRSSVREHHKGKYELYRIACFELAFN EMKKWVGYTDILKGHAVRERVGGELVRLKRESSIGRVTEYAGGQIGDTLAMIDQPIWI HDVKEMTELEFYQYVYRLYRVSSFLPEEIAMHLP
I206_05396	MASTYSTSLDLAPIITSFPSSSGANRYSSSSTTSHASSSSWTPS RDSVCSNASEWSATLITPRAEKTFSIGVVSDEIHEDEEDKIEYIDLSGKESWWNDRKD DNEKVLDQWLDDSPTLPCSGKISETSTMPSLSLRKPLSSKRLGKMPARPGTPIRDSLG QGERKSGRNIFDVFDIEVNDQQNHDVFDSVSIRRPFTKHRTPIPVFPTAPIGPKIPSR ASSRRPPALPSRDSSLKSLSAHLKSESQILIPSRPTHPHRPIPIRTFSHPPSCSSKRS SLKSHRRVSPILLQAISETPKDLDVSPDGSWALRQSLPKLGLAKSQPNLRSRNPDMTL KFKLKRSNSSNSNQDSTSDFMSLDAFPSSRKSFDVSDRTRESRASFSDMARTFLPRGA LPESPSRMSLDGRPTITTNFGNLNKKSVKSSSNLLSGLKRSQSVRRPSLLGLPEWSPN STTSSRSISDSYVVQLSPNSSKTLETLSISYGRDSPASSTHSGNTREEVLRVKYNNSR HSIASSISSKFNSFIEEDLLAVLDAEHWDWPSPPFRIERTESTPGLSTSGTLESMISP QTPSESEFVLHGEVEYLARAAKENKKNEDNGKAGRQMKWSNSIESLNIHL
I206_05397	MAAAYGPVPDDEGENAPLTLGTPSPAPKRLSIDAQSDASSIEFR DQLDVEPFDEKGDRFRDDPRMEDEAGDGDEQSYAIQPLQRLRTRQKSRKILASLVAIL LFAAVIGGLAASGYSAPTFGIKSGNQRITMDHVFNGTFNAWSKDLDWVKEAADGTFSH INKDNNVVLSDVHNMTDETVLVDSSKVLDQHGNKLHWQSWALSADMEYVLFKTDHVKQ WRHSSFGNYWVHRRSDSVTFPVVTPTTHPTVTKCVWSPVGHALAFVSKNDLYLITQDE MHSTTPKPTRITNDGSETVFNGVPDWVYEEEVFETDSAVWWSPNAQTLAYLRSDESAV KDFKLQYYNPSSDAFEVHQYATELDMKYPKPGTPNPLATVHTYSISSQTRHQLSWEGE MPLDSRIIVEVGWVADDGLLVKEIDRAARKGNVVLFQTGKSQGDIVRILGKDGEEGDD GWIDHGQNVIPVKGALEGYLDIIPNEGYNHIALFSPINATQPIWITSGEWEVTEISGV HVESETIYFLAATPSVDRHLYSAKMPASLTEDYDQTFTALTDNTSPGYFETNFSPGAG YYVLNYRGPEVPWQRVMQTDPNEEPLDVLLEGNGRLNETLSEFVRPMITRQTIESEGY ELNVLEMLPPNFDASGRKKYPVLMRVYGGPGSQMVHNRFERDWHTYLVTTLKYIVVVV DGRGTGFKGRRLRNPVIDDLGHWEVVDQINAAKEMAKRTYVDRKRIGIWGWSYGGYMT CKTIEANSGIFTLGMAVAPVTNWLYYDSIYTERYMSVPSTNQEGYIKSAVNNVTSFSS DKVDFIWAHGSGDDNVHYVNSASLLDKLTQQQVRGWRFRMFTDSNHSMDKRQAYREVY EWMTDFLKEKWGVGGKIHH
I206_05398	MALPTHNRNRSSSGSLLNSFTSVIPTPITSIFTSPRISQGITLG SEEEGKLGESSRSTTAALLSKDAPEGLRRVELRVGGMTCGACVASIESQLVQPGIHSV QISLLAERGVVEYDEDFVDSQGKKWDDARIAEEIEDIGFEATVVEKSEVDEVELRIYG LENTEIAESLLDTALKLAGVHSATLPSPYTHLALTHSPLLISSRTLVDTLSARFPQLS FLPVSNRDDSQIASLQKHKETATWRRTFLLSAMFAVPVFIIGMLAMYLPHWLMGWTMW KVITGIYLGDLVCLGLTIPVQLFLARRFYENAWKSLKHGSATMDVLVVLGTSAAFTYS VFAMFFAMFASDPDFHPQTFFDTSTMLISFVSLGRYIENLAKGKTSAALTDLMSLTPS SATIYVDPLSEGEALDASAETRKIPTELVQVEDVVLLVPGEKIPADGVVLTGATSVDE SMVTGEAVPVAKKVGDQVIGGTVNGLGTITFRVTRAGADTALSQIVKLVEDAQTSKAP IQQFADRVAGIFVPIVIILSLITFIAWMFISLLSSTGNLPDVFHSPGVSKFGVCLKLC ISVVVVACPCALGLSTPTAVMVGTGVGAQNGILIKGGKALEACKDVRRVVVDKTGTVT EGKMQVVAATWAPSGNLTTGTSDFEIDTPATLSLTTAATPLQRHTLLSILSLAEARSE HPLGVSIAAYGRDILSSAGLSPPNGEVLEFKSHTGEGLEAVIKLNNGTVTERVRVGKS SFVSSALSSEKANEAYGTAELPRKMKEFEEKEMNLARTVIFVSIIRSSTSIPVLALSL ADSPKPSSAHAIRALKDMGIKVTMLTGDAEVTAKAVAREVGIDENEVYAGVSPKGKAK IVSDLGLKDGGGVAMVGDGINDSPALVAASLGIALSSGTSIAMEAADVVLMRSDLLDV VAALNLGQVIFRKIKANLLWACCYNVLMIPLAMGFFLPWNIHLHPMMAAAAMAFSSVS VVASSLTLKWWKRPISSVMPNEPYSPGGTIKGFSSLLSDFKETTIDGFKGIISLGSEV GRRGSTLPLLRRLSLRRPSRSAYEAIPLDRSGTPLPVSPV
I206_05399	MASQVPPSKNPIPLADRPLPPSAALSEPADYKNTFRGRMTASKF ADPCEAASKASLECLERSHYNRDECLDFFAAYRECKGKWIAQRKEDARKGRDTA
I206_05400	MSILSTLAGIGMAVGPPLIYADQAYSIVKKKDSSGFSHDVCGVL LIANTVRVFFWLGNRFETPLLIQSLLLIVSQLILLAICLHYKPLNSENELNYAPLSPL PNSPNPGHSRQDSNSDYLNQPHISENNNENLKNLKGFKGLFIGGNGKRPFEFWQWEGY GNYLEFLAGLILFLGILQIILGKWNWYIDSLGFIALTIESTLPIPQFISNFRRKTCYG FRSSTLAGWFFGDAYKTVYFFLRGSPFQFKVTAIMTLCWDSAVLAQRVIYGAKAPNEA SSQYSAPAQQEESRGLRANDERQFSIS
I206_05401	MPSLTKMIFGNGPLGPSFAPFIRQHPGIQKYWARWSNFYKNAAG YRQKGYLYDDLIVEETPQVQKALSRLSAKQRYDRVFRMRRGLTQSMAHKNLPKEQWVK ADEDVRYLTPLIEQVVAEEAERAEWDYMTVEKIQQKRAEKRNIFSKREGTH
I206_05402	MPTNFLAPHPHTVNDLPRSPSPSPLLKQGFTAEDLSVDSPDLED YPFVTDGLQVSFDIKCEEKTEDGLNENDEDEQDQDELIIVDPCLTSYSTQTQIHAQTD KTDFIPPTITDTIILPSASQHKPGLPLISRENATRSSASFINLASPLLGSPQRLDQAA LLDKIQSELRDLRLTRSLSSPLPLNQSFYTYQKDNYALDSTLDPLSYITDKKRRNMST KIPEGQSSFDFGDQLLATSPWKGLRRALSLGSSTSANFGSKRSSTITKLSSRQSRTRF TSNHKHGHTSAGASDNWDYKDHKKALSISETDLSDIIDILERDVEDQMDIEDEWHNNM VVWKEGESSSISGNSASASRSIESRHMSRDQVPSGPSSPCDTDRFSLSDYTSGLLFPK PPSIIHTNNNNNNNNNNNNITTQSDRSLIDPSFPFQSINQTQTQKHTNSSSISVVDNG RITPVSFESIGSQPSYCPPSPASTPSPNLSSSNSPILITPSVQPSPTNSTSDLQAVHG NEVDKKGSEVGTKSIIENTQAVPLVPKLSGLPPLVPRTVIPSGSTAPLSFPSRNKNTS TSAETTVPIDADNNVDQSDCPTIRTINDNVNNNKHIESLQPPIVLLASDKDNTTHAPS PFRDPMSFGERSIEGRERDWEYHNRDPHPPQAADIIKHIPPPLSYHPHHKPQQPSVPF STRTTSTISGGNNSRFSGVDPLDTLSPPPSYGQFSNSSSSSRRNSKYTAFSGSSGSST HSYSTTDSFSWRGNHNPHNQQHWQFGGQPDISTVFEEENSADLGEDKDFPKKDQYEFG VKHSAEPNHQKYRTLNTQSSSSTLVNRPANRSTPGYFSRERSGTINSQTGTINSNHSG KSSTSKGPTHPFANAVIRPTSPPSIPTSKSHNNFLSPQATRPENQILIQTSRSSPNLA EQYKMSQPAHQVALVSTEDDRDDEEICPVCVESLSFTYRLPGEKPHIVPECGHALHEE CFVIVYGDVPPEGSKKILGVCGVCRQPMKMADGAIKRDKLATLMGQPGQNGARKSSQS APSARSVGGRGHNTSPALADPYADDPVEIGNMSSSRSMHSESSQPKVVVPSISIRSEY PSIAKGQRTGKQVITAMVTVEVPSAGDRGKYATTLRPPEMSRSALSEDQFSPQLPPSP RSASDSSVIPNTARSGPVTSPDPFAHVVNDLKHRVVDYRTSGLDQLGSLRLFDLLSVR KGQLIREFHVYLFQEALICVSEEKKSGFRGIFSSSSSIRSDHSGGSHHTRGVLKLKGR IYVRHVKKVTDTSVQGELSLTISMEDESLDSFILCFRDRSSHETWRSTINRLLEEVKG VQSKSLRLPRSANTPLSAGGSARNFGLDLTSPSTTGYAATPSTSSFAHNDASPGDLAH EQPLGPIHTPVDLVIVLSLPAPSQSNNQLPLKVKLMKSSLAFILALLGPKDRISLVTC EMGANGIARRTPFLSPCKFESRKRLEAFVETLGSSREGKDEFEVQVGREERYDVVTAV NVALDVVLQRKAKNPISGMILVSDTSDVIKRAQMDLVTARLDAANIPVHALGYGRSHD PSPLWIISNHTHGTYTFVKEWYHLRDTLAGVIGGLMSIAVDNMRVHLSCQENDFHVTK VSGTTQAIVSKNGKDVDIELRELRFGEIREILVELDLEGKSSNEQRYSGEGSSENGHL QDAQHGSLIRKEPSFNVDRGLGLDTLSVGDANALRDVVYEDALIDEVPVIEVDCSFHD PKAGRSVARLAHPVLLTVAILPPNAPPSSTPADPMLVRRRMELLASDMITRALLIASR KNFTHASRILRETKRIMETIVDGLRSHVNGNSSRSKRDAQTIFAIDGLLGAIQDLDGL LDGLEEHKELFERDHRNYSAQQAGVLRAQRSWTKRTPTERTYCTKEIGEIINMSGEWQ GRS
I206_05403	MRLIGIIIYAFSILTLSQAISLGRLQSANRRSYEERSPQNDDSA SLPPGVELRVGIPLFKNGSIESYEIIERNTPEVPESTGDAAIPTSSSPTSSEGPITIT RTHIETKTETPSESTTSSNQPSEVPSPASSTSMSPQESSSIEDCKGACPTSTEDENVP SKTDNAAVPNSSSSAPVSAPSTTSSPEKTEECEDDSPSSTDNAAIPTSSSTPEEDECE GNEDDQQEEDQCDEEEDTPAGTTGNAAIPTTTQPTEGSSGGDSGETGGEGDNEDCEDE KDEGDDCEEEKETSTSSSSSSPTTSPSSSPTTGNAAIPTSSSSSPAPTTSEASVPSSS SAPTTSETVNSTTSETPITTTKLSQPETTENAAVPTSTSTTENPTSSSSKESSSPTSK TKTCDCEKDESTSNSPTPTESPPGEGDAAPSNFPEEVGAVVKSTSIMSGTATPSASAQ LSDSESTATSPATSDSGWTWF
I206_05404	MKFIRVLCVAVITLSVRALSIPDTANISVVEAGQSPSTESEPES ERFVFAHFMVGFVNSYTQEDWNRDINLAASKGIDGFALNCDGQETNNQQLQYAFKAAT SCSKSFKLFISPDFVHYSISDPQQVSDLLKSFISESAYFNYQGKPFVSSFWGEGIDWI KIEENLGKDLYICPYYYASQSAVETPGLDGLFSWYTWPGQDTDEVVYQNLTTNSDEEY LNLLAPGGKTYMAPVSPWFYSHLPASTGYAKNYHLYSDTLWTTRWQQILDLNIKYSNQ LKFIEIITWNDWTESSLISPYRGTLTNDGNKEWSEEFDHSAFMDLMYPFISAFKSGQS KPQINENRLVWWYRPTLKSAEQVSLYWCSSTDSVGEKPRGWEMAADSVFVAALTTAPA TITVKIGDTTSSQIVDEAGVHTLAFPMSVGSVSFQMDTDGCQSISGNGAIEISDQCYH GVYNFNVLSGTATAGDSTSNPPESDNGTTSSTYTSSMTSSSPISNPATGTGVLSPAEL TSSANSAPSKVETTIDTSVTSTANEVTTSYVGSIYSAPTNGWRNGGWRTHSWPS
I206_05405	MPNKFQILTHTIVLLFLISIANIILLLAVIVKPFSEDKSWDISC WTGNWFWTYMQNHWENSLNAKNAIKVTGDEIPKKENAFVISNHLGYSDYYLFQYLSSR AGMMGNSRYFVKREILRIPFFGLAFWAMGMILVSKNWTNDKRLIERAFSRIKANQHPC WIVLCPEGTRRTKSKLLKSQVFAREKCKPELKHLLFPRTKGFVSTVQALRNSHIKYIY DLTLLYQSPKQYKWRVPALAEQLSCDNLAKKGYKFRIHVKRIPISELPNDDESLKIWC EDLWKAKDDLLDDWMIYSKESNGTNGIAHSFDSGTNGVCHGHENGFKA
I206_05406	MASILSQPTTSRAGALLAMSIARPLPLLSTRLPRRTFTSSRSNQ TSHFDTYLFVEKLEKNGMTRRQAEGVMGVLAEVVEESIKGMESGLVSKAEQEKQRYTE KVDFARLKSELQLHEKNDLSLMKAENERLMTDVEKLKQRLREEITRTQAGVRLDLNLE KGRIRDESSQQELKIKEVDTRIESEIAGLRTQIEQAKFSILQYLVGVATGSGALLLAY MRMMVSFHLHLSFKHNN
I206_05407	MQSDDIIWTVINHQFCSYKVKTATQNFCRNEYNLTGFCTRQSCP LANSRYATVREKDGVLYLYMKTIERAHTPANMWERIKLSNNYVKALEQIDKELIYWPN FITHKCKQRITKITQYLIKMRRLSLTAQPKKVGIKKKLERREATRERKALAAAHLEKN IEKELLERLRSKAYGDAPLNVNEDVWQQVLDLDRKGKEKELELDMEDDESLYDSDEER EEEWEGGEREYVEDTDDESVGDLEDYSGSEFDEFDSEEEGSEGQAFPSDLEVSDEDEE GSEEEEGEGDETKTKTKSSIKPTNGTLAGTKRKAPPKGGAKKGSRRPKVDVEYEMETE PLSREMLKNW
I206_05408	MVNEPFDVNQSSSSSSSSNSLPPRQALLQNLTTQSILLSQLFNI FSNNLNLNSNDNNNNNNNNIIQNNNNIEQIYTGLKLSTLDLSNLIKDSNKHQKEYLNL IFKKKKIEILENKIKFLIKNLENGRLQLENMIEIGKKMKISIEQSEKNIIQVPSLLAQ SQRLAKYSSAPISNLMSNIDKNQFQPWPNEMMMRMGLLFQMGGNEGMGGMGKKGKLGD EIQSTDNAIEQPQNTIIHEEPARRYDPNAVFTLDLNSDDSDDD
I206_05409	MPRLELSPTRRRSNGILDYRNIDLRALLHRAIGMPRELLHKYHK LGRKGKATIWFITFMHFLMLALVIMITPTRIGLFFNNLGLKLRAMGWKGMILCGLFCI LSSHPPLFGFMGSLTLIGFTYGMWPGALISFVSSMLGSIISFISVRTFFLGYLGKNEK WEAFGHVMRAKGLPLVIMIRYCPIPWAIGNGLFASIDSVKLWHFALANFLIQPRLLIP VFIGSRLTSLTSDKNEKDPLQFWLNLFSIGLSSSISIITGIIIYKLTLKQMKKLKLNN GDGELAIEYIEEDALLGELNESESENENEITSDQEESELLTNSSDNNNLRLKVGNDLE LGKKQNNRRRISGSESPDERELI
I206_05410	MTPNSDSHQPPVSRTVEYHRPSRNSAHYSAGTDVSLGMVSASAS SNTSSPRIPQNQQPPNGIVQGAGIGAPSAYRSPVISTPMGFDSPTSLQPSRAAPLPPA TRRESQNYPNQSSSSSARPSFSQQGQSRSSNNSPNPNRYSAGASYGKNMTMTSNGPGA GSGSDILPPPRPTRAGTLPLGEHPGASSNGWDPMSPTISRSPNPNSMNSSSTNNNPSF LPVQQQQPPPLPHQPISAPSNPYSTQTLEKSFEDAKIGLGVGVPLQVGEPKEKDLPKE PGTIGRNRSGTGKSSKDKKSVFGFMSDLLTTSKPPVISTPYDPIHLTHVGFDYNTGQY TGMPQEWQKILDENGITRAEQEENPNEVLAVVQYFKNRDAQQESQEDEVWQKMRNAGP AQTPDSPSLPPKDVSMSREGSSEGPARVDQFANPRAAPAPPAKAGPPRMQAERPPPAP PGRLPPSELTKPVRLAPGQSPSGTSPLDRSFSQRTPPTHPPQRKVLDRANTTRAPTSK PTSAGAVPMGKSHSQQGQRVKPDQGPSSSKAGLARNQTQGGTSRQQQGEATPRRRDKQ KENEEVIRQLQAICTPGDPNQVYKNLVKIGQGASGGVYTCHDRQGYPVAIKQMNLEKQ PKQDLIINEILVMRESSHPNIVNFKDSYLWRGDLWVIMEYMEGGSLTDVVTAHCMSEA QIAAVSKEVCEGLRHLHSKGVIHRDIKSDNILLSINGDVKLTDFGFCARIADPLHAKR TTMVGTPYWMAPEVVKRNEYGPKVDIWSLGILAIEMLEGEPPYLNENPVRALYLIATN GTPKVKDFDRLSTNFKDYLERSLTVNADIRPNADKLLKHEFFKHCAPLISLSNMIRSA RKG
I206_05411	MSPIDHTSAFQSIFSDKLSSIPPSRRSKSPSRLTSSRKGKGKQG DHENEEDFLKEAYRIYEHLQSLEILIKSVRKPYLSNIEPPPLSRRNIRTHLEDVKEED EWKRWGKVKYLTDRERDEIDLRARMILRRCKDRINIMETTEQARRSKTPSISLTRSTV LSFLPSLSPDESSSSSSSSFQPLIIAHRASIIWKLNDFLTKLTSNISNLQEERFKRKE ERMKSLGSNASLEASKLTKINIVNDSRKIPEGLIINVENDNSFNLNNLNENNNKIEIG TGIGIGIINYENENPEEVKLNEKQIQEFENENNILLENMSFNLNSILNAESSLLEISK LQNELLQNLNNQNELIDKLYEDAIGSLNQISGANEQLKKAKKRNQESRLFLLIFLLGA SFALLFLDWYAA
I206_05412	MSINQETLHLIVNPVAGHGEASEFVDETVLPILRHLSVPHHIHV TTSPGDAGTIGKSIASHEDRRTIQVAIVGGDGTFHEFIQGVNGIDSIKWEVILFPHGT ANALYSSLYRTPSNFIEKHQTIINSLPSKYPEEILFKLTSLLSYLSKSSNLINLPITE TTIISEKGNEEEEEKEEKIISHVVLSTSLHASILFDSESLRKSNPGIERFKISAQKNS SKLFYAKVNLNSKLNVKQWDSKIEKWVKPFTLLNENDNNNNEIKGPFTYFLSTSTVDR LESNFIISPLTKLNNENDENFIYITIIRPLRDSLIINSKIDERKYKLSKRAFEVIGKA YLNGQHINLTLPLQGNELEDKGKGEPVVEIFRCTSFEWVPLSEGEYEGLERGNESLIC ADGAIHTIPQGGIMKVKLQEKKNDKGIYVFI
I206_05413	MGRSRLEDVTCAICYDSLFNKRDDLRDLIPIATCDCGHVFHEPC LLEWFRTQSEQYLAAAREQGIDGRFGSPTLSDAPAECPSCRAECFADPETGQPSIHRL FIDFDNIFDNNNNSIIGSSPPPSSKMDKKGKGNAKEEEFLNLARRAKGIREEVKGLNA ESTEEHMEGMIRRGENLVMDLIGIQVLDTVKNYIEGLTKEIKTLRKTLESNPLNPGLR ERISTLRDQIINLERQSRLSLQREVRKVKDEEQARCERKVKRAEEERDLLQRAYEKEK VSRKTGMRSMEERVVDLERRLEEMSEQLKKEKEDRVALQATLQERTQQLKLSTKRVED RKDLKRQLSVLQKENDKLITRIQSHQLVDKPEIEKEDNSVQEISRSRFPRLASSPSIH AKVEEDESLQIDMPAYDDSFVSPDRLLPARTTLKSLKTGNHHLEGGRHGRKHPTARIM EFNLEGDKENKNRRRSTSSKYFPSSSSVSDEEESPKKYPIKKKLTVNEEINKRSLTNP FQTTKQSVQRRQQLPTLGNTSNNGVIDLTESPNSSKLLKKDSNTASILRLADRNGRPL KGVVSGQKMKRKF
I206_05414	MIHKYFVIILPLLILINANPIKRQGIICPSFQLEDYSNFQISNG IGGNAKSEANSIFVNPFNDCDLSTVDKISLSNIQIMREAAENAEVNLFKPQINDSIGD LSNSLQVGKIKNKVLKLTGEIQALKIQAAQGEDNADKIVEEQIKLDKNIATDVASAGE ASTAAV
I206_05415	MPSAHSTSHKKGGVKEPYKRVKLTREQHSAVLGNVASTLPNSSN QASLPRIKLKIRNNSPSASSSTSVRSRPPTLSQNFNSDGSSSDLTPPEDDDDNDDDYN ESMITPRKGLHKNRQTPTTIINNQITTPIKKSAEKKKNPTSNIKIKTEGKKKHPTSTT KPLTASSTAGGPPNREKKKAIKLEEVERRRARDAKFDVEATYGGDMTPTPALYTFDEG MSSYGDTESDIGDLTEDELTGLPAAFVGHEIEDLNYGAGHDAEAEGQATNFWEDDSSD EDQEEVYINQLSGSEAEQLSQSSVDGMSDDSMSESDFGSSSDDGMDEFGFPIPSASLF PINEELDNGEIADPGLVLMENWDGQFVLVQPRQERSRSRHRGDKGSRTAGSVSGSTVI SGTDQAALLIDPDAGDGEFDTDEDSYWSGMSDEDDGGDTTDSMAEEDMPMLDSPALNE LMEVQMAEAVLRMAVENGEMPLLDAGPSIMVTDPTGLDVHTPALSTTSSNGPIPGPST AAVPQTPAPQGPVMGTFHPTTDDPAQHAVIDGTGGETKSPFTHRRRSRRGRDAASVAS SKRSQEERKRKNSIATNDPFSPATTHAAFGLNKKARYSSIPGHPRFIAARRAAEALCD PQDRETTPTDSDEAFSLEDMLETSVLMHELEEHQHDHEHDQDAEHLRHMIRFDRVGVS TYLRRNFGSSGILDNNTNNSLQSPNNNNKPIIGGQLEDTLVGPMGGRLLISPVLQPTN HNSRKEKRKKRKALANQDQSQAIRQHQQQQILENANAMPVLQI
I206_05416	MLTDKGTNTTTDMQALDTPVSTSKPNWPQVFWIDQLRELIVEYM PYDDLCTFMQLSHLHFRAGSQRLYRYSTKKQMDFLKVVCQSEARLACYRACVRTINCG AEALPQPLKVIESYLDQLPNANQLLFGREHDSSRVTRTRDKDGKFTYTFHPCYTYYYD LHKIDRYKPNDIGNENPQLESDDRTTKQLKEMGILRKNESESDGGRLKVETPRWHVAP PHRIALRVSYLRSEPFIHVTINEEAVQKFNAMLCALSEEAPIKYYEILFPIDPGTWTI LNTVPLGREGNKIQRPQYLKLWEISKKVFDMLKEDPLKELRHFKAGDTCKTVITLKDV LKNISVLDGLKRLDFFQIVCLRGLKSKTFEKLVPPNDKWAHMNFEPDDIDGNDDEWRE KYKTHISIGVYYHWRIEDSDLGSEIKALHNVAECLYRTIGDHDYYLGARGGVSQWEPD LRLLESEFQLKLDNLRLEDRDRG
I206_05417	MSQSKIKVSAIQASPIAFNLSATLLKLENLIEKAKENNNPDLIV LPEAFLSAYPRFLDFQIGTRTNENREWFIKYVKSSVKIPLDAIGIDWLAEKPIYKEEN EFWAFQKLSLIAKNNSIYLSIGVVEREIIGSTLYCTNLLFSPCGKLLSKHRKLQPTAA ERIIWSQGDDINPSSNGGKDDNLPVVNTKLGKIGGLICWENFMPLARYQLYRKGVEIY TAPTADSRPTWLPSMQHIAQEGRCFVISVNQYHTASDFPIDYPPSIALSENEKLENKP WARGGSSIIGPLGEILAGPLWDQEGIITAELDLDSLHGARLDFDVTGHYSRHDLMVGL LNRPAESLKED
I206_05418	MSGFLNSIGRLRAPKRSPNTTPTSQTGFFEPLPSPGAEFTQSPL SPQTKPLYLCQPFVKAALVKGSFKTIVAPPKYVDVNEWVAVNLFDFYNNLNHFYGALT DFCTLQNCPTMSAGPSLNFLWPDQNQRLVSLPAPTYIDFVMSWLQKLLDDENVFPTKS GKDFPNSFAYTAKHIYKHLFRIFAHLYHQHFEQILHLSIEAHFNSLFAHFLSFGKEFD ILVMKDLMTNQGMGQGVSELSEKWREMGILEA
I206_05419	MVYIKNWTDFETATTDLYARAPSKVRYSVKFVPKSGELVLKVTD DIKCIKYKSFSSIILNRFDSLNLRLLNQMSNSRRKTIIGVNNTLNVDTPERGVTPALE ENTMNDKQIVGGGGISTPGQGQSQGKSGGKKKKKGKK
I206_05420	MSLSRSTISSDINHLLSISNEIIYSILNPSISSSTLKSNEKPIE ILNEYSSFKANNEDSQKLSKAYIDQMKFSKNLQIGGEVENLGERIDELRDRGQNLQEV LSEVKV
I206_05421	MSLSSSTLSLKFMQRGLARSQPSTPNTNTPTGSSSSQVKLEQEN QSTPSKSTISYLSAEVSAASRGDKLIIKNEEEWFMPSSSSSSSSSSSRPKIKNQTIFE SSYVPFLSSSSNEYSSHAGPSTFVNSISGNESGTGGGGRMTFGGFGKKEEVSKSQNDN EGIDENEEEDDHEVEHSVKVKKERNVERQVKNQSNKITENSFQRPAISPPPPSSTIKS NKVKSTNLQKPLSIADKMRQTVSSSRNSSPSSSSTSTSTPSMTSALSDESKSIKSKNK KKRNVSERESLNSSSNPDSNPNPSSPLITKKVKIESNFKQDDNAKPMNLDEREKAIKA QKKKDKKKVKQS
I206_05422	MSLTTNRLYTKGRILGHKRGKRNSRPNQSLVQIEGVDSKEAARS YLGKRVAYVYKAKREINGSRVRVIWGRISRPHGNSGAAKAKFRVNLPAKVFGASVRIK VFY
I206_05423	MSRIATTALLFSLLSGAYAQSSAAAAAATSAGSAAASGATLAQT GTSVDASIISLTATVSAPSGFSIPALSELTSGASTDTTIALDTTYAAGSTPTAVSGAP ALPTSALTIANYPALDVAPPTDSTEVQQWLSKIDMSKVPTYNTTTGDCSTDPTAITDG RCWWTCGGCTRETDITECPDKMTWGLSYDDGPSPFTPLLLDYLDEQNIKSTFFLVGSR VLSRPEIVQSQFMAGHHLSVHTWSHTALTTQSNEQIVAELGWTAKVIRDVTGVTPNTF RPPYGDIDDRVRAIAAQMGLTPIIWTSYREGVTDTNFDTNDWHIPGGTATGASSFQTF DTILNEYVPKLDTGFIVLAHDLYQQTVDLAVGYVLPQAISSGKFQLKSIIECLGKPIT EAYIETSANATTTEITSVDGGSTYFQASIGTATGSLASITTGSAAASGSAASGSSGAS GSSGASGSASASKSSAGSTSGASRLVLDKLIPAAVAFSVVALGMMIVA
I206_05424	MPVRRNGSSPHHSFSSPTALFRSANSSSSQSQSNHHNYARGESS MNQASSSARDNNSIDDTGSIIMRPENYSPEQKVINSLVSRIVNKLPCNSGIRLAIMEV DPGVQATIGSLLQLSKSRVSLIVQSLMGALETLSKYTSSSSMVESSLDVLHSQLYLLH ILNLCLSTSWQVSSQQSPPPQDVLPRCWPDPYPFDEALARYTLGVILIYARLVFNDAA NDERTSPAPTKESRTSASSSTTSSVRSVISNNSSYTLGTKFIQEHSYHSSPSTPSTSS TKAKLLSTSCITPATVITQISKYISRVIFYISASNWNLIMSKLKIRIGHLQTTIEESP DVIEMRLIEWSNLDRNRLSQVVQEINSGFIHVKRPAQLAIANSLRKAIWNWIEVHPIE YESFILANKKMEGGVESLWETLWSLSDSGFTSSNTRRTKSFYPLLAMLMVLTPDIFTK MVATETTHRTSPLNQAAFIDRVRKGLSSSKSIETCAMVHVDMVKIAMALSPEHRASGV RSLVPDIQSDIKHALFGSSNSSEVSDSNILIDGLVALYRSDPGTISSALFPKLLTSPV EFNKLAAVRACVVIAVQGQRLPWFAPVNDLRGVVGPSLRAILRNYAQSLSSRRRALPE LPSNQTDLINEILLLYSLDPEFVFTGCSSDQSASSNDSLHQILLALSDLTVTPSPDSL SPIASRTACILIDDMRRKSLGNKEFERLATSAGGAIWQILLDVGRQTLFAFHDADSDV VATSMNALRASSSAVLRLAEEFPTILFPSSMAQPAAMVISVAGFTTCAGPDSEQISLT LPMLSVLGQLTRKAHMSAIGQLTPTTNGLIPDKRANAFDALAMLPTSIGRQQQQRQIR RTLRPLAIPTPFTIGLWIGLATVAQKLTTKIIAADADATMSTRDVRRRAMTADIDGLD EEESKEWQNLISWLCACVNAANYETKPPPSLCDIIGKGILPPAYDQHISDPHVPVELF VKQCVDLLVSASVNVRETVKNALGSELPTNGCRMLVGPMIKLLSHAISPSGVNISEPF TIFVEQAVSVLRLLIDRMGPGDDVPSVQVDLGDLLYLLAQYIHRLGRSDNALRLKSRF CHLIEVALKKPENVSTSSSINFKNAFLDWMSEWSLESMRDNDVYVSGVTTTSKYQREL DHACLQAMVPVTEGLVLKIPGEEGDNSQGVVKPRLFYKHYHHLVKILERSSFEEAESV GHNSSIHGNGNGITKDSPTHDTHTLAILALSNLLSANVDVGLKHCLTLGYHEDPILRT AFMQLMTNTLQRGTRFGGLSSKHQRNPESINQYLDLLAGPNLALALAMVDVCPQSGNE VDELSTLLFRVFEGKGALLGLMRALIEREVALTNHESELFRANSITMRMLTIFAKTYG YNYVRMTLQPLVLSLIEKPAECSFELDPSKASGTDDIDRNADHLRLMCQALLDLICSS TPRVPLMFRAMCHHIWELVDDRFPDSRHSAVGSFIFLRFFCPAIVSPESIDLDVNPDT RETRRALLLITKVIQNLANNVVFKELHMKVLNSFLSDNIRQVTKFLSDIAIRPKTADI QNATKGFADDAERYQDLDGDDAIIHRFVYKHKSKIETSLANMPMHFRNTAVVTKIART ELDGKAALEHLTKIMDKSGPPPANNGLSASARSQVYDEFMQHHLGRNTDSIADAFYEG PASQNGRRIFYFIVSRVALVDYDLLAYHVFSILDKVTDFFDIVIDLTNFSAANELPLP WLKRSIQLFPPGVLPSVHTLALYNPNTYAKKRIRRFVSELLTITPTIGKTVIACSSPS ELADSIPFTSLALPEYTMALAYEADHVFTNLLCVSDHEMQVPVIVKQGHDSLQIASWK KQEILSGIKSYVIDIVPLHDIDDIIVGNSSPSDHLVIKYGQGETLTFVSRRRNEMAQI IRAARARLREGPTNERALRPSDVPATLLNVALLNLSSSDGTLRMGAYILLNELCQFFK YDLASKVLHVSAGLSIPNNSLAFVGNLSKALAASVPHLTVEFLKEWTIGFGKADTPLK TACLYYVGPWLANLDQFSRPTKEDGLDSIKQVREIIRAFIGITVAERRRLHLAIQEQI WAILTQSHESLADLVVIELIHAAIDAGIGSDKAECVGDILVSVSSTAVRGKVIAKLRK TLAQTYLKPSGHITENAAWPEICSLSRITLILGFNPTSSLDTQLFLPEIFHIITLLLG SGPVLMRQTIFGLLVNVVQSLASSPTSGDMEALALLNLLKRVQQPQIMAAFGLAQGQG SIELSGLPMKDETDLQLLERVEEVSKFLGEVLAGGAISMDCANAWRARWMGLVAATCF QHNPATQPQAFTVLGYLASDEVDDDLVYQILVAMSTTLSHFQEGDSVLLISMLRCLSR IIKGLLPDSRYASNLFWLAVSILQLGYIPLFAPALELMNASLVAVSETTTPHQILRGK DLMDFLLDTRRSITEQAKKLDQVSGVSFETDLTFALVAVIYKGVRHPTTKKLTIDSLM ALLSLSAEPARPNGEDEMMVSPGSIAYFIALLSTGNDEIKHIFQCAGLDIDPREEMAN VAIFDMLSIPDNSTALLLISLVVALLSGSGGSDGEKVVLYRLLADASTEIPEVVAMTY DVIIPKIITTLTTTSNLSILKSTSIILEIALSNSSYSLPNLSHTDSSTSLNLHNQQHH HHHHQKVYSASISSNPSLGASGTGSREQVLDDLGFKGLNELGFIQIKYDKLSMMTKWI AGLIEGFTI
I206_05425	MAPSRGEEEVQQDFSYPSKLVQTLDAHSGPVNVIKYNHGAKYLL SGSTDRSIRLWNPALGKEIKCYNGHAQEVLALDITHDNAKFASSGGDRAVFLWDVPTG SVIRRMQGHFGKINAVAFSPDSQVLASAGFDAKVMLWDMRASSRDPLQTLKEAKSTVS SIILPNSPQIITGSYDGFLRTYDLRFGLITDDLIGEPINTIKLSNVNPEESILISTNL ENTEKNISKIRIFDRKDGSCLQTFSGNEIVPNRWGINWGYGESCVLAGDKDGGLSSWN VLNGKQLPDHPKQIHKKQITSIELNPRGKEMITASLDGSIKVWHK
I206_05426	MPSEVSDQEIEREINTLRGLRRRSVTSSGPGALPLDPDLPPPSP PSRPESSGSLYDTDNDITLSTPDGLSGEDAGLFWVPAHLHPELAPGEFRAFLKSHTHP DPTHADESEAGEAPGLSRSSSWLARNSSKRGNEGLGRKRSMLSRQYQPKLGDNVENEA PPLPTRRPASIYGGRSGEKGLTLNDLQKLEELVDEAEDVDDDPEAMRHLLRRSLSMNV APGFLQDDIPLGGEIDDEPLIPSSRPGSILRRSARTKIRGKASLSGDGGGHRFAATRK GRMTAAPQRELPVFDDEFDYSDRTKKSSTGTTSSAGGSDENASAESHEEKEVFHDSVQ HLEERRGSDESTDEAHIFDAYARDSRSSSMSSSSQDHSTSPSPESSPPGKKLSLPPMI TTSPYQSDGSDWFASDNDHDRTPTQEIVRDPLSGIRRTSPGAEAQFDRPAIPALSSGP NLQIPDDSSMQKPPSPSTSQQRPSSLSTDQYTLPPGMAPPAQRISLPPGMALQQQQEQ PQTQVPPQPVEQNLAPVDLERPNLARVESAVPSASSTGGKEKEKEKQKKGGLFGKKDK KDKDGKSKKDKDSKFLGSLFGSNKKKQEEISSVANFSSAGPAAAAALLGSSKSAKSLG VPPSPSPTSPGFSSYARYPIHVERAVYRLSHIKLANARRPLYEQVLISNLMFWYLGVI GRNVTEEKKSTSSDPENKKEEVKAPVKGTPPKPADSGSAGKPLPRTNPLESPAPTPGP PITASSKKSGLTKPERARDGRNSEAPMRAPSYGMQNAQVDHELRFQPPNKGSSPPPRP PSQQSIPQQNYSPQQQHSQQHQPNHHQPPRPLPPNAPQRAMSQPPMNGPGPGPGPGPR SPVSPIEGYSLAQQSRPPQNVRGPAGGVPQSSFGPPPQGNGPPLSQPILTGLDDRRDT RQRTMSNPSHPPPVGLGPNSGGMRRVVTDGRPPDGQAPGQIRPLYPQHSGPQPGQIFH HPSSGPVSPFPNRPNGSISPGPQPGQIFNQPQPGQIYQQRPPPPPSQGQYGGPALGPQ VSRPLPPPGGGNWEPPQRLPPGAGPPNGQQFRPPPPGQVPIQGYDPRRGPPPQPYSPQ QAFYGGQPPPRPQTQPQVGQVYGYQNGGAYGHHR
I206_05427	MVKLRTFGISLTSLSVIGLSYYYYNHLKLLKLYPTLTVPSKLKI SNRKDFPKTTSEWIKCDLGDSWAIKISKNDFKNYLKDTKNDLGIEWNKAFWGNIALKF EGFLWGLLGKHIWNMKSDSKNINIEKDEFKVGNTLLNGLFTIESNSNIPLEDSKNQFT QIIYKWGNLNSTLKSKIIIKGGYHTLSVISKSSLINNSNFTNKNQNLNQDEEEEEEDE IYLIFIAEGLSRFNSNSIINQNDKNINKEKIQYKMNFLDKLFISFHKEYSRILMHLSI KRMGLENKFESVKEWPNGI
I206_05428	MTALDSAQSAYVPHLSGIASFARFSPPTISLIIWTIIKSGSTIL DRYVLLKGGKVFCNIDAIVVAALTTGITAHIPVIAFYQYRSLPTPSIPYPRKPTNAQN TICLVLIYAYSLLPCVPTLSKSITKGFDSSQGVTILWGFYCVDAGGWFRIVRPLTLLA PLLFTFPLIILIFSVLYSTSGHPSPHIRPGSRKWTQAGLLLLITVVVGTYLIIERIIG WNDSWWPRAFEGLVGPFLAISLLFDINIWKTYSFWIKLRLPPTPQPIPHTPLLSNSEW SLNTPQTEIKRSSSFYTPKFEEPTMVAKRNVRFPPTPQHLEFIPDKPIENKNRRKMES LLPPPAQRKGLSKPSINPLTHNTDFILNVEKNIHPHPHSIKDNLSPPVQLIDSTTINN IPEVVIQEPTPVYLSKYSMSSNQSPPFQYQDLGTVYSTARDENQVGHFGTPSESRNAA RGDKYNSPHAVDTVYTIQSTTSEPFRKTYDTTLAYTIGTDEGPESDKLRESDSTGWSS KPGLAEMISTIGGNECLERLRETSARMDVPGPRPTGIKRASSEISSISSQPSFTESNY FNSSIRRPSLIHNSNGSAFSSNHSHLGRRTIGRSPEVEEIISFPTDARVYEYGEEVER SPKHDNRR
I206_05429	MTRTKKAARRNGVPKGKNAPEGSAQAKAGPVSAASLIDKSHTLL AQSNFELAIKFLDRALELEPTNVEARELVGIAELEAGDEDRGREHLLQLFPPHASEAP SHPSPYLYLAQSASEPREALGYYSTATAMIEKRIAEQDRKGKGKQEDDEQEEINEEKQ MAVTALVAMIEIWMSDLCMEEAAEKNCDDLIQRALSISPNDPEVKLSLASIRMSQSRF EDAKQVIISLYNDLEGREPFDPILPALPVRLALSRLLLEHSFHLEALDILSTVREEDS LNIEGAYLEGWALYLRAEAIKENPTLLTTVPPAVEGEEPEEPISAEECLSESMRSLIE CAKMYEDQNYEDEGIGSHIAELLEELEKKGVVPAIHEEEEDEDGDVEMA
I206_05430	MKGNSLTAAERVWNTPTLRQGIFRYLSKTHLIKLLRVNQDLFDQ LVRKVWEEFDYTSEVFLEPKKWNKERYKTYMSSIRTITFPPYPTKMVPAITKWPEVFE KYPNATKLIHDDGSTPQILYREILDVEPSNDNDKKTSKTKAKGKDQIKGKGPGKGKGK PQKDYKYTYEYIDIEYLTFEKSEVDYLRRPSQIKKKRGPKGSEVERKVNLYISERGKK ANKTIKGAILRKIKELEDDLIIIKTHDFIECQIFYDVFEDLIKKKINQIPNEILLHGN DENLPKLMNLLSDNLIRISALSTRYEESTCTLENILNNLNWDKFRKLEYLRLNCRRDP SSSSSTNIEEVIKLNPDVNINRLPLPRIVSLEIDLIYPDNTNLTDDQIKLEVQWIKNV AKLFKIILDPSRENLPFPKDPSIFFKTKHLVCENPGFDSTSDFKHSREMEKAWKSVLY SPGP
I206_05431	MKIVLKLVESINLNQALLQIIKNQAKSLEEVRIGSSLGFPDEIG LEELIKDLPWSDFHHLKDLDICCRRNGDNTGIESVSSGQAAPSKSLTAAKGYGVKSVS ISVYYPDAIQFSPSVNMKSERQIYRDLARCVAQLIDKSAIPGGPENWLHILQPASNPC EDPRASAHETTLKQAFIAEINGCLGMVN
I206_05432	MTRSGLQQDVINLYRQGVRNAMSKTPEARQSFLLHLRYNFRNPP LRQRDYTAIEHQLRKMSRTLEMLSESSTQRISISPEWKDWWAEEVYKAKTKPRPKSTI PESSNVQEQGDKEDKTQVLKKDEDGRDRDQWGGKLPGHGGT
I206_05433	MNSVLTHIQPSSTHSRLEEQDEFEHTDSAAWGSMAGFGNLSRTG GIAGMSVPRVANPTAFLDMHTDNMSSNPEAKIKIAHGTTTLAFKFQGGVIVAVDSRAT AGSYVASGTVKKVIEINKFLLGTMAGGAADCQYWETYLGMQCRLHELRNKERISVAAA SKILSNIVYQYKGMGLSMGTMVCGWDKTGPQIFYVDDDGQRLKGNLFSVGSGSTFAYG VLDQGYRWDLTDEEAQELGRRSIIAAGHRDAYSGNTCNLYHVKENGWDFIGNYDVNEL WYEYEAKKKADRETATAATAPSPMAVEP
I206_05434	MGVPALFRWLSKKYPKIVNKVVEEMPKKVMTEDGIVEIPVDISK DFEGAPYVDNLYLDMNGIVHPCTHPEGKPAPETEEEMMVEIFNYTERVVNMTRPRKVL MMAIDGVAPRAKMNQQRSRRFRAAQEAADKAEEKREAIKIFESMGHTVSEETQNQKHW DTNAITPGTPFMDLLSISLKYWVSHKLTTDPGWKNLKVILSDSSVPGEGEHKIMDWIR RQRSYSTWDANTSHVIYGLDADLIMLSLATHEPNFRVLREDVFAQGSKGPQPCKNCGQ TGHLTSNCIGEKKAKDPNVVAEAKPVDPKPFIFLDVACLREYLAVELNLPGVPFKFDL ELAIDDWIFMIFFVGNDFLPHLPSLEIREGAIDVLLKIWRAELPRMGGYLTNHGKVNL DRAQIILEGLAKSEDEIFQKRKEDEERQENNSKRRKIDDHRRQNEAKNRGNGQHTRFD ESTKGTMQLNGTDYVAVTPSATARGGPLHPSLPTRPGFDLVPKDGTVKNKEDEVKKAM SNTASNSDIVKNRRAIRMANLSAAEALKAELLGENAESAEVDTVTIENTEDEEKEQLP VEEAKEILEQQGEDEGVDEEIIQPALKSDEDEGEAPIGEETMESDQIDSPERPNKRKR NGSAEDVEEAGEEDDEDSSSSSSTDDDTEAPPNPEADQPVPKKKLKVNPDGTVDGYED DVKLWEPGYRERYYEKKFGVSLSDTEFIQNITKSYMEGLCWVLEYYYQGVPAWDWFYP YHYAPFAQDFKDIDKFNIEFNQGMPFTPYAQLLGVFPAASRIHLPEPLQQLMIDEDSP ILDFYPSDFEIDMNGKKMAWQGVALLPFIDQDKLLAALQSRIPMLTDDERRRNTHGDS VMFVSNQQEYGLSQACAELYGLRAKKQGQEEKLVPLDPQQTEGTTGSILPDPKFIPGT TFETPIPGIDDCPDIENNESISVRYFFPRQAHPHRSVILSRFKPLPSRLTESDKDWVR RGGGGGHHHRHGGPRHSGGGGDNRTGGPGMARGGYHQTPQSSLPQNRTANGYPKPSPS DLARHGAGSGYGGSGGYNAPPPPRPVNAYGAPPSNYGYGGYGSAPPSAAGGYGGYGGY GGGTSNSNSYSAPQGGYAGYGNGSAYSAYNPPASTRPSPYSAPPPNPYGSPRPAYGAP PARGGYGGTGGSGSNRGGYNPYGGNNGSYQPRNNGGGGGGRRY
I206_05435	MAVTPREAEEYELPSLAESSSKTYEDGDEITREDAKLLEGSDKL QDGDSRLEDDPTAYGRAAQDDEIIGKGSNVEALIARSVPSTDDPSLPTLTLRVILLGS SFCILGASSSMVFYFKSNAPQFSQYFVILATYPLGHVLANEKLISRGKKLFGWELNPG RFSIKEAILVSVLSSSGATAAYAADILTIMDLYFDTPVGTIPSILMLLTTQCIGFGLA GTLQSLLVNPPAMYWPSTLVTVQLFTTLYSTTSSNLSHAAQVLTTKRLRIFMIIFLFT MIYQFLPFLLFPTLTSVSILCLINNESWWMRTLGSGYTGLGVADWSFDWSSIGSSGPL YTPYWALGNYFGGLAGMMWIVMPLLLLTNFWSARSFPSPVSAGLFNSSFEKFDVTSIL KPDLSLDEEAYEKAKPLLLTPYFALSYALNFAALSSVLVHVWLWHRDEIKEGENSLSR LQESLMRSYRSVPSSWYIGLLGVNFGAAVILVKITPLQMPIWALILAMAIATIFLVPV GIITAVSNTQIGLNVLTEFVAGVLMPGKPIGNVTFKCYGYMAMSQALALTADLKLGWY TSIPPREMFACQIIGTVLGALTNYVTLESVLQSKRGYLDGSVVDPTGQWTGRSPAIFY SASIIWGAVAPARFFSGGYESLYLGFLVGALVPIACWWGHKRWPGYKLNKVVFPIICS GASIVPQYPTNIILTSAILTIISNSYLSKKFSKFHNNYIYVVSSALDAGTSMTAMIIY LLFGGVIWNWNGPNWWGNNKLDSEHCIPGT
I206_05436	MARRYDSRTTIFSPEGRLFQVEYAMEAISHAGTVLAVLSKEGIA MAAEKKVTGKLLDLSLAPGGEGMGGEGTEAWMGGGGEKIFLLNNNILAGLAGITSDAN SLVNFARNSAQKHLFTYDEDIPVEMLVQRLCDMKQGYTQFGGLRPFGVALLYMGWDPL YGFQLYQSDPSGNYSGWKATCIGSNHSSATSLLKQDYKEDLTLEEAKSLCLKVMSKTM DSTKLSSEKLEFATMTLHPTNKQPLSKIYKPSELDGLLRDLELGGTEEDAVGAMEGTG GGGGGNVAIST
I206_05437	MNSVQGLQSALSLIASDKIKDRVEGVRNVRDILGNRENLNAFGD AARGEGGAAWVSFYQCLFETMTKEKKLAVKAVAKDGATTAADKRLADVISLIRWTAER TVHMISKKPFLVIFNHLTSSLVFSGQIFPPAALDYAKALRALISYPPHLESLDAGSWK ILMNICWSAVLGDEVTIDNEWEEEEIEVDLVNGNGMDVDDFGQTQADITSWKSGKPLI SQLNIEFLTLVPILLSSSAAPILPPQPTKEAPQISSERPGYILLLKILRFLKQYAIET SADLSVLRSLNILLAELELNCRDDFLSAGLQLFPHLVSLWSTRNKALREQIVIALRTL LPYITHKTLVDSSKASEIRSTFERLMDGLSKETIDRKGIHPVDIDFLRFKCVDPSNAI FELKGIGAGFEFNHENAMIWAILELYADTAVYLYESQYTSYPATPSREGGPSKRRRVE NSINSLILAMRSGTVKNRLLALQCIIFICNKDLHKIHDEAAIEIRRTMVELLDEDAEK LQTWAFIGLSIFVQVSHEREDGDPLDASDTSKSPSARPFSRTSVEDDWKTVWGHAIRK STFPGVCRAACHAACVLFRLNKLDSAYVIRDIHTFLENIEIQGPVFAYDSICALLALV LDAAKSSVHLFSLELENKVMSWLEKTGLVNGPRGIARMEQQIPSDVLRLLASISRFEY FPLMELTSEEVLPDCAIVDRVLEERKTKPLRNFLLFGSFPTDNCQRSNPSNEQSQTIA RSPSGEALSYLEGRPRIMSSFLTSTLHARSLEWENIKEGAVDAEKVRRSLDLIVIALS YQATVQLNGKLPDADCVHSATRLLAAVQPSLLSNASGIPGQHLIWRGFEPLIHSPVSR DSDWPLLIQPDLQSGIRQDLLPTSHDQDRRAELTAMLTSNGASHPSASGPTQSLNIPS QMPPSASFGVPPTPVTSNTPIPSKVVTPQRLINRIWLLPTVSAAFKDILLLCLQVVSN LKSPATNSGGKPQVVEQIDDDDFGEIRNAETDAMPLSKEALECQRTSASLLNTAIGLR LKGFMLITNLQRPYKDPQLVNTLLLAEGSRFIEIGQALCEAVNNKWLRLDPNAIEAIM DVLEEMLRSYAYLRDTAHLSLCLEFVRCSLPSWIEHNGLRVDLKERAIYLMCFCAARI DKGTISAWKVKLDLLKLLDMFLGHEKASDLWTQGMRDHLDLDYEEDQGKSSPLAYLAG SLLDVDARVRLRAATSASSVFYRPILPIDLHADFYFSTLARQPGDDKHFDSFVSHTLW KLNCCIATAQQRSAAVFHLYEIPGVTSAYNGHLQSGLEAVAKRLGLSSISQLYLPYAI IVIRSQLLEGQLAMRVPHRLYGFPTRKAYSHACLQRVGPFMLSEGHIDFYTSACEAAG IEVEQGIEQAFPAATAISFSQAFVVDAKQPVKEAMEKLASLPGIDNKKALLKRLDLSI DTIAAHLWELLDLQTDEEGMATVLNDITGDEAAGSLWSMLIGNDNTTHGITAIDPFAP AKNVIAAYQHIRKQHSTLSPAKMVFSAILRLTSKINNVFLVNEQLRFLKSLSLLIILH QDEFRNPDILQVFLREMLAILPQPDICGMVISMVEWGFRQLDSLYGPIPELIQLFIQL GSARAALHKAGGPTIGARLDDWIVENAKIWFSSENTRIALESALALWPETLRSRLMDS YSPLFTDLVNLSESGTVKNAGELCKQYLQIIRTKTRSEAIPTFTQSLFWHLKGKVFDN SDVEGITAFLEIIHLANGQIRAPPLDSMVLFSNYTTSYLNISTSKQDKDPEGALRASV VKEVVRLLGDARHQIRAIAFEVLQGMLPMIGDLMSSSILPPDVKQILQFLTPNTATNG QTEPKVLDTSVNTIGWIKHTRSTDSWTTELAELLCEVVSADDPFYISLRPLFSSKDVS LRSFLPHIIQATLTCGAAQHTDITLNRSKILSDHFTMVIQYPAASLDVIHTIIDTILH LRHFQPHYRKGELGYNAWLEIDYVLVSEAAVKIGAYATAMMFLELARDQGDGIRDGDT RIQKIMYGIYSNVEDPDGFYGIENHDVRDALLRRLEHEGESQRAFAWNGASIEASPSV TKSNTFLPALHNLHNFGFNKLANSMSSQTRKEGSGTEEDPFFHELAWRTGNWDLPLNS TLSETPQGNLYSALRAIHSNRDQEAALRIVQSSIKVEMSKLNGLGMEMMAQIKKTTVN LLCLREAANWLDGGFQEKLDKPLNGDVGGFGDVSNSFDFTDAERLIATRLSLLRSGRQ REEQNMLGDMLSPKAELLVSLEKKCHLRLAELAKEDDNIQASVNAITAVQQLEMGRTA SDEAQDAFSHVLWAQHEHGLAIQHAQDLTEEVKSKKPADPGRLAVLYGRIAHWTDLAK LKAASDVRQTFETAYGLASKARIGHEDLARICHEYATFADNHYYNLSKSSELERLRSY QERKIQDYNLSTTQKPSRRESTSRSSKAGLEAEEDERAIRAMENERLTYIVLALRMYA KALTFSNTYDDSITQLVSLWLQHDDQEDVNKSFSVYLAPIPTYKFIFLGPQLAARLYR PPIPTTFNQNLNGLLLRISKDHPFHILYQVITLAHGVSPPSSAKRKSIAAEDQGRGPA ALEILSQLASMPAESLPNKASKQMKVFVGASVSWSKYKETSQLTDSLGEQVKKPKAGS SHNLPTNCPLKNLSLQIPIATYSLPLDLTCQYKNIPTLNRYRTRYMIAGGVHRPRIMQ CQDSNGKQHQQLFKAEDEVRQDAVMEQVFTMTNDLLSRDRQAKIKNLKFRTYNVVPLP DRTGIIEFVEGTRGIGDWLKPAHLKYRNGLDISPSEFQSKMAAIQDRDYKSPELPKKY NECMKKFKPVLRHFFVEKHKDPMAWFTMRLNYSRSVAVTSIVGWMVGLGDRHCSNILI DQSTGELVHIDFGIVFEDGRKLRIPEKVPFRLTNDLIDGLGINGIQGTFKKCSENTLR VIRNKSNLILTILEVFKNDPLYAWAGDPDKLIRAQGGGGGGGGKKMEDLLLNNANVKE KADRILNKIKNKLSSELSIEYTVNMLIQESRDINNLSTIYHGMFTLLNDLKERKEI
I206_05438	MARSMMDIEDELSRLWGLVGELSEQLANNRALVTQLKSRSDNVK GQAAHVGTGFPLRRFNLDISNEEFQTELEAFSSHLVMENQQLQHENKQLNALLKEYEQ TLETVMGKFRGIAHSSQQHDLSLHSYYTSLLQTLQTAHSSAQLHDSTSLSLLLNRLST LLRTALRSMGGEDTDADLSSLPGLLNLINGKEDSEVSSSPKSIISNNKAFPKAPNKPV HFPGFIPGENGGYNGTEGQSDWSLEREMEIQRLEEENKALRELLNISEDTKIPLPQHE IIPEEEPKDSPSGTGDRSRKSSLTIEELEAGAAFEADQLAESNKIRDLNHHQNGLLEK AHEEHIENDGPSQTPQEFRESVLGFETSERPPEEAIVDEPDAEAIDPNGE
I206_05439	MPQSSERKESRSSKTISTQPSATKGSSKAPVTKNDWRSRRCGAG YPLEDLIDCRSCADWDKKAKIYLEFFCKDTRHSSQDEDLPEKAVYISRETPDSVSCIH QKDHHPPQVTAEFLSHRYPSSENVTPSYAALCERLKDEISLALSHEEKKIAAKFS
I206_05440	MEEHPAETSKEGLRRMRRLAAEVPSFNFRPGSTIHNTSPQLIYP ASTSTKTQGYLTSSIFSSSHPVPIRTPPILTPAQSLSLSSDGDWIITFHPNPPSNNDL NLNSQSTLNGRNVDTALQGGTIAIYTSETLLSPLNQNPMPTSTFSIPFEPLSVLHLYP TKLNLSDRTSESLGPDPPIDYDSSNGPTFLVLLQSSILYFYPTPVRTGDIISWQMTYL TSPINTKYHVNGASNPPQTGSFQIRKGWLGLVPSDKGVWLGWEDQVDVGITRIEAGQD KFGRNYIQTTPMPTLPRVNKIPFTVKGEDDYTEQLQGITFVPISKDVVKQEKLDGMQI DGEAQEKPMEKVGAVLIYNDCSEQSNSPTTSRTRIQVHSFERREVESAQGFNEIASGN GDTTTSWDWSTVPRPLQQFVSSIDTTIIAMHPLLAVTDRTTALALVSQPAGLSLAHLD LKADQWTTIGEPIDLGELRGEVELDLAISQGTARGQLGLAAVLGREAAPVLLVIPRLE GQPTLAASGEKSSLAIDAASSIILAERDGMDWSDVIRATIGLTGVAKRKNMIHDICDK IYTFAAEESEIDELNLLLKVQVALFSSTNDVRLELASNILRLKEATNVIDKCAIFKDG KITFDLDSIWPIIGILEWSIDFISNSMRESILLGAQIQMELSPNLNHPLSSLILIHPK LRRIVIRILSQLYQFINFIENLNKPILQPENKNSNETLKRDAMSTIVARDKIKDISYK EGLDIINWGKNLEKVSLDFKEEIFKNENLFDLSIKSIKDKDNNNEEENLIKFIELLPN SSELFLSIKQSHLSIKSKFDSISYLPLLSELNLTIRCSRCKEFTEELPSLFNINMIGE KSPWIKWKSNFKNNCLCGGNWEKEFNRRKR
I206_05441	MATSPNSAPANLSSFPVASSSRSNGHPHLYPYPEQETELDVIPT RAGRKLCVRHKQMANQNVNEKLQRSLDNLSQSERAAITSMWSTFSNAPHGKRKLILEG ILTMCCFSQLSHLSDSLNQIIRIDPFSLLPRETSLRILGYLDAFSLGKAAQVSKSWKA LADDDLLWRRMCGQHIDRKCEKCGWGLPLLERKRLRVELKDRSPATLVEHDHKHDDHH ELSHSHSGLSRVVTRSEVLSGHLTATTDGFDGFGLSSEIGLKSCDMPAMFNSASTLKR SAPSTPESLPSKKSKIKDSDTEEEVLLQSKNGHLTRDVRLTRPWKTVYCERLMVERNW RKGRFNHRLLRGHTDGVMCLQYHTTLTNPSYPVLITGSYDRTVRVWNLDSGEEVRVLT GHTRAVRALQFDQMLLFTGAMDGTVRMWNWRAGECLRVMDGHTDGVIALNYNGYLLAS GSADSTIQVWNFRSGNKFVLRGHEEWVNSVVLWDGKSSPSDVDPTLPPSFTQAVSSRC SKAKSPGLSSDSESRQQASPPDIDVGAMLFSASDDGTIKLWDLTDQTCIRTFEGHKAQ VQSMKLLMVDMSEDEITQRDIQQQREQRQLTPAENGQFVSGNQYGSPPHAPIDLSTVD TPEGFNPVEHRGRSRNAVIKPRVYVHSPNGGSSRKDNSERDRSRGREKKAILATGSLD GTVKIWDVDSGKEQSTLFGHIEGVWSVDIDALRLASASHDRTIKVWDRESGQCVQTLV GHRGAVTSLQLSDDMIVSGSDDGDVMIWNFAPNSGNNSNGNGSGTPILGGDCTPDPQQ GTITPIQE
I206_05442	MLFPAILAWLSLLSTLILASSIGTLTVSQSLNQQFPPVGRVDQP FEWTFSADTFSPATSLSYTAFNLPPWLSFVGSTRTFSGNPTSDDVGTRSVQITATTTD GQSSISDHVALVVSDLEGNITLGHSVADQLSLPKSTSITSAYPFKSSSPNYPGVRVPP NWSFSLGFTPTTFIAPTRVYYSATLKDGSPLPGWLSFNNQTVTFDGVTPADSPDGQIL DILLSGSDTFGFSDIQQSFTILISAHDLQLINPLIMNMTLGYPGEIGLRTLLKSDISI DGNDGDLNISDLTTVELDTSSLGWLTYNPANMSVTGSAPSNQQSVELPLDITDKYGDT LNTTIKMAFYPSIFKSDKIEPIILESNKLATISLGQYLSNSTTDDINITASFDPKDAS SWLTLSDDQRYLTGTTPENPDYDDVVVSLRAQDLITNAYSKSTMTLSLFSNSTASVNH TSHTHSHGLSTGTTAAISVVCSLVGILLVIFLLMQWRRRKASDGTSRSMNGYGSKSPT MDEEGKWSYEAAETPALEYVEKMGGDPATTQMLVLGRIDGGSSETVVGAYGQPNALPH LRMGSGGNGQSTMNSNGSRLKRSFLSNPFSKSDKRVIPKISNPIIMPSLSNAAFQAQL AAAVDKAGIVKRDTVYTTGDDQSESERSVGEFTATPSYLTGSQIDRSQNSSKLSQRSD MTGNSSSYLDRETIITDDSKFAKTSIGGQSSRASWESEPPFVWTTGDTPAPGETSFRS SARSSSSGVTTNETHISIDPNAPTQRADFKITNIPMPISSSSSARRIANRAGSPIGSI NSESEGISIDNIHFPTDSDLAHTETSSLSGDDPNNGVIIQTASRIDARRTLDSPATAS LASSHTERAPSAAGSINSQNSRAVPSPVMTTHSRLVSFGKQKKVEVENPNPNKRGSIS HSAVIEAGSIGLGIGLGTSKINPTTTNNERERIFTPSPPPESPLPLPPNTKAITRPIV DGSRSSSSSNSLPSLPNTLPSLPALPTTSSIASKASIGSNKKSKLKSTTTSSSSIPIS LKNKGKSPLTSPQRILLGVSEPFHFYPPLSITPSNSSSTNSSGSTSRDNDDVEYIAFV EKKLTSTKKNGSLIINLTELPDWLHFEDGELWGVPRQQDRGEIDIRIVERRSDDEKVV GRFSLEVVGR
I206_05443	MSLSGSTAEDNAKTNDIGLMNNNIQGPEPTRENPGGVFPQVGSQ PAEPGSMPGSGAPDHHGDVRGVFGNPQMFSDTFGHLSSAFTDAINKIKDESGSGLGSV AKTLIDKLENMRGEVDGWRQGKGLEEVEEAGGERNESVERAEGDGGGLYAD
I206_05444	MNDDTTTQVTPSVSTTERRHTNNLFLEDICACPTCDTVAASVSE KLHFKDVNAPSYYDGDRSNGNKWHLKTEASFKRLFVPNFKDNLSAKLAAIDGTASATT ANSESEDKSLEGSNLDMSQLPIRIRDTDTNEETLEENGCSEGGDGMGSERVGLPFRTA CDEA
I206_05445	MHALHQTLLPSSSIHHSLYLPNFTPSTIYPLPKPISNIDNNNNN SHEVKVIGNLIVAGGENLRIFEIRESIEIPINNSLKQEEDIEEGEERLGDGFYDDGHS KRDPIKFEIKRKLHLLTQYELNGTITGLSGIRTIESSVDGLDRLLVSFEHAKMALLEW SRGSISTVSLHTYERCSQMISGDLQTYLPMLRTDPLSRLAVLSLPEDSLAVLPVLQEQ SELDSIEGYPRDVPYSPSFVLSLSDVSPNLKNLQDLLFLSGFHSPTLALLYSPLHTYS GRYQTIKDNYCLEIRTIDLSSGGTYPLLTSVTGLPSDSLYLVSCPSELGGVVLITSTG IVHIDQSGRIIGTSVNAWWNYATNLKTDHQSENNKINLEGSKCLFVNPRDMLLILQNG DVHQVRFEMDGRSVGQIKIDQSSSSVPPPSSVVVAGDKALFVGSAEGDSLLGRINEER EVLTNGDEVKLEEDKKDEMDVDWDEDLYGDINDSTNGGLANGHKKELTGPTKISVAPY DVLEGTGKIMDIEFGIAATDQGTRTYPQLVALSGGSRNSTLNVFRRGIPITKRRRFNE LSSSDAVWFLPIDRPSGQKFKDISDSERTTMLFSTERNATRVFALTNKATPEQIGRID GKTLNAAPFFQRSCVMHVTPAEVSLLDSNGKRIQSVCSGSDLPPITSASISDPYVAIR RLDGSVSFFVGDTVARTVSEVSIVAEGSEGPLCQTIEVFSDTTGIYRTFEPSSTADSS QMNGQSSTHRMENAMNASKGTQWLSLLTNQGELQIRSLPDLKVVLQTDGLGSSAPTFT DDLVDGLLNFDEVEDPIKQISFCPIGKATVRPHLLALHESGRLNAYEAQPRFTVDSST QTRRSLAVRFRKVHTQLLPISGGSTKLPYTIIPFSQIEGITGAFITGEKPHWIVGSEA HPLRTYALKQAAMAFGRTTHLGGKGEYFIRIEDGSFICYLPTTLNTDFAIPCDRYDMD RVYTSIAFDPTSAHYVGAASISVPFQAYDEEGEIQLGPEGENLIPPTNQRSTLELFSQ GSDPWRVVDGYDFDQNEEILCLESVTLESQGAEGGYRDFIAVGTGFNFGEDRATRGNT YIFEIVETVGTGGKAPISGWILKLRAKDPARNPVSAISHINGYLLNSNGPKIYVKGFD DDQQLMGLAFLDVQIYVTSMKVFKNFILISDINKSFWFVTLQEDPYKLTTISKDLQPV SPLVTDFLVHEGQMTFISNDREGNMRMLDFDPSDPDSLNGERVLLRTEYHTGAPVTAS KVIARRKTAEEEWAPQTQIIYATADGALTTLVSVKTARFKRLQLVSDQLVRNAQHIAG LNPKAYRTVQNDLLPKPLSKGILDGTLLSHFALQPLNRQKEMMRQIGTDAVTVASDLA ALGGFW
I206_05446	MNDFVKSGLGTVESDAEIKSIVQKPIWHLVPLPISQIKSSANKP LYFRGQIPTLRSSCLSVISKNLHKYTIDSFKNIPSIFIERIISRIRNDRQYEDEFTAF NGYSTHNPDECTIWILSALLNPQGTLEKNDGSFQLSLPQDSILNHLTPNKLLKYPDHP LVELPKLYKTLHQHSNISLLTSLTLDGMNEFVNDHNIQSLKYCTNLTVLWMKGCRITD IGIRLLTSSLELPKSIEGKFDGRGMCKLRSWSVGGCRGVSDKAMTSFARYPGLVMLDI RDTSCTTSAIDIFNRTSRNLFSAQNPDFQPCTDGLLDLFSRNTTSADIVDKLCLTLIK LPNTIVMEKSHLSLNIVPSHRPLDERYLPESSQSYSSENAPFRKTWESSDSKSVYRLN GIGQIYGTSVSKVSDEVKDFRERRKLAIELSEKSNQAIQEAKAYEEMGTNGRRAFTRK KNKAIKEEREFNWKFKYGKFNEEDLTGAYKKATTKTYKQRGKKGETERSKSFVMGKKG EQLLIDRIAKDDSNLMLVRMVNDDWEHLKWTVNTGSTGFTQTTSKSNKTSTIGFSLSQ NKMKASNLVEDLLNTTMSITSSTEPSFASSQNSNPFKLSQCQSTQTSISSSPFTNSQE IQRTGNPFKSQKSKANSMGVRPLSSTPFRPLSTSQTQSNSTTPPFSQSSISSSPFSQS IDRKPFARTVNHIPISTGPTIKKRADDLNFFSTGSKKRSFDGVGESENKRVGMKMFSL GSQKR
I206_05447	MTIDNCPENDTDKSRSHHGRSTHQQIEAWVSHTGYDTSRPGTPS TPSANPHTITGLRKKQAGHSGPLKKVLVANRGEIAIRVFRTAHELAMSTVAIYSHEDR MNAHRYKSDESYLVGKGLAPVAAYLSQDDIIRIALEHEVDMIHPGYGFLSENAEFAGK VEAAGIAFIGPRPETIDALGDKTKARTLAIKTGVPVVPGTPGPVESYDKAHDFIEKYG FPVIIKAAMGGGGRGMRVVRDQESFKESFERAVSEAKSAFGDGTVFIERFLDRPRHIE VQLLADGEGNCVHLFERDCSVQRRHQKVVEVAPAPHLDEDVRQAILNDALKLARYVKY RNAGTAEFLVDQQNRHYFIEINPRIQVEHTITEEITGIDIVAAQIQIAAGVTLDQLGL TQEHIHRRGFAIQCRITTEDPAAGFQPDTGKIEVYRSAGGNGVRLDASSGYAGAQITP HYDSLLVKCSVSGATFEVARRKMLRALVEFRIRGVKTNIPFLIRLLTHQVFESGKTWT TFIDDTPDLFKLVHSQNRAQKLLAYLGDLAVNGSSIKGQMGEPGLLTEAIIPQIRDTT DPTKIVDTSVPCENGWRNIIVNEGPEAFAKAIRNYKGTLIMDTTWRDAHQSLLATRMR TVDMANIAKETSHALQNAYSLECWGGATFDVAMRFLYEDPWDRLRTLRKLVPNIPLQA LVRGANAVGYTSYPDNAIYDFSKKAVEAGLDIFRIFDSLNYLDNLKIGIDAAKKAGGV VEATICYSGDVANPKKTKYTLQYYLDLTDALVKEGIHVLGIKDMAGLLKPEAARMLIG GIRKAHPDLPIHVHSHDTAGIAAASMIACAHAGADVVDVAIDDLSGLTSQPAMGAVCS ALEQTGLGTGISHENIQALNQYWSQIRKLYQCFEANVRASDSGVFDHEMPGGQYTNLQ FQASQLGLGTQWLDIKKKYIEANQLCGDIVKVTPSSKVVGDFAQFMVSNNLSKEDVNE QATTLDFPSSVVEFFQGYLGQPYGGFPEPLRSNIIRDKERIDQRPGLSMKPLEFRKIK DELREKFGPNITDFDVASYYMYPKVFEEYQGFVEKFGDLSVVPTRYFLGKPTIGEEMS ISIEKGKTLTIKLLAVGTLNDQKGTRECFFELNGETRAVEIEDTNAAIEHVSREKASA DPGSIGSPMSGVVIDVRVKEGQEVKAGDPLCVLSAMKMESVVSSPVSGKVKRVLVKEN DSIAQGDLTVEITH
I206_05448	MSTSNSNLKSRHYSALASRLKNLQYNLSETENQLELMTDQLKSM MKLGIGCGSQFMAVSRLLDIELIQATSNSQSQQEQQQQKLVEEEEE
I206_05449	MKWNIGSSSSTSSYPAVPIDHSLPSDHPSIPSSSSLSSQEPPPQ CPMHQTQTKSIITEKSDGAAKCPIDHNAMNPLNNIPTNLSSITKQPGQQLDLPTERTK STIPRPKGENPGGESYGTGSVWDYPSPQQFYNALVRKGWETPEESIQVVVDIHNFINE QAWAEVMKWEKRLPGGEDAQLARFTGRPGELSPKARMHLWLGKIFPNSFNTEPPFDRH DWIVTRPVIPTTPPPADAPKYPREETSTRYVIDYYSAPPDEDGNPVFSLDVRPAVDSF ESFSERIKVGWEEWRNGNNASE
I206_05450	MYAPHAPPHFQSLLQHRPPTNPPTPDTDAPPSWSSGLPPPGVNL GLSQAQGSSSSSGPGGFLNLPPNGSVGGGPGFIFGGGDSRRTNQYPYSTGAGGGNSPL DGPLSINNSNNNNGGIPNRNSHTPQLSLSNATNPNGTTSSTTPIPLPGSTSTNSGNGG GGGGGGGPLLPQNLAQHLNNPPAPTSNSTQTQGSGNTTSGKVLLMPNGAPPPSGSDEE KIYILITELLEPETREGALLELSKKRELYEDLALVLWGGYGIMSSLLLEIVAVYPALS PPSLTAHASNRVCNALALLQCVASHSDTRALFLNAHIPLFLYPFLNTTSKTRPFEYLR LTSLGVIGALVKQNENSDVINFLLSTEIIPLCLRIMETGSELSKTVAIFIVQKILADD LGLQYICQTYERFYAVGAVLSNMVDALVESQAVRLLKHVVRCYLRMSDNPRAREALRA CLPKALQDNTFTPLLKGDMVTKRCLTTLLMNLNDRTEG
I206_05451	MDPNYVSQLRQLLEHTIAPDTNVIKAATTQLNTQFYKSPQCIPA LYEIAATTDNQSIRQLAAVELRKRISSGDGRQWKKNPQELREQIKSSLLERLTQEQSA IVRHALSRAVAAIADIELTVNPPQWPTLLPGLYQAAASPTKTHRETAIYVLFSILDTV AESFETQLQSLFKVFSQSLVDPESSEVRVTTLRALAKVAEYIEAGDKHDVKAFQELIV PMLKVLEQAITEGDDEGVKHGYDAFETLLIIEAPLVSKHVAELVQFFLGVAGNKEVDD EMRCGALNVLSWVVRYKKSKVQALGLAKPIVEGLLPIGCEDDPEDVDEDSPSRLAFRT LDNLAQALPPQQVFPVLTQQLQVYMGGDARMRKSALMAFGVSVEGCSEYIRPHVDQLW PVIEGGLQDGEVIVRKAACIALGCLCEWLAEECATRHAIIVPILFNLIVDPSTQKNAC TCLDSYLEILGDDIVNYLTLLMERLLVLLENGTIAVKITVTGAIGSAAHAAKEKFVPY FDQTIKRLVPFLHLQASDEESDLRGVATDTIGTIADAVGADVFRPYFEGLMKAAFEAL TMDNSRLRESSFIFFGVMAQVFEGEFAQYLPQCVPALIASCQQDESADEFLNDGEGGS SNPSALAEAFTTGTSKDAGEDLDDEEDETDLAALEQMFSKVNSAVAIEKEVAADTIGE LFSATKSAFMPYVEETVKVLVELLDHYYEGIRKSAVGALFAFVKTMYELSEPAEWQPG AHVRVPLHEHVKKIVEMVLPPIFEAWKTEDDKSVVILMCAELADTMNKCGPAIVEGHL DEVATFAIEILEKKSLCQQDPDGDDENVGVDADSSEYESALVSNAADVFGAMASVLGP DFAQAFGQVLPLIANYTEPKRAQSERSMAIGSLGEIIVGLKDGVTTFTQPLLQIISRG LVDEEADVRSNAAFAAGVLIENSSTDLSSNYQALLAALQPFFSPAEHSAPAIYNARDN AAGAVARMVIKNPSALPLEQVISVLVSVLPLRFDPLENRAVYGALFSTFRNQPQILMP HIDHLLQAFAYVLLDPTHSDDTTDETKAELKALIEHLKSQVPDKVSSAGFA
I206_05452	MSTAPFKEDALNRFRITHPDRDLKPLIRRLHRLPLLKSSSDGSE VDVELERELVRMELLKWRSGIERILGSMNNLERQTEVYKRQTQDTVNKTEQLRVTLAK EKEELERKRKLREHQVKCDEIAKRILARGQTRKELDLQISNVQESLEDHKSSHTLYLQ TTQARLDKFNQITKLIEECRSLKLPIEPSSSIEELPIEEIKMDVDPSSSSPASGAGSK LNLSALEFQPSGPPTITTTAPIASASNSASASTTTSAKSAPSRTSNNTNTLKPPSTGH LLPSRPSQRNTVSANTTTTTSSAPKLSRQNSNPALPSRPSALRSSTTPAVLHGGSLED GEVGPEEGEVASLNEESRKRTRGNESAASRNTRSRAK
I206_05453	MSSIDPHPHLEIRRTSEPAHDASKARNKSKSIPSEVTISINPPN KKRLFILFSFPLLFLLSIPFWWYTTSIERLSLPIQRIDELENIQIPNYRGKILFTADK DAFPTPSPGRAVFETNVILKALGKIVIEGVDGIYERHRPKKKRNWDLIYEGEDLNIEK TTPFRVHIRRFQYANNSFPLEPYVQPHETGLITGGIKPGTLVIPVHPDQVGDRFLKQH YKIALINSILSLYPPESPSIPLRALKYSPNITLSFVLLNEDASQGNYVRSWDIENAIQ DHIIPHLEPLKDIFNFTIESQILYHAPLTFDPTLMKPTEQDSDEVKEAVEEAKMGDEN AELIAKELIQREKEQFWQVSEEDMKIFVNSEKWSLDSGSTNNPVLRFLLYIPKEGHRP MRLATTDGAQSFLLPQFGSVHILNPPSLITFNSSYHLSIKNLKPTFHLFTQHLYSLLS LPKLYEKGKIHLPPNSSSQPFINKPKNEIWQIITYWQINQILNNRMKENIEESKKTLI GIKRLLIKIKEMKFGENVKNKIIGSIENLEKISNDNSPLENFIFSRDSINLANQAFFD PSMMGLLYFPDEHKFAIYTPLFAPIAVPLLIGLLKELISWKKKRNFKNKLKQLELEPK ENVELDKEDIELKITDMNETIDPVESTILLEPEINVNKGRTLRSRSKG
I206_05454	MVVRLEEETRKAAKILRSFVDTHNNGLDKVVPRHVLERAAGFAI FTVFKAGFLLSARAGSGVVIARLEDGSWSPPSAIGLGGFGFGGQMGAEVTDFLIVLNS RSAVTSFMSAGNLTLGGNLSVAVGPLGRNAEGSGSVNTKGRIAAMYSYSKTKGLFGGV SVEGSVIVERQDANRLAYGGNPSAKQILTGTFDPPDWAHVLIDQLNKSTGLPGGQRWT NRDEDGEGGGMGYGTPEKKGGGGYVFGQGVGAGGNTPPTSSSGRRSRGNSLFGAQNDK SNPIGGPDIGSGSPARPGNTRKGSSFNPFSNGNNSPRRLTLEHSSETYSANPTFDSAG GSTPLGGRSRSGSMLKNGEVPQPFSYMNPSKSQQNQYTKDKDLLGDWDPTSHSTDPFG STTTNRNAGRKISGGEKDLLGQWSSDGNALSAQFSSLSTSTGVRGRSDSKTKMGNPIG FEDILENPSSKNKGEPDFTPRETESKFANIDWSNYDSSSSTSIKPNNFISIASGNGNI RKSSPTKKQRPFSSYVSSTSTNGNSPKLNSNLNTFSPFEDLPIGRLRSNSNETKPFEQ YLNLHQQQQQQQQHDKGIFNEEENKPELKLKIGLEEDSSYKGYSKAIGLYDFNSNQKG DLNFKGGQVIIILDKVDESNNWWKGFSPLTGKIGIFPSNYIEIIELPKNPKGGVTFSE LRKKVGGSEFDL
I206_05455	MPSILLTTPGNPTANSSSSTSSSYLWSSPDDVLTSTISWSTPLA SLITMTTGGSNNSSPTDFAFEPPKNTFESIPSNTTTPYKPELIKTNSFKSDPSDQSPF TPSGGQVGNGNSYPFPNSFQYNSSAASSFSSASGSGAGSSFSSVDALPAISRDFVRPS TSETRRPATAGGALQSRSPFAGFMPGNSNEEGQGQRFHRINSAQRPSSSDGKFRLHTT IEESGEGMFTNPFDSSSAANDSSESELAKQVPTQTPTSDGSVDPHYIPANRRASEPQF NVQQSWGQQHSPLTPQHSNGINQALGLTSAPAHVAPTGFLQQQQIQNSQGQQLPSYAR PTYHGRPQTSDGLPSYPHLTGNVSLPSAQSIARQIPGIGAVVGGGYYHPPTPASARTY DQQEIKSYMPFRDDRSNSLNSLPPPMMGGPPTGFPGDRAYSIDSGLARNGAPTNIRSS YMPPGGSSGELANELTFVQLGGPAPKKRPRRRYDEIERLYSCGWNGCEKSYGTLNHLN AHVAMQKHGEKRLPSEFKDMRKAWRKKKREAAASNANAMYAANAAAWSQRASISSASG TESDWDRRDSMMSVGSEYPSSRASMSYQSGYAHAPWSTGGESRPSTSSSSISSVDGRS YFATGPPSSAYPGTINPQNNFISNNNPAIASRRPSAPNHLPIPMSASGVDGFRQQILS GNDDHPTPTAQNPFPQQRSSNGFPFTTLTSPMTNGQQMPINGNNGGEGSYAGSGQFAF SR
I206_05456	MHSISLTSPESGPSSRRLESHSLSSPSSADHSNTDSNYSSDHEH DEQSSPTESEKEFMSSSSEDFSLSPESKFVNLPSVSHSHSHSSSSIHTPPTKSSNSTS DVKHLTSRYPKIFTNPPSNSSSIRPRVRGLSPLTTTTNQNTYNEFTPRLPKSQPLSRT LFNRSFSDTPHAGIIGGNKKKTSQKLIVPTKAFRTTFELDMSASEFARRA
I206_05457	MQEGMVSDRAALRALEFDEKAFVKITPNFQMVKFSEKSNHIQSS HSQGDYRMSTHSGMNHPILKNDESRISINWDQITSLLEWQSSIKRNCRFVNDEEDLFK IIFCSVDEQIHAGCTWDVFRADDPDDDTSFWDGDEAYHRDDALKQIINEDYMLRQHLV KLQGLVVPRYYGMFVWHEEHDNKQENWIIATIMEDVGDPISEVMSRYSLPVKRQILDC FKHLHNIPHVVHQHTKYNHILERDNDDKRNIQVGKFFLIDFQHAVCLADWQYQEGQKE LDREDKIMMWRLALRTDPTTGLIVDKRVRAE
I206_05458	MNKPTYPNDQGQQQMYQASDGKWYPTSAMPQGWQQQGGYGGGYG QQPYGQQQPMYPNSQPVYVQQQGGRGAGAGAGAGMGIFAALCGALLCFDLGACLF
I206_05459	MDKPHYQQNGHAPGMYQASDGNWYPVSQMPQGHGGHQGGSFYGQ YGQQQPMQPGPQPVYIQQQKPDNNTGCWAGICAGLCCLNLGLCLC
I206_05460	MNGHSPIQQEGLSISQSQSQSQLQLQQQSITQGKLIRSKGHKQS MAELKLRRLTEHNLRLRDDLARPRLRVSEASLSLLKFCTNTKDPMLPSIWGSSAKSSD PFAPPEKGCCILM
I206_05461	MTSSISRQIVRRDQSTSNSASASSTSFSISDTSPLFSYGIADSS SSSSSWTAGYAKQSDGYDETLHLSSASNSTISFNITASSLTLLIPSYDNCQATISINA SSPILACSQSISSSSSEVIPFTLYNLPTGLHNVKWDSGTVSNGQQVIFWGIDGTRPIV SNGYSNITIDDSFNTVDNVNVGLKYEGDWTHLNPNAHSDALSESGNLEDDLNKTLAIT KTTGSAVTLMGSGSAVYIYGTVGPDYGLASISLNGQIVVASLNLTSPWYMPYELLWFQ TGLDSSQTSQVVMTNLVDKKMALDFVIVTTDSETLSQLIAGGSDEFLSSLKGKLILGV AIPVTVLLLLALLTIWIFRTRRQGKRRRNSRESQANLRPAFNGGTSPSSNKEKGIINA RSVTPRSIASFDDVFVSYDEALQQRLSERWRSPTSPSNTNTTRSPRSPKSIDGTRTNT SGHTGIGLAMGLTNVPENMISSPERVRSLLGSQYAASTSAPDSRRGTALPAYTPEGTY ASQFTPVTGGQNNHSPITAYETLTGTTPTNTNPSNPIPSTNSTRSTPPRGQNENVGRF PNAAEEKAAQLAVFNKFEKDGNGRNTTTATLMQPEAGPCSINRNSDTPSDLMSVFGTA PGSEGRLSTFTDWTAITPTTINQSPFLFESNNEIDNYPLPINQTPPLKISNSPNNNAK LQSTTNNFNKDYPIRPKVDMTFLNSPFKNKSTLNHPFSSSSSSTSRIPPPSSYPISAV PQTPSNISDNEYSENIIKNQRHGRNHSTSSEWTTKSAARPDSAIIPFENFFSGIQRAP TRE
I206_05462	MKLKVPVIELASPSKEKRAIGEDETKSPSRRISPRKRIRKVVKD EHEYDENQSEDASEYEEIQSPAIVSSGKRSRKGKEQEIDLGILKKSKNKIPRGYAPPE AYSHLRPVNDLLEHDLHILFCGIKDYGVIGKRSSTMGHHFSHPTNKFWRSLHQSGLTP RLLDPTEDHKMPAYGYGLTNLVDRPTSEQSELSTLEMKLNVFNLTKKFLKYQPKLVCF VGKKIWDVYESVISKTATPIESVQEVKGQLYIESKMESSSSSSGSHSKIKMKEEKIDI KEEDIISSSNNGSLSETKVAVKKELLNSPESSSLSPISSQEQTSQLKIENTKSKVDPF NATKPRKYRLPHHELNFHTIKGYTYFWVVPNTSGLERTPLSEQIINFTSLKLFMEDLI NDWNPINAHEDDWRDIDPFGVEFTIEEMKKKANQQV
I206_05463	MPTDPTHQDALQQLLAVTASTTPSQKERDERLLRENGWNVQATV EQIFSMGNSASDVPNDSSSASASSSTRTSNSGGRPNLPRLEVDDQNPLLPRQPAGTRR LSGSRRSPRSPGAPGGSTANVGLGLWSLVVWPISIIWGIVGGIWYFIIRTFVPLSLLP RLPSFLLPPSSTTPSGSNLRRTEDSTTRSLRFVRDLEISTRCSASQGNLPDFYIGPYR EFVQQLRKEGKLGLVIIVSGEHENDEEFKKSVLTDEEFVRTLKEKGVVVWGADLSSRE GYQVAQTLLLTTYPSLTFLSLLPVPNSSTPKLTILSSLSGSPSTATSASNILQTLTTT ILPRVTPFLNRLKRERLSLEEARHLRDEQDKAFKEAERKDREKLQIQRQKEELERIQI ERKEKERIEKEKYEANRQIWRKYAKKHLVPTFFVKNDGIKVAIRTPLSSERHIKTFSK DNLDSTLNLFIFIDTLLVEDDDDDKVVVNDPPEGFEYEKLENIIWGFEIVTSFPRKEI EATSVNGEEYWNIIKQSGGVLFVERTNGSGWGIKSKQGEEGSDEEEIISDSD
I206_05464	MTSPQQTAEPLVPPSHSCSPTSATTPSEASPVWSPQQICARYGQ TDVLRILMGGEMGSSRAGVDAEDLDDMAFLRTLPPSLPVRSPGQHLSGHSSSIIETIS RFLTKHKVLIKSGVLTPAPSESDLPTLAKTDSMLTRECEQIGSFENGKLPLAEAVGSR TRMKKIIRKSSFVP
I206_05465	MAANGFKSPAPVLPGLGLHSFHADSPPFAGAATSEHGDPEYLTL EPSQNLFSSSPHDDSQLSPLRHEFQNNLDINQTPSSSSSATIRPSTSTNMNAPIPQRI PINRSRSSANSNPRSESNEEDLSLGSTRSKRLLSGYLFGNPPTGQEERSRSPSEHSEQ DGRYPLSTPPIVEDEDSPPLTEAFKSYSSPNPAIHDPRSLSATSSMSLTSGTESNLQE NSIPEDRPASSIEATASGNGLDVNSEGIANQIVINEIANKSEEKNESRSRQISGTSTN HNQGQVQGHADDALSGLNDGEADLDLSAPQAEDGGSGAYLRGEVEYSFPRHRLRKTMK DESKIPLVIVACGSFSPPTYLHLRMFEMAKDEIIESQTYEIMAGYYSPVSSYYKKSGL APANHRVKMCELGVEHTSTWLMVDPWEAGQPEYQRTAIVLDHFDEMLNGGKNGEGGVI TSDGKKRRYKIMLLAGGDLIESFGEPGVWSEPDLHIILGRFGCLIVERAGSDVWAFLL SHDILYHHRRNVIVIKQLIYNDISSTKVRLFVRRGMSIKYLLPNSVIQYIYDNKLYRF TDVKATIG
I206_05466	MEQPKSSTSSQANSSSPLAGLQKPRFNDKLQNVDLLKIISDADF YTHRFDWNDHSFKTKAFVRIEPDSRRSESSLIPFIESLSLNPQGYPTPIASESPERTE NPPFKVDVLKPRKDPKADWVNTDMDDWIQPTKRDVMRIQRSDKESETLLLRLRENIHL GVTWDVFRAEMALRDFSLSPIRVIIKFTKIGSFREDPPESLNKWDPETDPVRIDVVEH IIQEDLMLRQHLRKLQGNLVPYYYGMFVWHREGDRDIENWIIAMIMEDAGNPMSEMMC LLDLDVKKDILESLKRLREETQVIHDDMQGRHFLARERCDENSGKYAIVDYREAKDMA LWDDQKRNEMKKRVDQALLFTLHVDRLEDGTIARWIDRKRKR
I206_05467	MTRPKSKQSPPPPSSSTAGEGHCHSCGRLLPRENKADPTPRKYC SSTCRSHSKSTYLRDIRFELVNVYHSLLMQNKVGKVILCSEAEKLVFSPASSESVELD KTLITEDDQRNESRLPSSEQREESRRAARRLVAFGFASQGIPTEDRVVEAIQNGKIVE TSFAKGEWGIRWK
I206_05468	MSSSAQPQSQIGKPPTRDEKDQDSHPTIVPGASLLLALRLASRP ILLIGGGLVASQRLYFLLESDAHITLISPSPLHPSIQHRIDDPTTSNQITWLERPYLG KKDEIKVKNFDLVMTAIDDNELSKEVCDLCREEKVMVNVADIPPQCDFYFGAQLRKGP LQILISTGGMGPRAGAMIRDIILNSLPENLEESIKGIGLLRGDLRKRAPGVGGKLGQE RMDWMKDICDIWGLEKMSEFNNDQLRSKILDQGWENRKIIGPNDIDYDENRTPGLEKV LRFGNDIDWWTALSGLGVGATLASIGTLYLIRKGLLKA
I206_05469	MGYTLAVLGCGTMGIAILSGVLSSLEERNSTPLKNPNHHTGDSE PPSGISTPTGSQFLNAPEESLPSKFIATVGREETGRKLKKTFESLGRFGSDVEIRAGK GNVNTVQEADVILICSKPNIAKTILSEPGMTEAVKGKLVISICAGVTISQLVSWVPEG TTVVRAMPNTPCKIREGMTVVTPLSDALLRTLILNIFTSCGRCRFLDEKYFDACTAVA GSGPAFVALVLEAMADGGVMMGLPRVEALELAAQTLQGTGRMALYAGLHPAQLKDSVT TPGGCTIAGLLTLEDGRVRSTMARAIQVATNQQVSISNFKSNETENYAISKLTRTY
I206_05470	MPSAISLSSSIIPDFKDFRSKTPSFSLPKSPKAAKRFFGFPSGN DSPPVPPLPANAHQHAPSSTTVGSITSSSTPVGGFSGATVVRTPQEALEGIKSLTPPI LPQLPIAHAYLNERRGPNHLQSNSLDRGKNIVGNTYPATGQTIHRSQSATDRLRSPIS VNSTSNPPTPSTAPCRSGIVEIKGVLKSPSYGYLKGEETANEWAREVLGSIRISTDHK DPAFMGLGEGEELNSFIESYGTRCDTFKPIPSSLASTFTSSATSIQTFGRSDNQENVQ VHHQSGIASLENQQGYTEDQYKPYVQSNAPPSTPSVGSIMMLDEASYPPRSTSTLQHL EEVKFTPIPTTSTYSVLSSSRMTATPEDDYDDTDYDPFKKISHYPSSSISTLPNSTTT LNPESTMQEASSPEKPIKYTTPTYPQANPSQSTYSPLGSKTKRLPDVEEEDNSFMIAL PTQDFTRSPSTHSMNPPSPIETAPDPPSSSNLSGKPKIDERTPLYGHMRSPSTPSPFS ALPRTIQTHHRSPSSPAEFTPSPLSPGPNVPIQRFNRRPSMLSDRGMTKSPHPATRVN TKPSSLQRISVQTPFHATILEDKIQSLPDNDSPNLILLNLEFAYSLDEKPNNAKVIIP LQVLQNQGSNNLVDWIDRYLNRNSTNSKKVFSTVTTIPEMTDGESAEESDLESDNGLT ALLRDEYLNSILMPNSPSTVTGQATSQHIDNTLSIKPSTESETDRTESIHLPSTPATM LNALNEMPIRVQSPNNQMWKRGVTPKQYEQLQAIYPTPLSSLGSTSDHDDDGRPMTEG ADGIHNIEEKDNKFDEKNWIPPKTPPLSIRRHSKSHLPPSNISVPLNLEYNDDGKKEK EDKGKLRELRIFLTREAGSWHKIAHKLLSGKWGYMNEEVKIRILNELEWCGMVNLMNE LESKGPQSISIARSNVRYKPSTSMSAGFIGFTPLNENSDITVNDYPLNGIAQKQITRS SSSTSLMMLREKGSRISGVYRGGSGYI
I206_05471	MAKVPRSFRLLSELEHGEKGIGDGSCSYGLKDSDDIAMYEWNGT ILGPPHSAYENRIFSLSIYCGDRYPDMPPLVKFESKITLPCVDQQGFVNFSRIPSISN WKRDFTLETVLVELRRDMASPSNRKTPQPAEGTEFPPADLEAVARQRNV
I206_05472	MDGLLAEISAKRKALEVESGDGAGPANKYMRRADVERLKEEEAK RKRQEERAKRDLEKQERMKKDAEQARHTSLKRLAVSSPIPSASSSSRNTPEPSNSTIG EKEGFNISPEECIRRLRAKGQPIRLFGESDKERRLRLRALELIDGQAGGSGGHHGRND FMRALEEMESSVDRKEIERKARELHKQSEEKSKLSKELEKDNNNNDKSGDDAKDVEVV DKKDSNSKKKGQDLGILDLSLVKSDPNKLYPLIYYALKNVIKEWEEYMDLRPEEIRRS TQGRMAAATQVQSAQNLKPLFKSLRARDLPPDVLRLLAELVHHMQSRSYLKANDAYLR LSIGNAAWPIGVTSVGIHERSAREKIGQDNIAHVLNDEVSRKYIQAVKRLLTFSQTIR PPADVSQLMG
I206_05473	MATSAIEDGFDPSIDGEGASNGTGNGTGTLEFDVTVFRSYLETL LLPVMSATKEEIEDSLFEDPSFDEKTNRFATDPSCQVVYLTKERYVDVDEDDTPLARN TYRLHLPPTPPHSTNCISTLALIKISPTLDSLSPLGAQLHFLQLSTSSTIPSLIPDDV PSGASTVVTTPAAQATPYDGLHSLVHWGVAPWFDSYVSSKSNLTENTINKKSQSGEAS MGIPVTKKKFAELELSLLHLKQNVEIPEARLGVHPAIRKAVAQCHANGTRVSVDAVEP ASLLSDPAFLNKLQSDVNSWIKEIQSVTKLSRDVASGTASQEINFWISMEHALENIEA QLRGEEVELAMDVLKHAKRFHATVSFLADTGLKEATGIVHKHNILMKDFPLDELLAAT DLAKIQEAIYLIFGHINKKLKLSPYPIRRTLPLVEAISSDFNDQLLKVLGSQRLMYMD YAKFEEVMAMATEVFVTWDENMKDFTNVAREVSRKRAEKFISIKINPTHSKLQERIAY LRGFRRSHEQLRVMTSSTRTFSGLGHDAPFEINMEEEVRLSYESVKNVDVLDVSSEGS EIWYTAETAYNDRVARIENQIISRLRDKLATARNAQEMFRVFSKFNTLFVRPKIRGAI QEYQTRLIESVKEDIRSLRQKFTENYRNSQAYHMSQMRDFPSVSSAIIWARQIERQLL TYMKRVEDVLGKGWESYAEGHKLQVESASFRAKLDTRPLYDAWIGDITKRGNLTIQGR LFDVIRTRATPTNAQGQLQLVVQFDPQVIALFKEVRALIWLGFPVPLTISHKAKDAKR VYPHAVSLMESVRTYTQTLDLIDQNEDVAILLASYRSHAQQMIQRGVKMQWDHLVNTY EGQRYLPGGAADVRENRHFLFVREFASVISLLQDKTNALMEISTDINRIVDELSTCEF TSESFSSLLAQIQKTIDHLNLENYSNLDAWVVSLNKRIDTVLKERLVQAIDIWCEEFG REDESTLPNGDVLNVKSGKSDLVIESLVHEVRIRNQVIYLDPPIELARQEWIGQFQDA LAVVCNLNRIRSSRYEISLQVEDADVEEVSYVGLLTSFEGGTLEKPLALIEDKVQIVS AYVDKWLQFQSLWDLEAESVYNRLGDSLADWGQLISDIRQARTTFDTTDTKKEFGVCV IDYANAQSKVNAKYDSWQRELLSRYGTKLGSSIKETYSAILKARTDLETLAIEGSSTA QAVSFITFVQDLKRKVAKWTPEIEEFSSGQKTLEKQRYSFPSDWLYVDQLQGEWSAFS DILKRKDDSIKEQVAGLQLKIVAEDKVIDGRIRDFVMEWEVNKPLQGSIKPETAMNTL NVFEGRLTRLSDEYNLVCRAKEALDLEHTKDDRLQPVTEELRDLKAVWTALSGIWGRL TQLRENLWSTVQPRKLRQELDSILSSTRDMPSRMRQYAAFEYVQETIRGLLKSNILIG ELKSEALRERHWSKLYKALRMPSTQATTMTLGQVYDLDIKRNETLIKEVVLQAQGEMA LEEYIKQVKETWTSYSLDLINYQNKCRLIRGWDDLFNKCGEHLNSLTAMRMSPYYRVF EEDAASWEEKLNRIHVLFDVWIDVQRQWVYLEGIFSGSADIKHLLPVESSRFQNINSE FLTVMKKVSKSPFVLDVLNIPGIQKNLERLADLLTKIQKALGEYLEKERSSFPRFYFV GDEDLLEIIGNSKDIRRIMKHLKKMFAGISTLQLDEEETQLLGFSSREGEEVSFRAPI VLKDYPKINDWLAKVESEMRMSLAHLLSQAVQQLHGFFQVDSELSLSDLLAWIDSYPA QLVVLAVQVAWTNLVESAISSAGLTGALMLVSKTLDILADTVLQDIAVLQRRKCEHLI TELVHQRDVIRSLITAAVRSNTAFDWLYHMRFYLNENIDDPLLRLQIRMADAVFPYGY EYLGIPDRLVQTPLTDRCYLTLTQALDNQLGGSPFGPAGTGKTESVKSLGVQLGRFVL VFCCDETFDFQAMGRIFIGLCQVGAWGCFDEFNRLEERILSAVSQQVQSIQQGLAQAA TNPDAEVELVGKRLKINTRTGIFITMNPGYAGRSNLPDNLKKLFRSMSMTRPDQELIA QVLLFSKGFRTAEVLASKVVPFFNLCAEQLSAQPHYDFGLRALKAVLASAGILKRDRH LKGGDKGDGMDQIVEQQIMIQSVTETIVPKLVAEDVPLLKALLEDVFPGVEYVPVDLD ALKAKISEVCQERQLVAGPAWIEKVVQLYQIQNISHGLMMVGPSGSGKTQSWQVLLSA LERLDGIEGTSYVIDPKAIDKEALYGTLDPTTREWNDGLFTHILRKIVDNVRGETTKR HWIIFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRVMFEVEHLRYATLATV SRCGMIWFSEQVIDTAMICQHHLNILTTVPIGADDEESPDYITNAPANTQLEVQKQIV KILEPHFGSTSLVNSALNFAEKCAHIMDFTSIRALNTLFSLLKATIRNVLEYNIRHAD FPLAADKVEAYVSRRLLLNIVWSFVGDAKLDVRAELGEHLRNESGIETPVLGPGASLI DFDVDVASSAWVAWQSRVPSVEVETHAITSADVVIPTIDTVRHEEVLYSWLAEHKPLI LCGPPGSGKTMTLFSALRKLPDLEVVGLNFSSATTPELILKTFEQYCEYRKTPNGVVL APQQIGRWLVVFCDEINLPATDKYGTQRVISFLRQLMECNGFWRTSDLAWVKMERIQF VGACNPPTDPGRVPLSHRFMRHAPVVMVDYPGEISLKQIYGTFNKALLKVIPTLRGHS DSLTDAMVDFYLASQKRFTADVQAHYIYSPRELTRWSRGIYEAIKPLETLSVEGLVRV WAHEALRLFQDRLVAEDEKAWTDEKIDATASLHFPQINQTEALARPILYSNWTSRNYI PVDREQLREYTKARLRVFHEEELDVQLVLFNDVLDHVLRIDRVFRQIQGHLLLIGVSG GGKTTLSRFVAWMNGLSIFQIKVSNKYTGADFDEDLRTVLRRAGCKGEKICFIMDESN VLDSGFLERMNTLLANAEVPGLFEGDEHAALMTACKEGSQRDGLMLDSHEELYRWFTQ QVARNLHVVFTMNPPANGLASRAATSPALFNRCVLDWFGDWSDQALYQVGSEFTQTLD LDMASYTPAVPFPAAYRDLALPPSHRQAVINAMVYVHQSMQHVTSKLAKRQGKYNHIT PRHFLDFINHYVRLFNEKKEELEEQQRHLNVGLDKLRDTVSQVEELRQSLATKSSQLE AKNAEANQKLRQMVTDQQEAEAKKAASIEIQAALVEQDRFIQERQEIVKEDLAQAEPA VLEALAAVGNIKKQHLSEVRSMANPPEAVKLAMESACSVLGHQIDSWRAVQGIIRRDD FISSIQNFDTRKMSKAVRDRMMRDYINKPAFNYETVNRASRACGPLVQWVIAQVRFSE ILDKVAPLRQEVASLEQQAENTKKQAQIATDTVAELEASIARYKEEYALLISETQSIK SEMDRVQSKVDRSMTLLKSLSSEQERWDAGSKTFDTEMGTIVGDVMISAAFLAYSGFF DQHYRELMRREWIDHLSEAGISFKADLALSEFLSTADQRLSWQANSLPADNLCVENAV MLNRYNRYPLIVDPTGQAATFLQKEYKDRKITVTSFMDEAFLKNLESALRFGNPLLIQ DVENLDPILNSVLNRELRRTGGRVLIRIGNQDIDFSPAFTMFLSTRDPSVEFSPDICS RVTFVNFTMTRSSLQTQALDKVLKVERPEIDQKRTDLMKLQGEFRLRLRHLERSLLQA LNDSSGSILDDDKVIETLEVLKREAAEVTRKVEDTEVVMREVEAVTGEYLPLAQACSG IYFTLEQLANINHFYQFSLDYFLEIFDYILLKNPNLKGVTDLQARKSILLQDIFLVAH QRTSRSLLHTDYLVLAISLAKLRLRGDIGSAVLDELDAVLEVPSGGPQADLQLTMEQR RSLGSSIGADVAKALEQDIEQNGERWKQFLDSVTPERDVPWCWPEADDLFIAARRITV IKLFRPDRLIQALSAFADLAFGSNLGQEAGNDLQLVVANEVGPTHPVALASVPGYDAS YKVDNLCRSVGVTCVSVAMGSAEGFALADQAIANAARIGSWVLLKNVHLSPGWLAQLE KRLHSLSPNRNFRLFFTMETNPVIPVNILRQSRVIMNEPPPGVRANLLDTLKGIPQSR IATGPAEKARLFFLLAWFHAVVQERLRYLPLGWSKGYEFNDSDFDAALNTIDSWLTAL AKGKANVDPAQIPWVALGTLIKQAVYGGRVDSDYDQRVVDAFVDKVFTARAYDPDFKL VENSDGDLSVPEGTQMSHFITWAQALPEREPPAWLSLPGTAEGLVAAAEGDALMVKLR KMRTTDDEEDDSGPAAALAGRPAWMNVLKGHAEEWLNNLPQTLATPPQDNSPLSRFFL REVSTGSRLLSRIRRDLSELIQVCDGDVKQTNELRALMTDLNKGTIPSHWKKFKMPRG ASVATYISNLGERLKQLESLATRPQMEQGIWLGGLFQPEAYITATRQAVAHEKGWSLE QLVLSVEIERTGGERSFTIQGLTLQGASWSSEHLSLNEGKSILLGPSQIIWKKYDQVP QKGNTVDLPVYLNGDRSDLLFSVDLKSQGESQDVFAQRGVCLTIA
I206_05474	MPNDDSQRVMTHSPSPAASPHEYNPLTIHLRCYTGGTRSELDPS ALENCRFQRTIESREDKAGLAVLTPAPPSADVANNSGLLSEGPSSEKVSSPASTTPIP TSPQAGASGSYCLYVDEHIYTGQLYDILRGRLVVNDSAGPETRVVMKVMRPITFEKDL AEDEEPLECPCFYNPHYDVESAIKAVYNEDRMYEHLSQFQGKIIPQYYGLYTCQDESR DQPKLMVMLLEDLGPQENPFNMMDDEYSVDECKRPLEIYYKLHHEKNIAHYIPKFWHV LRRQSVPKEHEDHLVMIDFANAISLETKSIKEREILIKHDNETWEVSNRIDTDPEGNL YTYPGWRNYDDEDYKFILGIE
I206_05475	MKYAILALALAVASQAQSNSTSTTLIPSNITSSCSTFLESLNND GTLSSCVTPLINATSSFSPTAQSNLTEDSINYTLASICKSNAGCSDSTIRGWLANFYS QCNAELTSATAYNSDVRELYDILYVVNPLKGAVCSINSGNQEYCVNEIRSNEIANATT SSNSTASSNTTAITTAGNNTLFANLAATAQELTNPIQYAAQNLYVEVSIAASSISKRF AENVLNRRQDNGDSSQSVNMVTVIKPNTATYKSTNLPFLFLQPSMASSALCTPCTREV LVAYVKWETQVPYALGLKQSPILGGQSDLWNAINSTCGISFINAIKSEVGALAQNFSS AAQPTFVAQNGIAGFSIMIGATFLTGFAALFI
I206_05476	MASLRRPSAAIPKGQGNDGGPRPPVPSMNEKKKDARKSKVGDKI RKRMSMRYMGNDQLPISTIPPLPITGQNDFLDSDPYGGADFTPLPDDAQTGETYFNQF GSPEFSKLGFSNSDNLKDDEELSNINKLGSTSRSLLIEQEGIKRRGAEDLTREEEFDL NELNKEDFNLNDYLRRTLTGADEEEKKRFKAALMREKGKNKKELQKNVFRHYAEFVTI SKEISTLENDMLDLKDLLGQWKDLPQLMGMADTLAPTLDKSGNSKSVERRRTQRNSVM DLQNLYKSQLTQLWSTVEGSQKYLPLVPGRHLVFETHNFVELNAATYKAKQNVSMFLL NDLLLIAGRRRMKSATPAEGGEKEKERGRMVAERCWVLADLVVVDVKDSGDLTNALKI RRGKEVCVYRTSQPEDKKSLLAAFRQVSQELGEKKRKESEKEQERRKSMWHGEKPGGP SSGTPVLPGLMSSGRPLSTIGMSMADSKDLRWIDEYGDELTMAIARRDWEESVKFAER GRDLLKTVSSNQSALSLLTAKLDQLTPTLINQILHDLSSSQIRKNQTATLVSYLNRLG YSDQARDVFLRARKDLMLKRIRSIKCEGDISIYISELSVVCFTIIRHTSDWFINAFKE NMMASGFTTWAKEQIETFADMFRRQVYAPNVDQSVVDECLRVTASHNRKLLRDVGLDF TYLLSTILQPDPSSSLPHQLFDKAFTSNTQGAGQSNQISYQQPPKLSSDTRQSSGFKP TIGRTDSEISARSQRSVGREDSGTSMNRDRSYSSSSRDGLKVGNTAPLSIPSRPRGPG VHSPISSGSGQSTRLADLK
I206_05477	MSRPTLLQSQTNALLTLLNLNQQPPAASSSAGPFPRSSTPSSNF DENSQAPLVWKVLILDEQSKDILATSLRVQDLREQGVTLHMQLHTARPPLADVPAVYF VSPTLANIKRIAEDLNPPLYSSYHLSFTSSLPRSLLEELASLILANDPSGSTGQLISS VHDQFLDFLVPSPNLFSLLPRREIQQNEVNGNAKAKAPQKEIEGRPSYVVLNDPKAGE LEIDEEVERVAKGLFSVITTMNYIPIIRCPRGNAAEMVARKLEAKLRDHIASNASQRG GAGRDGAYGVDGLNSLQRPLLVILDRNVDLIPMLSHSWTYQALVHDVLDMKLNRVTVE SPENGRLQKKSYDIDSKDFFWDKNAGNPFPQVAEDIDTELSRYKADAAEITRSTGISD VNDVAQIDFSSNTANLKTAITALPELTARKHTLDTHMNIATALLQSIKERGLDNLFQV EETAARQSKAQIIATLKGQTDDPEQTAHPTPDDQLRLVIIYYLSMMDALPKDDLAELT NQLKEAGADANALEYVKKVREITRMTMMATQPAIAAAQPAPSAGGEWTRGFSALGNRI TDRLREGGITGVGLDNIISGVKNFLPARKELTVTRLVEALMEPSTAATQALQDTDDYL YFDPRASRGRNPTTTSSGKGRQQYNESVVFVVGGGGYVEYGNLMEWANRNKEGGAGIG GNNKKITYGSTEILNPTAFVKTLAELGAA
I206_05478	MDPWAPIDQNEKRYVSSDVPRRIKHIQFQPFTPKDIVRISEVQV SHSDLYQNHEDGSRTTASHGPLDGRMGPNEKGKKCLTCGEEAAKCVGHYGYVKLALPV FHIGYFRPTINMLSCICKTCARVLLPTNERTTYLKRFRRPGLESLQRQSASKAVLASC KKRNICGYCGAANGVVKKSGPMKISHEPYRATKMAALKDEWMSTFKTAVADNSAVATH LSKAVEDLNPLKVLDLFKRVTAEDCELLSLHPDIGRPEDYIWQYISVPPPCIRPSVAS EAGNNEDDLTAKLAEIVNINNNVSIMMDKGMGIELITTNWEALGQSVALYINSQAPGM QTLGAKPIRGFVQRLKGKQGRFRGNLSGKRVDFSGRTVIGPDPNLRIDEVAVPEKVAV KLSYPERVTDYNKAAMQDAIVNGSRLHPGANVLERRGDNGQPPMRIALHVMKDREARK RWARDLQIGDIVHRHVRDGDIVLFNRQPSLHKLSIMCHRVRVRPWRTFRLNECVCNPY NADFDGDEMNLHVPQTEEARTEALELMSVKKNLVTPRNGEPIIAAIQDFITASYLLSR RDRFFDRQQFAQIASYLGDANLPIELPPPVIWKPVRMWTGKQIFNLLMKPNKSSHVLV NLEAKCRTLVDPAKSDNFPPDMSPNDGYLVIQNSEIMCGVFDKNTVGDGKKNSVFGVI LRDYGPEEAAKAMNRLAKLSARFLANIGFSLGINDVIPGPVLFASKDAKVEKAYEECD EFIDLAKKGKLENAPGCDQEATLEQKISGTLSAVREAVGKICMQELSRHNAPLIMATC GSKGSVINVAQMVACVGQQIIAGSRVPNGFQDRSLPHFRKKSKNPPSKGFVRNSFFSG LTPTEFLFHAISGREGLVDTAVKTAETGYMARRLMKALEDLCTHYDLSVRNSVGGIVQ FQYGDDMLDPACLEGDATPVEYVRSWTHACRTASRSGKALLPYEVREIAQRIYPESKY RETTYNFIINKIVKTMVNQRVARGLPDADDKAMAEEYEDLLVSEDRAIRQVLENANKV TEAQLNSFLENCRIRYLRAKIEPGSTVGAVGAQSIGEPGTQMTLKTFHFAGVASMNVT LGVPRIKEIINAAKVISTPIITAEMNIPESETAARIVKGRIERTVLSDIASCIEESWT NANAYIEIHIDMKAVRRLQLEITLESIKWSLVAAPKLKIPEGSIHISTKTSRIRVWID EPPKDKEKNSGGIYERLKFLKRAIGDIQVKGLPSVDRGVVTKDEKNEKIHRLLVTGYG LSEVMGTEGVDGLKTKTNHVMETQKVLGIEAARSTIYNEIQHTMKSHGMSIDPRHVML LGDVMTYKGEVLGITRFGVQKMKDSVLMLASFEKTTDHLFDASLFSKKDEIQGVSECI IMGTPAPGCGTSLASIVTPAPILPRKKPLLFESAYKAGQDRIKSYNGLNHINGNGMEI DVY
I206_05479	MTVIDKVKSAFTSDSPETTTTSTQSNATPSHTEEKNVPSHPKES NAKSVPETAVLSDKAVFDQNKVTVIFVLGGPGAGKGTQCEKLVNDYKFKHLSAGDLLR EERNRPGSTYGELITEYIREGKIVPQEVTIKLLENAMSSTLASPPNTTNEWLNGKGRF LIDGFPRKMDQALKFDESVVKSSFVLFFSTNENILLERLLERGKTSGRDDDNKESIVK RFRTFVETSMPVVDYYRKLGKVVEIDSSPPIDEVYEKVKIEIDQRLNSSSTQTSNTTQ STSTTNASNDAAPILAPAI
I206_05480	MADVDILMPSEAGSSSSSLSRKQHLMNTFESYRSELDTDNERRE RLIITSRSITQLSKKLIFHLHRNATSLSPSLKEKCLKEGRGKEREIWKLFNKIRDELD SIGNGGDSEAKEAYWRWNRQVSPGLEEYIEGLSFLYYLEHKSLVPLEEVQRTLSDENG QSLVVVTPEDYILGMSDLTGELMRYATNALSTGDHNTPLEVCDFVRTVKSHFDGIPPH MLYKLSKKQEETTRSLEKIERVCYALRLRLIEFADKPEVLAMMAKRALEDAAEKDERG KDE
I206_05481	MIAFWSLLLIPLFAYAAPLVKKVDQVAFTGYRPEYYVEIAGYNI GWTGGSGNYTFTGILSYPGQNITNETVIFDNKAIKSYIYHFADINSYPFNSSFQFRIE DAADFSLFAESPTLPIVSKEEAYGTTSATADSPAQTE
I206_05482	MDYAYALLDKGYIPDTALRPVIRQLCRKRLREIDHGSFGANHAA KMEFIKDLYNRPIATHTSEANEQHYEVPTSFHSLCMGPRMKYSSCLWTDPINNKSVKT LTEAEDLMLSSYCSDAKLGLGLRGVGNSIDGGEIGKEGEGLKILDLGCGWGSLGLFLA EHYPLAQIKMLSNSRTQKEYIDSKAAEKGFNNIEVITGDVNIYDFEQKEQFTHIMSIE MFEHMKSYPQLFQKISTWLKPKGNLFIHIFCHKSQPYHFEENDGWMSKTFFSGGTMPS FDLFTYFQNDLILKESKFLNGINYSKTLESWLINQDKNGKEAMKHLINVLGEEEGSKT YYRFRVFFIACSEFFALDGGETWGVGKYLFEKR
I206_05483	MVSPNEGEGQALLRTKSSTSSSHSSENTVNDINTSNETTNYGAV EDGSIRKVEDVKIYKSFKEIWPIFLGLTFAVFCGALGMTIVANLTIEIGSYFHAGSLA SWLGTGFLLGLTAMTPLYGRLAQVMGRKGVMLLAVNLYLIGTIMCALAPSMGFMIAAR IVAGAGSGGILTVSAIIISDLVSLADRGMYQGGTNLLFGAGSALGAVVGGAISDKLGW RAAFWIQVPPVIFSLFLIIWKVNVDREKGEDLGGNNIWEKLKGIDWLGSILLIFSISA FSTSSSLWTSSHYKLNHPFPLGLFISALITFPLFIWVEKKAQHPILPLTMLSRSQPRL ILFGFFLTTLSNFSRLYMQPVYLHVTRGLNGSETGLLLLPSSIVGSFSSLYAGWHMRH WREYKWFQAIASFIPWLQALSLTIFWGPNTNKNELWIEMAFGALGGGITITTLLTSLI ACVEPSELSLAISACYLSRALGQVIGLSISACIQQIILFSSLSSRFPNNIELVQKIIQ EPSEILPLLDPIMNLQAKLAYLDSIRSVFIFVILGGISLSVVCLSVRGKRL
I206_05484	MGRRMPRVYLIRHGETEWSLNGRHTGVTDIPLTENGEKMVKEMS PKMMGKGKLINPNHLRHVFVSPRQRAQRTAELLFADNKPAKCSFVTDPDVGEWDYGKY EGMLTKDIRKDKADWDIWADGCPPGETPGESPQQMTDRVDRVIAKVRAIHQAAEDAAD KPEEADYADVIIFSHGHFSRSFIARWCDLPIKTGYHFSAEAGGLAVLGYQHKTLKEPS LLGLNWYTEDALERR
I206_05485	MPNTITYFDITIAGSPAGRLTFELYDDVVPKTADNFKHLCLGDK ENASGRKLAYAGSIFHRCIKSFMLQGGDFTNGNGTGGESIYGEKFEDENFTLKHDKPM LLSMANAGPNTNGSQFFVTTVPTPHLDGKHVVFGRVRSNKGLVRRIEALPTTSDKPNE EVKIAAAGVLTSEDIAKEDEERKKAQEASSGEDIWEDYPQDEEGIDAEKADEALSVAL KLKDVGTKEFKAGQFATALDKYQKALRYLDVHPVLPDDAPKEQVEGFRSARIPLLTNA ALAALKCAPPAATLAMILTTRALAINDLTPAEKGKALYRRALAEIQKKEEEEAEKDLK AALECVPGDAGVLKALKDVEFRRKERKEKERKAFSKMFG
I206_05486	MSSLTNGDKQIQIFQNVDKLPLINTKPGAWVCDLGSAEINENPY TGGIFIKDELAQDLNSDSFPVNEWKYILEGEWHMIQNGKKLIAKPGDVVFVPKGAAFL SLKNPFKAFFVTNRGGVNHQPYVKAKL
I206_05487	MLVLAETSIGFVVFKLSNDAKIDSKDLWKEFETPEGANKALKVQ AIQRFTSTATAVEDLTAIQDGRLTDSLSKFLAEAAGGAGEDGEKKKKKKKLEEMLVVS DPKLAGTINKTLSIPVLSDSSTQDLYRGIRQQLASLLGGVDQKDLNTMSLGLGHSLSR FKLKFSTDKVDTMVIQAIALLDDLDKEINIYSMRVKEWYGWHFPEMAKIIVDNLAYAR VVKAMGFRTNASSTSFELILPEDLEATIKAAAELSMGTEISDSDMAHIHSLCDQVISI TEYRTQLSEYLRNRMQAIAPNLTALVGELVGARLISHAGSLMNLAKHPASTVQILGAE KALFRALKTKHDTPKYGLIYHASLIGQAPQKLKGKMARMVATKAALSIRVDALSDAES RSDAASAEIGITNRVKLESRLRALEHQAGIQSVRKVTSGVNGRQQPRFDLSAGANASG SYNSTTDNVPLDSVNGLLPTQPAGAVAKAVEAVLEVKEEKRAEKGSDTDPTKKDKKKK RKSEAAVGDVTMDDADESMIVGETKEERKARKEAKKAAKAAKKAAEENGDSSEKKSKK RRADDNEVGDSSVVVDGEKKKKKKKRDSEAA
I206_05488	MLSGILAINLPFQPTSLPSYGAAAALESGAFSYDDEYYEGEEDI DIEEELAKLEDEYLSAEEEEIDLQMIETWPAATPNRLGEAYIKDGVTKPLQDVSLDPD AFLSFISSI
I206_05489	MSAPFAHSLSRIYPYVQQSDLPHRSSSRNWPFEHIATPPIACPP FDHDHPTSPFPSKPSISMGSWPSAPPINNQSDDTRLSYLGLPLCLPYEVETLAEMDDK LELICCRLVECIKAREYGTGFKAWDSALSTWVSMGYPMKRDIKIKLIFVYYELMFIPG LSSSFIEDASNQLISLLNDRSLTIYDFRIPWRPLYDALYTELFPHPNKLARHSVNLAP SFLNVAETAQRFFHPSDVDEMLEEILPKLQLSMDSILATQAFLVHFLPISHCQKWLPI IFRLWHGLNSGFWDDQASDLMGQLAIAHVDPGKSDPSIVNRIPKGTHNTPEEELSNPS VKKRYRLHKIRLLEVAGEVEEDEDGVSFWAKESLLPPDDNLSDPTWAGIRKDVGIFTD QEFEFLMSKCLRSLNVPVGGSIASQNSMSVTLADTRTSKKILDAKKPIDRVQSLAETI IFSMSEDSPFITLPSEAATPVAGQRSERNRRYLAGSKALDHLSKLLTSCETFFHPSNS GHWSVFLTTFLSHLASNFVERWKSEEEPQCRTPASWRLTPAIKREFVLCLRPLALTSM FNKDMNSVTPAVSALKKLALLEPDLIMPAMMERVVPSLQGLEETQRTPAVTYALTALF QPLAARQIWRFGGMYVADIFALLLPGIDLNDPAKTGLSCMAISSMAEVIHMADISDND QDDSTPGPRAVRSKPRPNVEDDPNDPVQHEMEDLSLGEVNDRVRFATSAFRDWVPEFL GRVLLLFSNLPEEGGKTGRAGGKTEALTLSHVMHACAGVFAALDDKLFDAALDQIIEY ATTTCRANAVDAVGELIRHLAFANTAKVFSRLFPICRQRIIHELKTGASSLRTTTTSI PLPADAGLHWWQSILYGTLVPGRVILSDQETRGQYIELLKIMIDSALSERGWQWTGKI VEKSISALTTIFFKDMRFLNDDVYQSEDFKRNHILYWGKLYRSAEVKPRWRVPSEEDI DMALEIIGIADQATGKLNDLLETPSFGDKVWSNEFCRAINVVDKILRGTYNLIAEIES RKTGSVKGGSYLPQEIVTLLPPYKSGLILTNADDPRYQQVAAFRNRVGETLHKAATAM RTAGQSDNSVETVKFLVTTIGTYLTAYGIRSKQFQNNQIAYAGMMSTKKTYESQRKHQ RSLLLGVASVAHQHRLAALAHNRVRSELDDRLIVSLLNFCLSPFMRVRRSSQSTLDTV AKLYRGTWVLCFPTLFDALQPGTDPDIMKGALYVLRYNPIGLHRIAQDWRQLLQLTEC LLGAHHENKASVQALVGKATDELIQRIKEPVTFNLDLRTEKVHAAVDDLISIIDQKPS EEVVKKVQQGTKDRLKQQDEAWDIFVDRVLAIANAPGLNWRYVLNASRFLLTVMRRDK PTDLRLAKFFMGNVQNPHPRIRDYGTVGVTRMLFHLALRSLCQGSEELLFLEEPIDIF TKDIELTETSPALTQKYLASFKEPLPQDETQALLQDRQDTGWLAWGKKIEVSRLSGWE ELGWTCEIPSIEGKNLIEDMLAEKDWWAKLADHWAQENERNYPSATHIDFILALSQTY GPRIYHVIRALVENYLNEMESTKIYDRNKTRAMWEFLAGLARGAAEWGGKDRKEFWDW FGSKLGELYGNIRVDTVKCWDISIEYLLCDQDPRRYKPLVDFCINTALSADFQGGSAF ELTRRVQLVRSVIRCLQWRFNAWADDFVELYFKSIDCPYAEVRGSMSSVLNAIDQLKF YPSYPSAALLIADILSDPNDEKDLMRIRSGRFMPQLQSIMNSLPELKKDRPHGPNAVL STHDASASTALGWLYVELSDVHAVAAFPYIIPILPAIFELRELNDNVDLQRLTGKLLA FIPSITPALDLIEPLMASLISILQDSTSWRTKIHSMPVLSLVYFRNLSLLSEPCKAKC LDVVSACLRDPNQEVREIASSTLSGFLRCSQRSMVVVLKDRFAREIKSTVLPKRRDAP GQINPEYQAKLVQLHGAVLGATALVEAFPYTVPKFMPKLLAEVLAPRVSDPAPISTTI RSCVASFKRTHEKYQDKFSEDELSAMNYAQAGNSYYV
I206_05491	MGIPPPHVRAWYSYAFAAEVYSACGLAIFLPITLEQLAKEVGYY APDFVEACNPNKTAGDEIQRVCKARILGTWVDTASFSMYVKSIAVAIQAICIISIGPL ADSPFWRKRLLLLFAYAGSLSAILFLLFPTNPNLALPLIAALLFVIGNTTYASSIVCA NAFLPILAREDEEVKKAYDESQTPVTSTEDDFELDLNGEVREEIAGLRRASLDEEANT VIPQGMGIVVRAISTADLTEDEFTLAPKSTIKEIHGLKTHYETLFSLTVSRLSSTAIA LGFLSGVSVLTLLLIPVLYFEGSTFSLRLAIGLSGIWWAIFTIPSWIGLPSGISNQEQ EERYDKLKISDAWKRIGKMLNFKEVRKLPNLYTFLIAWMFLSDGFHTTTYSAILFASS VLSMSASKVILMGILVQMAAVISSITVPKLQNHLSSLDKPITNFKVLMYAVLAGGVIP LYTSIGLILPIGGLRSEGEMYILGVWFGLVYGPFLSYSRAVYTELIPPGHESTFFSLF AFTDKSASFIGPAVVGLIADLTGNLRYGFIFLLIMLSIPIPILLKVNIREGIQDAQRW NENKLMPNTNVENDQETTGLLSQ
I206_05492	MSYSPIGSPDPYSTLDLDLEHDEGRGISPSLIDEPIDKHAIFLP AVQSLVAALGGYENIETSPGSGKFENIYRPGDSVLGVLKDLKKLWRKDDEDDERTVAR CMAKAGLMRELVALLTECTYRGDWGRKISLVACDLIAALTWPIDVASELKEMEDEPAV VTDYASLLRAQLEYKALILQSSQPLRCLLALMLPSLAKPRKDERDERIISLGLHVVRN LLAIKDTTAEGTATGEKEEFANLQSTLILQLNKLTYFQLLLTLASCADKTDFNPFNVL VLDILHLIFRSVKAKDLGQDQDRAPLDNLSKLLDAEKRQKALVSKVGMTRHSRFGTTV AVRAGEQKVILHKQNAISANAGKILDEVKRKRAQKAKKVDELTQIVNFNPDAMRALQG LAKSFIESCFNIFFASILRDIRMERSKIRPTDNVRTFYLTRFFVEYLLVLRHKQEEKG QKEYDLSLGLVAEMAEMDSIRWLFSRMKSSMDDKPPAWTELQASLDCFTQILLLLDAM ATSGEEEDIEVAEIVQNNLYYNGDILDSSLSVISQYKDQSVAYLDSVIHFAYVLLRML EKYSKNKAFMFIRKKKAARKKRKQRDEAMKEPESANKIPEDYGNEEEEAFGPDAEAPS YAEHAFTFKAFEKRFASEPVTNTLLSYLARFQEFEDDEQMKRVVGLMHRQVIKAQAEG LYFKVSTLNLFRKIVDGAHTLPKNDSSKDLNQLIQFVLRKFFKRVAEDPFLIVDAFSS KSRSKWKEISSYQSGSESEDGMAGQRSRIKEKASFHLMGQAEIEFKKNKKLSWSKQME ITVGILLKEEKEDLIKWIVDVLEIALASRTEIVISTDGTDAALRQADSDDDNDDERIR DFSGPSKAAIDKFVQHDLTPESDSQKAAVIKDSHFRLMLRLLSFDMVLPDLENQDQPQ QPNIEDTKWFLPSHIVPSSISTSLGALQQYLNNPPELTEDPKNLLRRTRPARKRRSNS TDLDEMDLDSETGELVKRVKEKKQRKKKEKEVQIYKSAAFIEDSDDSDDEVTRKFFEN EQKLRDEMKALAEQQGNIMRSNGGKRKRKNNKSKGKGKENEDIDELGNQQREDDEDDF LEGNMKVYSQIDDIGMESDDESENDLTQKQNTRRKRPSIAPLDSQLDIEDEFSDRDSG SENETRMYDPNWKRPNQSSSPIPSLDIQMKEQDQIPPTNKGLSGKIRRIIDSDEDD
I206_05493	MSTSQNFIIFGATGKQGGAAVRWLSRSDANIIIHAVTRDPSSPK AQSISSLPKVKLIQGDASAAEGVFSQIEGKIAGIFFVNVGYDATAQIQEGKAIIDLAK RYEVNHFVFSSTDYNGHRNKETGIASIEAKKNIEDHLIASGMRHTVIRPVGFLENLFL PGYTDAIPHFWPAQLLKSGIAADDIGRAISEILLNPNEFEGKVIGLSGYEGVPQDWID TWQDATGENLREREKKMSGGMDEERMKLLKFIMFNQNDARVAETKAIFPWVKDLRTFL QETK
I206_05494	MAPSNPGVYLPHWQVKCLTAPGPDSDLSLHVSFDNARFLFGCGE GTQRAFNQKRTTMKGLSGIFVGSGESRSRAGLAGVLMTAADAGISKVDIVGPPDMSQY LSTLRSSVIRDSITVNMRSYPRTSSTGELVKLFESPNITVHGIALIPQPVAGPSKPPS QYIPFDPHSSHFRPSRLSPEDAQRWTDQIVCDIFHNGPKARASRRPPPPGSKSPPREG SPHPANPFVNPDNTICSSIPDTRYPLPLPTPEDTQTQMVYICQAADIRGKFDVNKANA LGVPKGPVRGKLTRGETIQVDDASVEGGKRVIKPEDCLVGGGPGSILIVVNCSESTKC DLLANPAFDKYQTAVNSDGEQARHVHLVVHRVPRSVWESADYKEWMKAFGERTQHLIA DPVEYPDRTVFNSAAWNTLQLSIADSTIFNPPYVTSPRPPSVDLPPNTSFLVEGAFCR MHPPAPVTLLGVHDKDIPFTITKEEAESARETIRQDMPEYSIACDAAQLSISSALRAK SSSDKQIGEDIVVTTLGTGSAIPSKYRNVSSTYLEIPDLGGILLDAGEGTLGQIKRRF GKEGTLKLFMGLKMIFVSHMHADHHLGLNSVLEERFRIGVASPLYIIGPSNIALNLQE SASWQYAAPDQTALRNVRFINIQRLGFKIETDFRRSRSTSPSEEPDDEPVKEALDEEA LKQRIELKGRSVDSDILSPWEKSPRNPPPNRQTHPLNASSRSPSPSNRRQSRTSSPAP TSDAESEKEAIEMDQWEQESIEDRRCGRKLWPFTHVYNFSPAATRDHWWTVRRMLRDL GLSSIWAPVVPHRGRAYGLSIEHSSGWKIVYSGDTKPSEELVRAGQNATLLIHEATLE DDKPEVAAVKGHSTFSQAIDVGKRMKAKHILLNHFSQRYPKLPKLPVFTPTPSTVEGA ESTEKEYLPIVSISFDFMSLRIGDMWKMQYYMEPLSLLFVEAEEEGEGEDSVIGAVRK DVNPTLDGNENGQNKNHKNSQPSTKLDGSILNEESNGQEKGKSKKEMKKDKVRLEQKG KRAASPSMDDREVKRRSTDSSITSEEKMQVDGEK
I206_05495	MSHLNPYSNSQELKNLSNSSIPTSLSLSIPFFSRSSSSSNTTST DLETCYSNSFSDNSTPDIGIVLNLQPNLNFQQQHNLQICSSKELEKSISINSIRPKIK KMPSWNNQTWSPRNSNEFIRHPSSSSSSQVKDSNFFLQDDNEEEDILRFERRKSLIKL KDFVTWGNSKKSKLPINTKLKIKEKEGKSNIITKLKIKRGSTSSLTETKTIRDEEIGK SLQRVWARTIFGVDNERERRGSDWPPTQSRELTLDLSCIPTFTGEQQMKVDVNHIKEN FEKFKFGQDELTSSSYKSQETLTLKEGVLYHDPLVILSEQRVDTPLELPLLPKMNIRK SYPSILSPVLDEYEEGKEEHLAQNDLVSMNGQIETTPEKTKQYHGASDEIRGNNELND DEGREEEFFTPSYRPSSILINTAFPNKSESPSKSSKAKSMIVIAPDETPQLDLTIFSP RPKSMILPRAPLLRGLNLLDDSLPISPLELPSISSTSFSSFQPINLSQEPNSRLIESS SKTVLSKDLLNSPRLAIVMPIPKKGIPNQTIESVLGNFDSTLSRHKSLNERRPSRPIT SNRNFSLSLSNSNSTEFKPTTTSRRRMSLIIKPSILPCPTPPSLLTSPKSINTEQIPP LFSPCSISPTNQIHFASSSSISGLPIRRGRGSLKLKLPQSYFPQEAETSCEAVINSES EGKLQEPKEHIPTPGTFGLEGEKNRLETSNINPYFA
I206_05496	MATCQCPATHDVPTLVLSLLLCTGLIISYLPQHLRIINAKTSEG FSPWYLLLGATSSASGMLNLLIVQWPLFRCCRVISSGRCFESLLGFYQVTLQWLLFTI ILVLYLAYFPKHLKYQRVMPLEPTASAYSSTGDDLQADGANVRPRVTVATTPEWRMAI TLGIVVFLHLSLLFLLSISLLLILPSTNPPHPFLRYLATFLGVSGTVLAVFQYAPQIY RTYHSKLVGALSLGTMAIQVPGSVLFVLSLVFRPGTDWTSWLAYAVTGGMQGALLVIC VLWKRRQKSLGIDDFGKPLDDASSGPETQRLLDDEQD
I206_05497	MAPKKVRAPQEQAAVNLGPNAAEGENVFGVAHIFASFNDTFVHV TDLSGKETISRVTGGMKVKADRDESSPYAAMLAAQDVAAKCKEVGITALHVKLRATGG TGTKQPGPGGQAALRALARAGMKIGRIEDVTPIPSDSTRRKGGRRGRRL
I206_05498	MAGGLETDPSRGNVVCTNCGTIVEEGILVQEVGFAEGSGGRVHV QGAFIGLNQTGFAGHRSSTKGIQNTDNIKSSGSIKIDNVARQMHINSVICGKARRFFS MAVDNRFNRGRRTEYIIASCLYLACRLSKDAHMLIDFSERLSINVYELGATYLKLRGI LHLPEQMPEVDPAIYNLRFAHRLDFGPSVNVVATDASRLVRRFKADWMTQGRRPAGIC GACLIIAARMSNFLRTPDEVAQVVKVHPTTVKKRLLEFAQTEMAKKTIAEWRNLTSEE LDKPNEVERPPIVKWHEKQQIKIERLKSQQLAESQAGSEVENQSLVDDEEDEDVIERI RKRLKPNGDDDMAGSIAAAAKDIQNDTSQDDEEEEEDEDDNLEPINQAEYVRELESAR DNPEESKAERLREKSALMKQVKNLQKTGNDEDQLFIERSDGEDSELEELAKFNAEDEE EGSDLENEEEGEPQTQLQSISKQEQDVANTGIGTGMKVEFKEWEDQNAVLDYLAKEHF KGEELLYQGKNMSDRIKMWIGERDPKILIEELAIVQKARIQREKLAKFKESEFDDLDD EELEQSYRLDDDEKQARARMWLSSNGKWLEEEKVRVEQKALAMRAKGLDPTKPKPKRK RAAPHKGPYNTPREAIQNFAKGKQFSARVNYDVLRVLAGETSHDLQSMEDDKEDYAED EDWNQNEKDDEY
I206_05499	MSRSTYTEALLGPELYRKVRETKILVVGAGGIGCELLKNLVLVG FANIEIIDLDTIDLSNLNRQFLFRKPDISKPKALVAASTARHFNPSSGIEIHARHGNV KDSVNDLEWIKGFGLVMNALDNMDARRHVNKLCQAAKVPLIESGTAGYLGQVTPMIKD ETECFDCVPKPAPKSFPVCTIRSTPSEPIHCIVWGKTYLFGKLFGEDDEDMDTEELDK AKAGGENADEIENLKKEAAAFREVRKNLGEEDGPQRVFHKVFNEDINRLLAMEDMWKK EGRIKPVPLEYEGIMNGTFETPPLRQAATQQSSNGTARPNGNNIGKQKGLKDQRELTL KENLELFIDSCKRLSARSIAYPDIPLSFDKDDDDTLDFVLATANLRATAYGIANKTRF QVKEMAGNIIPAIATTNAIIAGLIVMQSLNILRRLPLPSSSAESSAGPAIRNVFLRTD AIKPLGFSVPAKADKKCSVCRDIYIPLKADLQKCTLGTFVDDIVRSWLGKAEFEDKDE DEDIEWTIFEGGRLLADPDFDDNYERTLDDLDVKRGKMLTVRDEDGKYRPVHFSICEA DIQASSPYSLPDTLPFIPLAPAAPPPREPSEEPVILDSLPPPANNVSENIPISSNTAV GTKRSAPDDGDVKATTEEEQVSKKRRVIVADEDDDFEIL
I206_05500	MSSNSTSSTSLEPTLYSLIPSSIYKQFLNHLSLNSIYNENLEII DRIYLNSINSIIPNQIRNLRFRSIKNNNNNNKENWINSLSYISNSLKSREYSEILTKA LINLNILSEQDEFEIKDFINSLGFIHSHTYIQKGHLFHISLPTNQLITLQLSITHVIP LKTDSTFETNYQITNNDDPWLIQLYPSKPVNAVSTQGELNYSSIVEMMQDFVGNLGFP GLIWSTGNR
I206_05501	MDSMSGMDDMSSTGSGSMTMKMYFHGSIGTDMLWFASWMPTSAG ATVGVCIGLFLFAIFERYLVAFRRACDASWRKGLLGYSKPVSEGLIPSSSTGTTSSIA SQPNLISRKSANEKNEYDQTLPTTSNYPVTQDGYNNSSSGSVPSYSPNLNANGKEKES DDNYDYSHLPKAVRKTLDPGREGRWSRPFRWGVDLPRGLLQALQVLIHYLLMLVVMTF NIWWIISVVVGSGVGEMMFGRFGSSHIGH
I206_05502	MRSFTFLVLLNSLISYTVIAGKTRLDPDTHRELLLNGRPQIGLW KSLLKEQKAEAEETAALVLNNHNSQQPLQVLKGNKPLFKANCFPQKISHFDDSINGTF CQRYWINATYYKEGGPVFLLDGGETSGEDRVPFLEKGILQILSNATNGIGIVLEHRYY GDSVPVSSFSTDDLRFLNNKEALEDSAYFIENFKAPLDIVSALDDDALHPNNTAWFYY GGSYAGARAAHMRKEYPHLVWGAIASSAVTHAQIDFPQYYDPIQRYAPKECIKTLQNA IESIDYLLDLPEPIPKYLKGLFGLEQLVGNDDFADVISGPLGYWQAKNWDPKVGSSEF YNFCDSLTAGGASHKIGLIKVPASIVNYGKYIKEKVVIRCPRSEGKPASDIEECFGTA EDSKFRETDLEQTWRLWIFQVCTQWGYFMPGPLKGPRIVSNKLTLEYTSKICKQAFPP GKHFSVPDMPDVEEVNARGDYEIEYDRLAFIDGDRDPWRPMTPQSDWAPRRHSSVNKP VHLIYDGVHHYDENGLSDHTLEPSRIKDIHQLEINFIQEWVAQFNEEKAKRL
I206_05503	MTYLPTPPSEPNSDRGTKTKTETEIEIKSKSNISSKEATIYLHT PFHPKAEEYAKSKFGKIIRPEDENFNEIFPQTDGILLRVGNLTRDMILKANKLQIISR NGVGIDNIHIETAKERGITITNCPGGNAQAVAELALTLTLTLLRRVVEIDNRIRLGEK VTSIKALSPGLFGKTIGLIGMGDISYEFSKLLLSFNCKILIYSPTSNLKKWTLEDDPN SKYSSFIIPHKRILNLNELLKNSDVISIHCPLNEKTKGLIGKEEFKKMKKNSIIINTA RGGIINERELENALENNLISGAGIDVWEIEPAFGDTMGKLGKLKNTVVLPHLGGSTDS VTLEGCMTAIDIMADYFDGKPIKNRVI
I206_05504	MSTDQLPSSTSQHTLSLDSLPVQSPPPPEIESTLSRLSAYRNVK GVMILSRSSSSSSSSSSSKSNGQMDGENTGGIVQTNGNVFEGESGKKYAKAVEEIVEK VGKALSDCEDSDELKFMRIRTKKHELIITPDDKYLLVVLQDPSQ
I206_05505	MSLPRTILRSARASSSRLVLARPLSTSRPIFSEVAESSSSSNTP ISPKISPIVDQISSLTLLEVSELVSALKTKLNITEIALPAASAAPTASASSSAESAEP AEEKPKEKTIFTVKLEKFEATAKAKIIREVKAIMPNMNLVEAKKFVESVPQTIKENLP KEEAEKLQKTLQDLGATVSLV
I206_05506	MAPSISTSTTHHALVIDAGSSGSRLQIYSWRDPDLERAEILQEV SQAIKTPESLGKSRWWWKSNYRGKGKESEHLMESKALRRLVRVGKGVEGEDWVKRVEP GISTVRPEEIPQYLAPLLSHALRHIPPSQHSSTPIYILATAGMRLLPESTREAILKAS CELIKDEYPFMVEGPSSSGPCGENVRVISGEEEGMWGWVAVNYLMDGFDINHHSPTFG FLDMGGASTQLAFSPSPNEIALSNFPEEELHKIQLRLLSGENVEWPVFVASWLGFGTN KARERYLNYLIENWKIENKDFEISGTEFQQSIKDPCLPLNLEYELKNLDDSNLKGIKI KGIGDFSKCLNNLTPLLEHSKPCPTEHCLFAGLPTPHIDFEKNDQRGFIGISEYWYTS QQVLGLGGIWDWGEWEKGMNSFCSRDWNDIQKQVGDEKGWRGAEVDLSRLQLQCFKGA WISNVLHEGIGIPRLVDAGGNSTLTGGDLGETNEEAERRAREKGLFESSNKKTHFQSM DEIGETAISWTLGKMVIEASKAIQPRSNYKENIWSYKIKNIGGLEDKLQKIGIQTLWA YGFISFFILACLFNFIRKKINFNNNNNNKNQKGKRNRKSSINLINNNLEEGFLSDSSN SIISLNGNGNGRTKFKTIPSKFRLITFKLSNLIKKKLILPFISNNNNNNNSRNNLIPL TNNGLISTLTITINSDNLNPSSTNLTGFFIPGYSNGNLNSNSNSTEINLSTSTSKSSN NNNNNNNSNSPPRKTNSRSGKSRQINSSSSSSFLSSSWEKSLNNSSNGISNSNGVGGG WNDPPSNLFIDENPLSTSIGRSRESTFNNNNNNNNNINTTKAMSRNSSRVNLSELGLA QRSTSRAGTPAFDDNH
I206_05507	MSLIMSVPYTILTYDPPSSPISFIGLNKKLPENPHHHYPSAISH RSTPPKESKSSGGDRADKTPSARAKVLPWVQGVNVEEDAIPDGMFGPYPSRPQSMAEA TQSRHPFAYPNAPTLPFAALGAGYRLTNKEAEEYVKAHRAESDVLSRGAGMRAMAKPH DTAANGGDGAETPGGMSSIGMGTFGLEGQSALGPAGIPLPKSKYTGGTAVNGSPKKSQ YTTRKPPPTVPLPPDPPITKPDSDEEERVAPPHEHKPHHEFPFSHTEAQAKQVQAMEQ ARAAALAQLEAAQRNSAIGMEQEMLAALENSMLHENDKGPRQTYDTMDSGPSFGHLLH QNGIPLSARHSAQDFFRIPFGVPLGMNVPRPLLVMRDSAGAGLGLGLSPDLLEILEQD QRISPRGPEDRSAFVETVEDLTLLTIAQEEASGIPSDARTATPSKAPTPAPQATYAGG QPAARSESGILGAQHTAHRSTAQSVSLIYQICDIQRVPASARAPTEHINSPSIAPSAV RSRAPTKAPSKAPTVMSEAPAFPKAPSMAPTARTQAKSATGKSQAPNAISQHTFMEYP DGHTLYSQVRTKNTPTIIPNSVHDDNENEIEEEDEISHHESAAPTTISNVKKLVAESR FHDETLCQLLDAARLNLIGDAAKKALQRAARARVIELRELREQAEVEENNETPMIPER PRPTSNQSTPRKDKRGKSKERSRKKSSSEKAETVTAKQGAPGWATEIMERLAAFDAKF SALEHEKHEVEAMGHSRPVTQQSRSTHEIPSQLLDELIYNEMPSSGFTQGIHMPQGLT RSIVYPEYQSMSHMPGQADQRATTHYAHPVQSGYAPTQPLWRNGTAYSQKVATAVPSQ HTQKAPTQHTQQDPTTAISQHTPRPSTQAKSMGGTNVNPADIPTWGSEVELPQLSNDH MPTGPTINVLAPTESGLGPNGRPSTRAPSASVHSQAGSRGPSRTSNTRAGPDHVEVTV IHEQPLPEAALPDPTERDLPAPPSENVRSHRPAPPSQSIPQTHTAHAAHASQAGTTHH KAQSHDGRNQFAERSVYIDSPDAYPPTLTKPVPSSQVAPTKAPTTTVHHSDIHMCPHN VMMSPPKHAVTPPRRDLIRIIDPLLPQMEGWQPWDMLTQRLYSWALIAEEKSFVRALE EVSLGRQVEEFPLSIFLMMTYKRLIRRNMCENPPIPCDKLFVPPNIASAINVAVHARR YHDAKEILLELWDSLANPEPPRVIIALAPLGNDLDQWAAHRYDLVTKHLTTYRVSHLN QIQTDGRKSAVGWEAIGQAWPQLQIPSMESLEQSGRQRIINERRPPEHRHDNSLYAAN ISRNLLLGYRPEKQQDLTKLRELVWAEVKRLLGKKRHGKLVVEPESPEHLYDA
I206_05508	MTLVSRSAIRLSRRGGQQLRNARANAAFFTTAANQASNVLPKFA ASSSRVQVPKTSVNSTRTYATPSNLQTGAIKTVIGAVVDVHFDSDNLPPILNALDVQF AEGQEKPEGGRLVLEVAQHLGENTVRCIAMDGTGGLVRGQKVVDTGAPIKIPVGPATL GRIMNVIGQPIDQRGPIKGVKEAPIHADAPEFVDQSTQAEVLETGIKVVDLLAPYARG GKIGLFGGAGVGKTVLIQELINNIAKAHGGYSVFTGVGERTREGNDLYHEMRETGVIN LEGDSKVALVFGQMNEPPGARARVALTGLTIAEYFRDEEGQDVLLFIDNIFRFTQAGS EVSALLGRIPSAVGYQPTLSTDMGGMQERITTTKKGSITSVQAVYVPADDLTDPAPAT TFAHLDATTVLSRSIAELGIYPAVDPLDSKSRMLDPRVVGQKHYNIATRTQQILQSYK SLQDIIAILGMDELSEEDKLTVERARKIQRFMSQPFAVAQVFTGIEGRLVPLKETIVA FEEILEGKHDHISENSFYMVGGIDDVKAKHEKSLKEQGN
I206_05509	MSTITRRLFSSSSITRSTAFENTLPLDLSSPVHAKHLPRVLQRR IAKKVFQTEGELQSNINIQNPFLPQRLGRRTDSEITGEPRYHWKKPSISNRRQKQLLQ FYPPIDLPISTKSSSSITSQSRPVKWNKELTINWVGQLPQKRLEKQISPENEILPEIK SLYSGRKQMFKGHKNERNREQKLKDRQVRLDGMEKRIREWRQGRNDEKARNRPSLPF
I206_05510	MPPHYDNIWKSGRRSGQSTSSSSSALGEDINRLSFSSPVPGKIS LSHPNHEMAHSYQTNDRPTTNVEETKKQLQEWQASPHRIKLKNCSCLKISSERKLITS QDYMEYNFERFHKVLFKIINKSINEKTKGWEKIIEIVELNIRLLRERGYELFHFFGKQ STSINEKCKNINIFLSIPSTSTKDEGDNRENNIQIGHKTLSEILIQIKSNEIIENVAF RFLKEDKNDWILLHPFNYLSKFGENKIRLNIFDNKLKNKNKNWKLIENLILTKISILK PNLIIESVKIIPIISRTILELKNNENKKVFEFEFQLLFRKTSNCGLIDIIRIGLKVGD NFKIQGFNSTSDDPQTSQINDEKLDIGAVVLLEIRRNRVINSSVMYEGDWPIVHSYRD WIPVPDFTGLERLIRTDKR
I206_05511	MLVSPYLIGGLCLIGQVIARSRIERKNLDRRQDVSTTIAAESSA VESLAPSSDAVSSAIPSAADISSAISSSPTSDPSSAPPASSSPSPEASSVIPASSEAL SSAVLSSTTSSGAASSSVEPSPSPGPSSVGSSEAPSPSSFVQSSAAVSSAVAVSSIAN SSPSTSTTSSTPFPSSASSPFPSSSVALSSAADASSISASSIPIGSASTDPSSPSTAS NLPSSASAIIPVISSATSDSLSAQTSAQASTAVSPSAQPTTSPVISSQIPTTPSTTPT PGGPITATATRVITTQTVETRNGVVTTRQSEETVVVVVTAGGGTATQTAVPTDNGQAV VTVTATDPGATSGSDGGLVIVTVTSTGETTDQNGSSGVVLVTSTIHAQLTVVGGLTVT ATSTAGNGGSLQTNSSDKLKVPLGFHESIWIIGLTTTLGLLMGLFSV
I206_05512	MLLSIILSLISVQNSVYAAPAYLNPSARQSESHKVTLVNNCGSG EPVYVYEGNKSPSGSSTTIGPVKGGLAWLKGASGIDCRDNGLNCGLVEFTLTNSQGGS QNSADYSLLDGVDDQLGTSLGNHKFQYSMDFEFNGCKTTQKYYPCLGDSAEACPGGFL DSSTKGGSPIQCLADNVGITITFCPSGGLQPNDAADPAGGISASNPSNASATSQPAGG IPSGALTSTGSQQTSLDTIVPPAGTAVTTVSSANSYNPATTAPSVAIPTYGTANPTTS IPTSADPFDTEPVRPNGAGSVADYGLASAALSTVVPCDVTTSIPSSGVIVTSVPGSQR QSQKPNWGINHAWTRGFE
I206_05513	MSSDPSGSIVRKAFLIEAFLNLLSFPLITNTKTTLYYLLLNSKD INESTILFTRLFGGIIIGGLTTSLIYGSKYINSRRNTYYTLGFGEILLIPILSLELFK SLEEKNQSALSFKTSLISICLLLPPLIWRIYILFIRPDLIGNEKELKKLERQPLLRDD QH
I206_05514	MFLQASIAGPSRCPYNTPSIHSDPPSTSRASSPSLKGRSSTPSL YRGSSVSSIYRRSSTPSLLGRSSTPILFPNGGRIRSNSVKAKSQTDEEKRQEEIEKRK IDSQQKLKSAWELIKEKYGSIRIEDDDEIDLRTGKITRDRGKLREYVGREFGQVSDNE DEDGGGSSIFGGTQVGETEFEFESDEDELGQWDERSGLDLQYSDAPLFEEEEEMLKKN ESWDTPEAQNDLEEFLRLEAEQKKIFGLDNDVSEDADTEEIDDGSSSEDHDQEVEEDS QLSPRSRGSWIKPPRLEDLFLSDNEVEEREEASEDELLMGRDGFTEALSGMGLDVDEH VTTSDDEPFTGDLVESPPQIRPKRIVEVVIPIQSRRSSLPASTQYKQPQLGQSRIQTS VSAPSLASLFESPPPDDDLCSRSSSPALRRSPSPDADCISSPPGQSTTFVTSFRPQAN LFQSPLPLRRIPPDLTVKSFNAESRHRTFSAEEKGKSRMIGERPSEDIEQHTTTHSSI NTSGSPYYTRLWRSKYGAVKICKRCKRAGGERAEKAAWCKGRKGALECTFENSKPNQH DDRVQEPNLHPQTLEQRYDRSKHDSNRMGSSSRHSDDDNDDYHHRDRPDLRQTPSSGK AQRVRKCPLCREAGGQRGEQAFKCRGRVSSKMCRWYTIDLQTDDTDSAHLSPEANHGE SISKSRRSLSRLTTDISDTDNSPAIGKKTRASHRLITTLTDSENDQRIRSARTPIRAM SEFPEEPTDRPDNLDPNIYCKTMIYRKSGRARYCGQCYDAGGDRKDRAWWCKGRAWVK FCYFSTNNDEEELENPEEVRDTRSSTFAETPQKPPQVSHNLFSSSVNRTKRKRIISSE LPQLSATLSSPTSHHVISYMPSPPPTSSVEPYSPVVAVTKRTENVRSSSACSMPPSSP PVSTSSTRPVHPTPSPSLSASLLDASPISQKYPSLSLLRGATIGFRPTPPSSTDGARG SSVLSHYIPSTLPRKGILRRPSECSTAPSSSGSVKRARFSLQPRSPERDDSPDPLQSD EDEPFLGGDEDVSQIDSSIYAGSSSPIRAFNRYASSSSPLRNEWSVRARDIGIQLGPE HTGSLPRGMIKALVPSLGSSTSNPSIKTGESTLGSSVLVNRFALPTPPPSSGPVVTTQ SRFTATRAGSSPSCTTGGPNSKKLNNTNTNNGLMLPPPVPLKRFTPAPSPAPNSTPIR LDSPNTPMISRSESVAHQSQSEPLNRMINARSRSRSISIAPSSSSSSSSSGVRLTTPS YKGPLRVISTTPRKKSRVERELARKAQEMDDAGLEWGMDEDTEDGGRMWREGSIVMLH NEL
I206_05515	MVYAPQRRHAVASLATTAQALCVMQHFYTPNLLILISRVLAQVQ INACAFIHPSKSLFGLSLMLVALNIAAAFLHLLDFAGGMNGGKGLVLDFVGQANPASL TRILLLDLLLFLMQLTGLCVSYVNHSTHLPKSPAFPYDDLLLPPAEEGVAISTSIFDE DEEDLDLEQGKSTSKSRRRKGGQRYEAVQGDEEESELWLNDDMDHTQPSANLIRIHEP PLIFTLPLRHILRLMWNLPSPSPPPRAFSGGTPISTPPLTPAASSLPRISDPDPPQDD RTESRQSGLGLIGTQAGGSESEGPGRIPGDYRRSDW
I206_05516	MPSVVIPLVQTPPFTLIALALIQGIPVSWDTESGETGQASYGEI KGAEEVRQELEKGVAGKEIPLPPLPTLLAANSTFQEVSSVLDALDDYLAYRTYFAGSK FGFGDATIWGTIRGNNSAIGSIKKPGRPHLTRWFNHVETLSVPQNALKTFQQARSEMD KGKKTKRLETVDVVLPNAVKGKVVVRFAPEPSGFLHIGHLKAAILNRFLADQYQGKFI LRFDDTNPLKEEGEFEDAIKEDLDMIEIGFDKVVHTSDHFEKIQEITEKLIRRGDAFM DDTDGETVKEQRRAEIPSKNRDASIEDNVARFKEMLKGSEEGKKWSLRAKIDYQHKNG SMRDPVIYRYVEGSHHITGTKFKAYPMYDLACPIIDHLDGVTHALRANEYYARHEQYQ WFLEKLGFPKIEIFDFSRVDFVYTVLSKRKLKFLVEKGVVNGWDDPRFPTVRGIRSRG MTVQGLKNYILGQGASQAQLQLEWDGIWTVNKKVIDPAAPRYWAIAEDKAVPVTIAGY TEGEVAVEKPLHKKNPEIGNKKLILSDKLLVEQEDAVSFGDNEEITAMDWGNVFVSNK KTNSNGEVESLEFKLHLEGDFKKTSKKIHWLSAPSTKNALVPVTLIEYDYLITKKKLE ENDNLEDIINPKTEYRVKALASAEVVNLKKWEIIQFERKGYYICQGTKDAEGRMEFGF IPDGRLQTITLKATPAAEKPKVAGASKGSWGKPVSTKPTITADSANSDGTKILLSNGT SGFKIPVKSGMFEADKI
I206_05517	MPDSNLPLQPMGPDFHERRPLQSESHARDDSSIDEDDVDDFTAA NDESLKNVTASKYTNKRLFAAFMIFGLLNNVLYVIILSAALDLVSSSTPKGIVTLFNI FPALATKVAWPLISNGKIQYTRRVGLCTCVSWLGIMTIAFSTSLAPRLLGISMASLSS GLGELTFLQLTTTLPTRSTSKTALGAWSSGTGFAGIAGAGIWWLLRGLGVKGGLGLSS FLPLFFPITYTFLLPSRAELEAISDDITGLGEYEPINTDSIPPSIFITPPSQDVVPQL SSSSASRTRLQEPNDDLKPISEEYQKVYLSTKEKLDLLKPLVFRYMIPLCAVYVEEYV INSGVAPTLVFPIPTHGIWSKLFKSPRDYYPFWSLTYQTFVFLSRSSISLSIPPIPLK FLPLPSIIQFIILLLLSLQSKYFIFTKSNFKPKPLKKIILKNSDEIDNSITIIFYLIC LEGLCGGLAYVNTFYHVQNEGTNESQNENENSKEKEKENLKKEFRIGSVGAADSTGIL FASLISIPLELNLCNSQIEQGRTMCRDL
I206_05518	MSGQTSAPTPITRRGAIPTNVVTYKGRRIPARIDPEARVAYIVE IMSTSFATKEHHITLCLRDESDTLLTQNNLPSKVYNHDVLKLVPSAATEALVVITSLR SSARRGSLADSNLDLPTSSDGIPLKLALFNLQKYIKEEDFAVEFMLKGGMKMLVRLLE RHEGGLGGNSLAYALQGIRGILEFESGWGELSDQFIDRMLNLVITASQPNVLKPATAI IRKLVISSPQLNAKDNNLAGLKMPVTPYGQTKKDRKGKSKEVTQVNQYGFDRIYNRIK YVVGDDDGTDHRGISGVELFFKVVVKRLEGTGDLELVAQSLGLINSCLRSGHQENSKQ YPELVDLLERLSIRRYVSRLMPTSSNNVVEPQILTFQARYGVILQNTRLRPVRPGSTP VQEKMLRELWEYGRLGQPEQPSPGQMRKTGMARTAAGWKRIGLCQDGEEEAGFLMELE MFRDVGELGLESLHYFATHEDNFYNLIMEQQAKPAERRCPIGKASSECVKILCEHYKI SQAGHHSPANFQLFLLNFSKLHQLVLRFFMRMWQESASQTPDFPKVSFLVRSQIRLSL SDEGNKTWLNLEQDFLDTEYRTIRDRQMELLEKEDGMMSRYGVRELRDKLGREGYEVL SEQRIGCMLQGGWFNSALLNVPGISSSVRPNAQKPLRFMRLSLNRKVIAWDQFSHRIE NPSFEFLRERLDIASISSVRLQTGCAVNSRSPNLVSKLSFSIMGEGETSLLDLDAIHA AQMAEWTDGIRVLRGEGGMSSKESADYIHILAELALKVRLLDITGDGVEIPEKITFGA APQSVDFVFAK
I206_05519	MLLDGPSTLPMLEPLTLYSFYQHPISPRAHVHSTLFPSKQESNA IAGPSSISQKTKQKSNEKEVQGTKNDYTQERIHDSMRRAERRALMGRRPAGVGLGKDD DEVIHEEDLLDHEQHDINMFGHRFLLPYGRRLTQMEMDAAPSPSPSEHDHERRQEDHT MGSPMMETQTQGINGAEGDEEGEGDEVVDLDASIEDLDDSEIVEEGSMDEE
I206_05520	MAENILGLLLVTSSSRGRAVFRYPPDPASPNIRLAQPIYPSATF TATDFDVDYKNPHLGVNNALRRKLFGEDGKASSTAHSHRKSDKSSKLKNKNKINQIFY DENSTDHSSNDDGEDDEDGDGTTSDEDSDYDVIWSHQGNSNQRDDSQANNNSSTNGTG NGAFRSVENSVSNDVSRRDSGSTTTATNVETHKQHHENNNNSKQTEADLKKEKEKNDL QYNYALGYHLDFLSDMLTPPRGACNRKFEICVGSVVFLGHPVCCNSEGKWEIPKEDDS ELIEEHNLPTRGRRTRDQQLTTSSNLSTLIEHKKEGSTSRNDLTAFSSPETPHQTSNA INPLEKDDTPNLNMFHLVLIIDKPDPKPGTEAHDEHHHQTLGMYDEIYREIAFKWTAA AWKLQCESNFVGKQTWTMAKYKEKCLAEGIPITECCRWLYANLPLDRSLNSLFLRLHQ LKNRPANPLHSYLPTTITTHMGDMTIHTVLSPKTVDADEAWAHWGEMDEHSESEVSDS DESEWDDPTTPIRRPELRVEPWQTLLLIDDDATQRADEISTAIIGLGVGMESQSLSTI AGERRGSKATTATMQDQEDETQLMKALIEACDVTKPLVDIAHSLRFDLEAIVIPLARE LVENKKAILVDVINTRLRTVVMPTTIDEHTVSIEQYSARYSREFPTLPTFTAFISKIS SSPSPFRDILPSDPDLSTRKLYMSALIWLLKQDLVVQVHTRARVFARKEVKVEAWKRL WKRRRDKWLNLTKQHQQQQHDQHKEIMKSPTGSDLVTPKASEMNNINPLDIVSVPPPN NKNLNYNTKGYSDRNTMTGEIWDITDKRVLEYNAELEIDSDEDVESQAEKKFQHFKID QEEPNKSEIPKFNSSFIFKPSRAQKDEARWLRVIRECGDEVLASKFDLVVQYFDGMTT FEEISYRTGLPKRELEKLVYLYKEDIITFIHP
I206_05521	MATYIPPHEQDPNDLAWMREALNMAEEALANDEVPVGCVFVKDG KAIARARNRTNEWRNATLHAELEAIDHLLPTNPAPLDTITLYVTVEPCVMCASALRQI GIGRVVYGCGNDRFGGCGSVIDVNSSSRLDTHPAYIAEGGYYREEAIILLRRFYLSQN PNAPKPKAKASRVLKTEIAPVPTSSNNTPVSSRPSSTAPSKRETSIPRNIPTSSVMDS SSIDIRGTTVSDDGDNPEIGSLDSEKTIKNGILPIGSTIASTPLPGPLE
I206_05522	MSATIPTSSSTSTSTLLDIPKSKTRSESLSTKHGPLAPHAFESH GPSPVQGDIPNGHMSKDESLRRRNLAKRGIGIDESGNIHKSIENEEDHDDFLDLNDLD NRDEEVDGEEGEDEFKGHRRASSLSEASRKVREKIRSPLVSTIKLDELRDTLEIGIEK KFAPLSIPPHRRLQTAAVALWALLVPICLIIFLLCISFPPTWVIMIPYLIWIQFDTAP DWGGRPKEWARRFFFWTYFAQYYPCSIVKEADLPPDRPYLFGYHPHGIIGMGAFATFA TEGTNFSEYFPGIKPHLLTLESNFKIPFYRDILMFHGICSVAKKACANILSRGPGTAI AIVVGGATESLSAHPGTADLTLKRRFGFIKMAIREGADLVPVFSFGENDIYEQLANAK GSWLYKIQKNFQKMFGFTLPLFYGRGLFNYNIGLMPFRHPIVSVVGKPIHVKKDAHPS DEYVQELQHQYIEELMRIWDRYKDLYARGRTKELTLVE
I206_05523	MSVAAELARVRLEPRARRIEESRSDTSGSVAESELESAPTDEDP DADNEYVVDAIKWAKYRDSTRDRSDGYTGWHYGVMWNGYLKTGSETEEPLRMFKGDKK GKYPLIDEFWNALGITIPPKKKEPMGTVGTVYECPPSLLRSWFKRNPTKRKGHFKYKR SYETYRRRRAKEIQREKLEREFKPVPEDLYTKKADSDYYLLKKRLRERRRLEKTMVTN SLSARPASGTSTRTPTPGPSNPVKSPPQPSLPVGSKVDKGKKKVRTDSPVSRAPSPPP TGKGKGKAQGFGNSSASKGKSKITSDVKFLGSPSSSLGSLFDSDKEDVEVDDALKASS EEEEEQIEEPVQQPLSSKRKANSPIASTSSSDKRAEKKARKEERTAKLATSKIAKRII SPPPSTPAATFGELQEGIFDAPPTPAASTSAASATASAIASASTAQPSTSAPATTEPD SAVSKPSSPVAPTKPVLSIQNVLAGLKAQQSAGPTNVQSLKTTSQTPAATEKSTTSSA AVRFAEEPKDPNLPTPIVKSPPTGPGKPNVPVSSTVVSDLAGPVAHFNPAPVASASTA RAAPVINKPKYVQPSKIQLVDIPIKPVNERTERKAPTNPRGFNQKAPLASANLPARPT QTFVPRTNYPPQPYAPSAKNGNVPPTRSPITPQTPALPRVALAADPRRKPTAASNTVT NPPPTPISATIPKEPVNFSLKPVDVTGGLVTFSPSLLLKNPGKFVQVMRYCMTNPNWG AYLIPAAMEFFHRGLGINQLCPDSTTAFSVLIQFLSLDDQLRALSGAGITSGGGIHIT ACPPNPYAHQACQEWKLWIHDILQTTEYQQLVALCEKYDTQIAGPAVGLMLRNLDIGK IEDLQIDDLSGMRKRKNDIGNYSRFVYVSEDTRLPVDCIEFLTTDEFMRVLQKPSTIE SNGNAQ
I206_05524	MDTPVIPCPTPFIDHIAGQALGIDLPLRIWPAVKKDGEIGRELG KRPWVFWIHGGAFMYGKHYVPNAWVIPAFRSLSYHVVSVSYRFVPQVTHDDIVSDILT AYTWCRNNLEAVLGKGNVALDSFVSAGDSAGAHLALWCGNHLSPPPKAIVDIYGLTSL DDPFYSLSIPDLPLPILGNSSTEELDKALENRDPKNAVITSAFTLELEPYTSGTNYQP TIKDILRADMNVYTFKNGLKMNNIFRRYLFETEEDYTKELQKWSAMYHIDPANNYPPV IIVHGKSDTIVPVTQSHQLAEKLRKMGVEVRENYVDGMDHLFEAYLAGPEDPMWEKAV VPVLAFVKKHLG
I206_05525	MPRKPALVLCAKPPYPPSTSPIEQLPSELKLRVVSYLTKSDANN LAITSRSLQDAAESSIWSTILISMPEKWEYQKRLWEKIRILGGWKVQNKLTPENEDLL NSFNSTMTQIDWSSIQGEPYWEVRCLKFLARINQVLSALERHSARISWIKKLELDFPQ IPGDPFAKYENLHELIKLIRNNLRIFKIGAEVLDLRLMDTNHKSLEPCTSDCFNHVGF TALHSLKLHTLDIDLSEFYRSDCEISRVLQIAGDSVQYLILGPNWSELLGPRKRKEAH ESINLSLLKSLTLHHLDPRAFPAIYRIIKAAPYLENLSIHCSKDGGAWADYSEDLSST EVKTLREHNGLKRVEWYGGLYARWCFEKISEEGFDNVKITVQNQDIGSESETYIENIL VPPFASLKVILVPCRTTKWSRHLAAPDWAKAPPPRNSISPHVINHLRQAPNLLAIQFS CLSNLSIEEALDSSKWNDKRVNGVLIRCYTNQATGEEFYHFKRLELLTVQPKIYDHQY AEKYETMRKAGEIRWVDHTSFRNATVPPPILNKVYKLLGQSIEWQEPGRNMELPESAW QVLRLWRVKLPDKGLEGRKMITRKMARSLQ
I206_05526	MTSIIPCLSFRKSLSQYATFLPRLSSRALSTSKVRRDVLSELEN QLHPRALIERKRKEFEEKYGDKLKKKVKSEGVKDLDSLKLKVLAPSVKAALKAKKAKE AALSEIKLEEEEEQFKQDVKGQQSASAKLSDAVERRLKERELKKKEGIKHSQAEGDRA GIKPLSSIINLPLIHLTPHDTNAISQIWTAYHTAHPTLSNSFLSAPVPSETYKSMLEV AKRNPFFVLPLPRLTNSESISKKQEEEGGKGGEIKTDEYEMFYLQWIFHPTSEKSNPP TNSKEPLPLNTSIIFTPLEEFKKQGEWSQPFLTLTHYTDLSQTHNLVLMRGEISPESA SGPKGSLTNPGFLLSQQQAQLLALALQRFYCTSIQPLGESPKDTQDRLKRAQALVDFR ERPEQWSWEGLVEQAYGGLV
I206_05527	MSTQLKHLKVSPKEAHTATVIFLHGLGDSGHGWLPVAKSLWNTF PNVKWILPHAPEIPITLNGGMKMPGWFDLSSLNNLTNSKYDDEKGLLNSIEKIDNLIQ NEINLGIPENKIIIGGFSQGGVISILTALTTKRKNLGGVIGLSTWLALNHKAEEIKTD HANQIPIFWGHGTADPVVNYEYGQQSIEMLKNLGFPLLSPGTTFQRPGIRFESYKGLP HSSSPQEIEDLKQWLIEALK
I206_05528	MRLTPDFVSKAPSHLNPLKERELELRGLQIPVIENLASHQGSYD TLNLTDNSITVLGNIPNSPRLTAIHAAQNQISSISPSLPPNIPNLVTLVLTDNAISSL ASLLPLETLTSLRHLVLKGNPVTQHEHYKEFVVWKVAKGNLHVLDYERIKDTLREKSR KLLIDPLTELPNSLAHQLSIPTTSSVTSSAFSASANKATPIKGGKGRLMTPDEKRRLV EALTNAKTSEQVRKIERMLAEGMIPEGGVEAAVNGSEGKIEEVNGS
I206_05529	MSEGYYEHSNGNGTTNGTYGEGRSNAASPNGDSLHNSRAISPSG YPVKTEPGLNGNANAGSVQAQQPARKKLASWVGFSNLPNQVHRRSVRRGFQFVAMVVG ESGLGKSTLINTLFETKLYQPKNVPAPGEDREIEENGVRLKLTVVDTPGFGDFVNNDE SWKPIVDNIEARFDAYLEQENRVNRLKLVDNRVHACIYFIQPTGHSLKPIDIEFMRRL HTKVNLIPVIAKADTMTDDEIVLFKQRILSDIAHHGIRIFQPPQYENEDEETIQENEE IISKVPFAVVGSDTLVNSPDGRQVRGRAYPWGVIEVDNEDHCDFVKLRQMLVRTHMEE LREHTNDVLYENYRTDKLKAMGVSQDETVFKETNPAAKQAEERALHEAKLAKMEAEMK MVFQQKVQEKEAKLKQSEEELYLRHREMKDALEKQRQELEDKKRRIESGRPLTPEKGS QKKRFGLGK
I206_05530	MSKYPSGSNGPTIIPPQHLESKRDRKRRETVNKIELLHDESWRN RDEKFSALYKEYHLDNKSVNSQPPTSAKYLLRVYPMSIERDALLEAAEIEYQYKAGQA KKMYESERETIEAQYWDARDQVRQRLLASVEDRRRKLREEKEGGDVVTDTLLEAQIRP RPTRKLPIRNRSTSSALHSRGDTPSILNGNSNGNSSTFNGNSKQEEVNGIIKSSDILL HSLISPQLAIISTDDIISSSSSSLIVHPPINGQSYITTHQPGKRGPRGKNSNISTING NLNISNNGLSLDNEILNNNNNKDNNLNVPGTATALGIASGQVNLNSTISGNLSTNSIG GPGGPRSRGVGGTRDQALTLGRSLADLSKMTTASQLECSSDWARMQGNGGRGRRTRGD 
I206_05531	MVQSQAGPSTLLDADDYLCPLTTIRDTLQKSDASTISIPKLTAL LTYHEKKLAAPWSPFGTPTAKSKATISKSTFTLPYSEVQFTVNEKTRSLSNKFSEILN IDEVSSYLIISSYHTFSIDEAKEDEEESVSLERILLWYSEEVLALPQIILTLLKLSND NDMNSTSLGDLAAGFIDDIIGEDTSSYIENLFRNFSILAQRNLSDKQRGPTALFWLTI CRKKRAAHQLRLQEQYLTLLFVLLIQSRYRRSNISEGLIKGAIMSSFGTLQANRDIWE TEYECTRISTRIRDLMLIIALESLCLSEVVSPQEGSLSDSEADRNRVQDTLLHDKSKI SSIHQFIVDYSNDLIPHYPEPELSSTPLPIWPMSIICAAWSIFLRSLPPDRVPTNDNG ATWQDIAIRGLRLPSGLFPWLEVILSGPLLGSDKDLSLDNGAIDVGLFLRKVLKDLLI GLSELVQLESIADRPGLYRSWELLFGGGSLVNSAILRADYWIDDFPYQERRSVLDRSQ FPYQPAHLLRTLASLVGSESSASPSEEYGTDPAAQVQHYFSNLPSITLTVESSWCKYI GKDDGGKEVVESTKSLILPGGASIPKGSRGFIVGAPNSSQVMWNNQIISGWPLLLEIL GAASGLKSLDERVSPDSANSSDSVHLSVHDLDIQSDTSEILAAGIKFLHSVLHSSPYI KSTVLTHLSPEDHLKSGQHLLHLALTVLQLSRNKQLTFESSTISQAIDIIQSLITSPE SNVWPALRSSGFFDVTGKKRGSVITLIQSDSIKGEHILTASVLRLVHTLVTNEDHVPE SDIVIIRSALHLVFADIWNNFSAWRYKDVAKKYELSSLLVGIFDTVLSHPLSIDGNGP TPSAQVLIDLFINSTSPLTYRPLVDAITQASYLIPRLIGTRRNADAEQVATCLDESLA FVGTLFRVSSMIGTAAVALPKSLFGIPVALPAGDKVQLVDALFELAVAPAAQISNVIN IFKTLRVYLEVIAQDPHKPSLASMLRDPMKTGQRLSELATKADDPDVRSAGWDLLSTV VSTQAGCVQACIGVVKDTQLGLTLKSAIEEITSWELSFKESPHTLAAVLNYLQSIMRS AGAEKAITDLRTDADFWQGVFDLSTRIVPAPPSFSLSMHSEDFTHRIRRYACSVQAKA NATSLLSSELSYALNNDNDDEPESKARTLVLSLFRNNSALQEASLMSCHNSCVPDLHE EQSKKILASGGNLSRLKTVKLASEREYGRDYLYDGTIIVQDSTTNQSTVNLALDLLNL NWSMLDADIALTRSFRQLAESISAWTEGDNLAINASLKAAVAISETVAEEDRGGDVML AIQVERLSILAVLLETALDTEESQQPDPESLKQLSTYMALIVNSQSFPPIVSLRHPTL PEIHQPVLRILYLLLQAISSSDITTSNVSIRESIIDASTVFVLESADIAFESTIKGSF NPANSQQTAFAGNLSMIIGVICELSKLATGTSSNTNGIWLDKVQGFNLIGRSLEVLVR SRIADDKLPLHISSILLLHLALASNPTTCEKLAISGLLPSYSDNAIIIQAEHGKIVPP SSTGLGNSSHDAWCGMLLVIKALLSTLPDTLSFTKNDVIPFIRVVNQQILSSLSWDGE SPIPLAGLNELELITDVFYGITSALENDSSTRYHGLMEDYLISVIGLLKNINYSLSHP RLLSTLYTPSSEEERIGLEAEIQIVESQDEAKTEINLFHFQKTPIIASRSMALLRVIR NINLTIIKLSKSWEILSTPQEDLFERPDLERLVLKIDGHNDTHEPIEVINDTYTTVSD ISPVESEQSSNLDEIQQHILETSSLVSFTQLLIRHSLLPDHEKQFDQENMDLDLPTTS KRRQSSGGVNTGSKESLTLRELQNDLRSLLSQQNGMRGILRDKLDKIFGNDN
I206_05532	MSFVKYVAFIAKEGKRQELLDLVLEIRDVVLANEPGTKLFHVVV DPENGEIITWQHYENEQALEDRHNGKTHAIWVEKKGDLVGSFVSRKLPFA
I206_05533	MSTVTTLYPPQRVAPPASDEVALKQKKADAGIWLAIRSFIWDTD TYLKSPEERRLLLKLDLCILPCLCLGYFCKYLDQTNLTNAYVSGLKEDLGWTGNQYTY AVALYTAGYAAMQVPSTLIVQYVRPSLWLAFCEIAWALLTFCQAAVKNTGSMYALRFL VSITESAFFPVGVYLLGSWYTPTELAKRTAIFHFTSAAGAMMSGYIQAGVYTSMSGRY GLEGWQWLYIICGIITVPCGLLVLILLPDYPEKGQKRWYLTEAEFELAQERMARVGRG SNGKLDRTTLTRILKRWHIWVIPITYTFYGLGCADGGYIAIWLKSTKKYSVQKVNIIP TILNVIQSVGVVLWGFLADYTGKRYLVIAGVTILSILPTGILAAWPGSHQLILAAFLI TGLQYITAVYFAWFQEICQKDPAERAVIVSLSIGLQYGMSAWVTILIFPQTESPSFRK GFPTTLAFVLMGLILATLVHYLYQRDIKRGYYENNAIQGSPLDKSDDIIQGEQEEGQY YDQKTDQGDLPIVPSLDDNLAHKRKAELSTA
I206_05534	MVHIVVVFVEAKPESVEAVKTRLTEAGKIYEKDPGTLEFSLKQD VVNPQKFVIVERYDQESSLTDIHRKNPIFAETVAWLRENVVKPNEPHHIEA
I206_05535	MVFTMICLLEAKADKIEAMKNRILESAPIFRKDPETIEWTVQQD LENPAKFIIVERFETEDSERIYHQGNPIFQPLIDFLDENMVRPYELHTFTT
I206_05536	MSSFKTSHFLPLPPLSLRTPPPSYRKPTIISTYSHLPDRSIQHD DSSMTYYRAAPIGADLNYGFDRRIERDEDLDEHLDGVCDALRHVEEKGGKGERKGGII TWRGMITRIMTAPYEDREGWEMTAIALDGSVYVELHDPPDVRANRRKQQSTWAWQTYM GYSFESFSTVPAEAGTGDKDFPEGWGGDVNTNVQWCNVVRSAIGDIPLCLGGEVDCVR AEPGTSHPGLESCVELKTNKVIENEKQEFIFHKKLLKHWAQSWLLGIPEVQVGFRDDH GILLNQRRFETEKIPRFIASIPSAHPPWTPNPCLHFLHAVLNLVITNVLPTDPCSRYT PGSINPEDDLPPATIWRFSFIPRRGCELYKVGEMGVDQDGRWGGMLKEDYVRWRMG
I206_05537	MSFLLNSIPISLSAIGVGLLPLLFLHFRSYLSPSRQPSENALPS YFYPAVTSHGRHLPITAKNGFSYSLLYLTADIDSIESCSLDLPFRILKYGGNPITKLI GIRTKDYLSHGNQGFREKLESLLTEEKFGISREEIGKAWLITLPSLAGWEGPNPLTTW FVYRKTKDETKVGELLCLILEVHSSFGESHAYVLKPSSEYRQEPAKGYDLAFKFPRTF HVSPFNSRDGFYSCNITNPFPNQDIQSYKLNPPEFKILLKVLSSDDQVKFVATLKSGP FSPVKLEPYSIFKILYLLCKWPFTLMSVTFRTFYQAYKLHYIKKLALFPRPEHHTTGS SSLFNPPQNNMVDAGSAMQRQEIGWTEIKARKIVEKWAKSRCRYMRTDLEIKLKNGRK DLVILSSPSNKSSAKQLRHTNGIADGETNGHSTSKLIITSSDPYFFTNLLISPSPQHS LILFPEQLTSVSSSNLFEEFFSSQTQISENYSNDLLTKFIRFRRTEYWLYLYSYSNYA PTPSIPSINIFESHFSENIDLSNWKDKLDIYRVIFWFTFNEYFEKWLFNLLNAKFVKN SEPWKIWERSLKKTIYELDNNDIDGRVNEKKLGSYFID
I206_05538	MPIFNLGEDEDSTLTSSLKPTISTKDEKDDKSTSTKITTSVKST SKDEDQETSTKKAQITTSAKKEEEDDDDDETITTKQKEVEKTTKTKKSSSSSSSSSTA TNTEDILFSTESGSLVDGANKCIEKGTDSNDCLDWWQKNSGAATIAICIGIFLILFII GFIYRRKKKRARMEGKLEGVAFSKA
I206_05539	MGDIETGSTLPAKTHPVLATKGAEIENHPNGNGNGNGTTTKSFS AREYLSDRAKITQIDGIRGLMAVEGPGIVSFVSHLLLYFDDFDVSANIRHGSQLAGRP NPTTFPFSSITLNLKSPLDKSSSGEEQSITIDSEDLDIALQYSPSPGLNKLVNWAVDL QSQVHNKKKDDSWTVSFGSGSQDLMSKGFHTLLNPGDPVLLETPVYSGVLPPLRLLGA EMVEVDVDDKGLSAKNLERILSQWPEGKTRPRVLYTSPTGSNPSGCSAPRERKLEVLE VCKKYNILVFEDDPYYYLATELIPSYFELETEVYPEAGHVIRFDSFSKLLSAGLRLGF ATGPKEITQAIDVLTAGANLHTSGVSQAVTLRLMQHWGIDGFLAHGRSVAKLYAERRS EFEAVAHKYLDGLATWVSPVAGMFLWVDLSPSGIKDSYDLIRHEALAKGVLGVPGMAF YPSGRKSPNVRLSFSIVDLEQDTELGFQRLAEAIKDKQKALGLI
I206_05540	MSSSNNINTDRSNNNNQNEFKPLIKEEEDNDIKIKKELLIPPPP SQQTQSISNSISIQHQQQQEQNEIEIEKPFPGQINSEAGPSSPPKWEKELNNKKRKWN LMGYNQNELDLLENEYLNLNLALLIDQTSTLYPLPPKKFNSYNDVIDKLLPYHIWQIH DEELEGQNELKEQEEIELQDAENLLNRVQNVKDRFEKIRRKDADWPSNLLSLISIHQQ SNQITKEEVSSLQNILRPLNAEYTKIENEFKRIQDEKDKIENEKKRIEQEKIKVIENE KRRIEDEKRRIIENEKRKQEEERIRIEMERKRKEDDEKRRIVLQQQQQQQQQQNQNQN QNPISNSINPILPTSTLPQSPLTIQVTSQTSTSTPAAFTPTSTSAILPSTSPSYSDRG KPRGRPRGRGRGGSREPSQSHLSYSNGTNNTPSPASSATSLPSNTRPNAITSTSNTTS GVSGLSTQNPVSITVSISILPQLVALGLLIIPPIPPNSPKTPATILRNSEDRKSVVLS IHLGQCTKNQLLALARVLNVSSKVGLPGSNSSSPATNTSPSAGIESQTANSIPAPPVS TSTSTPTNVVNGDTASDSTK
I206_05541	MSKPFVPTPNISRPGSPSSYNGNGIGIGINNKDESNSMLLNNLG NEREREGRERREERKDQSSIVGKEQALPILSYCAASIMMTVVNKYVVSGRHFTMTFLL LAIQSAVCVLAVWSVKRFGLITFRDFDMKDAKAWWPISTLLVAVIYTGSKSLQFLSIP VYTIFKNLTIILIAYGEVFLFSGVVTGLTLCSFALMVGSSVIAAWSDISSFLNSPPEL DPTTGLEIATGPISTIGGLNAGYVWMAANCLASAAYVLFMRKRIKVTGFKDWDSMFYN NFLSIPVLVIFSLVVEDWGTESLSLNFPASNRIILLSAIAFSGAAAVFISYSTAWCVR VCGSTTYSMVGALNKLPVAASGMLFFGDPASFGNVTAIGVGGLAGIVYSVAKINQNKI DQANKVRAAGGRA
I206_05542	MSNIIQGLFHTIQGLFESLLAVIQTFLNIIYSLIHGTVSLIWNL LENVAEFIGASVHFLISNIVIIGLILVGLVIYNDRNKRGTLGNDLKKKAQ
I206_05543	MIITKQNRRVIYETLFKEGVLVAPKDFNKPAHPDIPSVRNLEVI KAMQSLTSKGYVKTQFSWQWYYYTLTEEGLAYLREFLNLPSEIVPQTHMKPARPQGRP SGYGGGDRREGGAYRAPRGDREYRRRDDGAEKEGASGDYRPRFGGVGRGAGAPPS
I206_05544	MAPSYPWRRTQPSASSSSSNSDQQNQRNGNATPTQRTINEGRSR VISATGIQDSPALNRLEGGLGVGVDSPSILRSRIASPRYSNTITQSNIESFQNENDNY PSPINSLKKGKSKGGWIELKDNNTPSPKENLKKEIQIDGLPIPRYDSRGYPSKNNYED QYLAYKKNDLGLKTSNSQESLHSNSSEVSSTGAGVNEETSNFLSTGHANKQSYYIPQY STSSGSVIGSSSSSSHNQGPSPTNSYPPNLDYSLSSISYKPYDDNHSGIVGNTNPLPS LYGDSRVSLNSLGSGESSSAAHFRQHDQLKYLEHGINSDSRGYGYSNFSISSKGGKKH LADMFSLPADPATWSNLGPEPDDDFHDPDYRPANKSHFRAAIFTWRGAANLGCLAAML LVLVILFAGYPILDNYLSTKIQSHGAYNLGGINATGQIPDIGAFQLIDKDTPQSAYTW QSLETGDEWELIFSDEFNREGRTFFDGDDPYWEAVDLHYWQTNNLEWYDPRMVTTKNG SLEITLDKVATNGMNYTGAMISTWNRLCFTGGYVEASVSLPGTSNVYGLWPAIWSMGN LGRAGYGGSLDGMWPYSYDSCDVGTLPNQTLNGEPTLTTTDGDPNYDNSLSYLPGQRL SRCTCSDDTTHPGPKLANGTFRGRSAPEIDMFEATVDSTLLEGEVSQSGQWAPYNPHY YFLNTSSQYYEIYDSDVTKINTYMGSVYQQATSGLSVTDQNCYTQNTGCFSAYGFEYS PGADGYITWVSNAKKAWTVRGAAMGPNADAKVGQRLVSEEPMYLIMNLGISENFGAVD FDGLEKLWPVKYVEDM
I206_05545	MSPPPSILYATISAPLPSKPYILSINPSPTNPHLILRHPGSDLT IVDNQTLQPIDQLTGGHQGNVTAVATDQDALWSSAKDGSIIRWDERSKRAGTVIRANI RKPIPVTALTISERDHLVIGGTELLSSESHIIYWDNRNSNKPIYLHSSTHSDDITHLS ILPNTSSFLNSKTSSSTSSSGLNFTEKLLLSSSTDGLIALSNPKESDEDESIITAENW NQSIADSKFYLHKGKMKISSKSDMDLISTWDIGLSNQGEIELQNQIEYPSSSFRFKSF KPPKQGPTITQTASEEMESKTQLKSDYLIDVVPSLGISKNGGSMTAVGTNDGDLILQH HTNSTNYQPSSFFLSGPSKSRGHKDVIRSIYHDLNNEAIYTGSEDGIISGWSLNSLSD KLIIGDDEFDLSGDEDENMDDDQSDEESEILTEEEESDKSDNDMNEYDKEGPRYGPIL GAGAGRSQDQRKEKRKENRFGPY
I206_05546	MSIKQPKPLQIRLPFSVPKPNKSTNTESKICGICRKNESRYTCP RCNILYCSLDCFRDEAHTQCSEPFYKSTVLSSIATDPKVGMDEKKNMIDLLKRFEEAQ AEGENSEDFLKQLEELEKEEEDQDELLEKLQGIDLDEIDSNQLFHLLPQQHRDAFLSA LKDPESAEAKILLEQATQSDENQGDVPKVLPWWEDQLEDEEEQDELSNVNVASIPDTI PDGTLHDISPPEGVGNKLVYNAIAICIAYLHTLLSFRLPSLSPEYIQDADVTVQEIKE YIGQLIPFLIDQKSTVRYENLSSAWGSIWDKINQEHSSNQPNTKALQHLLTILPILLH PPITTPSHPKLFNVLGDLYNLYKIPKAGAAVTKKLAFYTKALRSVSRSEWLTLKKSVE NELHKLEDQDEDHNNKNNDNKRENLLL
I206_05547	MGGPSTIPEVHDFLSRLFHDHDLIPLPFQSILAPVIARRRTPQI EKQYTDIGGGSPILKWTKLQGEEMCKLLDELNPETAPHKPYVAFRYAKPLTEDCLEAM KADGVTRAIAFTQYPQYSCSTTGSSLNELYRLAKKDGYGDNGSIQWSVLDRWPTNEGL VEAFAHNVKTALQQYPEERRKDVVVLFSAHSLPLEIVNRGDPYTAEVAATVHAVMTKL NFSNPYRLTWQSKVGPKAWQGPQTASAIEGFAKIGKKDVCLVPIAFTSDHIETLYELD IEVQEEAEKLGIHLTRASSLNDSPIFIRAIADLVSNHLKDFEAGKIGPTGQQLSLRCP GCTNPKCGKTKEWLATGGTGNQVAA
I206_05548	MSANTQQSFRSQLSGFRWANSVQDDSQRSSAETSGPFGRVWNSM NGYIPLRNEGRSQEEEAYFALSRWERFLGFLACCAGGIACFGVAFLFLPILAIKPRKF ALAFTLGSLLFMLGFAILHGPWNHLKHILSPERLPFSLAYFGSLGLTLFFAIGIRSTL GTLVAAIVQVVALLSYLAAYFPGGITTLRFGGQMALRGAGGVLPF
I206_05549	MSVVNGDGLNGHSDQYDHAKVAHFIGANSLDVAPAGRVTDFVKA QGGHTVITKVLIANNGIAAVKEIRSIRKWSYETFGSDRQIEFTVMATPEDLRINAEYI RMADRYVEVPGGSNNNNYANVDFIVDVAERAGVHAVWAGWGHASENPRLPETLAKQKI VFIGPPGSAMRSLGDKISSTIVAQHAEVPCMAWSGTGISDTMLSPQGFVTVPDKAYAD ACVTSWEQGLEKAEQIGWPIMIKASEGGGGKGIRKVEDPDKFKNAFQAVAGEVPGSPI FIMKLAGSARHLEVQLMADQYGNAISLFGRDCSVQRRHQKIIEEAPVTIARPDTFEEM EKAAVRLARLVGYVSAGTVEYLYSHSDDSFYFLELNPRLQVEHPTTEMVSGCNIPAIQ LQVAMGIPLHRIRDIRTLYGMDPHGVTEIDFDGTRPESANTQRKPKPKGHVIACRITG ENPDAGFKPSSGNLTELNFRSNSNVWGYFSVSSAGGLHEYADSQFGHIFAYGMERSEA RKSMVVALKELSIRGEFRTTVEYLIKLLEKPEFENNTLTTQWLDGLIAEGMTSERPDP VIAVVCGAVVKAHLAYEASLAKYKSILDKGQVPSKDTLQTFFKSEFIYENVRYSFAMA KSSLFSFTLYLNGGRIFVGARSLSDGGLLVSLEGASHTIYWREEVGAMVLSIDSKTTV IEDEQDPTQLRSPSPGKLVRYLIDSGDHIDAGDAYAEIEVMKMIMPVTASESGIAQFM KQPGQTLASGELLGILTLDDPTKVKFAKPFEGILPTFELKNGRYGTKPHQRLREHLEV LYDNLQGFDNSALVGNSLKVVESVLKDHDLPFSNAQEVMSTLSGRIPQKLEDEIRALL DSGRATNAEFPSLKIKRAIDLYIDDNVPPKERAQVLAALSPLQVLIDQFAHGLQVHEW RTWADMLNYFADVEEPFADSTRTAENIVLKLREDNKDLDAVVRLVLSHGKIASKTKLI LALLDIVKNESPRASMTPESHINEALNRLAALESRPAAKVALKAKEVLIVGSLPSYEE RLGQLEQLLKTSVTTSYYGELGSGHRMPSSDMLKEVTDSRYTVFDVLTTFFEHQDPWV ALASLEVYVRRAYRVYNVMHLDYEVGENGEPHIITWRFKLGSPQSEPVTPRVDSMRDF SRIASMSDLNYVVNTRQEPTRFGLMTSYNKLSELENGFSKLLSMYAPFSHAEHAEKYG SDARSPHVMNVALRLFNGDQELTDEQLNERFHALANQYAEKISSKGIRRVSFMACRKG QYPSYFTLRPTSDGSWKEEEAIRNIEPALAYQLELGRLVNFKITPQPSTNRQIHVYHA VGRENSSDVRFFVRALLRPGRFQGNMQVKEYLISETDRLVGDILDTLEVVSGQIRQAD CNHISVNCVYSLSVTFDDLQEALAGFIERHGKRLWRLRVTQAEIRVVIEDEEGNPLPI RAFIENVSGFVVKYEAYQEVTSDKGKSILKSVGEQGQFHLQPVNFPYTTKESLQPRRY QAHVIGTTYVYDFPDLFRQAVGKAWRQISNYLPHIKVPSDLLHASELVLDEHGDLQEV NRPPGLNTCGMVAWVFTMKTPEYPKGRKVVVISNDITYQIGSFGPTEDEFFFKATQYA RQFGLPRVYLSANSGARIGLAEEVMALFDVAWREEGKPEKGFDYLYLTPEKLDKLNTL GAGSVITNEIEVNGERRHQITSIIGLKDGLGVECLRGSGLIAGETSRAYDDIFTISMV TARSVGIGAYLVRLGQRVVQVEGQPIILTGAQAINKVLGKEVYTSNIQLGGPQIMYKN GISHLVAASDLDGALQIVNYLMFIPERRGRAIPILPTGDSWDRNVDWKPTKAAYDPRN FLAGCYEDVDGVSTWKSGILDNGSFFETMGGWAQTIVTGRGRLNGIPVSVIAAETRSI ERVDPADPANESSTESRVSLAGTVWFPDSSRKTATAIEDSNREGLPLVIFANFRGFSG GMSDMAQAILKEGAKIVDGLSSYKHPVIVYLVPNGELRGGAWVVLDPSINPEQMTMFV DNESRGGVLEPEGIVEVKYRKPKVQATMARLDSEYAELKKAVDSASSSPEEKAAATAK LESREKHLQPAYQSIALEFADLHDRSGRMKAKADCEPCDWENSRRAIYWSLRRKLSEV RIMRKLSTANPDLTYAERKELLAQFVPAEVGSTDSEIAAYIEKQGEQVETFVQAVRDE YCSETLVSWASTNQDGVMSGFKRILEGLSPEQKAQVLAELGVTA
I206_05550	MSVIPQEEKTSHHLTDVDIAGYPDEKQVIAENGNVVTVNEVNVA EEAILAEGEFTEEEYKKLRRKVDMLLLPLMWVCYGIQQTDKTGLGTMNLYGVQKSTGM HGNQYSLLTVMFYAAYALFEFPSNVILQRFNMGKTLTIYMFFWGIIVLCQAFLNSWAP FMVLRFLQGAFECTISPGFNLIIASWYTTREHNARSLVFQSANAGWGIVVDLTMYGIG RAAQKNPGGFEAWRGIAIFLGGQTLIAAAVAWFMLGTPNEVRWLNKREKLMACARVMS NNAGTDLTGRKTWKWDQVKEAFMDPVMYFQFVNAFLSSVCNGAITTFGTVINKSFGFS ESEVILYSIPRSVVSVLWFVVVGYTTSKIKGTRMYFMMVSTIFPFTGLLMIALLPSET HYRWIKWFGYLITTTFVIPLFSAWSLISSNTAGRTKRSVISSMCFIAYCTGNIAGSQV MKAKDAPHYIPGTIAIAACMGGEFITIVCWRLYLGWVNRRKTRAIAEMGLNQEEIERK GRELGAEDTTDMKNPFFM
I206_05551	MSAPEVFQLSLGPLTGIAFSPDRSQVCVCPNSNEARIYTRNGDS WDLTDTLAEHDKLITAIAWGPNTNRIVTCSQDRNAYVWTQTEQGWKPALVILKINRAA TSVKWSPAENKFAVGSGSRVIAICSFDEENNWWTARQIKKPLRSTVLSLDWHPNNYLV AAGTADGKVYVYSGYIKGLEAKPEANIWGDKLPTGHLLAEFRSPNGGWVHDVAFSPSG DALAFVAHDSSINIIYASGPNEPPAAHIVVKLPSLPFTSLTWTSESSIVAAGHDCQPI LFSGSHEGWAISRSLDDPSSTAKPLTPTATGARAGGVGRLGNNEAFNMFKAADSKGQR GTPQPGATPTSAGLTPVGSDGLLLTVHQNTITHVEAYEWNQSGEVSKIFTAGRDGRLV VWSVTGGKGLTGRMAGLQV
I206_05552	MGRDKTTANNNKQSKKEKMAEKKAKKAEQFDLTHGKNKVQRNNG NGGGQNENGNGNGINEDNGIKNNKD
I206_05553	MAWSIFSNPFASSSSTQPEASSSSSSRSSTTILPEPIPTPPAPK VNRFENILNDEEKYQEKQYPTVDEVPGCMRLLDEFLMCYALAPQLRSMYRYGEFRDCT WKWEDFKYCLSLKSEEEETRRKLWIKRRAEWWAKRRVEGSSEDVWDMRSEPPPNFPPV VAEEVTSENSTT
I206_05554	MATIPGTENVRFRRPTAGPNAVKPTEPKKDEFGSMAPTGFKKRH QGLLQDQVGRNKAGPLVPGLSFAFRLLLLVRAVAAMYSVISDCDEVFNFFEPLHYFQY NSGFQTWELSPEFAIRSWSYVLLHWPLAHAIPKVLSVGKRPAFFALRLSLGAICSFCE AKFFRTVVETINERVGRYLLFGFMLSAGMWTASVAFLPSSFCMYTTMIASSYWFHPAT STPTGIKRAYFATFAFAVGAIVGWPFSAALAIPFVLEELFLTGGEIILPAQRGSWISK RWETMGKAVGLAAGIAIPVSMIDSWAYGRSTFPTLNIITYNLFSGKGPDLYGTSPTSF YFANLFLNFNFLLPLALIALPALAITNKFDYRRLGKTQMKPKEGETSPYTLLAIRLSP FYLWLAILSAQAHKEERFMFPAYPFLLFNAAVSIYLIRGWIESYYIHITNSPYNASRS SIFSIFTLLAVLVPGVISFFRVGGSIYFYHAPFDIVHHFQYKSIPSFLSGLGYEPVPL PADFKPYGNEVPTPQWDMTPLQNLEKPLTLCYGTEWYRYPSSYLVPEGVRVRWVKSEF DGMMPRPWEPSSENSTSAWPRDETRRARAGRFNGDNKESGDVGTYVDASECTYLVSLS LPSTAHTTLEPDWASKSEWEEEYCKGFLDAANTKWWARLIWLPGGLLEKGRVWGDYCL LKRKVE
I206_05555	MFSTTTNPYDEIIVKATDENLASEDWALNLDVCDKVSGEGESGA RQAVASLTKRLSHRNPNVQLYALELANTLVQNCGKELYGELSSRGWTGALDRLVNDRT TAAPVKRKALTYIKSWAKQFEETGDPNLGIMGELYDQLRAKSRYQYSATHNNEADCLA EARQRLEDEQLQRALEISKQDKGGRPNYSYQPSHPTAGGSSSSATNNTLVGGSSSSSR PAYQSSQSQQPVYSAPIPEPEPEQPLDINNATRVRALYTFTSAEVGELNFERGDVIKV LDRGFKEWWRGACNGKIGIFPVTYVEAVPEPSPRELQEEAQEEARVFASLGLVDQLLQ TLKGIDPSRGDRIDDRPEIEEMYQASVGLQEQINALIKKYSDQKAELEHMNANFLRAI RQYEDLRNPAPPPAAYGYAPQQSPPADPYAQGGYQQYPQQPQPQQQAYPQQPPYAQQQ QQIPQQYGAEPQHPPQQYASEPPHPPSGYYDQSPNGHIPQQAFPQAQAAPSTVPVGEP QLGQYYQQRAVSSSSVNRLPPSNAATAAGLNLPPTEPIRQNTEPGVAGLGAGSDPQAE HKKAWDDYYASQAQNQLPHPQNLGQQGGYNPQQAQTQQVDNTQSAYGQSPYPPQQGQV DNAAQDFSRMSLRGA
I206_05556	MPPNRLNTYASQGGSQRKRPAQAPLSDDSEEDAEYDENANGEVH GSTQNGEGSTQAGSGGLNDSEIRIRAGQLARFALFQEYKKNLIRRTDIVKNVLPNNPR AYNLVFQSAQTILRNTVDCELVEVRARGKGVAQDGVVEATQAGRKGKGRARQNGNEEE DDEEEDAAPTQSKKASSSTKSYILRSILPPPLLAAMSRSSPLPLGVESEENAGQDSGA LLAWDKGDGTSSGHIALLGIRTVILAVVMTMGRVISDDLLHAYLRRLNLKRETILPYS SSDSKEPYLTLDKYLDLLAKQNYLEKVKIPGHGNEGVETYEWRWGQREVEFSEKDAST FIEQLVLGEEDEGSSEDDEGAEDDRARRRRTMGRDQLDKGARRKKLKEDIVRAAGGDL TGKDCNNNNNNNNNNNNNNNNNNNTKPYLTQAEQRQALHPPLHGPMILPHQDLNSFLE TFWKRQMDNVEGEIPDWKSYNLPLARIKKVMKSDEEVKMISAEAPIMFSKACEIFISE LTCRAWLVAESHKRRTLQKSDVAAAIAFSDMFDFLIDIVPRDDGSNNDNNKNSNNNNE NSLINDGGGGDEEEQMEEEGNSNYINNISIQQPQQPQQNSQIQIQTQNQNQDGDGDDL YNEYVQED
I206_05557	MSIPVLNLSPSEISYIQTSLSHPEQPTRLDGRSLLESRGIEISY DIFPHANGSSRVKIGNTEVIAGIKLEVVDFDPSSNIKKGTESWRGRVDVDITPQSFPF SQPNTLISLSTYLSSIISTQFLPSINSFKINSNKYFQPQLHLTLLSFDGNLLSSLILA SRSCFSNLKIPKIKLIQWIGNDNDNNENPNGIIGKGDLSGIKAAISLKKKNNKYFLSK GNEDWDLDLDSGDYQDGLEYMKNRMNLPILITLNLIPNSINYFIDATAQEESACPSKL HLFFSNSDSNEETLKLNGIRFEGGQSIDSSRIKGLIEEGSKLAKTLINELNSNLPN
I206_05558	MLGQILNSTLASEFVRLPLAKRSVSASVLTGLDPTEVDSSNPIT LFIIQLFIIIVSTQILGWGLQYLNQPRVIAEVVGGIILGPTVMGRIPGFTDHIFPKAS IPYLNLVANIGLVLFLFVMGVEVDINVMKKNGIACAAISAAGMILPFGLGAAVAVPVY HNFVETDKVSFGHFMLFIGVAMAITAFPVLCRILTSTKLLDTKVGVIVLAAGVGNDVV GWVLLALTLALVGSGTGVTAVYVLLCAVGWAILLLWPIKKAFLWVVRRSGSLEHGPTP GIMTLILLIIFTSAFMTGIIGVHPIFGGFLAGLIIPHNGGFAIAIVEKIDDLVTLLFL PIYFVLSGLSTNLGLLNTGKIWGYIILLCFIGFAGKFLGCAGAALAMRYPLRESAAIG MLMSCKGLVELIVLNVGLSAGIIDQRLFSMLVVFAIVLTFVTTPATVAIYPEHLRERL HGPSSHPKDHEHTAHKNGHSDNPSGGREQTFKFLVILQKLEHLSAVMFLTQLLEAPST IASRKPFNAAETMTHNSKKSDLITTPTPSIDALKLMELTGRTHSVMQSAEKDQLLVTD DALQLFKQFGRLKGLEVTPHISIVEQDSYPQAVADYAHDLGSELVILPWTVPVSGTSS ELIDSSIVNKEGANGGMVASGSNTNVNIYQGSATFDSIFGTESAGSPIYTHFVRRVFS ECSSDIALFVDRGFGSSTTFPPGSGQHIFLPFFGGPDDRLALRFVIQLCKHSNVTATI ARIEKPDSTFDEDDSEIVSGKNEHQAALASNQLTVGPTNSAYPETQARLASDTADNIA WTYYTSSKSSRSQMIENALSRISFFSTQSTTPLGFAYRCATSAIESNGTGTYWRPMLI VTGRGRRSASINHSQELNKILADKALNPSIGAELRKTLGDSSTSLILNGGLPATASFL VMEAGKK
I206_05559	MSSLPLPIPSTPSPSLSNQKEKYNITKFTIDIPGNQIRQEVDNT NDIEKSTSRWNTLEFRLYGLVFLLVVPLMIWIPIQLSLPSHPNYYNFEYRLSEGWFGY KVDNSDAQYRTFRSNLIPLLSLSLVYLIASSLFFRLNIPSPNQRLQFIATFSLAMIFL LHGFSAFKIILILFLNYRLSKTPISNEGLLKKIWPGILIVLNMGVLFLNERNQGYKYG ELHAALGTFDKWEGMLPRWHISFNITMMRMVSFGIDYLWRNQTSNNNQEIPAEYRKRV NTPVPPEDYNFINYVAYCLYPPLYIAGPIITFNDFIWQIRNPTLITVKSKITYGVRWI FSILTMESVLHTMYMVAIKDSKAWQGDSPAQMSMIGFWNLVIVWLKLLIPWRFFRLWS LLDGIDPPENMIRCVANNYSTLGFWRSWHRSYNLWVVRYIYIPVGGSKNAILATALVF TFVALWHDLSFKLLAWGWLVSLFILPELIARKVFTRKSYGKNWWHRHVCAIGGVINIL LMMSANLVGFVLGLDGMKTLLNELTTTISGWVFMIFASYCLFVAVQVMFEYREEEKRR GIDRRC
I206_05560	MPLLHSPSSSIVQLHINRQYSSSSLSSPLDTPSFSPNTPTTEDP FQSKSKIHSARGTISSDLLSDLDLNAPCSTLLRLGTQRAHVKAEHSAGAAALVQGNLP LEEYIRWLAVLWRIYDALELGLSENSTNPVLTPTYDPELLARAPALAEDITYLLNLLS PSYNDKSNFKSIDLKSNSTSLPPFPLPSFLEEIFISPPKPLINYLEHIKLISSNEKLS SKLLSHSYVRYLGDLSGGQFIGNKVKKSYNLPFSKNEQGTRFYYFEFSNRGESSTNNN NSNEENEKESKFNAKKRLNEVKDWFRNGMDQGVGEDKLLKADLVEEANLAFSLNTELF SVIRVSSSSNSNNSIESITKSPLVEGTITDKLNSIIWFLLAAGVGVILNIYVQPIVTN WISNKRNPY
I206_05561	MSKYQQKPYTAEEKRKAIETYSEKIQYSSRYSNEEWEYRHVIIP KQLVKYVPPGVCPEDVWRGLGIRQSPGWEMYMRHEPEPHVLLFRRPKDYDSLHQPFSQ VMGARAMNVAGGKK
I206_05562	MTAPIATSLEELHLNAASKVKKDWTLPPSFLALLATPPEQVINK LIPLKPASSASVASTNTASSPSHNSPFIDAFKKAPNTLTEKGAEAHQSTDSALVDLFG DFAPGVGDDHLYELLAKAWKEDALATLKLIFQARSIHEGKGWKEGFYRAMSWVWTNHP RTFLENLHVIVDPTCDRPRDLKRDADKKKRREDAASASHDGILDLDDEGNIDVREEEG VQYPARPHGTFKDLIDLLILHINGQLTTAFKGKFTALDEAFAPSWQASTFKQSRLALK ADPQSKRNRNGFKNILKAAKKLQKEEGVAYDNFQLRLWRASSPKAKHSLLHDRAEKAL SKDRKYQALFITALHLFVRYIKADQRALDKHNDFLLLAPDSRLPYKRESSPFLFEISY AAKWASTPGNSGDKQTLFATALAYMLYPGDGVEWSREKMQKHVLTPLRRALAVPEVAM SNNSWKIDYTKVPSRSMVRNSESFVKHDPKGFELYLDQVSKGRKTISGASLMPHEVLF EATQGKTVISKRLADLQWKTMVSAILNSATNKLSNCIAVADVSGSMGALQHSPKNAPN PILPCIALTLLLGELATPPWNGAFFTFSSDPRFVTVDTSLPLSERANSLSQAHWEMST NFFKVFDLILATAKKQNLKPDDMVKKLFVFSDMQFDAAAGKKYGETEHDAIEAKFREA GYPLPEMVYWNLAPRYDGAPKQVKSDTPGVSIFSGFSGALMKYFLGQSKLDEDEDMAE PDAKEEDGFVVLPNEDKAKKEKVGGKSDGKLNPLETVMKVISADSFGGLKIVD
I206_05563	MSIATKQSTFKGFIRLGDTAYRKSQYKLAMLSYARAYEGAQQEN QGKAVHYCLKRLERCSHHTEWGLVFQEGETDEIPKALSSLMLEPFSKKLRNAINDIAL TPSLCLEPRGSMYIPLSDSVLSNSRIKGYYELPRFFRWVVPFTIAAMSTPRNEEDVTA LSSMGIRTILTLTEETPLPAKWFQNKSIKNIFLPIPNYHPPSIEQMDNVIQLIDNRDN LPILIHCGGGKGRAGTVIACYLAAYGFRRPYNDNDHPVMSAKEAISALRAIRPGSLET KQQEEFVSKWCSIIWKRQSIFPSRPSEPPSCPMEIQGTIEKDSNLIVLVGLPGSGKSW FSNSLIARNLDGWRRISQDDSGSRSFCENDISHTPSGRTKVLLDRCNTSSEDRKLWLQ LAGNWIKNPICVWFHYSKELCTSRAQSRFDHPTLPPGSRVRNAIQQMDKIFNKPNLEE GFRCIITIRSFEAALELIKRLSPSVEIYKFPRTPHLINLGAATSDDLVIQTPNCSLQD WVKVIITEKIDGANMGLSLSCERKIIVQNRSHYVNTQSHEQFKKLGHWINSHQEELHK LLYQDQYFPERYILFGEWMYATHFIHYTELPDRFIAFDMYDRSTKTFLARQNLVTLLQ QYAPTISLVPLMKECDQCPPDIELKDMVQQPSKFYEGRVEGVYVKWELDGIVIRRGKV VRSDFIAGNEHWAKKKLEVNGMAIPDD
I206_05564	MAAALGSKVIKKVGKENAPPSRTTKKTDTEDGNASDASDAVDLP RKSGKGLSKAPSSKSLNGEDDDAKELKRRLTAVTAERDRYRSQRDTYSTQFEELTKSR SDGEGLLDKYKQKAEIQSKAQNDIIASQTALMEKLQAKVKSLEKALALNEKDKELPNA GGPFDDSSTKADPKDIKVLRDELSKLKKENKAKDTEISELQKQYQVEVEYSKSLIAGK QQLNSSTNKSLGASTSTTNTTYSTNPEEAAKDAASLALYEDLTLLQIANVKIKPARIG KEEIFNCILSVDGRSLNFKLRCYTELDKSVTPPKYNKSVHYTPELLQHESEAFIKRLD YFANEFVVPRDQLGGFLLEMRAKMGEEEE
I206_05565	MVSTRSSSRPPDTPPNLSSKSPISPTAEDSSIEMTTTLSKNKDN IINTPGGVIHVRPYRSSSNAQLKAVLSFTPRVSSLDRTNERSQNDQFRGFFVLFWIGL ALLFLRTSVQSWEENRTPLSWNFGRLITGDALVLAVSDLIMVLAMFFCVPFVKGLQYR WYTYGWTGLFIQHTFQTLYLGAAVWWGWHRQWYWVQSGFLVLHALSSMMKMHSYMAHN GMLATVYFQLQEEKRQLDEVIKHVEGGKEKLLTEAAERQAHLEALEGPTPVGTPGPGT PALTARSSFSVPSANSTGYEDPANILKKQLGGFTDMNTTNGGITTAIKEGTPEHSGIL GPSAEIRQRVGRKKKNQATDALPQPRPNLPLGTSLEPSHSTLPHEHAPPSPLAWSTNE KVALLARNIDAMQEELISNGGTGLVWPQNVTYRHFLDFMFFPTLVYQLEYPRTKTMRP LVVLEKVVATMGTFSLIYTITEHYIMPMLPKSGDSLLKAFINLALPMMVNYLLIIFEC VCTGFAELSYFADREFYQDWWNSTTWDQFSRKWNKPVHTFLLRHVYASTISGFQLSRT SAAFVTFLLSALCHELVMAVVTKKIRPYLFLMQMAQLPMIALGKLPIVKRNKTVGNIV FWLGLMSGFPLL
I206_05566	MTNENHTESTSGPDPPIIGGQGGSGIPSTSTSSSGSSTPLNVDQ NSTLRSPSPRKSSNIEFLGLGVSEQSSSLPNTVLTPPILVPTPSLTVTTYPHLANYIF GLLQNVNISPTKQSSSSTSTGQHPLSVSSSGESDDESPSPPLTTPTSTSTSSFDNNDN TDTMTLQTPRKQNQTSTVGSVVSGVERDNLVKRIVNLLDNEEEEKVKDVLKPFMGNLA KDEILMDQVCLDCMHRRRDDVEGVPYAPHFTPSRARGSPNPATAHPLRPFTPTRVPSF RNRTPLGRPHSPSPALAHPAPPNPNGPPSSSGHSSSSSPVISPRMLNAKAATFSPTTR VVSNGSSTVAPPPADKASTTPFLPSDPWKDISTSDVPPRSASPFGAIGPTSMSRTNSS IAIATPLFSDKSSPFHSPMGTHTPTFSRTSSYKGVIPDDDDDDEFSPFGKGLPKLHHQ VQLPGASALSTDAKPFSPFGNNHQSGYYSNDAFSESSGDQQDYGAGSDEDFAGSGMTP LDVLCSVFTSVPRSELEDALHRSGYDFESAMGYLVSQHAHPRSGASTPQRVSSPRPLL GVGVRGGNAPGYQAPERGYFTQGGRSFRGDLSPGLLPGGGRSPGGNGGKMCRYFLAGE CRRSDCRFSHDIDRALCRFWLRGHCAKGPNCEFLHQLPNNLDPDALTSAMSHVEISSD GYARGASPSLYTPTEEFPDLLAGRLGRGAGRFDPSRNRFANAVKRATPGPAPTFQVSG MRQSPLLSTAQISPALSSPSLAPATLVPLPKMSARIKLRPPTLLPTLKTGSQTNDQYM SSRSTAIRLGHARNACLARAADAFRRGDGAAAKRFSREGKALNQRMLNESSEAAQNLV KERRIEAQRAIRERDPNWSDDPTDRLERGKECAGGLGVIMGTASNKKIGEFLSSSERI EALLDLHTLHGNEAQDIAGQFLAELERENFRGLAYIVIGEEKHVASQDPLRGSSKVRL GTSVKQVLAEWGYAWNEHGGVICVDPCRV
I206_05567	MSDSSLPNPAPTQGDVSSSSITAQTESTNTNSVNSSSESTQNQQ ASLPNGMSNNNAEAGPSHVAQQIQNENEDVVMENGDLNSTNNSVPAEQSNENRPNGTI ESNENDKKQEGAEQAEQNISNPHTIEEEDTRPDLTELFAARREEELARRDRSLVEFLS MLEGYKPLIPEEVTEYYLQRSGFECSDPRLKRLLSLSAQKFISDLSRDAYHFAKLRVN GTNAGGRGRPVAGVDRNRVVLTMDDLSLALGEHGVNVKKPDYCESLITQNECSEST
I206_05568	MSRQLDNDNPTIFAPTASTSTKRIQKGKASLWSEEALLEEEEEE EYYPELGSGSGSKEDREDIDSEEVYDLLRSITDPEHPVSLEALRVVNPDDIHVAGNRV LVYLTPTIPHCSMSTLIGLSLRVRLLRALPTRYRVDIRIKSGTHQSEHAVNKQLNDKE RVQAALENKHLLGVVEGCLQTADKRGKA
I206_05569	MEQYPDGYVDVKRPSGTATDDDQYPYRSRQYSQDAPQPPSPTKP QLPHASSSSNATSSHYDTYRQDSQSDSINANASSRPSLSNDRRLSSGLGGGMGSLGSV KNAVQEDVIPVGFDEGILRGLCEIDCALPLLADRIKQSIASCKQVAVFFRSRAEIEEK YARSVTELCRTTGEVYSRADCKAGTFVSSYQGGLKLQEQLAQNRIRFSQRLNEMSDEL LSLAREGEKMRKIHKDNGARYQGILQESETVMDKAKGRFDATAEELERLLVAKEGESF KDAGMRSSASTASTSNSSNNPGGGKRALGKAMTKGGLLFKGKGAGSIARQEDDVRARM AQASETFRKAVLESQALRQEYFNFQLPKILRLLKECADELDLGTQYHLTRYAFLYEST LVADGTTLNPMSAADEGPGLKTIYESIDNRTDFKSYMQNYTVARGAMKGPRRDGPYEE GFLPPLPPHVSKSNDVPPPVPISQPLQSTLNSQGPSHVSQISIGSNSTAMSSSTNGTA HPSQLGSSQNPSYQSQSQNHSYDNGSSEGWVPPGLPASSGATFGVDLGEQLNRDGVEV PKVVEKCAQAIEAYGLESMGIYRLSGTTSRVQALKNALDKDIDNIDVMSDEWSADINV VSGVLKLWFRELPEPLLTFGLYHSFIEAARYENDRLRHIRLHEQVNELPDPNYATLKF FMGHLHRIRRKESINQMSVSNLSIVFGPTLLGAPPEEGGLNLEHMSFQCKAIETILEK YNEIFVEEDGGNGNKDQITQA
I206_05570	MAPSMISALSAVAIMALMACKVNAATYLGCVAETGTITTTTTLG NVGKCDTFCGGDGGNTPYFYFMASSEQCNCATTTSAAMYWTEGSATDGTCTTDSNYVL YSRVTSFNFQGCDTNMETDDAPPNVDNFEDCLAACATEGSVMVEPLSGSNQFGCRCNS AYTINGGTPAGSCDAGTWFTYVHSQEATASGLARRRMRERLQKLRRESQTLCPGGLKA CSVPGTSAYECIDTQTELESCGGCLSGEYQNVMNSTLGIDCTNLEGVAKGAVTCVNAQ CQAFACRNGFELASGLCVSIL
I206_05571	MIILVTIYLLINSVTAYTFIGCTDTFSFKPQASDPKGYYAGGDS AGCAAYCSTLHTPYFYNQYNTGICYCSNVSPKASQFTYGASELAGCEGDSYEIYALND PVSSLSFQGCYTGVVASLHPGGQYPTIESCLNACSTGKSIMFSPNPETGSFDCNCDTK ASIDTTGNSATTCGQYTWFTYLKQQTKKRVEGKRRLDKQLILDVNDDVENEDCEEEQT IHVVEEVTYVYVEEDCKDLVGKPIEEDEPRIIALQF
I206_05572	MITRPTIFLLACLVFLAIVVEAQTFVGCYQSISPTGNDPASTSV TSEAACYTYCSPTASTAPYMYYNSGGSCVCSAVAPAQSKIIQGSDNTGTCPSTAYRAS KLVTTFQFNGCSPSANPSSDQSFMVSSPGECFSSCASSGQAAFSPRSNTADTQLYCLC APSNDVFSPTDDSRTCGYSSFFLYNHNAASSASGIARRQMREKLHSIRRQNSKPNFCP RNMKACNIPNSIAYECIDTTSELESCGGCMFGEYGDLHEIATGTDCSAMRGAILGGTT CQDSACQALACKKGFALLRGVCTPKKD
I206_05573	MRNATQAGKKPVRVTAKDNKISIKTPSDSRGKAKAPQVSKKPRS TPSSSSEADSDNEDEDEDRSTMLAALEAQSRAMLGLEPISRGESSTALKGKKRAIELS DENEIEDEDDQEEEISDDGWGAEDDFITDSEDEFADPSLNVPLQSIHQVPEVVFDGTS GAKRDMGMTKAERKAFMNGNSAKMMGLKRDDDYEVRPSKKARAGSEDLEEQSNLKLDQ TLHKMIVNTLISENTLENSSRPVDKRNYLQGRLNELASYNIPGQGQSTIKSAALSKLP AHIRTGIIHKQAKREKISKESERAAGNIDQRFGGLSDGLNKRGSKGEQRAEVGMDVSK KKGMSGRVKDDSSRSRGLSMGVGRFSNGMLKLSKGEIDSVNNSRSALSGRGGKRGGRG GRGGKRR
I206_05574	MSMLRTIKPKNARVKRALDKREPQLVENEKTAIFVRGQSTSDIV RNVMKDLYALKRPNAINFSRKNDIHPFEDTSSLEFFANKNDSSLFVTGLHSKKRPNNL VFTRMFDGRVLDMIELGVDDFRGMDEFDSPKSSVGVRPLMVFHSDLFDVHPKYQAIKS HLLDFYNGHALTEIPLIGGIEHVISITAGPLLNSEDNLEDEKNLPKIHFRVYTLKLIS SGNSGFKIPKIQLTEMGPSIDLSIRRIQEPDEEMLKLSNKRPKLDKSKIESGLGKKKK NIETDNMGDKIGKLHLEKQDLSKMQGRKMKGLKIRPNQKLTSVNDIVIDE
I206_05575	MVRSKFKDEHPFDKRKAEAERIRQKYNDRIPVICEKAEKSDIPT IDKKKYLVPSDLTVGQFVYVIRKRIKLAPEKAIFIFVDDILPPTAAMMSSIYEEHKDE DGFLYVLYASENTFGDLAQYEVKDEDF
I206_05576	MALYHNPPMAYAQEPTTAPIEHRFNPYSDNGGSILAIAGSDFSV IAGDTRQSEGYNIQTRYARKVWQLTDKAVLATNGFAADGNNFVKKVKQRLEWYEHAHH KPMGLKSIARMIQTMLYGKRFFPYYVYNILGGIEEDGTGAVYSFDPVGSYEREACRAA GAAQSLIQPFLDNQIYFKNQQPEPGSQPFIPGNLPLGTVLSLVVDSFTSATERHIEVG DGMEIYVVMKKGRSTDDLLGDKGLASGMTIEELPSLGESISEGEGGERTFLVRQALKR D
I206_05577	MSAAISPAPISDNFINSKAQFSRRSTSYDMSNSNSSSFIRRGSS TLAVVPENYDHHPVKPPTKQISPPSAYNQQQPMQAQRKSTQRSVSDVTPSLNSSSAGS LREMDKALEMKMRRTSPEKGKSKELPRTASERRRRADWAIDLVETSQGAEIWSEDQRV ILVLGETTPASLAPILYDPAFSETLLLVGSFEPLPSIEALLSPSHLMSSSPNQQIFPT VQPFTPSIESNDTDAHALTVLLAQAASLAQQFRSKPRGSISFPRPRAGSNASSSASNS PPSTPPMRKRVLSNFGSTSGSASNRSSIDSTMGTNDRQRSISMYDTSNDSTPKAKNRL TSFGRDSIFGGFRRGSDASDFSGSPANSSSGQSGSLFDAVINFIPDMKNYKPERALQD MLHQAVVITTGIMPSLTRQYGKSSNNFEMPISVLHVLPKIMPTPLPSVIESFLLSLLP TFQLRCPREIFGSVVTTPVWLSPFVETNSTMNNKGTTFTGEDVSGTQVLLFGGIRCPY QVLGSAEDFRPRAFLANWSDCISMPGLIAEARRPSSNPTTSSRPSASGRPSIGHDRHL SSPPSVPYNSTQARTPPRSRSPSPSKNLNLLPSGRSSPTSIPRSMSMPMPNTSSMKRS KLNVSHTPPMSSEVDLPQTSPTKEIPAIATTSNGQSPPTPDLDSSVNSSSCASSFALG ETASGRGSEQGGEVSGENVKIVNHTELAGTGKNSVHTKKGLKSWFKRK
I206_05578	MSLSTWIDGLRSQRYTSLPTNSNPISTESIKTYSSTRKRLFQTT LIAVIFMGIVGYSLKSVKTVTIEEEPIEEPDLPFMEAKPVTESSNGRPLDPPEFDVGS EVPPEFDVGSESPHDSVEIPSSDKEEDWKSISNWGLSEELGWISPPYNVSVLGEVAEN RYRLGIESGEEGSRAYFQLLHDFALSLPEPLHNQLLSSLYYHYPPNYENIISDSYSGQ TNSPSMISFKNIHQTDKEFNPENELPKIWENLNKADGWKMNFLNDQQAHDWMLSKFEG SDVNWAWDYMHRGVLKADFLRYLLPLIIGGVYSDVDTRPIRPIEEWGKNSIELLDLSK TDGEEWKLKISTNPAVIIGIDVDVHNKKGWENEWPRPLGICQWTLSSSPNHPIFLDAV RRVINSTRVVENWENWRINEIEILKSKGENEKVEELKSQHRDHAMNVMEWTGPGLFTD AVVTFLLARYNVSWHRLRSLDHPLRIGDVLILPITGFSPGGERDFGAENPDSIQANVL HNFRGSWKGDGARK
I206_05579	MSYGGGSYGGGYGGGGGGGYGGGGGGGYGGGGGGGYGGGGDRMG NLGGGLQTIDWNHTNLTKFEKNFYVQDPRVTARTDAEINAFRAEKTMKIQGQNVPRPI TTFEEAGFPDYILSEIRTMGFTAPSSIQCQAWPMALSGRDLVAVAETGSGKTISFALP AMVHINAQPLLAPGDGPIVLILAPTRELAVQIQAECSRFGKSSRIRNTAIYGGAPKGP QIRDLQRGVEICVATPGRLIDMLETGKTNLKRVTYLVMDEADRMLDMGFEPQIRKIVS QIRPDRQTLLFSATWPKEVQRLAMDFLHDFIQVNIGSMELTANHNVQQHVEICTDYDK RQKLLGHLEQISKENAKVIIFIATKRVADDLTKFLRMDGWPALAIHGDKQQAERDWVL AEFKAGRSPIMLATDVASRGLDVKDIGYVINYDFPNNCEDYIHRIGRTGRAGRKGVSY TYFTPDNSKQARELVQILRESKSEVTPELEQMAMYGGGGGGRGRGGGGRGRGGGRFGG GGGGGYGGGSGANSVGGGGGGYSSRW
I206_05580	MSFSNHLIIPSTSQAGSTTNKRMQPSRSNSAPSLTHWVGTSNGQ IKRSWNPSTSPCIPIISIEGKNIIGKLDKGKSKSTEIEQGLSQIYVTHEKQEAEECSY KSMQRRSPIKRTIVLHDGHVAHERRIDTTDDGAITNVEEEGSNIISQTKDAPLSNPKR QSWGSSLFGSAVNVGVFGAALGLTAYRLISNQPSSSTTNERPIPDSMDRDIAIDDGQY SVPFEIHERTEEDLTGPSPKGMSPCIVEASPRDLNLDQVQPNEPHIPVIQNLSSNMNT ESLPPPAYEETENKGIVKSLENNKEWEDLDLLEEEQIITPTSSKMSTLKSRSSQYSLS TIRRRNNNRKRKKLLKNELSFDHSSIITSSISSTPIIEIHNLNDNTIDKNLNKSISVN QFEGEEGMNAQNQNNENDDDDDDDDDDEMISRLDFMSCRLSKLIAEGKKALESDVDLG LINENESLISNQNIIEEICPESEPTYKNTKRESKIPIRVSSDLYKQSASTDMNYDHIS ISREENKIKESKIPIRSQSINKGLNKFV
I206_05581	MSSRIPMRKPPNASSSSSRKSQIIQKKGQRVGTNAKGTEDGYLY VAGVRDPSKRVTEFRTEQDVCPICHTDRQFNQNLRLLVSPCYHKMCESCIDRLFTLGP EPCPQCGRILRKVNFAHQTFEDLKVEKEVAVRRRMAQVFNKRRDDFESDKDYDNYLEE VEDLTFNLLNDIEIDKTEKRIQEFQKSNARLIATNQEKAALEAMSQTEREEIERRARE ERMRMVEEAERIEREEEERVKKEVTEALARGETKQAREIEFKARNAKQLRQEALFKFI PPALIQSELDKQDEIIHLPTSPSYNGPFVPIPYSNPETLPQYKWYEMKESDYVDGRSG VQFVLDDNKNGDKVRGGGWDLNLFWEMEIRSAVEALGVEPLV
I206_05582	MDAGYDALEAAASSYVKTRERDDESRSHRSHRDRDHDRDRDRDR ERERRHRDRDERDRDRDRGRDGGDDSYRPDRESGRDRHRERERDRDRDRGYEERPRRR RREDDENDLAAQPMSGGGGGGGGGHRDRRPRHEDPYAQPMRGYSPPRRQRRGDEDDWR GGGGGGGGRDRSRDREARRRRGGSFARSPTPPGTVPLEERHVVNSHWDIRPQQFEGVG AMEAKMTGMFTYGPGRVPPPASLGVPAQLMAGSFPPANPQRQTKRIYIGNINDRMSES EIQAFFNKLMHEHKLAVEMPGEPVATCQINNEKNFAFVEFRTADEATAALQFDGVMYE GVPLRVRRPKDYSGVDPLAATFGVPGGSMVDSPNKLFIGGLPTYLNDEQVLELLKAFG ELKTFNLVKETGNVSKGFAFCEYMDSNVTDMAIQGLHNFALGDRTLVVQRAAVGRNQN PHHQHAMPGSAAFLQQAVPNILQSNANDVPPTRVMLLLNMVTPEELYSDEDYQEILED INEECGKYGEVEGVRIPRPVAKNKKWAPGDSAAVSAEKNRQIDEENGVGRVYVMYTKT EDCSKAMKAIGGRQFGGRTILVANVPEEEFLPPAPAPPPPDAGEPPAPTSENGNNSPP PPPPPPAEEDLDAAAADAVKDIMSGIL
I206_05583	MAESNQTKLQGGGVSSLRGQNLNAIIKSVDMSEEMQQKAVDIVV LSLDKFDLEKDMAMFIKKEFDRLYGVTWHCVVGKK
I206_05584	MSKIIHQTDEPSLNSGYEESSITELFIVGLHLTVYGLKEIENSD KPLAVLVAAHGRTNSQKNMINFSHGILGRINSKSKENKKRDLIIVTLDQRNHGNRITD KKANLSYDQNPRHLIDMAAKVYGGAQDIQLIIDFLASYLFPRGEKTIKEWIITGISLG GHVAWRLLREEPRIKIGIPIIGLPFESFPKYMKPRAEGLGIPFTPPTYPPSLTPLLED DVDHHKYKGKKILTIHGGHDKLVPLDKGREDIDIVLKVANESEGRGEIWIDENTGHAV SKEMVEKTAEWIWEYGLSNE
I206_05585	MMFSSTITTFLATSTIMSSLALGAADHWIITQLQTLTISRMDPI ISPNDVGGHVHRVVGGSNFNWHLNSPQDQINAACSSTIIGDDKSNYWAPQLYYRHPNN TFTPILGGVRVYYFNKNKDVKPFPPGLNMISGTAMSRGAGTYKSLGVKISCDHGLQTQ FLPNGTSHPGGCGEIALGIYFPSCGLANGATSSSDHFSHMAWPQSYDGPNLVDDPNGI VCPASHPIKYPTLFAEFNYYLDAKHPWRNDECTFVLANGDCLGDTFHADFVNGWVPQT LQDAISQCGFGKGVGDNLDACAPLKKTKSEPNSWECRLDGQIPDEEVGLWRPIKSLPG CNPLWKAGTTTKPGCSTTPSKPGYVNPNAYFENLKFRNKIPVALAELKDDADITKYIP SVGDTGAGRLGWWGTEGSNQNQLKKGGWQSIVNGLASGNTPPPIGNMFKSPEGTDSAS KHESSASLGDNAILAAEPVNTSNVTTTSVSTDSEASESPSGTKYCKRGKKRGLIPRAG EALSSHLARRSRRRRGHH
I206_05586	MSDYAHIPGGSLKFKGQNDKKKKKKSHSSSERKTVENEIKSKDK LKEKEKENDISDFNESDEEERLKIKEKKEDGPKMTEAEKRFLETSRKRREQRVKESAK KTHKDRVSELNAKLDRLSEHHDMPKIGPG
I206_05587	MSAAGIPQLDDASKRELEGFLEQEQAKAKLQASIHELTNTCWNT CITGSISSKFSKSEAQCLENCVDRFLDSSLFIVKQIESQKQQL
I206_05588	MVQIKSSVLVAAAAISASTSFALPTSNSNNNLDLDILLNGNSNG NSASPTKSSAFGESSTLMNQPTGKAVVDVNVNLDKAQPLLQARRERKEVKEDDGGLKR SVKEMVKNVEGKGNNEIGSSTRLWKGKPSKRGPWRSDGNNLIDLDIGHGAVESYSDHP NHLVNNDLLDIAIRSPKHVDKSDSILTGDNHDYYRNSGHRNHNRHGNSRIHHDHSSTL HARHHGNRHSVVEVIGDGYRHGRHDGVEFIDTGRTRHGGYGYVNGDVNVHNHVHERSH DGVVVMDGDRHRHGAVTVIDGHRPHGDTTIIDGHRHGDTTIVNNDRHHGDTTVVNNGH YRGDTTVVNNHKRSPKLGISSKFASLGRAFLKRSHSHEERHDHHGDATIIAGGGHDDT TIVNGRHGDTTLVNGGRHGDTLIVDNDRHHHGGDTTIVNNDRHHDTTVVNNGRHGDTT VVNNDGHRHHGDTTVVNNHKRHHRSDRIVVVGDSRRYKDADFYRKNSWDNGLRYGRPI YFSDGGSFNRIKMVDNDYPHYHGGSNVNIIPGDAIPYRADGRYGHGRYSYYGKRDGDE VEDVKRHHDEDVTIVNNNPHNGHHGHHDNKDGDDVTVVNNHKRHHNDDNRDDSHHDRN ECRHGRCDTHHDDNVTVVNNHKRQVADSGMGGAPGYIDVTSPVFNSTTAARIASLVLS TSNGTDANSTFVLNASSNIRTQVYLVPLNQTASSASVLATGAAASTNTTSTIPVNLKV PIFVAASASVEPYCATFDPSPENPAPLTVTPCTEDDSSTHESQKFLYNPDTGVIHPDW QPSTEAQQLLQAVPDSIDDTSASNDTSMSTTSVDQSSDMDLSSSQMVQAETYVGDSLP TATASITASMFKRQDSTPTDTSTSMYSPPPLITSGPQNSTDSTNLPQSNGNGTGGASN VTLIFTPSNPAIVNSASVNFDQSSDNQDTTTSQNEMDSQQATMSKRGWSNPDINGNDQ NFGSDNYQSQSQIQSNSSDSGSNSNLNTVNQDVNSNDNGDSSNSFSSTSEPKSQTDTS VQAQNDPASDSDSDSGSSASPWSQGLHAEANVAINAFKTDSLLQKVNWLSFQVD
I206_05589	MAGQPPPNGFNPGAFEFRPGTGAPFVPRQQGQQQGYPGQQQQQQ QSYGQYQQGGYGGYPQQAGQGGYGGYPQYGAQQGGYGGYQSQPQSQAYVPPGARQQQQ QPPVRNVQGFQPPSLPPTSSSPKPDSTTAGKPVSLSIGGGAPKAAPSLSIGGGAPKAA PSLSIGGGAPKSAPSISIGGAPKAAPSLSIGGAAKAAPSLSIGGAKKPEEKKTETASS TPAKSSTPQPEETPAPVPASATDAQVKVVASTTDAPAPSQSSTPAPPAASGTSTPSGT NFTKVSAKNDADAILKEQAAAGAEALKDLYGDDAKDTNVKSHLNIIFTGHVDAGKSTM GGQLLFLTGAVDKRTMEKYEQEAKAAGRETWYLSWALDSNKEERAKGKTIEVGRSYFE SDKRRYTILDAPGHKTYVPSMITGAAQADVAILVLSGRKGEFETGFERDGQTREHAML IKNNGINKLVVVVNKMDDPTVQWDKGRYDEVCTKITPFLKSVGFNPKTDITFIPVSAQ QGQNMKERVDKKIAPWSDGPALLEFLDNMEVIERDIDAPVVIPIQESYAELGTMVMGK IEAGRVKKGDKLLVMPNKSPVEVTAIYTEQGDEMEYAYCGDNCRIRIGGISEKDVSPG FVLCSSVKPVRTVTAFKADLSIIEAKNIITNGYNCVLHAHTVAEEVTLTALLAYYDKK SRRKSKKAPQFAKQGMLVSALIETAAPICLETYKDSKILGRFSLRDEGKTVAIGKVTK LIEKTEDMPDIAGLKVNTA
I206_05590	MSSVSDFVKAAERADPTLAGSNEKDKAEIVKLSAETEGYVKDLW ALNEKLTPLTYLYSNSPSSADVSLYAHLHPTLISAPSTQHPEKPSLLRYFLQIQSLES VKSAQKELPNSFPSLDIDLSSLAVPERKAPPPKVKKDKKPAAATAGGVTETVTNAVNA AASTASAAVSAATETAVNAASAVKDAVVGGSAPVEGGKKKEKKEKKEKAPKPAAVKEE PTGPLPSMIDMRVGKVLDVKRHPDADSLYVESIDVGEEEPRTVCSGLVKYMSEDQIRG ATIVVICNLKPVTMRGVKSFAMLLCASSKDGKDTPGGIEFVLPPEGSQPGERIYFEGD KYENAKPEAQLNPKKKVFETIQPGFITLDTKEAAWIDPETKEVHKIRTKDGVLKTSTL IGASLS
I206_05591	MSSLPIQINGANGNNSPSKKDSLLERVKATYEEAGQSHVFTFFD KLSTSEQNNLLKQLDEIDIHRVNRIYKNAILADGSQTLPNDHEEISPLPEEACATIIN NPIEESKWRKLGLEAISKNQVAVLLLAGGQGTRLGSSLPKGMYDINLPSKKSLFEYQG ERIGKLAKIASEKAGKKEGEVRIKWYVMTSGPTRGETEKYFKEKDFFGLNKDDVIFFE QGVLPALSEDGKLLLSTPSSLSVAPDGNGGLYAALRRPLSSNDSRTVLSDMKSNGIEY IHAYCVDNCLVKVADPVFIGYCLSKQSQAGAKVVKKTIPNESVGILALKGKTFTVIEY SELSKEKSELKKPNNENGGEGELLFKAANIANHFYNRNFLEKIELIENKMSYHIARKK IQTINLKTGEIFKPNEINGMKLELFIFDVFPFINDLAVFEVSRQEEFSPLKNSPGSKA DCPETSRKDLLAQQKRWLLFNGAIFDNENIEIEVTPNVSYSGEGLDWVKGKKFIKSGV LSKKEDLEGLIE
I206_05592	MLNSPLTSPLWALLAFPILLRLLRSKPLPSRETIISPSEERVVL LGASSGVGRDLALAYAKRGAKVCIVARRADALEKVKIQCIDLGIPQDNILVVPADITS TEDLINVRETVRKEWGGLDTLHILAGLPSTSILMELAGIKLNRQDVDRPPSNAPLSFS AIGPDQEGLNKVATEARALSEVNYIGTILALTCFLPLLASTSKSPALHHLSSVAATVP APHRVIYAATKAAGLMAVESCRVECEGSGVRFFSFCPGTIDNEFRLKTATSQTGGRDE TKLPIKHKWEKLLLSPQRVVDLILYNLSLSPKPQPLIPYPPFSWIKYFSLPPKHLVHA PWQYRLAMMVRDTPIGWLYIEPGARRKYGLIGKP
I206_05593	MVRPSFSLGFIANSIIRSTQRVKKQPIPFELQQEQLLNQKAAKC ILLHDLPRTVLPSDIERVLKNSGAVDDSFSSSSITTLPPSLPKSPSLYRTVHITLSNH KKAIDLINSLNSKPIFTTTTTSSSSTTENRIKRQAQLTHLNSNLWISNILLKTLQFDK DKNNFNNNKEFENTFTAEWCLKSGLRGRRVILKGLPNNIKINQVKKLGKDCGILDEFE GCLKLPSSRQSQVSTYCLTTQTINDAHRLARKLHMKWYKVDLYDQQWLMRAHVHY
I206_05594	MAYTPGEATPLLGPNSAGCPSSKILSETQVYPLIHLIRVDIMAH IDAPLTYEQLLAPESTYTIVRPLTEKYLELQNQATVQFTSDSNQLSISTLSTARANLC EILSIRVLRGWSERSLPLATVLLTPWALFQGASQEVLERAKEEGDDELITQGGNALEM AIISGSKRFIRSPSCQKVIEGIWTGKIIYSALNAHALIADNYKKKPIQMYNPHKAPLL DHYRLKVPRVRSMLEYINFLILFTLYIVAIEGLQEDKLNGRELAFIIYALAFSLDKLA AIREHGLKVFSSSLVNGFDLVFMVIYAVYLGARTYGFHYHDKSALDLGSDWLAIGAVL IFPRLAFVTLANNLMVLSIRSMLTEFFFLMSVGFFCFLGFLYALFTLGQGKFALSQIG WWLLEVYFGLDASGFEHAHVFHPFLGPVLMISYALLSNTLLLTVLVAILGNTFATINA DAAAESMFRKAVSTLEGVKADAVFSYQLPFNLVAVVVMWPMSYILGPRWFHKVNVTMI RVTSVPVLLTIALYERQSYREQTLMEQFGDFAERYVGNLPRRIKAAAGFDTFGSRHDI ETVFEIEREVGDFYSGWDDDVFEESDFALPPPIDSTPTSSDDEGQTLRLGKKESSTPL SGATPTNLMFPDTGMTSSPSALEHNIESGKPPSEGVPSPRPPARRRTSSMPSQPKSPN IEIRPRYQSTAQQAQGQVTRPRRNSSMQIHGPSPLAQLFMMASPENDRLYHHGRKNSM ALSTSHPALSSMLGPHRRKSSLQPSQNAKSPPKAPLPSERIAESPLKGDDLGQKVASR LNKSNLALGRPAISPIIESRQASFSGVTSKSLVDSTASEGSITPTPTVSKGKAMPNLF APPTPSMSKGSSSTSTFDQKEDQAHSDVSLPLPDLDLRKDSPSPSTTPRPSSTTRSVR FPRSPSSSSLNPPSASTGSTTPPTRSGSPSSQLRLPSASGSFINTGPKSPRPNILKAS QVEAMQLNRPEEDRQLEIGMDKRLEGIEKRQKRIEEMLERLCRASDGSRGR
I206_05595	MAAPAVGVLPVASININYEEETERIREFLTSYVSPPRSSRRIIP SDDDELAEDEDLNEEEDDQEDENDLADNMSDLNVRDRSRSKAKYIKKLRKVANRQTEE IVIDLQDIKNFSNDHTLLYNITRNTRRYIQLFCDVVDKIMPEADHDLDHTSDVLDLIM QQRREMNEQVQNGERNEDGGMFPPELMRRYNLYFKPLRNGEVLAVRAVRGAHLGHLIT VRGIVTRVSEVKPLLLVNAYTCDSCGNEIFQEVAQKAFTPLTVCPSAECTQNQTKGQL HMQTRASRFRPFQEVKIQEMADQVPVGHIPRSMTIHLYGSLTRSVNPGDVIHVGGIFL PTPYTGFRAIRAGLLQDTFLEAMNVHQLKKQYHNMELTPELQLQIEELKEDGNLYSRL ANSIAPEIYGHEDVKKALLLLLIGGVTKTVGDGMKIRGDINVCLMGDPGVAKSQLLKY ITKVAPRGVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCIDEFDKMD ESDRTAIHEVMEQQTISISKAGITTTLNARTSILAAANPLYGRYNPKISPVENINLPA ALLSRFDVLFLILDTPSREDDERLAQHVTYVHMHNTHPQLDFEPVEPTLMRHYIASCR RIRPTVPQQMSEYIVSSYVQMRKQQKEDELEDKNYSYVSARTLLAVLRLSQALARLRQ DNIVGQGDVDEALRLMDVSKASLYEHAASRGLGEDLTDTSKIFRLIKDMATISARDRE REEDEDYEDEEELEELGMNEVRNRVLAKGFTETQFMDTVLEYENMGVLVRTANNTRLR FVAPDEY
I206_05596	MEICILILKSISVYRSVPFQIAIAAGVSFTAPGMWDALGGLGAG GAAEPFAVSAANAILYALFAVFCILAGAINNRIGLNWGLAIGAIGYPLYGAGLYTNNK TATTWFMIFGSVCCGISAGFFWAAEAAIIIGYPSPRERGFYLACWQTAKASGPIIGGA ITLGLNANRETAGSVGSATYIVFIVLMCLGLPIALCLSPAHKVWRKDGTRVVVHKHAS WGAELQAVGRLAISRRILLLVPAFFISYFYNPFVSTWLTSYFTVRSRAFSSFFTPFAG IFSSFIIGSFLDRQSIFIRTRARTAFAVIVIMLCGTWIWAIILQKRFYDNPPVFDWFK GGFGSAYALVFFWTFTGQAFQQFCYWIVGQYTTDLTSLSHHTGILRGLEALGQTVAWA LQSQAQVNHFVSIGLNFGILLIAIIPTWIVVSALDHTHEIVVTEEIITSDNKSDEGEG SLSIPSESRTPNLSGVQLPTVN
I206_05597	MIHAVLIFNTHGKPRLSKFFTPIIPLIQQSLISQIFNLISDRQI GLCNFLDAPELKFPTPINSNSILKEEEEDDTRIIYRHYATLYFVFVVDGAESELGILD LIQVFVESLDRSFENVCELDLIFHFDEVHHVLSEIIQGGLVLETNINEISSCVQAASR NRKASASSSNPLIPSVLAVPGGGRSSNRNGSADGARKWLAAIGV
I206_05598	MSRSVIDRLSGCPITHNNQSGSSSSTTASTSTSISTPPPLTNIA SSSRPRSISPASTPLTPLSDTTSMNDLPTANTSSTFLSPFTPQLQSPLLRAPSEIIQK VLSVSGGDQVQTIAKVAKVCRELRSIIYGDNDQSLWRNIYLEHYDDPRLTGTYTSIKL SSNIDWKKRVQDRELVGKLFQHGNVDNSEEANGHSELIISTLLEMYLDLPALQPTTNS SESEDSFNSPLLSKWLSSALFKSIYERNYFLPSSSLPDTKQPIPFPKRVNRKPVDPTI SRLHCLIPPMYNDESSRDREWRGHVRESVYNAANYQENNDYGPFTEDGKVDWALVDAI SSVMMCNAQEIIDTEPDAWLPAVQPMSHGIEPVRGWGYDDLRRPDNLTEDDIWDWAGV EGSWCGCYAFMDYSDWAMMNHEQLGIHIGRPQNIDLSTYHEALGDLMKLELKIYRRNP LPSIETDLPKSNLLPPIYFHGSSTPYPGGDQLTPTLPQNAIRGMVTLTFDDPPQVRWT MIIRYRGQDRWRLECVQVGGRGSKRGFFGIWSDASRAEHSPYGPAWYWKV
I206_05599	MDNTNNSPTSSSSSSSFTQPSLQPLRNPKYRHISKSAAKRESVQ MLGSIKDLQMHFASGGKVEHRPGAGIGIKGKSSLGILGEDLEGEENRPPSSLSDRRRP HPHKNIDLPRIDIEDARREAKSIIDDVRGIWGLSLPLSPSSAVNSNSSGLPSSKSLYF PINFDGDDEAHGENRTSEDIQSALVKTAQSIRRIRFLALSISHNSSNPTSSRSGILQS RLGGAGKIRSSLSTPSRPTGVPLRTVSNPNSAIMTERKTRGFKGDLLSELRKAALEVL TQLRELEESLRVSDRSKSPTEDRPFSPGTDEISGSGSGNGSGSGIFIEPDNYDSEEDE LYNPNNLATNSEDTSHLTNWEDRILSEQREYKDLDDNSWEKEARGTREGMGKWVGVVE QLFVFTHEDGKKELEDWAKDSWEGSQLEQLHSFLLSNLPLDLTLPLPSTTSDNFDFTL LSRLSDGYILIHAYNSSLLKSTKPWGFIPDEDVHDTLSSSISISSDHTTPTKGGSREE GKQEKEWTFRKVGNLTCFAAALKHRYQLPIQLPITNLPVPSIPSKLTSARPRPSIDTS LSVSTGSIATTSVTKIEDKIEFDPMIIAKQSPGWETMLKLLIGKWMSAVIRELREVYD APIMYMTRSTVDRGGLI
I206_05600	MSVKEFLGGLPLLPSPAESSPKIGYAIYALEAISIVLFAVYLYM WPYKEYTLSYRNLRGPKVEHWFWGNVRTFIKSEPMVPHGNWIKEFGPTFRYRVIAGMP RFFTADPLAISYILNHSETFPKPPQTRKAMSDMLGNGVLVAEGNDHKRQRKLLNPSFS SLAIKGMLPIFYEKSFELKEKFISLIENNEENEIECSPTPPIEIDIIKGSKKIDIMSW LGKTTLDIIGLAGFSYNFNAIQDPNNELAEAYRKMFSAGMEVTVGAILQAIFPIFQLI PTERMRTVRASTKKTQEIGQKLIDEKKRVIAAAHQDGFQKQADIGNDLLSHLIKANMA SDLRPDQKLSDAEVLAQITTFMLAGNETSSTALTWILYSLSQHPEAQKRLREEAMEVS DERPSLETLNSLPYMEAVIKEVLRLCAPAPATMRQAMENTVIPLSTPVKGRDGKMIES VNLPKGAMMMIPILNVNKLEQVWGPDADQFNPDRFLKSDSSSNDISVGGVWGNLLTFL GGTRNCIGYKFALAEIKVILFVLIRSFEFQELPSKPIIERKASVVMRSRVVGEEKAGL QMPLLVRPLST
I206_05601	MPDTSIPLTTSTSFEDVKSTEKKGLMLPPPIPSKEVKGDGIVGG NGIPGPPPRMTRLPSLKQLSDHLHYTPSSTSTSQFPNPLNTPGQSPSSIPATPPSLRI ATNSLQQQITPGGGNIQSPLIAPSPSSRLRLPVSAMMRSLSSGSNGGNSTPLETLNSP NWSSRGELNNNVDLFGNSSNQNSPISLVTPQTSILPKSELGSIPGRESAPMMSRSSST NSYIQGYSNVPSLDQIRRRISVSHGSNKSTESILPSYEVSKISQIPDSNASASSTANA TTILDSVPVNVSNKESQIESPPQSSSLTDSSSSSAESELMNGKKKKEHQLRHAWTLFF DSKTYKPDPTSLPQKEGGKALTEYEMTLLTIGKFDTVEGFARHLNNIRLPSLLNKNSN YHMFKNGIRPMWEDPANANGGKWVILFKNSSITMDLAWANLTMALVGEVLDEEDEVCG IVASNRPKIDRIQIWTRTKQDYEKLNKLGRKILEIMGLEGKDRECMSMEYQYNSNNSH PPSGLFLHIPFPINSSNKILNSISTSNKLNTINQSQIGIGLGISSSNRLNNSIKSPTS TNFSTTTTTTTMSNSNGMLEPNSNSNSNSNSNSNSNSLSQRRLSGNGITSISGSNAFT GPMGGISMGRLNSSSSSSSNLGVGGGGGISRISSPSLNLSTNPTSRALKG
I206_05602	MSRSTNSLIQPLEINSEIDPQLTQLSSSPLLANIRQSTVPSSSP FVHHQRITSTSPPPSLERNLHTSPMQPPYPNTPSPQSYGLSGTVIVNEPSSIMQSNGN VSECGSGSDVFMSQAVPKPHLKSALRPSLSHNAMQNTPSPSWPRRVMTTGSTLPTTYP IDDRLMRQGSTGIPSIAPAVNFSASASSAVSDNNNNVSQHQTQNDSIVQGHVHQQQQQ QLQSTNHHQQQQHEVNTPYLSTSNSTTSTSNTNTTSHIHVATHPHPYPHPQHQHQSYY NHTTHPQETHSQSQYPYDGYPSLTPSSWQQSMSTTYQPQQQSPYHWGMHHMAIPQKQD EPILAPGELPAPRPPMSYAALIGEALLLAPPPHQLYVSEISDSIKKRYPYYRQNPTKI YNGVRHQTSMCKAFVKLPRPFGDQSGGARKWAIRAGCETWFAGGGYHPPSSTPPSNKT PKIGGKAKATARSKHLIIGTSEDKKSRALYNGIYPDSNSSGEGPSSGPAYDGSSRPMI PYSQYNSSGMLSNGYSAPAPPPSNGHLPPGYHYVPIQPAHGHPQPQQPIYVPVWGPYA TPPQQHQEGNGYMQGYSQDSASPEQHRDQDQSSWGRNSLTNGNGIETQSNDGTQVSST YDEVKMINQPMGSPSPSHHSISRSIHSSHGASPETM
I206_05603	MSEHNEISSKPPPSIGFQSASSLLKTQNINSPSTHAPSTSSLRN ASGGQAPIPDLPGPSNIANSPSTPTRDTANAQAGPSSSSSRPINRPAASKNSIIYSSV QRRNPVLGSIRNVGVEIGDIVADYQVGTHNGVLFLSLKYHRLHPEYIHTRIEKMKGSY NLRIILVLCDVAEHQQSLREINKIAIINEYTVFVAWSNEEIAQYLVCFKSFEHKSADS LKERIQQTYHDQLQHVLTSGRKVNKTDADNLAAQFGSFANISKQPSKILSNVKGLGAT KVTSLIDAFNKPFLVGGLRKEDKNGEGIVNVAERDRQAVGSARMEGNEEITIEDIGSP DWPDEVDPEEEEEEPIRTRKRVSSPSRSPGLSPEPRIQLDDAVWQDPLDDDDDENDPG DQPSTKRTRVS
I206_05604	MAKTYLLSRTLDPILGIFTGLLAFHLNETNPRSAPAPGHTLSEL IAWKWNENKEMRYARDQEDDNEWERVTREFGVTQEDKK
I206_05605	MFLPSLRASSSKLPVILTGQRSLSSTTRILQKAPLQASAETPTP QSLLSLIGRNADTKLEAHSESWEKLNELWMKSVKLYDVGLSHKERRYLLWAFSRYSQG SNPSSFIRPPRPPKKFRG
I206_05606	MDAATLTGLVKELNAANADGKTDEVVTILKKLKVEVEPSEDLLR SSKAGVAIGKLRSSTTSSISSLAKEIVKSWRDVIEENKKKRKRDDGDNGNGKKEEGAT KRVKAESTSSAPSPAAPSPVASGSANTPEIKNESTLKKSPSPQSPQQRQPLSTIDSTR TTPRTAKTDGVDKSLRADNSDGAHDPVRDKCVVMIYDALAGDSTAQNKILTERAVGIE KHAHKATNYSTGNDYRAKMRSLFLNLKDKGNPALRNEIVLGYISTENVANMSKDEMAS ESVRALKEKLATENLFKAKAVGETQAETDAFKCGRCQQRKCTYYQMQTRSADEPMTTF VTCTNCGNRWKFS
I206_05607	MSNNPDLLHPPQRNNNPNPDHIIPLTPQVRPPFSHYQSSSTLPI TPQTPYSPQRTTSTTLEKSTELKSYLSLPPRILLTFLSPCLLPIILTISHMINNRQST ASLAISLKESMFAACNGLAKGAASIQTMPRYLAIQTNQEVIRATQASILTIGNLLMDS VTTIEVVVEFIVDTYRSMLLCTIELAIRGTLEIVIGAVEVITEGITTSLNKIRDEIQS DISSANNLIQSAVSGINSVTSLVNVNLSVPEFSIPSLDFLANVTVPTTFEDSLIKLNS SLPTLNELKNKMNEIIEIPFETLKKEINETRLEIAANFNSSLLPVPALSALSSNKAND LQNDLCTDLDTSLIDDTAKALHKLSNTAIGLMFLLLFCIWITLIIWEWRKWRTMKNTV EVVEDEWRREGKIDAWRIVSIVEHPILEKYSSGILSRLTRSNRTRTNLRWFLSYLSHP TCLSLLFISLLGFLSIQFQLVALNALKAHARENANSTVAASTNSLTTKLNAVAMQSSE EYADQYNAAIATYQKRIDDELFGSWLNTTAITLNTTLVEFYNEVEQVLNTTFGGTILF NPINNFMYCILGSKINNLEKGLTWISEHAHIELPTLPSNILILSNQSMNEITTPIAAA AIGSSSSSNEDKDEGVVGKLINHFENTLKAERMFYGIMIIMWCILFLIGLIIDTYTEK EYKGIKKIEPKINIANSNEKSFFEYPINQNESIRPFNSRKNTLGSTISSLAIPGQIFL KITGRKLSSNSKDQNQNQNQNQQYDDEKLINGNINSENYNYHSYDFIKKQNQKDKKDL KEQSNFWVNKFYGNFSTENLKSILPTKSFKNDESLLKRNEKTKTENSFEPNQIPSNSF SSNGELNELNQFNSNKNIIQGEWTMNNPQFIGRNLNELNDINNNNQGRYPLFNEKNQN QNQMIYPRPMSRASTIQVIEEVKILPKFNNHSFENQNPFNDQLPPPLPSKFDLYKEKE KEEEKLKSIISPCSIISSSSSSNKSYFAFEPKVQIVNKVQINSNSEYGKGKGTIALAG ILEELKEKKREYKKEEDLENIFDDSREIL
I206_05608	MLFPLATILLTLPAIPIILAEPLKIPLTAHHSRQYSDDLETRQS WLKGQARGLRKKYAHHLGERGQDLLKRDRYEEDFEIGRRSIGRRSSGTVELTDVGIDA SYSGQVSIGTPAQDFLLIMDTGSSDLWVAGSSCQSSSCTGVSTFNSGDSTSYATSNAA FNISYGSGDADGYLATDSVSLAGFTVTGQTFAVVTSTTAQLISAPLSGLMGLAWKSIA ASGATPFWQALAASGSWTDSEMGFYLARYRGDNYASSVESEGGQLTLGGTNTSTYTGA INYISIDSDDLDYWRIPAQAVTVQGSQVSIGNSPSAAIDTGTTLIGVPTTVAQAIYAQ IPNSAALPASSGYEGYYQYPCSTTVNVTIQFGGLSYAISNADMNLGSFTRDSSMCTGA FFAMDLSSQSPIQWIVGASFLKNVYSGFRYNPAAIGFAQLADGVSTVSNGTSTSTTGG GTTGSGGTGSGTGTGSSSAALPVFAKTSISGLLLSAAFSGALANLI
I206_05609	MAPIINGDHLNNVNEPKSKGEKSKKQHSQVVIIGSGPAGHTAAI YLARANLEPVLYEGMLANGFAPGGQLTTTTEVENFPGFPDGVTGTEMMDKFRAQSERF GTKIITETIARVDLTQRPFKYWTEGEEEEADFMTADTLIIATGASAKRLFLPGEDTYW QSGISACAVCDGAVPIFRNKPLAVIGGGDSAAEEATYLTKYGSHVYVLVRRDELRASK IMAKRLVSHPKVTVLWNTVATECKGDGDLLQSLALKNVKTGEESELKVNGLFYAIGHE PATSLVKSQLETDSDGYIKTIPGTSQTSIKGVFAAGDVQDKKYRQAITSAGSGCMAAL EAERLISEEEAEDDEIKTEDVHVPSEGYMGADKE
I206_05610	MSGSMNQFTNDEYVLAYHGPLLYEARIILAENWDENNTLLGTVG PHYFIHYKGWKTTWDEWVPEQRLLKLNEAGFAKRRALLDAQAKKNRPPSVAGSSTASP APASTAKGKEKGGKKNDSKKRARESAVDTEAAFLNRPEVKIVIPEVLKLQLVDDWENV TKNNQLVTLPRKPNVRELLEEYRQYVNNTKKGQDRGPRATALLSEIISGITLYFDKAL GNNLLYRFERAQYVEQKRQNTDKPMSEIYGAEHLLRLFVNFGPFIAYTNIDTESLNIL REYINDIMKWMIKEQKRLFVKEYESTSTHYQNMSRT
I206_05611	MALAGPRQPGPKFGQSVAPNLNVRHLCPNCRTDPPNIIEEYSKG DLVCGDCGTILGDRIVDTRSEWRTFAGDENGDDPSRVGDAGNPLLGSNHLDTVISHKD GRSGIARDLNRAVQRANNLSNGINGKTNTAVLSAVFSRIGEKCDAMQLPRGVRERAQH VYKIADDQKAIKGKNEPAIIAACIIYACRDAGAHRTFQEASKALKISKKELGQVFTVI KNAVQASGTKTGGLSDGSNNAQESTEALLGRFTNYLDLGNAVFNASKHIAAEATAKST IDGRSPVSIAAGVLYFTCVLLSKNASAKDIAGMGGVSESTTKLICKMVATKLDDVIRP EWKTEYAPGYAQLAQLGRINDSARNSRSGTPSKSLKTPVAQAQSVNGNANGEAKTPKP EGSVNGDANGEAKVNGDANVNGGGA
I206_05612	MEAIRAVLSNETELDLHHVENRDEKVALDERPIVAILYILNFLD RANLGAAKLQGIMTNLHLSTQQFAACISLLYDGYLPFQTPSNLIIARVSRPGLYISIA VIVWGIISLCTAAVHSFAQLVGVRIVLGAVEAVFFPGVIYFLSAWYTRPEIGTRIATL FMGQQLSTTWKCFWKV
I206_05613	MYCSLFNSEGSLTIGFGIIFAFFLPEYPIRAKRLLNPIERDLAV WRLEHEAGAAEGHDDTGTWEGFKMALKDPKVCLLFGIVTSNST
I206_05614	MLKDIVERPSKPPVAPSAPVPSSSSSGFPLAVHRSQRPSAFAKA RHSQAARAAGEQAAGQGKAVDVPPTLHTSQPHRAHSNSIDKLSEVEEVRRSVQDENAR RVEGMTQIERDGEVEELKERFGSGIVELMRKRKEARESTPASGRLQQEHGQGGAADQV TQGGMSESGPSSRPFEIGDTQDIIDQVSVENRRKVDSMNQLEREQEVEELEERFGGNL MDALRKRAQAKASQDKGKEKEKEKQQVSDREISLQVGSQPPISRPVSNKRPPHRDDPS LSELKQFFPSVPSEPSKLAWLQPVSSSSADSSTSPRFDLSGNILSSSEQNELPQHLGL HHHGSSPDLAGYTMQEITHLCRSTVPSQKITMMNTLSRVISRYHQGAYSEVVITEIDK HEGIKRSIELGVEILAGLSRGISVIESGVDLLYEALNGSSWSWMNGEQDEPMEFTPDR NQDLGVSSIPFEDVLPRLKELLSIEDGFSNQTVNQLMLIIRRATFLSKDSCESLCPLI PAILKAHIISRPWPPSSTSSTNPQYPSVEAMRLLRDITTSSRACAEELLGQGVYESTL RFVVTATWVNDMTPEAQQYGQELALEVLGTYTSLGRYGSSSNVVTSSSEIWRLLGQWV NGKLSTTQNGLFNVERDFIKAYFSLLEIWITCAIDPHRTTPEHDLTWAQVGALKWEDE AVHVIRQSTNNMLLAQAVATLCAWAKGIKINGVKNGEAERALLLDALKDTRLGQMIDK IVNEVNTEQSVRTLLANSLRLHRLLLPTGQLLPDQIIDSIRARFAFSVQSGDRKTTHI QYELLLLSITSPPTADWLSSALHALQAFQAGDEPLALELLDVVLKSDLRSGLPEISKL SHTDGLQVIRPLLQYQILPNVDVVVSPCQPSHLYLKATSSLRQVAQVHNEEKPALPGL PLGPDWIWSPLNELLRSGTSEAIQQTPNDWQVSEVTLVQATLILAKAYYGSQLHELDR ARIVFGLMKVHMLEHGQTSSNAVNEVEVFRDGLVSEIMHEFMGSLVKPEETDTSLTIE AESKEGGSSGALGLEKISTPFLGTGVPFYQFYQDFLELYESISFSDKLFTQLLIPVFS MEYPRDYRKLIWVDHCSSLKNIRLTLKDIPLISSEGIRSYFKPYEEDKEILTTYARAL ISGQITKEQNSFLYALAVNHLAALFWLGLEEDRDSTRVGILIMILLNGKDGVIKDLLD WNFIELSFADNEEETKKRKELVKTLTGDRGRKRVEGL
I206_05615	MPAEYTLTKDAPAPLPGIYSQAVRAGNYVYTSGSVGMAKEGHMI EGTIQDRTKQVIQNLEAVLKGSNMTLKNVVKANIYLSNLSRDFNAVNEIWKELMPEPK PARTCIGVAELPAGGTDVEIEFVAYDG
I206_05616	MRSAAIAALAAIGAVSAMPSSYASFKNALNRRADGPTDTQVLQY ALTLENLEKRLYADALSQFDEAAFAAAGYPSWVRGRISQIAGHEADHVSLLSGALGNA SVAECTYNFPYTDVKSFVGLTTLIENVGVSAYAGAAQYITDKDYLTVAAVILSTEARH QAWESAAIGNANPWGSAYDTPLGLDMVYTLASAFITSCPDSNAALPVKAYPTLTVGEG YALGSSATFTFDDPRNATNYVVFYEGLGSRAIQLDENDSATIPTDLQGIVYAVISTSS DPSAVADDNIVAGPAILDFPFNAWTANPAFSG
I206_05617	MSKIHLEDDKPTIEQIDNIDTDNQHEFEIENGELTREERKKKKT VNNQLDEAQEILSKSGHIEYTKEDNKRVLRKIDIFVCIPMCIVYCIQQLDKGAVAQGA VFDLRESTGLKGAEYSCYVSQLVCQPLSSYALVVFPVKYWVMFNFIAWSIVTICSAAA TNFAGLMVARLLLGAFEATILPSFVFITQMWWTRREQSYRTVAYQIANSMASLFGPLL AFGVGHVKTGIKPYQGIFICMGGLSLAMVPLVWYLLPNSPTTAKFLRKDDDRLIALER LKENQTGTKSSTWKWNQVWETYRDPKTYMWAAMYLCTATPSGGIGSFSGLILKGFKFD SFQTTLMHMPTGVIGIVTLWIGIWVTNKFKCRWAVIFTITLAPIAGATAICRLSRDNI PGLMTSFYIAYILAGIQPLLYSWANLNAAGTTKRVVTFSTMFVFQCVGNIVGPQVYLE KEAPYYYTGLYVDIGCWSVLCILIVSMRIYLGRLNKKQALRRAQLGMPADLEDMSIMT KEEAVAYKLKLTEHMKSHGLDEAKLYENAFDDMTDFENPAFIYVV
I206_05618	MTSNENTSIHPSDRPASQILSIEPASEITASHANLAEASPISKI DLHLHVEEDKNIPSEIIIPSLPLNPPSSTLPNIQTKVYKRRFFSLIQLSLLNIIVSWC WLTFSAVSKTSSEYFNVNENDINWLSTGFLFAFVISSPIALWILNFKGCKYSMCLSSI LLIIGNWLRYLGSKLGNNNKNNTTFGLVIFGQIIIGFSQPFVLSAPTRLSHQWFSEKS RITATALASLCNPLGGALGQLIGPFMATEPDKVPNMVLYVAIITTVICIPSFFLPSAP PLPPTSTPFNDTKITFSILKDLFKSLTFHLIAWPFVIYVAAFNSTSSLLNQILEPYGM TEDQAGINGAIMIVVGLVAAAIASPILDRFGGKFKVKLVVIKILVLIICGMYILFIFV PKTGKLIGPAIISAFVGSASFILLPLALELLADTTWPIGPEISSTICWSMSQLAGGIL LISMTSLKGNAGNHHEPDNSLYRAMILQAVVCVIVAPLPLCLGLWGTGKSAKDSQRVT STSGVSEVPLA
I206_05619	MQSSQGSGSGAGPSNSQNGQYYSLPTSLFPISGSRAAENTSDAS VIAHGMTQSEMERHQRPAHMTGIEAQGEDYQAGFFPIPGNKWAWNVAAQDQNSTSISN GTGTGTGTGNNNLANKVQSDRLARSPPRLPSRLDQNPNNSGNLDSISAFLSEMPTQAE DPTRHVLWTELIRLKTKTLELQIAEARKKEKEAELEILRLKAGAAAKSDSLESNSIRD GHGHPQNSAGPSSNEVHYNSLNQDIHSVPPSTHQTNQPSNIFNHNNNNNSLNNNQPMT PFDLEAMLSSENMDNFLSWLPDLGDHSLPDVLPLAPTINYSNNNNIPYSVNPADTLLP RSDPYTSVSGTAETRIMNSPTRRRSASADEQSAPPSKKRRGTEKKVVIEHSPDCMSCK KALGRVMIRSPKSTMPDPIKVELRCTNCFQINQPSTLPDSTNNGEKGHNIGTVETRKR MRVQLEIDDEEGKVSNRRQWCDVCQRIIGSGQILGGNGNEQESISNIAEIVCGDCDGK YQRCTDCGGGGGPRVGIGKWRMKQVFHPGRKTCSLNHIRLGDRTRELGVHVTPTDFSP EQIKEVLTRCKALWNEKTLARLAVPEMLEVDLPPGLANPLRDFGDVDDMVTRNWPSRE AMIRADGLDPNRFRRLLSLIWSHSKPRRSVRTVDLEEDWVRPEGNDDDLSTVLANVKK TNVVIPPGSELIGMWGGEWNMLNGSLLISTFIPFEGADGEDSTALCVGEMISEVQLLQ QKINAERTNQAKSQGKEPELLPPCEHLWTVSGGYIPLVRERFADILIRKRSFVHVEEY LTRHPEFVESIKARPVGLHPDIHRPATQDSESQEADDEEYKAKPLILVRWLGKEFNAA KILEIKQMEFGGGPKKKKKKA
I206_05620	MQSVNDAVLITRPQTNHPNLEIITTPLNSLNSRLNDSTTAQHVE FRVYNESNVNKGQRQRYDGRSLIDLLVISALMWSAWSYQLWDVVKGFPAHYRSGWNVE LTLLLAWIASCVLGVRYICNTILYESLTPLPGLGVQIALTRGVRFPWPLTTNRNFLLP ISTSYSFVPLSEISTVILNQALHGFSVRYYLSIVKKDGQGVIVGFNTEVKDIDISV
I206_05621	MNARKVYETPELFSGILQFVERRQFWRVLALERSSFEAAARELW RNVNYQFAKKVINEHTIEEFEDFCEAFLNNNADQVEVLILDHSLIASRFGLNKIDSVI TYISETFPNLYHLELPFSINGPDHNFIPVTQIRVVQSLTTNRLNNLERVVINISSTQF MSGRDVRYPFPLEDVAANLAALGANETCIYELRNNSPTFIGMDMSRRLNKEVHDIQKI QRLAANSFGPRNAFLIRPNRDLGQG
I206_05622	MEMYQGSSTQQPAAICALKSPVDAIHILEAVRLGIIPRVTRRLT GHERAMIRPGTVWVWEEEETNMRRWTDGRRWGASRVGGGGFLVYTESSESLSPPPSRS DSPYGQHNGYYPGPSSSRRSESLIKQTYSTTMTHPVTGKLKKFHVVAYSSKHNPQGDV HNPLPLPHQLPALASLRVTPGIWPEWEHRREQEFGATGRRPPSASGTAFIPPSQSAPV TAVSSPNGPPYSVVVPPTAYPAPSPRPGSPRGDGMFGRPFPPPPYPQNRFDGRGSPAL PPPQGNFRGPSAPYTPSYPQPPRRDPYDDPAGYPGRHMSVPPMYNNQPAPGTERFHPY AMQSRNPNRVTSYPPSSGSSVSSANLPMAVPTTPTEGAGNHHLIDGVSSRGSPTQQYP FAYSRPDSSHGRQSYQDTKPDRLSPEVKYRSQLDGNSDPRRYPSSENTLNGGHSRQVS NASRSGTENSPNQSGRLQPPSNSNDLASASGRSPKMSISSTLLNHQGHGVSSNSNSGP GLTLPPLRTTLDERSNGNNTNNDGVLPNSGRISPGGGVVGRKSESNSPNDVKKDWGSG EDARQLGELGRRVIL
I206_05623	MTQALFVLTRFLVDPLFGVSFSNSPSHPFRIALTTINSSQNNKL IVVDHEDPYSRHNSHQPHHNHHGHSHSQHPAGFQQLASTSLGFPATKVGWEPRESLGG IGYEDNGGRGELIATSGDALRIFEVTKEWNSDGIGSGYIGKNGWSDAEGSWSVKSRSM LTNSKTPHASLPPVTSFSWNPKAPESIVTCSIDTTATLWDINTSQALTQLIAHDRAVY DLSWLPDSSDIFVSVGADGSLRAFDLRQLEHSTILYESSKDTPLARIAFSKKEQHMMA CFGLDDNKVLVLDMRSPGQPVAELVGHTAPLGGLAWGAPGRGDSTGGGWIASCGDDSQ LLLYDLTQPLPESRPSSTKPSNQNSRTNSSNPYGTLSPPITPNSSRPLSTGNSRSRSR AESSTTGTSTSGEPLEILPVKAWNANNEINNLAFNDKGDWVGCVSGTKLNILPL
I206_05624	MSTTAEYVAKFPRRKQLLETVEKYWLDEAKRPSGSLDSSLKKHT TLLNRLKSSLLIGPTETLVKEIDGLTLTKYLEEIVAAVVEGSTKKGDTEVAVDVIVHL HSRLTPEFLPLLLQPLLAILSTTSTNVSPAVKDAEKDKEKEDKDRLSRQRPVLRIVAE LAMIAAWAEGATKGASEVGKLLKGFMTNDPQFTNLPLISTFLKYFVRAYLGPNIGAVQ NGQANGHIHEEEKLPQDVTELIPVEVQKKMRDLFENYFNSASKTLVKGQIRLLEQDRR NHEAYIKSGEIFEDRQQAYEKMTRAVERLTTGVTTLAELLGLTAPTLPTAASLGKSGL QIVETASSFTVREDGPIAGGIWDDEEERRFYEELVDLKEVVPSGLLGIKESKQASTDG LNEHLKDTSIEEKQKQKADEEDLQRQLEQMELNPDRNLSVADEQAQDMSRTASASTSG EPAQELLEVVEEEEAVIQDTSVGEDDGLQSGPAARLTALFSALPEANNREVVDKLAVE FAFLNSKAARKRLIKFIGEVPKQRTDLLPHYARFVATLDRYMPDIGAGVLQILDEEMR YLQRKRLVRELDSLRLKNVRFYGELAKFKVAKPYTILHLLKVFLDEFKFNIENIANLL ETAGRFLLRFEGTKETAKKMVELMRRKQGTSHLDQRHQVMLENAFYMCNPPERVAREV LILSPIQSFIQHLLHDVLMKRTLDKVLKLLRKLHWEDAETYDFILTSFTSPNDIKFGN IPFLAALVYDLQRYHPAFYVSVVDQVMEDIRIGMEENIFKHNQRRTATIRYLGELYMY RVVGASVIFETLWSLLSFGHADPFPIPGRESPIDAVDDFFRVRLACTLLDTCGACFDK GSQARKLDHYLVMLQLYVACKTEIPMDVDFMLTDTLDALRPKSPRLKTFNEAAAKVDE ILALGAQEADDESEDGSEEGDRALPEDPIESNEPETTQISAEGNGEEEEEEDVVLIRS ETKNERSEMDEAAQSEFDREFAKLLADTTDTRRDQRKNAPPVFDTAVPLIRKRADEGQ KPNSNDKNGNIGIDNGVEGKMQFMLLSKKGNRQQIRSLDIPVDSTIAMNSRSHQAQSK AEQEQLKRLVLQNERRLERAEVQDIETRGVRLRYLPS
I206_05625	MSNKPTYAAIVNPNTASKSGESGNGRIGSSSGPSDAPPPYTEPS HNQIPHQTILPPSSSHQQQGQSWDIESARLSNGWTPPPSYAVARSRALKRFWSAFFWA WLIWICIGLLIGGGVSDISHDESRGHHGHWDKNGDWHNDKGGVSFGNGAVSLMVKAKD NAVGLLPDFA
I206_05626	MSEMHRSRSKDGSIRSDQQGWDLDMDIVDERNVEDSLPSTPIEG PSKNRKYAAFVSPDNQDEHSEQDDIKYSTPEPIEGERPHPEIPLDEDHNDDTHTEEHH IKDDDTSDKTCRICFSGPEEEVAMGRMISPCLCAGSMRYVHVKCLNAWRGTGTNAKAH LECPQCHYRYQLRRTLISGLATSRPILLLSTGLAFFTLTLTLGQILHFGLHHSPTISR LLLSRQSRTSSMFDFFDDPFTGINEGPIIIVGGGIGVGGGGGSLIWDIFIYAIQTFLE ISNNFINYKNNYSIFKNNSKINNLIFEIIIRNLLGIAMLGSISFLSLIITLPFFGPIQ ILNNLRGFGFLGRRFSRRINNNNNNNSIGSIMIIILVLIGVINTLIQFYNLVSKLTFK LLLYVETQILEVNSEEIREQRKKKEKEEKEKRKREKWYIRWIKDGQYKRIEGWKEVFV RFSLVIDRWFEILRGGGGGENDHEE
I206_05627	MNPFRLIQPLDLTRYPTPSSVSTEASNPMQVPISHDRGKYLENF DQIAHQSSSSNPFWLSPPKPIQPSHSAPPSAAPFQPSFSFGVNDSLRTPIHTHKRETS AYNQPLQQAQAQTPALPSHTNSHGVFWPPQAFTNQSFESPYQTHQIHQAQPKQYRPSI SHGHSNSTPNFFASPYQSYQSCYGSPFQSQSQLSSTPYHIPLELGSTPPNLELPLPLQ KNDSDIFASPEGTWESRSPYSPPAYDTSPFSSPRAKEKRMKSKDNLIKGRKHVWPSSL NTHMAVHTGAKPYMCSRADCQRRFSVSSNLRRHERTHELRAEKDRQTYTPSRLSQSLP MNDETPIIPNQPLFPQAPPCQFNFYQPFSTPSYSDRLPHPPAPQSGTSSSSTASSSFD GYASIGGLAQYQVVASRKSMNTGEVPPKSGDMGLTGLDLEMDTKAGLLLT
I206_05628	MNLPSINLGESSKHAHSSDKMSPPEPPLHHPSAIRRESFHYSGE RPHPPPFKSAYSYSNPSTTTLLRTPKDFELKNDWQSSPQKTSPNSDKPLDKEELTTKG RKRKRLAKACSACHKNKRRCDGFAPCSNCEFSNRPCLYVNAQGDPIPPPRTRDSSTVP VRPPKEDGKSTNATHERKPSGESNWSRRESLKEDAEVSRRPSLGPIQVVEQNSSLSAE LIDLFFARCAPLSTMFHSPTFHYRLYMNQVSPILLDVIYAFSSRLCENPVFQSTFSPS QPAHLRGEAFVHRAHGAAQRMIEIRTSWTEEERRMDRGTWQETELAQACYLLSIYFSC LRQPKLGLFYLDSGIDILRPTNSAYIPPPATHLNLNPMEYNTLMETRTRTFWLLVFHD LCAAANGRLRRYTESDLSNIPLPANESHWNRWGGNAIGGREPGRRDGLIAGSGNWPGE EGQIGELGHVLRILSVFADIMTLATDAKGDRSEPKQSLASRYESALKGWASSLPRHLH FDEHNLSAAVHKLSSPVAEIKSTGWMYAYMHAVAECGMFYLQAAVAQYTDGAYTAQRQ SQAVDNLTVIMDTIGKSGREGCCFLFPLFVVTNWQEHLKMSNLVVPGPQQVIMEDRLA MWWNEMKFEWGTDRHELLQRGFYSLNNPITVPPALATPRYSQSQPTIELPSSGLGLSL YQTSPIRQMTETGSATSATSPVSTMSISTPHMSSYSRFSSSSVTTLPPLRPRAQSSAA SMGFTYGKRTPSPPITRDVRSNSSLLPGFSRASDRSEPISLPPLSADLSSHGKFREPS LTISSPRHHPYAKSPRMSWAGPTTSSVIGKSAIGGGRKETNHLMGIAALVSAAESESE TERERRTMTTA
I206_05629	MSIATKYTKALKEAKDLYFENNENSFKSWKEANYYLPGGGTRSS LFVKPFPIFIKNGKGKEIFDLDEHKYIDFLSDFTSGIYGKSNSILKNSILNALDNGLQ LGGHTQTEFLLAKELCSRFPSIELIRFANSGTEANILSISIGLEYTKRKKVVVFKGGY HGSVLSHFGEDHEGGDLKVPYDFLICPYNDINGTKQKIEQYKNEIGVILVEPMLGAGG CYPGTPEFLQSLRDLATEIGSILIFDEVQTARLSIGGRQKILGITPDMTTLGKFFGGG FAFGAFGGKKEIMSLFDSRNPGSIAHGGTFNNSPLTMISGLTAIQEILTEENLNNLNK LGDEFRENLNNFTLINNIPFKITGLGSINQIHFNKLNSDSESNNENEISNLNSIKEKD LLDLIYFKLLEKGFWISQRGLISFNFELNKNDIKNFENALLESFIQINELFIK
I206_05630	MRQLRPVEQQWLFSQKALENTPSLDDGISLETELKRRKITIEYM RSLALRANALVHGDDAECLHLRGSLVVGSILVHRFYMRKSFKDFEETLIAPTVLFLAS KIEEEPLKLRHIVNVTIAKFENGAKGWYPDNNPHEQPTREYRNWEKSILATEEILLET LCFDMGIEQPWNILYNSVIGLDEIISRVAMDKEKIGHMNGNENENGNGLTNQEMLNQS TIKELGWTLLSESLLSPIPILYPAGIIAFTTLIFLISIIDQVPLSESTICASELSERF DLDIKRTDDNLGVQGVDLDMIKACLADILKYMNQGLIDDGLIKYVKAELNEERKEPYR YRFTIKSPPRSSEVKGENVKNTTTAQEGQAIGIAMTSAPDVLMGNA
I206_05631	MRQAFLQSIRRFNSFSTNPINQNKNSLSSKISNLRQKQQQQQQF NKMVTQSAASTSNPSELVQPINKTAHEFDKTTLDALLARRFFFAPAFEIYGGVAGLYD YGPTGSALQANVLNEWRKHYIIEEDMLELDTTIMTLSEVLKTSGHVDKFADWMVKDVQ TGEIFRADHLVEAVIEARLKGDKEARGVKDEPVVEEDDKKKKKKKNVKSVAIKLEDDV VADYESLLAQIDNYTGPELGELVRKHNIRNPATGNELSEPVEFNLMFESNIGPTGQIK GYLRPETAQGHFVNFARLLEFNNGKVPFASAQIGRSFRNEIAPRQGLLRVREFTMAEI EHYVDPLDKRHARFHEVKDVTLTLLPKDVQSEGRTETTRMTVGDAVAKKIVDNETLGY FLGRTQLFLEKIGIDPSRMRCRQHMANEMAHYAADCWDFEIQSSYGWIECVGCADRSA YDLTVHSIRTKQPLRVQQKLDQPRKVEKLDVQFDAKKFGMTFKKDATLIKETLLGLEK DKLQCIKDELASGTSSVRCADGKSYDITPDLVKIEPITVTEYMREFTPNVIEPSFGIG RILYSLLEHSYWAREQDKARGVLSLPSVVAPIKCLIVTISQDAELRAKIHEISRKMRR IGIASRVDDSSASIGKKYARNDELGTPFGCTVDFATIQKGTITLRERDSTNQLIGQVD DVIEVVDQLVKGTIDWSGASAKLESYSGVQDVEE
I206_05632	MLSSAFPRIVSRVGAGVKPLSKARFSTSSSNSTPFLASKTAITS STLLAGGSLIWYNHLYGLNSLLPEASANSAAENGMHPTKQPFEHYGPFETFNHASIRR GYQVYREVCSACHSLDRIAWRNLVGVSHTVDEVKAMAEEVEYTDGPNDEGEMFQRPGK LADYMPAPYPNEEAARAGNGGGLPPDLSLIVKARHGAADYIYSLLTGYCDPPAGVKLA DGMNYNPYFPGGGIAMARVLFDGLVEYDDGTPATTSQMAKDVTVFLNWAAEPEHDQRK KMGLQALMILSTLTAISLYVKRFKWSYLKTRKIVYDPPKPARHGPL
I206_05633	MSTAISPAALVWSLRHIRKLIIDFLPEKSLRTVLLLSSENFSDG VSKLYEVMEDDEARQTRYRSKNIARSHQYIRSIRHIQGRPPVGTCPFDINLFVDFPNL QTIGWVPNTLEIRRPITPAIPTKAILISGCHVVKLTSIEDNLHKNLSIVREGLPEEWD IEDRASFIQINGDSESGSSQDVNEFFAMWFEARGLFSISIDVMYLDFLMPTQQIVDAL PKLVENRRPMPKSLFMTINNQKSTTLLTVILEELAPLLEGLTLRRLKANRKLHGLTAN TLEEFFDRPLKISQESKLRWLAVPLDIEPEFETLQLDKRLLNITPSRITRPCYPRLEE FTLDLKVSKLNSSENRVSNIIRQLPTLSTLARAMVTIGGFWCFYRLKISSDVFSIAED NLLGETLNTLLKKEIAELREAQNVEVGWRKLEKDERT
I206_05634	MKAAESVWNLRHIRSAILSYLPDDGLAKTIILDKENFVESVKIL YETVTPRSFSVLDEKGENLASKCFKLLGMIFCPAINSKSLRTHPVIFSDHRLGLDSTL MPDGHGETLEIIYQPDIFAVTGKTQAVLWEDYDDTFLVYGGDYLRDISETDYAEVNEK WDVQRKIKHAFADGQREQDDIDGEIYDFFESWLDEEGLFANEVNSLDLQVHMRTETII KEFRKLSENNSPMPKKLQLVVNEDSSDIEYSLIAAIETLSPLIEDLHLGRDSILEDDE TVIRTTVRQFLTQPIRWPPGNLKLKTLRFPLMIITETDSGKSNPQQLDTLAGLMDEQS PHLGLRSLTIDLDFAFQDQSLDDVKTHMPRLSNLARKLIRIGGTGCTYSLNVRVLKEG NKKGNEELLSGLLTEAVREEMVKIWGEEPTCWRRLSSAERI
I206_05635	MRTEYEQLAGVNSAPGLGVIAIRLILGAVEAVFFPGAVYLLSKW YTRQELALRMSIFYCGSITSNGWGSLIAAGILSHYKQWHYLFYIEGGLTIGIGILSIA RSRANRSFYNWILPGFPETARWLSEEERSLQMARMKRSAGQEDVGDVTVWEGCKQALI DWKTWVMALILAAQVLGLGFATFFPTLVGTLGYPRVSTLLLCAPPWMFACLVALAVGW SADRRQERVMHIMGPVLIGIIGAIIAMSTMSTGARYFSLFLMASAVAGFTINFSWFAN IAGETSPAKRAAILAITNAFSQLGNIAAGYCWPSKWGLKYRQSFSISLGAFVFATALA WGLSFHLRSENKRLADKYRREHGLPDDTQIPPRYLI
I206_05636	MQTNATASSSSPTVKIPAIRRPWTEEEDAALIAAVKQYGSARGP GSAWGIIAKAVGGHRTNKGKWTMEEDDTLKKLYEELGPQWKEIEDALLLQLFEEHGPK WTAIADYLPGRSPLACRNRSRKYLNTSVDQRDQIVQGYEPGGDQTNESLHGKTSEESE SIDVLLNWSFPYLTDDIQPNSANPPEPLQFDYSLCQSSAPDISRDGPAQDAPERPSPS MQGVQQIFDASDASIPQGIDNTDYDQISQWISSLGSDLPSMGQLHNISPSNQNPADMQ TIPSCFGVHV
I206_05637	MISHYIGILLYLSLALASVTPQKPFSTEAQKSSLLSPRLHSRID KLRAKWGAKGITIGLAAAPNSTLPGFDSNDWVLETLGFGEADKYGNKVDGDTLFAIAS NSKVFNALSVALLIHNNTVLPSGEKLEWSTKIKDVLPEWKLQDQYMSDHVDLLDLGSM RSGMPRHDYAHGFLPPSEIVAKMRYLKPSTELRRNLQYNNNHYVALGLLVERVSGLTL PEYVQKHIYDPIGMSTATYNSTQAAKSGHRSDGFMNSVPNLGICKQNLEDSLERMDEK CLGIHGNLNWWTDGDGLEEAGPGGILLSANDMKKWIKELLHPTVLPSSVVKMVTTGHT VYDGQPRFPQYGIQTYGIGQIMYTYRGYEIESHTGSVPGQMSTHVRVPQLGFGFMIVV NQDNFGTFLHSVIQNEILDEVLQVNKEDLVDWEKIIGLKMVESLGNEDRVTKPEHPKY PKGIDIEKLEGHYADKAYGDLNLVKIELPKTESHGDDSADLMSKVSQKMNITGPIYLA EVNKVFNTHIIFTHFDDNLFNWTNVYQKDNYNSKNEVDGKITTVQQIGTAVFTEDGVG MFGGYWGAGSAVKPAEIDQNDIKGSCEVWYCKLKT
I206_05638	MSQNATKFGTLDGQDVLEVRLKGASGIEVGIVTLGASIKDLQVS APEGKRHVILGFPSFEDLLANKGGHWGAVPGRVANRIAHGKFTLNDKKYQINLNEKNT HVCHGGTSGFGVRNWTIKEQTPKSVTLEYKSVDGEEGFPGNLTARTTYTITNENTLRM DWSATTDQDTIINLTSHAYYNLNGTKGDNTAKHSLLFDADHYTPVDDALIPTGEIKSV EGTPFDFRQSRAIETSDSFHYDHNWVLRDHTGGLRRAAELISSEKDLKMEIWTDQPGI QFFDGKPLNIAQPGHDGMKIGSRFGIALEPQIHPDAINHKNFPNTVLKPGETYKHHSE LRFSQL
I206_05639	MPPRSSKKALTLCLFQSLAGVLFGWSNSEGSGLFNMTSYQNRFG ECDASGLCVLSTTRQSAITGLLSVGATIGAVGSGTVADRFGLRLTCLIFIFIYLIGAA IETSAMQTYGQICVARLLTGLGVGATSGLVPVFQAEAAPPRFRGLVTGSFQLCVTLGI WGVAITNWGMSSYAGDVSWRVPVGLQMAWAVLLLVGFVLSPESPRFLAKKGKWDHCRK NLANLRGLPMDHPDIETEMEEVREATRKDHEIGDASYLECFSTKDRILWRTMIGVFVQ IGQQITGINFFFSYGVQFAQTAGIDNTYIFQIILASVNVLFSFPGIVAVDRAGRRPVL LVGAALMFTGQIVVGAVSKAHPDSKVAGDVLIAFTCLFVASFASSWGPVAWVVCGETF PIRLSSLCVTLGTGANWLFNLIIAFAAPQIQSIIGTGITFVWAGCLALSFTFAYFCIP ETKGMSIEEVDALYLSRTPAWKSSNFKASQATSQKLASEKAYARSTHREGEQGKHSQY TSARTSAEGGASV
I206_05640	MSLYSEDDLGGYFLPDDNNSTAPSSSGDPLHALTAALALPSEST AQQDGLSEAASKFEGNPSKLPELVPQLLGLISDGGDSMLRFWTLDMIALAVGRSGLKL DIKMTVAQQCLEALVKLLNSNSIITIKAVIPIFSTIYPLIFRLLATSRPPSEIVELFN TSKMRILTFALDPNAQPNNVGIRAVSWKFLQKVVLAGTRAAGADPRLQHRATNLNDVN LSMIQPNCSLNPAEVEEEGSALLTQLVTHLYSLSDPALLHPIINSLPILCKSRPVIAD ALISSMTLWTPSALGAAGKQPMEIRAVEKTMRIVMSHLLRHPPFANFSARLNEALVRQ KQRMESAFIAEAQARKERRKNKGKHAMDEPVAESSEQAQKRARLEMRSGTGVGKGPEV DISQLPVEQVIDAVMEGLDVVSLELLNTAFENARQAIVNSSPEAIPLLASVLGVDKVE PKDEDLDEILNPLDMDLDDDDLLLEESDEIAGEEEIPVTFTSFELPLPEPLDAEDKTY VLSTALQRIWTTGADLSTLPDPKEETDAIKLAVKPKEMWMLLLARLATRVNGDVDMDK ERRKAVADFVVEDFVNRSKFASIWLNEEWYNSKISRSLSSSYEDNLLSILTTYLPKID SKDKTLFAFISDLPEIPPQLTQLLENLCQDVDRNLVGFLALRDIVETRPPARKLALDS LLSLCTHPERKVRVPAIITTVRRWGPDSPMMPTLVKYALGTLWRLRSDSPLKSKVKIE DPANVDEEDVKMEESNENGNGEDEIERQSDSETKDEPEKVESKYLEEVSPETVQQHVE LAFALTRRNQQLLDSIFQLYPKLPSTEIQDALEAQLMPLIQSLGPTEKLLEILKRYSA GTEKLIMRIIGLLSSNGSNAALIGVIKSLLDDEDKEVEGKFVASVVGDLDKTEIEKQL PRIVSLLANPEDKDLVRTAFASVLGKMTPADLMVALHKDESQLKYTIEAIGICFSMTT VFRSDVLANSMSRIAELPTLPVVFLRTIIQVVTTYKSLIPFVANHVLPKLIAKKIWEN KPIWDGFIRLVKLISPASFGSLLQLPKEQLREVITKQPTLKNGLRSFIANKPGSGNKN ALAEIFGDESPAPAQTSVATPPSTQPQVQTPIQTQ
I206_05641	MAANSQGIQTLLEAEKEAAKVVQKARQYRVQKLKDARSEAAKEI EAYRTQKEDEFKKFETDHTSQTSSSQSSIDSSTTTQLSDLDKAVEKNKGDVIKKIVER VLQSEPKLHQNLKKIEA
I206_05642	MSNNNNSGLISILTSLFKICCGSSQEPTAPQQHQQGYPQQQQQQ QQQGYPGQYNNQQQAYPPQSQPSWANVAGQHGSTGGIGGGGEAQSYYNQQGQYPPIQQ PQPHHAQNQHYQNNYQQHQQQPQQQQQQQQHWQTNGHGPSKPHSPPGGVVGPHHPAMN QDQINATNQRYTELRDKARKEGDEAHRCFAQSQQAYQSGDGERAHELSVQGKSHQKKQ DDLDDEASSWIFNENNKSSPADTIDLHGLYVKEAIEKVEFAITSSQRSGLEELNVIVG KGIHSQGGRAKIKPAVEELMAKYNLSAHVDPENTGVLIVDLQGRGGGQRSRDAGGLVD QLNKDDEGCKIM
I206_05643	MQTSLPIDSSAAPSVEDIQDRLPSICVDYLSHDWSEEDVWASWR NMTRHKHEIANGVRLENASWRTWQKQRNKLKTISPETLNWLKDSDVTWLYGPLHTASV EPVRPLKVATTDERYGIDRPNPKLPAPVLKKPILKHRTLSEMLTGPRPSSPILEATTS RDEMESDDKDIDRPMLLQSKSDTNVMRQRTQPVTRENPPPRDFNLDIATSPGSVTSPG SNAEGGKKHISFNTFVEQVIALDEPRNPDTNLDSSDDEMLEMRSSSYSSSSRSSRPSI SRTNSSNSDHLTIAKIAPTSLKTLNLPGSSGAMIYAPPPEYQSPGNNSQASFDFPSPQ VDKHTGKWANHDEDEDEFGSVGFDYFGGPNLSGNNGTSDDDREKSSSAQPIPSHSASP SYGKSSAPTNQAQVQSPSASAPTEPSSVSSNSSSSSSLNVLSPPQPSRSILKVRSPQT ATSTIPEPSSPPTAYFNYTPSAATGIGGMRGSATAGGPYDYSTPGHTGSPQTSPQVPT NAAEEQRGRGRTPSRDRGLNDRSTSRGTSTSSTGSFGSASSARSPTETPQSSSSPSGS QHVLPRKASSSSSQLDRVQEGVSWQPSGSSVEKDKEETNYVPDRSNTPTPHSSPQISL RPLKDTSPASLPHQSTTTSITDLPKADPPTTISPTVSRSVPSSSTSPNSIPVSSTPAA PPNNPRSNSGGKATIAHVGTNAQPTLSNQPFQLNDEDDGASIMGRAANIASTAKDLLG ALWYGNEGGAGQGGNTGSATRGHRRGASLG
I206_05644	MGMSTTPKTILVTGATGPQGGALIDTSLSEPNIESAFRILALAW DPHTLCAERLLSKSTPTVKQAFGLIDEAINHQVKYFAYTSGNRGGEKSSWGNETPVPH SRTKHHIERYLSEQINNSKTPTKWTIFSGTMFYENLEPTFSIKVFMSAYKDTLGSHKI CQ
I206_05645	MSRSILVTGATGKQGGALIQSLISTPANNFTILAVTRNANSSGA QRLQSKSKAIRLVQGDLDKASELFVNAKKASPDGKIWGVFSVQGVAMKSHDDIINAPE VKQGIAIIDESIKANVETFVYSSVERGGPEKSWNDPTVVPHFQTKHMIEQHLVDSTKG NPMKWSILRPGIFMENLEPNFGTRVFMTALKDTLEGKKIGWISTYDIGIFAAAMFRDP VKYDKKAFSLIGEPIDFNEISTIFKRVTGKPFTPTFSFLGSLLKSATKELGIMINWFG TDGFKGTDIKVLKEIHPEMMDFETWLKTKSNFVKRS
I206_05646	MPTTPYIPWNLWRKIRDIALVILAAIIALDVVGWDVREKVGLSA HSNPYLQPGYLAYSQWNPHDNRWRPFTGSSPPALLASLLRTSWSIRPPSFPSDILDPK GWWEKDVGPDGKEWEDVSWARGTTVLLIGDSVGRYQVKYFCEMAGEPLREMNWTHPFS PHQIVGEEAKAEEDRVPESLEEIEKYQQGSSRDVPRQPPTQKTSETGSSQEDMKAFDV HSTLGKRDEDARHEGDASGYHSHYCHIPGIDLMIVQIFNYGLDEKNFWAFREDYIPPY TIESRISLLALPYIRAMGRASTAPELTYVGSALWDTTRWMREDAADGKDIGDALAQER LNWYRTRTRQAIMHTRHVFPETKIKWSSHHYRMFSAFVYYTQTFQNLCSRCKGRKLSS ELVHNCIALRAMFGPQQKLNRLSPLHEASMSAIEDLDDATSEERSVLKQVDMNMWGRR MMGMEDHQKDDLHQKLLPGGYLWADMMLWDLREAVTKRWWQ
I206_05647	MGSFQSKSESTVKMSKKEYLKVEGEKIVLNGEEVILKGAAIGGW MNMENFITGYTGHEHQVRAALKEVLGEKKYEFFFDRFLEYFFGEEDAKFFASLGLNCL RVPVNYHHFEDDMNPRVFKKEGLKHLDRIVDICAKYGIYTIIDLHAAPGGQNFDWHSD NATHKASFYDHKDFQDRTIILWEHLAKHYKENTWVAGYNPLNEPTDEKHVRLVAFYDR IEVAIRKIDPDHILFLDTFAADFSAFGKPLPNSVYACHDYSNYGFPSAPELYTGTKKQ VQIHKDNYERKTEYMRKYKGPVWVGEWGPVYQNAHDGLPNWEKINDSRFDVLNLQLDI YDKARASWSIWLYKDIGFQGMVYAGEETPYVTLLWDFLQKQKRLAANKWGADDSEVKD LFEPIVEWLSSNAPTFKSRYPAKGGVNDSWNTKGHLARLVRNILLSEEMVKEYAEYFR GKSMKELDDLAKSFHFNNCTQRTRLNEILKDDSKRSEKLISTSTKEKV
I206_05648	MATKVAQKRLQKEYLAMQKAPPPFIWACPEEKNILDWHFIIRGP PDTPYEGGEYHGLIWFPSDYPFKPPDVKMFTPSGRFETGHKICMSMTSYHPSTWNAAW SVATILTGLLSFMLSDEITAGAVKTSEADKKILAKQSHAFNIANKKFREIFPDYNKPE MVDLPDMGKGTPSISASKVVTPNTDTPTPAPAETTTTQIPNPTEAKPIIPLPNRPRAG SYWMPSWRWVIAVVLLAVVGRLSSFVGL
I206_05649	MAPGKQPTMEELAERIIYSDRYSDDRFEYRHVILPKAMLKYIPK SYFSPDDSGLLRILEENEWRGIGITQSLGWEHFEVHG
I206_05650	MKYLASYLLLQIGGNASPSAADVKAHLETVGIEAEQERLDKLIS ELEGKDINELIAEGSSKLASVPSGGAAPAASSGGAAAAAGGAEAAPAEEKKEEAKEES DDDMGFGLFD
I206_05651	MSSRPPIVRRRPLTSASDNAPSLPEPAPSQQSTIRTPLSPIYVA NSVLKHSPPEGTPSPLRQLERGWKEKRRLHLKDASLRAQTNSVRFAITSSTSALLTPP STPPPSQNFAIIRKDANNPIDTVHTGLSSGSNCTINPEQPVNSQTATSARNTDTPHHI RPILSYPLPSTSCPVPLIKGKKDTINVSSPGSMYEVILRLEEGRVVKVRRGGFIAELF MKRKGQIQERLLDMKDVGKWTETEKRDWQNISDVISDFKRMTIRVKMFLPLGHLRITC SSPPDIILTFQTDSLSKGYLAPNADSKTREINQPQVRDDNRWEVQVRMLYSRYRNELK IDTYTYHARKTYLNRGFGCLKTKRTIPLLQQDDMLRRQPTAKDVNGITAEDVANTIGI QRNTEIAEWKEEEREALKRLWTLRHEWERWDDF
I206_05652	MSRHLARPLRSLGSSSRLISRAASTQAESSSSSSSTNPSDLPPS MIDSASSSSSSTISDTSKPSSGLERSAFTIPYHPVPRLPNFPNTHSSPLHKHYNHPTP LFNPPHPSKYPTTLEDQNAARKEQKLSAISGLSRDELRGLNRFVVRSRKVQHMTKKGK MGVNQAYVVVGSPERGLVGLGRGRGHNGAAAADAAFHKAVLSMDYVNRYEARTLWGEG KDLTGKWGAAKVHLRARPPGFGLMVPPMIHRLFTACGIKDASAMITGSRNRPDVLKAT IQILHGGGNPSGFGTGIFGKKGPRENKGQGMRSKEEIERERGRYGVDVGRRT
I206_05653	MFKTLLISATLAQTAFAAVQSIGSGGQCLQVSGTPAENSNVILG SCNSANSQQTSTGQQWVISSGNNANGVQLFGTSYCLDAQTDPAAGRQVVISTCKQNPS QIWYLTADNRIAITGGTQCLSASGSNAQTQNCGPGIASEAWTPTFLFDETSASASSSS NGTSSATGVAGGVAGGAASSAASGASAAASGTSAVAASGASAASAATSGAASGVSAAA SGASGAAATATSGAGSVAGAATSGAGSVAGAATSAGGQATSGAASVAGDATSAGGAAT SAAGSAAGAATSAIASAAGKASSAGFNKIALAPLSAIVGVAIGAMCIL
I206_05654	MLSTYALLPLVAFFSLTEAGPVNRRTPASTAATSTTTTRATGDL QTYNSGIGSIFAPAVTKSGNYWYSSGQQYNFLTEALSGSCYYQMNQCQLKANQQGNTP FAVSDCNGWQIQACLNSGSGSGSSSSSAASPSTSSSASSSASSSKGSSSASSSSSSSA SSAAASSSAASSSASSSKASSASGASSSSASSASASSSASSAKASSSSSSSASSASAS PTTAPSTTIADNFQTFNGAAGGVVAPAVTRTAFNTWVQSGNSYSDMYTALQQSCYAQA SSCSSSSASFDHSVCWNTQVSACLSNASTYSSSYSTSVASANFAAATMARTVSGDLQT FTGALANIPAPAVTGTQYHWVEAGRSGEFYNLIDALRQSCYDQQDKAGAAGSAVNWAF ELSTNNIYNVQINNCLTNANNVAANWSPNSSSASPAASVSSSASATSSASASKSASTS SSASSVASASAAATSAPVSSTIDAVAQSAIAYSSSVSASAYAASLASLASVASAASVA SHESLASLASVASVASVASVASVASVASVASVASVASAAASSSAAAAAASAAALLPPS GWSVASTACIAEGSRGRALNGASLASSDMTYQKCATFCEGKGYNIFGIEYSQECYCGA NLSNGASLSLPSNNCNMPCGGANGAICGGSNALTLFVKSSALSAGTWSSDLTTVNVAL PIGWVAASSTCIQEASSGRALAGASYYDDNMTIGKCLAFCKAQGMQWGGIEYSRECYC GNDLVNGASLDKTGSCDMSCPGQLGTICGGPNLLSLYKDTSLLYSLTKVGDYSKQGCI QEVAGRALTGASLVATDMTLEKCTSFCSTKGFAFAGLEYASECYCGNSLVNGATLGAF STQCNMPCAGNSAQNCGGPNGISLWSTLSGNALVGA
I206_05655	MPASQTAFFPRPTQINPLLTTQHIADVNTISSFLSSPSQLFDFE DPANTVYVLVGSAILPTLKATFDHITQCQHPVTLVLSGGIGHSTSLLHDAVSRHKTYS SISADIQGLPEAQVFKLLLIEFWPSLRGSLDDGSVKLLIDDRSTNCGANAIETKRELD IHKIRPKRLFIVQDPTMHRRTLATFEKVFQDAIRTDGLELVPWSFHPKLKLSNAGLLS WDIQCPSIDKAEDFELWDSGRFIGLVLGEIPRLRDDENGYGPKGAGYIAHVDIPKEVE EAWSRLTLELKSQR
I206_05656	MEGHTPAPASASQGSPATSSEVEALKDRLELLEKHIVRLVDIVD TAQTPNGSAHKSSPHSHSIYPTPLSHQAVTTPASAPSKSTAIDKTFLALDDLSRGYTE SPGNDLTNHSSIRSDIPRPVDIWPSVFKINESTLSDTKTSQLIYEIVSVVPEDQVVSV LVDHHLTNDGIFWHFVQENVFKAELSQFTQARHAPSLILVDPAWLALLIAILRVSIQS LLSDNDLAATLLVGNKAMLKLMDRSLADAFETAMYASKVLHEPQVRILQALLLLMGPQ QLGRFLDTNTEKGTLWHDVAVSLCIHLRLTGVDDTVTDDDLPRDPAFPAQSPLYTREW SSRYVHSLLFLDEVLEALETDRRGSSKARSVRLTADHVTSPAPRNFRDEDLWCEGIDV KRKVEPQPSFVLTEVSWQNHAFRTAYYWKIISGLIRDPSMMTLDIVRSIDSELRQGDN ELLSLRNSHQLTRVQDILLESFHGSYQQRCLRLHRQYFLRSYSDRTYDFSQSAALSAA RSIVQGHKNVVQKYDTFNPRFLALVFFSHHVSATVLLFIHGCLKPSARSDIQSELQSS LTLFINAIPPNSVEDRQTWTLSVGRGKLFIEAMLTALSNDPPADLSGVENYLLHLNQR GSESPSADQDSSTALPDETFTLPSLLGMFDDSGDGYIPNGGMSAQYPAQLDWDSMFMG F
I206_05657	MEQQGKDTPDLARLKTNIYYQITIHSFSMSHSHNISSRLNARNE SHVEKEDVVSHPDDTPADPGFPEGGYLAWSTVVGSWSLVFATFGVANTFGVFQSHYIL QKYPERDSSDIAWIGSLQLFLQFMMGGVSGPLFDKGYFYPVVIGGAAIHILSFFMISL CNEFWQTFLAQGVLAGIGMGLVFVPALGVISQYFKRKRGLASGIVVTGSSAGGVVFPI MLNKLIVKVNFERGVQYTGVLIAGALVIGVSLIRPFGGVRGHKQGGPKPNAKSFFREP GYVLACIGVFFVAWGIFFPIVFLQYFAELTGASENLTFYMVAILNGASVVGRTLPNLV ADKFGALNLITIMCLLSSAMCFAFFGASRSTAGLVVVAILYGAASGAFVSLLAPSIFS MAKSQAEIGTRMGITMLFLGIAALTGNPLGAAILDSNGYGASIAWSGSMVATGAALFG FAAWFTAKSKGQWKV
I206_05658	MSTQNLHTGPAKHSGHKEATGEHTDAAKLNSQGEGGDYEDLLKN RILNNSQVSRAALFDESDFLTVASSTKQFDVEPEDVRKILDGLLTYSRQGDPTFASGD GQAPQNIKLGSEGSASVKTGNADYIVAELSGKLIIAARTAKLVLLVEGIDGANQSSLE TTVQSFTEGLQKLALIGAQSLIMPYVPNEQPE
I206_05659	MVSFSPHRINEAVAKTFIGRWFRLEGSGHPLARPGSKFLTELRA GTVTAAAMLYIISVNSSILSDSGGPCVCEGTADDPICADNNDYALCKNELRRDYVTAT SAISLISTFLMGLLANMPLGLAPGLGVNAYFAYSQVGFNGTGPISYSEALAAVFLEGL IFFALTILGLRQWVVRLIPRSITTAIGCGIGLFLTIIGLSSSGLNVISGGISTPLQLG GCASEYADATTGFCNSHVLQDPRMWLGIFVGGVLTAFLLLYRVKGALLWPILLVAIIS WPRPTSVTAFPHTELGDSNFDFFKNVVAARGFKLLGPSNVDWKAYANGKVWVALISFL YVDLLDTTGTMVAMSKQAGLYDARDGDFEGSSVAFLVDSACIAASGLFFGTSPCTPFV ESASGISEGGKTGLTAVTTAFWFFISIFFAPILSNIPAWATGSVLIVVGAMMMENATK VNWDYMGDAIPAFVVIAVIPFTYNVAYGIIAGLILFILLHNVPKVLGMISPRLLPPGW RDLKEPYNSTAFLKQPNGKGRVSFLALLPPWLRKLVSGEKRFWEYTHEEMERILEGRK ISQESDDAAAKMRQEERDEMRKRMGEEVVSTEERKSEDHSDDVNALEQGIMASGSAYE TERK
I206_05660	MTIHTKAPDSIKNPVFGSELVQSGRHDGAVARYQSKSIVNPAPP STKPRIYTEPNSHRLPEINKDCLNLVTEELAGWDDIAQAGLTRVLHHWDKIDERSKKM SEDYFGKELLVDNIVILKKLLSIISQYTNDNIHPEIIELYKNMVILLNMSILRSKPIV YALIFAALTFRVWQNLVDPNKRLEDKWKRTWAYQMYILNEISALGDSMFVCSAVSWHD RSDVVNAKERSWIRAVHEHAFSCDAADCPVPLKAPWRFNEKGELEDVAMLETAKPGTK ARTFRSGGALFRLVTADGPRRTCRYPCPDCLHIKGDATQLHAVYILVWRLLHRRCRHS EGKQSAAGGIM
I206_05661	MSEKDKNAAELQESATPLPYGLNSTERTKKKSVWSWLWDSLDYT NNITNAYVSGMQEDLNMKGNEYNYVVTAWTVGYIIGQLPSALLLNHFRPHIWIPFQEI GWTVFTFALAGAKNWQTLAGLRFIVGLFEAGYWPALYWLLGSWYDKRELGKRSALLQL AVQVAPMFSGYLQIAANNGLNGVGGLAGWRWLFLINGIISFPLATLAFFFLPDDPART GTLVFTKEELELAKDRNIAAGRAEATPWTWRKAWNICKSWEAIAFIFYSTMSIVVQSS LIFWLKKQPTVYSSTQVNAIPTLTNGVVAIFLLVFGWLSDGPFKGKRWPIIVFSAIVA IDVALLYVPLYGHASRRLPLYIFSATGSSITPLVFAWMNELCAGDSEKRAFIVAGANT MKYITTTWFPNVFFQQKHQPDVPNGIKASAIVGGFCIINILVILLVSTRQAKNGKKVE QIPAVEEPTDAVP
I206_05662	MYISAAVISLTLALGTGEVSGHRGCGGHEVAKRNPGGPVIRNAA ELQSLQSRQLVQRQVTDEASAAQSTDPSTECTAYSYQTVTDIKSSFPTIWETATLVDG DTEAASLFATVNSTVNSKVPNIKPKGTSTGNFSGVGYNATDPDCWWTWRQCTTPASSL GINDDHTTVPEPDTWGLGFDDGPNCSHNAFYDYLRDQGQKATMFYIGSNVMDWPLQAQ RGLQDGHEICIHTWSHQYMTSFSNEQAFAELYYTRKAIKEILGVTPTCWRPPYGDVDN RIRVIAQGLNLTNYVWSDDSEDWRAGAAGSNVTEADVTANYQSVIKKASDGTYASSGP MVLTHELTNFTMSEFISQYSAIKAAFKYVVPLASAFNTTQPYTETNVTYPDFLTYTNQ SSSASASGASTTSGASASASGVTTSASGTTTSASGSASSGTSNTSSNAKSSATARFTI DLFLGSFVVAILGYASLV
I206_05663	MFGGRRQSLTALLEEAAPPRNLPLLSADEKHRLQLEKYHRDPVK AAAAVRLNERLRSPNTPSQQRFDDFEDDSGKLKRSRGGPAYQLLQLRILRSWRRILSI LGVAGTFVLILQILAAPVDPITDWNQWPQEPRTEPSTRVNRKLSQKLRARDGATSLGD HKSTYGILDVNPDSSVHPIRLLVEEGQKQWDEKVAKQSKTLKEAVDEYKRRYRQNPPK GFDKWWSYVVEHNVPLPDEYDQIYRDLAPFYSVSPGKLNKRLEEASKSLRTFTLKIAG GRVTTQHAYDSKKVESGEQRPQHQVDLIEPIAHHLPDMTIVISVSDTPQSLLSWQHRS ELVNRDKQEGFIDDDVDPDAVNGLPWACPISSSLFNAARRSKISQRSGLAHVDKKSFV WDLREYMNICNHPELMEQHGLLIGKNPPMGSPIPVISLSKTALHADILGIPTEQWVEP GPVPVWEDRKNDKVLWRGSNTAMHYDTTVAFGDSQRLRLVNLTNHGAPDSLVTLSPGP NRKSLKETASTVSRSALNEEQMDITFTGRPLQCDKTDGTCEKIARDYPFSNKRITHDE ALQFKYVIDVDGNAWSARFNRLLTSGSLIMKATIMPEWYSDRIQPWVHFVPLKMDFTD LYDVVAFFRPSESNPNAEDKMASKIASAGREWASTHWRKEDMTAYMFRLYLEWARLFA ANRNSMDFVYSADME
I206_05664	MNAADEDKNQAPPAQDGGMQLTDEATLFASPLPIVGERKTTTRK EVWSWYLYYVGNSGLGPFNFAISAWQNLLYQAGWDPAFPRGTVACGDGGCNLIAYGRE RSVNSIVLITNGLSFAFQAVIFLIVGSFADYGVWRPHITTAFTVLAWAVSFAWLGVET PSKWEAGTALYILGLIGYQGALTFWTAAFPGLARDLPEIKESEQQLATGETDQKSHDR KDMLARNRLANVSFLVCSVGELVVLAILAGILEGVINDDPNSNTRALSIVCAYSAGVW ILCAIPWLLFEQYRPGNQLPPHTSYFTVGLKQVYHAFRLCLRLKQTFIYLAAYFFLGD CLNTVVIATLQNEVVSYNTKMLNYLLIDGIAAQAIGIGIFWLIQKRYTIPTKTMLMFN AFWILVLCAWGCIGITQTKFGFHNAWEFWAYQAFYGVFVCPWYAISQAMISEVVPRGK EFLFFALFSIIGKTSSFIGPFVSSAIIDDSGNTNMPFTFLLALGVVSVGILACVNVEK SRKECRKYLEDEAIRVYGMNSAEVLVVGNHNEMDGLRPVTLGKEKKRS
I206_05665	MSLSEGDERDNSAQKSLDPPHPVFAISSPDQPTTSIPTSPELSP APSPPLTPSRIPKDDPADKLTSKQEVDLNLSEGSQLDTAKQDEGESIDGEVHASKSAA DELEQIEESRRPDMLKVHWKSRLRDHHIMDMKSIQRSASSDNEGEARSARQVASKLVR KHTGRSPRLPTPTSDSDSDLDPDNGPSSSAVSLNSTDSPNLGSRSRGRRRSSGKSSRE SSIWETSDDESEDDRSVKPRGVLSALLGLYRDDPGGREKGNLRSLLTGQGDHRDRHGR KKRRWSSQSLFATASASSSVHGSRRSSMNNEEEFSGSDLEERLKHEKARVHRNRRHQQ LPHRSQNLEEPYIPSPHFSNVIRPPPNVGISQKIRRFMIGHGYPATWFGYINSAPGTS AEGTGSSQRAMAALIIASSSLAGAASPTLSHIAPAYGEDAETSNGARRISWYDGVDEP HAIQEDLDRRVDEELGTDGENGTNLEKDMEEGRLKGRRSRNKRRRGKRTQKEMAVTKH VSNIIQRKKFIEMLAKAVVSYGAPAHSVEAWLSATADILSVEASFFFLPSVLIVAFRD SDVHSTDILFIRPSGGLELYRLSLVHEVYRKVVHDEISASQGCRVLRRIEHRTVPYSR WALILAAAVASATSAKVAFSGSFIDILMSGALGSTLAIVQFTIAEKNKLVSNIFEIAM AGILSFIARGLGASNYFCYESLASAAIVLILPGWHICLGALELGSKNVVAGAIRLVWA VVYTLFLSLGLGIGSQIWDSFGPSQPTAMTSTTSASMATITGSFNSNNTYWDQTFNNG TFTFSNSTSSTAEVTTVACYRNPDWNYWWYQEVSDWWLFLLVPLFAFSLAVWFRADCR SKDIFVMVLVACAGYVVNFYLSEQIDQTNVVSAVSAFTLGILGNLYSRLGGGSAFPSM VVGILLIVPNAIAAAGGLSSSGSSEDSSSDSSSASGDEINAAVIVSIRMVQVGIGLAI GLFAAALVIYPFGKKRRYIFSY
I206_05666	MPKAKWYAVRVGRRPGVYATWEEAARQVNGYPGAVHKSFPHPKA AEGWYRAGLRQRPANTSSSVRYNDPEQSIKTEDLNGGIPSTNHVKRPYTHPTSMVTGP AVNTDIGPSSSASEPDLSSQQEEILKRILKGENYFFTGSAGTGKSVLLRAIIKAFRQR EEDERILEEDRWRRYLSGEVNNERSEVQRWKLGVTASTGMAGVNIGGSTVHSWAGIGL GELPAEKLYQNVLRNKITAKRWKTTGALIIDEVSMIGAKLFDKLEYIGRKIRKSTEPF GGLQIILSGDFYQLPPVTKGSSSTTSFAFEAESWERVIPKDNMSALTRVFRQKEDAFV RILESMRRGVIASKDIDVLKGLERTVEYPKGVEPVGLYPQKAEVAAINADRLKALDSP SQVFMAFDVPGITSQGYALDTARATHSLNRNTIWPQELELKIGALVMLLTPNQDGVLV NGSTGTVVDFMTIAEALAVNIRPVSGSFGLSPDTKVRWPVVEFIPSKFASGNIAKRVL VPQMTVDVLNASGRPEATRHQIPLILAWALTIHKSQGQTLERVKIDLNNIFVEGQTYV AISRAVSLDTLQILNFSAHKVMAHSRVIEWARPFEQEQKDEEEWDELMATADLT
I206_05667	MASAFNLLTAGGAKFDKNRFQRDFELFGGRDHHQKKDRKGKGKA STKPTNLHNATTLPHSLDFFGDHPAAPSKPVQVEVESDSDSDASSSSSSSSVHIAPPT QKITLTGSDPLPKSLDTSLSSLTSLDSASTPSRGGETLLKALKTANINSLWGVQCAVG GCLLEGRDTICVAPTGSGKTLSYILPTLVKLGDPSRSLKETEEGKGVRALVLVPTHDL AIQIHGVVNAVTRGRSWRSLVLTKATEKAVCDSSPGPSRSKQNADGQPEEDVEDDEQS EDDEESTGSVDEFAQPKSGNPSGLGIDILIATPERLHHLVETSRISLASTRHIILDES DRLLSPDFLPQVEPILKGCTHQDVQKSFFSATMPSGVEEIAKKWIKDEGVRVVVGVKD SAVTTVDQSLLYTGSESGKLLALRNLISTGSLPYPSLIFVQSIERADELYKNLILEGI KVDVVHGGRGKSKRDEAIKNFRLGHVWMLVVTEVLARGMDFRGVKVVINYDFPQTVQS YIHRIGRTGRAGRPGKAITYFNVEDGPYLRTIANVLRSSGCPVPDYMLDMRKPTKDQK RNLAKAPIKRKAVGGGGRDVAREDGRKRKQMVEASKKRKVKLDE
I206_05668	MIPLPASRRPHLFLAILSVIALLLFVQNSYHPGSALLKPSFPYS RPDVSEDYTGLEAEDHNVRSRVERLREFCGRENAFEREYGRTNIRMSRAYEGSHHRIR QFLHKALRGESLNISVIGGSVTKGHQVQKDEIWFHKFGEWFKEFIGSTVDINFVNGAA PATGSDYFSFCFPLHIPLDSDLVFIELAINDEGILEHVENMENLLRGLLDLPNKPAVI LTEALAFSNGGMGGGGGRMHLPVAQYFDVPVINQRHPLANHIARYPQLIRPYFSQDWW GNPDMRHMNAKGHRDLGNLAASLIQDASCIMLSEATFFVPYSPTEQSQDEQASSILGG VSAGDEETTSLEDALLLDQSLALAQSTWPEQSRSWRKKPDEGKAVGELMPGLWSTPVE YGILPRLNVLGGWNPNLDAVVPHFNPTCLSTRAQQPQFNLTPSWNDGQWEYWIHPEHL DKPYLVAREPGATVKFQLETNVGVVKLYSLKSRSFGLGAIECWADEERDKAVIVDGYW TNEP
I206_05670	MNKQPGTQIKLTNVSIVRMKKGGKRFEIACYQNKVSEFRSGVET DLSEILQIEQIFTNVPKGQVAKRDDWTKCFQTDDMNKVIEEILRKGELQINNLERTHQ LSSLSREIATLVSEMTVDPNTNRKHTVGMIEKTMSEIGFSVKADKPAKAQALELIKKL TSEESEGTLPIRRSRLRIRISMPGKDAKRVKENILKEVEEVEEDDMGMEWEAIVQINP GSFRTITDLVNNETKGKGRVEVMGNV
I206_05671	MKVFENDVTFNYSPAQIFSALHRKYPNPHATHVYSVDTIDRTVD PDTGILRSERLIGLFHLPPTAYVREVVFVDPENNKAVMMSVNLSLAQYVSCLELINYL PSPINPQTTLFKQRALLISGFPTRMIARRIEQASFDRFKSNAGIGKQGFEWVLNGGDQ ASLPRPTLD
I206_05672	MPTPLMRTQPVAGPSIIKPKPKSTRSSSTSSHCSTATSIDDIND ISRINIFRPMYDSNASLEDHLSSITITNANQSSDETVKGKEVEKKQRRFPEEDDEDIL RESDDRFVLFPIKYREIWQAYKASQASFWTAEELDLGHDTSDWNDKLTEPERFFILRI LAFFAASDGIVGENIVSQFSMDVQISEARAFYSFQSMMEQVHSETYSLLIETYVRDSE EKEFLFRGMDNIPCVRKKADWALKYITDEMPFRLRLVAFACVEGIFFSGSFAAIFWLK KRGLMPGLTFSNELISRDEGTHTDFACLLYNHLKHRCSEEEVHSIVTEAVVIEKEFLT DALPCGLIGINANLMCQYIEFVADRLIVDLGYPKIFHAINPFDWMELISLQGKANFFE SRVSSYQLANVSRSGTPAPDKGGSERLSRRVFTTDAEF
I206_05673	MAAPRNIARLATRLSSRRSAISSSSSSTSTLRRATKPITEFIRN GSTLPPRSSQFAKATTDHLNALKAISSSTLSTLDNTASADELKTYNDDWMNKYHGKSQ IVVKPKTTEEVSKIMKYCYANDLAVVPQGGNTGLVGGSNPVHDEIILNLTNLNQIRSF DEVSGVLVADGGVILETADHYLAEKGFIFPLDLGAKGSCHIGGNVSTNAGGLRLLRYG SLHGTVLGLEVVLPDGTIWNGLSKLRKDNTGFDIKQLFIGSEGTIGIITAISILCPRR PSAMNVAVFSLDSYEAVQKVFAEAKGHLGEILSAFEFFDKQSYALVKKHQEENGGDRK VFETEGDFYCLIETGGSNAEHDEAKLTGLLEHLMENEMVLDGVLAQDSTQFQSLWSLR ELVPESAGKAGSVYKYDVSVPVGKMYGLVEKMRTKLREGGILEGDGKKDGPIRAVAGY GHMGDGNLHINIVANKYTDEVEKIIEPYIYEIVAENEGSISAEHGLGVMKAPYIGYSK NETSIELMKKVKTLFDPKGLLNPYKYIV
I206_05674	MSQVSQPRQQPGQTQSSNQGAAQAQERTTKGNSASAPRKVKFNV GHTYHVLDVIGEGAYGVVASAIHRPSGTKVAIKKIAPFDHSMFALRTLRELKLLKYFA EEGIISVLDIIRPASYETFKEVYLVQELLETDLHRVIRTQDLSDDHCQYFLYQTCRAL KALHSAEIIHRDLKPSNLLLNANCDLKVCDFGLARSTQTASPEGQNNQGFMTEYVATR WYRAPEVMLSFRMYTKSIDVWSVGCILAEMLSGKPLFPGRDYHHQLSLILDVLGTPTF EEFQAITSKRSKEYVRTLQFRKKRSFESLYPNASPMAIDFLTKTLTFDPRRRFTVEQC LSHPYLDAYHDPEDEPSVKPLSSNFFDFDMMKEEISREELKRLLFEEIMTFGQSEGNQ 
I206_05675	MAAVSTAGPSTKLIVPHYCEVCSLPTEYCEFGPSFSKCKTWLES EDKDEFDRLWGEGNLAARIGTLSVEKQEKIEADAVKAEKKATKKAEAEAKKKGETKII IKRSERTKRKHQTHVQNLELFNIDLKKAAKFFAGKFATGSSVSKIPGGGEEIVIQGDV GDDIVEMLKAQVGVLKGAPADQVTRVEVKKKKAEEEEPAA
I206_05676	MPLIHGLSGVVIRCFKKVETAADWMTGAAGPVFVFMCWSLILSG GFIYFDVVVRSLSFLSLFILSPLLILVPLNLYGQYYLVTHVPPGYPAPKPTGEDKKSA SWLIPNPKSIWSGERWGFVRRGRTLTGPGGMSGTWEGASRRVRRCRKCDGPKPERTHH CSVCKRCVLQMDHHCPWINACVGLHNQRHFVLFMAWLSTGCATVSITGYRAFLATFDY HAEWPSWTPKIGFTLIYVLCLAIGFAVSVLMFWHLYMVSYGETSIESHDNAYLAGKAK KEGLIYLNPYDLGRRRNLQLFFNIGPNGYSTASLLFPLALPPASNGWSFPRRPIPSHP STKSTNLHAPELADGLIARANGLGLGLDLEAESINSNGELNSPIGGHAEDGMGGYVMG DEEGLTDDEEGGGGWMDLGDPQYKLGDDHL
I206_05677	MFRPTSSSFRGLQNIAKSSKIGSRKCLTHSPSSFRSYASGSNTN SSASYRSYGATIIVSSITAVVLTQLWNNQNRIQCDDGALSVKSAAERDEAYITPTKAK ELIPSNQDSKYATKEEIDVAIKLLRGKLNQDQVSTNPDELLSHGVSANTYHAAAIPNV VVYAESTEDVSIVMKLANEYRIPVTPFSGGTSLEGHITCPYGGICVDLSRMDKILELH AEDSDAVVQAGCQWEAINEEIKERGLNLFFPLDPGPSACIGGMMATGCSGTNAVRYGT AKGEWFLNATIVLPNGEIIKTRQRSRKSSAGYDLTKLFIGAEGTLGIVTEATIRLAPI LPTRVAVCGFPGVEEAVSAVGEVINQGVPMQCVELCDTLMMEAIGKFGNVSMPLPSLD TIFFKFQGSNSEAIEQNVKIVSEISKKHGGQDLVFAKNDKESDELWSARKSAHWSAMA LVEGSTCYSTDVCVPVSNLPKLVKQTKEDLKENEIVGPLLGHVGDGNFHCALIFKADV PGEFEKVDAAAHRMVKRAIALQGTCTGEHGVGIGKREFLPLELGEGTIAVMKQLKNTL DPKGIMNPGKLYPD
I206_05678	MSAIALLNSVDRCELKVSSLFNVEGWVAIVTGGGTGLGLLTATA LAENGARVYITGRRAGPLLDAVARYEKSRSKGKGNITAVQADMSTKEGIQRFAGEVQA REEWINVLINNHGISLGAADINACEQTAEGLSKQMFEGESFETWADTFKNNVASYHFT TFAFLPLLAAAKTIGGFSEPGNIVNLSSMSGITKTSQHGQFNYNAGKAATISLSHQQA TEFARRGLGIRVNCLCPGYFPSGMTIIPSENNTGSEAHKQEFRNKWGIPLGRPGKAAD YAQCIFGIITNQYMTGAEIVIDGGWLLESVYSAHL
I206_05679	MSEKVEHPTQIGGSGRSSEETAVPPAQPQTQPQSRFGFKSHKSF KHEKVENDGYTQRSTDPNGYTPPKGNGAAIAHVEGYKRQELKRGNTAPHKIILQEEDA PEALGYAWSPAKKWTTLSVIFVVQCSMNMNAAVYANGVSFLQDKFGVSAQGARVGQSL FLICYAFGCELWAPWSEELGRWWILQSSLFLVNIWQILAALSPTFGGVIVARVLGGFS SAGGSVTLGMVADLYDPNEQQWAVAFIVFSSVGGSVIGPIIGPFIQANLSWEWICWVQ LIFGVFVQILHFFLVPETRSTVLLDRHAKKLRAEGRSNVYGPNELRPWRERFTVKEVV HTWIRPFQMFLTEPIVLCCSLLSGFSDALIFTFMEAFTPVYEQWGFTTEQMALAFVPI AIGYFLAYLFYIPPLMSQRKKLRLDPQGTAPEIRLKPLLYLAPLESLGLFGFAWSSLG PPKTHWIAPMIFSAMVGIANYAIYMSTIDYMIAAYGPYSASATGGNGFARDFLAGIAA MYASPFYTNVGGESSRYHLEWPSTILAIISGFVTIPIFIFYFKGAYFRERSKFAMTLA GERQGRNVHRLEHSDNAPGGGKTVEEINA
I206_05680	MTTNSTVSSQPIPNNGLTSSSSTSAEAMPSAAGLTTEEVGHDSL ATLEETRNSTISPLIDPRKLNNQPAPLPSPPLSSPNHTEANTSSAQQPLRSPNTESTL DSVYLNDGIPNEPSSHPTIAETGVLSQSPSDGPGPKQGQLKRAERPTGDGIIKLGSLG GEGLVNKPATESPKE
I206_05681	MLRITTLLRPAVRSRSLFGFKSIFRPLSTATSSPPAATAYPKAW VNSIIAQQKGFGHAAITVEDEKLTIFPDYSYLSKHLSRVAELQDRVAAENSRTGKTRI IGEYPDLKELLEYIYNPTLRNNLTYPNLKKWIKTDGDISDGTMVPWHLLDLFDLLSSR RITGNNAKELTYTFLVMHHISKDQKLMDIFGKLLDRNLLAGFGANTLKEVKWQREDEV DAPVFEPVLLSNAAKPMARLNPSSSASPISPSKSSTSSFDNHTTLISSRGKTPIHPKT AKLDKFEVALGKSLEPPFESLFKDGSVWYASRKLDGVRCLTFLDFLVPTNSSEAMELV SVHFVSRTGKPFNSLSKLEEQLQPLSKLPVLRKWLDVDPLLVEERPDGVVKRLILDGE VCVMRPKTSEELATSQARDDGSVADSMWIANDPFVEDFSSTVSQMRRSDTINHPSYFL FDVLSYSELNAKTSLQGHEGLGKKFGARLRDIKFVGAWLSDCLDEKGVKEKMFKDLKQ IKVAGVADVEVMVDRAAREGWEGIILRKDEGYKGKRSPDIRKFKKWQDAEYKVESLDI SPMRLAVNGVFGEHNALANVWINHDGHSVSVGSGFTADQRMRYAKYPEQIIGKYITVE YFGESDSMERDKKANGAGKKSLRFPRIKMVWEEGKRGM
I206_05682	MSSTPITHLYRSVLREIRLSSKSPRSTRSPVVSQHVRTLVASTS DKEILSRTLLETRDFLRSTRIHAELLKRYNPIHGMSEEERIKATARRVGLDTPIEFKN E
I206_05683	MSHILTDVEMLRLSYNDIHTAIQNAAPKIKEEFAPTLFIAIGGG GFIPARILRTQLKAEKDGKKRNIPIQAVGLVLYEDMGGVEEKVGTEVVRTQWLDFSTL GQNFSHGGLLGRHILVVDEVDDTRTTLTYTIRELQIDIEKQLALITDETERERLRKET KLGIFVVHNKLKPKAAELPADVAYFSAVDTPDVWLAYPWECDGDIDAHDSLRTHNPKI 
I206_05684	MFDKLKGNNKARKGNSAPPDFIYSSAQTAQSSQLQSPNPRPIPI ANDQLARYNQIYPQITPQPGPWQLTDGYHQSIGSHHQPHHHHYEGYQPAAYTQYQSPR PPPTPISPNHRMNSNIPQQISNDPESRVIFTEHRFASQQPEYYHFECVNRCSTRRTFR RDEFCIRCRVVTRTEASTNNSYEYTSHRGSRPLPDPKMDPEDWKRLQTLMYTPYNPPP APLDIPYEHSNPFSPYTFPRYGWYHPETFSHIHQNPLPSVNTGKSQATGMPIVIPNRG VYSMYPKDPALLPQTWTGGWNNPSNISKPLHKPFGESSDLTWTSPSTGKTYQLPPMPE KLQIPPAPPLSCRNTPRVHSPPSEEAQRTPPIWKSAPSIASPLLLSPPPSPGLERDLA LPRNLLPTKIPSAEAVEQVQQRKTERPSMNLKDTCPACQRGDTDVGKVESKGVSATEF SFKVKLRIYPRSVDFILPVMLLSVTLGVLIAKYLII
I206_05685	MKHNIREDHQIKYHPQNVEEEELECPSPNIKSSKYPYPLDIQMP STPNIPTLNLPIPLIKISPHTPSESRKIKLLSSSQTLNLGEGSIPNSLKDLKSLTNSI GNSTLKPSFSYSENTIRPSLNSISFETQLKALNPSFLKSLINSSSSSTKESQSNSTNF LNKSEKRVIDEINFEWCFHCGKEFLRNEMILKEVDLNIEIKKFYNQIYQLNHENNEFD NKNNQLGKSLQWVCKEC
I206_05686	MSVPTRGKPSLIAAAQQQGQPSQSQLTSNQPLPSNVTSIPMSTV SSSQSGTGTGSISARSTPAPTARGEGSVPPGGVQRMKFKPKVPIRRTVKQEVDVKPDI SSLPSASQPTARGGAARGAPRGRGGPGRGRGRGNAPSTSIAAGVFGGPRPVAAASSSR RFAAAAAPTTRGYDEQDAEVYSDHSDVEGGSSGRPIDIDLVSTMSESAPTSLFRDRRL NQDKKAQGKDDKQDKRSKKKSKSKPAEAEPTMDVDVGPRVKDEPISPEKRATPLREDD TMLSDDELQDRDDQGRRVRNFAQTGGNDTPLDEDEDEEVNEAQMVDLSESEEEEEEED MEGDFVQSEGYDNPEEKLFIFQFPHLFPKFLPSTTVDLTQNDIKPDVTAHVNGATNAA QQKDVKPDMKPDVKPSAAQLRAAQSKKGPEPVPEGRVGTMVVMKSGKVKIVMGKDIVM NVTPGLPTTFIQHLVHLEPKKKTAQVLGEIHKNYLVTPDIDRLLEELYINGGQTPGEV ETERRKRLMKESRGLVAMKKERD
I206_05687	MPTELPYAAEAESSLGYEELQVLRTQYYKEIEQGHVTTQSKFNY GWGLVKSNTAELQTEGVKLLQEIYSASPAHRRECTYYIAVGYYKLKNYAYAKKFNDLL LSVEPENMQAQSLRTLIDQAVQRDGYIGMGLIAGAAAVTGLIVAGLVKRSRR
I206_05688	MSSSTSSSSSSTTQFHIHPHSAHLTGSVPPIQPAGESSVALEEE EEHDYESLPVGSGWAVNMAAGAMAGISEHAAIFPVDSIKVSLIFFCVRPSPPQLNTIM QHVRSISTTEGLRSLWRGVASVILGAGPAHAAHFGMYEFIREISGGRGEGWSGVAGTA LAGAAATISSDALMNPFDVIKQRMQIRNSPHRTVLSCAKTVYAREGLAAFYVSYPTTL TMSVPFTAVQFTAYESLKTLLNPDGSYSPITHCTAGGIAGGLAAAVTTPLDVAKTLLQ TRGSNSDPRIRAARSMGEALRIIKDRDGWRGLRRGMLPRVLTVAPSTAISWMSYEFFK VLIRQNGHLPESGQAA
I206_05689	MVQASSSSSSSRRRTVEDAVALIDIDSFDWKTYEGSYKGRALIT RLSHIPTFLLNSHSSKPTIHSINLAKAALLRLIPHIKNETLDYDGYIQSIKLLKDPSS RQKTDEEKMDIDESIDWPSSITSTIGDEHLDKAWVENAKETERKENSKLSVELTGYLS NLIKESIRLTYLAFAQLSVKVGNSQAAMKHFGAVREYSTSSQHHVDLGVGIIETLLAF NQPHTLPGHISKLEATLDRLHPPIITNKFQAEAANVTASDIRERRAAEAKSQAVRRTV MVRIRVAKGLVALYNKEWSKAATELSAIGEEEGGLGDFEGKAISSADLALIITFTTLA SSNRDKIRRVLLEQPSFKAQVDDSNSWLMELIRSFVDAKYGEVMKLLYASEPTLLLNP FISSHTSKLLELIQTRCILQYVQPFSTIQIPVMGNSFGLTPAEMLELLERLVEGGEIK GKIDLIDQVLVMKEPDYRGDLYTNAFKVGEKASQVTQSAIFRMKMVEAGIVVDPRPPK EKGVTDEKGQLVLDQGLGEGLDIDPVAFQA
I206_05690	MSCQTCSSELTSTSIKTSFITPCCTTPICGNCIKRNPRLKEYIP CLRCGDPRTHELRGGGRNNLLRNNNGGNNTIQGEEVVFEIGDDDDEEEEELDLPPNYD DISPSNNLFQNIDNPNSNQADDVSNNDIPSDEPSQDEEDYEIVEITHKVQKGDTILSI ARKYASDPHELLLLNKIPFEAISNHSRIIQTRKSIIISNRKILKSKITQKSQFDQQQQ QQEEETKVKQEREKQRQIKKFQLLTKNLDSNIANTYLNLSELEESFFINNKFNHDSGE TINGNKKKQLKIDKLNREQRALESFFDDENWESQNKLNKLIPSSSTTSSSSRNTKWNI INGFGNIKI
I206_05691	MSEYQTRIIGAANTLEHRVFLEKEGKVVSPFHDIPLFADEAKTV LNMVVEVPRWTNAKMEISKEEAFNPIKQDIKKGKLRYVRNCFPHHGYIWNYGAFPQTW EDPNVKHAETGANGDNDPLDVCEIGEAVGYVGQVKQVKVLGIMALLDEGETDWKVLVV DVNDPLAPRLNDIEDVERHLPGLIRATNEWFRIYKIPDGKPENVFAFSGEAKSKKYAV EIIHECHEAWRKLVHGETAASNESYNLAIHNASVKGSKGLVSTSDNVYTSLPADSRKP AGPIDPSIDKSFFISSASA
I206_05692	MSQSNSPSSSNSTNLTTNSLINSNDNISTKLIKDPKEERIKILK AELIELKIKLGDHNAYEIVQNHIKLLHEYNEIKDSTQILIGKYGQITGRTVRDIHVEM NLPLID
I206_05693	MCIQNDDSFPSRQDIDSDKIKGTECPDWETILLPPDHILSHLTD AHCHPTDLNQTLETYDNVKLGGLASMATIPTDQDRVKSLSRDRPWNSKRDKGKGKEGK GVGVVACFGYHPWFTHQYTLQSPKAIPSKEEHYFSLFAPTSDKNKDLLNMLLPYLPDP LSFDTLLYKLKEDIQKSLSEGRLTMLGEVGLDGSARMRWPKSARHLHPDFITTESTKD EDEDSEWRRLTPFKVPMNHQRSILEKQMDLAVELGVNVSFHSVACAGPSLDTLISMRN RHGIRFTNRINVDLHSAGGWSPEFWKQAERNLSNLYASPSIFITSRSPSASSLIAAIS KDRMLIESDSHDVTLSDRLVWAATEWISRCKGWKLESRDEHPFDIAGKVEDWNLYDDG MEEEEIDHKGDIIDKKDDDIWTVRKLERNWMRFIKLIED
I206_05694	MKFGKTIQSQQVPGWGEYYLNYKALKKIINSYAAGRPASDASLL SLGLRPAKRTPGSGNPKNDIDSGSLSPQTQHNDPITASSSIIEDLEPLPAESEPPAPN TGSALMSRDPTGGNDRSESFKAHRDVFFFTLQRELEKINTFYLVKERDLRLRLLTLLS NRKRLLQNTTGPGSPSAEPAIDGSTRKDAEWTSLEEGWRLFERDLGKLQGFIEINATG FRKILKKWDKRSKSNTKELYIERQVEVQPCFNREFIAKLSDIVTANLLDIENGSEHLS TSFLERDASLPDSIGGDGLSFSRKGEFDVDSGNSLALDALADLESNMTKAFNSGKDAI VDWLKIAKAKQHRDRLSSTRLMRILWRAALHVPKEYLGLVLSSIKLDYGYIDNINGRS PAHQSSIIGSLPLIKLCAENNRALLEKADAYDRRPIHYASMHGHAEVVSFLLSQGVDP STTDKDGYTPLMHAITQGHLEVVRIFVQDKLTLEPTAISNDLIPLSLACQFGHLEVAR LLLQCGAKVIPNSEGLYPQHFAAKAGHESICKLLVEEGGADGGGKDRQDKYNLWTPLH HAAIGGEARHLRCIKVLVEAGCDVNASDEYGKSPGWYSAWFGHVECLNYLLDNGAKLN GKQNTLEGMENLGLAADPQMDSLSPGSDLMLDPPADEFELIPSLSLPPPIIPLRVYGH EFLANRCLIQLSLGHPFSRPSSSSKAPPIKLYSRSGQDSLNLWSSLKLVMTSKSDIQA VPHSVILPLADEREVFSFQVQSLEKFTLELSLYPTFGSKVIGRAIVLPSTFNDVTYHK GIVAPLLDHNLKTIGEVAFEVSCIKPFEGAQLEIGGRVETYWKSKVTPSQPAQDHAHQ FQSHRPLSVSTSSPSLRPPVTNAPSNNNSESTLVTASSLSGEYVHVVVQVTKDEVPVL YPEIKLPIEHLDIAVSDVTLEQFLHIARSKNLLLQPDNTSSTMSGWSSILNGSMSTLD HVLSILPSEIGLNLLLEYLRPKTSETKGFGKIIEVNKWVDSILHSIYENGKSNPNPSI GRKIIFSSFEPEVATALNWKQPNYAVFFASYCGISTSNPALSPSSGRKRLTPISPEEE SDLRCLSVREAVNFAKSTNLLGVILEATTLAAVPSLVASVKDAGLLLATFGDLPDINA LRQGASDGRTVDAFVIDG
I206_05695	MPSMHPLISEIFSESSISLTDLQDCSNGIYLHKSTGQKYFTKVQ FDIEQMKGEIEGLKEMYKTAGNTGLIPKVLGFKINQDKKESVMVTQYFELNSSSSSKE EFQKELGFKLSKMHNLPPEKEEKGKDKDKSNYNNNEKDKFGFYVNTHCGITKQNNEFT ENWEEFFRDKRLGDLINRIEDSTINKEWEIMKEKTIPILLRSFNPPPKPVVLHGDLWS GNKGFDLISKSVVIFDPACYFGHNEADLGITHMFGGFTKDFYDSYHSIHPKSKPYYEE RQKLYELYHHLNHTLMFGGGYKSGTLSIMRNLNDWAKDKEIP
I206_05696	MDIDDDDAFLYGDESPPKAEVALPQTDVQNETNAASSVPAQPVA STSNTEAATAVSNGMTAAMAASLAAYGIEPSLAVVDNPEDGGVEEEDEDDEEDSDESD DDIKVVFTGQNARTLDLRKPQTAPSNVIGIGKWAHTATSTTPAPVATPSTPLAGTPSR PIQQTANQTTEYTPTSRPGIASVPPPSTSGPLTNPPISSTPTTTDIGINLQPPASGAD ILSQTSDGIPPLNPNLPKSELPPVSSSSSSKYNGPGNGIIPSTGQSVFEIDMTQFENS GQPWRKPGSVLSDYFNFGFDEISFPRYLRYKSDMEKGRAAMMNVPPMNGLPPDVATLL HLQQNSNGFNPMLAAQQAQQAQQQQAQMQMMANQIQQQMGMMNPQMQAQMQQMMAMQG LDMSMMNQQMPFAGGPGGPGNMQNQQQQQGQMMPQQQQGMNVRPIPGNQGRPIIPPHV AQGPSEVGEEVKLEEGVETGGETLEPQMIAQMGNPAMRGRMPVRGVPVRGVPLRGRGG GVPLGPRAGFAAPTGPKAGRFRDKDKVDTSGAGSLDYGGGEGGDSDRDVNLIKKQENH EEEGEFPINTGSRSHSQIRSRSHSVSFSTSKDKSRSRSRSRTKSRSVSPPKKSSGSSS RRERNVVKREYDDYTSEDEHRDKDRERDKKRSNRKSTKEKEKDDSSRSKRKREGSGNS VSTPALGPGGWESEEEDERRSRRKRSPSVESEKRTRRSKRR
I206_05697	MPSIFSRTATTPKKGKLPPTPPVSRFGSQSPEKRGASISGGKEY EVGVGEFGTIPGGISKTLPNRPVPPLTPPHSPPDHDASPPLLPPKSTFLPTHINPYTT STNSVDSFSYHSDQVTGLRHYGFLAEIGNRSVLGLREVGHVLELISIELIRRGLTIPM LFSNQALELNQTRTKMLIQAYLDTLSSSSNIKYQAFKQDVKFAKEHELAWLLRWALSR VTRMKEGTKEICHGVLEWEVYEEWRGRERAAGYPTDAFPFLALIVPNDVYNLIITPLL HLLSRFAAHSHLSGLTPHALSSLFAPLLFDIPTSSTAMEAHTAFVQAASATEHLLLAY IRSTSPKGSLGLADLPHRLKEWVTGYPAMVPSDGELARGGPRKGARVVRCEAASRVVR AYSKDLLAAAESWVSDLPTEQKWNAWDRVIWTARRGDMSRPKFSQSYRRRMMVKENLP LLASSIGDGSRPISYGSATKPALKNGSIRSRRGRSIGTAGEESDDSDGRFSSLAGKEW SMFEEGGFDAPSLAHGKKENKEDIRNRLQFDLTESAKNSVSERRRTMDWSEFASPSGG FNRTDNVLDVSLTFAQPIEKEITDWPKERDELRRRLHKSQKDSVPFNYDTTPRLGVQA FPDSSVNGSIDEKGRVYLEEAFVDCWADLIVGCGWVDREELTFRESNWAIIEYKAKPS RIDSRMQDSDPLGDPRKTELYFLLEETVPLEYQQALLTPAQKKSTFTLFSPRNKKRNN QPPQNDTVKSRLGHGWGDDDFDRMLLHRAQTKKVTLTKSASDQPHTSVWHMSSETATP VSPVKSRHKTRSGGERQLDGPKMNETKGLFFGSAKKTMRRVKSNESTENTKPTRKERK YQKAQGVEFELHSASGVSSSEPSPKDGDPTVRNHDDKWMDILVTNGAKRMDRQNALLP QSHNKGLPVSPHPPARHPSPNPLSDQTTPPQERLSEDADAATPRGVPPERQQSIGRKA ITTSDEVDLGDFEPVSPSQETFTSSNGERFSEEHGRRSSLDGPELLQPTPRRNRDTRD TIHRIVDQYNRDFIEPDLEPFEEDQGEKGYFDTDHQSTLPPVQEGIKEGRKSGDSRYE DYRSEISSLEPPEKGMIFDLTPGREPSPARYKHGEPLHFVGEEPEEEEYSQKYHR
I206_05698	MTSSTHSNDPILPLHTTSPAYYPPVSSTQDDGYVGPQSNVEGTP CDVHPLERSDSAEASCPPPAPIRQFSDSLTNGVNNNSRRTRRRQVTILPPITSSALGD MSNTHGSSSLPNSNGSNRRRAHTVGVTMKPRQRIPSFSEQNQETENLINERSDRLSPL NSSLRRGTINKNDLESGLSQNGGSVSGRARSSSNASRHSLRSEERRSRRSSTPVEFDL TSPTGQNGQSESHQLDDELVGLLDVIDPEVSTVNHLQNMTNSVLVPHLPQLWKRRPEV QLPQIPSEESISTMNKTAHTRQRSATNRSRKGSISRFIPGRSSSGEQVEPKMERDEWK GISPVPIPESEETSTGDNGETLKATPETLRREEFEDDVEDIKEDHQLDKHVKHILRSS KRQKIKQGLKGLWTFVKTPMGAITAIYGFLVVFWGAAIVLFLLGWIPTNSKNTKDIWV EISSQVENGLFTVTGVGLIPWRVIDTYRMSVIWTLKNRDSRLRKKQGLPAIEDENDLP DPELIKDYVFVLSEKDQKNLKYQQEKFATSQTWYRAHANATHKAFPMKFALWNTILMD GNSFFQCLLCGTMWGLNRHQRPAWTTGCLIPLSFLCGIGAAVLTWQGSARTKKSALVS EKLRQALNVPIALAVPRTIDGTDLNSTNNKANTIDIPLKKTESPKKQLHEKTATGKGR RVTITFDHVNDEANDRDNLLKGDMKRDRGITNTSPQIIHKELDLDLGRVISTNNRNEN ENEIDREKNENGDIALKEVR
I206_05699	MSPFMNRFKRPRSNRSTADGHGTEQTEGERTRTTEGSGPSQSSS SGMTANLTRPFDPLAYLSPGGYDPNGSQGNSNGRASDPSSRVDYEHSHHSPRILRQQD QYRQLTSRSDYDHSSNLRALRQQDYPHQPTSQSGYDHPGSGSRADRQQFSDDHSSREV TEEQARRHLEEAYAQHIRIHGGEEASGDTAAPPYKTDPRTGERRL
I206_05700	MPNWNRPRRRSSGQADSIQCATCHESNLTRLPGRQDHRQRRGQS QQSGSSSQAYNTQAEVEPGWFCVSCGADSSQLRQRQNNTAICQSISCGGYRRNVQSMP EERPIGFNNETNNPPRPRNVLGRLDDTETGTIQNGGLVLPEYSEQPSGGHIQIQDGSM FLPPSTATRHMREHNEYLSGEHTRDNFYDFNWQPANDSPESAREAETNPKHEYRRD
I206_05701	MGKESHKQRYDAVVLGCGVLGLSIANELVKKGLKVVVVGKDLPE DIHSTGFASPWAGANWHSFAQNAKERKRDEITFKEFAKLSKEVPELCERRPYFYYWKE KGKWKIPWYKDLVFGYRDLSSDEVPKPFLYGVTYEAYTLNTPLYLQHLAKNLRKQGIP ISRERVSSLEEVFNLPLIGKVNLVINATGLGSKSLLGVEDLKVFPAKGQTILVEASNV KNCYGIEDEHFIKNQKVYIIPRPGNKKNNQNHVILGGCYLPNDWSLNINKEIAKNILK DCYELCPLLDNNNGKGSINDIKILSHNVGLRPVREGGLRLELEDIIINKSEKENNLLL PLKGREKERKVSVIHAYGIGPAGYQSSLGIAKEAGALVDEWLKKGNKARL
I206_05702	MSQSLHLPSNPTSPIPKPPRLLPRSPSDPIHLSQSSSNSLNGNS SNGQQPIYVLTADGSSLFLLDPTKPRGGEEPPPYASFSIPSNSNLNVEEGGVNRPVIP DIPNSNTDNPNGRHRDRARTLSALNTDGSLIRPRYHSTQSYSARRTRSALSSPNIRNS ALQVNENTPLLDDQETNIERVKKQRGIWRSIWCGDLDEDENAGGWGKSFKRFWRPVGR GIYWRATLHLVLLNFPLALLVWPFLVAGTLAGTVLLITLPIGAAVWWLTLFISRSAAR LESVMQLHYHSPLNPASPPSNHPIFYRLIPNTPISSSLSSPTSLYPQTPALEQTDDPT PSDLLENQEISIEGNTNSLIWEKRFMKCSYAMFLDHYSYSALSYFLLIKPLIVLLSTI VIIILFPIGFGTIIGLPIYLRILRKWGKWQAEVAIENL
I206_05703	MPRPPENPDVKASKGLAYILRHGAEKEGLSIRSDGYIRLADVLD RPKMREVDQEMVLRLVIENSKQRFQLLYGYDPSPPKPQKLKKGQTPKKIRPQFINNNA NDKSNLGSLMEEVEGKSDCLTPLPLTTENKNKNKDEGDVAEIDSLQFNLSKTSLKGQY EEYVELPLISLPIPENSEISISASTENKQPKGEYFIRATQGHSINLKGTEHLEELKND EQGKKKSGIMVHGTKAELLNILKINGLSKMSRQHIHLAPSHKGLIIPRLGSTLFIYLS LNKLIENQIPVYVSLNGVVLTPGNQNGIIPKEFWRKVIIIQKGKKIVIWEEGKEVERE ANDDEEGL
I206_05704	MVQWNGPRAKVQIKLSIQRLRTLQEKKLALAKSSRREIADLLNK NRIETARLRVETLVQDDIYIELLEIIELYSETLQARFNLLDASTGEEPEPSIADAVCA IVYAAPRTEMKELQLLRELLMHKFGRNFSLSLTQTPPPPSVPSRITSKLKVFVPSKEL VDAYLLEIARGYGVDWAPELTEEEEGIEPLKRDLPDDDQNEANNKDESAGSDDDEGDG DKIEKLDKEKQKEKDKSPKKNSPEKKSSPLPPVPKKLSAEEELAQRFERLKNLR
I206_05705	MPSPLTTGIYPSDSIIETAQSLPLDPLGQGAADLLAGDVEYRIH LIIQEAKKFMVNGKRGTLLPEDVEHAMDALNVEPILIPPRPLPIPQFQSLSIPSSSSH QSQIYHLPDDEIDFATYLKQPLPSGVANSAGVKWKAHWLAVEGVQPAIKENPTPTQRA GPSRAQPSSTTLRPQARTQLPQELQLYFTRLTTALVPPSPTGPETEPERHRLAALTSL RTDVAVSGILVYVVKWLGESIQKCLMAPTGTIGQLIDAVEALVANDGVFLEPYIHILL PPLMSIILTVPLGPHPPSSSSNQPSPYDLRLHASQVLGKIAEKYGKSYPGLIPRLVST LSKTLHSPPFPSPLGAANPPSGRYEGTLLALSCLGAPAVRSVVWGKAGESVRFIDDLA GSLYTDSGKKKNPLIRALIKCLSLIINGKPLETPTPQVNLDDISDAFGPNLASMLNKK LWTASEILRIRKEEIESGNVQDGISGKAIDGDGMEVDQ
I206_05706	MAQSNPPLNHLRRRNIYPRQDPSSAAAAATTSAEASPTPSVEPS PSASPSIEPSSAAPETSIASATPSPSAEPSTSATPSPSPSPSPSASPSPSVSPSAQPS SQAPQSSAAPSSAQPSPSQSPSATPSPSATPSPSQQSSAVPTSQQQSQSASPSAAPSS QPGGTTTTITVGQSSTVASQAPSTSNGESSQPGTTTSTVIVQPSATSQTTLTSAVGIV TTTDSNGKTTTSVPAEITSSYLTTSDGQVYTKTVVVHNPTGALDNGGKGSSGSTNSFF NNKGAVAGVFVVVGLVVVGLTFALGLLCFRRRRRQRLDREVTAAAIAASSSGPTARSP LDEDNDYHSSAGPTSESYPSTVNQPMTQYGSYGASYAPAGGYDPYAPTAGAGAIAGYG ATHSGGYDGLQQGEQGGYYFDPRDAGNYADAPQDEYAPPQQHGQGYNDPYGGYSTGEG SLDTPNQERGDPLHVANPSHRQ
I206_05707	MEINQSINQSSCLRRSSLISWHVDVLMDTVSPRAQHRAEHLSRS AAAAAHASYYSHPSLHLHTYLTKEKPLEINEKGKIIESLNEPFLNLSNENETNSLPLK KNENENEINVSNLPHVNDEKSNEVGIEGTKKEEGGKKDAGYPSGLDKGALELNGEAGG TKSSPGPSLQDVLKFNQIQNGWQSNSHFEKQNGNENTNINTNGNEQISVPGQVDQIDL KGQAGRPELGRHWSIKDRMNPHLQLMCGPLLAYYTVRNDIWQGGALVVSLRADRSCNS GSDLSSLPYINLTFHPYTKPSHEVPTVHDPTIQLLPPQTVQARRIHVYASKDGNMSFF RFMFEIPLQQTQMAVRYKLNGGAEMDFVVPAKGENMRWAAHSCNGFSSGVNPDEFKGS YPSGYDPVWEDLLLKHHEKPFHCMVGGGDQIYCDALTREPEMQPWITAPDRHSKLNCP LTDEIREAVDRFYFNHYCKIFRSNAFGRANSSIPMVNMLDDHDLIDGFGTYDDETQAS PIMSFVGSRGYFWFLLFQLFVSDEVDGVDPTPYSHTLKSMIIGDKNGPWIPFPSHSLL VYLGPKVSLLALDCRAERKLSRICTPETYAKTFGEVRKLQGIEQLVILLGVPIAYPRM SFLEHFLDWKWNPLNILARHNAMGLGGMVNKFNQASELLDDLNDHWCANTHKKERNWL VLECQKLAKEKQFRITFLSGDVHLAAVGCLFTYHKGKKQPTLAPEKDHRYMLNVVTSA IVNPPPPGAAKMVATLGRNKHRTLPQDTDEIMIPLFMTDTDGSKSKMKGTALNRRNYA AVEYNTNRELLFEFRVEKSQGAGETVGYPIKAPAPQW
I206_05708	MSDKLPNVFFTIAINGKELGKIEFKLYDDITPKTSSNFRSLCVG KKPDNTNLPKGFGFKNTLFHRIIPEFMIQGGDFERGDGTGGQSIFGDKFPDENFEKKH DSPNSNGSQFFITTIKSCPWLDGKHTVFGEVANESSLKIVKEIESKGTREGKPKEKVI IVNCGAV
I206_05709	MFTRTVRPALNVARAAQQQSQGMATLREIEQRLKSVRNIEKITK SMKVVASTKLTRAERAMREAKKYGAANNELFKHTELEKSEEAPKILYVGISSDGGLCG GIHSSISRAIKKAMAETPGTLAVVGDKPKSQLLRAMPQAFKVTFNSVGKDVPTFAEAS AIADEIVKNGGEWDEIRIVSNKYLSAISYESGVTSVISAKALQAAAGFQAYEMEEDVS KDLSEFALANAIYTALVEGHAAEISARRTAMENASNNANDMMASLQLQYNRGRQAVIT NELIDIITGASAL
I206_05710	MKKKKKKTVVADTVEDTPAPAAESEAEPTPAAAAPSSSVPEETG SGVATPVEGDKATEDAGDLFADMKKKKKKKKDIPLDLESADPSSSAAATEGLDLVKKK KSKKTAAFAQELDDLDNEINEGADAEEADGDLGDDVFSKADGTNGDATSTEAGKEAWV VEGREATYPELLSRFFGLLHAHNPELAGEKRRYTIVPPQVAREGTKKTVFANISDICR RMHRQPDHVIAFLYSELGTTGSTDGAGRLIMKGRYTQKYIENILRKYIVEYVTCKICR SPDTLLGKENRLYFMTCESCGSRRSVSAIKAGFQAQIGKRIKAA
I206_05711	MSNKTQTPAKERVEQEKERIARKGQEVKSGLTPIKIYLLTYNAV SALLWANLLYITITFILTPRSNIQQIAGKSTFLNKLFTFNNSFLSSSTKIKPLNQIIN HFKGSYEFKNLGFKTKYTQSLAILEIIHTIFGFVKSPIGTVFSQVFSRIYTVWGVVEA VPEVSHNSPLFTTMLFAWSLTETIRYTYYFLNLLNIQSKILNWLRYTTFIPLYPIGAS SEAFLSFQTLPSIKPILLNLISTNSLPFKEILIKSTIGRNLLWNLAKSNNNLNKNSIN QTWGPLEIFRLIMFFIWWPSLYFLYTYMFKQRRKVLGKGRGKVVGGTNKAR
I206_05712	MIISNSSAPLGPLASLPSHVEPLKQFRILLLVTSINSFTQRVYS YLQYLGFEQVSVQLATTDEDMLNAAEGWDADIVLCPFLTKKLPESIYSRWITLVVHPG PPGDAGPSSLDWVLMGDNGSITDSSEALDVLLNTSTADREVTQRSHWGTICFQANEEL DGGAVWAWEQYTLPKIGNLTKAGLYQNQHSIGAISATIHALIRVYEQTVGSNLPKTDW LKATPLHEWGTKCVTLQQTFLGGPTLDRPLLQSTKRRPNFQIHTAEDILRILNASDSQ PGSMLHPLSTNSKTSLFAYGAHLHQDLSTIPASLYVSLGFESFSEIPNGKIIATRQGA IFIKTRQIPGKTAAGVWITHGRVPRGKDKPIDPKISMADAIGAAGHGAVLDGVKEWEQ NTWMERPGEWQEVYVKSVEQNGDLAQLVYWNFYNGAFTTANCQYLLKALQWATSPDRG NVKLLALMGGNYFSNGIALNTIENATSPGAETWANINAIDDIVSFLVGDISSEVPPFL EGVKPLSERGIATIACVRGNAAAGGVALAAACDVVIAGRNVVLNPSYRGMGLHGSELH SYSYLKRCGPIHAATLLRAMKPLNTSLAHEWGLVDIEIGNSTQSSFETETIFVDSVKT LLIAKVDDTFSPNSSFKCAPWCRPTSEFDHDKLLVEVLCENKISTYTKNRNFPPLLHY RNEELSQMLLDSFHPIRSNRYHSRRYKFIRKTKASSTPARYMLHSTKKDEEDTKEFDD APNWIRGEEWKYVNLPIPKSLETSESTRIDIFNYGQSITEANLGEPTSISCTSNLTFD LPTEHNPEEHISESVEMPRKPSEVPTLISSSLTTQPISPTMSSSSSGEGPLPTTPMME ISPTRSIHSTQNQGELKVNSLNSNSKRLSIFRNPSSNNSSIPGSGQDQITNSISNLSI NQNQLTNNISGKQLIDQFGNDQQISMREKKGTFRIIKKKLKNALKSLNSDFNSNPNSN FNSEKQSKPLASGRSENGTRNLSNSKSTSSNSITTYTSKNHSLVNKGISSDDFKQNQI SKNNCEWPCLVTGGQDEDEELSEITKNKQLVQ
I206_05713	MTLKDLRTMQSKSCKYLTTWGDSKGNMARDLSQMLVCANLTRYL ESRGHSDTAESKLELQRKATKYIDRELESQVSIIASQLIGIVDEENEENSKSHGLDRM EILDRLYNDDVVSVEWGKSDENSDSGVDGYDFCFTAEDKEPEDLGFLPEMSDEQLVRV LSFAKDFAPKDPYSP
I206_05714	MCDWTQRIRDRWTHGFQSQPDQSAELINQLELYIEQNNQYQSET PMEYPSNRSTDTSSASLADSIRNSIRSFRNVILETNEGLTPIEWLEDVPGDISTAYRN WLNDYGVPTLNEEDNCMTFATTLIGLYRRLQSGESSP
I206_05715	MTKSERQVEGGQEPSRQNRFDIKKIAANLCTGPYILIPISATIV WFFGLVILLGMWIGDGKPRYRETQASIPYISSVGAAYETFFFILCLSVIIFYFSSEKI YSWLAIFFCGIGCLGLFVLSKWNCWDYKTIHWSGTFVYIIGIAFSSIFQTAEVWCLRK EHPDRRHLKRNGIFKMIVVALAVILSGTFGGFYSYCGGKPFTDDDSHTPDQCEIATNI SAILEWSIAFSLNLYFITLVIDLWPSRRTSRRYVSAEHDESSSSEKGNQNAI
I206_05716	MFKTSRAAALPPDTDYSKQLKETSFYRYGHLRPLGLTGEVSALA VDPILSLFAVGTSSGLVHVYGQAPFQFTLPVSTISSSGPAASIKFLFFHPGHHRLIVI DNSSTLHTFSLQHMTDHPNPLTHPPLPLKEISYTLWGTVTSVDQPLPSHTHLFFTIRD GTTLTWDLSRRGLGNWKIGNCWSDYEHRMLKSGIPGRRKTLGGPMATCISMNPRDLNI LLIGYEGGVVAWDMQRNVVAKTFEMTLPPGAPGGGSYQDADGSLWTERTPSVTSIAWR PDGLVFAVGHADGCIAFWAYSESDKPLMVRTITHEDVNITDAESLFDAGALDNQLRKV ELDPQGNEIPTAVSANREPIFKLSWASFPDQTMLRTQIAAQGADPTIEPISNATVEYA ERGETLLLVLGGQSPGEKPGINVLQFPAYKPPLLRRGTTPQSPSESMPLQERYAYRDS LAPTGSSNYLTKTPPEDFILLPRSNPYFNLAHDPIAIIISLTPDPNLPSVPQPTALRG LESWVFPPPRSTVIPPSPGRKNYVQPGEGEKLVAMTPAPTLGTPSATTPRSGSFSGSG WRLPWTTPGASPGITSPTLSIPTPDSVYGTGPQKRKVRRQLRLPSSLWSGGLSVLGME MYALPTPTFKRLISYAIENAGQEDMPRLPMHGGLAVPDLQSHGAPDVKVSKMESYRIF ITSHADCTIRFWDASPHLLLLPTPLRFEYPGPLPHLTISIGDYLKHPDVQHLPLAQLW TTDRSKVRIKSIHLAKEALECVITFHTGEIIVTKFAEAKGKSREDEVEELDESANDQH DSGYFPSMSSHNNNVEQGWVEEVLEIGHLAKYKTDGFKPVAIFTMKRGEPICTAVSDI GFIAIAFASKTVAIIDMRGPDVILREGFNEDGEQLKKKKKKGNVQNVLGEQSTVGAMR WVVSGMGADLINRPRLIVSYAKGMTKIYVLINSLGEWMVETKPPTFTNESLAGPIASF VLDPINGNELSASSEALQAAMRNQEVPDHNKKEIPVHCLWIAASKRSIRCAINFNGER VSKVELENEELSDVFYVTRYGQKVLVAVTTTGSAHFYSVPYLEYITRVDLYYGTEGRS AGKLSMDDRSGDFIEYSGPLDINLRTFFHFRKPFPPRLDPCVLKRIIPIQPVPLNASS MVGGWIWGGAPLTGTQLDNLIAGPTRPPAPKVPPPPPKPLITWGKPPEEPEKVIQTAS TGAMPNRTAVKKQKAPTRDLRERNDVYSEMTDAATQRGNYLDGLNDSLNSVSESASNY YSQARNAAMKEAAKSTAKGVFGKLL
I206_05717	MLDVIYHQLPYSFSYFTFACRLSAFILFAPFGLCIILDIIAYVF FRFPVYLALTDGLGQSIPINHFTPLHEGFVHDADNLLSLSHLAIARTLHLSITQRRVP RSPPVLSKEILSNDLNSSSGEVSEEESISDS
I206_05718	MTASSPTPITLVGATGLTGSRTLNSLLASTHPFNLKVLTRRSLP STPPSKNQLTTLTTKLYNDLFEAPTEGSAIAEKGGIYVSCLGSTRANAGGTANQEKLD LGLNRDLAKKAREDGADTMILVSTSGADHTSRFFYMRIKGQLEEDVKSMGFKNVYILR PGALLGERTESRPAEWLMQGAFKGLKKIGLSFNMATQAEDVGACIAELAANPPDEKVL TLYDDKIMAYAKKYHETNSTSPTSD
I206_05719	MIASTFISLLAFPLVTAFYLPGTAPRDYQEGEKIDVFVNTLTPM LNSKLHSLISHDYYDERFHFCQPEGGPIKQPESLGSILFGDRILTSPYEINMMENSTC KLLCQSSVPKEDSKFINDRIKEDYGLNFIIDGLPSSEMKRDLKTNEIFLDAQGFNLGD DETIPDKPALNNHYDIFIQYHLKEGYHSLKQFRVVGVLVYPRTVNSMISGSNSPDCFN TQPLYLSEATNNEFYYTYSVSFIPSDIPWGLRWDAYLHVFDPKIHWFSLINSLVIVGF LIFMVAMVLYRTISKDISRYNAIDLSEDVQEDYGWKLVHGEVFRLPPRPMLLSVMVGN GTHLIMMCIVTLIFALFGFLSPSNRGSLATVLLICWTLFGCISGYVSSRTYSTLGGNQ WKSNLILTTILFPIIIFSLIGLLNLILIFNNSSGSIPFGTILAILLLWFLISAPLSIL GYFYGMKIGSFKNHSSQQQQINSIPRQIPLIKPFYLKIFNSILIGGILPFGAAFVELY FVLSSLFGNRAYYAFGFLFLTFLIYILTISTVTILFIYFTLCSEEYRWHWRSFLIGGG SAFWLFIYGIWYWISRLSFDSFTSVILYFGYLFLFSLLDFLIGGSIGYIATYFAIRRL YSSIRVD
I206_05720	MSVTLHTSHGDIKLEVFCESVPRAAENFLALCASGQYDNTLFHR NIKSFMIQGGDPTGTGKGGQSIYGSPFNDEIRQTLRFNNRGIVAMANAGPDTNKSQFF ITYGKQPSLDGKYTIFGKVIDGLDTTLDSMERVPVNAKNKPLSEIKLINITIHANPIA DQAK
I206_05721	MSPEAGPSRRRISFQNGHTTTQIILANTFSTQKRRKITDVNEIF EKPNVEEQIKLNKDYRALQNKAQEMRANLANSTTDDLIQAMSKQEDLFINVKDTGIGA LDANLMKTNTENAMALAKKFKIDGVNFDIDEFLLKVKGHLGLDRIELADQDQSSDEDD ELEDSLQSRGGGRGVRKGVLGDWDKIGWMAAKFYRRVSGVEFMYGPLSKIHEKKKAGP RQKKKALAPEIRPEEVQQEQGKEKSKDDFTSNVRMVKKVLQKLDPQGEGINFFELIIH PEDFGQSVENCFFVSFLLNQGLAGIDLTDDGEVIIKDTIAHEDMDGDHVAKHQAVVEL DIQTWKDAKRIFNITKPSIPHRDYTAIRAQMAGNAWYS
I206_05722	MTSVNGTQAAASEVGDEPNPSIVQPDPDNCFRILLATDNHIGYA EKDPIRGQDAINTFKEILEIARDAEVDFILLAGDLFHENRPSRTCMHQTIALLREYTL GDKPIGFELLSDPYDGSTPGFSFPAVNYEDPNLNVAIPVFSIHGNHDDPQGTGPEGAL CALDVLSVSGVLNYFGKVDLVSDEAVQDDSEKGIKIKPILLKKGTTSLALYGVGNVKD ARMHYELRSNRVKMYMPEGGDVAEDDWFNILLVHQNRVKHGPQQSVPEGMFDDSIRLV VWGHEHDCRIEPEKVEGKGYWITQPGSSVATSLAPGEAVPKHVGIMSIQGSQFQIAQI PLKTVRPFEHDEAELAYAASQGRCSLDDKDSITNFLKEEVERLIQQAKKNWKASNPEP GETMMLPLIRLKVETTDAKEMTNPVRFGQLFVGEVANPRDILQYYRKKKMAERKAKNN PDLPDQEEEDWEDDDPTMLTTNDRLAKLRMANLVKQYLQAQNLEVLVENGMEDAVMRF VEKDDKDAIKDFVSDTLKMVGRDMRSKEVDEEDVEDHMLQAKEHAASQYAEARPIPKE KPKKGKGKKKDSDEDSMLAEDDDHMDLDSDGSFQQARSSKGQGKATSKGRGQKPLFDA SESEEEEEEEEVMPAPKKRGAPSASTAPTRKTAAKPPAKGPAKKAPVRGAAARGMQQS QLTFSKGGKSSKPIELSDSE
I206_05724	MSIEESVPSISKEEKKRLKEEKKARKAAKAASTSTSDIPSEKKR KSSEDEEVIKEKKEKKDKKKDKKEKVEPSTSEAVEGEEPPKKKKKKTKSDEVSTFTPA EASSSTPAEASTNQGEVILSKKQQKKLARAAAEASETAQAESSNSQNKDLISKEFTEE QNQYLKEQNIKIEPPTYSPILKISNLPIKEELKPFLKKFEKPTPIQSCSWPALLSGKD LIGIAETGSGKTLAFGVPGLQHVFTLPSTKKGIAMLVLAPTRELAQQSHDTISEFGKL VGTNSVCLFGGVGKYEQINILKDKKTKIVVATPGRCLDLADSGDLDLSNVSYLVLDEA DRMLDQGFENDIRRIIAHTPDHTKGRQTVMFSATWPESVRRLASTFLHKPIRVTVGSD ELSANKRIEQIVEVLDNPRDKDGRLLYHLRAHLKAHPNTPDSPTRILVFALYKKEAQR LEYTIKRANYDVGALHGDMTQDARFKALDLFKSGKQNVLVATDVAARGLDIPDVGLVI NVTFPLTTEDFVHRCGRTGRAGKTGKAVTFFTGENHEKSLAGEFMRVLRDAGADIPQE MDRFPTTIKKKEHGSYGAFYKDTTNAPAPTKITFD
I206_05725	MSFLSKLGYETIPAEIAEKSFYDLKATLPGSKGELDFSTFKGKP VLIVNTASKCGFTYQYTGLEDLYKSYHDQGLEVLGFPSNEFGSQEPGNDEDISSFCTL NHGVTFPLMKKSEVNGKNMNEVFAWLKAQKGAGVGGVAGTTSIKWNFTKFLINKEGIV VGRYGSSTKPEALKKEIEKLL
I206_05726	MSNSINVNSHSTHQTSHPLSNEHEYSIPGDSSANNISSMNSQNA SINTNGQGNFSVIRSTNGEISRGKYEDWEKEYERSKLKEWEEKVNKDLQGWRGGNGNP RSSYTLQAIPAHSYFNQPITGIIGKHLPKEIIRIDRDWSGGEVCQFDTVYPLELEGRI QPSQLLSFIKTLNEILYSAYSVKNTILDNLIAVGTLWTSLIWRKSHFEKELIRAEEYI QLSNKEVFNLNGLNVLSPRFVALQFLEIEYY
I206_05727	MTSTPQTVQSTTLSNSIPERPLSAPPRTSTPPPPPPSRAIPKDF KSSLSAWWSTSSYKEARLAEERLLRRMSNFEPSSNLQESQKGWFGFGSSATATAAGQK YEIDNKIPIEEIGQIKEPIPITNSGLVATLRNVFIPTPNPNLAPQHPADPRDLTSESA ASSSTDLSENTSATRKKHHHIKKCKNNEDGKLVDYINTLEITKPQDRDSKEGVVVLHG YAAALGFFFRNWESISLSSSTTGRRTFFLDWLGMGLSSRPSPNLLNPPSNSTIPNRVS RAEHFFVSSLESWRESVGLEKMVLVGHSLGGYLASAYSVRYPERVSGLILVSPAGIPH GPEYVKYPLTSELSSKEKINLDISNRNRRNSRERETSQELEEATNAAEAEFEPNTPKG EAKDWARKREESMLRRGMMKFFVWGWERGLSPFMFLRNAGPFGPLWVGKYSSRRFAAQ SEEDVRDLHSYIYGTSIMKGSGEYCISHILAPGAYARIPIIDRINRLKVPVTFMYGDN DWMDVQGGNDSVKALKEAGNSNATCHVVPKAGHHLYLDNPEYTNKLIGQAIKDIPKAV 
I206_05728	MSRPTQISSPPTTPPSPFKFDSIPDAIEAISRGEFVVVMDDESR ENEGDLVCAASKVTTEGMAWMIKWTSGFICLSLPPSQLKKFDLSPLLPPSGESQDPKG TAYHLTVDANSSKHPVTTGISAHDRAYTARLLASEDSVEDDLTRPGHMVTLRYRPGGV RERRGHTECAVDLCYLANLPPAGLLCELVHPTKEDGSMARRDDCWRFAQEWGLKIVSV EDLAEYVKVNGKGLVPEAQDHQ
I206_05729	MPPRRSARASLPTPAHSTTSSTPGEKSVAKSTNSLPRSRKNTQD QPETPTSMEDEYNGAITLGDEMSEEDSGFLSSGVKTEGSPESPFTSNSASSSSKRYRL ESVTLPSRRSKLGLDKTESADNLTLDSPSANKPHINGVKVEHKDIEMKDEGEDVKPPT NTKSRAVKGKSKVDPTEQAPFNIGKFSSVSKAQTSDLALDAPSASKRARLPRAGKGKA VKKEFTPPLTDEESEYEENQDDGHYAMDSDDEEKQLQAAIKASTKATRSSSAASTPLK GGKKTKGNQAALKSAVAKAAEKRLRGANGTRTPSTRANTTNGDVTPRSVASNGGTDMT PLSDDDSGLSTALSNISDEEAKFTDSDPDEELFSDDSLHSAESLGTFRKRSKGRKGKF AGKGQTVDGKTYEEMAEELGSEGEGLDLDEMRAMTLRKMREKNRRDKKKAEEAAAPRK KKERELSKKLGRKLTNGERNLIALGMSHPELEDVWGDLQANVEPVKPVTMEAHPSLKL TLLPFQKESLYWMKKQEEGPWKGGMLADEMGMGKTIQTIALLLSEPRRKPSLVVAPVV ALMQWKHEIETHAEGFTVCLWHGQGRMKANELKKYDVVLSSYGTLEAAFRRQQRGFKR GNNFIKEKSPMHEFEWYRVILDEAHNIKERSTNAAKAAFALHATYKWCLSGTPLQNRV GELYSLVRFLGADPFSHYFCKKCDCKSLHWQFKDRRTCDSCGHKPMDHVCFWNTEILT PIARYGIEEGGPGHTAFKKLKILLDRMMLRRTKLERADDLGLPPRTIVVRRDFFSPQE KELYMSLFTGAKRQFDTYVGQGTVLNNYSNIFSLITRMRQMACHPDLVLRGRTSNLVS NGPEGTVCRLCNDTAEDAIMSQCHHVFDRECIRQYLEVKQSRGHRPECPVCHIEISID LEAEALDLEDNNKKARQGILSRLDLKNWRSSSKLEALVEELEKLRNKDCTIKSLVFSQ FVSFLDLIAFRLQRAGFVVCRLEGGMTPQQRDATIQHFMNNTHVTVFLISLKAGGVAL NLTEASMVFMMDSWWNPSVEYQAMDRIHRLGQKRPVKVVKLVVEDSIEDQIVQLQHKK LAMTEAALNKDPDAALGKLTVEDLGFLFKL
I206_05730	MTVDQSSIPFNVSEHYQVLEVIGEGAYGVVVAANHIASGTKVAI KRITPFDHAMFCQRTLREIKLLRHFRHENIIAILDIIAPPNYDQFHEVYLVQELMETD LHRVIRTQELSDDHCQYFIYQTIRGLKALHSANVLHRDLKPSNLLLNANCDLKICDFG LARSAAMPPPDSGPNGGNGFMTEYVATRWYRAPEVMLSFQEYTKAIDIWSVGCILAEM INGKPLFPGRDYHHQLSLILDVLGTPTMDDFNEITSSRSKDYLRALDFTRRQDFSTVC PKAKPNALDLLKKTLTFSPRKRITVEEALDHPYLEPYHDPNDEPGAEPLKPDFFDFEN RQDQLGREMLKRLIYAEIQKPIHDDRG
I206_05732	MSVKKIDITSDVQCPFCLIGVKQILNAVEKYKTDHPSSGEYQIR LLPYQLDPTLTDKPKSRIQMYKEKFGEEKAEQIMAAMGPRLESLGFKSDYGGTVSSTH LAHRIQTYALLKNPDKQIPLAMDIFEGFHGYKKDPSDKEWLSSLAVKHSIFQNEKLAL DWFNGNECCEQVKQSYTIAKRLGVTGVPFFVFQEKYAASGAMGEDEFYKLLEEIDKRE STPKQDQPAIIAHGESCGHDHHEGEVCPAN
I206_05733	MTFRSRSGGPIKNRKPKKQTHTRKLHHPSSSKKDENEEEKQQSD IPSWSIPLSSCLESAQIYNPPDSEILSILNRSLHDTLSSDEFIPTIQKIKGLLYDKKW LEVFCGSEFILESYAGRWVPSRACCFREVMDKLVGNIFTGEEKGLEDSMAQLDVDDDE SEEDDEEGEDQKEGEDETDEERDDEDSQGDSAEGDDEEGVADSQWRDQAKQLEQTTPC PQETHHILSLGGGAGSELLAITALIRSTILTRPHSHPKFSWTGIDIGNWYGVLEKIEN AVRIDWKIDSNLLDINYIKGDLLSLPINAGDSTLKVNNQSDTFIDLPKLLIDKPPKLI TLFFTLTELLTQSRPRTLSILDTITQNTSSGTYFLVIDSASDISDFSLGKDGRKYPVY MIIDTLLTAKGRGWEKVRAEDSRWFRFSEGVGAGWKVKLENTRYWYRLYRRI
I206_05734	MSTYSQLDARDVLIPSHFDFIARSSPAFLNTSTNAESSASAHKR RKEDHQVRQVNTDLPTAADLFVPSLPGIPNLASHPTHPLNLYAGEIPSYPGEGKGGGD GDTGKDAKLFFMMAKARRSAGKQRVLFWFNGGPGCSSFDGSLMEVGPFRTVPATETES GKVEVRLAEGGWEEYATVVFIDQPPGTGFSYVPTNGYLHELDQGSAHFIKFLQNFYQI FPELEGVDTYLAGESFAGQYIPYFADAILKSSDLPNYPLKGVAIGNGWIDPIQQYPGY VDFAYEKGLVKQGTPEGEKLDAALKNCQASMEKYTDPFKTPSNIDHCGEVMDSVTNPY IQELNGKKVCMNVYDVRLVDDWPACGMNWPPDLSDVYTFLRRDDVIAALHATEKETAW VECDNKVSSELHLRNSPQAGALLPGILEKGVPILMFAGTEDLICNYKGIERIVNNLTW EGQQGMGQNATVQKWYLNDTQVGTWQSGRGMQFAQVYDSSHMVGFDVPQVTNDMIMRF MGVDLTLLPGVAAQSASRLGDVERIGISIGAGAAAGMPLLKGGATDWDAWYNIISALL ILFILISIVALYFYFRRRGSLRRIRGAGLGLPREEGDLSERIPLGSERVELDDIERAE RYNEDYLDQDSRQRKGKGKGKERFLDEDNEGRGETVFSLGDEDEDK
I206_05736	MPRSNRVNTRAALVPVQKVITKGYSYLDLASDGAEWGIEVLDPN SQEVKSAVALGLPRRRVDSRRGDIWWRSDQFPECSASYYHIIYNPTNGSIASLGTCPY HAKQKTRCAGRQGSGIPADLDGNGNSDGDDHTPPLAPTSTGPLAPTAISNLLQSPEGS STISTYFPNHQPNANSIPPRDNLDADETNMNSDIPSSRSMSSQSHLNQTISNQQGPAI VTDLIVDRKTERQAKLAEIGAKLKMLKNQLRELIQEDEDVTS
I206_05737	MSQQNHTYASTHAHPGHIDMPPTQGPPAPATDDLLESPPLVSSA DRPAPSRGILKNPVRRPSEYEQGMLVDGERVPGEHLQWDEANIALTEIQKDSLMKITE PKTPYVRYDAVNDRVLDGDIPGFDLETDQPPKSPLTPLSPNRASLPPTPNSTAENTIY NSQQRPPLDATPRRPSSSGSSSRSASFSLPTKDRPVRPGSSSSANGLGINVELGATAA NTAANSAPDGEVFSDSEEEMDEEQKAKHKEFEKKRNNHYSKEAAFAMKKARELLQKEE EEEDAVNGQEAKMDIDA
I206_05738	MRAQSVVSAAGTSALMLAASAAAEQAVFHPTSLTAPFVEQFNDA IADSRWTISRATKQTPVGDETFSYVGQWEVEEPEVYPGIPGDKGLVLKTKAAHHAIST LFPEPIDPKGKPLVVQYEVKLQKGLECGGAYIKLLTEGEGGEGFRAGEDYTDKTPFTI MFGPDKCGSTNKVHFIFRHRNPVTGEWEEKHLKNPPAPKITKTTALYTLIVNPDQTFE ILINDESVKTGSLLEDFTPAVNPPKEIDDPEDFKPESWIDEAEIDDLEATKPEDWDED APLMITDTDATKPDDWLEDEPEYIPDPEAEKPEEWDDEEDGDWVAPLVSNPKCEEAAG CGPWIQPKIKNPNYKGKWTIPRIPNPAYKGVWAPSKIANPAYFEDSHPSDFTKIAGIG IELWTMTEDILFDNIFIGHDASQAKKFADETFHVKKPIEKEAEGSLEDEDLDHPNTLV DKIRLKVYEFIHLATIDFATAIKQMPEVAAGLAAAAFTALGMLLALFGLIGSAPTKVK QTTSVKKTPATTKAVAPVAPAGEEEKKALEDAGVPVPNPEVTNPEVRKRTTRSTKD
I206_05739	MEGFGLPMSFGKKAKAAPTTLKNKVDHTKRADPSSAPELPKKEE IPIPGPSKPIPSTFEIPSNEDEENIGPNQSSNFEEGNMGIKRKVDEEESDEDEYSDEE EIDRTPITHEIILKDHTKVLSALAIDSSGARIATGSHDYDTKIWDFGGMDSRLKPFKS FEPNGNYYIHDLSYSPDGHKLLVISGTLIPKIFTKDGEEGVEFNKGDVYLRDMKNTNG HTAEITGGSWHPTDNSQFLTCSNDSTLRIWDVENKRKQKQVIVVRSKERGARTKVTSC AWSPDGKWIAGACFDGALHIWSTSGNFARPTYSNENAHTKNTETTGVVFSRDGTKVVT RGGDDTVKLWDIKSIKKPIAIATGLDNLYPETNVIFSPDEKFILTGIAAPKGKKGGLV FLNSKDLKEEKRIAIGEGSVVRVAWHSRINQIFASLSTGSLHVLYSPNSSIRGALLPL SKLPKTAPRDSSFTTIDLKPIIYTPDALPMFKDEKYKESFNQKIKKSKKFKPMEPVIG SGKGGRLGQSETQGFVQTLFPTEQIFDDPREALLKYAEKTDKEEE
I206_05740	MSFFKLGSDDSSSSSSGSDSEESILSGDEGLIQDKKLAQAKSSK SKNKASMFLRSDAEDSDEDDSSDDDEEELSDSEDERAARGNKFLMGADSTDEDEEEED KTVVLSAKDKRFAEMEAAIHNITNATRNNDWVLASTELDKVFRFIQRHQVTVVATTVA AAGHIPPRFLEILVSLEKDVNETILSEKSAKKKMAPGKAKALNSLKQTLKKKQKEFEG VLKTYNEDPQAYTTAYEVANAVPAPKKAAKKVAIDVDGDIEEQNEDFMTIGKGGKALN LTPEGVFKTLREIFEQRGRKNTDRAETIKILSKLLEVAETTYAKLRVLLALVPARLDY SQNLAHIPHESWVLGLNELDQLVTLLLDNPDYVVQETVGEYDDLVEREPQVVNGKKER VAVAGSLISLLESLDNEFTKTLQHTDAHEKGSDYIERLRQEAPLYTLVAKAQSLFERE AATDSTARAVIRRLEHVYAKPNVIIEHFESKVPASIQSKIVPRDTQRSAEGLIHDLCV YIYGSDNPVLRARAILFHIFNHASHGRYHQARDLLLMSHLQDTIQHADVTTQILYNRA IMQLGLAAFKMGYIVECQTILGDMFATQRQKELLAQSVQRYQQQLTPEQELIEKRRLL PFHMHLNVELLEAAYLTSCMLIEVPLLASVDTEEQRRKVTSKVFKRLLDLADRQAFMG PPENTRDHIIKASKALQAGEWEKARDLILSIKIWNLLENADDVKTILSQKIQEEGLRT YLFTYSTYYASLSLTQLSITFSLPIQIVNSIISKMIYIDELQASLDQIDGVIIFHKIE LNEVQRLTQQLAEKTVNLLDQNEKSLDLKLGNNNQQQQSGGINNSTDRSTQEGGQKNE RRGNNGGGNGRGTYRGRGRGRGGFNAGLGNTRTRVQA
I206_05741	MSWQPSEQGLQEVLGMLRDTSSTDSEVQRNVAQRLDQLRDVPDF LAYLAHVLIHCTGEQDSHRAVAGLLLKNSLNSRSGPVNNDNDARAMAYVKATVLNGLA DPDQIVRQTAGTVITSLISNEEPGGWPEALDALTKGMGSSDANIIEGAFNSLQKICED APHKLDFQIQGKNLLDHLVPQFIEYTAHGAPKIRLYALQILQSLLAIRVPSVTANIDT YIQALFAKAADESPDIRKCVCASLGLILGTRPDKLVPEMSNVVDYIAFCTQDEDDTVA LEACEFWLTFAEDPTLKDQLRPYLPKIAPLLLKGMIYSDWDIAILDIDDVDENVADKE TDIKPRNYSSKVHSTHESNDPSSSKGGAGGFSREAQDKAFDQDEDNLDDEDEDDDDYF DDEDGSGEWNIRKCSAAALDVIAVSFGNDLLEILLPHLRDRIFSQEWTQRESGILALG AIAEGCIDGLEPHLPQLIPFLLKTLQDKKALVRSITCWTLGRYASWCVHVNQADKTQY FIPTMEGLLQMVLDGNKRVQEAGCSAFATLEEEAGTEMAPFLEPILRNLTFAFSKYQQ KNLLILYDAIGTLADSVGQALGQPGYLDILMPPLIERWQRLGDQDPDLVPLLECLSSI SIAAGGSFSTYTGPVYERCLRIIHATLSQYQVFEQNPEEVEEPDRTFIVVALDLLSGL VQGLGDQMHPLIRDGQPPLLHLLALCLTHFEPPVRQSAHALLGDMSMTCFPLLKPVVP QFLPSVIEQIVVEPPADCISVCNNAAWAVGEIALQYNGDSSALEPFVPSLISRLVPIL LNTKSPKSLTENAAVTIGRLGLVCPALVAPDLPNFAQAWCTALWEIKDNDEKDSAFRG FCMLISANPEGIQNSFIFFCNAVCKWQHPSGQLDQMFRTILQGFKSGLGANWEAQTNT FPPVIRQRLAERYGV
I206_05742	MPPRRTTRAVSGTSAASTSKAPTRTSRSKKVVSPPPEPESDQEE GFPGEDSDGQSAVELKEDEEEVKPKSTRGGSSKVKSAPASKKRATKMRVSEADSTVGA KEEEIPEEEEELIEPIKPKIKGTTSQNQDKGSRKKKVVVSDEDEDDTEEELQEALAGR DRRASTAIPPTPSSLSTPLPNRERSVTPKGNPGIPGTPTPNGMTQINEERESGEESDG TIKIDSTPSKQASSQIPSTPLSRAQINIDPPVDAKPAGPKPRLTIHKLVLVNFKSYAG RQEIGPFHKSFSAIVGPNGSGKSNTIDALLFVFGYRASKMRQGKLSELIHNSAGKEGL ENCSVEVWFREIVDLPGVDKFLLVPNSQIVVSRTAYRNNSSKYTINDKTSSFTEVTTL LKGKGIDLDHNRFLILQGEVESIAQMKAKAQNEHEDGLLEYLEDIIGTTKYKEPIEQA NLEVEALNDERGEKMNRLRVVEREKSSLEDKKREAEDYLRDANELTRKKSLLWQHHMH TLSNNIEITTKAIENLNTQLVDEQDRNADHLSKIGDLQKEYDEKLAAFDEVKRLTDAL VKDSKKFEKEEVGLAEKKKHLVTKQKKFKKSIQEDGHARSEALSAIDNHAADLEKNRT KVIDLEEKLETEQGELEEVVDSLKDKTAEFSSQIEIKQRELEPWTAKISEKQSLIDVA TSERDLLAEKATSMQSSLDEAKTNLQSLKDGDEGKHEEYNALKKEHIKAKKQLAEAET RLEDMNAQSEQLRSKVSSSRSKADDARASLAADRSENAVLSSLNKLRDQGRIKGFHGR LGDLGVIDDKYDVAVTTACGALNNLVVDTVEQGQACIEHLRKGNVGRASFMVLEKLPP RDLGRIETPENVPRLFDLIKPKDPKFAPAFYKGVFNTLVADDLVQAQRIGYGKKRWRV VTLAGQLIDPSGTMSGGGAKVSRGGMSSKLKADKVAPEVVNRYEKDSTLAQEEFTKFQ EERKEVENEVKILKKRIPEIEIQLEKVELDVHTQRKRIEEGEKRLKELIIQAKPDAAD EKRINQLESEIKSLTKETDKLKEKSSGINGQIKSLQEKILEVGGVKLRAIQSKVSTTK GLLDLANEAVTKAEIGQTKSTRDVEKLNKTLEKNKLALEETEGELEVVENDLKACRND LEVIKEKVQEAQDASEDVKDGLKESKKDLDEKMQGINAFRALEMDIKQKIEDNGRLQK DSKDKYKHWKKRHEDLELVYIDEEDEEEEDEEEVKNDALAESQEGENTETKEGRGEGE EEESETVEKKAPKKKKEDTTELVEYSPDELREVDKELLNAEITELEEEIGKARPNLNI LAEYRKREAEFLDRAKDMENVTNKRDSAKKRYDDLRKVRLDEFMSGFSAISSKLKEMY QMITMGGNAEIELIDSMDPFSEGVVLSIMPPKKSWRAIANLSGGEKTLASLALVFALH VFKPTPLYFMDEIDAALDFKNVSIVANYIQSKTQAAQFIVISLRNDMFELAHRLVGIY KTSNCTKSVAIDNKDLRLQARPKRSIGIPSTPIPGNRNVPPTPIGNKNLNSTMNLNRM IPHTPLTQQRRKEDTEEISTPSTVIA
I206_05743	MSLRTAALRAARSTSSSRSKISLSQLSKRGYSTPSSRPTSSSWR QQIATSFAVGLVGTVAYRYLSDNKSQVQCDGAPLVEAIETHGYPWVPVDALEKDDPQN PMRIRMATWVKSLQDHIVKTMEEIESSVEPNSFSPFKENPKFLKDTWIRKEGGEGSSC VLSNGRVFEKAGINVSIVHGLLPPKAQKAMLPDHPSLPEPKETKPFFATGLSIVIHPR NPHIPTVHLNYRYFEIENKNDENQQPITWWFGGGSDLTPSYLDEKDCIHFHNELKKSC DKNDLNYYKEFKKQCDKYFFINHRNESRGIGGIFFDDLTISSPINQINQNENGKPKTQ EDLFNFIKDASSSFLPAYIPIVYKHLNRTWTEEEKRWQQLRRGRYVEFNLVYDRGTKF GLHTPGARIESILMSLPETARWEYMTPVGAEGSNSPEEELQKVLKNPREWV
I206_05744	MLSAAIRVVARSAAIQLEEVAALSATTTQHQDKGKAKEVDPEDM ETVRAQVQSLSELLEKYQTKSFLSPENASSSSTLKSPTNNISSGKKELSALDKLIQAS PRSPPPPAPATHPDRFIEAEQRKKAGLRPIPSSSISPPQQYSPVGNSTSTKSHRVPPG GSIGLSLNDLIRASPRQNPPAAPDTHPDRHIQAEQARKSRILQTSVRPIPPIKTNPQN SPSVPLDVSTRQSAINQPVKELPSPPSSSQLEQTGSPATPDHTIKEDRSVPLPQTAPV EHFEHEESRILDSSLAATGKSDPDVNDFVSPDASSATPIEPVDDIADLGVDPISPKSQ LDDDDIPVVLRASKVPSSRLGRLFHYGSLAASLSIGAASESIRRTTGGNKSGGSVFMS DANIRRLVATLGRMRGAALKLGQFMSIQDNHMLPPEIEKVLHQVQAHANYMPDWQMER VMRDDFGSEWQSLFSSFDRTPIASASIGQVHRATLPDGQEVAVKIQFPGVASSIESDL NNLSLLLRSSALLPKGLYLQNTIAVMRRELQDECDYIMEAAAGRRFSQFLANDDYFSV PKVVEEGTTGKVLTTEWMDGKPLSRVKDLSQEARDRIGTNILRLCLMELFQFRFMQTD PNWANFLYTTKGGKEGIQLIDFGASREYTKEFMDGWYRLLKSCLEGDRSKMKDESLSL GYLTGEENDLMIEAHLDSMALVASPFSYEGKYPFAKQTITDSIRSLIPVMLKHRLTPP PQETYSLNRKLSGAFLMCAKLGANVDCQKLWIDVAGEYKEG
I206_05745	MFAHNDPFILQGLNQTRNLGSDEKSQRLSSGDQQWLNEENQTIP LAPADQMWEQFFNFETSSSPPTSPSGPSQPNSSPTTAETSLRAVSQDTQEVDREPLPI EDPEPFLVDRLVAGEDNLADPPTPQPGLRQFPTEQEPRLTICPALLLPPPPPPVEEEL NGELPRDLWDNVALLNNSDDPADYPDPCDWEPLDSDALLAPATLEPVPIDAIPSPAFE DGLWLPIDPAPLPAPGPIALASPAFEDDPWLPIDPAPLPAPDTIALPVAAPGPTATAT PNPAPTPAPVLPQAPPMPITGAVGSLFATGAIDLTRRLGGTTLPKTSNVKVHKRVKWA CENCQAIKTKCVNVKQNQCERCAELGLICEYTNGFNENNKKRPGPKDDKDQDGKRRKT GDGETGMAGQSEVSVI
I206_05746	MDASIKAENLVFFMRILQCASKYGDDLHIHATQRHWELSVTNSS KSAFCLFKLDKSFFGRWNTRRRKSVKCKLLVKSVLAVLGKAAQVATIVRLDLRIIDPS NELRPLQHRKNGNRDVRSSSVPKGEEDDSDGRHFGDEDEFTDDEDTRLSRESKLIVRL VCKHGVTKKHSLHLGSSDFLRADVDPDTTPSGFNITSRTLRDWLDNFAISTGSYNSSN SGGTDQLGWMFTREEVRMKSWEGMGGGGLCTEIKVDTGEFQDYEVVGDRVDLTLPMKE FRATLVLAEQLSATLNVSFSEPGQPLTLTSLDTEFKDFTIFCAIATTACEAFKDIRSP SIEIKRSSSDGSTRPPSGSLHSHHRPNLSDTTSSSSSAKGNGHGQNGDRSQTSDNNGT SSTPSSRKRKGSEAPSSSRKRSSLNLTPAQEPMSNVYIASIFNQNAAAVPSPVDADSN MVTGMDLDHAPLNDGEALFLPGGTQRSNSPDAPLDNLDGPQPPQKSQAYTMSQADVLE IAGLGDVDLEEALDNADLEDEREMEEEFARASQPQKGQEEEQQPGRHSGSHQSPAEGP ASLDEGKGTEMEKDPSNGSKNGSNHPMDVATGSGSGNKSDRSHPAWVTDSISGDTTND TSNPASALVWDSTIDNPSSVGSTSPNKREASPRKRGSASRSNSPQKQISPRKASKSPS KTQIPSQNKQKEDEIDELEEDDDDLVGHPTQDRPGSAMFKSLFKD
I206_05747	MFERTLQDLIRGLRAHKASSKAQEDAFIAEAMVEIRQELKGKDM ALKAEGVLKLCYLMMLYPIPTPPEFAFHVVEVMSSPRYHLKQIGYLAAPMAFSGDTEE VVLTVNGIKKDLMSPHVPLPPIPLTALPHLLSLSPSLSTTLHPDLLHLLTHSSPRIRK RAVLCLLPCWEAFPEGLREGFPRLRDKLQDTDQGVVGATVGVVMELARRQGGKNYLPL APELFGILTGSTNNWMLIKVVKLFAILTPLEPRLVRKLLPPITSLISSTSAISLLYEC VRTCIVGGMLDPDRAEGEALARVCVEKLGGYLRDEGGDQNLRYIALLAMVKIIPTHPT MVAVYQDEIMESLDDPDVSIRMRALELVTSMVDRDNLQTIADELLSHLAPSDENASTL PSAVASLTAIANQLSPTAAKDPTVTSTAVSLSPAYRLLLTQKLLTIISYDTYVNVSDF EWVISLLVDLAYVSHVNVGEQIKNLVLDIVGRVKSVRQYAVGVFEKVLSDEDLRERGR DGTGEDGLIEAAIWVCGEYSSCLSSPLSAISSILSPTLHLSSSSLISLSLHSAAKIFG HYTANISSHWSVETHEEAKALVISMKSGLHTFLSKGDIEVQERAFEFDQLLSFVQADL NNHMPTVKQISSPEDDVPEVMGGFAESLATDDESNPSYPKSLFLFEPLFTSHELNAVA YRAQEAVRIPEGLDLDAEIIPGGGFGTLSEDEESEEEKTAFDLGEGGGEGMEELRRVL REQDKKGKKREGETKEERARRRAARKAKHKDDPYYLYDKNEHDQEEDIDEIPIVKLND SEIAIEESNPHSTIKGKFKSKKSGKGKIAPPEFDRQGELPEGSTAPMTTSMKKPELER DNSGLAAIDLTSSEQTSRSGRYEEYKMDDDQPVRLGTPSSGLEEIGKKNDDIPVVSTG DIEVVKVKKKKKGDTKKKKEKQIVEG
I206_05748	MDVPRIVRTHISTAELNPPQELIDGVSNGQVGLLEIVKALGEYL TAAEEEVRLKGLTFLSNTLSVVSVSKINRQATQTLTNFYLSKLDDFDSLPPALDGLTI LSKLPTFDDEAAIEVYKGLVENVNMKAYIQATRHKVYVLFDSLLALHRSALRSMGTSF LNSYTKMVDGEKDPRNLMLLFSIDRVILLEFEVKDHIEDFFDITFCYFPITFRPPPND PYGITAEDLKLALRGCMASSPYFARMAIPLFLEKFATLTGPSMKDLQLSIAACLPVYG AESVRERAGELWEGIKTEILYSSDTSIEAAALCALEALIRTLYPPDQSPGGIAQDIIK ECLEILNEPEKTQALAATKILAAIFRSSSSAGKFAISQALPQLFRQFNSPSLPSHRAP ILSTISSLLLAIQSVYSSSESHRTQQDEQSLEPYHQGILDVLREGLRTEGLKAPAIQG CTACVQIPGFWAKEDVENVVRGLDDILVNDEDPEIRPEVIKALTTISTHHPTIIESLT LPLLFHNLPDTAPGGEEHIAREKYRSILISLKELCVQTALFQTLVIRITTKLDLLSSP TDKDIDMDGESQFDRRECTIAYCWDLLHALQGVIDMKIKQKHGDLVRYFDQLVPRLMT LVISSTSNEGVFGDRRLIGLIAKIVESLVWELPSERQSKWFAATYDAFEKGEWRGVVL DKSITSSGSPLRVGASSSEKDLIALYSGCVQALKLDITLPFSSSAEFLSSKIHWAIHV AGEQWQVKWASDLICAFVNKREGDLKESLETILDSIWTKEIQDTSADLEVRQRGLSVY LFIVKALSLLRQPLAYSSLERVIDILSLSNLDTEFVGFAANALGILAQGKGVGHLTAK LLFAQKLWNFVLPKLIEGDKEANGKLGRLVYLVAFASLLPLIPASLCLADLNTILPLI LRSLSLPDPQQRSNAIRTLTSILETATDSKEVDKAIHTHAETMVESLLKSAIKQPKVP TSAKVRSSALSCLSMFPDIIRFEILHKYKSIVIKELGKTLDDPLRNVRKEAVECRSKW YRYGNAV
I206_05749	MAPVTLPPSFYNSFWSPDYRSGLEVLFKSLEQGCLENDDVEAFI TSQTTSHLILSQSLLNPPLPTVSPESSSSLQHTLLSLRGASSARGEAHRALAQELEQR VLAGFKNWKERHAVRVKEARTDMLGKGGVISFWEKENGKLANLHQTYVNKSRAADDSE DDAKFAPASARSPPSDNYTSSPTVHHKSPSANLRRAGTVADRISEKLRAASVQSTSTA LFSSPSKHRSVLSIDGKELPPPPSPLLPITGGPNGMTSPTSPAREERFVPPTDPDGKP FIHSASGGPPVPSKTPVTPGREEPILLSGLSLTPQALKSLLQRSNAALASRQRSTILG TYEKTFSGEEVVEWLKENIEGFGGDWERCVEASNELYKLGHLSRIGVGRGFDPSEDTY YVLKNTLQQTNIAGNAFSNVNIEKANEALQSMGISSPLSPSTATNALPSLIKSYLPSS LSTSDEPQHVRLRKDASKADEAYKEGVRNAEERRLEMEESIEKGLRLWERWERERLVA VKTVLKHYDEALAKLPDRLRELQKGTSLGVEAFNPEADIKALIEGNRTGPFRPHPHVY ESLETDMPDVNFGIDLRRWSGEHGWKSLVSAPSRPKGAIPEALEALLSALKDMYQAVP DDERRRSWIYEVPLNETHMLRNAINNPQIPLDDLITIVKKFNLPIAAGAVKLYLLELN PPVLGWEGWEDAKAVYPAIGADQERDMTSAVSSVLGRLPGSQLYVLDAVIKHFREMID STKSAESNEVYVTKLALSVGRTILRPQHENDLTIGDRTPSLFLADLIHHYSALFPSLI EKKKKEADRVMPVRKRTALVDQRISRSSLSGADKDPQHLLELQHALQHPSQSQAPAQP PRAVSPSPAGKSTAPLHLGSALGFGPPLEEIARKSPTPPVQQDVKSVPPNSEVPPVSE GRPPAFKEPLDDDEDRPLIFKEPESDSRPTTPTEDLVPPSSAYDYAKSAPRSSTPTES FKSPINAAQASDVTASTPPAGREDREDSVIADGSGSGGLKRATSGETSRLRGPRGARG PRPAGGRVPSHTGKPSITSIVTSYADEPSDTPQVRSESPAVPDSAGLGVNRSRENSRP TSPAAVSGTPISATGDGSSGLKRASRTNFGHGTRGSVSAMAARFENKQ
I206_05750	MSESSSSANAQPLKGPYSTQAVQESINREQAGLGPYASRPNVSE ITGAAPETNAPHRNFKLWSLIGLAYAILNSWTAMATSLSIALPSGGPTAVIWGIVPSF IGNLAMAASMAEICHVYPTSGGQYHWAAILSPAHMAPAISWICGWFAVAGWWALTATA SSLAGSLITGVIALLHPNYEVERWHIFLIYIVYALGALAINIWGLRLLPGINQTAIFW SLIGAVVIIIVCLSTASPNFQSGDFVFKTYINETGWNNGVAWLLGLLQSSFGLTGYDA VSHMVEEMPNPHLNAPKVMILAVCIGASSSFIFLICLLFGIKDVDVVNSSSAGALLES MYQATNSKAGAVCLQIFPIIAMAFTAQGLLTASSRMSYAFARDRGLPFSRVFAIMNKN GVPIPSVLLTTSLVIIFGCIYLGSSAALNAILSSSVVFLNISYSIPILLVVVRGRKIL HPPSLPAPTWTLGPILGPICNIVGLAFTILTTVFFLFPPELPVTGENMNYAVAVLALI FVISVITWVVDGRKNFIGPRDLGALLELARSEVDTHTLAPHSQGHPMTKVENGQANTQ ITHDLN
I206_05751	MTSNNLPYKTFTFTFDPINKVELDVYVPLPNENLNISELEAESL PAVISFHGGGIISGSKKDLYFPTYLLDILEDVETLFKYLSSDSTELSSTLKKLGYKLD STKLGVIGISGGDYPARASFILPSIPKDIKPKAYLNLYGMAGDFLLDHWIKIKEEGNN LPRFPYDIEKVKLILSSKLNQISDSPINPTGDSSGRVDLLSHFYHQGTLLDYLLDQVG LSRQLENLSYKDRFSNIPKEKQYLLLPFNEDIDYPKSIIFVHGKVDTVVPAQASYNAQ EQLKSLGIESKAFWVEGANHGLVDDSNFPNSVPGTEAIFDEAVRDILNALQPM
I206_05752	MSEPYENVKEELRMEEDSNNSDAELEAAIQVETDYEPTNPPSVV PVSAPAQAGSSTSTLSPFKAPPNPSIPQDLSLIMEMVSKNEVVGSLPPISMSAAEKRK LVEESLKSRNDQGKSIQAEVEVKKKEDLDSDSDSSSSFVSSSEGESEDENEDSKLKPD QPMNVEDHAQLQKELNDFVGISNVQQQPSTEVEMDSEFDSDSEEDEIAEDESMRLDKL GFEFMEDDEDDIGPSTSGPITSIHEAPLPAVPQPPLAKLPEGEGVSLAGDVVSWMKEK KVELWLEKQKAQEDEQIKDKRDESDNHRAKTDENDKLVDVGTQEATSDAPTIVEATLV EKAEDGELTEEAIPSSTIPDMSPAKPKDQTPKFTSSGTVVVRAMQSRPGAADEGWLEE GSVLCWEDGRVLGTVHETFGPLTSPFYTIRLPPPPHPYPSPQTLESGTKLYYPLNPSY RSFVNMLAVRDPRFKGSDASNIYDEEIGEDEIEWSDDEMEAEAKKRRKQRKGSKVPPS NSISNQKGKGKGKQLFSSTMGLPERPHFDYHNPDEDDNVSLHGNNVEIGEDWETSSIM SSRSRNLEPYDLDEIPSSSQEQTRNQLGSDNRGSRGRGRGRGQGRDRGRGRGRGGGAR GGHERSNPNDNGPRQNFALPPNPMMNLNQSQQTYNQSYPQQQQQPYLPQQNYSYLPQQ QYNLPFQSYPYQQHQQMQYPTQNYNNDTYEPTQPSSGMPSYQPQSQPGYNQYIQSHQY QQHQPQNTVTQSQNTPGVPAINPRFAAQYSQQMMNNQSNPQQGYDYEFQNQGHHYSE
I206_05753	MSVSSPATPKSPPTTTQTFLPARPSPLATPLSPPFGPVKSHPRR SSAAASEAASISSSSKNANLYPGLRGFNDKGRRRVVGLQDRLKRQTDGVVKRRSGGVL GRGYILKTGQEHSVSKVKRLSKNDQILTYDIDTDHYPTGRAMDLELTIQGSPNFRAPN EESLNVFGVAQPTSAGLKSILTLLACQPYRPNRRPNIRRTSVPASSQGEQSNGTQSQP QSRSVSLARVRSEMSEDEVEEGKAIWFSTREETLESILNAYSNGRPYVLRDASTPYQT LALSDRADNLEDIERRLKLDILDEARKYGGMILTHEEITGGTMIPTWVSVDEDSLRTP KEVWEDMKNQGFRVEYFRIPIAPDTPIEHNYLDAYVSALKNVDPLTTALVFNCGMGVV RTTFAMCAAMLIRRRQLLLQELDDPFSSATASGVATPSQMPQAAHFLEQASLQQSLNK SLLKVTRVLDRNLPSKHPSTAIDLLTSQPTLLEQLRKAYMGSYQIVMSLLSSLDHGRQ MKRLVDAVIDACDAVINLRENVIEHRIKYSVSSMDDKNRQVYLEKALRSLEQYFDLIV FASYVEEEDAGTTGVTFSSWLKVSSALWQERSQIKVMRRSGGDRLFAFAPVNDLSLIS RSSEIAEKGLSARHDLEMSGGKVLGDEWAEHVVTNRAGIMLRASTLLKSDLWVSKSAS SSEGVRGAIGFRQIKGSTIYATGQPTQDAISTILHTVYHKSPSIHNVIWVCLREEPLV MINGSPYCLRRDSTALRNMRDYSGVSSSRLEILEQRLKSDVIAEIDQFQGRVLLHTET ADGEVVPVWESVEAVDVASLREVMDDAAATSKNVHLQFIRIPVTSETSPDFHDITELL DLCMRTELTRSAIVLNDQLGRGRSSTTAVIVLLIQKWLKSGRTSSSVHSLTPNTPSRG RPGLLKKPPLDTSRTSWQVINSCLRVIRHGLDVKQAVDEAIDDTSTQFNLRDAIEDTR QKAQEAKDPATRQKLTNKSLYHLKRYFHLILFQAYLDDRAPDDEDPYSFESFVRHRPV FKTLEKELEEGGLQSLAPIERIDPADGMALPDEVTQVVANRSGAILSGQTILKSDFFS GLQKQSLPERVDGAANYRKLPLLLEDWRNEGTPHAVEDENHHYVYGTGMPSSSGLRNA LEKMDAGPNGNRTVTWTSLREEPVLYVKSRPHVLRLVDKPVTNIETTGVTAAVVERME AALKRDVIRELRQGEGRLLLHDEVETKPGVYDIIPIWETLDESEVMTPKELYESVINE GYKVDYVRVAITDEQAPLPVTFGVLVKRIAEGLDQGTDFVFNCQMGRGRTTTGMTVAC LIASITSDDEAIYLDYSDGESDDQEPILETNQYLKGEYKTILQLVTVMSHGKEAKKIT DHAINLMEGVQNLRKAVYDFKLQVDAAEPGSAKHKAQTTRAINYLYRYGALIVLANFL LEAKEKGIPLKDTDFPAWFDQHREIGSILCRKGLD
I206_05755	MTYFDDPSAMEYHNEIINSPVKSKYARSPVQGVSIMNPEQCVSD KSHLNTVHPLSKDSLNFNEKPQLQSPIETELIIHPWFPKKSINEKQIMIISKDQIGYY ISGNSSKIIGINFNYFNQNKNKNKNKNEIEILNWKDCFSDSITLYLTIIFKLNKFLLF NNNNNNDNQSKNLINLLFNFYLQNTLILIKIKNLNKKLFLNNFINLSIINYKSIKPFE LFTLFSLNNNFKKSKEFSFKTLFPNFLNKIPNLIKLILIKNNLSIYLEKLNFLHYNIL KKFKELENCFKFELNVNIKLNGFGSICKKRFGRGCFSYIKTNNNFLKLRFQVSEIIIK LIKENLFKFMCFEIEESIHNFVKCETCSHRLINAYDAVMRNVMGDVKMTI
I206_05756	MRLIVIAGLLSLTISASCQASSSSSNNNPGQVVLTPPSNQQDDG PSQFVKPKRRPLTGRFLHITDIHPDPHYKSGATFDSGCHRRNKKKKGQKGKGKVQSDE YDNMDDEDNDEDKHEIMKDKQKNGVDLAGEWGTAVSKCDTPMSLVNITFDWLKKEWRD EIDFIVWTGDNARHDIDRSLPRTTNEIFKLNRMVVSKMIDAFGTDIPIVPSIGNNDIW PHNVMAAGPSKLTEEFLHIWGKFIPPDFAHVFERGSYYSVEVIPDTLAVISLNTLFWY DSNTLVDGCGDHSNDPGALEMDWLDVQLSAFRDRGMQVWLTGHVPPHMGYYYDNCYLR YGDLALRYQDTIVGHLFGHMNIDHFFFIDVDELENTPRLGDIGIFGAADTLKEELKKD FQDMPGPKDLKLKDYVVVNVAASVIPTYLPGLRIFTYNISGLGDEKNEDAFYRPHNSP TYGEDGNDDYEDEQEGIDHESEYDDDDEIEIEKKKDRKPGHRHRGKRPKKGDCKKPEN EDKPHCVFRRQSRHYSRNSPSRSNKALSPLGYTQFYLPKLNKQNSKPEWEIEYTTYKK GVLFPPNDNSTENTHWKQPLPIPLRFLPGYDDLEINEKENNEILKQKKKDEWEKMLSK ITPWKMPDLTIPNYVKLSRKLVKEKGMWDKFQELM
I206_05757	MVSCLRRQTRERREYIYKKSQESQERAIYERKQKIKDLLAQGKQ LPTELRNEVRNLGGKDLVLDEAQVDPSSHMDDEYAKVGTYDPKIVITTSRSPSSRLMQ FSKEMRLVFPNSFRLNRGNTVIKDLISACNSQGVTDLLIIHEHRGIPDALIISHLPHG PTLSMTLHNVTLRHDVSSNSNSTVSEQFPHLIFDNFETKLGNRIIKILKSLFPVPKED SKRIMTFRNENDFISFRHHVFAKTGHKDVQLAEVGPRFEAKPYEIRQGTVDQTEADVE WLLRPYMRTSKKRNQI
I206_05758	MTFQPFTSSTWTPPNLEPSSHSIAGQSSVYLRNTPSDTPPSEVA SPIVATPISNHEQAIWSDKYIGVSASNIGKMSTHSGGYGEQRRVLGDLPLQPNQISPH ALASPFMPGVNNGAWGPPLPLKNGHQSRAQPQKQRQISLEERLAQAEARVRQLTLENQ RLKERNVNDFAVDPPLYTSPPVSSRSSMIFDNSISSFTTTGHGHVAGLGIQRFEDPPI FYEPSRLASGIDDQLDKAYYSPPPHLVGPILQGSFNFADMPNEFIRPIVWIIAHRIPK SSSESSGLKAAVNALASRLGLPRGNDTTRILVRSIIQWAKPLCFTSCGNYLCQQLLDR ADMQDKAAFIREIQDDIIPIASDKFGTHVLCKAIMIKELEQPISDSLINFGIFDSMKT GARRLWREYLEKSRQARQFDIFVKINAEMIGRWSELACINEHGSIAVQQVFEVFGSQE LMEPAFKEILDDIAKVANNQFGHFAITKLIGYPQLHRRTCEAILNYYPPVAVTHHGVN FAKIALTEGGRGSIVKYVDAICSHNDGTAELGVYSRTPGIVAIATSSIGKAHLS
I206_05759	MATTPTMDEYRQILKSRDEHIRESWIKAMEARLIREELQKCYRG EGVNHLQNCKELAEKYAGMIRENKVSS
I206_05760	MSSGIREASHAGSWYSSSESQLSKELNTNLSKVKSIPELNYNPP ISNCKAIIAPHAGYSYSGPTAAWAYASIPIDKIKRIFLLGPSHHIYLDGLALSTCEAY ETPLGDIQLDLETITELESTGLFSQMRKSIDEDEHSLEMHLPYIRHIFKGRNDLTLVP ILVGHPSTSKLTQLSEILSKFWKDDETFFIISSDFCHWGTRFSCTPYYPNAPSPPNPV PPVPQELSSASNDPPTLIKKYSSSDKGGIPIWKSIQYMDHEGIDLLRNPANEGIIEKW ETYLTRTKNTICGRNPITVLIYLIQHIYLNKTENEKPIFNFIRYEQSSKCLNGKDSSV SYVSGILRIP
I206_05761	MSASPTKSPTKAAHEHALLLNAIAEGANEYPKPEHVNYSYGTAG FRTLATKLPSVLFRVGLLAVLRSKRLEGATIGVMVTASHNPEPDNGVKLVDPSGEMLD PTWEAHATALSNCPSTESLISTFTTLATHLRVDLSQPANIVYAYDTRPSGPELIKALE RGLDSFGESVKTSNLGITTTPILHYVVKATNDKTGTYGEPTKKGYNEKMAKAFKTLIG NRGPLAPIYVDCANGVGAVALHEFTSTLGDILPVEPLNTSTSTQGALNHLCGADFVKT KQALPPSIQSSGVLSKAGTRACSFDGDADRIVFYYLHESKGTFRLLDGDKIAVMVAMF LGDLVVKAKLDSEHQLKVGVVQTAYANGSSTKYLTSRNIPVTCVPTGVKHLHHAAQRY DIGVYFEANGHGTVLFSDSAIEALRSASPQSPDSANAIKNLLAFSELINQAVGDALSD MLLVEAVLAHRGWGASEWDAGYEDLPNRLVKVEVPDRSIFVATDAERKLQYPLGLQDK IDETVKKYDMGRSFVRPSGTEDCVRVYAEAKTATEAENLAVAITDIVKFGSGQV
I206_05762	MPRSPSPSRSPSPTRSRSPARSYTRSASPRSRTISPDEIPASKR KRSPSPNPRDRSPSPPTRRRRPSPSPPPIRGPNDIDAPRVMDIDPNRKRAREAAMLEA SIQNELTKPNGNGVVAVNGSSKADEIAKAEFAKLIGSRSGGAYIPPAKLRAMQAEAAK DKTSSEYQRLSWDALKKSINGLINKVNVSNIKHVVPELFGENLIRGKGLFARSIMRAQ ASSLPFTPVFAALVAIVNTKLPQVGELVLIRLISQFRRAYKRNDKIVCHATSTFIAHL CNQYVAHEIVALQILLLCLDRPTDDSIEVAVGFMREVGFFLSENSPKANNTVFERFRA VLHEGAISKRCQYMIEVLFQVRKDKYKDNPSIPEGLDLVEEEEQITHRVTLDDELQVQ ESLNLFKVDPKYVENEKRYEEIKKEILGDSDDESGSESGSYDSESEDDEDDDVAPEKA GIADMTETNLINLRRTIYLTIMNSLNFEEAVHKLMKINIPEGREIELCNMIVECCSQE RSYSNFYGLIGERFCKLNRVWTDNFQEAFSKYYDTIHRYETNKLRNIGRLFGHLLASD AISWAILSQVHMNEDETTSSSRIFIKILMQEMNEELGLNKLVERFKISDLKPAFSGMF PMDNPKNTRFSINYFTSIGLGRVTEEMRTYLQNAPKLLAAQQAAMLANASSSDSDSSS DSDSSSDSDTTSDSDSDSASDDSRPRRKRYSSDSRSPSPRRRRYSTDSRSPPARRRKY TPSPSRSRSPPRRRRYSDASDSRSPPPRRRASPPPRRRASPPPRRRSPSPVSRRSPVN GGRRDDSRSPPPRRRRDSPTPPRRRRDSPTPPRRR
I206_05763	MTNKPSIAQCDRIMTAPGSILEMEEKVINGRRVRTWKHLPSTFR QFLLHTLHTHADRQFVSSPLPLPRTIRYSSETSSSSSLAEDPRENVTFGQVLERSLRL ATWMRKRGLGVGSRIMIGGRNCTGFIVSFIATHLIGGVAIFLNAWLPREQIIWSIRMT DPSLVLLDEERAQILGPYQYVQESNLPEMFCWSESLFLPTLISVFNTPTDVQAILRGD ELEGLDPESDAVIFFSSGTSGFPKAVLSTQRMALSNLWSGMVAPARAALRAGLSIPPL PNSSDPQRTLLLAIPLFHVTGCLSWLMRAFFAGSRMVLMRKWNTEEAIRLIVQENIRV IGGVPAVVASVIQSPALPKDTAFDTVFYGGAPPSSHMPKELKSKWPKAGLVQGYGLTE TNAYVCSVAGGDYLDRPYRHRGPPVPICDLKIVDPETRKPLQTGQVGLLYVNGPQVMK CYLGDEDATRKAIDPEGWLDTGDIGYLDKEGFLYIKDRLKDIIIRGGENITSSEVENA IYSIPYIAEVAAIALPHDRLGEVVGAVVSLRSTSSAGRQKRRKRDIEENIISDLRYKN LLPRYSLPEMVLVYDGSLPKNVNGKILKKDLKSLITQEWEKRKRGKRDTLNHRDKSAE HIVKAKL
I206_05764	MPHSATLQEKQRREEHQQRAYEIQLAGGLQGAARWTVYGTIACA MGHFAYPPFARQTLGLKAFLVSSATIFGLVVGADNHLLKYESHLREAENDIRRQARAE LALEGRIASETEIRKWREANKEKLAIQAEIAARGAT
I206_05765	MKISKLFLGAAALFSSFVAAQNTDGSETFKYESDITRLRSLVIH SLYSHKDVFLRELLSNANDALEKLRLVSLTDRSVLSAGEGNVTIEINLDEASNGKTGQ IIIRDTGIGMTKDELTRNLGTIARSGTSEFLKKAEEGQGVDGNLIGQFGLGFYSCFLV SPTVRVSSLPPATTDNSDPVQHTFVSSSTGDSFEVFPDPRGNTLGRGTEIVLSIGEEE AEFLSADKLKTLIEKHSTFSTTFPIYIKERKTSKIPVPPPQSPVEDGDSDEFADDLEN DETTPKEESFEEVSEDNWVRVNDKAPIWMRDPKEVSEEEYKAFYQAVSKDDKEVPLGW SHFKGDTGSGVSFRAIIYVPSTLPKDFWSKITSGINNVRLMVKRVFITDDLGEDFMPR WLSFLKATVDADDLPLNVSRETLQNNRFLSQLQRILIRKALDLFTKLSNDQPEIYKQI AKLYGNALRIGLLESPKDKIKIAKLLRFESTRSEYTTLEEYVENRKEGQKQIYYMAGV GEKAEDLARSPFVEKLFARGYEVLLLNLPSDEPMMASLDQFMGMTTQDVSKKGLKFGD EDEHEAEKKELDAQKIAFSPLIEWLKKDLAGQVSDVTVTNRLVTSPCTIIVDSYGWSA NMQRIMSAQTDSQDDPMFNMMKNLPKVLEINPKSPLIEGLLERVLDLPQTEEDEADDD VKRTSEEEEELRETVRVLFDTSLVRSGFSVADPTTYFERVEALLRRTLGVSLSAKPKI HIRPAPPTASGPVPEDEEQKIEFDPSNMEGMLGDPSQWPDWNDMKEQMNFGHDEL
I206_05766	MLKIAEVLEIPTLITEQNPKALGATINEVTDLINPSRHLGTFSK TKFSMVNENTKALLEKDNHNMYIVTGIESHVCVLQTTLDLLKLSTKPDVYVLADGISS CNKPEIGISITRMEKAGAIVTTSESIIFELLGDANHDKFKKVAGIIKQTKAQTAEALE SLCV
I206_05767	MYNQYQANYNQAPQQQWGQQPPTSYGGPGGSGGYAPPPPHNAYG APPHNDYGGQSSYNAGPQGGYGGPPPQQPYGSHSPQPPYGAPSNQPAYGATTPQPPYG APPANQSYGAPPANQPYGVQGGYGAPPPQVAPGHGPKFLGIPIPAPPPAVPVSNLPGY NAQFDAERIRKATKGFGTDERTLIDTLVPLDAFQIDVLSRTYEQTVGRPLKKTLEKEL SSWLEYTLVLLSLGPLGGDLYLLHRACAGMGTHEDLLNELLLCRSNEEIFHLKEGYKR VYGKDLVAVVRGELSMKTERMFNMALSGQRDEAPFVNHQQVQQDVETLYRAGPGKMGT DEIGVCGILLSRSDAHLQAIAQAFPQRHRVTLSQMVQNEFSGHMRDGLYYIAKGAEGD GQGVTRDAEMLYAAMAGMGTKDERMIYRIVRSHWNRPRFNAIKNQYQALYGKSLKRAV EGETTGKYEKALVGIIEQN
I206_05768	MAAPQYLGPAGSQSTNPLTAFWRNQVINPEHREGNINIARATVV FILGVAFVRSSFSSALVPVF
I206_05769	MSIQRSTKAPLPIPRQPLDILLPIPRRTSNAHTLNLLRQLVDEI LDKDATYSITFSPILCPVPKAEGGGKNQLFTMKPHWWQLEDGSWENAKPQGQEQLQLM AKTVHTLSLGILYIENSIIRRKCIMKIEKLLKVFFIDIDTRMEPQIRFSQCHPGENPK QGNEHFVVAIRFLILVDQALILCDEYLDERLVAQVKDWIREQIIWMETSEQGIKAREK PLLWYHAIMASHYRLIDRTNEIYAKTSFDQWFKIHDTPEKTFADVMKNDNRRHRCLED LQKLFIISDLTRIPGKKISTEIQTYLSDCSKYVKSVEKGPVEEPLEDNLRYFAKIVWF EKILNTWNNDNTGQIYEDEPDGKGWEGDWTTRMKILWGLI
I206_05770	MLRRIRAKLLSNPVRPPLTLPSFRLSSSAALARCQESEEDQLVP YGLDYGAGPSRIPYQPPHVHIPPTSLESTSSRLTVRNLREILRNVKPGAYAQPKIFMK TIFNHSQSWSQPKNIHYSQDIASISRIELHTIVHHLIRRKKGNTAAALIRDVLALSSR EKRRRLLSIKTVSTLFRDRSAYNMFKAQSLGGIANAAPDLSPNFESQIALPPSRALAT LLNILDLLQDVRYNRPLELYSLIIKQCVDEQLYDLAAKVYVGLVEEWVTEGRVAHGAN PDDFHPGGGPPRAQGDQPLSKLLGHWWTGVRTWRLPGEILSPHDRLDLWHPKQLSLGE KMKNFPLPIATSPPSLVPQPKTVLLNTIISSLTLDPEACSPREFASSMRALAILANTV LSRTLPIVSLGRLLQAFKTAPNKPDVYPENVSEIPSENQWAYTSFTQIHVTLMSLLFS PPISSHSMQLIAENQDLQHEEGLTSPNHDQAMNQYMMPPLSWKSCTILLRYAFESLRK PFLLKRLLRYMKDVFSMGAENPQAFNTLLKGASALQLNAIAAQSDQAIFGEQKYDRPS HAPPPKPESRFFSSTQRIARKRTKHNKEEEDLGGFIQTEFELGTSPLPDQNSLLALIK HLTVTSQFIRLESLVYILEPYLEFSKNMSPAEVQSRLQKRRLEPGISGRPKSQGIPYD VYVALLKGLEKAGSTGLAQRVFNLAMYAEKENINDFFETNPEGILPSSLKFPLEGFKV MLDVWGNESRFTNRSSNGIGDQVPIGWELPQGYKRLPRGVAAGYMTMVTHHLAKKSYS PENFNEEYYNSLLKACKWRWKLSEDEQLFRGFRNEMKNICQDIEDSNLQIPEVLLAKL TGQTSNSSQKWNRQVKRNRSKKSKQTAEEELLNKLLAGEPLSVVNEDYAKSSNEVRTP 
I206_05771	MSTRTTTRTRTSSHQAHQHILHPTLPLQRTSSKPGSSRPGTPSR GDIPFSRKISSATHKSPVPLQHLQLPMSDQPTTPTPKKSRRPRRGNKPANQRNVAVGS EPELPLDQEPSSEDEEMLFDLLGVMSPPKPSPKRGVLNLSKDDMDVALGKKNQPRNAG KVSGAFGQGEIKARDERSPAPQGRVGRNQPKQDSVENGAEGETMAAIKSKRGKKTSKP PRTTDDISGHVENNLKPKSGISATRPKNISKQPSASQASNHGETQSLPFDTSSLSKSL PSRGLAHTQSVTSTKKGKKAVGSEDESAVWEMPLVAGGQELTWQQKLQSPVPSPSESP RKSSRSTPSDKKKTNLCIPYQAVPPVPSPLNPRPTHNRRASADGPPLSGTGARTVSAF DSHIPFHTGFNVHRAPQTPAKSVASAHGNLSNGILPIVGTGEFPRIPNDFAGRKGSLS SSSGPSSNPLGAKYAGPTFHNSPNASSLSKPDLEDF
I206_05772	MPPKPSALPSPTAQLSLPDWLKILTARGVDMRVAMGLAAKIYKS HGTIGRLSELTPQKIASLIEDKEARKLVSNAIRGLANGEAVSKKRGRDSDLLEPLAKR KADEDNVPLDIDFHPILDVEQLLPLTLTTNRAPVSTAWAYTISRRLGFDVAESLSLAH VYVHISSLKHALMLGHILNEIETREAKEEIEDLPGGEINLPSNIRRQDKAKIRKKHFG QKHVAEEESKVIRESSQPWVGIMRANPIIERPDGTLRAIQKGVPVGPGQGYLYITRMF KDYTPHVMGALKLVADSYEPEELNRIAGHLYNDFKPDVVEWGQRGTLELAKILDTIKS PIDVPEEEDVEDYPVKSDSIDSSPIRKPKEESPLSPLPSSKILLSDTSQDKKPKQELT VEQYEALLDSDGPGGFLEGGDIYGAEYQAA
I206_05773	MPTHSLSRLANLLLLSTSVFILPFIKAAKQPYNITVSDQSPTIT YSPSRSGPSDQTWNVTYTESSWSSYLNQTIGEGISAHYTTHIGANASLGWWGTAAYLW ADANENDAQVTIDGTQIAKKIFNGWMLDNLEEGWHRIKLSVTGNGGVNLKGITFTTGI GEKGAQTSNSTVQAILSQSQIDALFHASTGEWNPATQVGGAGNQVMQTYNRLDTHQTG AQLIFQPPQNTSFVLIYGSANYDHGQYQVSLTSSNLPNEAISGDASDTTTATTTIGGI PSTQQFKGISPWITIDQVLYYANLDTTAQYTLTVLNQGQNNPYWDISKVVFIQAQGGE SSSSSSSNNTAAIAGGLAGGAVALVLLGILAWYFFLHKKRQSRKRNQTANLYEDKPFE VDPYHVDGERNANEAYANAASGGHTPLYDQRPPHGMRDSTVTPLLFSSPHSPDPSYHS NSNLSPNPNRLSIPNQSEGYGYFSSSPSSRHNSLQPSLENNMILHNPDQPRNDNDINE QGRPNSIFNVIPSSSGGKNRSINDRQSERRRRSNIIYECDAGSVSQPTREVIIPPSYD PSWAMSRNENSNDGISINDDSPECQVINRH
I206_05774	MAIDQSPITSLSSRFPLTFPIPTPLTHSHLISASDLATEEDLLH NPDNIRSWLSYIHQLKERIANNEPSKGDTPSPEEILLGPLSNHVAREGLQQLTMVYER ALAIFPTSFKLWRSYYQTRQSYVLGQLTNSAKTARNHHSKRGSGFKTNVRELLEAAEE ANEWQGGLDGIIGYEEWKSLISTGERMIACLSHLPAPWLLHLSILFHPKCPPVFKRTY ARRTFDRALRTLPPSLHGRVWGLYLRWAEMIGGEAGERVWRRFLKVDPSLTERHIAYL LDSSPPRPLAASKYLLSLARRAAKNLYSSLEGKSPYQLFVDFLELVEKYADDVGMDEE QTLELKETRRAIEEEITVPEEEAVPAEEPAIEQGKMYKPKDAVSKKGPEDLPYDEDTD PANSRLLDVEGIVERDGLEVYKDQAGRLWTGLATYWIKRGEFDRATVTFERGLAAVVT IRDFTQIFDAYAEFSETMISTLMDALADEDNLEDEDFDLEETEKELDERMKKFEELMD RRPFILNEVLLRRNPNEVVEWEKRVALFGDDDEKVVETYIKALDTINPRKATGPLYPL YVNFAKFYEEGGSKDEEGEPKNEPDLKQARKIMERATKVPFKSVDELAEVWCEWAELE LRNENYDEAIRLMQRATTIPRDPKKVNFYDESLSPQQRLFKSLKIWSFYSDLEESIGS VESTKVVYDKIMELKIANAQVIVNYAGFLEENKYFEESFKVYERGIELFHPSVAFEIW NIYLSKFVKRYGGKKLERARDLFEQALENCPPKFCKPIYLLYAKLEEEHGLAKRAMGI YDRACTTVQDSDKFDMFTIYIAKATANFGLPATRPIYERALESLPDKQTAEMCERFAR MERKLGEIDRARAIYAHASQFCDPRVEGEFWNEWNQFEVDTGSEDTFREMLRIKRAVQ AAFNTETSFIAAQTAAAAKGVEKSIDNSGQDAADPMAAMERDLPNTSTTTSKKIGGPA FVASTLKTQNSNGIDQADEGEEAVVNPDAIEMDEDEF
I206_05775	MRFADIAVNLTDPMYAGTYVGRPKHDSDIPQILTRAKDKGVEKI LITGTSAEENIKALKLAKEYNLHCTAGCHPTSTNEIDKHPLGFEGYINDLKELINQDR NENGSKKIISIGEIGLENQE
I206_05776	MIKQIPLNHLILETDGPWCSITSSHESFKYLKDLEIESNSNLFI KKVNKPNKWQDGLGVKGRQEPADIVVIAHIVASIKGISIEELSEKVWENSMRLFWPDE IGK
I206_05777	MTLPHNGSTAPAITSPELAWPSRPIARPSPLSDTPSTNSFDGYP SSYPSSKASTSTALSIATSGVMRRSGSLKGKERAIDFELRIQDVEYTRTRNYGPPKKP LPPHQLGRIAQSFGIIIPNLPQTSSAAPTLKSRKPISPSLNPTSPTLPTKGRSSPLLP HSQSPIRSSPFLLSVIPPLCLLPPSKADLSPETIHRRHKKWRRGKLLPLQPTLGSMLV CIAREYGLPSTIGIGVYLVLPGHSRKDESSSSAESSFSEGNDEPNGPQISSVTWSTLF STHLVHSASGYGGVSRSSTPSHTPMKIGIYTADTSMEYPLSPFSMIRSSTSQPDIPQH PRMKRSKSTEPPELSHSSDVSISSMNIPPTPASLGNFTIASNTHTSPNPIVGTIEFDI DLDEAEWFDNYKSSRSRNASGDKGRHRRLASEGGIKELSLVNKVSDSRPRFMKELEVN VTPVQSLAVEEDEVNPDRDLLQPDTLPQMDLLASPIELAPEEKVDIQMDQSTRLNVQE ILDKRGSGIVMAEQLDDLEKMMRQLSPREIRLTSPRMLTPRMASKVANLTLPAVPRKP ASKPPPASSPLAGGFTSPNPNVDYEEISQPNSAKSNGTFGSAIHLDVAQTKVEEQSNQ SKAEAKSEELSKPAWPAVKHGSPGSPTIHEYFSRPAFPSTAPKEQQNRNASSPASIST ETLKRMKDEQEQAAGENIPAKSGEWIPRRPQRPPSPNLNHQRTLSHTLSPELVDYLHR SPPQTAGVPSPGVTSPEQRRRNRSGSISLKGLKSQISSKNLSQMFHSNPAEASSPPLP NEGKETVGLFKSGIPSENEFGLLRNGLGGGMRSVSSPMPNSANSTNTDFGPAISPEEP STNSMNRGSSGKSRFKLWGFRSNESKDRSSKTSSSSIGRRNPSIDASTKKISEPIVDS FIHKDSFDHSNSHPDHLGHGRIPSIPNIPSSPNPTSPKSVRRKPVPGGNENEAMKNSI SLHSMTSFVLEDAPKGRRTVGMMGQAQ
I206_05778	MLAHLGHLPRFVRLILITTFIIVIPTFYFLYPSPERLPALGEHQ AGGIDSNHWRKPITPDYLVKEESREWDDELPLGESRIGLGELSDKTIHGGVIMPTLGN ETAKAELGRSAWKVLHLMTLRYPDEPTEDDRQALKSYFYLFSRLYPCGECATEFQALL KEYPPQTGSRKSASLWLCHVHNLVNERLGKPEFDCLTLDETYDCGCGDESITTSLKPE ATGEMAKNLEDERDIEEESVLGYEGDEAHEGVKARPGPAYVGNKDEEIDSTESTEPLQ EQKGLSWHEEGEDIREKPLKQGGDIGPHDQAGPENRVTENNEEERNIGGTWLNDDSAV 
I206_05779	MATPANLNVINSNPNSKPTVPAYQYNVKMTCTGCSGAVNRVLGK NIQPPNAYHISLPTQTVLVWGPNLPSFEEITQKISKTGKTINSQQLIDDATKIPSIEI 
I206_05780	MSDKNSDASTVWSNKYLKYFRAKATEQGSTKNSFASETEDGPEP EIVTELRRNKAPSATIEEVLNLTKIAKGILPEGSDVSQAVTTVIDKLADTRESTGGTL SVAEGEFKAMLEDMYPKK
I206_05781	MSLLASSLAGANYMTSNNEDRESATNGAAEFTRRKKWPEILLKE LVGGSIFCLKPIMTRKESGQGSTSWSWKIIYSSPAVGEMLGQKPAELEGKDFLDLVFA ADHSQIQTFFHHLLTPSLPHHGSSSSTSIATSSNSGPGASLSTSPTLGDSQTTYIRML STSANGSTDNSPESSNDSSNTTNSNSMGNSKRGGPVVWELRAHASGLGDEIPISGTEN FGTTQGGTVQQQPQSGENGAANGMKGKAIWVMGRRVRDNNSTESSVLDAFLELKLENE RLREELRELQLDLDEDMPSRKSSGPNISPTKATAYVPSSSASSSGSSPSSSRSSSPSR VVGGSGFTATGRPKGKTGRPPKEGKEKKRKKSAAGGIIGKEGEGMHVCVTCGRTDSPE WRKGPLGPKTLCNACGLRWAKRNSNQPTRKDRKPNDGKK
I206_05782	MSSSSSAGLRPGPSTGSITTPTKRAAFAASTEAGPSRARPAGAS PAYSSRRHSLYGIEDRVVIDPGSRIWKVGFSGEADPRSVFYALDSTDADNTGASEAWG LDPSQMSGVFESRSEGERLIGVRVIKKLRETFVKHLMTDSKARKVIIIENTFIPIGVK EHIARALFDNLQVPSVSFTPSSLLSLAACGRITGLVIDVGWLETTVTPVYHSRPLYNL ARSTPLAGKKLHGHLRTLIRHHAIYIPPPLSLSKIHDRRAARIPSTVLTDDLVERILT EGCFVGNVHLSDNDDPMDVDLAQDEEDEETRLAKEWKDIYSGSSTAKDMRFRIPSTDF GPATIIVPGWIRERVAELLLVDDENSEEGSIPNLILDCILKLPIDIRSTVISSLLVVG GTASLPGFIPRLRISLLQLLLPSPVSTTEQSKSPMNSIQNRKEETILWRKRNKEPYKE IYGLASKLIIVNDPAPLDGEGGNKSGKAPRWSTSLISWVGGSLAGSLKTSSPELTREV YDTNISLSINRGENYKEEIEISEIDLSTSIGVNIQDLKVGQAIKYQIDENDDFKIARK RGWKDNAGLSDWSRSGIRI
I206_05783	MAIENKKRNQSDAESSYTKKVKTGESSSAPRPTPSFTSALKDEE TDFPRGGGSSLTPLELKQTRAEGRREAEEEAQAEAASKGNQRKNKLSDRQIKRLKKNE VRKKEKNDEDSIRVEVLNYKRLVQGTHVLARVHTILPLHLVLSLPNNLLAHVPITEIS NTLTSLLQAEEAMAVDSDKEEDEEESADESENSAPDLSQLFYPGQYVPAKILNVYPTA SQSFISQYPISETNRLAARVEVTLIPGKVGSEVSKKDLEKGYFLVGEIKSEEDKGYTV GIGSNPDEVGVDGWISKEEVEKYIPTKSLIPGQLLPATISSLTAGGRVAQLSLEPLEL TRSTVSEVTTVSSLTPGHLITALITAVVPSGLNVKVCGFYDGTIDLAHLPLGEDDVEN KYKIGKKVRARIIYDNLSTTPATFALSALPHVVNLTSPMKEGEDVPLEHAVPIGKLYQ SVKVVRVMPDWGVIVRTSDGLDGFCHISHLSDERIAVLSNGTAQYKPGTLHRARVLGH SPLDGVILLSFEQKVLDQTFMQVGELKIGQALKGTVHRLAERMLFISLSGSVDGIVFP SHYADIKLKHPEKRFKVGSSVKARVFAIEPTRNRVVLTLKKSLVDSTDDVPQGFADIK IGQVTPGVIVKIMDKGCIVDLFGGIKAFMPLSESSQTFVKNLNDLFFVGKSTTVRVLD IQPENERIVVSAKQAAPNPIATASEKLQVGDAVSGVVSAIHEEQVVVKLDESGLTSLL SLSNLSNQRHMGIDELRSTLKVNDKIEDLVVVSKNAVSGLIIVNIKKSPTATTKTKTK KEKKEEASASGVSQNVKAIDEINIGDILVGHVIEHTERGTNIQLPKKIRGRIHPLDAV DDLSKLVDGHAPFNVDQEVKVYVLGINKTKRTVDLSSRPSKVSDGQSVVDQEVSSVKG LKEGQSVRGLVKNFASHGVFVSLGREVTARVMIKELFDEFVKDWQSKFEVNQLVTGKI ISVDEKKNSVEMTFRKNPAKQVKKVAKLGLSDFEQGQKVVAEVKKVEAYGIFLRIEGS DVSGLCHKSEISDNKKQDVSQALKSFREGDQVKAKILSIDAEKNKINFGIKASYFGEE FGEAQDEDEDEELGKVEDEDEEEEDEDEEDEEEDDEEEDDVNLGMDEDDEDEEDEEDA PAPDEKSVKATQSGLAVSGGFDWTGEAANSAESSDSENEDEDVEEATTSKKAKGKGKS KLEDLTSTAPDSRPESTSEFERALLASPNSSYLWIQYMSFHLQLHEIEKARKIGRLAL DKINYREEEEKLNIWMALINLELSFGTVESMDKVFKEAVQYNDARSVHLRYAEALQVS GKDELVEEIYKKIVKKFSAYPDSWTRFAEFYLKKGDPEAARALLPRSMKSLDKSKHIE TIEKMSLLEFKYGDIERAKTLFEGLIDRFPKKMNLWGIYIDQLAKINDIQGVRGLIDR CLNQKLSSKKAKFLFKKLLLIEQRIGDEKGQEKAKERAKAWVMENAKPTQDDDEEQQE EEEEESEDEE
I206_05784	MPSPNLASSAQYEQLFQSSSLNLIIPEISSLPIESTDNSSESLT KWWDKVEESQTRNTAFFDEKLFYFLSMHLPDEALQSLPGTPILEINEPTSEMLRYLSR LQLTMIASFIPPLPPKQFTSASSMPTSSSSLTVPHTPVNQITSTVDGSNPPVTPNPFP TMHSGEEQYANVEGVIVWEGGVEEITGQWEEGKNKSSAGSGRKVIKAKDGWEIIWTGE VPIAYVRTQIQNPLLALTASITLRDQSHTKTHRRNAHSVDTSSIRSGTTTIRTDGTEY EAYEDGDDGNEYAELEEIDLLGGLAGGIDSMPSTRLAPSLREDLAVPLSSKTNSPLPI SALTPATAPTIITPSTTSSGPSRERGIPLTSIQPIISTTLRKSYRRVLSLAPGLRVRM RTLFLPQLLTGDKAEEEGERCITLCVEIENSPELNLNDGFEVSEVKVDVGGKGGKAST ELVKNQIQSIDFPLRLRSIEQYNLLYKVSIASSQIDQSNKEGIEEIVNKSLGRNDEIR PVSIIIIGKPFSKNKEEYKYQTKEFHSRWNCSLDLTSFYNSSNSSSSLNNIPSTITTT DKNRNLKNGSLQPTINAIAGDKRYSLAYLLSIEKENQNQNKRPLMPSQMIGQNRINSL NNNNNNHNYKSNLIKKEENGLMISIKLLPSSSFDYNSESEMKKLIKQYEIFSLEIFIY NKSNEIRRFKLIIPPPFKNSNSNSNLVQNKEKGKGNIKIESELKEILNKNKIKQEELK IGFIKEEEDLIIKQNLLNYLLTSTSILPLENDIRCGPLLPFTSLSTRIRFLALKKGIH KIESLRIRSNNDEFDFMIRLVTSAE
I206_05785	MAENAQPTIAQQAQDVASKLATSVADTLNFADKPKEDADKSGLP ILYIDEKAGSDTEGTGAELSPFATSLAAYQSLKPSPESDANPTSIVNLLIRKPDSVER NEWVEISTSAKKKLVKNISGWRKTEAKALAEGEKLQKEKAAQEEKDRKRREEAKSVVL VDDETKESKKTKIFAVPELVGSRVRINGWVHRFRPQKTNYFLVVRDGTAMLQCILSGD CIKTLDALDLTTESTVELVGTVEKVKEGQTAPGGVELIVDYWKIIGKAPGGADAFEGR LTKETDASIRADLRHLELRGETATSVMRVRALLLRAFRDSFYKRRITEVTPPCMVQTS VEGGSTLFEFDYYGAPAYLTQSSQLYLETVLPSLGDVYCIQESFRAEKSLTRRHLSEY THLEAELVFIQFKDLLDHLEDMICEVVDTLLADPVSSEIIKTLNPDFQPPSRPFMRMD YRDAITYLNEHGIKKEDGSDHIVGDDIAEAAERKMTDQINRPIMLIHFPKLLKAFYMQ PLASAPDFTESVDVLMPNVGEVVGGSMRITDYDTLMAAYKREGIPSDPYYWFTDQRKY GTTEHGGYGLGVERFLAWLLNRWTVRECSLYPRWMGRATP
I206_05786	MTHGFGTPSVAIATTPTVISTFFSHILASRKRKSQSKQSLKSGG PGGGPENQLSYEEGLKVVRRFLEFASHHGVEEVQSFTAMWVPTPHWVRRETVVIPDIN LRAAENILSKHLSTYGPDGDNGGGLKLIGGDQWWRVRGRTLEGEWIEVTGSGSIKDNS EKEVLEERVILYIHGGAFFFSSLETHRYQVQRHARKAGARAFSPAYRLAPQYPFPCGL LDSLASYLYLIDPPPGATHDPILPTNIIMMGDSAGAGMVISLLILIREMGLPMPAGAS LVSPWVDLTHSMPSIGGWDGGDFIPSSGFHYKPSCAWPPLTGDGVTVTMPDGSEKHFD EQIQMYCPNNLLTHPLVSPVNQGSLGGLCPLLIMGGGGELLRDEIMYIAHKTASPTTY PPGSLTLSQYPDQAGLVDKYQPTKVHLQIYEGCCHVVPTLSWTRSSKYMYRAAANFNI WAFTAAQKAVKRKLHHERSHHSLKHTSRQNSTAPSSTSVPPSGTTSATGSRTGSTIDL TQPIIGSTAASAANREDIDFGDLESEASSDSDDSDSTSTHDEGAEGDKGPIKGIVTVS GSEPLFSTSNIVSERISTHGKIRDFEPVEEIPALNPNLKEEIGQIHGGGAIQKWLNKR KEWDLKYSKDLKKWKEIKEKDRKLSEKNGFLTRNLFNEKPPLCSLAGIYDLDLAKKIG QSVDGGPSGKQSGFVGMWMKMGTKADKENAGGNNLIEIKSNVEEQIRKESISTSIDGS NQYKQSLFDEPITIPEHEVLQNESK
I206_05787	MSCSHDAHDHDHDHNHSHDVPLDVSPLDSLYGQIDLPNVTALNA EGGGESGQKVIKSWDMRDDETSWCESEVDDEMIIKIPFTASISLRSITLKAGPSGKVP TSMKLFRDNSGLDFSDASSSTPTQEFDVVDIKDGVEYQVKAAKFNGLTSLTLYFPGNN SDGDEETTRIYYIGLRGTYQALPNRPGVIIYESSARPTDHKTEGVSSGQTFRPGY
I206_05788	MSVTALGTASQFTRINTSRGESEWEPLPGCCPKVPIFLRKATIP IETNDTSLNDTRRRSSTGRSPSPSSTHYRLNKEERSIISKVQSTLSSRPFAKSEINID SDENEESISPSDDTILTSLLSNDPNILSPGRFKTRTTIFKRRLKTESDNQNYDEDTKR DFLKASEIIGLVLKKNHNSMGRRPPEEKDYLKVDPKMTVHS
I206_05789	MSHHNRRKSSPLVSDPSSCEHVETKMYSAGGQNSTNRLLEICNQ QGISTKSEKSSFSLSGIQISSYDADQLTSIRTIKGIFDIDSWQAKLLYKIEKYSMSSK SFYILGIHTETHLKELKNSMIGICDTEKYSQDVLVVQKIIDDLISKRSSSASPETDDD NALEFELNNLDDSVTF
I206_05790	MSLRSVLDPKSTKVSVSSSAPGSSKKHLLNPSASDEGLPWSSNI PSSLHLTFDTPVQASHFALTFQGGFVSTAVSVWVARSEDAEGGVGLGLMMGGKIYPED RNKRQVFEIPFPPTGLSPTDETPPSNIGPNITTEITPAEANSGAVKTHPDGQGIIKKH NHDELPYLTELKLEFEKSSDQYGRVTLYSIEVLNN
I206_05791	MSRPSTSLLRSAFKATSSIPRSGVQARTLLNAASRSGINTSSID YRILEGVNNFLPKENFDRLQEWQLGLWDRLQGEVNNNPALAETKQKWDKYGLDMTDLI SSTARDRNLTLAYNYAALLLNNSFFLESLTAENTHQVPSEFRDLQEKVEAYAEGIVGG GWLWIVRCGDSSSDLDVIPTFASGTLLVTQRSQRGREGTLPLFAEPPTSGSTEPPSST SLEPSQSDDLAAPLNKRSSRTFTNSNRIQYPSPLAVLNLHEHAYLGGKYGVWDKKQYA SDWWKSLDWRKVQKRNAENGA
I206_05792	MTAKSHIQDTINRISSTPGSFELISSPTNWPISHNTAQKGSISN IHIAILDSSFNPPTIAHQAIAFSSFPSTSNTNTIQDDIDITSSSYSSSNEPIPYTCRL LLFSARNVEKQLRSKDTTVLQRLEMMSILSNSKFKDNNNNNDNIAIGLINEPTFVGKS SIIRNYLKNSLKEISNLNLNLSFLVGTDTLIRFFDPKFYPKNQMEIKLNEYFESGSYL ISAKRGSDETNRAIEEEVLNRDGIKQWVNKGNLRLLGTGNEGWEEISSTKVREAVLKQ NWDEVDKLVGKEMRDYIRKEGLYTS
I206_05793	MASSSLPWSKIVNGLTISILLISLLWSFFIFIGNWSKGNKKGKI KLKDDDYGGSIVTKKDELRSRLLNQVNGELSEDGEPVQVIEFWQKTLIPRWSLVLTIL TNIGLQVYTAINIHPDMSDPLGFVTFLSEGITSMYLLCLTAAYALNNKNLAKHKSLTY HIFILSSVLLSHVYLHTIGEYIFSDIKRYSYTKWVQFTHLGLITVQVLICGNIPIGPK LYTDLKQIYSQATKIALQEDPTIGNLHKSKGNVIASQSSSIFSQLIFTFVFDVISENY MKDQIDIIDLPATEADVRSQKVYHQVMNSKYNLIARWKNHKTWSILYTFWWPQRGSVV KAFLMSMVCCPLWYIPHVCLQHILSILDDPNSSRHGAIAFASLMVIIPFGGKVLNMQQ QSLQEAYVGPRINAHTSFLLFQKVLTRNLFASNEKEDGGKAVQTKADILNLISTDAAS VQAIGWTVSELFRAILELACGCIYIWMLLGPSGMWGFATLIFTCPPAYFLTKWEYQVF EKRLAIRDERVSLMQEAIQAISMIKMMATEKFWFKRINDVRKREFKKLISASLIGFTS SLLYSAAPTILIVVSFAHYTLVAKQQLTATIAFTSIAVFDELRVALFNLPSTIAALLQ DILGAKRIATFLRTEDVQYLSEPADDGSEIEEDVLYIKGTIAWDNATVYDDTLSGNSD DTTASNNNVGFQLQNLDVEFPKGKFSLVAGKFGSGKSLLLLALLGEAQLIEGKVSYAV SPIKDPAQISNTDWSLIKRAVAYVPQTPWLLSQSIRDNILFGLPLNIDRYRAVCFATG LLPDLELLEDADLTEIGERGKILSGGQKARVSLARAVYSRASTLLLDDVISAVDAQTS KHIVQHCFNSPLMSGRTIIIASHAIESLAPLAVHSIYLDNGRCIFNGTGRELLNSEHM SHLKTESRLPSRLPSRMPSFADSSTQPPVHSIPLREAFSPGLEKNEVSEKSIKQAENF EIRESIAKTPRQLILEEHRVSGTVDLQHWKNLLKLNGGGIYWTILTFIIITSTLLPVA ERSVLSLWTGKDGSRDTFAAHSIVFWVSLYAGVSTFGSRQLLSKYTDTLSCSSHHHLQ THDQMLESMLRSKMLFFTRTRAGSIVQRFGKDLVRERLTSIAGSLVIVSLISVSIYGG WLFAVVVVGLIVAAWAPARWYRSTSRQIRRLGAILPGPINAIYGETVAGTSVIRAFGA QSIFIDDLMRWTNMRVTAVVWTIAVGRWLYFSLQMFDTFLKITALTLILSTSSATGAT AGFVLTFVGSISSDLTWILIQMRNFEWKGVSLERSSEYRTLEREDGPGLKSDDNSIPQ WSFDEEDITENYQDDLFAWPDKGAIEVEDLCVSYGPDMPDILHDVSFKIQGGERVGIV GATGGGKSTLAKAFFSFVDITKGKIEIDGKDISQIPLGQVRSKLGIIAQDPILLSGSL RLNLDIEGKYSDEQLYDALHQVQLLKKKGISAISPTHDDSNDTLVEHIGYHGKSDQQQ QDNIFKNLDYEIKGGGENLSAGQKQLVVLARALLKKHRVLILDEATASIDSATDAEIS RVVHEEFKNATVMIIAHRLRTIMPCSKILVMDKGLVIQQGSPIELINIEGKFKDLCLA AGIEEYEHLVSLAENHSTGYLQE
I206_05794	MFIKRAKSRPSLRARESDLPESSTSGSPLAKNSVTSENVEDVSM EIEPDESSGSVMERKKNQKKEKRKDGTSTKNKTSSRLSFGGGGEQEEGESITPFKPKK SLLSQQIKLPNSSSSISLNTPSSSNGTSSIYSREHLSELKASTPTRAPRTTEVHDDDD DEDDSNGLSRIAREKYSTSIVEDTTAGIPDTAAIAAAKAKRQAALESSKHGGTGEDYI SLGNSKLAIYDGDSGPHPESRLQREDDEEGDGDEDMAEYTEANDKLYLGRDANRAAAR RLKGEIGEMIADREAEDEDDEETLEWERAQAQKAGRWEDEKPEKVFKQGYKPAPIPIA RPIPKISSAQSRLEKSLADLELTKSSNEHNLDTVARDLAALEKDERELRQEVEKVEGK REWVEEFRNWVEMLGAFLEEKFPKLETIESDSIAHLKERASMVNNRRSADDSDDLSLF LGVAPPAEGEEVKDEFGRSTESEAGPSSIRRKIRRDERITRRGKRRTRTAKSANDEEG YSTDSSLAEGDQEDYKAAQHHLERRVHALLDDVKAEEFRDPDKGIAMRFGGWRQKYEE EYVNAFGGLALVQAWEFWSRGEMVGWEPLRSSRTLDSFSWFRSLHHYSHPPLPRSNES EEDDMDLDEEPPLGPDGDLVASMVSSAVVPLLTKAFEAGAYDPYSAGQTRRVIDLVDM VKEMMGKDSKKYTTLLKAVLGVYHEHLLSLSSSVSSILSSNAIAPPAFDPASRPANER YVNRRIKLIKNIALWRREAPQETRELVIRLVSEVIRPVLGKTWEGGGKEMAMKVLTAA TGLLSPDLVGWLQQGPGSRW
I206_05795	MVLTGPTADEIRAEAYGPQHLGTFGKDCFSMITDEVRPLLKNYD NIILVGIEAHVCILQTALDLLDRPRFHRRVFILADAISACHELEIPLALDRMRDTGAV VTTSEAMLFQMMGDGTGSNDKPISELIKNERANTAKALETLLPHPSATAPISK
I206_05796	MYIIPTLLPRATITTISGVTFTRPTTSASSIITSRTTIYYSATS KASSSFHGYYTTLTPGSSSSSSSGGGLSSPVKIIIPVFATFFAIIVLVFVITACRRAE MYRRFHSKPPPPRIGAINIPQIPMNRSNSPFDIDTPRVTPYPAPVTAMSQINNSGPVI QDIPSVYHSTPTAREQIHDVPGYQQSEGGMTMPPAYDEVIRGNNR
I206_05798	MLIALLSRWSCHVCSYLYPAYASYKALSLHPDSSPEAMAQVERW LMYWSVVGTWTAIESVLGWTFTWLPFYSLIKTLIFLYLSLPQSEGSTYIYTNHLAPLF QEHESDIDAFLSSLRYRASAALAGGLGWCWEKIKAQLNIALPAGAEPFPGQGGYDTGN VQGFDVSGMHQPPTLQDPASGAIQQVYGLFTRYAGQYMPVALSTLAAAASASQSSISR GPSRAEQIPESMSMPIPIPTPSVKSSTSDQTIRSRTYLNALGSSGNYPNITSPELSQA ASSARFNGNRSISTTRPNIINSSNTSEESLGYLVNNHEHENKINSRSSSDMSGYEQIN REEAQGAPSSQNRPNMEQRRSSGWFGWGAGTGTPEKPKTQ
I206_05799	MPYAEPTTPPVSSPVKEHPFHFHRKGHTTPPRHIEDVDTGASSS TGPMPGIPRRSSSSGTSTPRRGVLETISRATQGVHSQTFPISRPMEGLPRRSPSSSGP STIHVSGLPPAESSSLGLKLHPSPVKQPLLHRAVRDSIVSTSTDGSSLPSTPRDDHAA LPVQIHDPAQNGESSVPFPSFDPEFKPPPRPSASRGLLSPPGRPTLANMRRSSAGHVR GGQSSTGSLQITFPPSASHSNQSTPRGGSSTDLLRPVSMIRKKSGEIVKPSLKQRSMS TPDLTRQAHESPTEEDDESRFGEERSKSVRFADTSEGDAKALESVVLFLREQKVTAIG KAADSENGAYTETETENDTDTDFVQFRTRRNAAAKAADENGSIQLEGGSRIPRKRTDF SPDARGSLLGENVMLERIELQSGLGPLCMRGSVIARNISFQKWVAVRFTLDHWQTVSE VSGTHVCHIPATTTGDEGWDRFSFSIKLDDYKRKLDERQLILCVHYSVHGQDWWDSND GMNYNFTFKKSMPKRLTRTSGPASLGSGADSPLGDSTLPGLRKSHAPAPSANINKAFG APSSGPANWMFPKLSQRINRETPSRPDSPMQTPPPNSFKPPPPPSTYAHLTLAKYCAP SPPQSPLKDMSPTMPNIVSPEPYDPVELQRRTSMNVVNGNYATLLSPDAGHERRSSWN GDNSSWDSFAQAKGNHESPTKPSSGDATPTGHRSPQVAAEESDVTPEHRPLTLKRSTG DLRKLMQEAEDRDNGLMTPPSSNLSSPPTPTHTGLPAVPMSPSPSASTGESSPVETLS NESTPDLAALNIEVDPEKKMIRNESYQNFLDKFCFFQSPRMTPNEIDTVHRPSYINVS GDNSPKGFPFYGNTNDHNSPRNTPTPTRQYNSAQDAFGFSATSKAHSPRPQHKPLDSP RGNPLPQLVPGFHASPADTRAWAQQVNSNASSPSLTAAK
I206_05800	MSSRSNYFLSRSPLHLFGENPITPIMTDIPISKPSSCCQKLPAP RPRTRRRPRDLHILIPPPPDTLRNFKLMINYTSTLSNPIMKTRQTRSELLSAILNSPL MLNPRWSIQALCVNWVNCGIENLLDQDHYVDFNILD
I206_05801	MSSTNAEASSSTSAVIVSVAASRNGRTPGKAHKGEKTAVKRSYI SNSVKTPFEKRREQDKAKAAMKAVEQELKDDKQANHDKKVSIIKERRERAAEKQRMEE MKAKMSAKKLQRMKKRQGRSKKISG
I206_05802	MSSTTSTPRKRKLSNGEETPLTVRTKSKQGPPTPNPPLVPFPTP PHTRTRKFKYVEPVFPEEDLPIAGPSKQVSTELPPHLQTLLNIHHSFNLALSLYIATH PPILPPHPPSATSVALPNLTNFLAIKETVERTSGRRFGLQELGRLAWVWTWDGKALPD NKAVSEKNKQAMIDEDNPFLVPTASNLGTGEVNGLSYLITPTRTLDPHTGRRVYTHGI GIDLELRKGETRQVLHGGAEGGIGNKGQGGGMGAVGRWNTNGEVRHDIFRERLEQWVE FNGGYEPPAKSILPTPTTSENSTRSTIPPIPVLPLPHLPSSTLLPAANLFSTFSSPST TLTPQKAHTRPSTDSPKTAGLSDPFELIEKPDEKKVKVIRPGSVDQRRQAMMERIKAR SETGKVPATLGSSAGGFKRSGSLSAAGQHEELKRRSTLSRLENIAEGIWMMFSAPSPG PSTLPSAPRGRRKVIPMNEVADVIVKSSKTPISSAEAQSSLQLLTELCPFFLNIKQIG KQEWLEMPSGSISTAPPSPGSSITIAQPPPAIPGRLAPSSPSTPGRTNNAELAGPASP GRVRRGGGLREVRERIRRELEG
I206_05803	MSQSPQSSQTIPSRPRTTRPDRKLILPGPITRSARAQAALHHPK EIPTLELGGNKSLFKSFTSLNPNTRILFGVIVGIIGVAGLMIDRNVLQDDNSKAENTG ISVRMVDRK
I206_05804	MSFLYTPITFVSSLFKDTLKRCVEYSKNSKDTDVSNSDSESSIK TMFDEPYGTIKPYPSEILSLDSPEKLYLWLSRPLDKDAIKIRYLYLTFDLKFLRNIKF YNLFFSKLPLKYNNQLSNLKLLNLTTKGFNFYIENNNLLDFNENNYKIFNNKKFKRNI ISLDLCLEKLLSLLINFENSPLKFIWKSKNPIWFEYYNEFRQNNKYNFPKMPNIIFKW TKTNEFEFPYLVRPIWKSYNYNGKSSSIPIEKITIPAQGLHKWSKGYAMGWLIRDIMA RSRGGKYKMILEIKGYLPKKRLQYKDRLMSDIQKEGAAGVIIVIWNDKEE
I206_05805	MSSVSGLPTPQASDTLDLLPQFLDILRSSSPNSIPPQTLLGAIT HFLSQLEPPYLEEFTQALICSPSIWRNADINRSDLRNALRLSVSSKINKISQDTRNVY FADSRKRRKAREWLDCLNKVSSDSENTSRKLDLYLGLLQGVNDVEEIDWGKGKVKLEE EVVMALSQEPQNPVLDDNLAMCAALPLINIDRLRILDIQSIANNLESSMLRRFRPQEN TASADLPDFARALGRSFEVLHSGGPSSQENARQRMMKFCERIEARGTEMERDWEFHSE KYAKFEIHSSNFAAFLAVASTMIDILLAGDVTSKVVDASSIEVAVHILAGLTSFAYLT DASDGGFEDYYKVFYGSLDIISAQEGNEAIRTLFSSIARGNRLNDGRAAYVLVLGDEL MKHLAKKEIDMLLTLAERHIYRPKHKASFEASHAFLLSLIKSSADSLENDHSQAAFFD AILLNYLSILNKQYTHKEITSDQFRQAFLILVETSSKRSSASVQLCISYLLALPTDKE IRRIRVDITPYINSANLPQYLDNLAQAILSTEKYSEERTDLTKDAFEMVVKGLSDADK QVGIQWWTRWRAEFVGGRRSDPGLIRSRL
I206_05806	MTSRLKHKLELENVNLNGAYLNESFVQIGTPLPALSEHKKDKQE YVPEWQQEVRDEQGRRRFHGAFTGGFSAGYYNSVGSKEGWTPSTFKSSRSNRANKVQR AEDFMDEEDLQHLKDDRQLENTSTFKNDGFGATKEELGGKSLPSAIETLIAPSSSSIG EKLLQKLGWRPGQGIGPRVTLRKLKLQQGKLGGSRVGMTQEVDEEDEEGSKHTYAPRD AELLTFESKEDKQGLGFVKGRGMGSLPGKRPTMGSRRPDDIEDDPYVEAGPSSRHLAF DSMDDEDEIIMMGGSRPGLGSRSNKENRFDGPQDTWHDGRPVLAGFSLDPKGVPPDTW FVMPEIPPDWRPRPARVWGTTKKWDQKPGEEELKKEVIRGEPGRPLTFEQRGAALGEE QRLSKAKSIFDYMSTKDRERLASLAAAAPPPPPGSLSLQPPPEEAQILPATEVDIPPL SPRTASAALKGFIPYGDDLERQNRYISYLKSQTHNTENPNPTLKRGTIEEINKELIDF SSSARIFKPMSYAMSNRFTTGSNSLAASDLKQAKPGLHIFDLEKAKAEQEEAEKLKTT RTVEVEEVKRILAPREEAAKNGMYGKMTRETKNWYPSKLICRRWGVKDPHPQGDPNQG KESNTNTAVIETMPLPTNDASWESKFIHKPITSEKVSNSTSPQANDELEKQPTNINEV GMANDINQGRDTLTYKKPSIDIFKAIFASDDEDEDDDEDEENTETQKPVITTKEDSEK YEDPFPVPIKGEEEGPVDLNTFKPVFSIRKEDSEENMKKKKKDKTKKRKGVLSFDVGD EGEDQVEIKEDRKKKKKKDKSNSNGNDNALEEAEAQNDDGEWVEKPNVVPRLVGRKGA ADFM
I206_05807	MAEKPLRIPFLLDPLLPLTTPEETLPIKPTHVASWLVPSFDESG PSRRTALASEENTIWISIIKEPISREDILSPDIPSIITQAASSNTSPQLGSRRSRPTQ NRNLSYSGRPRNTSSASSIYSTSSAKGNRRTSAFSPPPSAIQLPTTTLSSISASVATL DKHSHRGSITDRNELRESLEKHKERKDDGPSIIGLGIGGITRKGLTGLHGRDAIGNQD QDDKSGATSPKSFMSTSTEGTTNNNSRFGFFGRNSSSTDNELTTEKNEERLEEAKVDL EMEKESREDRKEEQDRKLIEDLIENQSPTPTNAHGDHVQQKENAVKKEQIVKRIVLRE AGRGKIVQMSVFEEFDSLIILRDEGLLDIFSLTTLYQTANLDLESATESQLTSSRSIS KSRKISLFWSWQNINIARKDNTFMLLAHGLPWPCALPSPNGELTRVVMIAPSLSSKAA MHIIARLELPGEGDVAVCNNQDSSYLLHCTSTSLTSYPIVFPSTPDASSATESPAIKA SPQIRAVSASFAHLKESVSSPSLGDNHPKEEKKEGFAKFLARRDWRVKGKEEDKVDEQ QPGIGEGAEMERNGGGDWNRIAIHEDGEGAGWGDNDVDLFWTDGKKLDLRGSIHLQSK EGINQALFTRGWGTVVVRSRNQEFTVSWFLRDVLTAKLTSFNRFKEHSSMIFEGVSVQ TLDSNGHMLMVNPTGVDLLNLARKNSITRSLVKLSESANTSRVTDIVPANVEDLLVSD AQGNVSSHALSDLFTLNKTSKPEESVPTVDRLDSPVTCMTTIVTPDDAAQEYLIAGDE DGAVRIWTTNSLRIRGSWTLFADPVQNIALLDMPQAGPLIGCLLITSREGTVGIISLK EMDHLFLIPASRTPLRRVFIQEKDIMLAYANGKARLWNTQTQEFRRSTGLDAAEDMLQ NGDWVEIELTDFYPGTGRMLSFDLRGIGRWIHSSKNNSNHSPLSTLRNLLSIFLTFGV DEKVDETCVKELGIIKPQVPIIAGQTHENGSQFEYAQGPDVWCISPAMTGLRQLVIVT LLRPFLDSPDHERWAAEVIAFYTASLPTFAIEPDLQFFAEYYMDSSGDVHQAARLLFA ARLGRMTSGEIAAMIDNSHANLPSKSSPSSRSSEEAINALTMLGGIALHKFESMQPNV LKSIAESVSLYLKSNTYAQLSLAVEICSKGFTTWQSYVDPFEILRRLFHLSTHKDFSS TTLNGGSSIAAQSRLAVLNVALTNPALFMSTLSMDILNSKSVEARTSIMKLCVFISRK KPNLLEHGLPKIAEAIVKSLDPNIGKMRDDVWQAATVILNELVLAFSTIDFHSGTQRL AVGTHEGAVIMYDLKTASRLYVIEPHKHPVSAVTFSPDGRRLITVSLEEGNVTVWKVG SSLSGFFNVGAPPRQGGEKGEPFKRIEFIRADDDPMDSTSALSDIQISWPGNRQARVI IKETALTFET
I206_05808	MAPPVPSYSAAHRLYVKSLYKRYLVNSLNWYIRRDLWRERAIEI RAEFERNRNITDPRALALVLEQAEERLAKEIHPDPYRPPLFPDGTKWERNLPPRMFSA KEKADALAASH
I206_05809	MARTKQTARKSTGGKAPRKQLATKAARKSTKAAGAGAGTSGGVK KPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVLALQE ASEAYLVSLFEDTNLAAIHAKRVTIQPKDLQLARRLRGERS
I206_05810	MPPPTSSLKPSSVKTNGSAPATTSSTSGNAKSTGNATGGIGQLA KPDQSKYNAEQEELNKQIAEVKTKLDAIRSRISLTQAPQSGDRRSAIKQEMDSLRSEQ AKFKGDRNKLFDEMKRVQEQLQKKIKDVQGQKGKVQFRTVDEIDDRISSLERSIESGS LKLVDEKKALAEITTLRRSRKVLETTGSVDDAIAADKARIDELKKQLDDPEAKKVSER FDELKKEMDGLRAEGDKAYEERGKLFDERNQYSKEMDDLYEKKRQSAQAHREANDKYY AKVQADRQARQERFKAEKAKEDAAKRNEEITRLREEAKAPAFTSEIDDCGVLIGWFKG KYGSGEVPSTHAGSDVSQEKVLEGVKQLEIRKVDSEDAFKGMTLKKKDDDEELGGFFG GGKGKKNKKGGNKKSGTATPASEGTSTPSGSGSVNLPMSLLSALLSLGIPPPSGQSDV ARTVEDLETKKAWFEANSAAKTKAEIERVEKLVAKLQKKNAAVLGDDAEAEDDDEVKS TVSGGSIPTEQGGAKEPLHTVAVAGEATGKDIVEDEGEQLPTDEDDKSPEIKKVDSAL EELKEAEAENDA
I206_05811	MSIQKQYKFTKSTLPIPFDILYIIIQNLYSSKSFKSLSKLAQLS KEYNNLIIPLIYKDIHLKSDEQLQLFLLTNYYSSNLQQQQKEEEEIKNKKTSYKIKNA LNLNVKKKVRSNSLKFNYNNNNKQQKQNKKIFNDDKLNNLNLIKTFKIDFYPSRLSFK LSSSLLNNNPLKIEKLIFTSNSLIDLNEKLKKSNSPKILTFYWSKHLPNLFKPNKILI DFKNLDITDLMLNENWLNTITGFNISIQSWKNNNYSNNNNNNLKEIILKGENWFGILP NPGIKISIKYNKFNYLNSEEEDNNLENLKENNNNNNINLIEKIQNRNKIIEKRTKSLI KGLRNSQALHENYQSNSSLNWEIIDILPNPIITNININEEERFIENQREKRKVLDDIL TELDQVSPYITKRYGIIGLDGRRELSCLNWVESF
I206_05812	MPLLLPPSDDLRPSDLTYTHAYNSIISRVRRKGGAGNGGVVILA GTDVDGLLGARILCSLFKNDDVPYRLVPVGGYSELDSRRDEALASEELHTLILLSLGS LLTLSSYFELPPTCHLHIIDSHRPWNLQNLFGVDIGLDEVGEGESSEGKIWVWGDGDE FSETMDNVKKSWEALEYEPSDSGSSDDESEDDESDEEAEEDEEEDVDEEDNEEDDGDG EGSPSKRARKRRKAGSTEPVAKSKRQKENVDRPRRLPRAVREAHHERIQRYYNAGTSY GQSVALTIYLLATVLERADNDILWYAILAVTNQYITSRIDRDSYESFQIIFQDEVVRL NHDPAATNGGIKIPNPDDRSIAKSEELRFMLYRHWNLYESMLHSGYVAGRLGIWKEKG RKKLQGLLAKMGFSLQQCQQTWSHMDMDLKRKLPEKLESIAPEYGLVELSYPSFTRAY GFQLSSLSAADAVEVISSLLNIAVGVRLEVDREGGKGGGEWFGGTTRWNVNTRESASI AEGKENQDPNKNGEDPALDGTQVEKKKENWGSTNFWIAYDACDDIALIRRSLPLSMTL HRSIIRAGSALLDKTIIRSLRTFRLAILKEGPDLKIFSHPSTLSRLALWLVDATRDRW AERQSKLNNGGKVKSLPFVVACLNEDKGSYMVVGVTGSPEFGDVRKNKFGLAFQNAAE ESGSGAKHDMFDTSVVEVAQEDLQQFIESLHLRSG
I206_05813	MSTPIADHHSSSKRPHPTSNPFSKLRSKVDHLQSDLSKLGIAIS TTLNPNHRHDEAWEQEVDAKIEAIRDGHRFRSFAGERDGNVVKWHVDGHDYFWAMSEM IDQAKESIMILDWWLSPELQLRRPAALFPEWRLDRLIQRKAEEGVRVYVMVYKEVDLS MSLSSKHTKHALEDLHDNICVMRHPDHSGGELVYYFSHHEKLCLVDNKIAAMGGLDAC FGRWDTRNHPLADVHPTEFYKSLFPGQDYNNSRIMDFQTVDKYTSNALALQEAPRMPW HDVSLTMMGPSVVDLIQHFCERWNFVKNIKYKHDHRMEWLALPFQKLIKDNEFRLHHP HLSEWKDHGRQFFHPYHFPPSEAPRASEPVPYGTCRVQVLRSAADWSHGILLENSIQQ AYISLIREANHCIYIENQFCELFHTFISSCKEGQPVKNLIALALAQRVISAAKEGRKF KIIVLIPAVPAFPGDIQSQSGLKAIMEAQYRTINRGGASIFEMIKEAGFEPYFWNLRS YDRINNPKARIKRMEEKSGVTFHEAQVALAKVYVGSDDVGGGQDETVNIEQAHDQTAG VDQINKKDTVEKAIKLPKTIDDAKRIIERFQNGRSNDDKHVSDNVGQHAMLDKTSLAE EQWDGTDEEELACYVSEILYIHSKLMIVDDRRVICGSANLNDRSQVGDHDSEIAVVIE DADMIESKMDGKKYMASNYATTLRRTLMREHLGLLPPQPPFDSAEHPNDGMHPAPHSL RYDFGSAEDLAVEDVLSDEFENLWVGTGRGNREIFEKIFRPVPNDSIRNWEDYKKYLA PNAGISSGHIANREMSLAQVKEELGKVKGHLVDMPCDFCIDLKWMTEGDWLSVNSYTL ALYV
I206_05814	MSAHREIKRGRAICGTCGTRCRSHLPLPLPTNLGSSSSSSSLLP NQSAPTSRNPSPQPSIDGQPIPRTGGYIIGPERGTGGSTGIGSGSGRIDTNGNTFFEC LVCSRSVASNRYAPHLSSCLGLNGSTRRGAARSAAVKARLGNNDRSSPSPYVIGSSKG DGGSENGDWERGSEGGESVNGKKKKKLLNGASSTPTPSSANAKRNKSPIKAASIPKKP KIGPASTANSGIATPTPANRQALPPSKLGRPSTKPADISQSPVSSPEKSIISIASSGG AGGMMGKKTLPGQSTNTNLSGIMGSGENIIGESNR
I206_05815	MGILSHAYGPEWQIKAKNQIDRISVSSNKPNLDSKKDLSETFKI VLEVRQSASEELNDDVQEFLKHNDPEGVFEIVFVGEERENEAVKIWRDRETSLN
I206_05816	MPYIDRRYAQQIVPYDRNHRGLYLAPGQGKNVPEKVIVCYRPNE NDTDSWTSSSDYTQRRVYRNRYEYSSSDESWVLGDSYTSNSSTDSRDLPIAYQLYNLL QGMRNKLYGERYHRNSRRPRRYIDASSDDTWDATSSEWSSEGSSDYWNKTSSEAIAML KSLSFKKLIRRRTETSQYTEPLIQPVIPPEPVSTTPRVSLPYDIYPLIIFHSDRSSLI SLARTCRYFNKLVKDKIWEHIELLDAQEVKKFVKHIINNPDINFESKIKSIHIHFELL KWDINLNSIKNEIPNFNSQIYPITLFENLEKLIITSSGKQFIDSIGIQSGYENNLKDL IKEWIIFFVGSIYGPKKFIGKHFNKFNNKEIESEVEWSTIILSFTLSNWKNLQSLSLP IIPFTLIQQFDISFFLPKLKSPFNKLKLLEIHSANFENFGRYNGILEQWIIDFAKRKY EYTQSDKSKKESDVMVSSNEQHKHNDENDQRKILFRIRESTETSDSQRVSEWIGRNQY IRETFRN
I206_05817	MFQPTNGPSPSGNIQQMVNPQDIAMLAQQGYLRQQQQLANMGQF AAPGGGVGGIRPTLPGGQIPAQLYHQMGGMTPQMQQEFIQRQIQAQQAAIAQQAQAQN GSSGPGKKKRGPKPMVGAQMISRQTTSLQPPHSQEQYIKNAMSVPPPQSQIAGHGTAP IHNEPIEPWADALDELDPREIAMGRFRKRHEVLGEIFGPDSIKDIPDEDYDPWAGLGV DGETLEAKVLALEKENEELEAQSKIAVEDFRRRLREIDAGAEISSSTAIAA
I206_05818	MNPIESPNMRPPPSYDSRASHDDRITIGDMSLHTLQPTILTKPQ QKRVQEMIRGSMRSTKDENTPSDPWIDGLTDDGGSFFTAKGTIRSLRPKKNHKGIEED EEIVEEVLVLVRQTNEATISKKANHDQEWSYGETPMPSRVLYLFHPPKAPTAEFFPSN VNLNPWTWLESARESLERAFWGILMPSVSGVVGVTEKVGNSFANIVNILPSPATLQLE GSIAWQTSTSVQHPEDPKPEINNKSSTYSFSASASLRKEYQAKQHFYDQETIYTLRMP STEPSIKGEIDIEPTAKPVRIRKRAAIPWNHDDDRQELGWGRNINMPIGMRV
I206_05819	MRSYLFAFLTLLLLTFVQAQPTRTHDDEPGKRVPLRARAARSFK RSLCSTNAECLRKGLPLLRPFRRGTRTRSAIRPRDSPAADEETGSLQVFDANGNSLGY VSKVIDDNSGGYTITTDPTEAVSLSFTSDGSNPFTITISSSDRQAGFPYLGGVFNDNT SNMGTGSSAFAVLGGLSRTISGPAVSDDTGEDNTRQLYGGSETSIFLYDSNTQAITGQ WTNTDDTKVDTIFFYGSDEGYDLGMIAPEDYDAFANNFDANPQKVVSHLNRASTNCIS GLLSLASHRQ
I206_05820	MPTEGPVQEQDNTEPEDHDDPNHLNSLSKFTLFETKSRFYITAS TGDTHRVLKIDRTDPTVLNVVEDQTVYDGDELDLLLRMVQDGNKSQGGLEKVLEFHGI VGFVKFTAGWYLILITKRSVVGLLGGHYIYHCDETTLITIASKSERSAQETKMLHTFQ HVDLTKNFYFSYSYDITNSLQTNLTVSPQNRRWNTRFMWNHYLLSPAFDVETPKGRSQ WVLPLIYGFLDQAKINVFTRTVYLTLIARRSRHYAGARFLTRGANEHGHVANEVETEQ IVSEPLSTSFGSRTRLYENHSASDLSAGYGAYTSFVQYRGSIPVMWHQESNQMTPRPP IEITIKDPFYTPAAKHFDDLLGRYGAPIFILNLIKSKETVPRESKLLAEYGQCAEYLN QFLPEGKKMQYIAWDMSQAAKSGHQDVMGVLEDVCEEAIQATNFFHGGPARNEVGTGP HRDKPLLQHGILRVNCVDCLDRTNAAQFAIAKRAFGHQLYALGLIGTPHLPFSCDAVD VLTEMYHDHGDTLAWQYTGSALVNRVDTYRRTKAAQWSSHSRDLLENIRRFYNNSMLD ADKQSAINLFLGVQPSLPTYDLARPNYKQWFTPSHLEEPKYDELAPINQVYKEYYKPH ILSQFGRLYAFTMNSTTRFHAKPKNEMLASPFESRVQTELGSPTMHQATIRRTARRWA APAPVPGSSSLRTSTPHTSQEDQKDDNPQHTHQIHPIENLIASLLDPPDLDKRINDYE WYTKYHSSDDIDFQTALVEEKDLQLYIRVAKMSKGEGMDDQTAPLQQHYPHLGTLNLG SNWMKGLQGNTNPPLIGYESKSEILNNDSSVNQNKLDEMGKVNMGYYENWLKGNHIVN 
I206_05821	MTQTIPASLPFLRSHSIFIPSSSSTSTSQDDDWELVEKQSDDLV GQKYSRMVMRDKDLLIAMGREVRMLSLAGGDGWEVENGKVGTYKTLKSTSLTFTIHHV IPNPNGRLLAVVGHHQIVVLVLPKSSYSSSPGEVECRAIPIDEFQFSPSSNDIISKVS WHPWGEGGNSLWVLTADGKLREYDISQPHDALQTFNFLPSTSSSSSTKFTAIDPLSRN ATSFAFSPLSSIGFSPLMVYVLIANGDIYTMGPILPLHTEMPIRYLQGLKAYSEAKLA RIQGEAKDVFGAGSAGLGKVSFQNQWVESLVKQVKLNEEAKQRTREEIPESPLSRRTS LALLRQGPAVYSPAPQDIGNGDEDEEQAATDLLVSHVTPSEEYTGEGEIVIAIAWSGG RVDIGLEVDKPEPRWLSSRDPTISAPILPIVESVLLPFPQFDPDTMDNNAPTFLRDPL YRDVFYIQHSFGVDSIDIRSWLKELNNDEAQGELSASQVCRHVESAGSPTKPIVGMIN FCNINLGYGLVALASTGQAAFVELDLRISDSAALLPTTTSLEKMTNKVDEQDSHSLLL VKPLDFDKLITTIHNPSVPYNPVSNLRQKIPDASKSINEVKPDHLRVLGEISSQVRNR SQAIRNASQIVENRLDLQVKELQRQIKLLKECQSRVAELKKNAAITRAEELLERQESL GSNLDGVVRKLSEEFRPGIGDSERKWFDELERLRIRVRGGSGIVGKGKALTTRTQILQ EQLSAIKPILAQSQSRQVEVEEVTPSQTYGSKQLKPLEAALSVRSEELRRLMKRMEML DLRVEAYGGADDG
I206_05823	MSDTSERGEKDVHSDVPSDSKIAQQENKQTDPTTSDSQPEARRE DVDVEDKGETVTDEQDKLAADASKGDNLESEPKQQEPQKTAQQESQPEKIEIPQATHD EVRDQLQPEQREEGNNGNSVIEVINIDHGLPLDDEEGFDPATLANLAALSRIIGEDEE DFDGSQVNPDGEDNQGQAEDALEVDDPKHGGPLTREQVQEFVKNLSHRDKQHGEDGEG EEREDDVEKKDKEDGKDKESDNEELREVDEDEKGSKSKQGKDDEEYEEDTKLNNEGGK QKRKRNRTVLSCTECHRRKQHCDRNIPCSRCIKRGVPGMCRMEHPVLPQRKKRKVQED EDINYELGLRVQALESLLRSGSLMDADQASVAARETIMHATRAANAGSQDANNALAQL TQSVAAGGGIGGLSQDAQSSLLLDVLQQASCINGLTAASMGKPLNTGGSAEGVNRRGL DVWSAIPTASQETSVAITTAYENDEIPTKINLSLPGFREDNGKIFIPPTVRYVEKNLR NDNILAREALPVEGYAPFLDVGVKFAYGADSQAYRHKRIASIQAFSLTGGLRLAATFL SRFPPIPTTKTVFVPSPTADEDTTALQDAGLEIRSFRFLDYKTGSVDWEGLREDLQDA PLKSIVLLQVSGSMPCGAELSTNQWRLLAALLQERELIPLVMMAFQGLSTGDTNRDAQ ALRFMVHEGLPVVLVQSFDAMMGIYADSPAIVSIVTQNVEDRDRVDSQLRSVARGMWF HPSPWGAQVAHQILSDTKLYPAWLAEIKAMSDRLRSVREKLYDLLANKLKTPGSWIHL KRASGMYCTALLPPSQAEALTSKRHVHLLPDGCFNLGCLNALKIDFLAKSIDNVVREG IKELEEQQAQRIVMELALVAAKEQQQREEEEALKLQELAEQQLAREEDTLLMEASIAN AIEKQRLAEEQEEILKKQNEKQDEFLKKQLERAEIAKQAEAILASLQNPNNHLGNGM
I206_05824	MAAVAPQPIHPYQQHQQQQYYPQNQYQQHMYPSQSVMEDESDDE HDLNGHQNSQEEESEEDDDSIEGDTMDPAHAHFYHQQQQQAMYYQQHQQQHSSTTDLS GTASSSRSNNNNGGAEDEEMYSDEESDTDSMPDENIDFSLTYALHTFLATVEGQASVV KGDSLVLLDDANSYWWLVRVLKTEDVGYIPAENIETPYERLARLNKHRNVDLAAATSL EKQAGNVQGREKLKDVIAGKAKGLRRDFSGEVNADNAGSRRVVFAPPTYVDHPGVTWS SDEDDSGHEDEGELEVDQVENESQRAGAEPQHGDVRHEMEVHRIDQSLGPDAEMEPDD GVEWADNATIEEQRKVSDQKQRQSQQQQSQNGNTIQPKSNNPFAPRENISTGIAPSTS NTSLASSSAGSAIMDPAQAGNETRRLTATPAVANGSGSSNNGPLLPSAMQVQQQNGSQ RNVSGQSAQSVQSVSSVVSTGSSQRSVTPTSPDEINKKGKKMKKGSKEDLDGGERKKR GMLGGLFHRKGKDKGGKGTAGNDTRSSEESMVSGNTEGQSGQQRWSEERNTLPPSAQQ QSQQPPQQPQQQQQQQQQQQNGVSSHGLRLQQQDQARMQSYTSKYLNKSPSSELHSPT TAEIAAAVAQSAAAMRLAASMGNGNLTNINGDGNYREKTNTTGGRPSSIILSPNPAGP PLLNVIRIFAGDHIKSESSFKTALVNETTSASDLIKQAMQRFHLTMNSSSSSADKGYF VTIRDVNGEEYELSPDEKPLIAFQEAVQRWANESDEDLTSRMGAITPTVKRSSVSSLS SVISLSNHPAIAKLGMNDFSDDSAVKIYLNRRRPGSVQLNQNGSIGMPEPASEFSSYS TQLSTVQEQDSTSSPENQPGEWTINHNNNRESVASTDGDKTPPAQVQRRFNNSLSVKT NGQASPERFSSPSARFTLQLMIHQADLPDNLVFDPASDSIIPKSLLKEGPTQHNENEG PRNRYFVLPRNANVVETIEQGLDRFGIQEGVVDGGDEVEDKVGKRRSVTRVRYNLAVI SHGQERLLPTSSRILEAYDQPPNLRPMERTTPAERRRSRDLQYNLGSASDILPSDPIF VLRRVHTHPRGIASARADSTPRQSTISSNSSTDTRSPAEIIAAQRAASRANQKALISA HINTTQGVDIVLPDRGTFRSSRLIEHNGEEVVRYSYIDDDGETYDISELLEEEWGIDP APSETSPIMGKPVLNRLATDQSAYITAPSTPDEGIEKAEILSDAIFQTENRRSRSNSQ SQDILVGAVEETVGKGQHKEVFLEEKLNRVIDKVKSGSIKGSTSSEEVVTQQQQQQQQ EISTGRHTPNGSGRVTPNNGRQTPQHQQVLSALPEGKIDSNSTPKASNQVFNFHNSNN DSSTPRSNSRSGQYNSAAQSINKIIYSRHRQQPSIASIMSDLEGNTSNNSHPQNSGSR QSEGNDDHDDDTEDDRSSTPVTATSSTHPTPPFNGAVFTRAVSGSISPTPRGGPVRYK DDFGIKEMMAIIEIRSKEYIPRKVGSSDIRSDESDGNEKDLKELDKLLLGGEKINWDK ENIHPEIKNCFINSIENLQKFDDDLDNLLAQVAAL
I206_05825	MLGSPSQVPLPPSPAYSGHDSPPTIMSRLAPPNGISTPDRTPSS SSSFGEDGSPTSYEAGPSVVHEERRHASASDVSRNYIAKLEDFQLIKVIGKGCAGRVL LIKHTPTNNVRAMKAISKRSVLTHDELNHTLTEQSILKRFAIDEPNNRFISKLYNSFT DRENFYFVMEFYPGGDLATQMEIHGILGDHRTRFYAADITQGLEDLHRHGIIVRDLKP ENILLNAKGHAVLADFGLSKEFSYRGDPKPIHVVTYPGQPELPPWAGQGAGSLRTMAS GQKNLMIDKAYSFVGTSEYLSPEVVKRGDYSYAVDWWALGCIVLEGLVGRVPFRKLDD EPPMVLWNKILFQPWDELFHEPKMARFMPDPVTYHFIDALLQKDPMWRLTEPCVKQHD YFALLDWDTVRKGEYQDPHSLDLHPIAEYNIKYFPKLCLEEDLSVDMSTHDLRNDEIE HKKTPLNDNALYALEQAKYRYELENFAWSRDNDGYETVAESEMEESVTDQEEIEGGRI DGADEMDPIQSNDIEDVLPDTLKDEEVEVEDMEEEPVLAEHVDVERKPVPDVITAITS ANMQEPIVTPAKDQRRLSHFGSPESAYSDNLPSSFVGLPASPASVAPAIISSVEDIAV KLIRSSESNHENTIVSSPPEGSIAPSPPAHDHDVPNWEGSGRPMSRPVERLSQTPQQD LPESLISLTSSTHPTKSHPIPIPLRPKPVRQISEELNLNLPTGLPSSGLSVSDIVSIP SPHPGSPNRILRRHRQMGSVDTVPMARLSVELHGVRTYIDDEDWEELTLDDPNASAPN GGGSNHSFLGLGRVLKRRPSNLLTNSMGTNNSGSGSGLKRQIKNSDTSSSRNSQATSP IKSLTNRPVLFSNKSIENTKKAFEKFKAFPKLKNLASPENKIPLHSPLIGPPFSADNK DLNSLPHPTGILGNSIPSNAQGNNSRPVIAYRRHTESGLGWLRRSPKKSSSNKNFKNP SALSLKDERNQSEVSSPIANMQGKKQDGSIDNSTSSVSISKNNEGLPKLELSEIELTG LDWEPFNSKEWGVK
I206_05826	MPPLTKTQAAEVEEYDYFVIGGGSGGLASARRAASYGAKVGLAE ATPKLGGTCVNVGCVPKKIMWYTADIADNLRKAAAYGFGKDNEGNRIAGDFNWTELKH KRDAYIKRLNGIYETNLVKDKVDYHSGFASFIDANTVQIDGLDGETYQVKAKKITVAV GGRPTIPDEESIEGAKYGINSDGFFDIEEQPKRVAVVGAGYIAVELAGVFNTLGTETH LIIRHDEVLRTFDPMLSEVLVPYMEKTGLNVHKSSNVKKVEKTSSGSLLVHVDSIEKP LEVDVLLWAIGRHANTEKLGLDKVGIKTDKKGDIIADDYQNTNIPNVFAIGDVAGKAL LTPVAIAAGRRLSNRLFGPEQYKNDKLSYDNIPSVVFSHPTIGSVGLSEPEAEEKFGK DNLKVYKTSFKAMSFAMLDENHKQPTSYKLICTGPEEKVVGLHIIGEGSDEMLQGFGV AVKMGATKDDFDSCVAIHPTSAEELVTLR
I206_05827	MASQISIAAGRSQRREGSKFVDALPEKGTLELRSEDGEYTHFTW KNRVTGQVEDELLVFPGEATFEKVDQDPSGRTHILKFSSSDQKYFFWIQKSDQQVIIR AQVDIDNLIRDPTYRIGSAPLPVPSTPQRPAQERSWPPTPGAPRLSHPEPAPRNAASA STPSAPPVAGSSNDGVMDVEQIPAQESAGGSGQDQMARLLAEWARGGGIAPADDDARL TDVLSPIQMSTLLTTHPSLIPTITPLLPSGLDLPPNPSAQDLLPILSAPQFTDAIASL DNALRSGGLPGNMMRELGLPDNAGQSVKGFLEGLIGLKKDDQPEGGDERMDED
I206_05828	MTRTKRRDTTTISTSFEHYVKADTSSLKNAQMGGEQEPQIPAAD ANSSEATHGLRVIQRVSRTRTKSRHGHRSSRHHENQTYTYPPEQGPNPNPDPDPKLPT FPPPSSSKKADSKDIYDAFDALTMVQPTYKDMAPVIVTVGGHTSNTTQPPLSGSFMLP QDYHDDPDIQNSVGTEQPLSSSPSGTRSRIGSIKTTYPRSGPTESLFSVQSSGRDRPH TIASSSIAPSSIIEPASTVGLLLKKAPLSSIQGETIKTRVEAENAVLEQLRHFEETTY ILNIVENRVAEIDRKMRKETDEGQLLQWFRLKQDLIVLHNQVIQHDSR
I206_05829	MRLIKRRSSQNLSSTAPIAQSIPPIPDPQLGANDVGPTIPFPTK DGKGTKRRDSKEMKYPPTSFGQSGAGWSFGRMRSFKNRSRSNSDAGNSGSEEESQIKR KGKGKETAKQPLVEEKTANTTENPLNFDFDYARHSSSPSLPPPQMVFPDIDTPDFTTS PPQSPFLPAQPAYPQPSQPIMAFGSTEHHQTSVTALAGAQSESLFSTVEGRPSLNTAL SASSTSIAPSIISGESSYPLISRSDSGQRSQQVISISPAHRKLTKRRPGSMAQYDQVE LVERPSTSSSRSAFQSRPYTNPSPTQIYAVLTGPVVIPRSFSSNLPLPDGAAPPTHQL NWPLNRNPSCSTNASSGMTPSTEESGMLETPNNAIILPGQGEDRLWERIGEPRPPLSR TSTFSYREGDDGTVIKQDGYFHDKTSRERSSTSQSTDSGIDHTKSNASEASLTFHTDY SRATYTPTVSSGSDVTAIAPRNLRISTSNLELRSHQKNQIVSPSTPTRKKSVAFEVQS STPKQVIQDPQHVLFRTVRPPLIRLRSSSVGAMSVNTNSVYSVGEVQVASSAVISKAE AVVLADVARSPVIEMLDRFENSSEAVRRAFDNERERGDWPLPALDYSSQDIHNARSAP PQPHSQSPHKLNGISPLSPILRSASTTASPKKLVSFHSAGTEPAADQGITQMSLKRPT MPARSSSLSKLWHRLSTNGSGSKPKKGKSFEDPDEDIPPVPRIENNVALTEYPAKLTS NSLERIMSTRIKRSRGSLDLTSVGKENPDFVSLPTKFSLPKDTDRPSTPSSTSSRRSK GKKRISISSIPPPRAHSVPLPPRSDTPPVIPPLSPTPPLRDPVPSDTALPLDYSNSVP AVGSDVSPLKDAPTRSFRKISSPEGNNNWKTPLGPYTPPLSAIVNDYFRDLKTSSSTS TGYTSELFTREITLHRTSLHEDAEYQSYDAKRRYRQSLVEIKDDEAFHATVEQLVKLE SDDRVRMTRAGGAALRGDMPPIYRTPSKDLSEKQIRQENIRAWFVTRELVQGERRHGR LLAKGVAAIQLAVKSRTAIPPVPPIPVSEITLSQASSTSKTVHTPCHSRSGSGNIKIS SRLRRSRTSTPGPSSASSPTTPVLPSISLPLSASAPLDILLEKLPRLYELSIELSERF EHDPSPYGVADAFLSMEENITKEISNWAKGVGEIVYSGIGDEMNKILDQQRGSGNGRR RSEGGMYENGGESEIDSEIEGSEGRVRFADIIIVPIQRASRYRLLFQELSTKLPPLSH TSLKIQRALEASTRLAMECDRCQSFDLNALRRQVKKGGRKIRPVSVGPGIGSLL
I206_05830	MKSSMINHAIYLTLSIILFTSEPINAAGPHNRFGVNHHRRHHAR AAATGATNIQQEIRSTQELIQERQEECTLGAWKCVGSELQRCYNDQWNFVTNCTGTDI ICSDGLYTTGCVWTWSVEQNDDNNQSATSSPPFSSSITAIATSSATSTTIPSITNANL AVEPTASTDDEDVEECEDGEDFEENEDEEDDDDDELPYCDDEEADSASATATPSIVAS SAVTTPSAVGGQLVADPDTSQDDDEDEDEDDDEEDCDEADPHTDSTTSVSPTSTTSAE TTSTSVIGGQLWAGGDGSWDTTASATTHDWYNTNDPYSTGTATTTSHDWYNTNDPYST DTATSSSGSDQAKSSGHHRHKSSSASSADWAAITSASTSYDDGSWSPTKYDSASAATS TGWNRWSGESSSSAAWGQESSSASGKHGKASASASASASAATAKTTGSPSGSFSSAPH YVIYSDMWLTEMPSVDVLSNFNRFILAFWMTNQGAVDNAQFWEQLDTDTRQQTITEYH NAGIALMVSAFGSTDSPTSNGADPTQTAQQLAQWVKDYGLDGVDIDYEDMSAMNSAQA VSWIVTFQKELRNQLPSPYIISHAPVAPWFTSAKDYSDGAYVSIHEQTGDGIDFYNVQ FYNQGDGVYEDCETLLFDSGNDWPSTSVFEINSSAGLPLDKIVIGKPLDEGAAANGFV DPSVLHKCVTQAEAKGWNAGVMFWEWTTSAPSIMGTVRGTT
I206_05831	MATVHPSRLGMVPGGSSSSKIATSNDRNGNQEGSLSREEELRRK LMERKRNGSERDERSPRDRDRDRDRDRDEYRKDEQKSSRYEDRRNDRRVEDRNRDRRE RSRDRNDNKDKVRDRDGEGFKSYDSRRDERDNRRELSNDRPRRSSPSYKPFDNSNSPQ NGENKGYGYGNRNDLPHGNGSPGTNMPPPWVPKMQNPTSQPPQNSGYGGWQNPPPHQR FGTIDFERRRQERENNPLSIWPESPKRPYQDEDDLEAERKKNKSKSKSSRKSKSKSKH KSSKHRSSKKYSDESSSETDSEEEERRRRRRRKEKERKKKYDDYSDDSDEEERRRKRR KEKNKSPEEEIPASTQEDMWVEKGETITKRTSISPSKEKIPQRQEEESDEEIGPQLPN EAKEKGMDRSAFAHMRPGEGEAMALYAESGQRIPRRGEIGMEATTIEKFEQSGYVMSG SRHQRMNAVRMRKENQVINEAEKRAILKLQKEEKEKKEGMIITQFKEMMEDNLRKQGI NRP
I206_05832	MPSRMNAPPTQSRRDELLNENYVDEEHLKAFASALSISDTLHME GEASPLSPRSPPVPLDSPKASNPSSRLQPQQWKYGPDNGSFGSGSGGSGERVEKLTAT SDFAPIHQRVSKRPRTSNQGLTYHLIRWPLLGFFFTIIYFEFLTYVITRQVVNVFEWL VAWRGPKVRLRREVRNSKTYEEWIAAAKKLDSNLGFDEWKETDEDSYFDYTLVKRVKR TLTKFRAAEDARGLMDALAVCVRSNFAGTESVKMYSETFFGTKRLIEDHVNEVAACLD FVRTAKDVSLEEKRAFFRAINRHYGASALCLSGGASFGYYHFGVVKAFLDADLLPRVI TGTSAGGLIASLLCTRTDDELRELLVPELADKITACEDPFHVWFKRFRQTGARFDTVT WAKKGMWFTRGSMTFKEAYERTGRALNISVVPSDRHSPTILLNHLTAPNCLIWSANLA SAAVPGILNPVVLMAKDRDGNVKPHNLGGSRFKDGSLREDIPLGSLHTQFNCNFSIVS QTNPHIHLFFFAPRGSVGRPVAHRKGKGWRGGFILSALESYIKLDLSKHFKVIRDLDL MPQILQSDWSGVFLQRFSGDLTLTPRTTIRDWFSILSDPDRKQLDHMLEVGQRVTWPA LRMVKNRMTIERAILRGRTEVRSAIHRDRTSNDMTSPPKTGGLKVPEIIPLESDVDAG FASRTRRTKGSRGLGGLGITTTFEPESQHGLGLSQTTRRRKNNPRRRRASEVFVVDSD EVEEELDLPSYTRQLNASRSVPPESPSLVKKGYSLNLGDTLRHVRAPSLSALSSPFRS IRQASISPQNEDPPLLPQNPRVKSQLSITRWFGGASDSSSDEDSGEDEYNWRKSQGGV KSATDEDTEEDIIEVKAEPGYGEETIPGGERVTQEQIDEGAAAGRSRRNRSISETTTN SEPSRDGNEGTIEEVANVVRRHELGNTPPQQDGVSQ
I206_05833	MSTPLVQQFPSLSQYPPTFLKDLLSSPELTEAFLFTLPEVKDLA AEVEKLGKENDDLARRNLELRDELLALRDATAQSYAYAEGLKRQWIDIEKVQSNLYQR NRPSFLHLRLRHSLTAQDELSEKIASAFIDGRSAGASLPGSRVDSPAPNAYADGTSTP IYGDRTQSKAIDDFTNEFKAARKTYHKRAIWAERWSRGEVAWRDD
I206_05834	MAPTVGISERTLFAIYGPLEIGALISVFGFGLLLMHAFVYFGIY SKGGWKVKLLIIFVVVLCLGQTVSDCSRIIKLTTIHSADLVYFLTASERPEEIISPIL SVTISTITQLFLLRRCLLFTGVTENPTNRSTTFYKLKVWGLAGFSLAVISLSFATGIG VPIRLRMLENIATAHADRHLDLLTIMWLSTSSAIDILLSGYIIFKLRNAVKHSEDRNK VVDALIKLSFQAGGLVTALQLSALIIYLKATSTWADFPAIFISKVYAITLISSISTPR RQASRLNLSGQNAPGHQIPCISSPTIVASSCFQRSRITPSCSCGCQNGQNLPLQVDPD GAMDELRPASEAIEKSEIRNVRDNTLVMSSTESGMKMSAGKSVAHSGNLPWDTEKGSM E
I206_05835	MSNSRIIINSSEDLENHYKEYISTINKLPNSSLDLYLSNSINHN DKLLNKKEYHQLIIPNSNFKIMEIISDLDKRIIASRLDITLGNNGKKVKEIVFYKLND YWEIERVWSIVEFL
I206_05836	MFARSIRSSKSRLPRRAIAARYFSSLDLIPDEFSSPSVKTEKVP GPKGLAASAEIGSFQDPRTHVIVPNYEESKGNYLVDADGNVLLDVFAQISSIGLGYNV PALLELAKTDEFAKAAMNRPALGSFPPVQWAEWLKTGLLTVQPKGLDQLITTLCGSSA NETAFKCSFMAYRQRERGGPDVPFTKEEMDSCMDNQTPGAPQLSVLSFKSGFHGRLFG SLSATRSKAIHKVDIPAFDWPAAPFPELKYPLSEHAKENDAEEKRCLEEYEKILTESK TTRPVAAVIIEPILSEGGDRHASNNYFRQLRLIAKKHGAFFIVDEVQTGVGATGTFWA HEKWGLKEGEEPDFVTFSKKMQAAGVFHKKETRPNAPYRNYNTWMGDPIRALQAREMI RLIKQHNLVQHTASTGSLLADSFKSVFGSSVASGKVMNFRGEGDGTYLAWDMATPQLR DTFVGKMRVNGVQIGGCGEQTVRLRPMLTFGEKHAEILVGTTEKVLKDL
I206_05837	MTLIPSTPWEEISKAKRAARDALIPIDWQIPSTDANNVIDVPRT CGVLSSKEIEITETDAPTLVEKLIKGQLSSYDVTLAFCKRAAVAQQLTNCLTEILFDT ALKNAKSIDEEYDRTGTPIGPLHGLPVSLKDNFYIEGVDTTVGFVAWANDPAKKEKES EMTKIMRECGAVLFCKTNVPTAMMIAESYNNVWGYTCNPYNRKCSSGGSSGGESALLA LKGSPLGVGTDIGGSIRIPSSFCGLYSLKPSFGRFATYGARSGLPGQEAIKSINGPMS TSLEAVELWAKSVVGAEPWYRDPNMLPIPWREVNIPQKLCFGLIMDNGIVKPTPPVTR ALSETKKALEAAGHRVVEWSPYKPEEANTIVNRFFQGDGGVKIAQYIALSGETYPEGL SAYKARYEALKSSPPLVGDLWDTQSDRTAYCKKVLDHWLSSKSVTETGRPFDGIISPV TPFSACPKMAFGGHVAYTSMWNITDYSATTFPVSFVDPTVDLKTPYEARNEVEKEVWD RYDPEEVVGAPISLQLVCQRLEEEKALKLTRVVADALKTA
I206_05838	MNKLQVKLETFFWGKPPSDPKERRLLLKLDLVILSYVCLSYFSN YLDRANLANAYTTGMKEDVGFKGNDYTYAGSMFSAGYVIGQWPSALILSSGRISPRFW FPFCMVAWGLCTLGTAWATTPHQVWGIRFTQALFEASTFSGTHYILGSWYKDYELGKR SAVFATAAQMGTLFSGIMQGGIISNLEGKNGLRGWQFLFIIDFAITIPIAIFGFLMFP GTPHTTKAFWLTEEERQMCLQRLPHTEHVKMTPKTLGKSIKKLIKSWRWYLFTSLFTL SATSFEKVGVYTEFNLWLKSAGYTKQQISYYPSIFTATAIVSTYILTLISDQTRNRFI INPIMFGAVFISSVMLLNWNHLNKGAHFFAYIIGGLGYAGQASNFAWANELCRDDDVL RSLTLFSMNLFSNIWNLWYQIVAWPVVEAPKFRNGQIATLVTGAASVLVAIAIVYCSK KYPPNLPEPEIVEINGKLTNINENEMNSNQRVSTPNDEETKDVENLHGAISTVRHV
I206_05839	MDNHTVPLAGSSSGSGNAPARRPGGARPNPTRIGSAAPPPPKLS IPPGNVPGINVDQAGENGWQIPSSLPALALRPMRASPSPSNRPKLSLSGVSTPSTTPL PPPSSTPLPPPLPLPLPLPRAQSHSSRPPLLDAPSQQYLSGSSNGAGPSSRTSTPILK LSIPGSSATSSGGTGPGFSSGHEYTLNASSDHDDILNSALKTPTPFLSGEDTNPTLQA RGNNYEDDESSYGFGRLNNGLENGEGKRISEMTEDIRQALTKSRFDTNNSTPNRSRAN SSSSKRSLSTSKSRSRANSSTNQSRRDSSGSMIGGGKNEHGYVGQNVIVSEEDHQKES PTFDPDDLVSIRRLGEGTGGAVELVQDKRTGKIMAKKVIARTTNPSMHKQLLRELEIL NVCSSPYIVEHYGSFLTERDSVIGILMEYCEAGSLDYLLGKMKMRGMMCSEHVLGRIA SSVLKGLDYLHDHRIIHRDIKPSNIVLNKQGVVKLCDFGVSGELVDSIAGTFTGTSFY MAPERIQNQPYTIKADVWSLGMTLHEVAHLRFPFPPEGENQSVAPIELLSYIVTAPTP IMVDDPSVGRVWSDGFKSFMADCLIRSGTDRPYPWQLLEHPFIVANEAKKVNMAKWVA ALCQWPYP
I206_05840	MQRNIIYLLRPLLSATEKVGSCSSNRLIHTTRHVRSHENPLGIP RRNPNPAPTIPRRGAPPRKSRIKGVKQIVVVASGKGGVGKSTVAANLAISLLHNSPLT KSPKIGLLDLDIFGPSIPKLMGLENAGDPNLSEENKLIPLQNHGIKTMSIGYLLPPNP ANDSPVVWRGMMVMKAVQQLLFDVDWKSSQNEDLDVLVIDMPPGTGDVQLSLGQLVDV DGAVIVSTPQDVALIDARKGVGMFNKVSVPMIGLLLNMSHFTCTSCTTPHELFGSSKN FEKAAEQLSLEVLGKIPLVPSISDGGDSGRPITVQNNSEGEEIRNIMNNVSEKVWNWL SSRKISDIGTRG
I206_05841	MPPQVKSKAQKAAAAMAGSKAGKKKKWSKGKVKDKANNAVVLDK AVYDRIIKEVPTYKLISQSVLIDRMKINGSLARRAIAFLEKEGHIKRVVHHHAQLIYT RATAAKE
I206_05842	MPKRSRSSSPESDLRDNNNAGPSSSKRQLMSQLLDTKTDVSENK RSIADLFPFQEIFLRILSFLSPNELANIQSVNRYWGKMSLDPQLWKRLYLDRYPHPHY SRLLYNNASTSEVLQNDTGASPRTPKPLRPIARLPSRAFPPPSPKRSPSIAEGQLTPT SVTTFTSKDGAKKGKQTEKEADIEFGHGIRNDGVDWKMMLRLGTNWSNGNVLSQTTIP LPPSPSPSITSDTHFSALPTLELSPSLSSHQSYHRNAGVSEQFIALSPSYIFISSPLS PLVQVHSSLSASNVPIGMIPPPPGWSNPKRPDNVTCIVSDQSVLPLKDEVTGDKLPTR IAIFYQSGGFVILLISPNSDGIGITWKREFINPPNNRPPSNKRRTYEMVQGDPVVLAS LHQPILISCTRDFNLSVYLLSTSTTTSCENNKIPKPRHLQTLKSQVSFHPAILTLFPA GPPTPSNRSIDDYQARKDEIQEIENFKISLTYCTPLYPSSWTIAVQEFSIDLSSSYDL VNRGESFHVGRNDEDDEMIWPRKLRPIAGVKRKAVGIGSDGRWCILVGERDNKIQVYS LPHTQIDQPINNSFEFLQVESNVKGKDIADQPIIHCQTLTSKYHSDITSLSLNSGRCV SSNRDGKLLIWELDDEEADEKMGRTIGYVEVKQGGRRSIWKGATGPQIPTDHSNEDSE QVEGVDIGARRSLPHPQSISSAARSLFLPQPPLDIANIQHREGENKPPIKYLTFDEQK IVGVVNTGGQDEVMKIWSFS
I206_05843	MEARAKRTHARRSCDVCKVRKTRCELPDLDVPSGPTPLPTDKAC HRCRVLALPCIVDDSGKKSRKRARDGIKEDSLAGEGVVGNKIEDTQKRKTSKPKRSGS SSTPSSQKGLTRRQSELNHSLDVLHGISPLALQPPHTHQHQPASLDEASRIQPELPPF DQNEAFDQSRSVKLHGRPAELACAMLKVAYGKMDVKRKSHIDDDEVDLNDLVDEKLKS RLQPGFQQLKTYHPHLETLDDLYKRYAAAPDAPTKLLLSLVIYLTTLSLPADEPIHQI RVLLTSYISHLRDRALLHQTRSFICLQAFELLAVHAPLGVLPLEPTSLKDLGVARGIS VASRHLMGILEFDKLIDQVMSGPGFVFAFQCADFWLWASLIADQTALAFEDLKPTRPS DLSQAKSITDDFLNYNDRSRLWLDGINNGDIAILVGRLSICDRLARSEAVLDTMSRLR SSLDMSVGNTTFDPVNEILNEFENFERGMEVIDARHDAVMGVLSGHSRGVESGWLSYR SIRRRYETSKVYVTGLRMLIATHYLSGSIHSYPDVPPFLTPEQGADYAVSRAYQPMDI VRFITDTTNPKPAVEAVWNWGRKRGINTEACLVACAELGQHLVNTLETGSYAAIIPLH DVIVIANEAAKVLIEMEAGTIQILRSSNMIDKAFRPRSWLIVMNQVSQTFRSIGALAP NDDLGGDTIANGCSNLIGSMVRSAEEWTKSLEKEIPREAVTSDDIKHNSQDDTNIPSY GGNVDDANNHDGNAVIYAQQQPGQEITLHESLRQTTGSIPSGHHPHQYMDSSDRWMAS SSSSSSHQGQGQQNNHLHPPQQLPPASHGEYTQQHSYATTGPLPPPPQSGPYPNTQLD QLLSEMFCYNLPPQPGNGGQYRSTEQVIQQQHQIQPSWPQDMRSQ
I206_05844	MDIPFESFAKLVFHLGNPPKSTQTPSKTSKALSPIKIFQSWLNH LAKPFPPGTGKHLFRLLYPHEGSRRRYGLKEAKLALELGRIMGVEGLSKWDCVTWDNN DRGTGCLGKEIELAIRDRSTSSKKSTMTIRELDLLLDELATSSSFSQLSQNPSTVRAP QEILLTLYRESNLSPYGLCVLTQIILRDLRPLLNPLPKLPIRNPTTMLRLKSNTGPEQ LTLRDAMICWDKRMWDFYTGGTGNIDVCADMIENLDKTNLEGIVMTGPKLGTNVKVGS DPDNFTLQIHVTCSNGESSIKIYSKSTRDSTEDRLNTHSIILASLSLPVPSYLPIHHT LKQRLTDLPMQHNRKGISSIILEAEVCPYNESSREGGRAPGIEEFWWLGHAGVTTEPA LINHFDAAFSKHSAKHLCLIFFDVLYLNGQSLIHRRYEDRRIILEQIVQPIQGFSHLA ERTRISLDVNRQSALQSLEEAFRRSCQRREEGLVLKASGSTYTNMRWQWVKLKKDYIP NLGDCIDLVLLGAGWDIDRARDLRVDTSVFTTFYLGVLTNPHRTTFRKELPNFEILFK VSYGPDRTQLDNYNECLRYGKWGNKPFDKDDPFKRYYEIRWPRLQKIYEPSERQWVDA LSAQDLIKTAHQSLGYNIPTNSTYSPPSGEDSIRAMWRSHSTINLIDIPQLVSPTSPK SPKRVKSEPNIALLRDTSPSPFISHQTASNEFVNQAGRKLNDIMTNGIETSRQPIHPT SKSPEICTRNAEEALIPEIPNIPRPITPNNTIILGPPLPITPRKSPHRIIAPHISPVK RLISSIDWTNIDIRNQSTPNQVFSKRLKLNEIAKEKVKKNQIIKPLSLRSRLKLISRR IGLKS
I206_05845	MPKNNGPLQIRLTEPVIFLKGPSTGLDFRGRPQAVRQDGQPAMV RGLLTLRLSKPTRIRSISIKLEGKARTEWPEGIGSKRMDTSEEHIILSEQTTFFNAVH QDSSRSRSARRAMSVGPGVRVGNEDEDLYDDQELNGVPRDGDDLDDWLDIGRPRGVGT RSMIRSNSAMPGTHDSHSWHRDGFSRRSSFEIPQPQSTRTSNLDLSNLNIQERGPSPA YTPHASPPRHSIGLPPSRPSSLRQSNPATSSREPALSPIVSAAPSQNPSERGDSAEAE QRRAAHTQGTPLATEPVQEGHVWSPSLPLNGSAVEEEEYDFANPSSALRPILNPARSS HNSTGGGEVRFQDPPEDRSSGSATEDTEFRGLGSSETTGAEATHRTPLATDDASVPPP SAAPSSARGTRAASIRTMNSQGSASSASLALSSHDTTVAEAHPTGVQAVSVVNTAHNT PVNSAPPSIRQRSSTDTLANATHTSGNESSSPSASRRTSTISRSNRQVSETSVNNLAR QDSQPSITRQGRTTRTGSASTIVESPGAISSASHVNLPSALRNASRGTRTASGTPSLS SAPSLQHLRNSSSTPREPSEDGRGRKSSKFSLAATLRGISRDVKDSFQHHGRGSSKSR SRMPSPERAGDSGFIDRPPLPNPSSSNNSLRTSRQGSTSGFNDSTSGRAGSRQPPYSG SEDFQPPYGRGGAGSASTNRRSRSRDRTPSTARARNDEERSRSRARGRHMGMKVLTDK LGLGEHDDNNHGHGEDVHNWKEFRKGTYNYPISFPIPVTAPPTIHAEFGTVSYKLKAS VIRVGALTPNLTEDMEITMIAQPQEDDMEETENVIVERQWEEQMRYQITLGGKAFPIA GTVPISIRLMPLLKCKIHRLTVALEEKTDYYAQERKVARHETPKRFVLLFIKQPDHKE RIEPLLPIISDDPNAAEQSPVAEMARQAVINNPNPDVFDLERDPNDSMYAQLMEPTGP WHLEKELHVPDCTSKIKFTTKHDQTNITVGHWLKVTIRVERGDDEALDSKGRRKQFDI IIETPIKILDCRVNSQWNSLPTYSVFSRGIMSTPGVCSVHGTKNSGNISNSTRETNNL ISTSSSTHQNNQINENSNNINRRNEQQGGNVIENGEDSLLERNIVYDRLMSGQQTETG EVPPSYGEAIQNSTTTTSSSSEFLRGRTRFNNNHNQNENDYQGILTSGIESRSRPGSQ PQSRSVSRVRELEDNSSSGQITFSTRGSSGSRSRSRVR
I206_05846	MATSPDPLQLLRQSIVSLILPTLLTSSSSPASSLGEASFISFPP VPPLTDSPTSIAKDTPTRYTSKAGTRDEFYNIGQLWLAWNERESGVRDYLMNGQAGGV GYVAITDRRGVVEFLTGESEATGRVLKKGEQVEASTSATAASATAEALPSALQSSEAG PSKPAAPTKRKYEVDIADREFCKKLRAEEVELRDRNSVLRSSGGGKVNNFESFLKTTM VEKIRALRGSFDKGASKAAAQAQQQAGPPVGAKKAKSTHPIIIISSSPTSLLTMWNVK KFLEQGVFEPSDVARQNEAAQGNMRAEDVVAILRKRSGPHGDVTSKYYVVDGVDALQK FGQDAWDRVICVVTTGQAWQFKPYKWQDPRMLFRNVKGVYFQWSNESTNPTVKDWNVT EMRIDRSKRHTDRQVVADLWRILDGAKRR
I206_05847	MDFLSSSVDIAFFLLTLLIIYNQPILPTSSSTTEQQNEIHPPNQ ESNLPDSPLPSPGYLSVPSITITPSSLGNLPIKPPLPGAGGVKGKHKKKSVSFSLSSM DDLKQNENTLSNSNVIKRFRPPTPFFKSSSSNHQSILLNLEMSPINNENSLSSPINNN AVIQKREKELGVNEELEKGQLDHGILQEDSMGIQKKWLVTGAQ
I206_05848	MDPPEIIDLTEDYPTPSSQALPSSEASEHEAEGSIAGSSLKWYK KVNKRMGRGGHPYACQAIAVQGASGDTLRYEDVKFWPYVGPLNPWKTPRSVEEAWNNY DNTVAICAQKKMIVGRCTDRDPWKVLWEMDVDDDLYTLAWTYHLFTCHPLIAVAGKKG FVHIIDAVLKRCLRVLRGHGGDILRLAVHPTHPHIIASTSYDKTTRIWNILGSDLPII PAGERYNENFPMGDADEGDCTFAILAGEGRGGHRAYVADAAFHPTKNAVATVGLDRQV KIWPLPQLPKPSINPLPTPIGYKAKIVHLPLFSTSKLHADFVDNVEWLNEYTLMTRSR KEVKIWEWTAFSRFFRPDSLTSRTSEPSSADYTDSGSFSLVSEYPIGSDCWAMNASFH RQFTPTSQEVINFEKNEHLVTDPLIALTAHRESNGPLPEILLFNPLLAEDGVSPTPIT RKRLRDRRDSSSSNENDSEHESSEFMSSPEKTKDGQRSVGRPRKIQSGRSMESSSFQN GTSTPGRSITKSEGTTSVSPEKQSGTQSNKSLEPWRLVATDYQALMRQNRQKGLKIFA AGTNLCNVAISPRGAEWIIGVGEPGTVFVWKIDI
I206_05849	MYDRRESIAKSTWDVDVEPATTASSSPRISRSPSPTLTDQRQPI HRQSLSHLNSEISSSSFTYPAIYMCFLTGLTASPSFAACFIWCGFQTGNAAQLGLALA RLITPDHQRTFGFQKMDQQALVSLLSFFAGSSIGQLGYRIGPKKRYWLVGATFTQMVF MAIAALISHYSGEDGLANSRGDPSWAKPMGMTALGFLSATMGLQGAVGLRLGTPMATT VPLTSTWIDIFNDPFIFAFRSVKTRDIRCAGALSLILGAFVSRATIGVIGSSGTIGVG AAFRAI
I206_05850	MPQTLGQRPASLPRRQTTGQQPPMFMGSGQSSVYLSPIQEARID SWRKGASEATPPGSTSKSINQNKVKSAPASIDIASSKGSNCTCTCTCTRCGSGGTIEE CPTCGGSLTNCSCSIHSPKSKSSHKSRKSNHGQNAHVHGYYSSKRKQNSRKHHNRPEP PLPVSPQSPVNFAVLKAPDKLHLPTESQVRAHQLGVLPPVQWPPAPGQEIPMRPMAKP EKEPPVPRIAGVRDPVSQNYYRQMFPPHGGALPMMIPGIHPIGMVPARPWNA
I206_05851	MSNEANKSHPSAKAPQKTVLNLGNLPPKLKPSDMKQSASQYPRT PSRVNPNQPPWPAYRGYHEYSFAHATMGVRLPTILGKAIDDVWKTLNQEYDEERIVDL VNCIHRLEDLMGDLQGNSKLRPIIDDGAGDVALWNKEIAKFFRGKDFMNAPWLFAEAY KYRRLRECFSLSKYWVDYDVFFRQKCDTFSRSNQAVFELSQRFAEPVKAQGDISAEAK LEKDKLVFLELTQVCLWGNSTDLSLLIDMTEEDIKKLQSTGGEHLAATEKNILGNDLH KLADYVMTIKNGRIDFVLDNAGFELYCDLVYADWLIQSGTCNQVIFHGKKIPWFVSDV TKKDWDWILNSCVYGQLFQDATDAEVESLRTLGHRWKQYEKEGKWKYEAHPFWCTGYT FWDLHSEAPDLFQYLSESDLVIFKGDLNHRKLTYDCHAPLDTPFNQAIGPLASEAGAP PVASLRTIKSDVVVGIPGGVGEKLDEEEPGWKISGKYAVVLLSQGRKGETPVYNN
I206_05852	MSVNVGSTKPPISINETSNIPIRAESAGPSASPIWSASVESPRP PFADEDRRRKGSDVTLGSNGESPKEKKKGKGLTEGDEDVPDLPKNNLKLVMPAIGLVL FLSALDQTIVATALPTIAEDLGASPSQYSWVGTSYLLASTLQTPINGRVSDIIGRKPM LYAAIIVFTIFSALCGAAKSASWLIIARAFQGLGGGSIIGLTILVADIVPLHKRGTYQ GFLGSSWGIAAVLGPILGGLLTEKASWRWCFYINLPTCGVAFVLLVFTLKLNPSRKLT FAELSRTFDFIGLALLMAASALLIVGFSRAADFGFGEPATYGVIIAGAVVFLAAIANF LTTKRNAIIPARMFKNRTTAFFLLASTLHAATFLAFNYLLPELLQGLRGDSPIDSGVH LLPFACCVAWMTVVAGQLNSRLRIVRPVVWAGYALAALAWGLFYGLFKSTVKLGTLEG VLVIGGIGTGLSLQVPMLIIQAAMPLKEMAAATSAWSLTRNMGGSIGLAVYTAILNTN LRSKFATIEGYGTSFIVPESAAGYKALHELPEGQMKDQVLEAFADSFRVCWIVGCAFF AAALFITIPTRSYSLNRARGGQAPTIQPPTDDVEAQNGQDEKAQAEEDEREVRDLDPP TGSSASSDKTALDIGDDGTIDRKGKSTESS
I206_05853	MSSPNVITTCLPASGSNVHKVELPENALVLSPTRQLQSLLTIIR DEKTQRGDFVFTSDRIIRLLVEEGLNHLPVIDKKVTTPTGQVYNGVAFQGRICGVSIM RAGEAMEAGLRDCCRSGKRLISPDEETYQPKLFYAKLPDDIAKRYVLLLDPMLATGGS CIKAIEVLLEHGVEEEKILFLNLIASPEGIQKVCTRFPKLRIITAWVDEGLDSNSYIV PGLGDFGDRYFL
I206_05854	MYGVAGRASSCFKCGQQGHVAAQCPASDPTCYNCGTAGHLSRDC PQPKNKACYTCGQEGHVSSACPTGPAAGGFGGQGGQGGAGGECYRCGKPGHIARMCPE AGAGGYQQQGQGYGGYQNFGNKSCYTCGGVGHISRECPSAGGNRGFGGGFQRGPQKCY NCGQEGHISRECPQEQGKTCYQCGQTGHIAAACPNGAGEPTT
I206_05855	MEDLSSLSASLKEILVSPEGSEILASTIEGYFLSTDITTKKAVC ETLLDLVNGGDGEQNTARREIILKENSLTYLPHLLPLSTSFLPAQELVYSIAKWSSPR EVILGLSEGIQSIVDRAEGYVVSDNEDKEQYEDDDIPVDWSKLVEEYENIIRCMILTI PRLKNSKSTPTLLSLSECISSSLPILSHQSSTSSSRTLLSRLCTLVEVIWKWVQNTVD NGGEQRAILSNILFESVTLLGHKVNAKLTERWFLKTFPKFSTMPTSKEILKDGPEGYK DGQEVLNLAWNTAKLLDFTPTDLVKKVLEPSHLSVHASLASLNFLASQLVKSEFETIL PTSSPSLIDDSMPILCAALSGSSVDAGLVFTWAIVQHSIENKKFTVEYDNASMLLELL VPLTAQHPSSLMRLALFKLIGEIISLQTTPNKKIQLFKQLLEPANPFDNIRIQSLSLL RENISSKSVLSPYLIEIIFPILLEFPIDSDSNNPFNLSINELLKSFWFLWWIESLTLL WFLFNFDKENITNIQSILKNDIKFKSWINVLKNKLYEIKNYQQNNINNEFNDEIQFLI MKFEDSLNRLKGIL
I206_05856	MPKVYSRAVTSSSEQAGQTQSSRAVLRSYYCLCGDFVLVLQGKL DRLPKRKTDGAYIIRSKDGKDPIKQPARKFKLNATPGQRCLIKKKGTQELEIRQPFSC SRCNTTIAYQSTLPPAGDGPFLYILKGSMTELQGRLPPDAFEGEESLPPADNVNEAGA A
I206_05857	MSPTIHKVTPGPGESSNSNSNSTSSPYSPPGSLLSHQRPLQPKP SSNFHILNQDENEHQEQKANSTAGSINNDKSQRSRDGCLTCRTRKVKCNEIRPVCDKC RIKSRECLWPSGDESERRRNKKRRHNHNDGGNIDSDNPSSSGNGIAYNRSKLKSRIRG VVHVPPGFLPPDAMDGRSKDKDRIDGMDGDLVDWLMPEKARAGMMMDPSFLEPYFPTV DERLVIRHYLSKTVHIIIAFESNYHPWNPWVRVHAPLAFKHLPGTNPAADALRAAILA VGGVHLTYSTNPTDQAAAWRITKSAKTKVLSLIKQTLEDKDGKPNVLEKENIELILAA LLSCTIASSLAADDSWHHLLSSVLSLIDQLGGAQNILQDAPRDRLSPYRFFLEQLAIR DVFGCMTTELAPSILQDAFTPWFFEAESWSRSDFEWESVERMFGISRGMVDMIARACN LIASIRSTNQHLPEIASPTLAPNLMRLQQASSELMSELKIWDEAENFTPLHPRTQYGN HSYRHAIRIRMLRKVYNIPSEDERVIKSSQAIIELAGEMLALYGKITWLTWPILIAGF EIPPTHPSRRTALEMLGAFGPHACFDNRAAARMLSDYWMWHDMDGDHATSWEVARTLN QRPFLD
I206_05858	MAFASSSSSVIRSAQQLVRPTSSARSLARGKIAVRQVVRPYSAA AAAAAYSESSSSQSFSPQPSSSSSSSTSSSFQSTSNSQGRRTKYNPSKYDKSINENKN ENNITGEEAQIFLTNLLGLKKNEKEFSKELSLQIITHKSFRYSNSIRHSNSDNENDFN ISNEPHNSRLSFIGRRAIQTYFSIFIHDFFNSVKNEPLSLNGIDFLKGLNLEDRLDNL RDTRNLGRLVAPNWKIEKIIRWDKNETSRESGNLKILGMTIESILGGIFNEFGSPAAQ RTFHLMILPFYIKQLKDPRLIERVLNLKDQIENSGKGILRI
I206_05859	MAASIARVNLLPTSRTLASGVPLAPRINNAIPTGLAGGDHGHHG SAARSDVPAAWTFKSTARGHVGRTNALPTSSSYQQRFLSTTPTRSASHPMAATTGTHR TSATGVPDFTPYKAKNAGLNRTVSYFMVGGLGVLGASGIKSTVSDILSNMAASADVLA LAKIEVEMGAIPEGKNLIVKWRGKPVFIRHRTSDEIDEANAVDVKSLRDPENDADRTQ RPEWLVMLGVCTHLGCVPIGEAGDYGGWFCPCHGSHYDISGRIRRGPAPLNLEIPEYT FNDDEEKIVVG
I206_05860	MAPPHPLRRISTGSLSSLARSTDRNTSSASGLDHLTGAMVELSD EMATLSSNVQQMTALHDALGTFNEAFAGYLYALKMNAFCVEWPQAPNEQSFSRLEALE VPEPIPIASTSTLPPTPVSAPSRASTNPADMTYATQYSYTEDEPAPPVAKKPVGGIRK PSGGIPAKKGASTAAAKKKREVSLDKAEET
I206_05861	MRSVQIIFLFTLIIPLLLSSSLAHPHSKIQGRRSRSIHKRKHSN RQRRSECKSSTSSSNDNTADPDTQSTSGIEAVSLGLWTPFQKSTSGNDDAAAIGSTPD SEAGSEGYGGTGSGKKAKGGWGGWGGWNGGGDSDDSSSEQASDSQPADAISGEYDTSV GYSPSQQLPTISSSPLEGDSTPDTDASSAAIDVSILKAGGQGGYTYQAAQPTSSISEY QSQSYQKPTIYSTNYVEVTEWYTPPISSSSYQAPASSYEVPAPSNQASSYSQSAGQTE VGAIPTTQPYVPTPTSSDFPSITTGFSQSTAGGYSSSQITTEENGQQVQTSSWHSSWA NTWSNPGVTSTSQENPVATSAPDNDDAKTFIDCHNQYRNQYGAGNVSWGDELASYASQ HASICASMTHTNGPYGENLAAGTEGFLNIISSIDMWMDEASSYDASNPTYSHFTQVVW KETTSIGCAAINCDANTGMAGQLYVMCEYHPRGNIVGAFAQNVGKR
I206_05862	MTDHFADDKNISNRDEFYEQATVEYPPGTATRGVAKLQNVLHGQ SKEQLYAEVDQFVEEHGLQSDVDIFRKAALLAQRPNDYMAIAELSKEDKDALQYEGDH KWHYPWKLWFTVITCAIGAATQGWDQTGSNGANLSFPTEFGIATPVGQPGGAADEWKV GFVNSAPYISASLLGVWLSDPLNNWFGRRGEIFITSIILIITPIASGFTHSWEALAAV RLVLGLALGAKAATVPMYAAEMAPAVVRGALVMGWQLWTAFGIFIGFCANAVVKDTGA IAWRLQLGSAFIPAVPLAFLVWFCPESPRWYMKKGRMDKAWNSMKAIRTTELQAARDL YYAYVQFKEEQKTIRGATYVARFTELFTIPRCRRATYAASAVMLAQQMCGINIMAFYS STIFAEGGYTPQQALYASIGFGAVNFLFAWPAVFTIDTFGRRSLLLLTFPNMCWTLLA GGMMFFIEDLKLRTTLVAFFVYLFTAFYSIGEGPVPNMYSAEVFPIHNREQGMAWAVA VTNFFSSVLALTFPRLLRTFTAPGAFAFYAGLNAVAFVMLYCLLPETKQLTLEELDQV FSIPTGTFCSYQVKTVAPWWFKRYVLFNKNAVCEPLYVEDPDISHRA
I206_05863	MLTSATVFISLISLVSARPHCNAHNNANAATVTYLYTRHHAMST AIGITSAATSLAAIPTAGEKITTSITEQSSSIIESTVTEVTSTPNVIGSSGIVSTQIE SVIPNTSTSASLVVPTNLAASQTNSSSGSTSGADAELLVKLHNDFRAQYGAGPITWSD TLANYAKSHATACNMKHTNGPYGENLAAGAGGGYSITDGFDSWANEASQYDPSNPQYS HFTQVVWKATTEIGCAAVSCADGTIFDIGTESLYIMCEYNPPGNVIGQFGENVGSKSS 
I206_05864	MSFIPLSTCCLQGGRLTGTPRGTFTASNNTQRVGRYQTKPSEGK VVDEKVALVLYTDAFGLELSNPKIMADAFADQLKINVYVPEYIINPPPVDVFEPVAPL YPDQYASRSWATTIYMIIEVIWKIWRWLPMLLFPKKQVPLAQAAINDLTSEGYEKIVA VGYCRGGAMVQYLLSNQANTTLVGGIICHPSPEKSTWPQITKPSVWHLADHDQMFGAK DIQLLKDSFQKKVEDENVDFDCIVHTDTVHGFAARPTLEHEATKKAFNEANKSVVGFC ENYLLGSD
I206_05865	MSRSAIRPIFNSFRPTFQTKIHPISRQHAPTSISSTILKRSFQN LLAPNPDSTDAPNLAINKLTARGFILSDNLVIPGGCIFHSGRAVLWDVDPPKTDVGNL ELMWKDWDIGRFRVFEVVVPRPEILLFGTGQTAIPAPKAIREYISSLGIQLDVMDTRN AASTYNLLAEEGRTVAAALCPLSPIDPKTGSSR
I206_05866	MNPTAPPPTGAHLAPEASPLHPPSVIHNTRILSSLGTYSACFSG LIAGLLGLTNLYGFALYLISSIFTSIILLLFKCNGDVIKYVAQSHSNLSNGENGTFGN GKKWKGYWALSGIGQENLLGFLLFWIGSFALIHVYD
I206_05867	MSSTATPGPSNLRPRNNRPPSLQNIESNHDELSSHRGSPAPSSS SKTQRDDPGSSNTTTSSNVHFPGGLYLPAVKGTIGGIESPRRHMRSAPSISTLRDDSS NPPDSASTIQPRKEGKETTPPPSPPPASRAVFRSDPTIKSCLNGLKMDRAGEIARLFG V
I206_05868	MSLSPKINVSSHPLILSKLTQLRLHDLPAKDFREGIRAIGSMLI YEASRHLPLVDVPDLQSPIAPFTGQTIPLRVGFSPILRAGLGLEDAALEMFPEATVLH LGLFRDKVSLQAIEYYSKLPSQVTADMVFLLDPLIATGGTALAALNMLTEWGLSQSQI KIISVLGSKQGVLNVSEEFPDVEIHIGAVDDILTEKGYISPGLGDAGDRLYNTFH
I206_05869	MPTKEFLPSLSPLPDEVYPLENVKKKPDQGYYIALALVLSVWMI TPLCCAYLIWYTLLSPSLSGGSGLTATIFAGYAITEIFFSIYYAYLIKYVQKPAPISN LPISERTNLFLKVVHSNLIYPIPIKGIENYEDLKEERIFEIEKAEENFQKGLISINEL YHIKNKEYEESIGIQEKKRIGKLDYKEKELIQSFVEEYKGQREEKLKSQIENSILPNK QWGYEGIIDEKDDKKIVKLHSWDKRAIDFRERLRTWFNHAPWDEIKRRNMEIWLAWSC FGCPLEQVLANEENKKYMEYLIELVEARSGSQFEEGYNDELKVIRLSIDPVQAKARPL ILYVLTNMINLFLQEVVYPYQGMGLYREGDMEYLIRIPKDWTPEKGKRVANAIPVVYL HGLGFGLLQSHLIIKHLIHSLPSHPILIPLSPYTAQSLFHHRHLRPWTRAELVKSMKK ICTQWGFWDENARSSARGGVSMLSHSNGSVGHGWILKDCPSLIRRNTFVDPVVFCLWE GDVCYNFCYRTPSTAMELLLYYFIACELGIANYISRNFDWADNTLFFDEIPEATDAHK TAFFLGGQDMIIDAARVRRYLERHGVTNGIHWDPLAGHGDGLGGSARDRVVMFAGTGS TENWQNWLKSGRGKHNLGKDEILNRIKGKRE
I206_05870	MSSIQSYTHLRTSSQSNIQIPTINLQNYNSKSKSSFLLPSLILI IIVFASTIQTEFTHNLLLNLKYDKPYFTFYLTHSTFFLIFPIHLLLLKIHFSIFNSNS KSKKSIFKIYLKGIKNILIKQLNITSSSSSLNKNEINSNEIEWKEILKIWNKKIIYLT LILSIPSLSWFISMRLSLPIDITSIYSTSAFSTYFFSLFLLNQNLSKITISSIILAFI GVFIISLDGLKNSNNNDDNNKQQENGMINRFIGDSIMLFGAIILGLYEVIYKLALPEG QGGVISSSTEYSPLPTHSISTSTEETELEDENHLNQSSISHRSNHQYRGTDSTPTPIE LTPPLSRTTSNAGLLPSSSGHLNLNQNHSNEIENGSIVNLPPALHANFITSCIGLATF LLLWPPIIFLNWSGYEEFVWPNGDGVWSCLIAIFIGGTIYNAGLMVLIGIWGPTTSSV ANLLTIGLVALVDSIWLGQIPDGQTLLGVGMICIGFGVLLWEGEG
I206_05871	MSTSTTKISNLIPTNPKNVPNPLGEGNYIKTAGCLIIGDEVLNG KTKDTNSNFFAQFCFDLGIELKRIEVIADDEDEIIEAARRMTKAYDFVVTSGGIGPTH DDITYASLGKAFNLSLKHDQETIRRMSELTTPKRREELKNATPAEKEARNRMALFPTN NGPEESKSEIIFVDSEKWVPVVRLDGKLCIFPGIPSLFQQLLLALTPYLPLPPASSKP FRHLIYTSKPESTIAPFLTELQARVKKEGIRVGSYPYLYSGVHVSLIGHDVERVRELG QEVVKELDGKVVSEGKLGNETKEAKA
I206_05872	MTANPDSRPLPEGWITQFNEEYKTWFYVNKHAPGGAASQWTHPA DDQPSNDSYAPPSGAPPSRPNYEEKARDSYSYTSQSQPQQSYSPAPQQQGGYTSPQPQ EKKKGLGGLFSKLGGGGNSRPQQGYGGYPQQQQQQYGGYPQQQYGGYPQQGGYGGYPQ QGGYMQQQPMYQQRPQRQGMGAGGAAALGLGGGLLGGMMIGNMISDGQNDAYQDGYQD GGGGDFDGGDMGGGDF
I206_05873	MGYIQIPLYELVSRLPTPHPQNGLDVFIGLATVHLMILTHLTPH TGGWRLFRMGIIAPLCLTGFGYIVVGQIEKDDLTHWGTCVLMCIYSMRVLEYFVFFPA EENCHRIVPRSQIHPRAKSTHLMTPESNELEDDEVLIAEPVPAPFTLKKLYWSWSLIW SYRGIGWNYQCPLPSESTNHPFSRKSSRLSWGYATIRYYIIGYLIDDFFRSIRNIYGK EFFAGNIPYNTLNQFERGLYSTAVVIRVWFGLIHSWTISAIIFVTLGGILGWNDEIFA PWGWPPMFGSLNQLWKHPGLSTMWSKTWHGYNRRWLYVLGWIGIGENVLGLTHTGISS HPNMPPSEKSSNSSNTSGQISPSHPIPTSSPSSSSSTNQKVNLPTRKISNRLMIENLI KSFITFLLSGFNHDVGSFALILKNNPPAQSQIKTSIYLTDIFKLTPFFVIQPIGLILE AIFKINYRKFKIYYLGISKGKESSLLIFFERLFGFIWTWVWLGWTARFFVQGMIQLGA YRRDGDRDLYWNLFGGLIWGKWYI
I206_05874	MLSEVLLLLSGHDSSLFVPHPSTSRPTTLVCSPHLTEYLHPGEI TSLNSLADLAFKYRKIKFWSNRIQKEGKDAILLESLSYSRKGKNKEISSIQNGPNQYI TNLAGSIIKILNQYEILIIEIESKILSLNPVLVQDNQGYVPLSSLIATFEIWKIPLES LLDLINQISESNNSMDPGKLIDLIEEKRNTGNSQLYEIYTKIYKSLIDLFLIHLISFV LYGITTSPEDTSKSILAQSLGLDIGADVSSPKYRIYQLDKRFIPSSIDKRTQESILYI GRVSATLKRHNKSLPKFLIDQIREEIVSVKGLDELNGFSDVIFRARGEVGEWLWKHIL TGPQVIETIEFLSNYFFTRKSDFSLSLLREIHKLRLDKLVLSNPNSSSSVIREQDLNL AILRASVGTSAENDNFLDNLKFNMQNGPLRAIPSQKAGRTEEDKNQEEYSHTHKLFSN ALLGTPINLTTSISWPLDLFLSPKSMSIYSDIHSYLFALRDTQFHLSNSWISITSSQR QFRNDRSNPLNTRRSEESEKLDKLYWGIMRIMNWFISELLSHFMDIIDIQHTHLLKHI NIDNLDTRSATGLGGSISKNSLRGSTTTLDKQSSIMTKGIDRTSTNTATTSMTGREGI LHSPLSESHNFEEKTTIRSNKIPPTPIKQDKNYLDFLTLRSIHSQHLSFLLEALLLSD PSIASLIRDILNTCKRFTGLIERWNGKLLFNSSEDENEELIKDKTNNMKEIDEILHDQ ILEFYSILIDSQNPPILSEIDKSSIETSKSFSKTSKFNQISKIINSRQILKGFSKDGL SLNSINTGSERHIEHLLLRLDFNGILTKWRDQDIYGTTNEIGMESVLPQGGL
I206_05875	MPSTAKSAPGPSRFNQPPPAPSTSGDSESTVPPPTTEKGLDVNI LKELAKSALVESLNEIQGAKTLILEPALAGPLGLVTEVALLKHQAVDKMFWLEAGPLN VNTRNVVWLCRPKIDFMHTIAEQIKAQQSNPSPAGPLMYTILLVPRATELCRKVLEDY GVAGDVNISEFKLELIPMEDDLLSLEMDDVARDIFLNGDDTPIYYSSLALMTFQRAFG LFPRILGKGDGAKKLADLLLRHHSTDPSQYGELESSSQVDGLIILDRSVDWITPMCTQ LTYEGMLDEFVGIKNSHIEVDSALLDSNPPPAPSPSALPSTPITKKRKHHLTSQKDKL YGELRDQNFAVVGSKLSKVARRLEGDYGGVKNLKSVSQMKEFVGKLGGLQSEQQSLRI HTGLTEMLMPITRLETFNRTLEAQQNLVAGYDPSSQLLTIEDLMNQELDWQTVLRTII LLSITNNGIKQKPLDTFKREFLQIYGYEHLSLLINLENLHLLPKSSSNSLSISTNYST LKKNLRLIVDDINDLNPNDISYVYSGYAPISIRLIQYLTMKNQILSTTQDSYGNGNGN GNGNGNGNGDGMINKEKPKAHPLGGWKGFEDTLNLIGGSNVDLRQFGERRENNIVFHD ENKITTTVIFFLGGCTFTEISALRWMSNQYKGRKFLIATTGIINGNNLLESFGDKSPV QLKQGI
I206_05876	MDDLSTGSESDYSNSWISWFLSTKGNEYFAEVDEDYILDRFNLT GLNGEVVQEYSRALNLITDNLDEDSLDDDVREAVETSARFLYGLIHARYIVTSRGLSK MLEKYRKADFGRCPRVYCYQQPLLPVGLSDIPYQKAVKLYCPRCEDIYSPKSNRHGSI DGAYFGTTFPHMLFMVYPQMIPGKGQPVGSSSVADVNRSLANQQKSENNSGGGQNSLG SISTSSVANKAERYEPRIFGFKVNEDAKLSRWRTARRNA
I206_05877	MAPTTPSSLPRLNTQPVPPTPLTSQITSAPASNTNFQNLPSVNS PIELNENSDPFIYDNEDNFEEDEISSKINIQYIDENKNSLESPSATPRSVLLSPLKTS PTNRPLSPDLPNRDALYLFSNFSSYMRSPNENLKGLQGDDFKDSIRLLEHARWLTSQP HSNVSILHIKYRFQGENATFRSPYSTGDYQLPINNKDSNGRIRNPVPQPFSVLHDPVV KIDYMENGVFDPLPAQAVFSHIAKVCQPPPRVIVISYVYSKVIENHLSSLATWAITSS PPSYIFSPLETRLRETQPLHIALGHSIPMSMYAWPNELYSPYHFRTPLYQSTNHNFAN ESESDKELQTFSPSKTNVSKEQAIMTSSKSIKGLSSPSTNLERRRQSKISQSNEENTK STILLTRRISGNQSPNDIIDRYYARRTSNLQIKNQNKEQNNNLNSIKCRSYPDDPIAS LTIISSPSKSSYHFKLNKSNSNESLNHSRTIEPIDRIPLWHLTPGVGILYGSISNSIG IKLSDFSAINFINKQNELNFNSTLNSNENEFKEKLNENEKENMNFEIYNRKNENNLIN SNGLIETSINISRPSSTNPIPVPIGKNSINTQSQLNSLDNQITNKMKLLTPPDFQNTL ISNSGNINTTQSWPAPISRVPGWRKGRKELMEDTLEELGLVSNQLEYPDEGQNEIENL EEISKGVENLALSKSDEMSRDHDTRSSQDLIDFLLDF
I206_05878	MVDINIQTTISPYTQQPVCTRPLLSESELDNVIAESVKAHKSWK KVPLEERIEIAKKWLTEFENISDVAAEDLSVQMGRPISQCKGEINGTLWRARHMIEIA TEALAPIGVTKPEVEGLEKWILKQPLGVIAVLSPWNYPHMCLVNAIVAAILSGNAVII KPAPQTPSPAERWVSTWQSAGLPNNVLQVVHLTQERTLSQLCQDQRIDFISFTGSVPG GRAVQQAASQGKSFKGICLELGGNDPAYVREDVDIKWTAEQVVDGVMFNSGQSCCSIE RIYVQSSIYDEFVKAFVEVAKNYKLGDPSDPKVTLGPVVSVASAARIRKQVKDAIEAG ATVVLDESHFPEAKEGTSLVGPTVLTNVNHSMELMNEETFGPVVGIMKVENDEEALQL MNDSIYGLTASIWTNPNDPQSLAAAHHLTTELECGTVYMNKCDALDPSLPWHGWKNSG QGVSLSHLVYDAVTHKKSIMKRVEVAGVYEKK
I206_05879	MAPESTPKKEKKDKKRKSEAADLPVQPEAIPPAEGTASAEAVAM EVDGEKALKKQKKEKKEKRKSLAAGEGAEEEDDKKAEFSVPLDAISPIASPLANKKLT KKLFKTTKKASKARQLKRGVKEVVKAIRKGEKGLLLLASNITPVDVISHLPLIAEEAN GVEYVWVLSKEELGQAAGTKRATSCVLISTTPVKRAAPKDGSTPKGASAEDLADLKSS LEEIIEEVKKLESPAAIKY
I206_05881	MYDRIGVGFEFDDDVRSRKRFRAPVFDRFTLPASSQRMSFDASG DDSSDNDHITPVVNRASNENNVKNKLYVRFGGTFNYHTLENILIPISGMTPREIPRMI GHRCRLEPKFNFEGQIGLSKDLKLKESENLPPDTESFVVVDGSSRTNGEQRSGADC
I206_05882	MSESANESEIASSLTAKSASDSRKLSGDRAPLDGEATEKKRTAL SYHNSQPQLNTFIDEAQRHSDGQTHEGHPIKALRESSLEQGLITEATTKADQRRDARI SQHSNECVPQAFTTTTLLKKRMSKVFTVYGRGSGHTESQLIVDELRGDRDGRIIHTFS YAEYRDGSENHVGWYSWPINNLKDFKSDNDPEEWDLRAIAGEVVTHANEPAVDDKDAR IW
I206_05883	MEEDNAHDSTQNPQLEDYTKGSRLSNESSSGLQNTDTNSAAENV VTWTKGRTLFQSELQKAYSERATGSRNELLAKRSRLSILEWRNNKEDRIYHSFRYQEW DGHGSEVTDLTCEIKNLQHFKTDTHEWDFRAIAEEVVRLVNEPKDEDLHELLI
I206_05884	MLSIDASSIAAGIDRSNEIFCIPAQIIWSIAFLYFQLGYSALVG VVVILLTIPLKVIMFKYMSKLRKAQNEVMDKRVKLVSEALGNMRAVKLYAYEDWFGEK IRHLRQIELKRFLANSFAKSILSAIMNLLPTLAAIMTFIVHALIGNELDPAIIFSSLQ CYNALKAPIADIPDVISALSQAMAGIARIDEFLQAEEVDQKFPIDPRSKNAIDIQGDF SLASQTNAISNGNDAHSSTIRSFQTCLPLSRRLKSGYEPLSSQQRDISQEQSNSFSIK NVDLQIPRGSLVCIVGRIGCGKTTLLSAILSEVKQVHGHVSLGGEVGYAPQSPWVRSA SILDNIAFSTVLNEGDTSKLEMTIDACGLRNDIQSLPSGIFTQVGERGVTLSGGQRQR LSLAGVTYSENEIVLLDDSFSAVDPHVASHIVQNCILDGPLSGRTRVIVTHHHELLMK ADVIVLMGTDEYGDGQIVGRGTYEDLLAREESFRSLLNAHQGLMNTKEDSRITKPYEA KDVDMTGSKDQVKSAIGEKLILPEDRSEGQVAYKVYQKYISAIKSKFLGFVVIVSLVL AQVASILNTLFLGYWSGNRFSGMTQNTYIGIYGGFGLALAFFTWSAIFSMTLTGIRAS FYMFNSAWDGVIRSPISWHDRTPSGRVISRLSKDIEDLDDKIAGHWYNVFSSILTILG SIVFVLYIYPWGSLIFVPIIWYDYISAVFYLRISREINRLVSVLRSDIFINLGEQLSG LPIIRAVKRQEYFQRKSENSIDLHTSATMISVTVSWRLSWLAHRISFMAQLSVLTVTI CGIVFRKTIGPAEFGVVLSYVMISTSISNRLVGYFVEAVVSMNTVERVQHYMELPREA PTELSTDPPSEVWPTKGGIVFKDVSMRYRPDLPLALENINFAIKPGERFGIIGRTGSG KSSLTQVLFRLVEISDDLSPYRDEVDENVIRNRGVVEIDGLDISKLGLRTLRDSMSII PQDPFLFSGTIRENIDPSGSFTDDELNENIQLISGNSRTSDSLREKLKLDYIVIEKGS NLSSGERQLVALIRAMIKKSKILILDEATSSVDPQTDTVIQEIIQDHFKDITLISIAH RIQTVINHDKILVMDQGKLVELGPPKELYDTPYSIFRKVCEQSFTPDHAETIKSGQKL 
I206_05885	MPIPFYGPSPAPEAVFKDEFLPYEQSSFLSKFLLNWAGPSIRVA WSRAMEADDLYELTPDLQAQLVSGLKSLGKDDG
I206_05886	MPRSRTTSRSREPERERRYRDRSRSRDRDMDRKHKDRSTSPYER DREKDHKRSRRMEKEEERSQSENEGVDLRDMGVKEIGEEDYFLKANEFKHWLKVEKAK YLDGMSSESAHKYFRKFVRRWNDGVLKPDQYHPPSRTKASENTGYKWSFAERGDTASN LSSIRADVQRSTHGESSSKSKSNLIGPSSSSSRNIGPSIPSASDRQYGIEIAKDIRKA ERKSHLKDIYNKADELIPKSGGREGKIEERKAINDENRKFREKDITAGLEVDEGTLMG EGGSFAAALKAREQAESRRKERKDFAMQDRRAADSERLQERKAKENATMDM
I206_05887	MVSAPRKQSKTYKVPKRPYEAARLDAELKLAGEYGLRNKREIWR IQLTLSKIRRAARELLKLDDKDPKRLFEGNALIRRLVRIGVLDDTRMRLDYVLALKTE DFLERRLQTQVFKLGLAKSVHHARVLIRQRHIRVGKQIVNVPSFVVRLDSQKHIDYAL HSPYGGGRAGRVKRKRAKASAGGEGGDDAEEDDE
I206_05888	MPHSFGSRARTRHMFSRNFKEHGSPNLTTFLKTYRVGDIVDIKA NASQQKGMPHKFYHGKTGIVYNVTARAVGVICYKVVNGRYLEKRVNIRIEHIKHSKCR QEFLDRVKSNAAKKREAKEKGEHVILKRQPAQPRESRHVSINNNLPQTLTARPCKLIS FL
I206_05889	MSLMAKQNKTIKHKTLSSYYPKLYILGDFLNEFINDKIQIIKEK DPKSYKDLLKNTICTLSNLKEYNNDLIIKEKLKECKKEGNNSETQQEIIDYILRDLLK TGDKNILITGNRFPKVDLPINILRPNIDNRHVNNSSSLLRNTEWKLLRARIGDEAFKL ILIQLSIFLPIGNNCFIQLSGIPIYELYDHSKFVQSESNKTGQSDDSKESFQATLRCR RKRKRSNTDEDMTFSKKSKINTRSLMDVKIPRQRIYYGHASRNPQGKITYGLPQSHPL HKLCYMENHFQLSNIELLRFAQTVIPSILGQGNDDNLKTKGNKYRLEGVLGMINELVA RYRKIDFRHTLRSCVKNEVNPVSVGILKDDHPNVKPSTSVPITQVFPDPTSQEDSKPF KLREAGDAIPPLLKPVSHGQVCRYIIAIFRRLFSSEMLGSRHNLDTLTSHVKRFVKAK QFEPINLHSLLQGIQINEFQWNRIDPHDNQRISVSEATKRTRVVEDFIEWIFSSFLIP LLRHTFYITETSTTRYETVYYTHEDWYKATKPHMDELKDNLLVRLNKTESYFAQQGPL GVSAVRLIPKPQGFRPIVNMGKKIKSKNVLGIPIHGFNKKEMTANQILKGVHQILTFE KDRHRASLGASLFGTNEIFGPIQHLKADLNKKYGKVPNLYFVKMDIKSAFDTIKQGKM IDVVSNLLDKNHDYCVMLYCLLLPPASQASHGASRRLFKSRAVVDDHIVSTFGGHAQE IAKPLRNAVIVDLVRRKQITREACLDLLKIHIQNNVWQIGKQFYRQKVGIPQGSKISS LLCSFFYACMENEFLAFTRQQGSRLLRYIDDFLYITDSPNLARRFVETMTKGFPTYGA QVSSGKTLLSFECETRGQMGAIIDPGDDGQILFPYCGFLINTKTLDVMSDHPRLLIGP IKQSFAIRSDRHKGSTFISWFSRQLENRNHIAYLDTVHNDINTVHLNIYINFALTSMK IPYYFKSDEIINQRREQLIVDSLINSIEYTYLAGKARINHVNNRKNDIKNHYNIKKSN FIFLALNAIIMILNKKSKYKGIINLLKLRLKLKLSNKQHYKDKQMNEIIEKGWNVIKN AKY
I206_05890	MTSVPANQFPNPNASVGGGGPSPVHSQYQVQSQYGQQMNQYPTS SSMYGDNSPVEYPQGMGYPGGGDREFDRQSRIVSQPAALLDQSHLRPGNQAELLSQDR TLQLYRENAKKTNDPELIFEFSVFMIDAAKSMVPIELENDPSPPPTTTKLMEKKEELI KEATSLLKRLADRGYPDAQYFLADCYANGIGTVRGRQDFDRACPLFILAAKHGHPDAC YRAGTCCEHGWGCRRESAKAIQYYKKAAVALHPGAMYRLGTAELNGALGLNRRPKEGV KWLKRSAEHATEEFPHALHELALLHERGIDNVLFVDLEYAAELLAQAAELGYAPSAFK LGECYEYGKMGCPVDAALSIHYYNIAAQQSHKDACFALTAWYLVGSPGVLPQSDTEAY LWAKKAAEQGLAKAQYAVGYFTETGIGTEANPQGAMKWYKLAADGGDKRATKRLASGQ RSGGGAALDRRLEMEAMKEEHQAKGGKESCIVM
I206_05891	MRSILRSIPKSFSRGLATVAEVVEPIASSSQASSSSIIGKWTPH TLRTGLIARKRGMTALWDQDGRRWPVTVLQVDANQVVRHNPPPPTSPFHTLQIGASTR PEKTTPAQQLGHFKKAGVEPKYKLKEFQVSNDAILPIGTELNAGHFVPGQYVDVQGIT IGKGFQGVMKRYGFRGLKASHGVSVKHRSGGSIGQNQDPGRVIPNKKMAGHMGTKTRT TQNLLVHRIDTILNLIYVRGSIPGSDDSFISIIDSKKLLKSKSKLNLKKGKSENEWLS NNVLSLPTPAGTSERIKNENWPAIVEWKGEGWSEK
I206_05892	MFSLLPLTALALLSSTLAADTCNGHAELCSKLYSNVTFIGAHDS YAVGDGLADNQDKNVTAQLNDGIRTLQLQAHNASDGVHLCHTSCSLQDGGLLSNYFES VVSWISSNPNDVVTIVLTNPENLPVTTYSPILTSSGIDQYMYQPSSSAIGLSDWPTLG ELIDQKKNVVAFLDYQANFDTEPRLIDEFSNMWEDAYNVVDASFGCSVNRTSGSPSAQ MYLINHYLDSSYSLGGTEFWIPNKDQLNVTNSESGDGSIGFHVGNCLNLWGRNPNHIL LDFYDAASNAPFDLAASLNGVSAPINTVTAGSGATASITSGASASVSQSSLKSSAMPS LNTRAGLYLGSGMIGALLLGVGRTFM
I206_05893	MTALSPFRYLAVARYLVHRWVESVPKIKGKGKAKAIQHVRQHQQ SPLDHRILATSAGVESHSSSSIGQDLPSAGPSRLPHNDPNGQNHQYHHRHHKPHVKFP KIAPDPKWPDGPKEIFRLMNDERLMVGGKIKAPRETVVLCHGLYGFSTATPIPLFPSL KLHYWANVLEVLRNRIGANVVVVGVKGTGSIKERAEQMHQYLKETLPRGTGVNFVAHS MGGLDCRYLISTIKPTSYTPLSLTTIGTPHRGSPFMDWCAANIGVGSVAAAATAALTG KAKSLPPYSLKSPLLSRPLQDASTRQKSDAFGSLAAGLTSYLLSIFDSPAYSNLTTSF LRDHFNPATPDSPYVKYTSVAGRISKMSVLHPLWFPKLVLDAAAENGYAEDSSNVIHG PNGKRSYEGNDGLVSVTSAKWGEYLGAVDECHHWDLRGEGGLFPQGLGNTDDSKVMQK KLEQEEKQDNWLNHESDEFPSKHGVNKHLGLDLKANLLTNPKEAVEEALHNFETAKNK KEYENDGKAGTSPSTQKGSGSSWDIAQVGQVIDWVTNFLPGDGDKNRNSEISKKQLQD ATLEKQQQESEKANEKRKKEKFDLGRFYGGLMIKLREDGY
I206_05894	MPVPFYDPSPAPDAVFQHEKIPLERANIFSRFLYTWISPMLKVG YSRPLQIEDLWSLSEDLKCQTHAERLQKHFMRRMPPSRRPKSSQNEETPLNGKSAVYN EKQGSTDTRYKERDEIENNAVITTSETKIGEPSPEHIKLYGKKKAQKIAYDTLVIEDG KEYDMSLWYAGYFAFWHPYWTAVILNVFGKAIRVAAPLVTKKLIEYLTISHDYYKAQQ DGTSLEGLEAPQKVGYGIGLAIALFAMQFIPSLLFYKADQVELIMSSQLKMALVDLIS RKSMRLSGKAKNEMTNGRLITLVSSDCSIVAYAMTFFGTLFFDTFTIAAGVALLIYNL GYPALVGVAIVAVAGPAQWWMAKKFMVFSDRQEQHTDKRVGLLTEVLNNVRAVKLYAY ERFFGEKVSKIRRHELQNLRGKALSRAGLTAILNVIPTFAAILTFVTYGLTNHPLNAA VIFSGLQWFAIIRVPVALLPMTVAAIGDMAVSLGRIRRALKAEELVEKLHIESDLEYG IDVQADFRYDASPKHKNDTKTGEGKGAEEGKSSERKRSRKEKRAEAKIEKEKDKKRKK LGMPMDVDPDEDDLDVPFSLTGALVCVVGRVGTGKTTLLHGLINEVRKTAGHAKFGGR VSYVPQQAWVQSGTVRDNITFASRENDVDQTRINETIFACALQSDIENWEYGDQTKVG EKGITLSGGQRQRLCLARAAYDHSEIVLLDDPLSAVDAAVGHHLLANCILKGPFADRT RILVTHHLDVLPRADLVLVLDRLTEHEGRIVQQGTYAELRHREGIFRSLMEEFGTIEK LEKPTDNTDQLEIELKSEPTSTQDRTGQDTLEEEKKPEIPKKSNLEESKQFMDEERIT GKVTWSTYLAYFRALNSPFYIAICAASLLLTQASSVGNSLFLGFWTGSSINGFTQGEY MGVYGGLGAAQAFFNFATVFSIALAGMRASFNLFNGGWSAVMRSPVAWHDQTPTGRII SRLSKDIRILDDQFTSISYQLLSNTLIIAGTMGLVIYTYPWLGFMFIPLGCAFYLCTA FYTRTSRELKRVESLIRSNWYTSFSEQLAGLAVIRAFDRQEDFQRRMQDGLNQEQVSV PIPICSDLNVLWLSVRLEALSQGIILMIGIIGVVNRNHVSPERFGVVLTYALQSAYIF TLFVPLWAQFEQDMNCVERLNHYNALSSEALPLLPSDPKSDEWPNQGTIEFQQVSLRY RPELPLVLKDLTFSIQAGEKIGIIGRTGAGKSSVAQALFRTVEVESGKILVDGINLKD LGLETLRSRIAIIPQDAFLFAGTIRDNLDPTSSRSDAELNEALNLIKNHPRVSSTLRD KLNLDASVASDGSNFSAGEKQLLSLIRALSRYCKILLLDEATSSVDPETDSLIQGIIQ TEFSNITLLSIAHRLQTVAYYDRILVLDKGQVKEFDTPLKLFDNKTSIFRDLCEKKHI GRDDLVKIKKEAESTKKVKTDTDIL
I206_05895	MGIKWRSSTWYITTVVTYGAWTEAFVYMVGVPIIPFRLEDLGFT HFSTRSSWFLFSYCIAISIYTLPNAIFLDKRSWRRSPMIVATCAMQLSLLLLILVKQY WAMVLTRIIQGLSCTVIWSVGFALICENVDQKVVGRQLGIAFTGSHLGNVVGPAAGSG LYSAFGWKGPWILCMGVCSIELIARWLVIEKNTIVIHQSGEQTKHVKKQDGYTTTPIA APSPISEMTDSQANEHRLVKEKSNQLSPFQVVIGLFSIPRSLTAISVNFGTGLVIGAI DPTLTLRLKDQWGKDSHFVGLVYLATIAPVLLTGVITGHLADKFGAEWIVPTTSLLAA PFCLLMILQNSLLGFIMSFGLMNFFINCALAPIGVEVSAVTRDNDGISELHQFAALNL AWAAPMAIGTVIGGQLYDRIGWTSVCW
I206_05896	MSARSPPRQNGVNPEQELIHQIAIEMSRLMNAVNPNDLLGRRIV EIARGNRSGEAFLRAVSTFGKFPEDAMLSLHTRILAQQSIASQSPSQSNGRRGSDHSP PRMLGGQQNEQVEGMDHDDSDTLAPDPIRKGGLRRSGGDSVAFRAPPTARPSLLGLDR LAAEKRAAAAAAEGRSEPPSKRIRKDAEEDRDEGSSSGGVFKVPSIPIKRDHTRVRPE ETPSRGTGLSSEAQKRLDAYRSRKNAPSSAITASSSAENDARDKQKGIDDFQSRLNRG SYKDGRDRDRRDDRDRQNGKERRDGRDERSEGKSWGAAPTPRTSRSDRDMEGGNSMRV PNRGWDETPRNRGPGGWGKSESSRRPQSWDQTPRSGRKGSPEDDGQGMELDAKEWEEE QVRLDRDWYSYDDEGAVAPDEEHNPFSQWENLEREKEKELEAKAAKRQTARQAQFNAD NDLWETNRMQTSGVMQRGGVDMDFEDDSDSKVHVLVHDLKPPFLDGTVAYTRQLEPIN PVKDGTSDMAQFSKKGSALVRERRERQEREKAAAKAASIAGTTLGNLLGVKDEPNLGE EGQKADGGEEANYKADSQFSSHMKKTEGVSNFAKTRTLQQQREYLPAFAVREELMGMI RDHQVLIVIGETGSGKTTQLGQFLYEDGYCGNGLIGCTQPRRVAAMSVAKRVSEEMEC QLGDTVGYAIRFEDCTSKDTKIKFMTDGVLLRESLNEGDLDRYSVIILDEAHERSLST DILMGLLRKILTRRRDLKLIVTSATMNADKFSRFFGNAATYTIPGRTFPVEIFHSKSP CEDYVDSAIKQVLQIHLSSPQGDVLVFMTGQEDIECTCQVIEERLEQIDDAPPLAVLP IYSQMPADLQAKIFSPTPDGRRKVVVATNIAETSLTVDGILYVVDAGYSKLKVYNPKV GMDALQITPISQANAGQRTGRAGRTGAGFCYRLFTETAYLNELFPNNIPEIQRTNLAN TVLLLKSLGVKNLLEFDFMDPPPQENILNSMYQLWCLGALDNVGDLTGQGRKMSDFPM EPSLAKMLIVATEYKCSSEMLTIVSMLSVPSVFYRPPQRAEESDAAREKFFVPESDHL TLLHVYTQWKSNGYSDNWCMKHFLHPKLMRKAREVRGQLEDIMKQQKMEILSVGTDWD IVRKCITAGYFHQAARVKGIGEYMNVRTGLPCVLHPTSALYGLGYMPDYVVYHELVLT SKQYMMCVTSVDPYWLAELGSVFFSIREKNFDALARARANRDFSKKTEIEAEMAKQRE EIERAKAEKIRSEAVARTPKIGGMGVAHTPRSAGIGAGSRSSATPRRRGGGI
I206_05897	MQSPLKGQHQQNQNGWTAHQDIPKTVERKRKGRGVDIISSSLPT PPSQTHLPTPETIRRSGRPRKNTSTEASTSATHLPTPQTQRTKRRSSPPRTRTEEEPI PSPSGHTTFFLSNHDSTSTRPEKIRRRPGLTFAQQMGLLSTSKSRLGVGMGGQKALNH GKVDQIGSVNQRNISTKDDNPFLVSGLGAPVPLASPGVITTHQPRGDESDSETEGVQP LCPSPLPRRSPRLASPSPGTTLIKMLSSVKSPLGLLSPPPTKHAARIGLFQSSSTKPK AMTRQEIAKRKKQLEMLDYEDNPFLVKNGESSTRVPQTRGPLVDEDLPTVTYVFRGSK KVFANPLYPSNAPFPRSDLHPDDDEFEPHPLPKPKLLWPKGPSPSKSKIRDMVRTPSP DIEGLSPPSSPVSTPTTSHRFGKSSLAHTPHNAKGPEVEEGLYSDDDDEFLMRNIRGH GQDDHAEEELPSRRGLLFGAVGSTNSKGTKRGFGGTGGVEDSDTRGKKPRGLFRL
I206_05898	MPLTISGKYPPFESFLKTQNDKPTFLIFYSDIENGKMWCPHCRD VEGIIKSNFEGKSKPNGIITYIGPYSGWKNVPSHPARLKYGVRFVPTIIKLDNNGKEI DRVEKPGILDSTRLEEFLDI
I206_05899	MPESPCQPRPRYHPYSLNSHINTNLLNHRRGSPSVVSNVTSYAP SIASTNTSISHPQPRQNNLRIKIDTNVAPSSHIWDEFYKERRRPVKSLGDDEEINDND RKYEAATPRAITNTLPISNEYADAQTYTGLGLDIGGSLTDDIPITDLLSMFALPPNIA TPKSAQNTIQNALSQEAGIGDFPGAEFLDLPDTASTIESQFPGLDTFDFGQFDFSSFI QPSLTSNEQNSISQANISETNLEFSPLNDSAVLIGLGTARGDDTDNTSTLNQQENNKN SIFLDSDKCTYLESNKPAISSKSPTYLQNIKMRSIPSDKDVIQFQKKVSGIAAKFYTS LADLFENTPNETIKLGMSRNAMIVDNETIPGVLEDIKSLCSRLTAKAADIPGYPADVK DMLASSADSPQSGPLSMSSLVTINDKPRHSIQKGSLAEPIDLTAATPRSPRSNGSTPT PTLDRPRDPLPAVQPQNSPHFTPRRQVLASSPRSNIAFKRFHDPFTPESMGTGPLSVQ PTTSPMDTTDTPAYTPASNANVAIPAPSRVLLDLPPLSSTSTRVIHGPWKLCEVERLR TLVAFSQDVEDNAPIDHIDWTWVVDNFGGTRNRHQVLIKAVELGLRETSTHYSRRIKQ KGYRDAVVAMESDLQDTVRDPPKSPLPPLLTSARITPNRKDSFTSSNLMPFRGESEAP IDDGTPVPTGAGQPAKASATPANTGRRESITSRSSPSLTTQKSPRILPRGLDLTSPTH TVSTRISTSPIRGPASSTSSPFAPKSRLNTMGFKPYAHPTAPVGPSTAPPKMYPRHAL HSRSYSGFSLFTMPGNEKEWMRPSVISPTFGGFGMKGYQLAPLFAENQDDGPLSDDKR 
I206_05900	MANQAVDPQESKSLEACRKSLAKAKKEQLQDGDVIAQAIDLVLN SYPPSSNVDVKQLELLIVILRQLNSILSSTSTDVEESVMFLVYDRLVPAFQPSSHLSS LITINLEHPQKVQQQRATEALSLAGQLSTILPSPSTSTSTNQNAIFMLPVFRQAIQGG ISRRSNLTIIASLLEYIPLSVIPDRLLVSLLGDLGVVDCANIRSTIIVNLLVKQISTQ LASRGSSWIIEKLSPYFDPELPHSVMVNVNRYLLPSLFKIDSSYVSLFLDVLSGESTL FGTWVTVASLGVSLKIIKIKELPQKDLKDALCHEDADVRLRAFELVSASKDQIDEDVL GLVKEGFRWNNDLPSAGSRSTFSSSTYAFLVRLHQLETYTRRINRKKPNTESIKSEQE SLSHILPMTESFHMWFLQYLDQGLIQARRFPVFKVLLALNLLGRYLEVFQESRDIQIR IFTKDRVEMLFSCQMSEFTEVRNRSRKILESATIPFEGYESLSTPASQALLDSALTSI NLSRKTQAEAGKTTLCILFSKLIVDQTKYSQALAFVGSLITKLESAIEIVEKDLVRGI EEYPLHGSLAAIGDLLLCLDLSSSEAQQAWRPTFHHLFTLIDRIWNITKTVISLAPSN VEGMADSDRPDHEIARAYEVMASTGDDDDDGEGDGMDHTGLLSGCWRATRNAGELLAT IISSPITRSFNQVIWSKDDINRAGQSFLTWMHEIRHRGTFSKIANAFAVLIEAVRPVP GLRTLCDEWLQHELLTIASDQHSTTRRSAALPYSILSIVSSDEALLDTALTSLLNLAK VDNKATSNVTKVHAFNVLKIVMLDARQTKWFGVWFERGVITALRAFESEDWNVRNVGL ILFSTLVHRCLSPARGGQDLYNSRSTLLTRQSFSAFHSRYPQIIPYITRYLEAHQDES GKHSPLFPILIIVRSLRYDQDSEDLVMALRNVVRRYLSSKEFQVRQVAAQALSSMTSP ADSLDVALQIQFTEKDDLNTIHGRILYLEQLISNVIIWSNNSDSSQEAFGKLLLELID RYVPGRCPPITQAVLACVLLYKKHASSGAEELINTTQIRLNRYINSKEENYFAPGDDA RQIASVTFLLLNQPNENLFLGLLSNSSTEIEGTLALEHFSRLQLLWTTQTFEVILDIA LTGRSGQSIRVQALDALSRTTWPIDVMKNLKGQWASIEEQIGRIVKLRCVPVKEAALV TLGWAIKQSNADQDGSSVGLLREKLDSYSASILSFSHEDQSQPSRYAALKSLTHLTSI FFEIPNSNLHRSLLRLIQDDDEEIRFGASEIISKGLGYNRGSVQAKSIEIYWKWLEDH LKSLVTNQRSQWLNWIKDLSIDEKGYENDLKIYEQEKNSINVLFEIEPCNIFRDPLID LFYSNKLIRNLNLNYIIESTKMIDLENGKVLSPIDDAWEARRTLLRRKQYQNPGFLI
I206_05901	MITATDISPGRSESPSHLTSPPSPSPNGDISLGPSLSSISLNSE TSEAGPSHSNSPNARKATPTPLAINQLESIEDIDGDIGVSLTSPTRASSPANDYFSVT PSQGQSSAKSERALTRSPTPSSHHRSLTPNGHLEVYSPTKIPLSSPTTLSPPVSASPS RRSFSLRSSRSSSPPPSFNLKPSLPNVTPVMQSEKDADEAEIEEAPFESIPISSTPPM TPTVPPPVSPTYVSPERKGRQSWGGFGMTKEGQRYAIGETPDHIQPQNHVRAQTDGIR RTSAASSVHSVGSSKDQTSQNGDNQDSGDLESTPRLAAPPAHPFPFPIPSSSTSFNPT SPPPSNHKRLSTASSSNGPANAAGSHEHGIGVKETISTFEKVRSHTRPAYLPPKDKQE DEIHLHQWEEMMAQSREHEKQLQKLKEIKRLEKEKKLAIVTPKWESLLHGEFSVGKVQ NDSNLRKLWFDGIPSHLRGKAWSLAIGNPLAVSRDAYKSYVTRSEKAQKSGRFPQDIL DRMELDIDKTLPTLRLFHRGSPLRDDLKELICAWVVYRSDDGQGYAPYISHLSAMFLL VSPPSEAFISLINLLSRPCLRAFYSEISDEIDAYYRVLENLQADSYPKIYANCKNLGL RVPEGWFRGMLVEQIDFESVCRLWDQIMLDGDGFIFRAALAIFGFLEPRLYYPDHDEI LSVLEGYNSATLAIQLREKERARLRGEIYDDSIDGKLSVFGLNEHNLFDWLSNDGWKE KAFERLVTREMPD
I206_05902	MSCYPYISIPFPTFLICFSYPKPKAQVPCLAELRKKAEFLSSSS SKITNTNYSQREIKFPEKVTSKGLPIPISIPKFTFTSYSTHTFQSSNSCHLLSFDMIP RKEDEEDKVKESINSDDIEYGFDQIYRLGKKPNKLYKLNSNEGYDIIKYDLQKDITEI QLTPKINSFSIKSNYYKRDIQYNEAKNEIRHDSTDPDLSWPSW
I206_05903	MPPPGGYEAIKYKRNLPVRGVGGAVVFSAVTAICAFGFWRVGAG NVEKRELKRERAWARINIVPLLLAEQDRDAFRRQQAALAREKEIMKDYPGWENIWHIG GATLQNTFKQK
I206_05904	MNRLTNAFSSAIPSSGSDASSIHLRERDSPRVSSPLDPSFNVQA HPQQQRSSQSHSQDRRHVQNLIYDDPRTIYYPASSSKSGDRGFLTTLGLLNAGLSRPG RPGFLRHMTDEGSGKSTPRVRSESRSRERDRGVRVNLMNTAGLGGKRGWGMNSASSNT RLNAMAQGPEGRYAIGGGQYLRVMKIHDPNSGSTTPIFASDETKDRSTLAKGIGGVTI SEVVNLWKPNWAVGKGVNDIDWGVGAFESKIVTATPSGNLMLFDVDKGKLDKDISSGT FRPMNCIRLCPSPSHGHLALTGGTEGGVRFWDLRERDPSNRKALKHSSPVTSLTFCPT DAYQFVTGLEDGSIRRYDFRSPSRSIGKAFGAHGSKTVLDLKWKEGDEDGDGTRGGGW LASAGADKVVQIWDMNQQWDKPPSPVHSLHTSHPVRKISWRPDHPTELVVVPLIQPLT TADSSLSPKPDSVTQSDYFSHEHQDEDAHLEIWHVRRHYIAKYAIPSQDGIAVDVTWS NGDNNLVACFQNGGFAQMEVKGKFGVGSLPLPLDQVPRQVISWSPKGEMVYAVDKFRM GEIPFDDVKPEYVNHWDKLGRPAPAMSIANPPYEPLQTLGFFPMPDTDGDEFAYLANW YKIEGDIPESLCRWNGDVALSLGRDDDARLWNFVRDLIVEFNPSENLSGEAGFKEDIF SGTMNSTARLPTPPNLSPRHGTPDLSSKFDVPYPSPAPVPLTRVDSSFFHSEDGISSS SSASSSVNSYSDSDSEEEEPKIKSRFLAFVPPDTLSSDLNSRKDSGGTVILPTGSISN TIRNMKFATNTTTGASTPISRSSSAESPPAPEEKGTMSNSTSKKSSLSKMTSSRIMAM SDWPDPYGIQADYSNTPTTIANTPALNNLSRDSPTPLDSSRILRNSPLPFSSLRKGST PEEGSRKTSKDLTNQSANMVKIPTAIKADEEEFNRQEWATYRKRRLEALKKWWEGCID DGDMQLATTIAIVGIAVGEFPAKQVERLAHSYVDMLERHRLPLPASYMRLYSNIPSLQ IVPINEGITHKLHCGRCGKSTGELDDIGVEGKKFWWCKRCKLGAKVCAVCRKVVKGLW LGCRKCGHGGHGTCMRVYHNQAPLRPLVQSASNPNEILARTYVGTQTTMTEYSEENPI IENIGGWSVCPSGCGCKCRRV
I206_05905	MPPPPQTVAGGSTFDKMKMGAIMGSCVGLTIGFIFGSFSVLRAG PGPRGLVATLSQYMLSSAATFGFFMSIGSVIRTESQYAYALPPNSPNGIISQPIMMAW KRSEERKKMESARQ
I206_05906	MSSPYTPCPPIPKATGPVNLILPPTEDRPHKYFTLQNGLEVIVV SDEKADKAAASMDVGVGHLSDPQDLPGCAHFCEHLLFMGTKAFPSENAYQQYLTAHNG HSNAWTAMTSTNYFFDVAPDALEGALDMFSGFFIEPLFNEDCTEREIKAVDSEHKKNL QNDVWRFFQLEKSLSKPGHPYGKFGTGNYESLWSKPKDAGRDPRRQLIEWWEKEYCAR RMKLAVVGKEPIETLEKWVKDRFEKVPIRTEGKPPTGPDGVRLTFEDSPYGEEQLAEF TFAKPVRDMRGLEITFPFPDLDHLYKTRPSHFLAHFLGHEGRGSILSYLKKQGWVNSL RAGNHHDAAGFSLFKITVDLTPEGLAHHKDVALTIYKYISLLRSKPPSKEAFNEIKAI ADISFKFAERGRTSSYCASLSGFMQSPVPREKVISSKWLVEEYRQDELEAALQLLDPR RSTIGITCKEIPGDVVSEHGDFDKKEAIYGTEYKRVKFDEEFLKEAMAGQIISDLYLP GPNLFIPEKLDVEKFDVTEPAKRPVLLKDTPGSRLWYKRDDRFWQPRANLDILLHSPI LQTTPRNAVLSRLFCDLFADSITEDVYDADLAELSFSLWNEHSWIGISAGGFSDKLAV LTETMLKKLKEYKVDEGRFKEVVEATRLDWKNFALADPYGIALFWDAYVSRETAWTQE ERLKELEFVTSADVEAFGKEVLSRLFIETLIHGNTSPEGAKEIQEMVERVLQPRPLST SEIRAPRSLVPPPSSEHVWSLNVPNKAEVNGAVVYHLHVGDPTDIKLRNVLSLFSQIS SEPAFDVLRTKLQLGYIVFSHASSSAGSMGYSIIVQSERSTTHVESKIEQFLTGLQET IDEMKDDEFEKHKQSLIAKKSEKPKNLSEESKRFWGRVKDRYYEFNKRENDIESLKQI TKSDIIELYNQYITPSSDKRSKLSVHLKSQYKGVKFDPTSLTPIIQEFVKNGIQIEQK DINELLTKNPTLSQIKEFAIGVIENSNEITDEQKSTLKSLVDNLKGKGEDSKLVEEGK EDEGQLKSSNQFIENINEFKATLIPSKAAIPVEPIHSNLAKL
I206_05907	MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG A
I206_05908	MSSSSSKLSQPHHSLDTPTTRNAITPSYQIPGLTRSKSTIHLPL YRRILFPHDDPSSIIPQIVRGQGKDVDLINERLYHLIALTLRAYVQSWYNQFSSNRTL SPLINKLIIQPILSPILTDIYLNPDKIYYFILIDLPIILNLHLKIINESKLSLIYLPI STSTKKENKNDLAKRYNNRLPLLSIIEKEKEEEEGEYEYEISDLYLKAISSSILNFYI PSNSKNKENQNEDDIKGITELERIMIKEIFSNLILRSIINKSTENWFWYQIILKLLGE PDSNLDLISRGKELSNKSIIQLIIYWFNNIIKTFYIFWNGIFNLIKIYSNSNYPKNYN NLRYQKTFEPIFLLLKEFLNSINQQQQQQKQKYKFNVFLLEIIEFLLNFNLINLIIDK ILYNFIKNNLLNLKNSLNLINFLENILFPINNNQFPLPSQPIPTENEIIELKLKLIKR LNEIIPSFIKNLIFNNNNNKADKDIENLLNLLENRSCNSHLIALILNCLIATLIPDLV ISSDFDYFNQDEIVSELSQNQQKEEQVVNLNE
I206_05909	MAEIYQKKELLALMNTGNNKLCVDCNAPSPQWASVSYGTFICLE CSGIHRGFGVHISFVRSITMDKWSEEQLKKMKLGGNEAFKSFIESYGPDGGYSKGIGM QEKYNSWAASQYREKLAAACADPPQSWSPSAPPPASAAPSRPSSAQATRKSRAGGAGI GSSNLNPSYAPNPSQKDQNEAFFERMGNSNASRPDDLPPSQGGRYQGFGSTPMNDTSS QHPSYGLSSHSAPTLDELQKNPLGALSKGWGLFSSAVTSAASEINQTVVKPSVARAQE YAQGEQSEEWKKYLSNATSQAKEVGGWASTKAGESWGTLNEVTKTKGGIDLNEQLSKL GLNSVVGVGGGSSRQNGYGQLERSGDAEDGLITPHGGDNEDDFFDSWDNHSKQSTTST TTGKANVNGGAKKKDGWEDDEWKDF
I206_05910	MPKDEPLHPPPLKRGDACLYCRKRRIRCTAEKPTCAHCSKSGRE CVYDNGKPVSRVKQLEEKVAQLENLLQGQASDNVEKRRESADSIHPPPLIHHSSSETS NQSASMSSNTFQQSQTQIQPQSTTSYGYTVNANTENFDINMFDSILQAQPSTNNNVMN NFASFGGAFFSGNVMSAETGATSNFFGLTNDNIPVDSNQAIGQAGPSIESLFDFNLLD PNYMNMLNSFDTSLTDPDPTLQSFQDSLSKTAQPQQTSSIPFQVQPKSPSPPPQIGPL TSSVIDPGGAGGVQNQPQNQSQSQLSNNQVYGYTDSNNGNDPLRRGSDATYGFVDINN ARDWLPMDTDDFTPASTSTTSRSKSFSVNSIGQEDLAKFAQTSSRALSSSEVAYNQMR QAYVEPESLSPNDLLSQVESTMVPAAGPGRHTMIYSDSRTTFNDNNQTTESSQDPQRW VTGITDSSPPDAPAGIGTSPVDHNEFKDKDSDGFTLVGGWFDANDLPKVARDHLLDLF FSGMRLFGQEFHVPRFMASLTLPAHKRPHPCLLYTMYTLASRISDSPPIRQLEPHFYK IASSQLEQSIGMADRLLDATRASTLLAIYKFSKARYHEGWMMTGQAARLAISCGLHQI PSSVYKPPTGPNVNADLAGMMRHRSFVLPPPKDAIDLGERIWVFWSIYTTDRCGSIST QWPPAIPDDIVTTPFPRPLHEYELGLVTEQDDLKSVQSIYKPTFHHNPLPHYTETTMI SIRLRSISILERASKLMYVTPEEGWERSIPTQIYSTVNSSSNSPSANIDDYLFSQIKS AAGFDQNFYPGSGSGSGSTSTNGRRSSKQKEGWTRTARIRTPKAYEEVKQALLRIETD LPPEWRVEWWKWDGKVQEWHFTKPRKDLITLHFVLGCAWMFLYDVFAFNAENTDAVNV AKRLTVTVRFVRKDAMTSDLDVFIAMTWSFISKILIREMKRLQSIGDITGANELEPDI SVLVTALKEFGTRYTIGTMQAMRTERYKKSSKEEIDFLNKNELNSDDNEEEEGNEEEE KNERYK
I206_05911	MTRNLIPLFRPILSSSSKSKSLPLTIRSIHSTSKILLATPVNGN GQGQGKNPFLPRNTGPRTITPGPIKSPNPRESTTVDSTSKGSRQDIGNIEQIGTSIGS DSYPDYSKGPSALDKASQLFFFTEIVRGMWIVLEQFFRPPYTIMYPFEKGPLSPRFRG EHALRRYPNGEERCIACKLCEAICPAQAITIESEAREDGSRRTTRYDLDMTKCIYCGF CQEACPVDAIVETQNAEYSTETREELLYNKEKLLANGDKAEAEIAANLQADHVGFSV
I206_05912	MVAAKSPPNKSSPIPLKAKSPKSPRSPRSPRSSKPSENFAPLSS LLTDEPISTDPLTPVSNPNIQKSISPAPRGLALRRVLSGDSNTATPSTGSVPLSPSSG GIRTPRAKKQDLGEYDMEIDPKLQTSFGNGENQNQLANHLDGLQVNSPINGATSSSAL GITQALGEQTQTIIPQSMPVSNQASSSYATTSNIAAIPINGQNNTHYRSYSTSTEGST SSLHPHIPSNLGSSYGPDGFPVYSRSPEGSPNIFNSSQQSANGNGYHASLNVGFGGAD ANGQGIDPELAAKQAEALAKADEAVKALNGTASLYQSGAPMFEPYNLQSSRTQFLPNS TGGGANFVTPQQPNVVRQSSTSSSTTDAASTSSEESDWCIPTIEWVSTNPQSPRFVGN GYPNSPGVGSLINSNRDRSSNKMPPPSSINRPISPKVQDRPSTLQHQQSLPIGASAAT PSGINQSSALPLSIHPDGAAEDDDEEITVGHNGRDRSPSTSSQSAQSGLDLLWRATHM QSQPKPKAHLPVQENAASFDHKGKRKAGAEAVDKWRSSGIPTGVPLTPTLPQSASVPI NLAEAHGNGTGTGHPPKKRRRSELALESMEPPDSATSSKREYFDEEAILEDESKSPSA SSEPPSDADDSEYGAVNTHKRGRPSNRGRVMAGTKRAIANAKASGKANINPANAPGGV TKGGTIKKVRKVGDSPPGGNRGRRSSGSAAGGEKIPAGGVQCDYNNPLFPYNRCTDVF TRKYDLPRHMARHARREGELVLDGKLPEDKALLWKTIKDKPKVTCNDCGENFTRMDAL KRHQAKQHRH
I206_05913	MPDPALVQMMDMGIPGARARAALKKSKGDVMSAAEKVFQGDFDD IPSDDDSEYERASSSKSGGVILIEDSDIDMEEDSDGSEADDEGFAGFEDDGGVSEDRL LSDPYAGIFFSKDRVERIMEPVEEDRYVKVILTQGQDEHVKVLRRAAWMSGCPEGSEQ SFLFQLYSTLAEGSMPCSAGCGHQFSRHEKDFFLLFSDYATYTTYLNSKIRPICPQCS QATCLACGERVNDIGPFDEKGKNPFLVSNDVLLHCPNLQGVLLGVGLHMIEQAFSTQH ESRSLTTSIANPPSKKQNAGAIHDNLKKTVKISSKGTGYSGSSKEDRTGQVKAGEAQK AADERILLLLSQIQIFLPNLDRVGGPKTSDHLVHPTALAHLRRRSAFINDLLRNDSLL DMSQRAELYTSLLDWLKLVSNHEALASMLAMPQMRPVSIAPCPDSPGFITVTYEGSSS PRELLENCVIQAQAALKGLQAVSDISKPKEIDMTEEEKRLSRAEVARREREQKEGERL DANAQLRTFCEKIVYSAQAIDRSLIETKGEPFVERMKESLPRLPKVGVLVEEQSVTGL TEEAKKKIYEKWAGRARFQYCDLTEPSTVDKKAYRHAFDGSIQSLEGMDMPKRSLAIA KELAILTTSLPVAWHSTIFLRVDETRVDVLKAMIIGPEGTPYENGCFIFDIFLPLDYN LRCPHVKSMTTNGGKYRLNPNLYADGKVCLSLLGTWQGPGWISGQSTLLQVLISIQSL ILCEEPYTNEPGKYSQQLTPASKAYSANVRRMVLVDAMANNIKRPPHPFESEIKTHFR LKSRSIKQQIGNWRKLDDGKPISGDSYSSGLGQSDKANFNNAAKEVIRLLDQLENVKS IINDGR
I206_05914	MFALSSYRKEQPKTFSNQSILPRLPIPDLEKSLEGYVKSLGPIL EQTSSCIIDKQYEGSALANEVEKRKLFAKDFAAPGGLGRALQERLKDLDHVSPNNWLN DTLWLGLAYHTWRAPLLINSNWWLMFAPDSADPAPPNQDSSSSSWTSMVIVKKEWISD WQIRKAAWIARRFAEFRTKLLREEILPDSSKAGPFDMHQYSRMFNLSRIPLPNSDAFS VVDNRATHITLMIDNYIYSIDIFSPSGNSAPEPLPASQIEQRFQSAVTDAKKRKDRGE KADCIGVLTADERDNWTKNREHLLMLSPQNRASLDSISSSLISLSLDPYTLPSTSSDD PIRLAPVDSQVRNTASGIDGAQNRWFDKAVSVMVETNGRAGIMGEHSPVDALIPSIVG EYVLAQPVDQSQFTSSSNTSTGTEGWKALNWVVDEQINKEITECKERNQKLIDDSDAS QLWWNEYGAEWIKAVAKQSPDAYIQQALQLAWYKDQGYATATYETASTRMMLHGRTDV IRSLSNESRSFVKSMLDDKSNDAKRYALLTEACKAHNHLTRQSSAGQGYDRHLMGLKV HLRSGESHPLFDDELYSKSQEWKLSTSGLSAGGKFMGTGFGAAWPDGYGINYLAGPYL VKFGIESKFSCEKTSTQRFKHNIVQSLRDIRRVCESNNDSAPSKAKI
I206_05915	MQASSSRLKPAQETLSGSESGSESDYSSSDVTEDEDGAELTPAL DAAILRTLSKIKRKEGVYGTENVLQEELTRAQEKADARGIKTNIMKKVAEKPYLLQDY HRAKLLSGDNAEEEEETPLTYVESRRKIQEDAISAFKSLADDVSDEEADFITKRDRDE GEENMEEEEYRKFLLEMGGGEDEVRKILGMGDQPTSIVLEENEQSDDANIKEADTKTE SLDLKPKRKTKKERKAKNDDEFLMNYILNRGWIDRSENHVPTYKEVVGDDESEEETED KPKAGPSTHPWGKLDEEDEFDDKADAFEAEYNFRFEEPGGFDILSHPRQIDSLVRRPD DARKSKRARKAERKAAERAAQEESLKAKKGSKRREMEKRMASLKSDLAAEGVEGEVDW DSLEKVLDGEWDENEWEKVVGGMLSKAGEQEDENGKPTWDDDLGDEEYDELEEGDPIF RPDEAMDIEENGEYGLEDEGPINMDADFIGEEPTKKKRKKDKKTKGKAVDVEIEDEPE EIGLTVADKAKKVKEAMEEYKALDHEDMIGDLPTKFKYTHSAPVSFGLTPVEILLATD EELNKVLSVKSIAPYKKGGIGLQGRGLGNRVRELKEDLKKRRWGQDNKPWKENGVEHS ANKEGKMKNTDGEKKRTGKRKGKKERQKAAAAQITIEETKS
I206_05916	MSSLAAQLQGIASLDASRLTSKYGQPSSKSYLFPPKVASTHDLD SIYALAQSGFEEILSLDPEMEQYESELFSEKSKRTDRMMLTQEENDELDFLLARCLRR LGKWIGIMAGGKCIEWLVRRFRVHEMNAETLLQVFLPYHESPNFPRILSILTLPQTST YYAPFFPLIKNAQPVSRSYITTTISPARDKSLKLLGDVATMVQQAVKEQAVHRSLLTF WTATMVDLVESGKQGKGIHEGLVKIMVEAFVTILSTKNGGEDVNAAVYPPLVLLTRSV YLTDEAFHALVSSLLTSTSGANSSQRILTLLVILNDRPGYAAGLGEHAAENLSKIKQL DQILVAAMERYGFETALKTVVAVILEDPETHFKTTRAVLEHRELPKSIAKLSASALLR LGSDENTTEETKDIIRSLLANLRERHPNIVDSTYIEATTTAEIDHQLVQKPADESAYL NIYAADISSRIQGVKEVIQLYQSGTQDESATSALLARLGDTEEAVIQAIYEDPEQLLE ITFVEKYISGVKSSFWSTSPDSTILGHHLNYISNTLLTDKVLTKYPNVGRQVFQELLF PVILASEKRSPLNKASAGTIFGGGFKGIIEFSKFTTEIAKARSDDNHGILAKSNVLIA TALADAIQESSHFEQDIKFLITQIDARNASARLLSHLVLAQLIACGNRAKQITAAHRV LRHIKHSHIASLKEIDNAEDIFSSSYIDAVYRKTTDIRTSQRAFLSLLASITKVRKST NPITWLQEDLAAPEESAPYKLIANEVYNWSNTGLLPKPLASSLLRSLFAQIGEDALLF FASIWTGQSESTLRTASLRHALAFVSAYSGVKGQQGIDFQIMLPSIVIALQDAEKQVR SAAVDLLKAMSENAQSSENIYALDTVYGDKSDTVQLLKPSDRKKYLDMLIENSDDITV DSGRIKTILYAALVIVHGKNKKETAHRRAVLGCLISHIVSHRSIRDRLTLLRLLVDIH DHSILRGLLPLLLVLQDEKHHETIWLNSLEPVDRKDYLALLFSAVNKESVSVLADQQA GVWNFLLSALHTEESSALLGQLREISFKRMVDGVFETLPTAQKIEYTLAIIQSLHNLS SEESLATSKLLQSFKLDTPGVVEVVEQLAEPLETTVHRKKQRNDDHEDDKPTSAVIDL TVLIESRDWKVLPGDATLVASLMSILSALLAKRQYITQGVDYLEQEVLAAILALVEKI QDPQEIGRAHVGIEVIIKVIRASTNPRTSQRALLVASELARLIPEAVLHNVMPIFTFM GASDFQRDDAYSFGVVEKTVSRIVPVMTKSLKEKAANSLELYNESLTFLSIFTDMASR LPKHRTLPFFIHLVKSLGPADFLAPVCILLVDRSTTKAGRAGNPVAIILELPSSLVST FDVSIKTEVLAEVVNELTRLVNDLSESDKEAFLSRTISENDSSDRSIKQINHLLTFTL ALIDQLKGKQCAQVTVQNVVQKLIQLAAKSANVSLASTDISKNVQAALGGAMQLLSAE NFLSIVLHLITDGTDKDTVMALNTFSDRVSLIRSDIRSKCTKAIGDIIKRSSSLLGAA SDTTKASLVAIKTVASTALPAEDSALASVLPALVGSISKTPESNNVISSLDLIELLIK HLGSRIIPYIQSIITLSLNLINSSKTIITITKSSFSVISALIETVSNFISSKQLLNIL NSTIDYRSKDLNISLKVSTTISKKIRTKTLFPVLLDLWKSTQNEENSETIKGFFDLLR LTLKNSSRDELPIMMKSIFAFFLDVFDLRNRLQSRQVDLDVINDIEESAIGSFLELVT KLNEATFKPLFIRLYDWAITIAIDDGRLIERKIVLLHVMMGLLVKFKNLLSPYMAILL PHIQELLPAYSEGLINHKGLHNLLLDVLSKSFEVDEGTYWSDQLILEILPNLISQISL DLNEEEKDEDLTSLIECFGNLSNLINSENVLRKLNQSICLKTRSDNIKIRLTSLKILN KIWEKSINELISFVPETVSEFLTELLEDESNDVELSARILLNKIEKVTGSLKEYLE
I206_05917	MGKNNKRKRTNALTQPSKLPTPPSDSPSSTNLIDSDDLECTVNV LETLCEFPEELSGKEMKDLKRKVHELYRVMAEGSGLGNSLTSKISTALSDYRFTDALI HLFEMYTRNLQPKLGALQRWVRECDATSEADGSPGDPEALKCLDMILRIANNSHSKNV STDKKVFGTDGLSLEEGNDNVIQSKQIWKARENNREIAIWKMIQEGTLFDKPPPKTYP GFRPVHHVKAAERKPPNLYDSTVYGSSPGAITLTPISERPIPIKVNVPNVPGAFVILD VFTPEECLQIVKVAESIGWEKDEASSGSALNKSSILAKNFIWLADFEFLNHFYKSIKP FIPLNAPIDLNGNGGGKVRGINSRFRIYQYTENQLYRPHIDGSWPSAGLNLKTGEYLH DSSLENDPLWSRYTLLIYLNGKEDIGPNNSGCTTFFLPKKNQIGFMESFSVNPIQGSV LCFPHGDTIGSLLHEGSAVEKGGIKYVIRTDLLYEAKGFGQFKPPASVSVKIGGKDEE GLGG
I206_05918	MYTPTFFYLLPLLPFVIATSQETRELYEAASRKLVSRDDTDSHG HGKYNALLNSHGHGHISRKRNLSKQNHSTGQKLVRRKKVNHKNKRGNSTCKSKSTQSE SESVIATISTTATIPAVNNNAIPTSSSISNSEGSETAYSTMLSNLASTSSSWESSSVN QQSSSTSSAQAESTSSSSSSSGRSGNSLFPWGTGSASWTTSDGGLSFNGALKPLTAGK LPSTSNAPDGSNSLIANYPSGTVGLTSAGFSFYTEGSHNGVQVDNAKEVSFSYSVYLE NGFEFVKGGKMPGLYGGTSISQAKSCSGGRQDNRDSCFSARLMWRTNGAGEIYDYLPV PYTNTDTGYGESIQRGAYSWATGQWTTVAMRVKLNDIGSANGEQELMVNGKSVISLKD VTFATAEGTKIYGIMAQTFFGGHTDDWASPKDQSIWFKDWSLAVLS
I206_05919	MSDDERMDTGDFEGGDYEQDYLDPDTLNYENEDGVENEEDGMNA EIDETMIVESNAPQDGERQRTGKAAKPNEVRVTTPYMTKYERARVLGTRALQISMNAP VLVPVEGETDPLEIALKELAAKKIPLVIRRYLPDNSFEDWKVEELINQE
I206_05920	MQLGRYQVSTLRPPPIHSITFSQDGRYFAIAGEEGYEIWKSFPL SLVKRKILPGTLTLALLLPNSPLLILQGGGANPLYSPNKAVIFNDKLGLPIAEVEFGE RIRGIKARNGLFCVALSRKVIAFEYGTNTNQSSDISTKSKGKNKEIAQNKGFWLEKLG EWETAKNEFGLMALSTSPGSCLLALPGRQSGHVQLISLPPCPPIPSSSNPASTAFRSP IILAHTHPLSTLSTTINGTHIMTTSERGTLLRIWDTTRGRLERELRRGVDKAEIWGCE FEGNGKSKVVGWSDKGTVHVWRDDNLPKSDNGRSSTPTPSTQTLTNLLSRNLPLPKYF SSSPSIAQYYLPRKNPHAISSALGKAGVNVPSMKNDQTDEESEMFIVGWVNIPIPIND SINEKKIEKTNSNFQHSSSVGGINLIGMGGRDERRSFGSGSDNTSSRTVTPTPKLGGG VGGGINLEKEKIKRSNSNSINRNVSNNFINKSLIQDNQFIKNKNKNTITTTTTTMTTT KVEFEKQLIVITYSGDWYRLRIPNNKINSIDVIVNQENEKPEENGEEKKNSSTKCELV EYRRLGIGGGGW
I206_05921	MLSRNARSIARASRSISQSSKPRRHLNTTCIISSPSTSSKQQSA WAAATESAHSILTPPSSSSSSSSSSSTSSSSSSNASSLDDPLAVINAEIGNLKSSLFR MLGSSNSALDTVAKYYFQAEGKHLRPLLVLLISQATNGLGEKGWEQVQIENRLRKSLD DSLTSQGGVLNDWNPEVNGKEGDHNNLFSNPFKIPVNNNNDQQNKIEQPKILKSEFDE ELKQNGQPIILPTQRRLASITEMIHVASLLHDDVIDNSNLRRGEPSAPSTFGNKLSIL SGDFLLGRASVALARLGSREVVELLATVIANLVEGEVMQLKATSKPETKPTIKGFEDY MRKTYLKTASLMAKSARAAVILGGCGNGINKEGEWVKDVAYGYGRNLGIAFQLIDDAL DFLPPDPSLGKPSLGADLRLGLATAPALFAWEKYPEMGPLILRKFNQPGDVELAREIV GKSDGLKRTIELAKTFAGSARELIELLPESGAREALIGLTKKVVERVK
I206_05922	MVTKDQSDSSSGEISPERQEHDLNEKEQTGFFGMLVKSVFEPGA NAAVVMAMNLCFFFLLLTLFGLAVLTQWNKHVLSLLGVTALLWASMMWFVLELTKVQT RPDNMPPNSLDLDSTLASESTISENIALEEKRKDR
I206_05923	MPVKRSAGSSSTAPKRTRFATSPSANSPSGSNSANDDDYDGMLE NDLQQGAARNKQRAKRSLQDKEGYGSDSSNDDEGVVPSRRPDSKSQQQEEEDDDDVDM FAEDVDIKEKEKEKDLKGKGKSKDFLDLNEIEGQEFDQQQRSKKNQDENDSDDSDDED EKVEKKQGLDGDMGTEITPFNMKSEMTQGRFTADGEEYMENDKDENDKHDSWLNDPNL NKESIKKAKKAHKERERLERELEEKENSKENRLKERELLKEAINLMERGETILEALQR LGKFVEKEKLKNEQGKKKLSWAEKQKERKKIMATEQEQADPIHTPNPFTNLSNIVSQL TTSGQIDVYSLSKESIQRMLPAESANTNGSSQRPAAPPMPVIPTDTRQFQYRFSMAYV RNLPEAQRPVEREVFGPFPAQQLKQWRNTGFFGGPACENVELRLMGTENQNGPWGSWA DIVGQ
I206_05924	MPINVRPVVGPGLAGCCTIFSILGIVILLALGSFFGRHVEGLTG STKDPKDPDHVAKMCYAAAIIYGAFIVFCGLQSKRSETGFTSR
I206_05925	MAKGRGSKSTKLLNKTFGSATGANATAGPSKYNKISEELKQAVR DLGGDENDFELIDGIDESDNDEPAAPIKATTDVKKPVDEKSLKKELGDFMKGLDFSVT GVTEVESEEEEEEDVDDSEDDGEEEEEDDDDDDEEQSEEAEASEEEEDSNEDQEDDGK AELVEARSTAKTGPVQQSKKIINEPKQVSTSTSDQDSSSGVNVPASSSWTTLLPTLPS LDIPPRPITGHAFNELKQKAFKLLDNLPPLNRASSTSDQAFIAQILQSGTHQDKLSAL VLLVRESPVHAMKELNRLRYMAGWKEDGTVGAGAGSNKDQRVAVIKALSDWWVNGGGK PAGKLKYFADQPLLSHPQLTDRHLLIFAFEDYLKRWFFSLLQVMEVLSHDTLPFVRTQ ALHIIFQLLAGNAEQEQNLLRLGVNKLGDTDRSVASKASHHILQLLQAHPAMKAVVAR EVSALILKPTGPTAPAASSGSHIKFDDDVKSKKPEEKKSDTVNHARYYGLITLNQITL TRKDQEVAGKLVDLYFEIFREILGDPKLSQEDNSKENEAEIADEQIEKVAGKVDKWRG RRKGTRTKNGRKTAIEQEEELIENSESKLVGAVLTGINRALPFAKLDETMFESYMDTL FTITHKGTFNTSIQALNLIYQVARTDSESRQTVSDRYYRTLYDSLFDQRLMTSSKQAM YLNLLFKSMKVDDRINRVMAFVKRLLQMLSMHQPPFVCGALYLLGDLFNTTPGLKKML IEPEDDDIEHFVDADQKDTIKPSTDKPSHEKEYDGKKREPLYSNADSSCLWDLVPFLT HFHPSVSLQANQLLLSQPLTGSADISLNSLVSFLDRFVYRNPKKTLQPKGASIMQPAA ISDKTGSVIQNKGARSATAGEAGMMVNSEQFWRKKVDDVPVEMMFFHKYFSEKLQRNE NSKKGKGKAQEDTDEEEEESVGGDNDDDELPSDIADEEGSDEDEESNIEVEEQVEDNE EGSDPEEDEIWKAMKASMPGADDDMGLSDEEDDIDDISISDDMTEYSSDEQDEEEEEE QDLSEDENEEEDDEEEEDEEELPKKSKDKRAISPTTSNSSFPDFDDEDEDLLSESEMP NIVLSGPEEEEDNDDEEEKIEIGKRKRNAERKANKKKRKELPTFGSYEDYQALIEAGE EEED
I206_05926	MRINRNSKFFCIFISFAFIPPLLLIIILINYLNESMRICLPFSF SHISNKAHQHLSCQSKSKYSTNQINRPYSTNLKGIMADLPISNGNGNGNNHEGKLAVF LTTQKQNFLNDLKKGDGKGWTVFMGNEAGDLDSIASSIGNAYLASTFNLKKTIPLILT PQKLMSLRPENLLALKLSSIPINSLLHSEQLPIPTTELSSKGVEFGLVDHNRLLPQFG GGKVSSIIDHHDDEHAHTDAEVREITTPTGSCASLVTKYFQTKWKDTLNSSPSESIPA ELATLLLSSILIDTTGLKPGRKATLDDYASASFLYPLSTLDSAKFPTNGDADGGLVEF STDGSNIPQDLITLTEALQDTKMDVSNLTTSELLLRDYKEYSLPTSSSSYPTLKVGLS TVPLGLKKWLSKETNGFDSFLEAVDEYMADKTLDIEGILTSFNNGEGKHKRELAFIVR SGGVIKTTQEAKKILEELKVGLEGSSDLLNLSKWDKDNKGSTSAWESHGDVVLIWKQG NAKSTRKQVAPLLRDVVAKLV
I206_05927	MDKVEGAQDLVDALIKEVDGSHINVDHNDGSSDENGEIANGELE GKNKKKKKKKKSKAKKTSNIKPNEGKIPDEIPPPAPETFEETERWEKELVKGTQTYSL PAWGLLNDKSKDILNTFRTPSCKKMELVTPRLRLRQVEISDLTGIRRIKTEPIVQKTQ LYGSPGLNDIKESFLNRYIRSRDDYIFAITALDPSTLQIKDPGQLKISNRIQNADGYL GNIAISLTWPSEAPSFLPKKGEIYTQPTFEEFKKYEIEGKMFYEIHPQLWGQSIMSEA FEEVLRFSIEEIGCNSVASDPTIGNEASLHLCIKNGLKFSHETNNIYNKPQLFHRITR EEWFNRNRPNKEICDKWGGKEVCRWCMNFRLSPPTINCKHCVWAKYCSRECQKADWVR QGGHQSECDFIA
I206_05928	MSKLLITFFVFLLSSNYVMGWTSPLGPVVDLGYAVYLGNDTLPD VTFYGGIPYIQPPLGDLRWRAPVQLDESPKSDDQKYIEDARNFGPICIQQPATVGVGD EDCVTLNIWKPTNAKDGDNLPVVIYIHGGGNYYNSAQGFPMQDWVNITQGNIVAVNIQ YRLGLLGFLASSKVLADGTANAGLLDQRASFDWVKRHIKNFGGDPNHITISGESSGGG SVLNHLIWQNGTAKQSFNAAVLQSIGNDPFPKPEVYEQCFGNVTQFVGCDQSNNVMSC LRNTSIRTLIAAVNHRPQPLCKYLPIVDGTNIVDFPSQLVARGRFSRVPIMAGHMNND GTTFTGSPASVTNASSLYTALTSSRYTNLSNATFTKALSYYNQSDFSSFYDLAQTLVG DTQFTCLDWYLATQATKYGQPAYLFRWNTPDPVQLAAAPYKGVMHTSELYFLFDGTNS GVSSANALTTFRPFNATEQQLSNEGVSYWTSFSRAYDPSTYKYSTSPDWPSLINNGTQ QRIVPQEGNSTTSTATFVEDIPDEYMTRCTFWASIGAELRI
I206_05929	MSQLSGHYQDTWPGETGTSVSDTVPDTYHSGPPGLTVESVSLHS LKESGAANFREEYTSSCELKTFTRVGNTSTFRLVVPGPPIAASRGWFHGVWSCQLDVK VPDDHISLIDGEPPEFSRLRVPALGSTIKAEQTSNEAENIHNLVKHFLSQNPNDKKGN EYSVHFHTPKARYTATVDCDLQDHSYSYFDDKGKEHGVVRRDAQATVILCIVGDSKVL DAAIRSSKDSSHATGSGPSVIDPGRGNSSNLPELWGAYDQGHAPPVGSYQEFSHPDIS ATPDASRQLSGLQDPLAIPPGNYDDQTMPVNNSGMQAQYQLFDTPGRFQYQRTHDSTT NQAMFFQQPSAAIGSFDISSHLLASGSSEFNQAFNTGTGVGIPWNSEGLYQFDPNYAN SAIQPSLAQSNDSWLRSPPENEDQSSVDQYQEWMNYGNEAGDESTR
I206_05930	MSRPTPTSEAFEEVLLSSRYGELDELKEFVEKFGNEPLGNAIDE RGNTVLHMCCGNGHADVLNYLIPLIPKDLLSRTNEAGSPPLHWAISNNHVACVKALVE IPEEQGGGLPLLKQTNANKRDAFAESIFAGEGKEEVSGWIEGYLYKVEGDDDEEEAPK GADIDEAEDEVKAIKGDDMVKEEGEKVDELAEKTENVKI
I206_05931	MARTSSRPAKQSPSASASGNILSFFAKTPTPRRTSSASKYAESI KVEDSKPIIADRKGKGKANSLFGSSEDPVVISDDDDIAPIGTFLSSPTSKKRKFSNGS PSAAEAGTIKTPEFTKPPPFPGYPEYKPPSIWPEVINTGEVFNVEEGDDDEDGIPPSD EDNNADQDPQDGDEAEYDDSGIDMDIDKNQLLDPIESDTPNGLEIGKQFSVTDLGGID LTVEWEEPEDEGMGMEEDGEGDDELSVASEPIGSRKGAKGRQGGKMTECPICRKSLKG QVSTVAQHHINTCLDSSSSSSRASKKGKPISSYASFSTEPSPSPEPEQPTKGPNAFSV LMSGHKEKDHWKDAEADLKRDGKRFIGRRKAPFYKVMTGMPVAVDAFRYGAIPKVTAY LLTHAHSDHYTNLSKSWTHGPIYCSQTTANLIVHMLGVEPKWVHGLPDNIPFEMPNTG GVTVMPIEANHCPGSSIFLFEGPQTVNAGDSGFRSPYVGSKKIFRYLHCGDFRANPKM VLHPAISRAPIHTCYLDTTYLNPKYCFPPQPQVINACASLARKIVVGMSATAPDFKVL EPKVYIKAEEGQEIKPDPSELAERSKAMMASWLVKKEENGDVKDELKDINDVKPKGRT LVVMGTYSIGKERIVKAVAKALGSTIYCDPRKKGILLCQTDPELHSLISADPVSSQVH LLPLGNIQLDRMQPYLARLHPHFDRVLAFRPTGWTYTPPAGTDMLPDVNTVIKRDQAR WFSERDLKEMRGSCRTFQLFGVPYSEHSSFTELTCFALSMPGLDLKMIATVNVGNEKS RAKMKKWFEKWAAEKARRKDKGLPAIVEYRDETFW
I206_05932	MSMLDRLRMRRASFKDSPSSTPSSSSSSSTTTSPGSGTYFTPNK VPLPYENPDKNGINWLFALPDELLERVFVGLDRITISRSFRVCKRINELLNNSIPISL SYTLQCNSLKLNPYSLLPLIEKPSHIPPSKAQLLYTLKERLTRLRNFKAKSKNSVKFK ESEGRLYEYLEGVLLRNVPPSDDFVHTGRAIGKEVAVYELGKGNEWEDINETITDESS FQQNDIPVEVEESEADEYFEEDQLVNDIRKTHKFDFDMQDFAVDPGQDLFVVAEVRHP AHRQFSLHLHLLTLSTFQPHPKAAKHILDWPVNLVTRISSLGFQICDDGLYVLRNNNG GAKDHLVGWQWTTGRMAVTLKPPAVATFESFILLTPTSFLIPSVRTRLQPNSVIQDDL ADPRDLLFSHHLHIYAFPPFSSTQPKEGESIPPAHTPTQIAIIDLPEFEIDLEEDLPP PRLTIRTDPPPRHTFPQYPIENIQQFVPDPTSGIIIIEFYCQPLQNHTKPHFVLFSLK KTFAAYLPAPTSPLLLQAFPRPAPVIKWESIAPKVRFIGPDEPEPSWVCYVYGSRYVS PYPHESDMSTSIRLYDFDPLRVRKELYSRKNSGFVGVEPQSPRGIVKRLMFGLSTSTT PLSSPGKSTTNKEETKYDGDKDGIHLITEETILKKKSPLKYEIKTGKELPFIFSENKV DGLVETVVLDGERLIIFDYQNDEEIMEILDF
I206_05933	MTSPGPNSKELWISFEFIKPEQTINLRKLILWPTIPLEKQLIPS YDFNENTIHLGCFLNSIESNSSSIQLSLELFNEIEDEYINEKEPIGILTLVKESYLNI KYIKIQYQLHKFAIFSKLQNKSIGKKMFLNCIEILKQKYSNNEKILLHFDARKEQLKF YEKCGMIILDENTFWKFGSTGKEQGVEYIKMGNII
I206_05934	MDLDDQYSRLRYPVIHGYRIGEEIGGGGFSKVFRAIDDTHSSVA ACKVINLFISPTLGYGTPNVKELQKEVQVHKALKHDFILQFIHSEVVDRDQEQKGWVP GLYMVLELAVGGDLFDKIVPDVGIPEELAKFYFAQLASGMEFIHEKGIAHRDLKPENL LLAANGNLKISDFGLCAVFRHKGKTRLLSGRCGSLPYVAPELGNPAGQGYAAEPVDIW GMGVVLYTLLAGNTPWDEPSDASPEFCAYKTGELLQYPPWHDIKGGAKTILLAMLTID PNERITMQGIKSHQWSMTPSQLRREQIPEALMLGMTQNGMMDIINPVVKNPASQAYVA SQRAKNESQWGSQFNQQESQFMRMTGNITQSGSLQTITTRFWLTLNVQQSFELLSAYF KQELGEQNVRLNPHKLRIEVVKPAGVKTVRGTIILSEDGDYGQGEMTTVIMRKGPNGS ILHWKSFWWTVSMCPQLAPYLLRTDQK
I206_05935	MSSNSTPNDIPQSTQGESFYSFKDRMYGACNRERAQYLEQLKLF DPSSDEARALTNGHEQWYEGWKARWQEYLETLPQPIIDFESYRSLDQFGDITIQANNA EEFESQWTAVDSQFEKEYRRRVANAPAAGQDTEVLYDEYMRGSGEWETYLQDGLKLFG ISA
I206_05936	MSEYNRFQHPTDSSKDPFDLSDMSKEEKAGYMRLLLTVMTKSWA KVKKEAEEHESGPSQQSYNNYYADLSAWSGASNELSKAGISGYPTGWMEWSQASREDP GYPTGWMELPQASSSWEDPVRSNKCSVLSVANKILQFSGRSRYISTTDKEEINEEDEF DPASKQGGGVS
I206_05937	MAPVVLTSSLTSAPGVPAGKSQIATMKADAGLNLDADGSSTPYS SSSTAVSEDGGKSPDAKSIQKALIDASLPDASPAPNDSGYYSHLLSSSAPTPHTKSGA TVFASGIEVLEAFAVKHSESVWVYDDATQVGFGARLAEFGGKKIHELQTREGAGLELA GYASKSSGKLSVFASIKTLPYLIPSLERINGDVVVHLSTTIVDENLEFADGLYTAGVL KDLLSVPEGWEVVFSSSGKGLLENSAKLYGSEARKVVHVVSSSLDSRETTSYSFPTPS DAAISSTKSFDQSASQVYVAPVGKLAQAIHSNLPAGSTLFEYNTFSPSSEEVYNALTS AEGAERKTVSVLGATKADAEALKALTLSALYSASGSSKAVLPNVKSVVASAYTDVIPA KKSAVPGKVVSFFTSPLSPLPQLLSHLFLSSPSLETRLAQFGSSAARGVKSVLSLAPS GAANQNLSVNQASDVTWINDANVIKSTDVLASAKDGSIVVLELPWSEEEVAVKLTRSE ILTIKSKNLRVFLLDLSASPVLPIQEQVAFLLLYTGTQKLSAGVWKVLDAFHSHQLNR GDVEIAQAALTEVRPAEWEVPELEEGKTEKVKSAWEWDALSGTAGIVDVHADEKPLNT NWELAARHLFFREAFAVPDGKVVDSDESANKPGINGLRPSMADETFLVTVSENRRLTP ITYDRNVFHLELDTAGTGLKYEIGEAIGIHGWNDAQEVLDFCSWYGVQPDDLVTFANP LKAGTNETRTIFQLLQQNVDLFGRPGKAFYAALSKTAKAKADAMALKFISAPEGAELF QKMAEKETVTFADVLFRYKTARPSIGELIGMIPEIKPRHYSIASSQKAVGDKVELLIV TVDWTDSKGSPRFGQCTRYLAALAPGAKVTVSIKPSVMKLPPDNKQPIIMAGLGTGAA PFRAFMQHRAWQRTQGIEVGPLIYYFGSRYRSQEYLYGEEIEAYIKSGIISHAGLAFS RDSDSKTYIQHKMSADKKMLSKLLKGEGSHAAYFYLCGPTWPVPDVFEALVGSLTDDG MERKRAEDFIEELKEDERYVLEVY
I206_05938	MASNLHNLLSSLRSPIFQTISNPTSSRMGTKYLRRRLRGPSIAS YYPQLTNPFPSISALNKTHPSNPFAGWQGSKLPEQLTTPTSGKVIMENVVWKNEGNML RNSELVDEGFEEVSRKRGLGWLADGAEVRRAERVRARKTAGKGPPKKGHGRRSQMKKK 
I206_05939	MSTLQDETFQGEDESVTCALTFLSRQNGKKIPIAYEEFPPISSK FDLIITNTEDPDGWVGSENRTKKWLETAMTNKHDHYSFYCILTDGKQHWTGKGQCTVT DRSELLIPGEPDKTFDANIEKNSLEKLKSFTMQCQPSNSYWAVDSLGIIQKPHNTGDL QVELTFDDEEDSTTGTFINIGKEVDRHLILEYKSGSAIPLEAYKSEKQALNEELDGIF KDATFVMFSESSISIEGTAWKWK
I206_05940	MPSSFINRLRKGKRGKFPDDTAAPLLSKDSHPEEDYGSVAEASH PGRKYRTPSRQVLAFFKRENNEPSRNGNYAKSLGDVGIFDDDYQITVDIHEPLALDTL GDVYRSSRNMTDVALNPQTSVDISPTKQHMNGYAVIAISGILDGFRTELHQKRSISLS QLVSAEGKEQLIENNALSAINSLTFKISPVVMTNDEGGTQYSWADAAAKDGRSEPSRC ITCACEDYLGDTGPKKFRMPVVHDETTSNFSFKSGHIDKAMATITLIGVSGDESYLND IQNAFSPARTVATSARFQLDMILTQAIDQIQDEEETEEEDY
I206_05941	MSYYAQADPDVAYTRLYVSPSADTLLNPEDPQHLQYSANLSADL YMPLRTHWITDFTEIEQNSIFSKAYECKIGKDMFDKALTALRNNGSNPRNLTDAVLNP NARFSIPSPDDKVDRELPDGWKYEWSLRFQQQRKISVRDLLNDSTKADDLIEQDTFQK IDSLTLMVKPTSSAWAQSSARYVYLPATVSDMDVPAHLKFFRDSDYPGDRVCTGSEVS GSEVNVKLTWTSNLSKIFQPKDYYIHNKQMAKAFGDKKTSVDALSTASFEFRLTPRNE SWPLE
I206_05942	MSISNAASSSKPFGALAEYMKPPQHIGMQSLDRESFDREVPVLA IAVESSKVGKIRPHPALRGQVLDLPKVKPIIDASDGEKGVKWIRLHVTSEHDLPDETN EFIRNETLGLRKESVKLGYDNWNTSEILSAVLPTTKSDDIPSSFTSTGHIAHMNLREE WLPFRHLIGQVILDKNPGLRTVVNKLDTIHAQYRYFDMEVIAGDKDYITTLNESNCTF TFDFSLVYWNSRLHHEHERLIESFKPNSLIADVMAGVGPFAVPAAKRGGYVLGNDLNP ESVKWMKVNQEKNHVQNNLRISEKDGSAFIQEVALEVWKNPFKATIPHSAIRKNKRDE RKKRELKASNESGDTNSIGNVDSSSNLPEPPKIIQHFIMNLPDSALTFLGSYNNCFTP LLKEINFKEKYGINGENLKDEQMPLIHVYCFTKEMELEKAKIDILKRASDNLSFELNE SSPGYHLHHVRSVAPNKDMYCLTFRLPKQVAFKPLE
I206_05943	MKRNWEGSSYGGGSNNQQSNGMPDGQDENGGWVQMSSHPYAQAY SSMPNPFQPSPLHNSTTYSDQHNSGYMDNGLNHGHVHQDKKAKNVDMRNDSIISGGSP KDGLSDDDDDDEDDDDDDNDGAKTTPAGKGKGKGKGDKPKVKLTRGSRACIACRKIKM RCIPDEGAGPGAPCKRCKSGGHECIFEESNRGKRSTRKNEAMAAKMAKFEAALKGIGA ALSNLDQPALNSFSTALHGSTGDTDIINLITSHTSPSAVPTLAVAMNRQPYNTGGDGF SGEDAQHGQHHSQPPLSPRLHSLPDNVLSPLGLLAEASLQNTNDTSNKKSKSKSNYHH SHNSNNQQGGNNNSQNKNLHRTSALSYDGRSNPPPRSGSPLGMSPGGSYRLATSDIRG GNNAQEDEEPHMFNDNGQGVASHNYFKLAGTFNPAAGMSDTRLPELMTIVSRDEIGEL FETFFDNMAFHVPLVYREFHTPDLVLQRSQFLCTVICALAARYYHKRPELHAQLSAYA KRLAFEVPSRGYKSVEVVQAYLLLSLWTLGPEKTFEQDRTWLMLGMAIRMATDLNLHR KSIVSGLDTEEGKARDLEIINRERCWLHCFVLDRSLSAQMGKPYTLREDYIIRNACEA SWHQQRFSLPSDRPLSAYVVLQQIMSRAIDSIYSSTTTVSGLRHDCDYMLIVRSAHEE LRRWLTEWNRPEQYVGIAGLADGRAEYDSRAQFYFAYSSLVLYSFGLENALERAKMDI SFFLTNVYEAATRVCTVVKEEFLPKGYLPYLPDTNFVMCSYALLSLLKLLKPELRPYH DSEEAIFKLVSEMADILEDCAVDPAHQPAIYAAFIREIVRKTRELRHGPGTAPTSPGT LLSQQIHGTMATGHPIAIAPIAGTVGTDHSTAVAAAVAAAAAAQQANNAAQGVYDPQL MGEHANWQPGDLLPGHSGETQFTFIPQGGDMMILPSQAGPSIAPSPTAAFLANPLNSS SSNNTLTGNYVSTPTGNSNGWAEYLPTFMSSDGFDGWDGSMLLPGFGRNQITLGGGLL HSQHGSGIITPSHQTPSHSRMGSRAGSRAQTPHHGSQS
I206_05944	MSFGSCSRYALPRAPIAWGTPGPSRYWTASSLPRNAERSTFLQQ TRFISSRAPKKAFTRCLTCSIQRTSFLAPFSTSTRRSVPPPSTSPNTSPNSPVLANQA KPTNPDAAPKDVSDSSQDKTDWKIIVKLAGNIWPKNNPKVKIRVIGALGLLVAGKILN VQVPFFFKTIVDSLNVPLTESSTVWILAGASIAGYGAARILTTAFGELRNAVFASVSQ SAIRKVARETFEHLLSMDMKFHLERQTGGLTRAIDRGTKGISFILSSIVFHVIPTALE ISMVCGILSWKFGWDFAAVTVITMGLYTWFTVQTTAWRTKFRKEANSADNKGATVAVD SLINYEAVKAFNNERFEVAQYDATLKTYEKASVKIATSLALLNSGQNFIFSSALTMMM LLAAQGVVKGTMTVGDLVMVNQLVFQLSLPLNFLGTVYRELRQSLIDMDVMFNLQGLD SGIKDKPNTKPLAFKGGEIRFENVNFGYHPERPIFKDMSFTIPAGQKVAIVGPSGCGK STVFRLLFRFYDSQSGKILIDGQDIKDVTLDSLRKSIGVVPQDTPLFHADILHNIRYG NLEATDEQVIEAAKKAHVEETIQRLPDKYQTKVGERGLMISGGEKQRLAVARLLLKDP PILFFDEATSALDVYTETELMRNINNTLLGGGKTSVFIAHRLRTISDADLIIVLRDGK VAEQGSHEQLLEIDGGVYQRLWQAQLTESTQSKVGEEKEEEREELEVIEQKKGDSRK
I206_05945	MSWRLQGHSVSSEHEFLPYNTSTGTYGFERPGDYLDAPVEPHDS VPLGISSTSNPFHGLPFPKPPGYSNEHFQVDPDAPTRISDQSAFHGSMPVSDGIGGHG MVETNLDRVQDFSTHFRQDIHPPQEQQLNWFGATAQQATYSSVPASQVLRGGHRSDFS KFWSTEVGYESSGPHRLGTMLTVDKTSGIASGRVDDSTWAKRCGSSPDLKIFNKSNRR IKVQECQPGKWSLEYTIKLSGTVFSSNKDLPSEVKIAKLSIDNGTRIKPVYADDFFRN WLRSNRQDQLYGNTTEFAFSQNEYTHMVPTKASVEYTSGKGQLRQFEQDLDFKMTYHL ETIEIMKSLYHPNN
I206_05946	MSDSDIEWSRDESIQGYEGIYHDPITGRSKYQRSNNDMPADTEG PIHASGMDGPFRGGPAGELMLQQSHTWPTGQNSRTGTQSTGRFLKGGHATDFGEFWKS NVKFGPVNRFGPFVAVDSNTGLAVGRIVDQTWEQRLGPRNSIRVDERGSTTTNDSRVT NRICDPGEWSLEYTIKLGSPCLNSRVAITHLQLDDRQIATPDKLFSLWQKSNPHYRLE EGQNMTKFIHSQQEYTRMIDCLATVSIDGTERSIEDHPVTLRMVYKPCPSSIESPKAS STGWHQTVLS
I206_05947	MKIRNAIHLLASSSTALAAGLTGKDALKPESKVARNVLEGKSEG YCSPSGPIESTHCLYENVESLNHDLFPSLHELVTYPFFKHYKVDLFKECPFWYENGFC MNRNCGVEEANEDDIPEKWRQKALSEIKVSSAEHEGVSGCYFKEQDFCYVEDDADQNG QYVDLTLNPERFTGYAGDSAHNVWRAIYEENCFGLSEASLSEYAKSSSTNSLSSSGGW GTEMVKSSLGGADDGMCEEKKVYYRVISGLHASISIHICSEYLDQTTGEWAPNLECFI SRLATHPERLSNVYFNAVLLLRAVARAAPYLKAYDIATAPTLGSGVKAGRESDRLAKS SFDKVLSLAGQGGMDKGFDEGDFFIGEDAPILKEQFKTHFRNVSRIMDCVGCDKCRLW GKLQVSGIGTALKILFELDDKALDPKINPDLLQRSEVVALFNTLHRISESLAAVEEFR KIYAATQEEEIEGSKKKKKNIQKAKDKLNQTISHHPKKEEKTSLSTIIAMTISSLETL RRTCIGCWGFCLDTIQSSGLRGIIDRTQEWFGVLPKDGL
I206_05948	MSSSPWLMDAEAMVRDFTEKRTDGSRELQPSDDDLRWFAEWAKK DDERKIIMDILKSRLELSWPANYKALVVLSAMPEAELVGLEEKLGKLAESVPPIEGAK YIKEAAKPLHEKAKAAMAEKEAEEMAKKQAAIQAMWGGLWANDAYHAPALQYGGYMGW PYPYAMPPGVARAPAAEWPGKPPEGWTPVPITALPQIYPFSRTGYYAIQPEPPKDIPK PPDPWSVYVGVGPRPPGTTGLATTVPLGLAEMQQAAAADLAARGW
I206_05949	MGLPYDYWTAAPPAPLRPMTPRISHPFYSSPYSDTYPYPPPIQP MWGVPAIPASSNLLFTLKTKSNDGLGKGK
I206_05950	MSSNSALFSSRPAETQVVLHSALRYAQIASMIIPPVYLIRTLVL RRKPFSVRGLMHNSIAWTGVGAIGGAGLGYGESAVRDRLVRIKSDAQQVRSNDYSIIS ATLSALLIPAIFLRRAPLPSLVLGGASIGLGIGVWAHLGERLGKGEKVKVGDVTGDVP GVGDVVKKA
I206_05951	MLRSTSSPKLFRSLRGGRATSPASPIMHSRQSTKSFLLDRRSRL ILITMILVGALFLTSLFSQPNASISHKFQIPGIFPSKSPSKSIIVDDPFLQKIMNLTS IEYNNICLNNDPPIHLNPALTSSQQQRYANLRDTEGGYMLVTNTRQIENHLPDLLNTI IVLINFLGPDKLYFSILEGPSNDCTPKILNSILIPLLQSLGIPNNRVKISTDEPKINW SEHNRIEKIAELRNRALEPLWNEWRDDAIESIVFFNDVYLKASDILELLYQHNRNGAS ITTGMDWWKKKPEYYYDIWVGRTIDKGDLFYPIEWSWWSPSSDLFWNSPSSKKRFERL EPFQVFSSWNALAILSPKPFLPPHNVRFRRGDLMKEECAASECTLIASDFWKVGYGKV MIVPSVQLAYERDVALDILQEMETQKAKLSWKDNVPPDPLDKKVEWTHKPPEKVRCHP WPEVNGLSANVWEKTVWVNPWLD
I206_05952	MGTPNDDDSQFVQLTSEEIVYIGNQIAASSHNPYDYSAYQQGQG ILSIGQNTGNQVVLSTPGQYAAFQQNDQGTVSAPWTDSAYTAPDYQDTEHQFYSEGPG VNRACGTDQYNNHYFSRGNQFQNAVHGRTYDGSSHALQVWSQPNVQVQGDPQGTTAMT GYNDAAAAMAPSTYSWPAPAPSGTAQMGKAGITVAATKTPHRLRGGTREDFKAFVAAS VDRKKHEYFCHYPFKGVTLEQLKADISKHTNDISKHTNETLDYETHDISKASRHEAAR STPINRQASYRGGDIMSTPFGEWYMKYSFGVDTASLISKISNHLSHTTGQVTIHEMAA KDVRIKRNKQNVQSVPIWNCWNEDLKPNNLFGGLKEFQSDGEKHETKISCSGEAYVTL INDGGDNSEVSLGHMDITFTVGYKTDLDDQATL
I206_05953	MSTEHDDERLLKFSDDDLRNNRAIIVAGNTSIHDYSGSGSNDIS AGYGGDTSTLNDGAGAGHSSGGNDGNTITADKTPYYLRGGSLEDFDTFFKTDVKKGSR KLVYHFPSNSMRLRQLHQLISEDQVDDPVGGGSYKILRDTVNDTSKKLRHEAARQKQR NDRYTIQDSGRFFNVSEIPFGEWYLKYTLKLDTSLLRSKVSKILESESEYRDATIKEL KALNLEFSDDSSVVDAKGLRNRWNEATQTDSSLTGVKRFDYEKGNEVNIACSGQMKLV LTKSDGISLDSTDLGSVDITTTVGYKTDLDDRNALNMSLATGSGN
I206_05954	MSLSGEFSSPEKTPATKLPTLNIDEKAIPSENELRLGKRVLELE KERDELMTEINSLKAQRLPQHSTIPVPSKTDTVSDGPIEIHTSLIPVLAILRQHIAEL TKDNQALRYTFLGSEKPNRGSIKTTQNPIIASPLPVPSTNTNVPISATSSKTTLDVDM VPSPTPAFSPNIAVPGPSNSAVSVSGSIPPTAIEVDRRNIQVDLEKVLDRVKTLIQEN DELGEMILESGRKDDLQDQWEKTMEDSRNVISSLDSDLTHHLSVVQSLRSELSTYKSH FGPIPSGSSSSSSTLNAPSKHPISTSGQSTPTRSSHHSHQRERDRDRDRERDRDRDRE KHREVSTTRNDRPHHDRDRVPPRRQDEQLSIRGASGQKKNDRDRPNQQGRISFDSSHR NGNGDSTGLKDDRAYKRRR
I206_05955	MRLFAIKIPDQPIPQETFDKLALLIEPQGRERIKRFRLPEDALR SLVARLTMTWYLYINNLLINNELPTFGRKGKGKPILETPKLYPPLEFNNTHESSYILF CLLRSNSPLSCIGIDIMSPVLNPLETQEGISLQLTIKEKLSLNLNLNDIQRNEILMKF WTLKESFTKAIGEGITFGLERIEVLLKNNNNNEIEKVKIDGKDSNEIGWQWKQDKIGK ENCIYSIWWRGEDFYPDEDCSMQNVNWEEFEKPLLELVDKLNLK
I206_05956	MLYIASALTPTPIINSIKIPGFTGPNANSLIVAKPDKIELWDVN QRGLEYQAALELWGNIVSIEQVEVEGARPHLLVLTAPPSAHLLLVTFSPSPKPALIIT SSIHLTPPTPTLRQAEFFSSVVACGNVALVSLWIGVLSCIEMELEKDKDAKKRRASAI DMEIDERKRLQFRDNFNINIREHNLLHLSFLPVPSTPSGPLISFLWLSATSDLQLQIR TLSTASHAFNDLSKIVDVVTPLSTNVNLTEETNFNHIPFSCPAARRVLPIPSTSSTRD GDYSLMVIGDEHTVLYNLGVVQQSPKALRRLSIISGPNTSPRASARRSPQNEMVNTVN KRRKSSMNSKGTGGADLTNERWELKPVWRVRQGFGTVLAASLLESHGTGASAIIGDEC GRLTVVRWEFEKNQGILEGATGQNGTVKVKKVEMGVASPPSSLTYLDSSHVFLSSAAG DSSLIALKPPSPNTAQPPISPSSASDARAVPRKGKGRAREDAEEGSWTVILEDDGNEW RGDVDIKERWMNVAPVKDFCAVPEEGGGLSHLVISSGASNTNSLRVVRSGVGLELVST IQGVGGIEKSWSLVDSSGAPRLLLSTTTSTLLLEIEPEISLIETAQQIADSPTIAAGI LPGADILIQVTSKGVGLWSDVISGLSAGSIDLDKESEIVAAQVYESLIVIAKTGGEVN LLDATANGLSLIASLNVATEISSIAIINSPNLPSPIISIGTWSNDILLYTIAQIQSGS AALTTLKEAFFATSLYLKPSVSPTTSTSGVQLLAGLSDGSLIMYDLEPSGPDGEVIVK SRKVTSLGNRPLKINPTIGPNVSGDKVVGVALTERMSVIFESGERIDTSSVSRKDVIC ATSLDTPTHGEVLVLANSDEITLVKINSLKKLSISTLDLGDRSATKIIAYNEDLVVDG VVVRTMDAQNGEVLQMSSLELRDSVSLNPLAEMQLKEREEVTALKSLMLNGKQYLVVG TAILPSDEEDEDVEDSYTNVKEGRLLLIDIIKSDSNKEQNLKVIVEKTTEGPVYDLEV IHGFLAVAAGSKLTINRLSPSPQPNLVETSSFTSAFLASHLIVIPASNTSSNVTPEDR LVLGDGLRSIIVLSIDEGDGNIYDDTRDLATHTVNTMGRVRDNGEGVIIGDGHNNILT FRLNEGIETAATFGLHEEIIKFESGSLAPPSSSSEILRPDQLYMTATGRLGIIGELTK TSTKTLDDLQRNLDKYWKSPELSGSGIDWKEFRKGGSELVRRDMAGWIDGDFVQKFLD TSIFTSEESEKILKGSSVHEQIQKINVNGKKEPADRGDVVRILEAASGMH
I206_05957	MSQPPVLQSSLSNHSLSSFTATNPNPSSNNPSNNANTNASSSNS RPAGSSSTTTNNKKRKASSPGPIAKERKQSVPIDPSTDDDAASTVSRTNRDREPKRTR VHFSCVECHRRKQKCDRKEPCSQCVARRVPHLCRPFLNGVEDPNSNSDVHARLNTIES LLSRLVTSLPQTLTSRSNGNYVPTDASSPDVLTLTASGEDQFHPHAAPNNNDSPIARV NMPHKPPPSGLFPSNMSYSIPPGRTGFGWGLREGRRISLTTEDNQDLRDILQTLKESG IGKSHLEWLIAGVPGRRMADGLVELYFRWTRYKMNKASFMRRYNKFFDHIGRNPTCPK VDADTLKWLPLMFIVLAIATLSATHELVPRDDQSGWSRRFYGSARSGLEYAKALQRDN LDVLFAGLLASRYMLLTRRPAEGSTPLTTAFQVGLYRDGTVLNITDKKEIEIRRRAWS MLYHLDRTISLLVGRPASISDAHTDTQIPANLDDEEVESGDFDPAGHPLTKPTQYTYV IVRHRLAEIMGRIAYHTFTIQLPDYANVLNLDRELLSWRDALPPFFSMSNPDTSLDAK FPYLFVQRHLLASEWYYTRITLNRPYLLRRKPQDGRYSYSKSAAIESARADLLGRRSF VMEKGNLIVNSGGYRVLNSYMVLGVTIKLDPDSPQADELRQLLNVVSGRSSDAQGRIS EPLVKEELAIVEFLTAKPQSNKSRGPVPATGNSGNKDEDQTPVDLLLGLAKTRSGRRA AEEEKRQLRLQAYREVEEQRQAARRNSGAQNISSPWGYIAPSMPGLDVQQPFGGSADK RVPRPLQPPQPARRADGSIPDSTNWSPEMAFNFSGNSGSGGAQGRRPSSSGQIGYNIN SPTHSLIASSTNSTNNDHQQQQQNGGHGSLISPFGNLNNNNNGMGLNSPNDLNQGIIS DGFSFPEQSQSSNAFVGEGMGNDPNGGNQFRNALLDSFDFSDLGLSSTSSNNNNNNNN YGNGLNNNIGGGNGVVGGGFNLNLDLGLGGSGGFNPFALPQTEEGSEPTMAEDDQTIF LNYILNKFANAQPDTS
I206_05958	MSNSIAGGGFKPPPFESNKIPEGIKSILTSEEEEEEEEWIPIIS SKCYFPIKIFFSTIKSLSLHPERNSSLILRADILPFNSTDKNKDKTFILRDSNDKLEL FEELNVKLLPKQPRRDSKIDQNILFYRSNTESIEEDEIKGKTKEHGLVITIPQIKFEN EIPFYHPKVKKLAYHYESIIPEEKFNKSETNKLKEEESELQKEEEVKGIISIHYLPFK NSEISKLNENLETLSIEEEEQNLIPDPELIPRSKKIRKRSPLAGPSIEIDSKKNNEII NPPAIILNQNADIPINVKKDKNGRIASEGFERLFRTSLSLLETIHRHGYGQLVGYQKR RIHDVIVPRDNFQDLYLILKDRHRQLDSRAPKQGGLSKLEDVKRHVWKRQSLLSTPDD NSLPTKVDLSEWGEQDIAIAAFLMLLWKDMYPPLPLSEVVSKNEEIQEEIREWDTWGR PEGGFIDLGCGNGLLVHILISEGYNGKGYELRSRRTWPLYPLKTQEALIELPIDPPSW FPDTIEEWQSGIWPNKPKDLIGENSFLIGNHADELTPWIPLLSLLPSIPVPYLSLPCC LHSLDSHFDILDFIAPEHPHSPKGGFENGLEPGISRYQSYLIWLGWFGLKCGWYWEKE GLRVPSTKGWGIVARKRWTTNEENKECREWALEQVNEVKRKGAFKVREKEGKDHL
I206_05959	MSQQDNEHNTPSGEESKSRRGSYHDSSRGDEDDDNNETKNRSND EQKNVNAGNDDKQYENQNGIHKRDDQSKEVKSDQDNQSKDDDFAQSQEGSPSRDDTKQ MNESNNVKGQSNEKDKNDSSSTASDNQSSASQNDVTETPPTSTNTNNDENSKDDHSKA ESEHENQNIDQSQPENNQTLSHNSQSRHSKYSDREEKLREFEHSKPTDFPENQREEEL NRVVNRKPGSRELQQKKQGRLDKPPSSEYDAQKSKKTPQKGDGQQGPLGNVGDLGGVS NQLGDLGGVTDQLGNVGDVGGQIGDQVGQTAGQLGDQVGNVTGQLGNQVGELGKTVGG IGNQLGETTSGLNENVGGISKGLGNTSKQLSNSLNNVGDNALKPLKQKGGLLGGNKDK KDEENEEDEKGALKIRIQLDLDVEVHLSARIKGDITIGLL
I206_05960	MSVQGINGQSNGHGVYSNGETSRLKGNPFIPPSDGRCLLLDMPQ EILSQIASYLPLPNIINLLSLHPILLSLTQSHYSPIPSSVRSILSIPPYPKSLSVLPH LKNFLPPDPDDSRRLFIQILVRARPKWIIERFEFLRWDDDFWEEAFERRFLPSWKRFK GDDDNWRAAFMRVLGRIEHRQSGCTHEEAWTRFVTLHRNGSASINRIYSRTFDPYEIY DELKNQNNFSSHPTTVRVALHLQDVRILAVGVLIDQPSLFVNPNAHLTLHPPLLRHLS APQDDGTIDQSRWFRSSEETRGKKRRTPSAAVEENEAYFPLLPSSPQNPSNSFLSPSA GPIPIPLNVESTASPPISPSTGSFGRMLGNYIPGRRRSSTIGQIQDSIPIIGGGSLSN SLGNLGGVLTAVRSRDSDEGRRRTWSFGRNKSTSGSNNDNLIRTSSNLPLGQSSIANK DLPALKEISFKDLDTDTSVIDIQSDPLTQLVNDRPYDILEKPQPALSHSRYPNFTFSP ETETEIAVEESTLKTKDLRINHNEIEDEYEGGLWGGDVSWGEDGKRMAEWDNEIGKRR RWVGPMLLIAQLHPSYRSIPHPPGVNTNLPLEGPNPILGQNGMYASIGFEDLEILFPW IKLKGGGGNSSEAKRNGLGF
I206_05961	MSRTTSTLLRPILKQRIPLANSSLTIRYASSSSSSSSSSSPLEA PIEPSTSGPSRTSTSIQNLPLSWPNYLNLRKQRKLWSTATTVPTTFLGLFLGGGYFAS LETDPSQLIMGIEAMYVYGGATMGCMALGYLAGPTVGSSLFSLTHPSISKGKNSPLEV MDREFFNRIKRNRADPRFQSAQNIIPDFYGEKITSLSTYRRWLRDQAVYKRKAMHGVP AEDA
I206_05962	MFKPSTTSSALRAIPSSSRTIASSSLLIRSFHATSSASLATPSE NKPAQTKEFKIYRWNPDTPSEKPKLQSYKVDLSQCGPMMLDALIKIKNELDPTLTFRR SCREGICGSCAMNIDGVNTLACLCRIDKDVKKPSKVYPLPHMYIVKDLVPDLTLFYKQ YKSIEPFLKNDNPPAQGEFLQTQEDRKKLDGMYECILCACCSTSCPSYWWNQDQYLGP AVLMQAYRWMADSRDSYGAERKEKMQNTMSLYRCHTIFNCSRTCPKGLNPALAIAKMK LEMATE
I206_05963	MFSRSVARSSSTLVRGFASSARSNRKVAVLGAAGGIGQPMSLLL KTDPLVTGLSLYDIRGAPGVAADISHVNTHSEVKGYEKDDIKAALTGAEVVIIPAGVP RKPGMTRDDLFNTNASIVRDLAEACAEYCPKAYIGIISNPVNSTVPIFAEVLKKKGVF DPKRLFGVTTLDVVRASRFLGEIKGADPKDIKVTVVGGHSGATIVPLLSQTAQGKDVS GEAYKALVNRIQFGGDEVVKAKAGTGSATLSMGYAGARFANSLIRALNGESGVVEPTF VKSPLYESEGVAYFATNVELGPEGVKKINPVGELSAEEQELLKACLPDLAKNIKKGVE FATQA
I206_05964	MYKLTLTVLSVLALTGAVTVESPDRDTIWQSGTSSQTISWKAVS TDPDSFVVQLVNQAGFLSDSPVTLISNQSTGSSDIINTATVTYPNGNWPEGTAFQINF VTSDKNNAAILAQSNQFNITSGGSSSSSTSSSSSTSSSSSSITSSSTTSTGTSPTTLS GTSTAVTVTSTDASSTGNIPNSSNSTTTSGTSGASPLAASGLLASLVGVIGLAAAALV 
I206_05965	MAKSKNHTAHNQTKKAHKNGIKRTATVKYKSMKGVDPKDCKVEL EFVRSETIEDGRSIVQGYAYGFIWVRERKRSNGTADRTRTVEKTVSPRTVEKTVSPLC GLMSSDVS
I206_05966	MIRGTSRLLQPIVRRSIVLSPRSFSLSPPVPFSSTPKLTPIRLY SQITRRGPSSPPGHPSDTEYSDSTVYAFSYISRVIRYLLYGIVALGGVSLATFEGLHL YVENVCLAAPSRDGQDDPYGWIGENPGWTGGLKGGTDPRLGQKARHALRGAWICQEWG AGGSASSALSKSTGNGTSFHPDYVAVRGMIGNSQDGNLGIRQSIDRGYELAEEFINLS INEARKKGLVFPPNLSSSNTSIEPPVEKFENTHGIPQGDPAVLDLLLLKAGILERINT TDSLLHAKDLYQQVFTSMNHAPDEQHLYNQARVMRLAGKLGDLNARTGNADVALKWWS WGLDKAGIQMENNHISSVSQVVREVKKESKGWFGFGGSNKGSPTQPPAPTLTPESVNL DSSPSPAILRASISLLISASAHLATASSLTSAYSLQSQALSLIPSTTSLSVPTSSNAD ASLHATWLQHRSALLKLHQASVSHAQKFNSDEPLNLINEASKLSEGVISSIQNLPSAY TTPKSSSLNSPSRLLRRDALLTGAEINYTKAVFLERSLPLLKEEQIGQLEQAAECFER AMALNVLESGVEKKDGDEIGQGEEWGKYWRGFVRVRGKLNNLVNPPEKVI
I206_05968	MLQGSSSSSPKPDITSSSPHKQSPAAAWISRLNAPRSTSFDAGL ELHKNGNGLSTLGYGRPDNDLMVVDENGAGPSNPTAAADLRRATSLRSAGIYGGAGRE IVSFGAAGSNLSSPTSASATLNQNFTPPLASRHPLFSHHATHAAPASTGGIPSVHSSD QGPSSPAFMSDPGPSGPPSPSASDLSSSAFSPASAFLSAFSSQMSFKPAQKVIAPDGD GAIVSNYTLGKIVGRGGFSTVRKATHNITQEVVACKIVKRDDLSDRSGSLEKFEDEIK IWQSLPRHSSLLPLVEMHRTPTATFLFSPYMNGGSLLDVLQREGGSDKTARKWFPGVV AAVQVLHEGYGSFEGGILHGDLKLDNFLVDNNSGSVMVADFYMAQKIDQSESSLSLPV PTIPPPLAPGHSPLGRHSTLPPGYHRGPRMSTPLPASISHHRPNEHTLPENMTPHPTQ PFPSASLPYAPPELLRAPPAGPSLAQDIWAVGIILHALLTGRLPFVDAFDPRLQMKIL RGSWEEPPFLGREWAECLHGCLDGNRDTRWTVRRVKESDAVTGWAEVKSRSKSRSRSR ARMGMGDGGLLDHMRREGSGAQPVPIGSPFGRGRQRKHSTASQGSASVSRDRRYQQPP PHTGSLSVIDDRLDPFISVRADSHKNYQDDPRQSRSRSASASRSRSSGYRPMFTLDAP DLARSLEAVDHNRGRTARRGETNNNSTPAINNNLSIAGLPYARQSGEVSISGLPIPGY NRSRSQSRNRTSLHIQPQSSPSHPPTFASASFSRGGNYESSNMRVDQSVTIVSPTRSR DVSASRSSRSSQSPSVNRGGRSLSTSRDSPVWEIQQTPTIQHERYSYGRELDMVHEEK GKVIHEEQRGRSGRSRSRGRTGRGY
I206_05969	MTSTPDAGPSTNLSIHPSRLAKFHQITETDFQTEYTSGNPSTAN NVKGSTTLDSGANSVPLRPKIKKRRVLVWTLPLKPLSKAASAEKKAKDKQKAKFYEGS FRSEAEREKEQQRKTAKARRASIKRAEKIRKERQKLKDKADKDEARRAYANEVDDRDS NNEHAESSATGASRNSDYILNIGHKKRQKGDKDKKKGKDKDKKQSKKKDKHKRKERHM DRDRNKSISNIPRELCPLELQALDVARKMNQTSVRSTPTETNAGDSTDQQSPSVTTRN TPTIPLTNEVEEEERIEYGPRLGILTNDMMNGPTGDNSTVYADSAQQPEAGPSRRPVY YVQYPVGGESFDTDGDGIFMKPEVEEQIAVWVDIALPGRMTLLKKIEAEGGQPSSDHA DEDTQLIILHPASTYVFDMYCHPDWLRPRDRARYAPKRRKYGNRENSWQKKVLLKAPW VDKCLEAGRFLGQEDDWGGCRAGGPPVGTLMSTDPTLALPEDLNGQGDGSDHMFEGSN GVVENTEAQDTAQQSPLKDMDNRGDDRAVDADVIMEDVLSEVPMADSSIPSPPPTEVI ENIAPQNNPDSEDDMEIMPTPVTGDRPNFSHVSKDKLVPKKAFSQLADTPAHISSDDY ADSDATEIAPWTTTERPKQKSPPNLSSAAPGTATEGSKQTELLDPKTMFSGLKFWLDT TYPDRIPLIKRIKAASGDLVTSYSESTHVLINNYKEQQWRSIVQGLSKEGIWSLNIRW IHDCLDQGRKLHEFDFAVPYGVPLRDKEDEKKDEKTAVPYKFDDQDDHHLSNEELIAI FEREQKMIVKGGTLRALGAFLASKYGRYNENYWVKLHRAWSKKADRFEYLKKDAKDSM VKPGPATQSSPTPSQSSSQKTKSSTAVIPHEQLVEILTAEVPHQGSRNNTEFGIYLNQ KYPVHPAITWSAYLSKFKSRKGQFAKLKTKQPARIARLDVSLPTSLSKVPLDKKLYSD EELVQIFSSPELNQAGSKSFNSLGKDLAAKYGVYHVTTWGILYSEWIRKSGRFKPTAN NTSSLTQTDSTPTNKREPSTSVSTRPSISSPSKSKSISLAEYDDEEGGSLTASEKAVI FKEREEEFTQRKLNQVEIGLLLKTEVGIYARSHWRREWTAWWKRQGPYANIMECLQST VAAKAYADQTMPIRGSRHKAKVESPAQDQRSPTVQSSGRSVVTRPYTMEEEKNMARYI AGYSKNTKMPQTWVKFAAMYPTRTASAYCKHYSTDQFRIDRYKPHDQSSNMKEDDTTP GTADNDLINHSQPSGSQSQPVEIDSDDDDDDEDNSVIMVNDNNNDKDYADVITIDDD
I206_05970	MAPKEDWEKYEKKVTDEKEEKIVALDESDIQILKTYGQGPYSLA LKKIESELKEIQKRVNEKMGVRESDTGLASANLWDVAADKQRQGQRPLQVARCQTIIK ATNTIPEGQALNPQDGAGAGNPEGDKYVISIKQVAKFVVGLGEQVAPTDVEEGMRVGV DHTNYKIMIPLPPKIDPSVTMMQVEERPSVTYADVGGCKEQIEKLREVVELPLLEPER FANLGIEPPKGVLLYGPPGTGKTLCARAVANRTDSTFIRVIGSELVQKYIGEGARMVR ELFEMARSKKACIIFFDEVDAIGGARFDDGAGGDNEVQRTMLELINQLDGFDARGNIK VIMATNRPDTLDPALLRPGRLDRKVEFSLPDNEGRSHILKIHGKSMSVERDIRYDLIA RLCPNATGAELKSVATEAGMFAIRARRKVATERDFLDAVEKVIRQGTKFSSTALYAQY N
I206_05971	MLIIPSLLALVASISTIRAAPTLNFPLNQQQPGVARVNQEFVYE LLPATFNSTSSTNLTYTTSTLPTWLSWDSPSLAFHGTPALADEGEQDITLTATDGSGS TTSTFRLIVSNYSVPAVHQSFYTQISQPNLHAISSATIMPGGTGVTIPPYWSFSLGFQ TDTFRISREDPNNGQLYYAVHVRGTVGLPSWLKFDNETVTFTGVAPAEGSYTVVATGT DFWGYTGAQTSFTIEVGQGQAIEMARNQNLTNAQTMAKNTLNQKIDLSGVTIDGNAVA TGDVEINLGSDDYPWLSIDSSTDSLTGTVPDQYQNGTFASISVPVDIRSTNTSNTLYL TTWLGIDILPYFFSTFSLPNATATLGQDFNFDLSNYLVNKTATVNATVNPSDAASWLS FNPKNMSLHGTAPSTLSYNKIDVIFEATIGQLAATSTLNVEIPQVSQTTTHTGTAAVP TSGGSGSPGISKGAKIAMGVCLGLLLLVTIILILFFCCCRRRRKNKGAAKRDDDNDSF VATSPVTVNDPFRRSGSLDPPRNLLGEIARFSGLNLKSGDHNEKNTPLSPASLSTDAT MVQDRPTRLDGLKGIFGWNNKEELNSENEKPAILSPQIPSDSRSFIGYPDVIGVNDPV NRPSQDASSFTQSFISESSRASWQSQESFHWSSIDGEGEDEVASLGAVGGNRISTASS IPRPRPNFTPRYPRHQNPSVLARMVDLDDVGSQASFSEFHSSQEGNHRDSLQSGSNFE SGSGTGFDGSSNSMMASGSMFESNPGSSFPAGPSGLSRFGDSGKFKSQHDFTDDEDAF SVEGPAVVAMAERQSFETRRPQRQDSRSHPRLKESRSRHEEQNRISGMFSDADHDQAR RSTVYAPSEGGIQENPGLGYPNSAIYFGSQNGDVEPSEGYTSQRNSILPQRSENRGSS TIKVIPSKGVSPLSPALPQVGSFIRHRRTNTGSAGATNAMMKSPPSSSNGANDGRIIA IANETFSIHPQINPPPTVSLSAATWSSNPPSTYRAEIENGGNLPNWLHFDSRELELWG VPPLRVTGDVTIIRIIERLPRDNRRSDPMSFGYEPPQEREVGRITIEVSDKMRSPQFA LEGSPHAL
I206_05972	MSWINYIPAVPEGYQKYVPHLMVLGGGIVAYYVLSNLFSYLSIL RQVKGLPVKHSFFPSYEQGLRARVPHIPFILPVKDYYSRPEWDRFEKPKSDLLAYTCM TQHRAIYWTANPYTAQHIFTKISLFEKACFIPRMKSAAKFGGNIIVAQDGEEHKRHKA VIRGCFGEEIFRNAWDEVENTVEMMLKEENLIDGGIMEDVGGSTIKVTYIVIGKVGFG YEVPWVTPRTERGEEMGFVEAYEIVDRSVLYQFMLPGWLLRILPTKKFRRMGYGQKKF LEYCYAMARSKRAELGALKEAGEKAKAPTDLLGAIVHAQVIAEEESRVKNGSEAPHVG LTEEEVIGNMFIFLLAGHETTGHTIAFTIAQLALNPEWQDECYKEIKAVCGDEKPSYR DVHRLPLCLAVGLEAMRLTDIVRQLFKVAKVDSMLPYNTWDDHGNVTRREHLVKAGSL VYIDTPACQLNPFHWEGANDFNPRRHLSGGDDARAGLNKSEVPFVAFSMGTRQCIGRR FAEVEIISFISSILARYTIHPVPAHKGETKEKMKARMLDSAVEDLTMTPGLFKVRFEK RK
I206_05973	MPFKRLKIIPPATPDSPSKLGTTTIVLNPNPDPVKHTLINALEH MPPPDLVKAERDTTSDSDEALTPITSEQEEEDVKNAVDEAVDRNLNKRKRGAKVDVSY ADNEGSDFAASESELSELEEEPKPKKKTPTKKKATPKKAKAKVENDEGEDDDGETPKK EKKATPKKSRIAKDEPEFDEEGNEIVKKKRKPRVYEKKVYEIPDVERKTTTFRGRLGY ACLNTVLRGEKPDSIFCSRTCRIASIEEEGMELPKGLALMNVRDLKTMIQWNEDNKIR FMRLSSEMFPFASHAKYGYDLSFADEGLKEAGELAKKYGHRLTMHPGQFTQLGSPKPN VIEASVRELDYQCEILDRMGVGKEGVMIIHMGGVFGDKESTLARFKENFTTKLSDNVK QRLVLENDEICYNVDDLFPISEELDIPLIFDYHHDWINPSSEPPAVLIPRIAKIWEKR GIPMKQHLSEPRPGAESVMEKRAHADRCKSLPDALPDDVDLMIEAKDKEQAVFELYRI YGLEDVIHDNLRPPDPNPGMHTKGRKSSLKKKTKETGDVDSEGEPINLSDIEKEGDGG IGDTSVVEGHIKNAVNDAGMEIDGQSQTPKKANRRGKRKSAGGEETAEGKVEQEATPA KKKKATPKKGKKGEEVKVELGDEQSVEKTKSTPKRGKKNDENKENVPNETAAEQMEGL QEEKPQPAKRKSRQSKEKIVA
I206_05974	MSSRAAPYGSPRDKDSRYGKDDRDGLTTRARSRSRSPRRDRDRY DERDRNYGRDSGRSSNRDDRSRRDYDSPRDRERDRRDEYSRDDKRDYRDRDGKRDDYS RETRSPAVRDVPFRDSSRSGNSRDNPNNDPNYRPSPKPYESRPPAGVAPAQPRAGPSQ MQGYRQNNGGGNGGYGNGGGEYDRPLDRRAIEEGRRRREEERAKGVVYTEGGAYDPGA EDSLAPQDEPEDEIDPDDPEAQMAAMMGFGGFGTSKGQGKEDNIDVVAKVNKQRTWRQ YMNRRGGFNRPLDKIK
I206_05975	MASALYPALKLSVTSIVKSHPPTRQLTKPIAPSELAQQLTQAWS ESSGNLNASESSSRSADVIRTVLEVVGRDTVVLPITNGELAEPDFEASNEEKQEFQIS LQDRLDIVLTLYEVVYTAFPDVPALEPGALFIPLIEELVELISVDSWRGLWTYIETRS KRFTKDMPASRGKALPLLRTINAFLRFLPRTPDDLVFRGRVHQFASSVISVADKSAIN MRGDYAEVRTTWDEDEDKIESSVDQKDKQDGEGDVKMEDETEKPQPDAEDNLSESKLD FYPTLWSLQQYFAHPPSLDGPASGDPAITPFETFKEKTDSVLPHLFAQTQKEKALLGK DAESVGKKRKRLAGDMGEGGFFHPRYLTGKRLFEYELADPSFRRQILVQYFILFQFLL NLTPASAGKQAFTGGMPKTFVLVTEDENWVKAKVSTIRDELLRMTDGKRFEETVLSII TREVHYAQWKNDQCPEGVFEIPPLDESTAKEAAKLWEKRLAPPASYTFKVGSRSLSML WNNGFKGIDQLRGRQKATSQEQLDEELQRIEMDEEDDKAMGIETDAAVLAANKERKTS LSWRALRLASHTHLRHFKALAQKRDLHVLMKAVKEETDRKTSVVENQPEEHKNEEEAA QEKEVAQVQDEEDEQVKPAEEEEVEQASESPIEADVNEHLEAKDQAKLEEDVDMDGPP EDEKTDEPEIAEEAIADKKIEGTEVATETEVLEQAHNTPIASITESLVHEPETTSA
I206_05976	MVPTLIHLLLAAELVFSQSSGTINISSPQACIVIAQIAALQSPN SITSMLPICPESGSEAISWPMSSEGDGAASSVGVYAGIIHGKPNCVMSLSQMTAMYML GRSLSWDEGGTLYDENGWEQVTDQSTQQALTCMPSHLWLSSDLLLPDPATLPKDDPAW KQSISLDNTGPTSGTPEPKDPDKANTSSSSSSLDHASLTAVSLLGSATSSLGITVTAT DFPVSSLLPPSSNSAALSSVFQTSTPSTASLDGMATSAISETSTASVAKGTSTQSVAV SRTLFATSSLERMSSSINGIPANAQSQSQPSVAAVQDSFTILIEPSSATSNSQQPDTT SSLSSTVSFSVPNTEGKTRGSSTISDTTTVTLESDTAEETSTRTAMINSEFLTSQSGS VTVGSNTAQAEGISIAASTTTQVEVSLKIGDNSTATMSSVVDVFSSSSNLKSSTEATE SSTFTLDPSSIDSEKAATAVTGVDFTSSVLIPTTISSSTSSVEPTQDENDGIPNVTIE PFTLTPEVIAMSTMTVGTEGFASAFSTKSSKSEEILVTTSSPLTSIRTTSTSPSQIYL DVTSTKVVYLPVAALGSHYSIPAQSSRNLTSATSGQVVPTTNLNLSPTSTQLQSTGTE SVATSMSYAQLAATIPPSTSSDSAREAIPAMKSATETVPALSSSSLRDASDVPTTSST PFDNSVAAPEFSALPLQAATRHKRHRRRSRRGMGGWGGGDGGGWGGVSGGWSWLAGGS WGSDEAEGSGSGPRWPIAIADSLTEEKEEVCGTNCNTEAEVSVQGEQTQAYQTATGKP FSAAPAEQPSTPTSAHPATFTVHAADFTSNAPDQQPSLTQSQASSSVFRPLPSSSART SYSSSSPVAPSLTQQDTSSSTRKPATYSFGYASTAQSSPFSESVMTIQTETDTSSFHT ASSVSQQSFTASAPSQNGLPSSPQTLGTGFTSNEAIAPTATSTFRHSISKTGNSEHTL SVMSTLQQPTIVASINSSTSEIATVQSSTTEISTYRTATTEVSSKTNTAIIQTSVTQT SSATATEFTTSTNVNTFQTSVTKTFVQTKFITSTDIDNFQTSTTKIRTFLSSTTDVNT YELSVTETFLTTKHITSTDVDTLQTSTTATSTFQASTTETARETTSIAGTTSQTISST EILNQTTSKTGITTSTQAVVVPSSSSSQTSSTSAELPDITSDHSTSSDEASSISDFVS SSETPPLPSQTSSSEPPPEQTSSSFELTTRPESSPQSEATPQTSSEQSPPEPSSDYTE PSSSTDPAPASSASSSSDTGSPPDAPAPDPQNESDASSAANPPIFSVDGETIGQFTGP AKRGIYSRSPELSITTTFVVSTSCTSAQTLAATPSPARSLGTYIPQITASKKQSTQIV KERRKLASSERRKGPSSASMLRKEERISGKSQFNNWTIM
I206_05977	MGRTFRIYLAGEAVLTCKQCGNHLAVTEGIMSRQFTGQHGRAFL VQQVVNTYTGDAEDREMRTGRHTVRDVYCRVCHSTLGWKYDFAFEHDQKYKEGKYILE REMIAEKPESKRDHGRPRIEELPVRELLARV
I206_05978	MASSPEISPQTPRMSVSPPLRHLSMSVSAASSRHGSIPVYASPP RDIRALLSHSPPISPISIKMETVNPDSDSVQKGYIRSTVSPPSFSRDLPPLQPSVLPT LPRLTVPRPLAGHRPSGPSKSGRGTGFGLDAFKLGSPPKSHPQPIGSPSHNHSRSFWI PSSQIRNEQRPQHQRLASLPSLKIGHNQEPIYPTPVSIASQRGFSRSTITPATHTHRA PHEYQVSSKPYAHYRSGSTGYSAQLHHRYGQPVLHRQHPSSGDQIIRIAPHPLPQGTW AAPPPPPAPAMPISTRTQNMVRPRLHIHPYAHAMRDPRYHSADGPGLGSQTQFHPGLA HHVSISESGRESIFTSPSSGISPGSFKAPRKRADDSQLAILSDIFEKTAYPSTDERDE LARKLGMTSRSVQIWFQNRRRAVKVDAKSAVQRAEAEADTQVMIRGPAPIVARPYPVH SRHHSEGQEVLPPQPVPATSIMPERRPFPPHPIVRPPLIASQSDITMVKKEIMTP
I206_05979	MSLRPAIRHSQSSPGETPSNPIPNSVSPSPSPSFTNLPQTTSTT NSSSRVSVQIPERPKASYHESYSNLPSAMHHSGSAGLPRTRTNSSGQGPNDGKYRRKV GFEAFEAGPAALFAFTCQAKSEGYKRSRNTRVFAVAVSPDESGEDALDWLMSELVEDG DEVVAIRVIELDEGERQAHEAQDELREDAQRLLQTVLQKNNEADDRRISVIVEFVAGK VTEILLKMIALYRPDSLVVGTKGTRSKFQTWGRALGAPGMGSVSRFAVSHSPVPVIVV RPERKVKKTLAKRQNDPKRGQYAAIVGPDGLALSRSRSRGSTGALSDRGD
I206_05980	MPVCTNCAYPVDHVYTAYKTKSNIRLGVCPRCDQFLDPLIEHPD LIILLDLILLKPRVFLHLLFNRRSPPFDTDKGTESSGKDNQVIRQQRLSQDLWKLSAI SVLAETVLRFLPSVTGECRITVYQVIITCGLVLLEGITQHAVTLSLTLLALRIRRWYP VTLKRKVVDSRKRDGRQENFLPILVPLTILYTSLIPLLLQLILSIWYTPPQSIHEHLP TTNMALSFISSLPFNVPPELLDLEIALNHAWSRSDRIWIGTRLLGGMSAGFGLRVLLP TRPWETTGIVLAGWIGSALIEPVARSYIASIGA
I206_05981	MSSIESTHSLLIPPNPSLSPNKPSASILLNGTGRPATKVTIPSP PRTTTPLPETSSFTSFPLSSSSSGANTPPSSFASTSSLSRSTSSPHPANLSRRLSTPK ISFAPLPAIPNELKRRNSISIGVASRKNLIGGGGGGYQNVNRLMMSDEDWENYKKHYS EKNGAEVIDLGAVAMQGAKALWGKVRRRSSSQSSQGSITSETSSASISGSGLTSSLSI PAFSGSVSSLRTVEEDEEHYEPTSDEAGSSSNRGGLRTIPGSPPPRAGSVSSESSTSA ISDTESEFDPTDLELENDTHPSYTPGNRVATAEATHSMKNKLLDIEGGASGDDGDATP RRLPSPPPRKADIEPISEEEEEGRQTPGGFRKESQWEREHHERTNKGDILGFDPVRFG RALDLARNR
I206_05982	MNFFNRQKTRTPAETVKSLKDYVVKLDQSAAGESRKRISEEVSR LLASTKNALSGEGSSEPSPDVIAQVANEVYAQDLLSLMVIHLGKFDFEARKDVCHIYN TLLRRQIGSRSPTVDTIATRPDIIFNTLKGYANADIALNTGMILKEMLRYEPLARILL YSEQFYTFPNYIENTTFGISCDAFGCMKETLTRHKPMVAQYLDANYDRFFNMYTTLIL SANYVTKRQSLKLLGEILLDRANYSIMTKYISSEANLKMMMNFLRDKSRNIQFEAFHV FKVFVANPNKPAQIAAILRRNKDKLLVFLKEFHNDKDDEQFNDEKQFLIAQIQQL
I206_05983	MDNSPTALFENYDEDFKQLLASLKGKLEGDVKQLKGEQRKAALK KVSEELDEAEEIVAQMEVELPSMPVSIRQTYQGRLATSKQGLEKVKKTLRDVRSENQR SELLSGPGGFPNSDDPYTDDPSAYSARTRLLQGTETLADGSRRLDNAHRIALETEDVG GEILRNLRGQREQIEHTRDTLVQADSSIDRAAGTLKKMIYK
I206_05984	MPKFGITLPKMDDVFKSHPKPDVTKDLMAKYRLRAIDILQNIMP AKIIDIENLINQEKDSSSPFYTGQVFEAAYSAPKLIQPALIVDENENKGSGESSLTDK PIMPADITTCSTTGEEKPQDVRIGQHWFEVVKVNKNQMKCNEIVFKELEELHMLAQDL KVWLEMEIPVVEDGNSFGADVQAHLISQLTEAYKKSNTMQNGVRAHHGDRLKLATDWA KYPNFEDYAAAIANSDRFDHFLIRSFLRSILQLYAGLLTKFEKNWTKVINPKGTHETG GMY
I206_05985	MAKSSVFLTSLGKIPADVQARTHKLKKVVPEPNSFFMDVKCPGC FAITTVFSHASTVVQCQGCATALCQPTGGKAKLTEGCSFRRKN
I206_05986	MPPKKSVFRQPNAQHFQLVHRSQQDPLINDPEASQRVFKPMNRS NEPGRKTEITLAELEGTVDQSDIRKNEGEAAAYGITYDDSSYDYMSHLKTVGGGGFDS FLIAAPRGSGVARGMKSTGKGKGKAKEDDLFDLLPADVLPSKDQISVYEAQNRGEAIP RELQGLQPDMDPHLRQVLEALDDDAFVDDGEDDGDWFGDLVDGGEREEEEEVPWEFRE WGVDEGPTAPVEGKEETWEDRFKAFKHQQASLPDEVSDIDPEERSEMADTVGSLASNF DDMMVVGGKKRRGKRGPSDASGMSMSSSSMFRTQGLRDLDDRFDKVNEEEDYEDFPDD DTASVAASNFSVSSRFSLASHASKAPTGPVELSRDDFEDIMDDFLENYEVVGRRMKPV LGHTGFTGAERFGVLRDAIDGEGDLREENRRRILQMEKEDRESLQLRDRTKKEPKVKV EQPEEEKWDVQTILTTYTNTENHPGMIRTRTAEQAKARAERQAAAAAAAETATQQDDD SESDSGSETETETPKITISRPKGELADEKKARKAAVKAERAARRAEKKSHTETFLSER KRQLASHKKLVSNGRAADVAVGSKGVVSLK
I206_05987	MSSLFGSGAATPDMAARKEQMKQSIQQELAIANAQQLINKINEN CFAKCISKPSTSLTSSQETCLSQCMSLYMAAFDQVSRSYVSRISKERGAAPPGIGL
I206_05988	MPTAISRKGKSRAAPAEDAKIEVAMPLGKQLAHTDKKIRDRAIA SLVAFLSQGGDTEGESSSYVRLDDAEMSKLWKGLFYCEIGFWMSDKPLVQQRLASDLA ELLLQIRPRSTGPEDQFEASIAFLAGFWDAIVREWAGIDKLRMDKYYLLMRKYVNATF RLLAREKWNADAIAAVNGILSKNGGPMTWEDRRVPTSIANHIADIYLDELNKALALPE VDSQPACPLTAVLLPHITLLTRTPTSTVHTRLMSSIFTPLLDSLAIASPSPALERDAE RPSKKSKKEEPMYAHIVMHSCVGEEGRTHRSSATALRGALLKKMFEAAANEKSGESNR RKVYQVWREQGGDDEDDDEDEE
I206_05989	MYMNKRTELEATQTSALNGEDQAPTDLLGALVHSQLNSEQEARL QGGDEKVAGLTKSEIIGNMWLLIFAGHETSGHTLGFAVAYLALYPEWQDEVRKEIMAA CGAGEPAYKEMRNLPLTLAVCLETLRLRDIVSTVMRKAIVDIEVPYTTWDSTGIVTRR THLVKKGSFFVIDSAATSLNPHFWGSDSLEFNPRRHLETTPPYVSFSLGARQCLGKRF AEVEMTSFISGIFYQYSIIPVKLHEQETWQEMKARMIDSATEEISFTPGKFGVRLQSR LESE
I206_05990	MSVKYPARAHALKVIDELSKLISPDVRAKTHGIFLQASPTLYRD DTDRELPFHQEANFNYLTGVIQPSCSVAILFAFESDNSRPKISHNLFIPPEDPAETMW SVPPPSLDLAENLFESDKIQHTTSLPDFLRSAVKDAVGSELILHTLPHTMDYPALPTL LSGTTGVTIDTDHLFAALHIARLTKDETEIDRIREANRISSGAHETVMRELGKFALRR EKGGIVGKTRSGKETLDEWEIECEGDAEAVFVAACRRSGATTQAYLPIVASGSRASTL HYLARGCCGTFAPTHSHETLPTVFHSKSFSPQVLLIDAGCDWQGYASDITRTIPVGNG GRFTKEAGEIYDLVLKMQKECEQLLKPGVHWDTLHLHAHKVLIDGFLDLGIFTGKAEA ILQSGITAGLFPHGLGHSLGLDVHDSRQHLKSVHHNIPETSKRTPSKLYAYLRIRRPL LKGMVLTVEPGCYFAPQLMEEHGVWQSEFVNKAVLNKYLNVGGIRIEDVMVVTENGCE NLTTVGRERQWIESICSGEA
I206_05991	MPGLFMPLARLSARQSFRSCVVRSSLGEVIPGRPRCITIQAPSP NNNPDRRGNGAFQISHPPVVAQAASPSSNSNSQPDEPSTSASAGLANATSLPAPPEGS SGFTPRHLQHPFDTHAFVSYLEKNEISRSSARALMEAVRDMIIKRGTQTGDNMVGKEE AENAAYLFNAALSELRTELSVQARNDGLALKAMAGAIRREVEGLEQKLKEDVQVLKHD IEMDMNNRKAETRTEMKGFDIYIEEINNKFTISLGDLRTEIESVKWDATRRAISIIIL IVVATIAVSTFLATDAEPKTPQPKVIPPAMKDMAVGTDDDLMEEDLKSYTEEKLDKLL SDSGVAKLERVRSRSREHSKDDKKGLNVDRI
I206_05992	MDRAKRDLPKIRDEERERMFGSVYSVSGPVVIGENMRGCAMYEL VRVGHDELVGEVIRIEADRATIQVYEETSGVTVGDPVLRTGKPLSVELGPGLMTNIYD GIQRPLKSIQEKSQSIYIPRGINTESLSREIKWDFNPSSFRVGDHLSGGDIFGSVYEN SLVDNHKIMLPPRAMGTITRIAEKGSYTVEDVVLETEFQGKTTQHTMMQLWPVRAPRP VAQKETASYPLFTGQRVLDALFPCVQGGTTAIPGAFGCGKTVISQALSKFSNSDIIIY VGCGERGNVWKSWTDLVPQFPELTLERDGREEPIMKRTALVANTSNMPVAAREASIYT GITLSEYFRDQGNNVAMMADSTSRWAEALREISGRLAEMPADSGYPAYLGAKLASFYE RAGKVTCLGNPVRQGTVSIVGAVSPPGGDFSDPVTSATLGIVQVFWGLSKALAQRKHF PSVDWNVSYSKYLKVLDPHYEKSNPGFIDLRSRAKEILQKEQDLAEIVQLVGKSALGE SDKITLEVARMLKDDFLQQNGISEYDRYCPFYKTSGMLKNFVAFYDNSQRAVETSDMT FAKVRDSAADVMYKLSQMKFESPNTQSEQDIQGKFDQLYNEIGETFRRMQE
I206_05993	MGKKKRTQVFVLKPWCWYCEREFEDDKVLLQHQKSKHFKCQLCP RKLNTAGGLMVHSQQVHKCDPEPLTNTLPGRDGYDIEIFGMEGVPANAVAEWKSRKEA DAGTAALAAAAAALRPRQSYNVIPEADLRAALEQHKKLMAARNNPAPPMPFPPFAAPP FPAGFPPGMPPGFPGMPPPTMPAGSLPPFAPPPGFRPPFPPAGVPPFPPASNSPLPLG SPAPGFPGAGVPPTPPAFVPQTATPVPAPAVDIQPPKDGVMWPDATASPAEKRAQQPR YRYSSPSPEAGVDEGSGVGKKRKAAADFL
I206_05994	MSFSKATSSAFRQLHTSATRNSHIGKVPIAVPSSVTLTLPPSSI SPNVPSTSAQAQRTFLVTGPLGSASVPISPAVILTPPSSEVPAITISVHDPTAKAQRS VWGLTRTLINNAVTGVSAGFNLEVRLVGVGYRAAIEPIPPVFLELAKQQNPGSSDPLP RERLNIKLGFAHPVLIDIPPDIKAAVPAPTKIILNGTDKQKLGQFAAKIRQWRKPEPY RGKGIFVGDETIKLKEIKKK
I206_05995	MHTAESLTKLKVPELKAICKEIKVANFSKLNKASLINLILANHT KATDPKAKTSATNNVPFDPFLLTSPGTTESPETGTKRIISPPKDEIVTKKKKTDNEGK CVPAYIELKENQTCVSRIDPLTSLGKTRDQADKVQSLTVNALSKNRNAAPVTSIGRRI NSVPHHVDRSRSAKAFNSEKKRFQALKPSVKAPQKAPSTTDNNIIPAVLDSEPLATAN DRKKSVRSSFLEEMFLHLNASRMKPISGPHSSPTLALNSDLSTLPVNFPGLGTALYDP ATPKDAFIVALRFYIARLHTSMYQGSGESWSMYGRGVGLLGPDLSKWPIIIDCKHFSR DFWMVETDNLSTLTTSNLGITKYIAIGLNGDVIASSLDGSEKNDIQGCPIRKDWYDYI ITNPVNAEANEALLDHVRTKNLADYPHGIARAWQERVGIQSNGLKLVEIAERAVLASC AINSLSGEKLSATEMDAQNAGHEPVRGKARSSRVELYLPEYPYHPALAVVHRQSGFTD HVLAETGQVIGDEESGVAELWQGLLGCDAKGNQDGSKMKEFWNGWEDRMLE
I206_05996	MTSSPSYAHRHLLLTNLLKQHPLESDVHSSYDFPVTRRLLQQKR SIALDVILLKKWNGRVDHADQDLFHHVDNLRLSRRDFEIIGRLGDGQFGVVDAVECRL NGQIYAIKTMRKHAITRAGPQISLAIERHIHILAHSEDGPVPRLIAAFQGDQTVSLVT TYAACGSLWDRLCFLSEDEEHAGRMSETEIKAWAPQMIAAIQWVHDQGFVHRDIKPNN FLVTDIGRLLLTDFGSAAALLSNESTDQRRFLPYNQCLLPVGTPDYIAPEILMYAEDA LVHASEATDDETADQRHGYDLSVDWWSFGATLHEMTLGKGPFWAPSIQQTYNLLIKFQ GNIHLPSTVSSELREVMLKYVA
I206_05997	MHMRDHLQPIDLSSLPPCDDNDTCNQSEFTFSHLFEHTITSDDS SVATPVASPPPTPLLPHHSRWIGWTWEIPPDLLEMDEPSVQPFREELAKSSISQSFVT PARNTAKIPASTKGTIAKSRSRSRPRSERQALAELVGCVQRSARKQLSRRVVKSGHRT NQYSFVRHPQTPTPLSRDDSWVFERATDVTKPSDLNVSRPPLSNFFEIRRLQMNDHLT DLEARLASMRQLVAEDPSFSI
I206_05998	MVWQRSSRLTDLEVLVRKYTLEITKIKTSWNQDTKKDKKIRITR DVVFNKEDRMSKAVTNSGNSPQTIEKIPTLTLSPETNKLKQVMKMSQMIENGTTQESR DPLPITDDVGTWGYFAIALAAMSTLIP
I206_05999	MENQATFKLVLCGDGGTTTFVKRHLTASVSPINSKCMINTDNHT GEFEKKYIATLGVEVHPLTFHTNFGTICFNVWDTAGQEKFGGLRDGYYIQGQCGIIMF DVTSRITYKNVPNWHRDLERVCENIPIVLCGNKVDVKERKVKTGNVTFHRKKNLQYFE ISAKSNYNFEKPFLWLARKLVGNQSLEFVAAPALAPPEVQVDQALIAKYEEELKQAAN APLPDEDDADL
I206_06000	MQDVTQYFTSLCNELPTGSMVKPPELTMLDAMNAIQMMDHKMDS GSSKLSPSRPPSSYDPDSRICPEGLCWIIDALVSLEIAWYRGATLCQSVYTALLYHNP QHLAGPSRYPSDDQMSNFIYLVLRAYTLLYCKTIDLVYTELAKGNVRDGEDCWLDHYG VPVRMNDPTGDLVSLANEALQWLESDNCQMDPYWREQTINRLLCRRNWAIYLDNEGMS SNWCHSLLRVMRVAANSIDVVEKPSVTGLTAFDSSMPSYLRQNMPLPAFHLPQPEESW QNMRSMLDGLVDAESVREQGSWEKWELLLRGIGWSQVLHNPVSRSLIKSQFDFSCNQL LKCDTYLNDEGVLSTFLSESGLVDSTISAFESASCGQDASANRQIAAWKRLISSHRPS SQTAHDSDVPLQDLRQNGENERPWENISQKAELAALDLELMTQRDEIEIWWWIEQVTR SRIDHCKLSWTRASIWARAWQEVTKAMTIIYLLVSPYEANASLSQAKFRLRHKHCLKP LYLPTGKKVTCGITPHFQDFQAFIQVAKQSPCREDAIKHLQRGINWLDRLPELSETDC SVLRPYLVSFN
I206_06001	MSGPPEEARRNLMLAKQREREAAEHQRQKDALIKEGEKDHTVDR FIGVTENLDERLIKTTVGLVTLSDFQKTKDDLEERQRQLAAQVAADKGVTKPKKTKKK EKSKLSFADAEEEEGDTGEKRPREDAEAVDENGERKKKFSKNPAVDTSFLPDRHREER ELIEREELRKKWLAQQETIKGETIEITYSYWDGSGHRKSVECMKGDDIGTFLNKCRQQ FPELRGTSVENLMYIKEDLIIPHHYTFYDFIINKARGKSGPLFNFDVHDDVRLLADAT VEKDESHAGKVVERSWYNRYKHIFPASRWEVYDPDKEYGSYGQHPLLNSTGYHMVLSG FRNSKIALLERLFAFRPLTMPVAIARSPSPNQNIRDAKRHKAQHAPVVSEEDMINAAE GSIRSAAIAKNDLVTVQDIPNRTEAELIEEQMAMPIEYPKTYENELNYREKLVLAPMV RTGTLPMRLLSLYYGAGLVWSPEVVDKAIIGAERTVDPATGVITYHKGQGPIFSTHPI EKPYLIFQIGSSNPELAVKAAQTVQQDVSGIDLNCGCPKSFSTHSGMGAALLSTPDIL LNILRALLDSIPLPISCKIRLLPTQPSTLYLVSRIIRTGIRNLTVHCRTRDMRPGERA LWERLADIVALGKKRGLPVICNGDGDGWSNWEKIRTETNADSVMIARAAERNPSVFLP TGPVCNMTEVIPKLLAIAKHTQNPWGNTKFLLTQFKPSPAPISNMSKQERKNAAEIVS KSKSIEQVAEGFSIPLDGTESIFKAVIEKIGERPQTDTNIWEERHKAEENGVIVEHYF PVESEAQVDGFDVGIGQATSSSQV
I206_06002	MSHQYGSPYADPYNNRQSGFYNNDHDTQQPPPQAYGYSNDSNPY GEEPRYPSYPADPSAGDYQAHSSTEKVVDDEQYENTQRAQPGRSGVRQPPRSIAEMGP PPRSTGILRMWRKDERGKQWSRGGGVRMSLRLCCCCFTIAIILVVSIILSIILYVRPP SFALNSVNIGSSPVNLTTDGLTLSFDLSISVANPNWFNADFKEISATAKYPGNNTNSF GGGTLYDLNFVGYTQSTFKFPFTLNYTLSKDPNQVILKDLISKCGISGGSIQDITVDY DLYLKLKILGVTVSPTISNSASFECPITASDIESIIGSDGSLSGLLGS
I206_06003	MSAPASFTSREALSERRLQDPSKPAPQRKKRVIVTGGSGKLGRW MVREMVEHGWEVWNLDVAPPAPSEAKVAKFMQVDLTDYGQVIAALTDVDSGYKGVDAV IHLAAIPSPSRAPNHVIFHTNIRQTYNIMEAARVLNITNLAIASSETVFGIPFYPHVP ERLPIREDAQAPESSYSLAKLLGEKMGEQYTRWNPEAKIINIRLSNVMSPEQYIDFEN WQDDPWVRAWNGFCYIDARDCSQAFRKAIESDLKGHHVFNIANADNTFRVPTADLMKK VFPNTKWEPETDDPREGGISIKKARKMLGFDPQYDWQTECEKLKKASK
I206_06004	MSHLISIVSTAFIPLVSLTSLTIYLSTHPTSPALRYFNKPIALP THHDEGLDGQITSGEKDPFNLEDPVVCEDGTPIEPEKFWASMKRRKVALLLLMFPPLI CNILLLVFTVLAHIPDQEERTRSILLPALLLPSQVITVLLTSAHLSQEETYAHWATTI HLAIDIFIQFMILAFLALLPSTPLPSAPERLLATFAESDLLSSPKLSPVEILTALLPI LYIPPLVVVLTIRRGPPLYLPLQALYPAKITDAVPEGTESLDSTKPNVSAEVQATVPE WLLFGYATGVVWKGHVSESLDVWDLPILPKALRALVQYRNMREIYGNPRGRFGRTEGF NLLWKVAKANSGLLWAQTLLATATAFGYYIPHYILLKFVEYLENDPTRSTPAWGWVLC FGLFMSNAIMFIATGVTWSISSTYLQGRIKLQLNTLLFSKTMRKKDIASAGDDKGHVG DVKTEAAKDQKRKAAGEAEDEEDEEAVSSKTQIMTLFTVDVDRVTEFVFHLFAVVDSP LELLVASVFVYKLLGTSALYGLLTALLSLPLNHFASKIVVRAQENLMKTRDQRTALMN EILQGIRMLKFMAWERSFESRIQTIRKNELSWQARNYQIEVAFNCIWALTPVLVTVVS FLHYTLVRGQRLTPSTAFTSVAVFAELRYALNALPETFIQALQGFVSCRRIEKYLSLS EIAPVEENDGSGDIVLSSATYTWPRDESATSNAQNGSSRSAAPTPKNAFTLADLTLRF PNGKLSLICGRLGSGKSLLLAGLLGEADLLAGQVLCPRSSPDAMGLSPKEVPPKDWIV PNMVAFVPQQAWLQNATIQDNIVFSSPWDAQRYQQVIEACSLKTDLEILEDGDQTEIG EKGLNLSGGQKARVSLARAVYSRAGVLLLDDVLSAVDAHTAHALMANCLQGKILENRT VLLVSHHTALVSPGAAYIVALENGDVKFSGTRDDFVASGLMAKLDEEDVKAKPTDGEA KEEKLVDDSIKPAHKSVISLSGAAGSEPGSETSSLAPEDESTLVNSTADLKGKSPRKL IEDEKRARGRIAWSVWKAYFGALGGPVWWFFFLIALAMAMFVPVAEKGWLEYWTGSNL TPEQGGHTTQYFVVGYAIITIAGVFAANFQYAIIYLGSLRASKVLHNAMLESVLFSTL RFHDTTNRGRLLNRFGKDIEGLDSSTADNFVRSVAYALNVIITLVSITYVGGVPFIIA GSVILVIYYQAGSIYGQTSRDMRRLDSVTRSPLYSLFGEAVSGVAVLRAFGASTISLK HMMRLADTNLLAFAWSWTVNRWLSARFNLLSAIMVGLTAVAILIAPGVDAAMAGFALS FAGTICHDLLFVVRRFVQLEQSMVAIERLKEFTDLKREAAEYVDPRPPAAWPDRGAIA VENLIIRYAPDLPDVLHGINFTVAPREKIGIVGATGCGKSTLALSFFRFVEATQGRIV IDDIDISKIGLTDLRSRVTIIPQDPTILSGTLRSTLDVFDEYDDADIYAALRRVHLIK DDELTADGHSSEMDEETRNKNVFKDLNNPVSEGGDNFSSGEKQLICMARAILKRNKLL FMDEATASIDYETDELISKTIREEFSDSTILTIAHRIHTIIDFDKVLVMDQGRIAEYA SPADLLRNHKSKFYSLCKATGRTEFKNLKTMAIEAERKKHNK
I206_06005	MSVSDNGVTKNGALPKAILYSWPTSVWSTVPQLCLHEKGYSSDE YVIKLVDITKGENFAPSYLKINLNGTIPTLVVPTLETTGNDVDTKYRSLRDTISICDF LDQARSASSGHNSHSNKPAPTLAPATIEGKGISDEIINLIHLYTVDPNFVALAVRDEA ELKQKATRPPGKSLAQRRQALRQYLDEAKQAVANSAVVPKEGSSTWEQKTVNFLEEKF KSNEQIWELYNGQSGDDKKEQFFEVCRKTWTESLPNAFSRLEGLIKGPFALGDQISLA DLHAISWLTRLVTIAGGPPDASGIDSLIPHMSGYKFGPKVREFWSEWVQRESFKLVLV PVSGDFEKMSGL
I206_06006	MKSSKSLRRATLSIFYRQKLIAVRSPVCPKIGTGSTSLISDRSG YTKNLALIPNRGFSTTVKRQNNGNTTQLPAPTDLLELYRGMVATGRLKWDDEQVRTVI KLRNLLATLEDYSPPIDLVARLTPSAPFVAQDVKRKSSWIKGKEKAGEYLGIEVDSGE AERELVRVLSGEEELANLNTPKGILLTGPPGTGKSLLLSLFFQLLPLPKRRIHYHAFT LSLYRQVFLELERRKNTPSPLEEMMRKTENMELAGKKGWRAVFANGSRQRYDTRLSCF VVSRIHLLTGKSANIRFNSFDEFQLVDASSAALIRDVLSWYWRLGGVVVACSNRVPED LYHHGVQRDRMIGFLDALKSRCEVVQVDGGRDWRRGADEESHNKLRRWYEEKDDSFEA AWKELTDGLDAGPKQVSVYGRRVPVPAAAGNTCRFTFADLCEEALGPADYLTLASTYS TFFIDEVPVLYLKHKNEARRLINLIDALYESKCQIHIRSPATSSTLFFPDALDLHESE VDDMTNERIMAAESLSDAVATPYRPNTSLYNSTTPLQKEKEKLEEKRSGSSFSVLGIW TGEDEKFAYKRAVSRLIEMTTSPTYAGEEWLPLDLASRTWESSKNATTARRVYRRPQQ DSPAASVSTAHDELAVEAGYSRPAKLAQPPLDKQPPPVIKEQHVWGVADEWGAKAGKW GKGAKAYGDNHSKKSE
I206_06007	MTFRIALRPLRPVSRVILARPLHSTTLRLSTAGYGDPQEEKAEN HTPTPSSTPDPKPAGQGKGPGSQSGTTDPEVGQGIVGNAGGKKGAGDSANENVSGQEI KETKKVGEDPKKEEVGGAGPIGG
I206_06008	MASSQSPHLTNIPEAVRIAAGVGPVADPEIKQQAIDYLNKVKEL SQETWQDCLALYLQGAGAPGPSTAGKDGKEKLSNDLRMFCQQVVDTTLTQKGSEMTPE SQKAMYSAVMDFVQTEYVQGPSEGGQAFLRNKLAFTIAHLFLNVYPNTIPTFLHPLFA LLTPSSSNGLNPTFLTIQVLTEIAQEVHDTTLRSARRYSKDRQERDGVVRDVIRSSGD ERIAVEGMLGLIEKGLEQGEDSQWLKLVEATLRTMVTWTPWVDLSVSLSPSSLSLYHR ILRSQNMTLRTAAANIMRSFAAKGIQDPQARLEVLKVLDVVSLVDPLESETRGVRDNE EVIAFRAAIGGILATFGTELVTFTENTDVPEPLRNEAEALMTNALPLLLRFLSDRQYE VPISVAPFASDILRVVGQPQPSTPPATIPLTPQRREFLSSMLDILIRQLAWPEDAEWE APGTEEDSNDDLASFLHFRTYCRSYIESIAQIDKSLHTEVVARIVLATLDAYQAGGEA AVPWQQAELALHLIYTFGELSKSDGRSTPTSEMGDAETVYNNVRDSFDYDQYPLSPLG ELLSRCIASGISTYNHSSVTLQYFEIAVRYVEFWKYKPETVSPMFEAIVSNRGVHHPD EGVRRRCFYLFQKLCKDCRCSSVDAMVEPILGSIKDVLVINANVPQPDSPDDNPLTKA TTGKTYAADQLYLFEAAGFLVYLTKTDPNKHITLLETIAGPLMSGMASGVERYRAEPS DLQAVLQVHHHLMALGHFAKGFPTVSDSQVETLAYTPPFKQMTEALLQALDAVKSERV VRDAARFAFAQFVNAIGTTVAELVPRFVSVVVTEYEPSELVDFMLFLGLLMHRLKKNT FETMDMLLLPLLSRIFAILQQPITGTDEAQTHARLKDAYLTFFTALMNANLDGIFITE RNKPEFENLLTTLLTLAQDCSDPGSQRFAFGFFARSVIAWGTSPEAAARPPQALPGYE NFIYQRLLPACFEVPAQKDFKIRSSTLTLHEMAGLVRSTAQARGQEAIDYMNTDLLPR LGCPPNIANEFINKLKTQQARDFRKTFIEFVKAMRG
I206_06009	MASTLLPGLRTGVLAKASSSTFRPALAIARPISNTAIALRPNTP TSSINTSDSSPSTTTVATAIAQRGSNQLSLETPRNGAEYVLSTLDKVVNWARQGSMWP MTFGLACCAVEMMHMAAARYDQDRLGVVFRASPRQSDIMIVAGTLTNKMAPALRKVYD QMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGMLQL QRKMRRNRQGVRWYRK
I206_06010	MLSRTALRRVTRPSSASTSQLSAVRQLSSISVRPSAVALGKRPA ILKASIASPLAAQSRNISWTPWRSAAPEAAPADDSLTATAASPVPPVSPVEPILTDSH PDLSANAPSEPIASSSSLSEIPADPSLLPQPPADGFTPSLADLILNSGKPLQEVLNSP EAVHAAMQVSDLKLLGYEHGFFSISGWFTDAIVGLHTATGLPWWATIASITVAIRLAL SPILISTQKHNVRLAAVNPQIQGLMERAKEAKDKKDIHAQALLGQAMRQLMKDHNVNP MRALLLPALQLPIFLTFFNIVRGLAHLPLPQLKEGGIGWVTDLTLADPYYILPLTSLL FTNLVFKYGADGMGAAQKSQSPERAAHIKNFIQMTTFASLPIVAYFPAAILFYWTFST GFTLLQSIILRQQMVKNFLGIPTPPVVPPPPGQKEFKNPNWLDTVKAARDFMQGNYQK AQVLATDRKAEAAREQAMLSRNRIQASVKPVEHIRENVSAASASAASSAPLTTAQQTA QSPSGRPLSPREAEKQRRVAAARRKRSGQ
I206_06011	MLRRSITQTVLAGPSRQVLVPRYRGIATALPLLRQDERLPRGHI RPRRRPIHPSEPFDSTQPQQSNPYPQPDFDQYDPSTMQTPIPSRPVYIPPDPQSILGD NHAARNILAHESLVIVRQLEMLNVFMGLEQANRYAIHSPDGQLVGFLAEEEQSFVSAI TRQALRTHRPFRAVIMDPTGKPVLWIRRPFAFINSKIFVHSQEGSEGKLVGETQQQWH PWRRRYNLFQKRGITEEDETFRQFAKVDSGFLAWDFWLKDRDDRLVASINRNFRGLGR ELFTDTGQYVIRFDAAGTELELPPGSNINVQGQTLILPEGKEGGLSLDQRAMTLATAV SIDFDFFSRHSGSGGMGFPFIFWGGGDGGMEAQGQGRPSDVQAPSDGTAAGAAAGAAG AGMTEDEQIYGRQPSEFQQNEQNPDRYPPSEEGMEGYGEEQGRSEDEVMQDPWSQQQS DDGGFFGGGGDWGGGGGDWS
I206_06012	MSTGDLTLQPPSDGISSLTFSPDSTRLLVSSWDGTIQLHHLVAP PQPPAIFSHPAAVLTASFGSSPNIAFSGGLDKRVRQWDFETGQCRVLGKHDDTISSLV WSPEYNVLITTSWDSTLKVWDPSAEIPLRSTQTLPARAYSLSYAPKSSRLLVSMAHRH VFVYDVAKLAAADGEISPAQERESALKFLTRSVATMIDGKGWASGSIEGRIAVEYFDP ADQGSKYAFRAHRQNIDGVDCVYPINALAYHPTHNTFASGGSDGCISIWDHNAKKRMK LYSKYPTSISALAFSPDGNKLAIGASYEHDNAISKSEEQGRVMVLIKDTVMEDCKPKA K
I206_06013	MDEDSFTYEPRDKSGPMDIDSSYDTSRLDAFNLVGTSSSQDGHA RKRFHSELDRAIPFNPNHTSGFAHFTNPSSNSAPLLLAALNTPKKAPSYDPSQWYNTA PRTPAAASLATVDDIDMDSPARGLATPVKPAETPSKGTEAKVEDEKENEEDNDKELKD EQPRKFAKGAVTRTNRKREKAKKQQQRSEDGISIRHSDSSTPTYSKSEHHYNVHMTPP ALRHSEIPALLLGYLQFGVNASIVLFCLYLGVQFVLTVRRDVKDKMQEHSVEILQEIA ECTNLYLTNRCDPALRVPAMEAPCKAWDACMNRDPTMVGRINIIAETFAGVINSFVDP ISWKTMSFTLVTLSFLIILTNSALFNLRAKASHQEVPSSNNSFWPPQSQFMPQLPPHI PHPQQHMLPPQQHNYHAVDDRQENARQIGWTGEAKKKSWW
I206_06014	MSRLGKRHPDLLCCNFNQDFSCIAVGHKKGYTILNCDPFGKVHS KNDQGATGIVEMLFCTSLVALVGAADTQPSNSPRKLQIVNTKRQSTICELIFPTSVLS VKMNRKRLIVVLENEIYIYDISTMKLLHTIETGPNPNAVCALSSSSEHSYLAYPSPAP SASSAPLSSSAVPAAPPAPTTGDVLLFDTISLSALNVIQAHKTPIAALALNSTGTMLA TASDKGTVVRVFSVPDAKKLWQFRRGTQNAKIFSMNFNLASSLLAVSSDSSTIHIYKL ANSKGKGVGGSNNGGIVDIPEHVDGARSPTPSDAPSNSPPLSSAKLAGKDSAASSLGR RSYHIGKSFVGGVGGYLPRSVSEMWEPQRDFAFIKLRGSSARTVVAMSGTAPQVMVIS ADGLFQAYNIDLENGGECSLMKEFALLGSEDSVGNASLGM
I206_06015	MPPRATLPRSVQLPSNKLTWKPPKPHLASYHPPAPTASSSSTTY FVPPTSPQLPPRRKPIFNPGGFGLQSSPKPAPSPRSWKGKEKEIEEIQKKDDELRRDE ERLEGKESTKGKEEAEACYVPPPATLHFHSTNQLPLLYSPQPLPPFQIAYETWGKLNS ARDNAILLHTGLSASSHVASGGNDVSTSTSSKPGWWEDFVGSGKSIDTDKFFVICTNV LGGCFGSTGPSSPYPPGDGETRWATRFPMLSIHDMTRAQLDLLDYLKIDKLYASIGSS MGGMQSISMAYIAPERVGRVASISASGRSGLNGVGMRYAQRSVLMADPNWNRGFYYDG VPPHNGMKLARQIATITYRSGPEWEQRFGRQMLSEQEAALDSDGNPDVPRLSPDFLIE TYLDHQGERFCLTYDANSMIYLSKAMDLFDMSSTALTSLAKKFNTAYPNSNPFPYPSD PVGISVSLPRNENLLKFKENDKNEIEIKKKLKKFIPTSKSPHLSELSLGLKRLKDIPA LVLGVQSDVLFPVEQQRELADALKLTGNQNVTYYELGGVWGHDTFLLDVQNVGGAIRG FLH
I206_06016	MDQEYQDILEFAYGLAEKATDLILKGSAARWTKKEDIEEKKNSV DLVTETDQAVEEMIKAAVAEKYPSHKFIGEESYAAGDRPPLTDEFTWIVDPIDVLSPY THRTQLTDSPFVACSIGVAHKSKSVVGVIALPFLNQILSARLGGGAYMNKSTPLPLTG GIPQPLTDLSKCMIGAEWGSDRTEQTFAKKTASFARLAGDPTKGVQGGIMAHALRTTG STCCNVAAIAAGQLDVYWDAGCFPWDVCAGAIIVSETGGFFSGGKGAFEKAAGPGEIL MGRRYVLVRALPPSKTETTEQIQRRLVKELYETVEEWTNEDM
I206_06017	MMRNVISSRVSSALRTTETRAAIRPLAGPSVPLARTLVTKPLPT PRFRALVQCPRRLPTRGYAAEAGGKFSRKKPHFNIGTIGHVDHGKTTLTAAITKYLAE QGGGKFMDYSQIDKAPEEKARGITISTAHVEYETPNRHYAHIDCPGHADYIKNMITGA AQLDGAIIVVSATDGQMPQTREHLLLARQVGIKRLVVFINKVDQVDDPEMLELVEMEM RELLSEFGFEGEETPIVMGTALAALEGKDPERGANKIKELMEQADTWLELPPRDLEKP FLMYVEDVFSISGRGTVVTGKVERGVITKGSEVEIVGMGSPIKTTLTGIEMFHKELER GEAGDNMGALLRGIKREQVRRGQVLVQPGSIKSVKKFKAQLYILTKEEGGRYTPFMAN YRPQLFIRTTDVTVSLNFPEGTEGAHEKLVMPGDNVEMIGDLVHDIALEPGSRFTLRE GGKTIGTGIVNEIYE
I206_06018	MPDPLDPNLQKALTESQKIILISLKALTSQSSSSHSSSSSSIQS GLGDAFQQLLNQLRQSITVLGLSFNPPITIKAAIKELNKISENIGQLISCILISNLSS LLFEEWKFGIINIIEEVIKHIKVLENQDDYLSSTGKVWESIDNLLNDLSKDEQSALRK KWKIHQSTVKDAWSEFKEILENQGDSEDPLNDGWDELDLGGEELSEDERKRAEAAKPL LALHQILHATIPRFINQLTEDQYRPILAISTNFVDAYDDAVSSMHPGQDEPEIEEALI QLEDISRQLAGKIQDPSVDKWVERLEIEQKKWEERRLDLKTLKNAL
I206_06019	MPLIEEEDFDFPLAGPSSLLQAPPSSATRPFSPIPDANLPTDFG DLLDGLAADDLDDFGDIDAEDIRNDKSFEEAHPNPAEFDDSLVGETPNLVQIPTMVGF ATGRGTKLKPPSKESIARASKLWAGEEEEEGKPDQVQPTKKPRLSDPSEDDFDLNIEE EEMIDFPMDFVGFKTGRNKIVAPPKAESLQRASKLFNEIDQALAIEEAQPSSSIPTSS FQKAILQTPTNIHKSEFPPTGGEGLFAAASLQGTQRTARPLDDVDEHNDIQPSSSFPN APELPRGSGFALASGSAAPRLSHGSVKQALNILNVKEDAYHSRPSLQSESAFRTASSR PTTTYHDSSTSGSRDCTGETENEKPISGFKSASGKQVAAINATSRAAVANLFNDLDTN MVTPSRPRPQEAAHSAARNNASRTTSFMRPRSTQGSNPPSTPLRTPTSNPASLARRPI QIKTPSGPIRRIGLGSTPGQRQAKKGFNTPFKTGGGTSYFPPIPVQDPGASMQREVSH YQPIFDLESIPDRRNYKAAFLHPQYCSKDELKEMGIPDDIFAINLETSPRYSFLGDNA ISLGPEAALDALHADGGEFAKASWVVNHWSQILWKLAGQVQAKPTLFSEKWDWDEVIR QLKYRYEREYGCAERSVIKRIQEHDSPAALPMVLVISAVHHTEAEDKKSMDYLLELTD GWYRIKAHIDECLSQAVLKGRIAVGRKIAVSGAKLESSNDGTDVLEALNNSRLIISGN STSFARWTAKLGKQPRPFIASLSSLSADGGIISLMDVILEKVFPIAYMGKGESPWGEE EEQIRQDAWINRYEGEKTRLADKTRKELERMEDLASLLAQSAEESESVNPDPPDSLEN DYERLLESRDALGCLRSMNTHQIVHLAGYANIRISQEMQEKQFEMESELASICPRREA RDFRMIRFVDAQTGNRDPFRVGMLNVWDVKAMGEGVLEEGKRYLVSNLFPGKTGDWST NRNRSDKAEVYLHTRRDTRWQPVE
I206_06020	MSYSSFEVTNLFSVKGKVVVVTGGGTGIGRAITTALAINGAKVY IIGRRLETLQNTADELSTAASKNDGQVIPLQGDILTKEGIHAVSEHLIKIVDKVDYLI NNAGVGTNYKIQAEKVRSKNALEKKLGSIETSDFTDMATNYISAPWQLSIALLPLLRK SKDPVITNISSLAALITKPDIIHPAYGSAKAGESHLTRLLAANLIPFKIRVNSLSPGI FKSQATTGSSDPNTPVVSFLDSFVKSFPAGREGTWEELAGIALLLATPAGAHVNGIDI VIDGGAKLVFA
I206_06021	MSLQSFNINNLFGVQGKEEVLVFVIGRRLNIVEDSAKEINIAAK ESGLSGECIALEGDVGTKQGVVNVYEKVSKLTDRLDYLVNNAGYSGGWRVSSNGNHDH EGLEKMLWSIEDLDFANMTAIHVAGPYLLAVKFIPLFKKSKDACVTNITSLAAYFLNS VFPNSRFIFVAETHLTELMAAGLLPFNIRVNSIAPVGLFKSQLTTGTSDRDAPLVPLG QDQIKNIPKGREGLWEEIAGTALMLSSPAGSYINAATIIIDGGWRLLSSAKDI
I206_06022	MSTSVIPPTDGTAGPSNGLPPLADLVRRSTKRTRIVYGVEGNSI DDGLAKANKIKLASKLAAEYRDVQTLPPILASQQGPAGPKRPAASANGPSAPGPQAGQ KLIGGPEDSQSSSNGSIPAAEPRSLVKFRHQQGFAAEGGQASSRLSQALMRKKEAREV KPEYHPQWKLTRVISGHMGWVRAVAVDPGNQWFATGAGDRVVKIWDLASGELKLSLTG HISTVRGLAVSDRHPYLFSCAEDKMVKCWDLETNKVIRHYHGHFSGVYSLSVHPTLDV LVTAGRDASVRVWDMRSRANIFTLTGHTSTVADVKTQDSDPQIISGSMDSTVRLWDLA AGKCMTTLTHHKKSVRALAIHPTEYSFASASSGGNNIKKWKCPEGTFVHNFVGHEAII NTMSVNSEGVLFSGADNGSLTLWDYKTGLPFQHLKDIPQPGSLDAEAGVFCSTFDKTG TRLITGGADKTIKVYSEQA
I206_06023	MDSYQTPLSSRYASKEMSKLFSSGTRFGTWRKLWLNLAIAEKEL GLEISDKAIEQMKANLDLDEAQMKVAAEEEKKRRHDVMAHVHTFGTVAPEAAGIIHLG ATSCYVTDNADLIFLREGLDILLPKLAVVISRFSSFAEKYRDLPTLGFTHFQPAQLTT VGKRATLWIQELLWDLRNLERARNDLGFRGVKGTTGTQASFLTLFNGDHGKVEALDKR VTELFGFPYAYPVTGQTYSRKIDADVLGPMSSFGATVHKIATDIRLLANLKEIEEPFE KDQIGSSAMAYKRNPMRCERACSLARHLMAIYQNTLMTSSVQWLERTLDDSANRRVTI PEAFLTADILLTTLQNISEGLVVYPKVIERRISQELPFMATENIIMAIVKSGGDRQEC HEKIRVLSHQAGSVVKEQGGENDLIDRIKNEQYFKPIWNQLSELLDPTTFVGRAPEQV DGFVKDWVKPALEKYQDSLKNVKTAELSV
I206_06024	MVKAVVCGAAGGIGQPLSLLLKLNPIITELALYDVVNAVGVAAD LSHIPTPAQVTGYLSPDNGAEKALKGADIVVIPAGVPRKPGMTRDDLFVSVNAGICAT LAQAIANAAPKAFILVISNPVNSTVPVFAETLKKAGVFDPKKLLGVSHLDVIRASTFV ASVLGKPTEAKEYTIPVVGGHSGATILPLLSQTKPAIPDILADKEKRDALVNRIQFGG DEVVKAKDGAGSATLSMAQAGAEFANYVIDAAFGGKKGKIVQAYINLSADAGGDAIKK EIGADLDYFSVNIELGPSGVEKILPIGELDDVEKGLLEAAVKELGPSIEKGNTFQPAP PKL
I206_06025	MADSIDFGFTYPSNLSSSPKNHGSSSDVLLQHHGLGLTDFQLDS FNLSNSPEHPQGQDGRPNAWAPVDIFNGGFNAMNLDTSPVDISNSFEAFNTVTPSFTP IMDYTPLQSFQTTPHMLSHASSCSSLASISSSISQQHDDGRLLVQTFPTEMSTSDYIP IEHSGVPTSTIPSIPPFDEKLFTNQPLPMMDMSMHSLHQSNDQSLMFGNNQGIQPTEL FQQQPPRLAIRTQNLPDMGSMNTGLGKMNRERSSSRSTPYNRYRSDSVSVKSEADDEI ATMLSASTTYSNAPWSAIATFPANGGIGKNTLHHRRTSSNMSFNTPTNASPIRPMLSR NRRSTSFILAKQSSHSDFQTQLQSIGITLSGSALERQETVRKDLIEKSVEIRRIASQI QQDKARNLWVRRWLMLSYCQAPGRTVPRQGLYHSYTVSCDEYGLKPINSASFGKAVRA AFPGIKTRRLGVRGNSKYHYVSIQPAIKIEAERLNGYGDSSGAWHVIPEDGSMDFRAE SQEIDEDMDEEDLEDSEEEDDPFSTSTIKRSPSSYDLRQFTRATSGNRSRATSINDSF SIRPRFPRRHTTAALSGSLIPQRVPKMSSPTPVYNLPGFPTIADAGHLAGDQSLQDFW NSFCHHQEILMDSMRCTQLDQYELNCRTFWAGLSHRSYQITSQSKVSSMISDAMAVTY DNMIGILLSKLGNNLNMTSQNALRALAESLEIVMEESLAPFSAEFKEAKIELAVRSAH LFARFLDLRQITFALEPILSDPTQTRGMIAAWNGLDIRSVSDQCALSCSCEQDVLEQV LADFGQWLTESQMPQNRNKGVERLSGWIDRVLIQVLAIPGITMRAIVCRVGFITSQIM RDFTLKSEKSFGLFQLLKTFIDDYVSITSLRQTALSSQSVEPRLENLSTDFTPQHSNR SSLSSMNVFIPSPNGLTSSTSSLNDLSTAGPSFMADITNDNFNSGQAQYLSIPMEGIE NGDNMITPRPFEKNDYSENIESNLNLTPYSS
I206_06026	MTTEEVYPGPRGFVVTHQRNVLDIDFQGIITASAFLTVEPTNPA LRTIYLNASPLLQINNVTLSSPTPVEPFLPTPGSFTLSNSLQPLPIRDPPLDIKSHPE IKRKTWSAMGESDEGELAISVSGGWVRLVENQNSLVAFAPIQVQIDYQLVLGGDVVEG IVFKRPGDADDDSQIPHMFLSPTTYDAARIWTPCVDSLWERCTWELEFIVPRYLEGGE PEPNEEAYPVMVVSSGELMEQVTHPHDPNKVIFYYLQTNPTSVQHIAFAAGPFEMHCC SADQSHKPILAFCLPGELKKLQHSTSWLPKAMTFYAEMGSYPFTDFKAVFVDNPRTEC STTATLAILSSDLLHPPEIIEQAVEIRQVLSLALIQQWVGVNIIQRTLADTWLINGLA LYIHAQFIRHLLGNNEYRFRMKKDIDRCVQQDQGSQWPLCVPGIIDPPDANTTAFINL KAPLVLHILDRYIAKTGTSLGLSQVIPRIFVASLSDELQGNSLSTQYFFRQCRKVSGL DLQTFQDQWIFGSGCPKLSIGTNFIKKKLIVEFTVTQSQPANDFIQRLGEKMRKPAIW RRPTQFFEGSLTVRIHEADGAPFEHLVDIKTPSKTFPLPFNTKYKRTRRSGHIAARFS KMQDALAAGETNDEEDEARLQAADRAGVFAYPPWEDEEERHRWKVAEWGENDADALMG EVGGYEWIRIDPECEWLASIEYNEKPWCWISQLQGDRDVIAQLEAINRMRAYPSPVIA SELARTVLVKNYYYRVRMEAARALAVYNVSECDYIGYFLVLKLFQTLYCHLPSDPDAE APDMKCRPLPNDFSDFSDYFVKKSLIAAMPDLRDMATRTVWKNVRKILLDLLKLNDNT GNTYSDSYYLAAVITAIGNAFTFGSNQQSLNENEREAEMVLLKESTDALDRVMTMDRL VPSYHNLITKAGLQTHIKSILAGQRTNDARLLLSYTREGNFEPIRLVAFDGLLLCKPP GRTLAVDRYLNEVIRSDNSLAVRRHVARGLSESILMTLALGEIQVPQPGVVEDETEDG RERRQETQIAAIVKAVRKDFSKRPDIKSILQDSLIFTLPDHEIRMALLKAAEIISGST AEPLPGTMITLQTPTVETAPPLPTPKIRISMGSSELRPDAQGYGFPVTEGQVIPPTAA TTPLRITLNPNGNIPQPAQKKKEKVLKQQRRGLSDNDFKAIAIALQKLTGHKSSHWFR QPVDAVRDNAADYAAIIKHPMDLQTISAKLDNGMYANRQEFVVDVKLIISNCFTYNAN TASPVRKAGEVFEKFFNSLWAKTEHTLNSNAIAAHQAAQIPRPVPAVPAQTVTAPPLP EPTLPAPKSTAVKFKVKAPKSVTIDTTVNEHIPPMAPPPLPSSKRTTPASTKSPDRPP VPTFPTAEPIKTSKKRKEPSKSRNELDDILGAEIDEIEKRRPSLNDGLDNLLPTKSES PKPPAAKKIKIPNSTPSSSYQPRNKSPEKPPITLTETKPKIKISASTSTQTPTPPLPA NSTFPSAVETKPNIKKAKLKGVSVTVPPEGKKGSSMERSTASPAPSKSGSTSSAQKTA LVQVQPPIGDSIAYVPTKQSPPADLPLTVQNTASMKFKRAKALIALLSKEPYAVFFLK AVDPIADGCPTYLQEIKQPMDFGTINKKLDARKYRNLGQFARDIELVFANCRQFNPPG EITQCADAVEAVYWREWPKVASSKMTSDERKAMGSLINQAIKNPLSEWFRTAVDPVAL GIPQYFEIIPPDDARDLNLIKTKFDKGQYREAKHIDEDVELMLENARVFNGDGPVVDA ANALGKWWTQQRLKMD
I206_06027	MADQDVSSAPRKPPTSQRALASGAISGLAVDFMFFPLDTIKTRI QSSAGFWQSGGFKGVYRGVGSVGMGSAPGAAAFFVTYEALKEKLPQIQFFKENQAINH MVAASGAEYVSCLIRVPTEVVKSRTQTGAYGQGKSSIHSAISTMKFEGIRGFYRGFGI TIARELPFTSIQFPLYEGLKSQLSKRYLDGRRPTSYEAAICGSIAGGIAAALTTPLDV VKTRVMLEARTTTTLPANGVPLPNQPSPSILSFPPRLMSILQNEGPSALFRGWQPRCF AISLGGAVFLGIYDLAINFGMEGTTNTENEKKLL
I206_06028	MTRFQVFRPLCSVGRSSSFISNKRMASNFAKFDWEDPLNMNSLL TEEEQQIHETAKSYCQEKLQPRVLEAYRKEDFDPKILKEMGSLGLLGATINGYGCAGV SSVSYGLIAREVERVDSGYRSAMSVQSSLVMHPINEFGSEEQKEKYLPKLAVGDMIGC FGLTEPNHGSDPSSMETTATKTKEGWTLNGSKTWISNAPVADLFVIWARVVENGEKGK VKGFLVEKDTPGLSAPAIKNKLALRASITGSVFMEDVKIPSDAILPKSGGLGSPFSCL NNARYGISWGVMGALEDCIARSREYALERKQFNRPLASFQLVQKKLSDASSSFTLGLL GSLQLGRLKDKKLWSPDMVSMMKRNNCGEALKHSRILLDILGGNACSDE
I206_06029	MGALLSIPLLTGGLGAIGSSLFSGCMIFMGGTAASAFCKSCNCN SSIATRVGFGLIFALSSMLAYLSRTDIAIKQLEKLSWDWIKMDCSGGKCYGLLAVHRF CFALALFHLLLSATLIGVRTTKTKRAAIQNGWWGPKILLYFLLSFLSFLIPNEFFMFY GSYIAPIGAFLFILIGLVLLVDFAHTWSETCLDNWERGESSLWQFILVGSTFGMFAAS ITLTTLLYVFFAGSGCGTNTFFITFNLILSLIVTVIAISHPVQEANPKSGLTQASMVA AYCTYLTASAVVNHNEKGHCNPLHASGGTKTTTVIVGALFTFLAIAYSTSRAATQSKA LVGKGHRAGAIALPNDASEENGDGEVRLVTNQPKGRRDEMRYQAILAAVNAGSLPASV LDEPEDDDDEIEATIGEERDDERGGTKYNYSWFHIIFVMAAMYVAGLLTDWAIISTSP VSHPADPLSSLDSAEPDVYIGRSETTMWMRVISSWLCYALYSWSLLAPVLMPDRFGDA 
I206_06030	MTPVAVPTLEDLSITEKEKKPDVVDNDEEEGDDEDDIEGDEAPG AGDAKKKKKKKKSKKKKSATVTQSEPPRVGLSKIFKNGVYPAGQEVEYKNDTTSRITS AEMREKERLAQDDPSTRYQNIRKAGEVHRQVRAYAQKNIKPGMKMIDIADMIENGTRA LVEENGFDSGIGFPTGLSVNEVAAHYTPNPGDTKVLNNGDVMKVDFGVHVNGRIVDSA FTMNFGDPAWDKLLEAVKDATNTGISEAGIDVRLCDVGEAIQEVMESYEVEVNGKTYP VKSISNLNGHSIAPYSIHGGVGEKPGKSVPIVKQHGSNIDTQKMEEGEYFAIETFGSN GKGRVDEQGACSHYALSQHAPERYTGHHQSAKTLLASIRRNFGSLPFCRRYLEHVGEK NYLLALNTLVKENIVLDYPPLVDPQPGAMTAQFEHTILLRPTCKEVVSRGDDY
I206_06031	MAVPTWDNARRHARALETALDSKLSTYSKLAATIARGGKELESE EEGDGIGGYKLVEEEIEELLGKLEQAIDDLSALINSPSQPPSASMQHAAQRHRDNFDD YKRDFIRTKGNVEQSIRRSNLLGSVRKDINDYKTATSSQTDALLQDRGRIDSSHRMMD DTLNQAYATREDFAQQRTFLASIDSRMGGVISQMPGINSLITAIRTRRRRDTLILGCV VGFCVILLLGYTFGF
I206_06032	MLSRKTILSTIALGLFGIAHVSATALTAMLGANERSCYYADVDG VGEKVGFYFAVQSGGNFEIDYVLMDPDDKVILEGVAEKQGDYIFTANKVGEYSFCFEN EAYTSDKLLDFDIMVESEPRRILSSTQQPLKEHTSSLEESTYKISGLLSSITRTQKYF HTRHHRNYSTVLSTQSRIFWFTVLECIIIVAMSL
I206_06033	MSVAQAGPSHTPTLTQTPSYSQQPQGDNKRRAEVDADSVRRLKH PRPPLPPPHVLQALVPDSPAFTELLKLEQKLDWTLLRKKAEINDTLGKPTRVKRTLRI FISNTAHDQPWQKPQSQSSSEQTPSTNAPAETAEDATASIEEKKETDGDVTMNESAQP PVKEETKVETLDEGVDVNTGKGIAGWVMKIEGRLLDVGNTRLDRTKRKFSTFLKSVIV EFDNREAPTFPEGNIVEWHAQNVTPPLDGFEILRRGDQNVNARIIIHLNHSPDRFKVL QPLADLISIKEGTRSEIINGVWKLIKVCGAQDKDDGTIIKAIGGLEKIFPQGTETIAF HQIPEMATRYLTHPDPIVIPYTIQVDKDFNFHPKCFDIPFEVEDPLKSKMSSLVQSFE GKEGKEIVQLEDKVGELAYFARDIKQKRDFLESFAANPQSFIQNWLAVQARDLDQMLG YQIGTPGLNGGNIREEDLRRSDLFSLPWVDEAVTVHESARMEQERRLKASQSQTNHR
I206_06034	MAKGSSSKLQQKTRLPHPPVEVEDDYLEDDSEVDEFADDYDSPP EGEGEDDGQSVDQQTDEEEGTARWEADDWNENDETDSEDSQSGSSSGSEDEEDMQLKK LQNDLTSLPLSTLAKAQKTLASPKQRSSSSSSSTSSTKEERVAAIKAKLAQLQKGKGK AITVDPYSQSSARNGTASEDENSSDEDSGPEMGSTKRGSKHAPVALSTKKQVSRNRQV VDIHRPDRRDPRFSSVSAGNLDAHLHNASYNFLPSMLKEEMKNLKISLKEAIKIENNC PLFEKSLRILEREKIELNLSKIRTKLVKYENEERERNVLSKINKEERIKRKQGKGSWF MKKSEKKDLLLKSKFESLEQKGGKSAVKKVLEKKRKKLASKEKKSRPFAKGSNSNPNG GGNGGGGGEGGQRKRQRV
I206_06035	MRVDRCDFSGYKVYPSRGKVYVRGDSKTFRFLNHKSESLFLQRK NPRKIAWTQVYRRMHKKGITEEVAKKRSRKNVKVQRGIVGADLASILAKRTAKPEVRA AARAAAITKAKTEKRDKETAKVANRGQSNAPKVSKQAMKGGKGGR
I206_06036	MSNKAGPSSISQGSLLDLKAITAEHVDKFAKEGKKAIKGQPRNR NLEKSKDPFDKPSPGLVKRLAAEARNDAKARRFVDEDGPNEDQRKAILKAKAKKYEAI RKGDFTGMSKKEMEEAVIDFEQKMEEDGYSSHSSDEDESARPARPRWHNDDEEEDHEE HEDDLAKVEYIDEMGRTRIGTRKEAKEAELSKRSDRGRREAREAAEDDKDGSAYAEVL QSNVIHGDQNFFPVYEPDADALKKKYLEAEEEARAHHYDSTKEVRVKGAGQYQFSLDE TTRADQMASLASQRNETEKARSAVAQRGLSVAQEARKRKADERKALIDAKRAKMLGGQ HEVERLKEEKKRKEADDFLKGLEDELKTEVVKKEFA
I206_06037	MSIVWCGLLFLVSLRFDLVWFGLVSTAQSMARMIIYNTYIAFSA FGQVVDCIVMKDRETGRSRGFGFVTFNNASDAENAIASMNEQEIDGRRVRVNLANTRA PGGGGGGGGYGGGYNSGYGGGQGGGYGGGQGGYGGGQGGYGGGQGGYGGGQGGYGGQQ GGYQGGQGGYGGQQQGGYQGGAQSGYGGQQAYGGQSGGYGGAQGGYGGAQGGYGGEQG AGGAAQGGYGGQY
I206_06038	MAQTYTYILSEGANVIAPRPTTGIVLPTSIMNDGGMTIKPSSIL STTSVEYGSTKSEMIITRPANLPLPTTYSSSTIQSQSNSLGDQSKDKKLNSNSNSNMN YNHTTLIIIIILSFLSIFSILLWYFKKSKTKKLLLNKMNDLESTFKEKKRNSISKIMI NNNNRKSWIKLNEEICNIQEKEEIDIQVNQSQLHQVINGDQHIEVNDYNDIERQSHTH GNLSTTICNSTNEFIGNDKRNSTINHFPIPPSNIPDLPPLPPTPSTNTDTNMQHISKD IFQSNEYQINQVLSPEIGIATTNQIPASSSKSAITINDYPKIKLNGSSEKSLTKLENN YDESDSISQNRQSLPYVLPLQEAERTPTFISLSQLNQQAENNKQVSTSEYRSPTESMY VIYKERNTIYQVKQ
I206_06039	MANDFITTIDSDDEAPQFGESSIQKGKTPTKNDDLDPDFEFDFA GGRETGLDLWGGDEVTGFKNGAEPINVDDIIARRRGKPLESYNDRKRKRKAAKEEELE EGSEEDAEEKEDEDENMDEEQSEVELSGSEEDEEMSAKDSDLELGTDDEQAEDEEAES EDDDEEEYEEEAGSSDEEDEETAAELARKEAFFSKDPSLTDDPTLPSTFAAMNLSRPL LRALTSLQLNTPTPIQARAIPLALQGRDILGSAVTGSGKTAAFMIPLLERLCYRDRGK GGQACRVLILCPTRELAVQCEAVGKALTEKGGLDVRFALLVGGLSLNAQAHTLRTLPD VLIATPGRLIDHLTNTPSFTLSALDVLVIDEADRMLEAGFTDELEEIIKACPRSRQTM LFSATMTDSVDELVKLSLDKPIRVFVDPKRNTAKGLIQEFVRIRSDETRSPSLLALCK RTVREKCIIFFRSKALAHQMRIVFGLNGLKAAELHGNLTQEQRLQALNDFKAGSVDYL LATDLASRGLDIKGVETVINYDMPGQLSQYTHRVGRTARAGRNGRSISLVGEADRKML KAAIKQSEADQIRHRIIPPEAVNAMLDKLNEIKDEIEEVLVEEKEEKLMRQADMELKK GQNMVEHHDEIHSRPARTWFQSEKEKEKSKSASKEAYVGSFPNAAANGSNGKDLNKDG KKEPKRGKYDGLSRKAKRRKMAIEEDQADQQSSKSTSLAIRNAKKAARPVKITESLPK TKSTSKKSSTKIGAGNKRKSAFDDNKPSKKHEGMRAKQTKVNLDKKGKSGKGKSKGKK 
I206_06040	MVAQASSSSTARNKLVIRRLPPTLPEELFWKSVSGWIDDKTCLW KSYIQGKAADGSYDAHSIHSRAYVLMSNPEAIVEFVKEFDGHVYKAKTGAEYRAVIEF APVQKTPYKAKVKVDARQGTIDDDPDYLSYLESLKAEPAKPALEVSAPVSQPTSTPLL DHLRSQGKSKKSKSSKAAASSASANEGARRAAALASVTAAATKRAAQAGSGPIMVAGK GREVHIATSNPETGSSVQGEGEGKKKNKNRKKGKKDGDPTEKSKPNAESGQNSQIVKP QAVNKPLVQSLNQKQDGGKPTPKNGEGNAGPKPDGGKKGGKGAGKGGEATAKASEGAR GGGTGGGGGRGKGKERTGKAQVMEILTRNSAATGSSSRGGRGGGTAGKTPSVGRGGPV QPDAGASRARIDVP
I206_06041	MAATNGHTRTLKPGVWAPIPTFYDDEENLDLDTFRKHVVKLAKV GMQPVICGSMGEGFHLSDDERFTLFKETRKALDEAGLHDTVFIAGTGGNSTRQTIRVS KLAAEAGADIAIVIPPGYYAGALPKPALKQFFLDVQEASPIPVMIYNFPGAAGGIDMD SDLINSIALEGSNICGVKLTCGAVGKLTRITGKTSIFENGEKEKDSSNSKSFSTKYPR KNKNLAPEFLTLGGFADFMAPAIIGGKGNGAIMGLGNIYPRSLVKLFELCEKIVNSTE PSSEDLKKALNLQDLVSEADASFTRGGIAGTKYFLQKNNGHPNRRMRRPILDFTDEAG NSLEKEDGVTGLLAYEKALEKESQ
I206_06042	MVYHPSTLIPKPSKLSISPSKLNINTNMKPPEYTPISPIDGLRD KSGENLIEVLKESDTVFFNFTFLSTREALQELDDGLSEKHDLRDMIDTVPNCEQDLTA EIIVKTLAGGINRRIDGKA
I206_06043	MSTYSQTSSNHPHADPEQFYVKQNRIGKGSFGEVYKGYDRRTSL PVAIKIIDLESAEDEIDDIQQEIQILGQLDSEFVTRYHGSYLKGSHLWIIMEYCSGGS CSDLMKAGIFREEYIAILARELLRGLEYLHEEGKLHRDIKAANILLTANGEVKLADFG VSGQLTATMTKKNTFVGTPYWMSPEVIKQSGYDHKADIWSLGITCIEMAMGEPPYADL HPMKVLFLIPKNPPPQLDETKFSKTFRDFVSLCLQRDPRMRPTAKDLLKHKFIRTARK ASYLTELIERHEKWKAEGGAKNGEDGNRGDGMSNEHGYGPAQDALWDFGTVRNALPPQ PGTVSRSTGRQIPTGSGRPVSATPTKPDLPPSMNGRALPTVPPSASQATIVRSEPEPA FQTIRNALPQGPTPHSHQQQQPHQQSQQYVQQVPEHENDDDVMLEGVIIPAINNLATR VPNDHAREALARLRQAFIEAERSIPGVTSAFVLEIVESVEPVEDH
I206_06044	MGQSNSIPTNLPEIAFHCLRVADSSPTDGLIEPYFDYLIGISSK TKQNSNDLFNLINNEIGYKKEIGLSKIIEENENNLIELKVYNAKSQKIRANDEINTLS NTNNNTNNKPKPSLLGLSLRICNPANALESVYHVLDVLEGSPAEMAGLVPWGDYVLAW SGGPLHSENDFYNLIEAHVDKPLRLFVYNSDLDNLREVILYPTRQWGGEGLIGCGIGY GLLHRIPRPSTPPNEPVDGYFNQDQNRISDSSRQNEVIAS
I206_06045	MNPSSTCYTIVHDDLIDAPSSQELRNALQKGSDEVKLETMRRII VSTLNGQGHPSLLMPIIQYIMPSRNKQLKKMLHFYWEICPKLDDNGKLKQEMILVVNA IRNDLQHPNEYIRGATLRFLQKIRESELLEPLVPTVRSCLEHRHSFVRKNAVFATYTI YQDHEHLIPDAPELLDTFLAAESDSSCKRNAFVTLCNISQPTAVRYLLNNFDQISGMD EGMQMAVIELVRKEAKTEGGHRAKWIRCIFELLNADSHAVKYEAATSLTTLTQNPAAV KAAAAALAELIIKEADNNVKLIVLDRFDNLRAKHDHVLDPMVMDILKVLSSPDMEVKR KALGIALEMVTSRNVEDVVLFLKKQLQENETIADFAAQNLEYRQLLIQSIHSCAIKFS EVASNVVHVLMDFLGDSNNPSAVDVISFVREVVERFPDLRPAITEKLVSTFSDIKSGK VFRGAMWIVGEYATGPADIKRAIQEIRKVLGEIPILASEQRLLDEAEAADENAPEKEE APKAVTTTRVLADGTYATETVYTSTAQAARLEQVRAATKPPLRALILGGDFFTASVLA ATLTKLVLRFSEAQSDSQSINTLRAEAILIMTSIIRVGQSKFVAVPIDEDSQERIMNC IETLAELQSSKILQDVFLHDTKAAYAKMVATEEKKALEKKERESKTATVQADDLISFR QLSKKSTLGDVDDFDDLVAATGAAEVQDDFVSKLSRISQLTGFSDPVYAETVVTLSQY DIILDVLLVNTTNETMQNLTVDFATLGDLKLVERPAAVTLAPHGFHSLTATVKVSSTE TGVIFGAITYSKQGASDSDVTIVMSDIHVDIMSFIKPNYVNEAQFRSMWTEFEWENKV AVQTSISDLRAYLDHLLKSTHMALLTPEAALSGDCDFLSANLAAKSLFGEDALANASI ERTEDGSITGHVRIRSKTQGIALSLGDKITLSQKALK
I206_06046	MATPTTNVVRWSALIGGIFYGIVHQSTVQSLYDEKKAAHAVSHR AHLIEEAKKAYAAKKSEKTSGSSLITDPEDPKFDLEKLVESWTKDS
I206_06047	MAPSEPIEIEDDVSNAGDDSQVINEEYKIWKKNTPFLYDTVITH ALTWPSLTCQWLPDQTTPPDADYTVHRMIIGTHTSGQSNDHLIIAEVLLPKGGLENAG KEVAEMYDEDKQEIGSYTKSPARIRAIQTINHEGEINRARYMPQNPELIATKAVSGDV LVFDRTKHESKANPNGECRPDIRLKGQTKEGYGLAWSTVKEGHILSASEDTTVAHWDI QGYQKKDPVLQPLRLYKGHSACVGDVDWHPKNDYMFASVGDDRKIMLWDTRSDNANKP SSSVEGHGAEINSVAFAPSSDYLFLTGSSDNTIALWDIRKPQSKLHSFEGHTDDVLQL SWSPFSPTLFASASADRRVHIWNLDLIGSEQTPDDAEDGSPELLFVHGGHTSKVSDIS WNKNSNWHLATTSEDNILQVWEPSRHVRTPGEGDVDIMDLE
I206_06048	MISNNCDPGPIPQFLSTILSQRIDSSNNNNHNNNNNNIIKNNSN NSSPIPNFSLNNLQQNQSLPLNSLYLPPPTLPNVEEDLVPPENFSLVSKGVYRSGFPK KRNFEFMKTLRLKTVLTLVLEDYPEANLEWCQSQDIQFMQFGIPGNKEPFDNIPEDVI CSALVAIMDKRNHPILIHCNKGKHRTGCLIGCIRRIQSWTLTSIFDEYRRFSSPKSRA VDQQFIDLFDLIQVWNQIIEKGGGLNNLPNWEMLNIPKRINHNYLIIKKKEEENEK
I206_06049	MAPKGVHLDLQPLRPEDIPDPSSEEFDVFLNDHFDMGIKLADSM SRWNNHSIKHDGTVKILNLTTSSTYNDIRKSLGGLKEYWCGRESFHSANSHIEKISTD GSTSIPPNKRNSGSYKSVNIIRRLSRHTEEKPHQPQNNGEVVDGSALVGAGEGVPTRI PSESERQALFMSSTPNGIYERFRRGLLEYHSENEREYIESMRESECIQIYRKHIAEVW RLTFKTPPPTNPRTFLVLLLSRELTNDNTPKGERSFMNISIPFEHPNCPPKLNNEKNR VRGKYVSVERVREVNKGLDVEWRMATSSDAGGNIPRFVTNGSLPNSIAEDVPSFISWM QKRFPVGGEVTSKTVN
I206_06050	MYNPKALIVTLLFSLALVLAAKSTDQLQIGIKYKPEECPIKSRK GDKLSMHYTGTLAKDGSKFDSSLDRNQPFEFTLGAGQVIKGWDQGLLDMCISEKRKLT IPHELAYGERGHPPVIPPQSTLIFEVELLGIKNRFVDEL
I206_06051	MDHEGTELVEAYDYLFKFIVIGEAGTGKSCLLYHCIHEQFKENS AHTIGVEFSSRTLRIGDRNIKLQLWDTAGQERFRSVTRSYYRGAAGAILVYDITSRQS FINLGKWLTDCRALASPHLVMVLVGNKLDKEEDREVEYAEGSRWAQENGLLFVEVSSL TGTNVSTPFLLAGRTILSAIDAGTLDPDSAGTGISYGERQLRAVGSSSRLSAAFGGSV RKKRRRDSVSVRDMVGGGQRCSC
I206_06052	MSSSTSKPRGGGASGDRISNPANIKEKIPLSTHLIAGGIAGLAE ATVCHPLDTIKVRMQLSKSRKLKGLKPLGFFATGRQIAARETPLGLYKGLGAVISGIV PKMAIRFASFETYKGWLSNSDGKISPSSTFLAGLGAGATEAIAVVTPMEVIKIRLQAQ QHSLADPLDIPRYRNAAHAAFTIIKEEGFQTLYRGVSLTALRQATNQGVNFTAYQQFK KFAFDFQPKYKELNNLPSWQTMILGLISGAMGPFSNAPIDTIKTRIQKASHVPGETSM SRFLKVSSEMFKNEGAKAFYKGITPRVLRVAPGQAIVFTVYERVKKWIDYTKGSNIGA EYEE
I206_06053	MSHHTSARSSLSSSPVQSPAEETTTLLPPTAPAHPHAHHHSPNH PHTRKTSISTRSISGRSVAGSVRNYGAVAGRPGPSEQAPRVSKLGQRRILTTFPLQAT FFILTLLVFASFVLTLILLLNTVLDFSVKFLPYRGSGFTEFWISLIGSWIGLGGVLFF SHPSYLFFLTTLITLILHIPIIFLTLLSPSLKRNHTPLILAPLVLTASTLIFTLLANF LVRRAKKQESLRISRMLTEAAGRERASEESTARALVGVQGKGFWGRLVGFFGGVIGLI AGLTGLILVSLLVIDLSISAYDGSLPLPSTSSALIKVNPPGSQWPINIHLSCTTSNSS LPTIVYTSSSGIPGSLALLPTPLPPAPEGSQNPGRWLFDLEEQGKLGRVCTWDRPGYG FSDVLSNADLGSISDALWESLDNAGIIKDSKKEGLVMVGEGYGGLISRIFAARHPNNI HSFLHLDAQTASTYFSEPSTTQFISRLTSRLFPSLLTPLSINRLPSVLLRRSNSLSRI LASSHPLPSTIKLNENLRKSRLQETIGSQSINSKSFRILLESGYKYPSFKPSIILSSN KRMKNDEIWLEGQRVLAKEVTSEEGLIDWIKIDNVDHFVCESEQGKSICENSILKLIK IKSK
I206_06054	MYQIGPMLTSSSVYWAFGNRSYVSDPEYVKAVIRNYVYFKAQKG KSKSELSYKLEILERDFAQFGYAFRAIRQEYGLGPSRVLPQPQSSNPNNNLRRTQTAS TPSRTATVRNNNITRTHTTVGATTVRPAQPQNDDDLPPPPYASQDPEPAATLQLQERL AAEAEARGNLPQITSPLTTSSTTSTHYNTASSPATSPNRRPLNTGSTGVQEELQRIPS DPELARAWEESQLEEATRASLAHQVEQQELQEAMRLSMAEAEAQGACSSSSHYEGSSS TQNHPISTIPEDRSDEGPGHNAEMRHLVSGMEDMIIPGSYNSAVSTSTTGPISTTSDH PIPNILDEPVSNYSHQPPLSPQRTGLHIQSKNPFLSSNEKEQLRALEEAALTTPTSEH FRSPSTSFPGSSHTQYIAPTSPPLQTHRQSFSSFVESAAPHAENVPTQRTPPPIPSRE GSSYTHYTPPTNQHAVSSESSQQLYTPPSGPPPSHLRIVTPISPSTRPLPATPSDQTT RDEVSSPRIAFPQPIIGTSAQPYPSLSSPSGTLDSHNSLHQTLQSTPPSLPLRRKSTF IPLAGKEDALEMLRDYDTVLLVDDSTSMAGQRWSEARQALMEVSEIAARYDENGVDIY FLNSKRVGKGIRAAHEVEDLFRGLEPKGATPTGMRLESILREYMARLERSQLPSSPSI NGKTEGIIKPMNLIVVTDGVFAHPVLLRKRTMASDPTIDRNESLQKAPTDDPESVLIA CAKRLDRGEFPLYQVGIQFLQIGNDSEAREALQELDDGLVAAHGVRDIVDTVPYDGEE MSAGLIIKTLLGGINRRLDRRDSA
I206_06055	MSYQVNVPAGPGSISSASSVTADDDDRLSDWASSLGEARQTKSL FDETILPSPEAALEHDSNIWDFNLHETCEKLGLDMFGRIRLINLIRNAGLTKDQIQVL SSSDPLFQDDNLLIPVIPDDPLLQYDPDDSWSDDEESIVQQNPIQSNAGPSQPQTSTQ NSRESQLEAELEKARKDLASMRLLISKTVGAEDDGEPPVEGSQEGQSTERKGKGKAVE RDDDTHYFHSYEENDIHEIMLKDTVRTVSYARFILSNPKIFKDAIVMDVGCGTGILSM FAAKAGAKHVYAIEASGLAVKARENIRKNGFADSITVIQGKVEDIQLPVKEVNVIVSE WMGYMLLYESMLDSVLVARDRFLAPGGLMAPSQTRLVISAITGDRTWKERVAFWPSVY GFDLSTMQAPAFDEGLTEVVDKEEIVTSEAIVRDINSHNATIKSLDFHSSFILKSTSD SDVTVKAFLTHFDTFFNPISGEGSHVQPEEQVEILSFGDNEFENPVQPLQEREEGNQV SFTTGPRGKYTHWKQVVFLLRKHISLEPNQQIQGRFFCKKSPTNSRELDVEIHYRVID GDEASAEGSYDVQCYKVR
I206_06056	MSRLLRGFGTPKQSNDNKVGGSNSNNVTPNGSRPSPTTRRDSVL GEPLESPDLSQSQNNNNSIITASNNKAIPKTPKELVVEKEMLFGLENFGNTCYCNSVI QALYSCEAFKQFVESYPDSKPPLMALGPTPSQKDYNKSMSPILSTFSNGFNGGSQLSP QISKSNPFESPSLNTIASSPNVNNSSSGEKKSRSWTSLGRRPTNSGHGISSANLPTLG GLKEESTNQSPILDEKYSNWKAIEPDPNQSPPSVFQTIQTLFYHLTHSPPHQPLPKKE NKDSNSQAQTASLLPGAPPTPIEPTTDGSNKSPTTPGGGINSNVPQGPPLLASLPPPS AARGGGPWQAGKLGRGVVTPEDLLRTVKRENEMFRGMMQQDAHEFLGWLLNQIAEEIE LLDKHLKEVNQTINKGSGKTFIQSLFEGVLTNETRCLSCETTSERDELFLDLSIDIEQ HTSVTHCLRQFSASEMLCQKNKFYCDSCCGLQEAEKRMKIKKLPNILALHLKRFKYQE TTGRYAKLFYRVPFPTQLRLPNTTDDMENPDRLYELFSVVVHIGNGPHHGHYVTLVRS KGRWIMCDDENVEPIDDDDLFRYFGDYPSGAGYVLFYQAVDMDLASLGLKVPEPKTKT TQPVEAQVTQSRTVQALSQPRTELKEVKEHQLVDFSEEPTSIVPTAAVQPSVPAPALA PIESPKQVKKPPPPPPSRKSSTSISGGTITPTGSDSRKNSIPTPRRESVSTPPVQHIV NGNGFIQPQRETQPIPDRQLGFDASPSTVSPTPTRRISGATPPPPPISRGISKSISNG SVLANQTQAQNQTPTKSTTASSRPSTAGSTTFSGLGVNVPNSSAGSNAESVQGIPNGS STAMSSSIISTSTSSSSGIPTGNSSNVLAKPIPPSQIQSSSIGTGTGNLQPSSMSSSI SSNNFSVLGRKPSTTNGGSIGGRDRTISTSSQISNSSGNGNGKEYSGGGSLGRKLSGM SGKLGRSGSMAFGKLGIGKNKDKGDRIGE
I206_06057	MSSSTFTRSLVRSASSSNKQKCISSISINRIPLTSQINCRSMTK SELTQNIQKRSFFSLPDITKLVNLVPGTQSNGNSIEVTSDSGVISGIEVDGEEQRFHA RKILPYSQIQLYSLVSNVPSYSSFIPFCNSSNVLLKSSSGQTKSKDLNWKPGKEPFEV LAELSVGFGGLEERYISKVVGIPFESVTATASSQTPLFKSLITRWSFQSAFQNSPHPS NSLKSIKDSNSNLKEDPNIGPTLLTIDLNFNFANPLHRIASQAVLPKVADKMIQAFEK RCLEVYGKGIQ
I206_06058	MEQQAIVSSVISDPTGRDQSILSFSKDTDLLTNSVNLLSNGHPA IQSMASHQSTPKLINFDDQQIPDSALHSHLPTPSLTSNSTVSNSIDPPQVMSSSSSVS TSSVQEKSQKTNSPPNTITAPRSLSSLEKLRQFKAEVEATRNNSKAANISSPNINIEE TEMNPGKLAKMAESFILQQQQIKKENNPITSTSTVGENDFILSDREKALKEQLKLRSA QSQSRSPTSGSKRIAHNDSKAPSEEMNFSKRSRGDSGIQGQLQTSDQRRYPTLPANPP WNERNQDKLQKSPVASHSTTRAGSSSERNSNSAKFQPPHPNDPRFTRREVSTGSAGSV EPDYKPSDTNFVSSRFLRSGNGPHPMGRDSGSPPSRAALSSDSRGKERERNEFDRSRG YDGQRLADRISTKSPGPPHKYRQRSPSPTRPAPRAYSRGHTPPHERRGQGRPPSPPRQ YPDPRTPVSPHQAGRDQYIGAHEQYGDPRMPLPPPPRTRPEIHERLPSPVHARLSQRG LPPEDYMEGRYLSAHRGVPFARHPPSAPTPEYGRPPIVNHHHDRSPVPNPLVAAGIDT NNVVETLQALKAQISKLEKLVPTTAALQPQPHHPPSQRGHEYDPYTEYARQYGHDRPR EPHPREREYDSRPPGPPGHAYPPHPHGIEGRYEDERRGLPRGPPSPRGHYGAHEDPYP RPPHHPHDHVHRHGEFDGPPGRGRGGKGQGFGHGGGRGKRGRRGGRGH
I206_06059	MSNRQISPKSTIDSFDIYSYPPSSSYSKATSSSSSNSNNTSSTS TPIFNSLSSQSYELTKQISSSSSRRSSSSSSNSTEESITSQSSFNQDEPILPTYKEVS STYFPDKLIIGNSKRKRSFFDSTSTTSTINILNTLKPRRRSSLPFIHLARRKSTQMIL TITIIIVCLLLVIEIKSHKKREELWLKNIKLDEWDIRNQNYHESNLINFRKDYEFKKR LELLNDRNNKKRNFVNLEKEEDIWGPKDEELLKLEVENKWPNWWGNPDIVGKSPFDHS PIPLAVGQEKRRFMFLTDYKDYLERMNTHTYEIVDAALRHPHLIVDVWGPGWEGYDRS IPLSANIRKRAHRIAQLENSKKEFIEKQEMKKTLAEPHEDEIEEWTKPDWKVEVMEEC NNDVKFDIVFTISNIFKENDPHVDALDCGALLIQQLGDCHELRCSYEWYPHANNITVS KYAFELLELFDYDKVKAKYPGWEMGLFGHSPDTGNEWDFYPVPWNEKTAKAKVFGYDG SFYPIRTTVTDSIRSYENQPNLPGDQIVTRHPHPGYTVSVPPQAREQPLETYQINHEY YETHLKLREDFANGMRTSKICVFDASLERKMIRKYAQAFLSGCVVASDLPTEHEEALS KFVIPLKSTWNIEKINEVLQYYLDNDELLQQMAMDGFIWARQHMTTTNKVSHLLKMAD HHRAGSRGYEFPYGFSMRCRSYWSGGNGAYRPPWCSQDSYRGLEE
I206_06060	MSFVFPSWSTAFSPAFHEDAKAMLEGALNKGNKPPVIQGRIEVV ELSMGKEPPTLTLLEIGDLSLDRFRGILRLGYSGDAWLEVRCRVQANPLSHNPNLSSS STLPLSTPLLASQPLLVPMTLRLSKLHLRAILILVVSASKGITLVFKNDPLQNVDVSS TFDSIEVIRGYLQQEIEGQLREMFREDLPGIIHRLSQRWFHGTGVGGKVETPYRDIGV NETIPEDEREREEDEIDDQNPYAEPLIFPPHPSNPEKFNTPRKQALARSMRNRRPSTS GNSISESPTSYTTFPDIEDYDPTYGLRPEGVPTHSGYEAFGRLWEKSREGGNRGLGSL MSMPLQQDDDGEEYQSDEFYDEEEDEDEIRSFDMVEMDDALRSIPRKSRRQSLISAAG SRVGSGYGNDQSEQVEWETFPAVGGGLITRPRVYHSQSLIRAPSEAGGGGGAMPSPAG TATGGGSVTARASSTGGASSTVGSLRMRPFTPSVTGIGLMSPTLSQAGPSSLRRMVTS RSDVFLSSAAQGNFNIPRSESFNAIPPPAYRQTVQRNSLSLSRRQSNTGDSSGTPSWN TSGPSRSNTLSTNPTSSIPSSKSKPVTINQGPKPLIRNRNSSISGTSPGTSFPPRNIG PGGITLPLNNSVSQLATLSHSAHTLSPYARGHEHIAVRSFPYLGRSNTSLTSSTMGMG IGSNGFNLSRGGNNLINRPGSNEGIIKARRKRIHRLNTTKKNSSEDKSVGEIQNNRNS RENSIETINSSDVDQIDNSIIQGNNNIKRIKNDRPISYISQPESDISEISKNIGLISF NTQFKRPNMKRNPDSRTSYGFPHM
I206_06061	MPNWVTDNSTSNTNQNQNLETDMANSSSLPTSNVAAPPHLIVDK AGPSVTLSTSMDKAEALKSNEHSVAQGLIESSKDSPIILSPDTPPESAVPQMPTALPV PDQPQNAAHTLTSLNNPNDHTSQQPANGPLPINQPVPMRSVSTSILPNGTQPYSNPSS IINSSVINESPAVPTNQLAVGSIAVSNPTTPATRLSPAIPYSFQSRDRSTVTFSIAPE YAQRTFCFTKDNHFRELTTLDILTLSASALTATDLERAIKKPSYLIELGKSLVDGYFK RSSSRHEQQQQPSTRTHQSSQNRQIQAGPSRPTSSVSSNGYSYNPQHNPLQTHHSIES VQQQQNRSRPASRQSVTSISRMNPEATPLHSPGVDSPKTIQPPEVRAIQQEFVRDLQG VEQMFGLAWERLKTASESAFDKMGNTYGNFSRSTEQLEHLKSQLAEGEKKLISSQTTV LRLTESETAARTELQNTKRKLEEEIEGRKREQGPDGPRQKVIADLQKVIADHKRLQAE LQELQKTLNAETAEKNKAQAINRSLGAQLGQCATELQRVKTQQAADFSLLTAKNEKIL SLEKEHGAKINQLTNKYFSEVDKLKKEIAETSRPIFGAHSTNSPKTPKSPADPAAESA KLRSLLKAKNEKFAELQERHDEELTELKTRLGKDPKEWEKEIKEKLNAQHSSAIGRLE KKVNELQAEKSQAVAIPPTPVPDEQIVLALTKILNWCESLQKSLVRSTTNNIDDPSSA TSSPVLPSLAPPFDHGTNNETSQSRALLDRANDFIRDMKNTAATMLSRNKDREEKVKK LQGQVELLKVQLNAAQSDLPALRDPGTAEETNDLKVAFGKIIAWGENIQTLMGKTIEQ PQTDIKPLERADKFQREMHEVFEEMQIKNKEQKQSAGSDDKQIKELEDKLQEKEKYLQ ANLQALLAKSTQYDETVNELTLTSRFLESVKSSLKETENELKDTEQALEEAQTGLKDV KARLGEAEKEIKAKEEEIKKKDDELKIKGEELKNKEKELIQMAEDLKNMEERLRKKEE ELQEKDKYWQTMLEEARTEAVLDVDIGGKESAKQLAEMLEAKDKLMKEMNGLQMDNKK LLVQIEEQQEKIDQLQKDMENEQNNVDTAEEALREAMDRQFKQREEYVESEIQLKEAK DQRNKLKEQVQKLQEELKLSKKSVPPNTPGMSGQKIEPTQIGNAVLDTASENRKQMDQ SASTNGNGKRPISRLYSSSPKISASRSSSPDIQIMASKQSQTPSQPPPTSSQHPPRNL SNTPLFLPNDEDDDRSFSQTPVTPGVSVSVQRPKKKKRVIDDSDDAPPDQGTPAPVVS ISQAPSITHSRAGSVQPTHSFEPVSKEWLKKNINLCIHKKDDRVRCKLCFVNEKKAAG SKGLSMKVEQIKPLPLGMTDSEMFEHFYSHGETLRRLKDKRIREGKERASPEL
I206_06062	MATLLKNATEIQKGKNKKSKDNATAGPSEEKTYKPRKDKTLLLC SRGVTQRMRHLMRDLEVLLPHTKKDSKLDTKSSLHLINELADLHSCSNTLYFEARRHE DLYLWLSRSPNGPSIKCHVQNIHTMDELKMTGNCLKGSRGLVSFDGSWDNEEHTKLLK EVLTHTFSVPKTSRRLKPFIDHILLFSLLDNKIWFRNFQIIEKDPLTPTGPPIPSLVE IGPRFVLNPIRIFEGSFGGSTLYSNPEFISPAATRASIKRDAGQKYKIRKQNEIERDQ RQKRIREELPEDQLSRKKVFA
I206_06063	MPSRRSAGKSLFASLPIELKHSLRSQSFDKPEEVRIKAEQILQI PSSGFLNTQPQSDDEDQEERETINGGGSSHTNLVVSNNQSASSSSIVKSRKIEPLLPK YDLDQIANIGQNTHKKRKKGKQPLKNPLNPYLGHAWDCTGLVKRYTDYTDVPKDLIKY YAQRRLYFPLYDQLPLLLDHTGWFSITPQPIAKHIAQRCKCDLIIDAFCGVGGNTIEF AKTCERVIAIDNDLIRLKIARHNALHHGVADRIEFIHGDFINFVKALSKTNKVKQEIV DVVFLSPPWGGIDYLNTPSTTYPLSSILPIPGDELFDLTTTLTPNIAYYLPRNTDLKQ LSALAKTIEGNGDKPDNTNTERNREWVEIEEEWVGEKLKAITAYFGGLVADE
I206_06064	MTILPKLKRRTTLEIGTSFEKHALRYLNDKLYMNLRRVGGAGDG GIDLRGWWWLPKSKNEIPITYNSIFEPNGNGIKRIRIIVQCKAEKKKIGPRSIRELEG VLNNLKNRNNQFLSSNIFENDSSILINSNTINENFEDNLDKNDENKNENDLGILISQS GFTKSTMNYSMTCNLPIMLIHLPGGKIENEFKDDDNQGQKNYSETKDEYDDIQVNSLW WNKALSNGILGNEIEIRRTISIKGISVGLWKDGKPLGDLRPT
I206_06065	MPIPIRDDSMTDYDPSSPQVMNAFYRRLFPYRPFFLWLNQDQVP SKLFTHREFAFTLAGDVYIRYNSFHNSDEFKKELIRLNPSRFEIGPQYSARPRDRKTL AAGALQPQRRELVFDIDMTDYDEIRTCCTDKKICKRCWGYIAAAVKVLDHALRETFGF KHLLWVYSGRRGIHCWISDQSALDLNDEQRKSLVTFLEVIKGGKEQAKKVNIRGSKDD AEYHPVLGDALENLKMEFVKLILHDQDCFGTEKGWEALLAILPQDRDLIGGLRREWQS DPTRSSVDKWGDLQQAVAGLKKGNQLLFKYERAMQDVILQYTYPRIDAEVSKHRNHLL KAPFCVHPGTGRVCIPVNPDQVDEFDPDTVPTVGELLNQLDKAAAENGETPSQRRVEE YEQTSLKSYVEMFEKHVAAVLRDSRSTKRGE
I206_06066	MSSDSVSPQDDCVRPLEEKDEKIVKMLIGQGVMEGLAKANNKFI TNPLIILIILILGLYLNNYMSFKVDSSNILTYITIIIGPCLILLPILGLIEFIHRPKF SSNLRKIIGSLDLIKLFEYYNNNNNNNNNQEKEKENSGWVFIHKNEIIGIILIDGLQA GKELNSVLGEEEGEISNNKNLFKQIENDQLQNSNLRKRNNNNNNNSLKSKSENVKEKE NLIEIRHFDIDSPYRKIETGVSNDLLIKVLENYFNKSSKVDKIIIQVKPFSNWQELIL SEFGFKKLKLDQLERLKLNQPKRIGLLGWKGFWMMIEKKDWLERRDQIFASS
I206_06067	MGTILDDRGKKLLRDLSKSTYTLSVKQQPERARLCSYKEENETI DRRPVDPPPVVELKSDEVPLERLVESSSFFIRATIVSATPIKIPFPDHGLPSVLEPYY QAVKTPTGADATTGEVVQTPEQLRLLDGKPGALCIFAKLSVRVPGVFRLMFTLYDTTD DGLVELARTISEPFEVFSPKLFKGMHESTALTRHLAAQGVKVKLRTDTSVGRQSISRK RTPAKSASGSNLSVDRPSTTNSSISPLNQGFPSTSSPATRRSPLDRSRSSSVQRASRQ SALTSTSSLVWRPSMENKSYGQDPLDDYHQAAAPGPGPSTLKRRRFQDDGLIPSLMEI NSKYNFTPAPPATDLAAFSLSRTSTVNSPNYHSTSRQPSPTLEYRRSPPNLSSQVSAY ARAPLPENQSKKRSSSSSSVSNINSPFSLNSRSTQSSSSSIVPSPLPRMQTGLGDDGI PRLPLPSIFAPFNSPHTHPHSHSHSHSHHHGNAQSTAPFIPPSVDQVLDRHDNRSPAS PVYTTSGYMPYGFRSSSSPHMVTTPGFYDGAGPPRSGSDGQESPYRRMDAASAGLPPV RPFEGEERYHDWR
I206_06068	MISDTPDPGVASISSDRTTQARQNLEGPNLINPDPTIQSNSTDQ QIILILVGLPGSGKSTFSQALISISENPFNSFQRKWVRASQDDAPNRRRQECEYVTRK ALRDGYNVVIDRVNFDPIQRSHFINIALSHNPPPKIYALTLTISEETLKKRLKYRPDH PTIPDLETGLRVLGQMRSQYSPPIATQSEGFDKIYELVETDQPIDGIWTSAEINTVLT KIEAEGLMEMGERILIPQPVSNGNHHHHRGAWHGRTDDRSRVRQTARDRGRSRGLYNG QSRDGKIDSERNQSSYQYQSNWNHPGQRYDPSWNRNRTNGSQGGRYLDGASHHSNSNP GNSHSNGLYNVQ
I206_06069	MTKVIITGATGNAGSAILTAAIASPTVSQIAVLSRKPPFDSSTK INHILIPSAEYPNGFDEISPELIDQLRKDGYESCIWALGISQTQVNKDDYIKITHDYA LTAAKAFSTLGTRDKPFRFVFMSGEGARQDEKGYQLFSKIKGKTEKELYDLKNDKFDV VNIRPGGIIPTPEHRERMGFWTGQGFRAMGAIFRPIMPSMIIDSSDLGIACVGLAQGK GWDQRDAEGVINNPKLRQMAQDWV
I206_06070	MTEHMPRIGKVGSLMLIGAGTTVLTSKTVQEKMESFANYPPSTL KFLLPLLLLPLLLYTRLAVPLRFAYNCFLKPFFTGTKHVSNEDAHKAKLEQFYSGQAD LYDSTRSTLLKGRENMLQLLAAHLKAQNEPLTRKISFGPNVNGIGQKKIWVDLGGGTG WNIEKMDEYLPLTYFDAIYLIDLCEPLLEIARARIKARGWKNVHVLCQDASNFVLPEW ESGELDPRGSLRAITMSYSLSMIPSFYQLLDRCDQVLDSQRGLMGVVDFYTSRDGGVK ERAIGTASKRVSWFAKWFWECWFSLDGVNLHASRRDYLEYKMGTIKSYNDRNNFLNSW FIQIPFYVFLGCSRQRDASSTLQSFTKEAGNQKGLNNAGLLTPLSPFPTPPLSSSDTF NMMETLDLGPSAYRSDMKGNNEQITMQQTLVDAGAPLSPFHYHLKKAWRVPYLEEKVH EQFRTHIYGWTWEDPAVDVKKLNINKDDHILAITSAGDNVLHYALAANPARIHAVDMN PCQGHILELKLAAIQALEYNDFWLLFGEGRHPDFEKLLTTKLSPYLSSHAYAYWLSHK TQFSRNFYFRGYSGWALRLAQIAFFLSGVSKDVKKICKANTTIEQDVIWKKKIRPVFL GKWMVKLFLGNPMFNWHALGVPQNQMNCFLQDGTVEDYIKATLDPIPKLTTLKDDNYF FLLCLNGRYTRSSCPSFLKPEGFKTLKNGKATNSIKSHTDTILNVCRGLPNNSLTKII VMDSMDWFDPIDPSTPLPKNSNLAFDKLKTITPEDSLKYLQSELDFEIIEMLRVLKTG GFVIWRSAAKKPWYIQRFELAGFKVQPIDIRENGNAIDRVNMYASFWKAEKMA
I206_06071	MGSMSTRVPPPPLHLSSTIDTSLPPISNGVMRTASSSSMRRRSH SSSVSSKLSSVHFNLEDRPNTVSRTPSKSSFKSPQMNGSVMTPLTNNNSYQPIHPSTL HNSTIPSPPKSAPIPSTTSSSSSVTKPFPTTSSGVPSPLGSNRPPLPTNRTSQDRRVW SETLPPNPRHRSGSVIAIGRRASRLTGGFETSSSGSEGESTDKSTKDAGESGTNTPGT MSLSENAIAGPSRARAKSLMGPIARERQNSDASGTSRDVKRKKVNPPKPKRSFSKEPL PSSRMVSYRSNDSPAKNTSALPNSKSDSYFGTQNGNASPKLSRNSTGRSSPLIESTIP GPDKGHLSRGRSLDMLNERSSSPDGNQRSKGKAKETSRKTNDLAASLGLGIGAIQDMT LNPDQLRNLLSDSDVSSALRLMNSPHAPASRPTNMNEWSNSVFFSPPTTPRAENGSTH KSPYLVSAPPALIQSEEGHGRERTTSIASSVAPPMHSTWGSSPRHRQSFDSHPSPDMQ ALSRRRASSKAGLLADGQSGGHIPFTHHLPVPQVDEGSPDDELDDISENLPAITEQES GSIPMGRPSQPDKSGKEGKEKEKKSRLSNIFHIGSGKKKTTETPTIKHEHHLLHKDHK TDLQKEQEKAREREKYEKDVERRRLEQERRDEELAQERRFKALTQVAAHPSAERRAYT TGAKLRAFYSHVYEGIEDPPKLNPLAVVRWRIKTEEQNQARQRWEREQGDHHSHQSDR SGLNHQTSPYNRSRSNHFGDTLHSSPMSMGSSNGHGIHGPRRSNESHRSTSLGSFKKP NDTTHNKTARFEKGWGFSVDDISRYKIAKGHVNYFIPPKRSHYDVDVMTEDEDEGTPL PFSPQSSASKPNGGDTSRKDDSSSIAASSKKSHLHKMGVKTASNTSLMDVEGVLGEDN LPLSRSTSIETGGKSSVQPKHRLTHRTHQSLSAVGQTSLTYALKQPFEKLSNVAKKQR NPAPSREEGETHHIEDPRLSDRHNIRKEMIPSPHAAPTQQYHTPMSAKPSRTTVNSSM GRTRDNIFRRHGVESFTDEETSKDKEFHLRKLFLKGQKVLSSFDDASYKLYRRSEVSL PASGGKIKQDEREQELLALEAALMRESAFRERQAEAHRKTQAELQAQERIRKLENEIY AERVEHLEVARQKLDNVTANITTVDDTIRQFIFQIDFLRDESSIAANIDVDWSSINPL RDTYGSVSRNKLIEEGEHRDILPPLRSFTTSHDSGSDLAPNRRQRTTLISRKSKIQGG LAGNNNANAPRRKGSLNASLAPIQSSLLHPMRHRPRRMYIDPSGLERVDPVKQAELIL SFAKDRMKDMGKEKERSQAEVENLIYKIENMIRTKESVRRWTRQILEKNLNKQSQLDQ LIRQEQSNNNLQLQLIMLRDNLINTSFQGAGAFIRTIFWIYYQVKGEVWFFVKWLRPS SYWCSKSIHESSPTSSNDSKYAEHNGDFTNDITTSSQYNRTNEDSPSSPTARSDDLLN NFGSPMSKRKSQRLTNLNYIRTDGEERRIPFLVTCTVLAVSMGIVFYFYGS
I206_06072	MANTSPSEDTWIYDHLHKSYFHPLSNTYAIPDPNTAQWNYIPAD QVHNASTSATNSGYNNSTIVGSEKEEGEIEDDMGWGGLMEPEKLAQIEKDEKIKPMKS TKQPLHPTVPPEKEKHPAYVVPYDDPALYAYPSQPEGDEADQEKPTKERPNDTLRLVV MGSGCLEVGQVAILDTREGGIQLGRDRCEKGGQARVRLKEMEVSKTHAVIYWGTGGDD ESSQVEELQDWWIVDLGSTHGTFLSQPMTEDATRTPKLTRLSEPKHSSRPHRVTHLSR LRIGTSEFSLHIHPSWPCDQCQVNGTNEIPLDDGQPKLIPAHNEEVASENDTPPIYAM DSSQKKQNRELKRKREMASLRESLLRKDRGIHVNGRSEGDQEDSRKREYLDRSAMRRK LHPPSPPPHSTKGNTKMASEANTPERSSPPVSIQTPDASKGTFIATSIMEKQGWTPGM GLGKSSQGISEAIQTEMRNEKKGLGAKGSKAIVNTGPGDWKMRAKQRRWEEMQGSGK
I206_06073	MRAQALVLAILSLATPTIASIDKPYNSQQPFTSTNSGIVGQGDR YDDQQVWRIDWSDYSDVNKQDIMNVIELLNLDVWHSTQSSLDVRLSAKEKDIMGNIIP SESFHPFISDLQSLVEESLNPGEENPEDIDEKFSEISEGLRKKKKNKGKKGKNPKPSI PPIQIDPFNLTTIDTPFHDKFHTLKEIDKFGRTLIETFNGTRGIEITELNLGKTFEGR IIKGWSVKMNPNGNGSIPNPQPIPEPDPNDPEPDPRPLPDDPDHDDPATPAFEKRLWK SDDDLDGMELEFVIQAGQHGREWVGPSSALYFLHHLLLRATTEPNSDPAILLKSFRFT VIPQINPDGYEYSRTKSRLWRKNRQDVGGKGNCLGIDLNSNWGYKWRASKSTACSEGY GGKEAFEAYETKAISEYLSSAAERGNRVRAFVDLHSYGQLFMFPFAHSCDDFPPDAEM LMEAGLGVAKAMRTKQGEGYEAGQACDLTYRAPGDAIDYTYGITDVRWSYSAELRDTG TYGFMLPPKLIRPTADELTAGLMYLAKFIYALEVNPP
I206_06074	MSALSRSVNTARSLPRSTLASTSRLSIRNYATPSSVKNDGQQMM FGGPPPKRKEDGAVLKTYTGKGYPFIPSLRHVVYPYHPHLHKGGPLRELTIPLRRKGG RNNTGRIVNRHIGGGHKRRLRMVDFHRVQGGQHDVIRIEYDPGRSAHIALIKKRTSTS STSGKSLTPNLIEEIEEALSEENRNTPKSLEAVKAGFSYIVAPEGLRKGDVVISYRKG IPQSLINEFDNTSSSSSEESKLSNNLEDDKVGATDTPEMRRALGLLRTITLKSGNVLP LFLIPPGTQVHNLSLTTDGKMQLCRSAGTFAQIVSHQSETGKSIGGSDVLTMGGGFDE NGNRLPKKGYVLVKMQSGEVRKLDPGCVATIGVVSNKEHQSRSLGKAGRSRWLGKRPH VRGVAMNAVDHPHGGGRGKQKGNKHPRSIYGLLQHVRTRRPKDKDGNKSVVTERPRGK QTAAKH
I206_06075	MVAITVSVPGKPTVNLDFTGKPPAQVTIKDVKIAIQAKFPKLLP NRQRITLPSVNGAGKPIPLTEESRSLESYDVKDGSKLKLKDLGKQVGYRTLYLWEYVG PIFLNPLFLHLSTYLWGDYKFSPLQLTIRNLIVIHFIKRFLESAFVHRFSRATVPLSY VVRNCIYYWGVCGLLIGLTLYRPAYSATALKGSLLDNSVWITIWTVVELTAELLNLNT HLHLRSLRQPPGQPRKYPTGLGYGLAVCANYWFELIGIVAMVIMTGGDLGTIVYLLIG GYFMKIWADQKYARYKKEFNAKEFPGKRYKLFPPFY
I206_06076	MQGLNRYIPPDYDPRQNSTLNSHQGKKHALGVRAKDIDKGILVV RFELPFNIWCGTCNAHIGAGVRYNARKQKVGNYYSTPIYGFKCKCHLCDGWFEIRTDP KNAAYLVYSGAKKKDEDWNPEENGGFAVHDTEAPSASEPPLDPFAGVEKTIDQQKWAK KGTSRLTELTNSSDRLNSDPYLISSSLRRKFREEKKLLLEKQIKDDNLKLKFGLNESI NFESESVDEVDDKSKKIWEIIIQNKKNGESSNINNSSIEVGNLPTPKTRKNGKGKVID TKSPDLINLLRSNTEKKYDPFADLNPIKFTSKGSSIQNIKGKGKIKSNIIENLTPKTT EKDTNNSIVNPAICGLLAGYTSD
I206_06077	MPSPAFSESEAGPSTVKSSPRIDSQNPVSALDTSNVNSSPIKIS KKQTVDQLKEGLRELGLDTKGKKETLWRRLINAIHKASLRDPESDDSDSSSSSSLSLN DNQNGQIKIRVVKQSYKSFLCFDVEATCRPGKEFDWPNEIIEFPVVLLQWTEPDLTGK RKLHKVDQFRSYVRPVWSPILSDFCKDLTGITQETVDRSPTFPQMLKKFEKWLDKWDL RDDRGLKEAMWVTDGPWDLRDFVYKQLHINPPTPFPNYFHGPYLNVKFAVQSVLSEIH RRSSYAAEHPNDPPNKRALSVITTSKIQKIDKKGKIKDFGRGKAFYFNIPGMLEILGL GQFQGRQHSGLDDAINISRILIALSEKDVIFEPNGIIHPIGKGRRYPWMGAPGEVKWE DWMSTNVPLKEPTSGGVEAARLALGESVKQAGKASIDDEEEVIFVGDEEMADKLKTLV IDSGSETCEINDQDEERVTTAKSSNKKKKTTSKL
I206_06078	MSDGSNYDYLFKVVLIGDSGVGKSYVRLHVQDTFVIVILTIEWL ISNLLYSNLLSRFTRNEFNLESKSTIGVEFATRSINVDGKTVKAQIWDTAGQERYRAI TSAYYRGAVGALLVYDIAKHSTYENVTRWLKELRDHADANIVIMLVGNKSDLKHLRAV STDEAKQFATENGLSFIETSALDASNVESAFQNILTEIYRIVSSKSLESSGDVIKPSG GETILVTPTDGDGGAKQGGKCC
I206_06079	MPVEAPEGSLSPLQRQASLSPERTDAGPSKKPRNTVADQQRRQV EKLLSNPEREIQINVGTKEKTLRAPREMMKNVQGSSAGAGSGEFHVYKQSRRREYERL KMMNDKAHAEEEQAAFQARQAERDAMADAKTAKNRAKRQKRKQGKKGDSSTPTPTSTN VTTNGEVNENGKRKLAGGASIKFKRPGEDSDEDDKDEEDTEDIGPIPTSIPELTDQEI PKAVEEKRITIVDED
I206_06080	MATVRTYQSSPRSIRGIIVVILILLGAYIFFNGKKKKKASTKSD RKRDRRDDKSRGRGNRNRDERERGSSGSEDDKRERRKNNRSKRGDDDNETDDQKKKKN KKKNKSDSDEDVPYQKILRDKKIPWDQPPSGEPPISVPPDHRVATPSKSAFRQRSPDD VLPPSPNTQQGNKVRWTDNEKGLSSPVTNHRRNWEDYMGTTDETDQGVFQNKLPKDKS NTVGKGVPHPSRWSFENISGKLDGVHGNILELMKQIELISKVKESDDPKLWYTALQDS WDEHLGSIPKIVTLICNQLSRCINEQEMNLVVKKMKERQAKKAAPDKKRDVRKWTRGL DESGVHPSKIGEEAYRYKFLLGWYLDTFRSKNYEQRMAIDNTGVNMTSDPKISKISYI SGWLEYIAELNKYQRLFIGWDLSGLTIRAQAIKDKETNNLRLDLTIFLPCQLEDSIKW TKRFSESCDSFRHFHTELIKALDGTSNDVIQNESFSRRRIIFRPGWLIKNVNSNNLNS KTNLNEIDKNISNLTILKRNPKIIEINSKEQIENSNRLKELLGKLDNKSNKKAISRVR PEEIVFHEIRQYQWDKLTLTNENNSLSSESFWIGYMLLGDRLQNKIELGDHHLPRSTY DFIRSIDLGSYIPFHQTNPKTFLKIDKYPALELLVIAYVPLGRPGSTVELILQEEYGL THELSITREPTQHLVKQSIRLKLFVAIPDVNLVEHLQNDFDNFFAQKGNAMMAQGMSK NRVRQGIMGIVVKVLDNVYVVDESIAATPVEGKLPKGIKLNYQPTFPPGLQGDDETST TPTSSFSQGDRPSPFLSSSPVQRPPGPGPQSPQGPFSPPGPRSLSPHSSGQNRPPPQF TDPQRSEQALKDAQNRAAMNAQMSPPSSPPSQSNYRPPSVQDANEEDAPMTEGRFGNL RNADGGMSKGGSGEKGPQVDIP
I206_06081	MNTHSQSSTSHFQTSNFEISKAGCKSLDTIRNYLWDANNSKTST QKSTQGFYKQQTDQHGEEYEGLNEDLNSETNNEVREASRRVDRKLYDCLTLLKSGHNP YASTDNDLISADTKINNSDDSTLDFDAVLDRIEEKAPELNRDTGLVTYVLHRARMRDA SDPPCELERGFPCTRFFTIFPLSEIERKCLALTVDKLKEEKFKTKVINSSGKIKFMET MMDGQLPSFRCITRDPFSDQSSKCTAPAQKDIANIVNGLLSRPKERRTVYEVYEILEN IKSEIPESMGSEFIKPSKGTYSWEVREENRKRMQLARLGENVNGINRKMLENLSYRPE RISGTTDMDVNWHDWATYDPTPASNV
I206_06082	MADQNHWNYSDYCGSLGSPYVSPTIRDPGGTGYDITVHYDKQTR TQDLTGVPVSYAPVPSIELSDNQRNTISVLWTAASQSELPEDKRRSLCSQFRSLWLGQ IPRKKVTWNNSWSNWAISQLPIVDTNSYTTRQVTKIDLMEGVDNLVPGGKNGEHGQNV KAINEKVRKLDEEAGHPVWGAK
I206_06083	MSLPPLSNATKQALLKLGITYPIIQAPMAGVSTPLLAATITNNG GLGSLGLGASNHLIAEKMIIDTQKLINIKNGKFNVNLFVHSKPNFDSTINSKWLNQLK PEFEKYKTELPKELKIIYKSFEEDENMLKILLKLKPPIISFHFGLPSFEILYKLKEIG SILMITVTNLKEALEVQNKGGNGLIDFIIVQGYEAGGHRGIFNPNENDEKLSTFKLCK LILNEKKINIPIISTGGIMNGKLIKKYLNLGCSAVQLGTTFIGCKESSIDKGYKEFLF NIEKSKKTIMTKFISGRPARTIENKFTDLEIQLRLQNVDVRLPDYPITYDAGKALHNA AKQFDEYGYGAYWAGENAPLARKLDAKEMLETLVKEWKDAERDTN
I206_06084	MVDRSLVSSVIESSIGEWGLILALVFGGCCSNVWALEGVLRDHP KSGTFLTFVQFLYVTITTISSQIVWRNIPKTNVSYPALKSRKVPIARWGVQVILFLAI SLMNNYAFGLKIPVTVHIIFRSGGLCISMLVGRVFGKRKYSAGQVLSALLITSGIVIA TISAPKPPRPPRTQTTASPTLSQKPGWIPDHLEFVAGVGLLTLAMILSAFLGLWQEQT YKTYGKQWREALFYGHALSLPFFLPLQSSLRSTFNSFASSPPISLRSLALPIPVISSF PIPTFDPKDLLGGYADLLIPSALLGLAINIVTQGICVRGVNRLTSKVNSVTVNLILTI RKAVSLGISVWWFQTGFNVGLGLGGILVLLGTLLYSIAPGAKGLHDDTIEARQKEKTM TDRSVSESKEQVDEAPTRGETSARGDQNQIRSRQTKPVIT
I206_06085	MTLASIAFKTEFAVDMTCQNCVNAVQKSLREVSGIERYDIDLEN KRVTIIGKTPPSHLLSALKSTNRQVIVRGSSPSDPNFASTFPQTAAVSILESPIPLPT SIASTSNPILANPPSPSSSSSIPSNTQLFENKQPLPGMNEEEFSQKVFGIARFLQIEP STILLDLTVRLPPPSAVGLSSGSEKWKVYVSQTGNITNPPYTTGKPFLELGEVELDKN GYGDMFKEIKGELWEWVGRGCIVQSSALEVPSIQAAETSPTGLGKIFAGVVARSAGAW GNEKTVCACSGRTMWEEGRDMEKKTKL
I206_06086	MAEDITSWIAKAIIQHDKEHGANYKIPLRGRYIQMIKFLSFRDH FDPHAEIRGVISDKTHWIRVKFDVNATDEFEEPQASLPSESLTSQLRAVFLIESFRIN LLPPPSSRRQSIQLDAELPEVILEILEWKVINGDKNDPVFYEDVIEVSKGKTDLDVQV QGVLRKWWFGESNSSQSHLPSTSQYLQHSTHQTPISSRSIQQPSSSVKPTISSPLAGY HSSPAVLSTSASSDRKDQAVRDHVHRVDDTISKGDSSLRPKDQILLLDFLTPYIHTGG KKKVIPEWLFEKTSETRDMLDDITMFGLDYGADLQTSLAPGTKGSASTANVEGSLIYE RKKGGELKVQDFAHLPHPQTSQSPFPSAAVETSPIAQSSGSQSNGRHYSPPIEGILSP HNHNTNDDIAIENDIEEAESEDDIMIKPRKMPNRKDIFDPLPMPSSSPGQDIELDHLT AEDEDDLSDYEKEQRRKTIAVDRVKTKIVPDLDAKPDTHSEEVDQEENEEIEELENPN EEHTGSSDENAVGSFLENSQSLSKRRKGDILVDDSDQSLPQHSSSNEISLPAQNTLSP AKRVKDQRNHGSGGDSHNTASRKRPRESNETAPHESPISRSELSQVASSNAQNHPSTI KKPKIEQSTPVQMYSQVMTNTQSTGKSSRKSFLDSLRFFRSPAGPAQVGTIPKSELES PSLGRRKEKDNTPSLFDRLNRSIRRHSLRSSSPIQIDKNNDQDHEEPQDGISTRYRIG EEDGLDDGIDRQTEGEDDPEIIVVDNDDDNDIIEAKEGSEEFNPDDSHGPHYESYAST SMVENKQSIPNNSTFEFNPLGTHLEPNVDSVSPKRKVRKLGDSFKLNFQIEGLTELKV RKSIDDIRKARNRKLRVQS
I206_06087	MAPQKTVGILGGGQLGRMLTHPAALLGIPLLILDSGSYTPAKQT LLPPENQQGHLDGPFTSEPHIRELAKKCDILTVEIEHVNADVLEAVEKEGLCEVQPSP STIRLIQDKFQQKKYLSERGIPVSPFDELPSNPTENDFKNLTDKLGLPVMLKAKTLAY DGRGNSPLKSTSSEDIKKSLDFLGDRPLYAEGWCSFVKEVAVMVVRNKEGQVKSYDAV ETIHRDSILRVCLAPLRGDQSLNARARQLAESAVGHLTGAGIFGVEMFLMEDGSLLLN EIAPRPHNSGHHTIEACNTSQFENHLRAILSLPLGSTDLIVPSAAMVNILGSSSEMGP IEAMRDNALSVKGATIHLYGKKESRKARKMGHITLTAENDAELTENLRKILFSQPDSN SEWIDKIAPPNPLENHSHKKPLIGIIMGSDSDLPIMLPATKILNQFKIPYELTITSAH RTPEKMIKYSKSASNRGLRVIIAGAGGAAHLPGMVASETVLPVIGVPVKASVLDGVDS LYSIVQMPRGIPCATVGINNSTNAALLAIKILGTAIPKYQIALEEYSKKLEEEVLQKC EKLEVDGWEKYVKETLKK
I206_06088	MVSSSDSGKSSSGGELSSVRSSLPLDKLVPYLEKNIDGFKGPIQ VKQFKFGQSNPTYLLTPNSPSQSFVLRRAPSGPLLSPTAHRVDREYLILSALNRYNQG VSPEHAVPIPKVYCLCEDKDVVGAAFYVMEYIRGRVFTDVRLQELDKQDRWACWQSAI KTLTKLSAIPISSLNLPPSFAPLPTAKPYFPRQVGSLLKVSYAQSKAKNKETSEEVGP IWGTDELKNWFTEGAKKVTELEQERGEGGVVHGDYKLDNLIFHPTESKVIGILDWELC TLGSPLADLGNLLLPFSFAPISPENLKKIRNTSKGNDDMTLLLGLKGLSSQETGLPQR EELERWWVEGMNDGLRYHHIQGGWEIPIPGMGWVRSWILFRLAIIAQGIAARAALGQA SSADARADSRPVFDFFGKMAWEVKKEVEKEGKAKL
I206_06089	MPCQRFNQFAEEASRADIDEFFALPHIVRPGETISSTSLTKRAG GKGANQAYAVAKAGGNVDLDGCIGQDGEWVRELLKSGGVGDGRLETLKNELTGRAIIQ SASDGENSIVLHAGANHHLSSSSSSPNLQNYTHILLQNEIPLSSTLSYLEESGKKGLI SIFNPSPMLSSEELRQFPWKDLSWLIVNEGELNDLLNAFNSNSSENEKQKNIDDLDLE ELIKIASKGILELHENSFFSKKINIICTLGSKGILYFNPINGNKDIGHLKAGKLLNKL KDTTGAGDCFAGYFVAGLMRNEPLEKVLKTCLTACAICVENEGAMGSVPNIEATLARL E
I206_06090	MDDSSDQSRRGQKRRLVNPGMGTSHPGSLDPTDSASIPYNAPPY NWPSYPGYAESGDPSGNRSEQTMYGRPPGTQIHNYSFGDQRIQHEDFSQSAPQTQFTN TLSNARVAGDLHFNYTTEPSASLVTYGQADIDRMWATLTEGIKGQHTGPASNYHDTVL DPFGQQQKPDFTPDLGANPLDAQFVSQQIPNLAHDLEIDPSGITDPAYNPSWSIYPAL QAEGSAIAHQHGILEENKPSRDRRETIDYRPMTNKKIKDDFHILITKIMSRAVDPQLP HKSRFDIPENVIESLVADPATQQSQLSEGSESFYNLSPGQNSDIQTTQNNLYRLGKNK DETERMERWLEVFKQGNLVPDAYHIELNLSLSYKKKSFSMKDTTPVTVDHFDLMAKRA LAEQDHKSVEAITRTKELWISLNDSSKHTSRVTTDASGFSLAQMQKAFDHSLNDESAA VEAPKAPFNLQEDFDQFLGRDYQ
I206_06091	MFDTIDSISGFIRPWLTWLSALTLGQVWQFVYNPPNKINLTIAL IAITLKLPSYLKRYDVWRQSRKVIDFHWPVPKEADKDWKGTIIHNPSLCAHQSDNSLL PPESGKTGEERDHITCYDPSTGYHLLTLPLLSAAEVSDQIAKANSAQPRWAQTTFAQR ISFLRSLKAWVLKDMESIVRVACRDTGKTEVDAVFGEILTTLSKLDWLIKHGEKTISP TSRPGNLLLAHKGSKVHYSPLGTVLALVSWNYSFHNLISPILAALFAGNTIVVKCSEQ VAWSSLWFIGGIKACLRACGLNDDVVQLVICLPDVAETVTRNKLIKHITFIGSEPVGK KVAVAAAEIMVPTCIELGGKDPAFILPGTDLDFFASTWMRGAFQSAGQNCIGIELFLV HRSQYSRFIEIMEPRVKSLRPGTDVGSLISHAPISKLQSTLASAEKGGARVLAGGKRY MHPEYPQAAYFEPTLVVDVTMDMEIAQEELFAPVMTVVPYDNVAEAIEWLNKSRYGLG AGVYGKDKGECKRVAEKLECGMVAINDFGVFYLNQAMPFGGVKASGHGRFGGEEGLRS LCSVKSITEDRFFSYIRTSIPPPVDYPIPDTKKAWGFLVGLVNLAYARRIWGRAKGLG DLIKGLL
I206_06092	MVRRKWDSVTLGFMIIAGVGVFLVPFSFFLVVSLSPRFIHTLSL ARVKGNVFDNVTQSTVKVEITVGPSGGCMWYNSSTPICSAKIPYVPSAEYLHLPPNQN LTSIFPIAMGRALPMNHVMVILMGLCLIVAILDVFLFEGGIAWIIISFSSIMLWIVYF LESAYIKLLNTRIGNIYEHDSWEYHTGNGYILVTVATFVASIFICGSGGD
I206_06093	MPRKGEIIWSTILIIGLSLLALIPFTFYLINSLGPSTINEISLA KIIGEFKDLTTNQSIKLQIKVGPNGGCISSNITEIINCNSHIPYKPFVEEESNLHFNE SIISIFPITIGKALVLNHVTTALMGLSVLAVLIDILVLHGGISFAIVYFTIFFMWITF IFESIYVSLLHKRLDKLNLEGWEFKMGEGYWFILAATVIPLGELGNI
I206_06094	MSTTEQTYIMIKPDGVQRGLVGEIIARFEKRGFKLAALKLASPS KEHLEKHYSDLSDKKFFPGLIKYMLSGPVVCMVWEGLDAVKTGRVMLGATNPLASAPG TIRGDYALQVGMNVCHGSDSVENGQKEIALWFPEGVSQYKLDAQAWIYEA
I206_06095	MSVKFTPGPHPTSLSRSNSIKLPIPTPNPSLPNSPITLNFNNKK SNQNKNKNNNKPISRINTNANNTQDIERQHLIQTNELNTNKLKFKWSIISLILIIIFI GIFIGFGGWKLGKGVGGGKLPGSPGI
I206_06096	MGKWSNIAAEKPFKTANGGNPPAKTGIFADIKNDLIAMAGEFIG TILFLLFALGAVQTASTNTSATTSSSSDDQAGTAPTGSDSNRLLTYYYVSAAFGLSLF ATASIFYRFTGSIFNPSVSLALCLVGAVKPLRFILVSFAQMIGAIVASAILDGLTPGA LVVNVGLSNGTNKAQGLFIEMFTTATLVLSVLMLAAEKHNLTPFAPLGFGFTLFIVML FSIAFTGGAVNTARAFGPAVIQGFQPYHWIYWLGPTLGALLATAFYIFLKAVKYWQIT PGQDSTDASDAPQIHPVISNKSRNRANSDTTAANRDSTNGPTGHQAV
I206_06097	MSSILLKRAKTGSDVINSIHRQSVINITRSLDPDIRLIKITGPP SSTPPTHHHTTPTAAPLPSPVPLPFFSPDVSAQQGINNGEAKPLYVPGSQADRLARVS RMSSPLRRGSHESWWSGEMGWFNAVAKTIPTYRVLDEEGHLVKGTAIPEVLDHIINLR YRTMTLIPIVDNILYQSQRQGRISFYMQCAGEEAAIVGSSAAMLEGDEMFGQYRESAA LLHRGFTLDAMMSQCFGNVEDVGTKGRMMPVHYSAPELGFHTITSPLATQMPQAAGAA YALKLDEDRQGDCVICYFGDGAASEGDFHAALGMNSVLGGPCIWFCRNNGFAISTPII DQYAGDGIASRGPAYGLDTIRVDGNDALAVLSAVREARKRAVEGKKGVLVEAMTYRVG HHSTSDDSSMYRRVEEVKEWTVVDNPIHRFRAFLASKSWWNETSEKDLITSHKSKVMK AFSRAEKLPKPKLGEMFNDVWGIGKGDEVPAVIMEQRAELGRLLKKYGDVWEPWRKER KRFVEEGMDVMDCDGRGS
I206_06098	MSSQQQEQQTKEPQLPASEGLTEEARKAEPDAAEQAQKLENAGE SVLPTIT
I206_06099	MPLIMKETRDPSQLEEGVPLLNDEKETESSNHINNEENNYENLR NVIVQQARSLKDDEQSSAVCIGIFSIILITLIVGCFYWMIQTIISALTSDAGSNFSYN DWSEAKFAKSLEDEARYMKKLLMNNIGPKIGALDGIVVASPSKGEEPFLPDYYYTWTR DSALVHSSLLPSFLSNSYLTSYEWAGPLDDSTENFKDFRLHEDLLRAYIRSQIMIQNG QNPSGGMYNGGLNEPKFNVDGTPFTADWGRPQRDGPALRAMTLIPYAHYLLDRGFLAD KKYVKANMYDTMQKTSPGRVIKNDLEEVANGWWKEGFDLWEEVNGYHFFTLIVSMRAL QAGATLAHHLNDTGAQEFYSQQAEDIGKSLDQFWKANHGYYVSSLSNLSQERSPRVDL PDREWKDCSLPLSVIHAGDHTSNQVPRYPNISVPYFSATSPQVIATLYKYIQSFDGLY KINSGKSWSDGWALGRYKEDVYDGVGKSTANPWHICTNTIAQSFYLAQQQYYLQGHIE VTNLTQRFWTDVMKNQRDISENAKWSMGTSDFNEALIALRRTGDAFLNISRSATEVGN GRMSEQIDKDNGKPRGARDLTWSYSSFMTAVKARQDSVILIDHI
I206_06100	MLSFNKVPKGPDGQLPSSNGLPTSTPTPKMLLSPQELANWPPKD RPLPGGGGKVLQPPGLPNDQLRLPTPNYMSGNSSKGYSNQATYGESSHSTAQGISNDG LGYQENLGFKYYTKKPSLMSRLFGDKVVWDENMYDYSSGQGYKVHKSFDKLYQHSKGI PIQIEPEKQLPIGKIPPPPPPSLPSLISNKLNSNLPEDFDYMPSEIQKFYINENKRKE KEWNKKFKEQEKLWKLQMKDWEKSEKERIKSEKKYRKQQEKANKWLIKHQNYLNSLNN KNDQKHQQHFHSIKKLPMKNLNSNLNPNLKSPENDLNNPYNFMLLPSNEFGVRRPFNP IIGGNEKWPNMSRTMTHAILDMNREEQLHAYHASLIRAPNW
I206_06101	MAEGKPEIEATAAAMEVDVKEVPTDEVKKEELGAAPTLEGKSAE EVEELLEKAAKQISFYFSDSNLPVDKYFFSLTCCNTEGWVPLKTILTFKRMREFQELG HAFVTYALKRKIQEEGSDPLLAISDDGENIRRKRVFEPNSTAWTRSAYVKGFGEDDPE NNKQEKIEKYIEQFGKINAVRMRRGDIEEKGFAGKGKGKFKGSVFVEFAYEKDMKAFL ELETLPKYTEDGEEMSKMSKDEYVKMKAKEKGIPDSEIHRGSKSDGKGKDAGRKFNAF KEMEKAKKGILPSLAKIGDDVAVVGVRPGFGKSGNERGPRKRDREDDDEEREGKESRK EEPTPLTIEYKGATLECDRHTGKVLDSSKVPFEDGASVKFINHGENPDWKDLKTQVSK VIATPFLAFPPGSKSGTIAKADNSVITDEELAKLRDEKMQFGGVEVEWSRMDEEEQRS FWTTRANFQGKLAADKLNEQKERSGRDRRDFKGGRGGRGGRGGRGGRGRGGRGGRGGF KGGRDRDNNDRNAEKGAAHPASSLPPSLGTGA
I206_06102	MNNKATFVPAAYAVMLAAIPGALGLTPTARWGHQAVYVKSKQSM YIVGGEVPTSGSQITNDVLVMPLNSSSSTFSTASSEGLPPHAFASMIVTPDGSSLVVT GGITSSCDEDATTHTLDLDSNDGWVSTTPNKFLRRRGAGAAYVDNSGKDVVMVVGGIA DKYVCSSSTQSYPATDVLSLPLSSSSLVSSRSLPTSLTGSEMAVSDFALTTGSDGKVY LTGGQTSSGQFVDMSTVGVWDSSNGWTSQVTTGDIPTGRVGASLVAHPNLDILVLHGG STDSSGTPSNLLSFLNTTTWAWSAPSNLQPPASSAAAYHTSVITDQGVMITAFGLSSA NTPRSDIYYLDMRDPTGSSWSWKNTWNANMLTAYESSSGSTTSTGTGGVTTAKDASTE SGGISSKKIASITVPVIIIALLLSPLIIYLIRRRMRLIKKRRMARHFSFSSQEDEGFF SGPSNGLFSKYLSSNKKDNTAQPTFPFNGNARDGNEQDGNFLSRMVTRLSSRSNSEED HDDIPYHPHPPREMIAVTNKSVTFQDSPKGKGNDKQMNWEEIDFGLGKLDESKQIPSS QNDNSLINVSPFGDHAAAPTVDFPIANPQGGQGYTDEMLYSDSAISPPALGRLSAPTS NQEPLIPSLFVQPATVPSTPAADNLITNYPALTPASSSAVGGSTPVGGEWDNLAKELE SKPAFRSISPTAQLRSHSHSQAQPSINTNVPVGSIYGGIRSESPRPISPAPSIPPLEF QNQRPNSALSNHSVSSQKSNGTIKLVTGTASGIYENERRPEFLPFQNLNHGMRSISQP INRQLVGMTTTPISGTNNGLTRRGSDNYSPLSSGQTTPTPRNISLSYTPGMRRSSGSL IGNDFNNGSPVNAERRSSMLRVVNATPKEEEQGEAL
I206_06103	MSNTAEGSTTISTLPTADQLQLANPINPQIPEEHDFGTSVTPTL DNTHEEKVAKIKPNEVQGQRRKAPWGVKWRSSSWFITTVVTLGVTTDILTYTIVVPVL PYRLQALNYTNISALTSWLLFAYSIGIFLCTLPVAYFFHKYPYRRSPLIVAVIVLEVA VVLFMTINAYWVMVLSRFIQGASSTVVWSGKSIVECENVPEKNVGRQIGFAYSGVSIG LTIAPPIGGALYAKMGWHAPFVFCIIVCAIDLILRLFVIEQKDLRAWEKANPIDEKEK PEKNEKEKVKRAEVPSDELNDPADSSPHPPTEQVMNGEGLTERDISASTVAEERKEEK VVELSPWRVIVTIASSGRGMTGFWVTFVYGLIIGLFEPSLTLRIQSVWHKEADFVGLV YLAAAAPTFVSGPIIGALADKYGAEFIMLPCLIFALPWLPLMILNQSLAGFIVLFALS GT
I206_06104	MSRLDEPVRRGKGICAMRGSCGRTSIFGADLPCPDDGNSTPPEE DLRDLMATVCGPSYTVPNSVCCTYDQVATLSDRLQQAAPLIASCPACINNFRSFYCDF TCSPDQSTFLSITSTQKTTEGKDAVKEIDYEVSNEFKQGFFSSCKDVQFGATNGFAMD LIGGGAKNSSSFLKYMGDVRPGLGSPFQINFPENDDSQYSRIPLRCSDTDNINARCAC ADCPAVCPELPYVAPPSSGKCHVGAVSCLTFSLLIIYSVAILCGIAFYSWKQAIRHRQ RRYERHALLDPPLSPTAASGNANGLDGLMGRGGDDSESGPSGSIHFRLGRGASLLDPM DHLQPKQNKINAALRRSFYRLGLFCAKQPVIVFGITALVVALLNIGWKSFAVETDPVR LWVSPSSESAAQKHFFDDNFGPFYRSEQVFITQSGSGPVNYDTLDWWLKVESEIGRLE SETGVTLQDVCFAPAGPGTPCVIQSVSAWLGEDMEQWGEDWRDRVTECAGRPGECLPA FGQPIDPKLVLGGAQGDWLNAKALVITWVVNNYNDDRAGPAEEWERSLKHYLQDLSRP DVTISYSTGISLEEELNKSTNTDVKIVVLSYMVMFLYVSLTLGGGLPPHVISAFTHKI WRGLVQLGVLLRLVKTPIQETSSTSPSFSLIPTLLSVNSKFSLGLFGIAIVLIAVSSS VGLFSLLGVRVTLIIAEVIPFLVLAVGVDNVFILVHELERQNSLHSQGITDDTQSIDS DGRQPSTSASLPPEERVARAVARMGPSILLSSVTEVVAFALGALVPMPAVRNFAIYAA GSVFLGAVMQVTIFVSAMTLDLRRAEAMRIDCFPCVRLRPPIGLYDETAPTGEGVVNK FMRTVYAPFLLKNEVKQLVLVAFGGLFLFATIGIQHITLGLDQRLALPAESYLVPYFN ALDAYLDVGPPVYFVAQGADVPTRDGQQQLCGRFTTCMDLSVANSLEAERKRPESSFV ASPPAAWIDDFLQWTNPTFESCCRVRRRDPTVFCSPRDSERLCKPCFEGEEWDATMNG LPEGADFMRYLQQWLISPTNEECPLGGQAPYSSAVKLANDNSTVVASHFRTYHTPLKS QSDFIDALAAARRISNDISHRTGVKVFPYSLFYVFFEQYSHIQSTAIQVLTLALLAIL GITTLLLGSWRTGATVTFVCSLAVINVMGIMGYWGISLNAISLVNLVISLGIAVEFCS HIARAFMGAGTGLPVDKEVGRKERDERVWTALVDVGPSVFSGITMTKLIGISVLALTR SKLLEVYYFRMWLSLILSGALHGLVLLPVLLTYTGGQGYSLEDTDEDWVTSQMRRPGD YEYAPFADTDSIMSD
I206_06105	MLYALVFLILIIAIVLIWLVRVTIAANQRRQSRKQSSSSLPSHM QDISHRPTLAETAEDVVDRVGRGSRARLPQHESVYQSRYCGNRTGAVNRVTSDNASVT SLPAYGAASLPVPPAAVYDPTNVRPGGPTGVIPPSYADIAPPKYSATTTRPEVIV
I206_06106	MSDNIPCSQSFLYPFQPWIDQQKNHIENSPICTGEETTYRLETN QDTTYLENQLQQPYQYYPYNYAPQTTSEIGFRDAQLESNFCQTTSSPATATHSMAADY DYPYTVSEYQDCSTNPSLIAHSSPPIVVQPQTEVANFGKSFDVPFQLPSIDSLSFDGR QSRAMVRDHTWPCTSGTMETKMQNLAFDSEKMGSRRDMTSYIQDHSTETLLPGVFARD SLEPMKSNPQTASIWDPSDSRDSTGYTTSSLTPITPPQASFATAPICQWYSSPTTYSF RPQLFPQISIPPSRSLNMSPRMKAQTTSYPSPLTIPSPPPLPESPMAIRPSLDLLTSN TNKPKRRLPTPPRVSGWIPPDQRPIPCGNLGIKPRLLPAPPPSNFSFPPSASLPPQRV QPTFQTQQRSSEGSMRGREEPSYEAESEFEFDTLYPQTQPTKSKSSHVAEQSRETRSN TFAFALSYDFPTSLPLPSVTTPKFSDFYTVHSHSDTLPHTEVATASSSSIDRYMPFSV SIGDPPVPNSQSPTSPATSELTLPLVGIQRHVFTKTPFSSLSNLGRPESEPIYNQTRK PKSNKRPSTTLTGARFSRRIRTINPKKFLCPDRQESFTRRNDLERHARSKHTGETPYT CPGCQRGFSRKDKLDQHIEKAPICKAIAPPRHQRVRRRNNNGVKRNREAPIPSNSTST TGRDASNDRSEGQPTYLDC
I206_06107	MSKPDEIPALSYILEPALVASLLTAGCLLNRRKATDIVQAHTLS GSLSPVTSSPENRSWDYKQMKFFFWTMKVPSNVKFRMNLFSRFLGMFPFLLEVWYWLL TYWIYQIARAMQALTMGSDFRILAEKHAREIIAIEKFLHLDIELGLQKIVMNRPWLLT LFNKTYAMVHIPATIAFMAYSYRYFSPLVFQSTRRTLVLCNCLAFIVFSSWPCMPPRL LPFEEFGYIDTLHTGKAASIWTTNKFQNQLAAFPSLHFGYSFVIGFSLFIYSPHRPIR AISLFYPLLILLVIMATANHYDLDAAGGFIVTVVAHRINRLVLNLRPIEEWLFWLLRC ERPIDKVQFDNLIHQENVVNAGHRDMSQRPLMSGSPE
I206_06108	MIMANSHNDEMQGNDALELALGLGYGFIEIDTYLARNPDSAQSD ENKGTELNRNFTLLAGHDVKDLKSSRTLKKYYFDPLLNILDKNNKNRTLVSNGTGNVW KGIYKNDSDKEVTIFIDMKRDGELIWPYLLDLLQPFISKDYLTYYNVSSSEWSYGPLK IIGTGLTPLNRVYYSSIRYVFYDAPLTKLNKPFKIEKSLDGPEVNNIEWDKTISPIAS SKLPLKYIISTFFSFKSNTNKGNCKLKSIHLIAREKGIQSRWWGYSNNPNWLKVKLWN VLRNSGQDILNTDYLIQSKNWLNDREKQTRNLYKC
I206_06109	MVTAADIGPISSQYAAAATVPLIKSPSLWLPKPIEMPNDIHPLP EDITAYFVYPFTLEEHVLSIQPSPSEAISQRRARNAEILHQREVEEEQKEKDALRKIA PGYNPSSVLLPSSNSPKPPSAQSQPSMFDILPTNGNDNSGSTKQGASLDPMDELVSQL EEMESKR
I206_06110	MGGVSSALDPARGRGVISVPDGYTTPSFPSLYIPTLHDTLDQRG VFLYEAEAIWHFTFYWTFLLLGALFLICSILASFTIFINIFKYRANPPDRQPASSANK YENFTKFGRGKEKERIERRKKPPLWPIFILPLISVIIASAISLISGTVVGFALAAIYS AGGFSMSTWVPFLWALIQVLVLIISSYSTLTSIL
I206_06111	MGKLIWHQWGRLLAITSGVYMVWASLWAFLYRKFFWDMIGGTLG PTGLIPGQNTQPLVNLVVNIPLFQSFTLVLGLFTLVLELPLPHIEGTAIHRSIILRVV LYFITGFIGIMVYQTVDCAIYYIITSGVYAVAMSKGELISFEPGRMTDEI
I206_06112	MSSHASGSDKPLEAFVSFPSPYTQSLLIQALISCIPSLKLSLIP PSEGDPPALQWADYDLLSFDKPHSNPSKYLISSYIYRKALIRKHQLNSTIQAYLAKCD HRKIKSVLAEGGIPKGWNVELQFLDELDELLMDDLYELNDGMRKNEDLPVEDRSWYIL KPGFADRAQGIRMFSTETELRAIFEEFEPPSSDEEEYESDDSKEGNEQYLENENEDQP KDVDSMIDMLAKKAVEMGFDGENEDSRDFEGVNDDDEDDKQEEDEDGTGVMTSQLRHF VIQEYMPNPVLFDIAQQSNTPSPFLEGYKFHLRAYVLLTSAYTVHLSKTMLALFSGSP YAPPRSSDGELDLRPHLTNTCLQTDSFGAPAPPEELVKLFWELEGLNALSSTKDGKYE NRGKITKEWLNEVFQKSGEVINETIKAGAECGSFGLQFMPNAFEIFGVDLILSFPPSS SSSFEPNSNCLKNELLIIPKITLLEFNASPDFHQSGLRLKKDLLEMFKGVIKISIIPF FDLTIEDVEKDLIEDKNWKEVGDEKWGWTLIGKGEIRGSNW
I206_06113	MPNSTSSIRHEEVGFLGSIGRKRSSSPLQIPTTLLEDPNSSSWH DSPQVRSSTLPIASGSRTSSSSISPYTTPVRPKKLRSRTSTMSSYTPPLPPVMSRENS SEYAGLAQPSSSHGGSTANPSDVVAQLSKSLEAEAGPSRLSPASALLQAPKTGNRRAS KSVSGSRPTLGTPAKRTQSYYTPQVRSTDSLPTPSSIPSSPILGPNVIKRKTSVPTVS RRYNSREDEGVNGMTDSIYLELTGNGGSGNGKGKDRAHAESRARSTSTSIVVPQTQNL TENSTYTFPSASMSVSSLHQVPELSHSSHQGWPGVPLSPPPSDQESTNCPPILPTASS SASTSSSAFIRSAYEVGDSLLSWVKPKKQRRLTTGRRRGSDEDSEKGLIGSDEDVDRG SGQSARPAGKFWGIWDNPNPSSDDGASSSDYFVLPPTPPAEDDEPSQFQAALSQNGYY PATLPTPALSSKSLSRDNSRRNKLKKVFRPRDQDESEGNSRGWLTNILAGYRGGKTTE VLKELGWTVGILVMTFFVTAGLVLWLIKSIPITTLKRVPQSTTDLQLLSAEIRSYMAS SDAGWWHTIGVLTFVGCWKHAWSVPGAVILNILVGSLLDPMPALGLLTIITATGSLGA YMLSRPLAPLIAVLFPKPLALVQAALVPDSVPAPATSHPIVGETITPIQASSDPSQPA IGGPTEKSTVWRRLLIMRAMGFVPWSGMNVACGVVGVDWKVFWLTTAAGSASWSYVTA SVGHILSRLKIPTDALATTSADGLGGENLTSLLRDPVLISKLVFLSALTLLPVILKRR NNNENSQSDQTQQMNSPISSSSNSTTPRSSYELAERQSRPFVHTQISNIIRLDLDGSA NRSLPPMSPLSQSLSHFTPTPRMFDLFSIGRTVIRTGQRGLNGGIRGARRILRGSNGN 
I206_06114	MSSIEETAREQVTGNLGSHLSPLLLGMGVDALLLGISINSFCRW WTHSKKEATHVRFLIYLASIGGIIGTIFTWATTLHMFSYSYGSYSQFLKCDWIAWYGI IDPLTKISIQAFYAERAWRINKRNYLILISIGICLCLSVTGSVGYAFTVHTRTMNDFE TVTNLFFYLWPGACISADLIITSSIMYGLYHSRSGLEHTDRLVKKLMRVSLEAQIPPT IVALLFFLQFAANSMSSIVQFIAIIHPKVYLVGCLAILNSRQDIRRDRQRSYVYASGG TGSFGSSSLSSRTAEKMQSNGGIKVETETYICSDGPVTPPVDTANRSFSDIKVIPPSE DGDSEAIGLAECGALSTPLEFEVKLK
I206_06115	MTAGRFPEITVKPNLTLNPILQSQIYVIDDFFSPTELKAILKWT ENVKMENPKPPGKGEAERTARRGFIDSPEIANKLLELLLPYLNQLSPEYESKIPLLSP NIRIYHYPIKTYFKCHYDSPTLDLNSNRLSCWTILIYLSNNLKGGGTSFYTSSKNKRD SRKNKSKESKKGEEEEEEKITVEPKAGRLLLHWHGMKNGGCLKHEGDEILKGDKWVLR TDLLA
I206_06116	MSSSSVVSKKLKFKGDKVKKKKRSHNHSGGGGGEEDELAALAAA DPRGWVFPEHPMEINGPAFILLPTEPLTCLAWDPNRQRVYAAPVDIPQVPEGMDELSQ AEILQTIEPSDINHVWVTSRLSGSEDVISLRTSTGTFLTATPSGTLTASTPSRGPLEA FIPQTSHSESSSSSSGSSGFPGWVIQTQHNSKYLSASPPTGLSIGKLKAELRIDVDTP GEDELVRIKCQREFVYKAKLALAQGKDGSSTKKRLLDGGPDEGSIDDELKRNKESQTW GGGRTIVSEKDRRELKKARKDGKLGEAMLDRRAALKR
I206_06117	MDPLLLAHPPTTFSQMSGVTNDEMPFDSYCIVCDRLIVPPKEVE PVEAPKTVKKKLGGGTIRVKNPDGTTTTRSANGQKVTRPGLKRNPNSAARLAALNSSS KMQPLTRSKTNDSVTSPTTESPPNKSVEDLTSPQSHKVVTPRINTSLPPFRSSIYCSK DCMNQDAGKSSAAYANIARTLSYDFSQAFPLDTPGVQVQDHSRSPYGPPSPLFVSGSD TESSAASAAGGLMDNSGPACSAPKFMEYFRLSKEGPDEAWNSIQRQRRSSMQPSSQRP PQSTGLGQSHNQAHPSNDSLSSLWNGDSDYISARSISYSGKMRAMTPFQMPERENGAG RRSVSISSTTEPTAPIPARVPLRRSDLSQSSLAASPSSVQGVPIPPEFGSAPSHTLDL LQSYAHAFPVRSPSGLSTSVQRGFVFPGSTAMSPNPSESRRASMTMSRPVSGTIRAKS RNEATWDSFGKEVVDEKNYKSYCKKHGQCPSSYTSPEHNAIPMSVPADFRGRSTVEYT CSGAHDNTPKQSLERGVGGWKIKYFQPSSTLLDRSGTIKKDKERSSSRSTSSSSSRSR GGMAIPNSTLSTSAASTATSRTPLTSRMPPPASLPHRSSNTFSSTSSGGMVPDISGLK IEAGGCGIELNSVPKSGFNWESSEKKGMKTYEIPDAPKFKLDRNKAGLFYFQ
I206_06118	MPDSNAQNTRGEGSSTGGHRAHKERIGNYVVGNEIGRGSFATVY KGYRSKTKVPIAIKAVSRQKLTTKLLENLESEINILKVIHHRNIVALEDCFKNDTHIY LVMEFCSGSDLSIYIKNRGRLDTLDFIPRPGSSMAKLERKDDGKIFWPHPSSGGLDEQ VTRSFLGQLAQAIKFLRAQDLMHRDIKPQNLLMQPATDAEVAEGHPYGIPVLKVADFG FARILPAAAMAETLCGSPLYMAPEILRYEKYDATADLWSVGAVLFEMSVGRPPFRANN HVELLKRIERGEDRIKFPDETPPAERKDSSPPPIPVSPDIKALIRALLKRKPADRIGF EEFFGSSVWDLHLSESTEEEPTTSLEASTDSSAQLENSHTRIKDMVASVERSKERLSL VRRTPQPLSVDVALNPQPAPRPTRQESSRAPQTPAPSPPQPTRQPPIRRSEPKYYVSD TALAAEELVVASPSTTTVRANPRPIMTAAQRRVSTRDKEQGSLEEAGPLTPPYSGPST AERRAVTRGISEGSPLAATPPMTMKHDAKEESALDSSESVVGTDYVVVEKQNVEINAL ADEIDQASKKPATMLRRRSSRASVVTRPVSAFKPISPRPKPQDQAVVPISYSPPFALG TTPPFSIPAGARQSSSPGLTRPPSIPQSLNMFPPSNQPSYGQDAATRFGVSPTSLQTG ALARAITHTALRMIGNSANTAATAIARATTKRRPTILRTSDIDPAEDELLRSVEDIAR KAFVLFELADERLVAQAQIANTARSSTPIGSTTGAPSSLTGTTPPFSSQASASASNQR KSSSGSMNSEVWILRQQEAAANDAVVLYMRSLKYIVLAMDKIKRYWRDKDEREASPEL NEMGQWLRSRFNEIYEKAEWAKSRSGDNMLFPDWLIHDKARDMSRQAAVAELQGDLIT AEQGYETSLWLLQVLLDEGVYENGKLREDDKATYEKLLMPIRTRLEALRRKLADSGNT NSRQT
I206_06119	MIPSSSRATQSLKSFRLFTQKLRPNNNTIIPPNYIHLGQFRSSI PSHSTSSFSLKTRNFSNSSRRYTEQGEKPASTLSPEEYEKVSERDMDTLHENLEIYVE EFGANDWEVEYSSGVMTLSLPPNGTYVINKQPPNLQIWMSSPFSGPSRFDYKTGKGWV HHRNDEVKFKELVESELKRLLEKSGGDVEGWQGTGL
I206_06120	MSSEGPPFSPKKQETVQMMSREDIVVKPPEEREEVTHLGWNNLT TSEGGTENPNNLNGSQLLPIENDEDGEVQDEDQIDELLSPILGEMSMLEQQEVFHKDI NVLNSVNNQSRVDGTPNVYTKQQSPNHDIPETVLTPVISDDKRDYITSMASEDQAHPH HTTSSSKIPLFDSSSPSPISTTPQTSLPVSRFPIPNRRPKGWISSLKHPRAVSTLSNK AIHLDPPRSSSTSDVPHSTSDPINTDLEQPKRISHPIGRVSSIRVDQQAINGRRVSGA MQYAFQEHPLNNSGEHQNEGNSGDLENPVEIDTTELTAGGEGHEAVVNDEEIESMEAL SPSEEARIRYEVGLSQDQDEIWMEYVRNQLSTLFPDFFGANPEELGNFTSEQEEAGLG EENVEYEEERSNEGSVIIHSTPSFEIDNLPDFSRLSSERYHRVESPSIRRDNSAQIDL FSISNDRSFATSSTDISSLATPPLRSNAEMLRGNVVLPNVRDEISGLREEIERLRSVV GDLAFELGQQPQPQQEIVVQQDGYNSLVGDGNEEDSAGAQEVLEAEEGNQELQNDIVE DVEERDITEQRMNENSTPLTNFTPIPQEISVTAEGDMVETVELSESYMKTAHTSANII RTLHRAMHGDDVKGVKMNKIPLSSDAEVFDAANLEKIKDWVEKKMRI
I206_06121	MPIILASLVFAITLAALPSTEPQPDANEEAQYIADLKAERERSK RGTTKRGRDRFQSRELFNSTTHTNSNQESIFFERQERKRTENSDMGLGLGLDLDTSSQ TISRSRPTRPRIISDIYSIPNNQFRHLRRSSSVPSLSTCSSTETSPTNSPGNSPRPCS TILM
I206_06122	MPLLANPFPHVMNSLNGPTSPPVSYLVFYSDIINGQMWCPDCRD VEQTVKNTFDGQDKPKAIIYWVGPVSEWRTPKNKARVDWNVQSIPTILRIENGKETAR LVEDEILDKKRLETFLQ
I206_06123	MSSIRRIQPRRSSTSYTDDNSNTHINAIASSSNTKKTRNTSSNL ASNEIVTESISDDETNQAGPSRPKYPGSEISDVGDTQSIDVKGKGKARNLVKQPERRV LPARIRRSAGGGEGMREVEEMIMDWLERWGEPSTTPPDNLPIYLTSIPLSYVDPPTFK AIIRPEMTITHTPTRKKSIIDIGIQGEKEKIEVPDWVMIKPGEDDQEEAREELNFGPK GVTSPIKRLRRGGIGLADELEEDTSDSYYINLHRKYEVFEKRQKIREKEKLQFERYKM KSRLELLKNIPKLNWTVIVSTILQRVSPTNSSSGNEDVWLKGKNKINEKGEDWLKRQL IKEGEEVMKRFDELLPPEQRKPKTSTSTSTSTLGRSNSRLSTPSRASPSPSLTPPPHI VPARVAALRDPSTLSNGKRRRRSMGAEKHSASEVAETPSKMTKGTRKSTRVVRTYGDN MGNPGGVDNKDVIIVDDDQEEEQERLENDSDPEELESSQEIIKPTSSKSRRSMPATNH THELPAAIPDPVKKPTSIPPVPIKNKIINHTQQSIKAFFTRPSTISTVIPPSSNLVKP IAIAPKTPIASKTSIAPKTPIAVDQPTSNTSTVKPNPTTNHTKSTTIIKDITTNPVVK REISSTSEVHTRHAMIIPRPMTTSRVPCLIEAASKRESLHHFDNVHLSSSSDSQTDMI DKKVISNRRQPQPIARSNDKNVGADIEIREVKPFGVALPGRLEWQSEFTISDEEDFWP IIANRKHSKTKSKSFNDHEISNGNATPQQPPRLPPSIVKPVQIQQDNTFILSPEEFEE LEGVEQAVVL
I206_06124	MRLLSSLLLMITLIGIVLADTEIINFQLPLPLSSPTEVPSLNQL QYTTLNPSESIITTLNTSSSSRTFFLNLNDDYSKWTIRVSWPGSSPTKIKISEPNSNS QITISSQSLSPKMNHTKLRQFLNRHISFNKTYSISNFEMKNDNDYFNTSIEIILEPLI IGLIPQTTLPTIGLILISIIIVSFIIPHFIHFIEEIINDIPQSQKGTFDDKKII
I206_06125	MDAKSLLRAKKAEARITHPYAAYNAAGVLRCSICAVPVKQWDAH LLTKQHRQSAAREKAQHEKAKSTPAAQIKNTKRPLSDTLNTTGESSKRSKTDQIQAQD EEEDEDKPNLPAGFFSSGNKPKSPSPELDDEIQAGPSTKNEPTGDTELDDFLSSLNDD STISVPTTISNTTITNSGLTNGRRKTYKEIIPSQTSYEAAPVRIIPIDESLPQQEEEP EESEQERKERLEREEREEIVARLEEEERAQEDADSRVASLKARMEMLKKRREAKAASS GSKTKAMKSTTNTNGA
I206_06126	MSRQSSSATRIVQSLLSKNPHLSSQQIYQNATEHLRPVLQPAHV IDKEGRIRMKRVSNMREGRRPWVPMPAAPYPQHPFKSMNFLKRTILASLEAQGLIHKA RVERPIETEEERKEAIATAMRLTRRDERTALRLKEPVPSPRIPKTTVTEYAWKMGSLP PRPETSEGVDIESANEKGKQKEEENDEGFGLEEYEKSDEEEAKELAKRMQKAWEANRG ASLDTLNQVSVDSSSNTIDTLQEKEENLIEMDEETKARWDAAVKLEEKFQQSQIIEQQ QIEQRQQARRLAFKLEREQKKKERKEDELYGRIDSIKAEKKRIEALEAIENYAKQTGE DVSAWYEELGVKEGEELPINEDKKRKKGGFGLKRE
I206_06127	MAASTSLKSNDPNARLTEALCTSLRRRQIVGSLNVALATAALIQ NIVRSARYSTIDELLGLIKSVGKRLIDANPKELAATNIVRRILRLIREEYRAAAAAQL ISAPPSAPETPFLGPSTPGLSAPSNHYLNLSSTSNGGYNAPFEFFPSGALQRQTSLSN FVAMRHSRAQLERSGSLVDIQLSQSTNNLFTRIPETPGSVSNYNIGSAGGPGGLNRID SEEFMKHSAKLKPVLIQAIDEVVGELETTHEDVAKGAREHIHSSEIILTMGHSKTVEA FLKQGYRDRKFTVVVAESAPSYLGHSLAQSLSSFGIPTILIPDSSIHAIIPRITKVIL GAHSVLANGGLFALSGSLACALAAKTHSKPVVITTGQFKFAPAWNLYHDYAAVDFQSP ANVIGLIGNGGGGGKEGTEVVDPYFDYIRPELVQLFVTNEGDHSPSYIYRLIKEAYDE EDVEL
I206_06128	MSSLGRSSRPCAACLRRIRSSKSPSSPIRMTASSSYASSSRIGQ TPETSAIPSGIYHSVRHVSTSSHWLAKATDITSKKRIISMGEFPPERIRNLSIIAHID HGKSTLADRLLQMTGTVPSSSNPQFLDKLKVERERGITVKAQTVSIIHEHTDGQKYLI NLIDTPGHVDFSYEVSRSLGACEGGLLLVDCSQGIQAQTLSVFHHAVEANLKLLPVIN KVDLPHASPEETSEEVETSLGLPTKDHMRISAKSGLGVQSVLDNIVEGLPPPRSWVED DGKLRGLIFDTFYDQFRGVVSLVRIFSGTLKKGDKVRFLQAGKKYEILEVGINNPEEV VVDELRDGQVGYIVCNMKNSEEAFIGDTICWVDKPVEPLPGFKPMKAMVYAGVFPMDS SDFPKLEESIERLTLNDRSVSIQRESSAALSQGFRLGFLGTLHMDVFKQRLEDEYSSE VIVTAPTVPYKVIYLNGTEEYISNPVDFPEVTDSKMRVRHVEEPMTYFHAISEYLGEM MDLCSRYRGVQQEYRILENSDRAILRYSLPLAEIVTDFFSELKSSSSGFASFDYEEAG YQQSTLVKLNILINGKPVDALAMIVHKFAAQNVGKAWVKKLKEVVPRQQFELSLQAAV GAKVIARENVSAFRKDVTAGLYGGHYDRKLKHLNKQKEGKKRLKKLAGNIEIPQTAFF QVLSSRPRSLHTSARPPVPDSSAHTDPIIQNLPQPVPSSPSIPPQSSATPPPIGKSTV PPPERSFKLSSMGRMTSDPPPSNVTSADLHEAFRDLYRSSPDSHIFTPAEIHEILRTL QTLHNREGGDKRLIAEKFSPIIQELKDIVGDQSKAIKGLELSILSSASRRERKVKTND ILNAERLFRQVFPKQPTDEKKDVERYKAGINHLIYVCALAGHQGRFQDWWDKLMRSNL KPDSYSFLSKCILAEKTGQLDEIPQIINEAYNTGMEDDDMKVLINFNIHSFARGGRLE IALKSYAKLVDLSKEDTDSLLDSSKSLNQDYSAYKASKFEIPISSTLQGKPTKELFGP LLSVLVSNGNLIGSLIIFKGIFENEFTPTIKDYITLFKGFSIYGEIPKINKEEGLGEI GRIFGINQYDGTIIKQKLFNERDRDENFLDIWERGSSFDKNVSLSNEIKQIKIDNTNN NNLNEEWNLNTLNEIYISFLSLKPFDFSNFKNEKQKGLNKKSFWIIIKSFVRTSGGDL NLIKKIWNELELKFVNQVEDGEDGEENNNKNSNKEGWKGFKLDNRLIWAKKTLFNHD
I206_06129	MVVALSARMLRATAVARPLARKYATSVTGYGREVEGFVGAVGNT PLIRLNRLSEETGSNILAKAEFMSPGGSIKDRAALYLVKDAEEKGLIRPGGTVVEGTA GNTGIGLAHVCRSKGYQCVIYMPDTQSQEKIDLLRMLGADVRPVPAVAFDNPQNYNHQ AKRYAESLDNAVWTNQFDNTANRNAHILTTGPEIWEQTNGGKLDAFICATGTGGTLAG VARYLTEKSNGKVEAWLADPPGSVLYNLVENGKIERVGNGSITEGIGQGRVTSNLKPD LELLSGAIHVPDSASINMVYRLLHEEGLYVGASSALNVWAAAELAKKKGKGSTVVTVL CDGAYR
I206_06130	MAESDTNDQSGSKSLQSIKLSDILSGTQSTTNQTLKCDDPMISN YNNARESFFSTPNNSASQAEAKYPGAKYPGSSTQSHPQGYNAVHPEGVDEHGPTKGRK GHHDKSAQRSHGIWLKAYTSKK
I206_06131	MEDDREMRVDEAIIPENGNGDRYGSRRDDRDRSRSRDRHRSSRD DDRGHRIANASGAGDKDDKHRRRSYSRSRSRSRTRSPRRHSHRHHSRSRSRDRDHKQD RDRDYRSSSHRDSRDYRSERYPPSGGFRGGFRGGRGLGGGYGRGGFAGHASQRPTSGP GPNGEQSVGGPMNAPQEEAEAHAKVSRRENRLYVGNLAYDVTYKDLSNFMSSAGGTVV FSEVLTTPAGQSKGCGIVEFTSQEDAQRAKAELSDKYLLSRNVFIREDREETARFGAP SIPGKIGVATGEARSFLGAAAPVYPVNPTNRNVFVGNLPLQASWQDLKDLMRQAGEVI RADVGVHPDGNPRGNGTVVFVNPEDARAAIEMFNGFDWFGNILECKPDKFANGGLAAR GRGGFRGGFAPRGFGFARGGFAGRGGAFPGAGLGRGMGFNPAYGGAAAAGGRSFNDNI YADYNGPEGGDGMAVDGAGGSGLQRQPAEPNQQILVRNLPWSTANEDLVELFETVGNV VIAEVLFEAGRSKGEGIVQFTETAEAQTAGEKFTGYMYGGRPLDVQFNPRWHEFSSAA VKGGQVPPA
I206_06132	MLPRPARFRQLPSLLRPTSSLTKARITIISDVRQYSARTTLPRP LKIAIIGSGPSGFYTASRILNSIPSDSPNGQNVQVHMYERLPTPYGLVRYGVAPDHPE VKNCQHKFDELSSDPRFKFFGNVLISSQPSSSETISTPSSALSPYTYPHSVRISFDDI LPYYSTLILTYGASLSNPLSSVPGSSSSPNPLNNVIPALGLVSWYNSHPAFSELPINL KGIEEVSIVGQGNVALDVARILLKPIDQLSKTDLSEEVLQILSESNVKRVKVIGRRGP GQISFTTKEFREMLSIPELEYKGIKNELMEEAKALIGNERMKKRLLGLMEKSIKNDSG KKLFELDFLKSPKAFLPSSENSSNVGEIEWNLNQLLSSPSSLSSPTPPNSQSSALPSG NSVMASPTGETLKYRADMIIESVGYRSEPLTGEQGGWKLPFDEKRGRVKNVNGRVVDE EGSVIPGIYAAGWAARGPVGVIASTMHDSYSLADSLMDDHYSSTSLGDSAGPLNTTPE DGIPEVIIKSQKNGGIVVDLSAWERIDQAERDRAKKMGKGKEREKFRKVEDMLAVLG
I206_06133	MALILVGAGVRAGVKAYKAYDKKQKEKKEGRNIEDNASYETSNI QNMERLQLNEPSYPSQSDRMPSYQSESQMIPQGYMDEKKSREYEGHQKPENPFDAPPS YDKAIEPNQASISRGAMAAPAQERYTGGFGPSGYTPNSQNQISRTRSNSSSSSSSSSS SDSSKELRNRNPGLSRSELKALKRQYKYDRKLARRQAKSDRRAAKAQYRAERDMMKHG GVGSGQYGRTPMGMGMELGRGRGQLGNRGGRGFGGGLLGRGVL
I206_06134	MILGINTVLLAAGLSLLGKVLALGEERVITFPSIIDLQQQSHLS LEDTHQVIFEFNDAGVLERQKDDHNFVVASKPHKHATPILLDSKDDQAIHVAAQTFAH DVYKVTGQHPRLYNDTLPHGIDRALIVGSISSQLISNIKSIDRGELHGKWESYEIGWE EQPVKGLKEGLVITGSDRRGTVFALYTLSEQMGVSPWYFWTDTPIKHKDIIGYNKNKK LSHGEPTVKYRGLFINDEHPAMWGWAQQHWHRKPWEPAFQVEMYEKWFEMLLRLKANY HWPAMWASMFDVDGLDTSNGLPKTPTPGPNQVLANKMGVVMGTSHHEPMSRNKPEWDS YGVGKWDYVENKEVMEEFWRYGAERAKGMETLFTMGMRGDGDEPLSGASNALVQNITH AQQGILKDVYGDDFDQINQMWCMYKEVSGYYFNGLEVPEDVTVLFADDNYGNLMSVLP PDKQDHKAGAGIYYHVDYVGFPRDYKWTNTINLAKMWEQLNHVRAFNTTSIWILNVGS LKPLEMPSEHFLALAYDSDAWPRNSVRKFLHAWAEREFGEDVAKETADIMFKYSLYAG RAKAELINGTTFSFNNYEEAERVLAGWNDLVHRAGKIYDKLDKITKPSFFQQVYMLCA GQANLNRLHQAVGRSNSYAFQARTAANTFAKEAIEAFYQDANLTETFHSLLNRKWDHM WDQTHIEYYGALEPIRDSLPPIKFVNPYVPARPGIPIKEHALPGYVAYLRVTVENFIG AWPGDTGKNCDRQFKCPDPTVLTMDLYGSQTRWVDIGSGGPRDTKFTITTNYDWLKVS QNHGKVKWDGTEDLRIYISVDWNKVKIPKEIKGKEIYKTEGHVLIKGNDLTNVTITVP IQIPLISPPKEFKGHIQGDNYLVIEASHYTRLIEKEGYSFKEIEGYGRTLSGMEMLPS TIKNFTIGQGPILEYDIWINGLENDNDELEIIIQLGPTNNFIVNKQLSFGFQMDGDLN IQLIKPIPLLLLQDNINEEPFKRSAVGAVPSDWENAVSSENRNATISVKIDENWKSPG QHTIKLYGMSTGLVIERIWVDFGGIKERGYSYLGPPESYRAL
I206_06135	MPQQLVSPEEFLTKLEGCFSDPSSSSSVWLTHKRLTYNEGDVQM DDEENEDGPEYEVLIRCTQGDNKFSAKIPASSLSTFHATYGSLLKSSFAPLMRKRDKK KEKLRAEKLTKKRREVYVDLEVGQAGKRGKGRRQRQRKIKAQKKKEAERERIESQSNA QKASGES
I206_06136	MPAPTPVKTLDGRLKMRDGNSIPQFGLGVYEMNDQEAYDSTKAA LNAGYKHVDTAEWYENEEPCGRAINEWCKETGTPRSEIFLTSKLMHNKTYDQASADIR KSLKRAQVDSFDLYLMHSPIGGPEIRKNIWRALVDAKKEGLLKSIGVSNFGIKHIQEM IDQKVELPVVNQIDLHPFMRHPEIVEICEQNNIILEAWGPLARAMRFNHPSIQKVSKA KGKDVGQIFLRWGLQHGFIIIPKSVNPKRIASNSQIFDFELDDNEMKELDGLDEYLVT DWDVVDVE
I206_06137	MSSIPLIVGCSVPLEKSTINKLNEKFEKFIYHPKGEEEVEFNEE ELIEIEIFFTKGSGLPENIKSLNQLPKLKHIQLASAGSDSMQKTKPILSYIENLKNSN SNNNDKEISLSTASGTHVLSIPPYAVGMTIALLHQWKGLIINGRDKKRFLSEEECDLK GETYFARSTYGRTAGLLGYGALGRETARLLKAHGMKIIAANTSGKATPQDGYVIPGTG DKDGSIPEEYFSTKDPKSVEAFLKQSDVLICSLPNTPATKYFLNKEKLALLPEGAVLV NVGRGSLIPSDDLLEILDSPKFFGAAIDVTDPEPLPENHPLWSHPKLIITPHISGNSE NEMDIAADILIANAKKVQDGENVINRIEFGRGY
I206_06138	MSPPPSTPAQEDNQVQDSKGTHSKPTKWAIPSSPNGTRSGPQTW MQQARSLQRRTEDITNAVSNSIYSTFVPKVQRKVEQTGKKWHNSRCDESGGYKPLHCG RLIETSGSDGADSNEAFSWTSESAMKQVQECGKPVCMIKSDTCTNGNRCASLFCKEHM CGGCQTNGKEYDPAYTEGDDDFEAC
I206_06139	MAPSMFTRPSVVSDNKGSTALHRQKFRSISSFFHSTTTTSVEDN ELHVIAYFQPQDNSPAHPTYDGLPSIRNASAISLSRAIPSPSQSTASFRLKAEIHKEN VGVSYTSHTLQAQVSREMPTLASAIHIPDSTTSTKTFKPQHSTLPSPGNNAVHPLYHI NASSTSIFDSYVHVAETVEKDHSNEDSENTLRRKLSRRLSLDLIVPKLTGSLRKRERS GSKSSTFDKDNVDSSRRWSLFNNSENKATQIEAKPFPVPPVNKMQAGMPVTRNWRNKF TVHKPSTELLGDKKEDIGSRLEASRQARERTMADSISTTERYDERTIKRIPVKRMEDR SSSDIQAKCVEDKLRQRQSLISMKASTMKDCQDASRFSFVHRPSSIASTFDPAATPKS TASSIPIPFVPTGPPLIESQTVTSLSATFDSPSPLPRPLHTPSTRSNGLHVPQTTKMS IFSPAQDDRSILDPGRSPCPLPDGSPLIPSDGLRYQHMPLPDPPIQQNLTSSPSASGI GGRKDVLSASESAVSMAISATQPSQTGTFGVTKNHNTSHTNLEGSATSTDRSHMTSSS SSRTIYTLPRSKSKSHTDLSTYASSKNLVDHIEATQGPGWWSADKRASRKESVATTQE EVEQKTIERLERLAQSTNLPHRTKFDGQECQVDTDHLLNTSLNRMRAEDKMRTRSSMD LGSSYRATGHQARHSLVAGSIWSKDQSSSPRRSVLTNQSRILDAAGTPVKIVHLALIP LPPSEIKKGTFVSNRISPEIARRASFLNKSAVNTAITDDNSSDSPSRSTSRQTTRTVG SIISNVSTVPTSLQSDGEAEDDKLSNGNQGVKIQQLIVEYEEKLKNMKSRHALEVDTI LNALSQSKNDNQLLKTDNDRLFVENNKLKEKVKILCMSLQSIELGSSTEQCDQPRLTD NQISMKRSESTMSSLLPELNSTNSMQLSPLPYTSRTSSLGSSSDQYEIDEFGRKNLST SKSSMKNDRKTSDFSGGEKTVLVMEKRNVSGQSYTTEGSIISYEDHQTQQISDIVNEG WTLSLKEDDKRFLNDL
I206_06140	MSSEYRVEFHRPIRPTLKPLTNIEDSKITNIPEFQLLKSLRSFS NSSNTSTSSNSSTYSRLADDILDKFVNQDSHSIIDLWSGGNIRLNGRNLTSTPRDSED LLNALKNDERFEFVKLIFFDNEGEDWKVGTVSIIPMIAQVLLCF
I206_06141	MLITNTPIFSQSPITDDDRPSLFDLLAQDQLRDLFHPVVRYVLS YFAQRYPRYLLRVLNHHEEFFAALLLILERHHLKKHNASIAEHFYGLRLTPTSAIPTP RLNTLSPPKRSSLSRKQRWGMLLVLVGLPYLRARAQDYFESLGGGDVNERNEEDRGIA ITRTQKAFKVLYPYLSLGLDLTFLGYDLAFLFEKINYPRPWHKWLGLKVIRKGPEDEI ESSGIISKLPPLLPPLLLLLKLSQWWYSPSSPRSHPSLTANTNSTASTHAAILPPRPL RILPNAVILPPTPPLTPVEEQGSPLDRSSTAERSTGRYTISEENYGDCPLCGKKWQNP AVLPSGWVVCWRCGWDAIEGEEEEEPEDESVGEKGTTVGEERTEEETRVSVKKKGRRG RCPFTGVEVGPGELRRVLV
I206_06142	MKIISPTPSSAMDQSALRPVQRKRSSRIAAVKAKARTSEQLLTE NQLSFEKSISSDSSNSTDSPNDDGNDFPEVGQEDDESEMGSGKEDENDGLLFNELGEK WLKPKEPFPWDEVEWYLDESIGVEMIAHVSKRIEKMQGTITDDIEVTDIILINPHPSH LSSERSKYLRKLGHVKNRLCIILPYTYLSKCYFSKKVEKTDKRQPIFLNDKGLGMKVT VTKLGEGEEGINKRKAIMIDLESHGAMIVPSSNDADICIVPPSHPYLKSPPKNTKYDN IKWYTSEWVENQIKAFENEFQAKLKSKTMTENSKSQRSRLKPISEWKGGLKPLKMNRT KPPSFSGGLGRNKTHLEGSQFIKQRSGIRTEFTPSDRDFLARWLAYNKPDNVGRTTKS LYLELESFRPSSPWYHTANRHPPSAWHEHFKRNRSKLGMDGKILEDQVDKYVDRGVNH TLRTRRERSEVEDGDSNPKRTGLELNNQDQTQENEAGLVEGFEENHGKKVNATGVVRR QGNKSKKQKLDGQVRKARKITIDYPQFTDEIIADQEEDSDNAEIGEDDDTSKQGRNED SQVNDVINAQVRELNHGDSTSDSLRVDEVLGESSEASDELRNYSHREEVELNDAPAES SNGIVQGEANNAAKEGQVIDPDATSPRRSKRIRRE
I206_06143	MDALIPFTTSGSLVDLVDKKVLVVLRDGRKLIGVFRSYDQFANF LLEACIERIHYKFDYADKDIGVLLVRGENVVALGEIDLIAEDMVPLKQVSWNQVEEQI NAENKRRERDHTIKDKVLGSIGFVNEGREGDAY
I206_06144	MSPKSRLPPIKAKGLKFGSGTSSGPSSVGNDSKEEKKKEEEGSL PFLDNVCCNLCNESFFDGIAKSKIYWLTTCGHIVCDDDEHHHKEGTCTVCGKTKIHAV SMEQGNLQPAQEKFLYNPISRLKLLEAEIMKHIKVIQDDVTELSSLRTIYSFQEKQHK RTRLIYKQELDKERAETERLRNELDIHITENVELKQANKELQARLDMPPPPLPRSSLQ ASGVFETPRQSPVYGSGLNQSLPRIQEEEENSSAKRQRVEQNGFPPELLAPSTPIPTR PPSIIGGRIYQTPQPHHVDPHQSFDGYDPMLPRAGPSKHELERYRYNSTPVTAISPQV YSQGYIQGNGRITPMQGETPNVYRPYSAQGTHRRSEAQRQNYRFSQDGWSPNNLYDDN RQDYGSGEYDASDQPKVSLNLARKRPYPSQF
I206_06145	MQRPNDPLAQRALFVKNLNFNISGSDLYDLFGKYGPIRQIRLGI DNNLKTKGTAYVVYESPDDAKEAINHLNGFHLMERYIVVLYHHPSKQQASALAKAELR AREEAVAEEKKRLGLKDE
I206_06146	MASIITLPIKLSTVPSGWKKPTTSKPSNPNQLPIYPAGPSFIGS ARRQILQRSFEEDDKFIISAKEKQLAEKEDKGDGSLYPGLGEEEEPSHVLSQDPKEWK KQDHYAVLGLGHLRYKAHDEHIRIAHRRKVLRHHPDKKAAQHGANDDSFFKCIQKAHE TLTNNDKRRQFDSVDWNIEDEVPDLKSLSPEQFVDVCNKVFSREGRFSKVQPVPEFGS LDAPKKEVEGFYDFFYNFDSWRSFEWHDKEVNEGSDNRDDKRFTEKKNKSERTRRKKE DNTRLRVLVDDVLALDPRIKRIKAEEKAARDAKKKGTTNGVPKALTPAEKKAVEEKKK KEELEKKEADKKALEASKGDREAAKKAKEAARKNLKKWKKSIATVISSSNYFQPEGTS PSATIIEKQLSELDLIVDLIEPEQVKELKEKIEKVENGKSAKDILIETVKSIGEKGQD KFTEFA
I206_06147	MASPIEPSGASLLHSPSKESPVKVNVLVDMLANGQVPVPHPRQS NEFMSQITIASEAVITEGGQKSLITSEESEVIAQVVKPLEEGQNGDVEMNGTNDEHLD VEAEEYEDAEEGEDPHEGEGSEDDDDEDEEDDEDDDEDDDEDDSGEESEDLGGSPEIV AIDGPNGVSRFSLPPTIKAEPGTPAPSSAIGGPIDPSIPSNGDIADDAATNELIPKKK KRAKLRSPSEEEDLPPPPPPMKTIRLSRTMLEEGETLEWNILDEAREKGMVEIWGVTE TEDPIGGPSIHDINGSEENKENMDVDSNPFLVNGESNVIGRLPGEGIAGPSSGPLYGL GLGDEDPEEIARRLEEKYGDEKKKSKKAKRKQIDYDIEDPFIDDSDLLIDAPTHFAKP KKEGFFVHSGTLELLEESPVKPKARPKAKPRHSNPAPAPKEPRKSLSAALRLQKRRGN GFRGSQAEPISIDDSDGERNGRPSTSNHVERSLSPIADKEEDDDADLEMAPVHVDRIR YKNASREERYLPPWPIFPNEVRRRLMTLRGESEKQNWESSNRSKFPENLKPFLQQAGQ AAYQYDLFGLSDREGVDKGFWHAITSALPYNEFTIRKLCTKLCYQGYWRWLHDNEDEG IRQFAEMVETDKEEVVSKYEESHKRWEEEVKEWAYDRELVDEKHPSLNPANPSNPAIS IDALVDGGNTPNPYSTPKPEDTRPAEPAKRFSWTSDMREVFEQLVENMLNMVDLTAKA SPSEWNIPNAKSGKEWSEAAIKQKMYKRIVEAFPEGYMNTGVISREMSKINKKKVAKQ EQPENGENGQ
I206_06148	MLASTPPSSRTLISALIAIGLLGVVSAKSGWGEACDQSNTHLDP NTYNLVTDCDATTYCASNGTCATKGCRKDIYPFGYSAYAFDLLPPLCPQGQFCPDEGD QCLAQVPVGGACQKDRDNECALAENYKNLAGFLNTNGSICLNFTCYYANVTLGQTCIF DNTAYTAYLDSGAAYAFIVSRDNCANGLYCDGTSSQCNKMKRQGETCSGNKECLTYNC AADGKCGKAADDPLHPGAWQYVLVGLGILILIGGVMTGLWFFHRRDRKENQIKLEQYY NEQIAYRQSIMSMSHAKNSLLSLPPHASQDVVRSSLYRDDSGWTSATSDALLPPNVRR DSTSGWSDGEGPRDRMQRADSEVLLMPNNESNRDSRYMDAPEGQGGYRG
I206_06149	MSNDWWTNSNNSQQNQNNYFTTTPQRQNSNLRSSTTTTRFSNNN VNNNIGDELDSEDLKMVDSIKFLPSFANSPAGKLALGTSPQNSNSISFQQNQLNSFSN NSISGGGGNSSSYNNNNNNNSPSSNVNNESGRRSPGISTNIRDRSERDSPRHHRRSLL HQNSQLGNSFINNGNLQIDEDMPPTASLRDSINGGIQLRNNNNEISTPIELPTPSSLI PCSNTTTLHIFGPPLEILSNLNKSYLNQFGKIIQFKKGPEGSNWFLVQFDSPISASFA LKRHGDILNGKYMIGFKVFNENSLNGCTLSSSPTSSSSNQNQNSSNQNSNSNSQIVGT PIKIQEKSIIKNHLRPQQQQQQQIIQKKNNNNEYNWDDNEEQQTGWSGWVSERLITSE RGYSRDVALGPAPDI
I206_06150	MSTNNDTSLPSPPPSSDKHTFTAGESEGKGGTDPNEEISEDQTP RVPESEIRLYGLNPAVTAENLINFFSSTTRVLGVLLHPQSTSSVTLQWAQLWVASQAE VARCMNLKELLAPSGITLSRAPSSLALPNNQGLMTPELSSGSQFTVDIRAPPTPPSLS RALRSDVSGLGYRHIDPQGPLPRNLYVMGLPLDLTQVQFKTLFSQFGMVEHSTLLSQL DGMGRRRGFILMSTHREAVEAMQNMNGTWLEGFKIDISWALVQRDSKNFGANAFGALP NRVVHPPSAPSKRELSDDCAVLVENLDPMYFPNAGAVREIFSTFGPVIRVSIVSVNPF QAVIHFEHEVSATALLDAHGLSLGGRPVHTRRYTKPSLSTLSLTGNNAPASPLRLSFD PFGNSRSSSQMQLPSNYHDVNLKSTSLNVSSAPFVPLPYNNVKWVSTSANKENVPAFV KRTESPTVMQENNSENTSYQNHSRFSVNQGIRGAKMVESNSQTGNQEDSTAWPLPAES STLPAAAKSRWNSAPR
I206_06151	MSNPYLPITPGSSSSNIPNLSFAPSSSFNPYSKSTPDGPITRSR TLFYLSVRDSSSTYSSKVRKSDRQYGDTIDIGDDEEQIGLIGNSSTIPGKGLPPKWVD ISDEVEEILSRAKIKISVLDKLHAKHVLPGFTDRTAEEREIERQTSDITRDFRRCTSL ISSVQPTNRATRVEITTAKNVQRGLAQKVQDLSGMFRKKQRVYMQKLQGHAIKNKDLM VASGAITLKGVDLLDELEEDEQASHNQMQSQSQQQSTSAIDIDIQQRSNEITQIASSI SELADLFRDLGNLVVEQGTVLDSVEYNVQMTARELKGAEEELKVAQRYQANTGRRKCI LFLFLCILGLIIILIYKPRSNSSSTPIIPIISSSPTSSATTYIDDIVPSYKSVLQPSA MTTSKMHEHPHGPPLAKPPPLPTNNVPPVGGW
I206_06152	MNHGYDDSDEEYDYATPPILGGARGGGVNAGPSALLNQLMGGMG GMGRYQFRAPPPSAYDEYYKAYSVAVMGGRERPELMYGGKIIMPPSALAKLSALDIPS PWTFQLRNPRNPTVHQTHAGVLEFIADEGIVHLPAWMMKTLNLTEGEPIRLTGAKLPK GKMVKIQAQSTDFLQVSDAKAVLESALRFYSVLTKNDIIEITYNSLTFEFLIMETYAV SGETSSGGISVIDTDLEVDFETPVGYVEPPRPAPAPIPTMADKLKIDLSETHTVSAGS SRPGTSLSNRSGGESSGPKESFTGVGQSLSGKKVKGKGLAKKIEQVDESSKINRNDGP RIITPESLADDGRKIPAALKLEQGKFFFGFKYIEFDPSKVPKPKSEETTANGEGNTLQ PFGGSGQTLKKPPPKGARSPFRQPSPPPSSSSPSKGKEKSEENEIEDKWSKLGNGNTL STSNKKQKLDIKEQEKSRQDIIDATMLDEDDFMFDEGDGTDDDVIEIDSD
I206_06153	MSPHPTTLIPYGERIKLHTNPTAIKILEIMNRKKTNLAVSVDVT TASEALKVIKRVGASVCMVKTHVDIFEDFTHEFTEELVKLSKELDFVIFEDRKFADIG NTVSLQYSSGIHKISSWSDLTNAHSVPGPGIISGLSKIGKPLGRGLLLLAEMSSKGSL AINEYTEKTFKMVKETDLNREFVIGFIAQNRIDKIELIKKGEDYLILSPGVGLSLKGD SLGQQYRTPYECIFESGSDIIIVGRGIYGIEGDEKAIKDEAERYRQAGWKAYEERLNR K
I206_06154	MLPTLRSACPRCHKSIASPLAAVVVSRGYSTALSNHDEDTDYSE SFTDIVGELKRRKRKADSKRRQYGNTFVDHILVTVRGGKGGSGAAALQATLKGAGPSA PCGGNGGSGGSVYLTTSPSLSSLTTLKKRVIGGQGSSGSGAHKHGKRGQDVMITVPVG TIVKEIRREGEEERTIREEEELDLTEDELKMRKWKRWIISHPSAGGEVSFQEYKDAEI LLKKEGRWKVLTPTFDQEPPIELDINEPIKEPILISKGGLGGLGNPFFNSPRIASRGF LPPIQTFEFELKLLADVGLVGLPNVGKSTLLRGLTGKKAEIANYQFTTLNPQIGVVRV FENGKWSGELNDGVEIQESLLEREIEDLSRTLGEEFPDIRNKVGGGRNDNVEKIRFTL SDNPGLLPQASENVGLGHSFLRSIERSPVLVYVLDLSKDSPINDLLTLKNELESYKAG LSDRANIIVLNKADQVEEQIGKDRIKEIREAIGEKSDIVTLSGKYNLGIKHLVNLLVE KVGTARANATIEADEKRKIKETNTKEGNSFGLKRLEV
I206_06155	MASRAAVSKVLRPASVSARALSSSTTATSSVANKLVGRNALLTT PLARVSRSEPKWLVGAEQRRLASSDEGVTQMTVREALNSAMEEEMNRDETVFVMGEEV ARYNGAYKITKGLLDKFGEDRVIDTPITESGFAGMAVGAALAGLRPVCEFMTWNFAMQ AIDQIVNSGGKTYYMSGGNVPCPVTFRGPNGAAAGVGAQHSQDYSAWYGSVPGLKVIS PWSAADCRGLLKAAIRDPNPVCFLENELLYGVSFPITKEELSEDFLLPIGKAKVEKEG SDVTIVTHSKMVTHSLEAAELLEKNEGIKVEVINLRSIRPLDIDTIINSVKKTNHLVT VEGGFPAFGVGSEIIAQICESPAFDFLDAPPERITGADVPTPYSESLEHMAFPDTSII VKVLKRHLCE
I206_06156	METSNEERNHFNTVGFDLGQVPLNIPRAGTGNENQGWLSRWLSM GGEGNVIVHLGPKSISSNLTLPHRPSAFPSVNQIQNNLPLSGFISLFENLNNLPKSNL NQNSLSLSPQFACFPSNSLPRLPKLPSKGESNKIVLIERGGCDFSTKVRAAQDKGINA VIVGDTISHRGETDEEGRKREGLITMFSPEDTDTIFIPSVFVSRASYLILRDLLLNHT SSGMQEREGLWVDLSQGSDESGALTSLLSFALLMPSLFLLATIAVHRIRVARQREKDR APPMIVLSLPERVWTPDIVWEKDDSSCDQSLHSHPSRSTSPINNHSIIIPQKSSGDHE DDNEPIASSSTPTPTTILQPPPPISPSEVRTPPAISIDIPDPLFAPPSSSPAIAEGSN KRKHRVKRQYFSKDECAICMDSFSRGDIVRILPCGHVFHKEECDEWLMKWRKLCPTCR ADVTLPVGSNVKGSTITPVSNPLQTTTADYTPLNQEDILGDDAQREGRSWTSLFRSAR ERLYGYGQGLGLRRGNGISLDNQSIESEEEGGGGGERNSLVAQNPNHAEDFRGST
I206_06157	MVNLNPISTVSGSSLIGVGIACGGNILISLALTLQKLAHRKNEE RAQKNHIENGNNGEDDDDDDDDDSSTITSNFNIRSKKQNGHIQNVPSPIPEEETPCPS PHSQRSNFDEDEQNQAVKALPIFLVQDSKSKSSSNSFNSPSSSITPITKTQSKQDNGN DRSSQEEEDQIRFKDDEVEHGGQVKEGEYLKSKLWWLGQILITVGEGGNFLSYGFAPA SVVAPLGTVALIANCIFAPLVLREKFHKRELFGMALAIIGAITVVYSSNGSNPRLNPD QLISALKRIPFIIYTILTVILLITLILLSNSSYGHKYLLIDIGVCTLFGGYTVLSTKA LSSLLSNDFIGSWNFGITWFLLIMVASTSIGQVRWLNRALMNFQSKEVIPTQFVFFSL AAIIGSAVLYEEFKDVSFSSFVNFAFGIATTFLGVHLLTSTTSSNESSNEEIEESINS NLNRPILQQRATSSASLNLLLPSSTSLNSERTPLLISSSSNWQISQQQQQQQQQSQQQ NTIYTPGLGTTPNNKVRLVRIGSNGEFSPALGIGSQAGLLLLATTPPTSGILHRGRSS SKTLTLGTNPNSNSNGISDEELGRSDGDLDKGKNDRRDTSPSRRTNRV
I206_06158	MAPRFLLNFARFYNSNFDRRPIPTLIITNGVLNTIADALLHTPT PSSPTPSYDPERTLRFAIYGMAMGPLIGRWMRVLERSIPVKIGTQGAGLGLAKRVAAD QLIMAPFGLTLFITSMGIMEGRDWQGISDKFSDMYMPALIANWKVWPLIQTINFKLMP IQYRVPFQSTCGIAWTLYLSLLNAKDDASEKS
I206_06159	MSIENLTINDDETTQVDIQSRPERKARKALEGLGLKKVNGIQRV TLRRPKGVLMVVAQPEVYKAPGSDCYIIFGEAKLEDPTSAAQLQAQAQLAASSQAAQK AHAQGGFKEGVPQSLEDLIGDDEAPALEDSDKPAEKSASTEETGDAKISDEDVNLVVA QTGCTEEKAREALKAEKGDLINASE
I206_06160	MSSFFSRSNKSASSSSSSLAKQSKENATSEIFTEPSSESSVKKK WEYDEIQLKQIEDLKAFTKTLILPSTDSYHPWELRFLNDPGTHPRYMRAAKWKFDDAK KRIQGTLEWRREYKPELIQPEDVGIEAETGKIILTGFDFDSRPILYMRPGRENTERSP RQIRHLIYHLERAIDFMPPGQEQVAIIVDYKSATSQSNPSIAVARQVLNILQNHYVER LGRGLVVNMPWWINAFFTGISPFLDPITRDKIRFNPKLTELVPAVQLDSEFGGEYEFD FQHAPYWKTITEFCHIAPDGSRISSSGEKIYPPSGNGIKAALEGLHPIKGAVATGQVE KIDNVDKVIITPPSIDNTPTESPAPTTPAISDAHENAVVEDSSKSNGNVKEGSDKTLI NEQSNGTVDSSLEDGIKRISLNRALTTAPGAPEGEAVFDHPPTEGEIKEARQSLEGR
I206_06161	MSGLSDDTLRKILTQIQQQAILSQKQLSLVKAQIQSKEKEKKIL TLTVRELNYIPKEHEGLYKGVGKMFIQQDRKEVDTQYTIQEKQINDDLIILNKKVKYL EKQFEEANSQLKDIFHSQQRAAAS
I206_06162	MGKAEAGSAKAIGNAIKAKGKLRWYCQVCEKQCRDENGFQAHCR SEPHMRKILLLGPKANSAISDFSNQFQSEFLLLLRTRHQTNRVRANVVYNEYIQDKHH VHMNSTHWVTLSGFIATLGKAGIVHVDEDEKGLWITWVDTRPETLARQAAAQKKERST MDGEERERKMLAEQIERAKAQHTTAEESKGLEKKEGEKITLNLFGPSSTIPTMNEVKP STTEIENSLNGNSEEPKKSSSFGTFSTPASKPITINPLKRPAPMNIFKSAKTPKTSSK DDEESLIGGGKKFMSEAERLMKEDQARKNRQSQGYKGMGPTRGGR
I206_06163	MTTPLLPSSLPLIPLRSPQVLFPHLRASIPLSSTQLSLVIDAVQ SNLGGKGGNGERGMIGIVPVADHDRRVGRWGCAARIKSIQKSSDEDDLYILLVEGLTR IRLPRSLPPVLSILPSIPIPTSPYSLAIPATIPSSTDLLPLALKLLPEQLHSRINALP ASLLADILVTILGVDWDSKVELLGIPDIEQRCDRVREILLDLMSSKGIQPPEGINNEK PSKSNALIVRSRVPLGLTSSNSKSNTSSNTNPLDKLPEDLQPLFKLYQKRLSELSKTA IETLDRELKRLSKIPPQSAEYGVAKTYIEWILALPFKKVSENYEIDLKNARDKLDADH EGLDKIKKRVIEYLAVYRLKRQLFVESQEKRKLQNSSNLSNEQSQSTEKLDKEVENDL LELIPSSERQSISIPNIPQNDKNIIENDDEPSTDVYRDKGPILLLVGPPGVGKTSIAK SLANSLGRKFHRISLGGVRDEAEIRGHRRTYVGALPGLLVQAMRKVGVSNPLILLDEL DKVEHGGFHGDPSAALLEALDPAQNWNFHDHYMGDVPIDLSQVLFIATANQLDSISWP LLDRCEVIECSGYITPEKLSIAKKFLLPKQIKECGLNDTQIKMNEEVLEKVIIDYTRE AGVRNLERQIGKLCRSKAVEFSISREPVSPQDKQAYQPNVVMEDVERILGISHYGRER PETNVRPGVINGLSYNGSGNGGLLIIETLLIPGGSGKLVTTGRLGEVFRESIELCFTW VKSRSLSLGITTSPSENPLKGYDVHYHIPEGAIPKDGPSAGIATVLAFVSLLTGRPID VEIAVTGEMSLRGSCLRIGGVKEKVIGAHRAGVKKIVLPRTNRPDVMTDVPELVRNDI QFIYVDKIEQAIEEVWGKDIWAGGDKDFIKVDARL
I206_06164	MIAIQFGRPFAIQDRDIDIELPINLDANIADQEALCGLFNAQAL MPDTNRPGDEYRVGYGYFTSVRFHLLSLISRFMLIIVIFILRFYYIFVNAKRDREAKA SGLSEEEMERLGKIGGENDLTDIQNPYFRYSY
I206_06165	MPREPPVCNESRSSTASSRKRRGLAYWLSIQNWQFKHRYLCALQ PLSDINIEQQDDIARAIDEHFGAFFLWMLYGLGAKLCENDPGIIPVSHEVYFDTALRH FTPLSTIQSLTTVQALLLLIVYTFRHTSSELSLWHTGGLAIRSAIELGLHRKIRLKDI RESDPRA
I206_06166	MAPITVKKICCIGAGYVGGPTCAVIAEKCPNVTVTIVDLNQQRI DAWNSDELPIYEPGLDAVVKGARGKNLFFSTDVDKAIEEADLIFVSVNTPTKKSGIGA GFAADLKFLQLATRRIAEVAKSSKIVVEKSTVPCRTADAMRTILEANSKPGCHFDILS NPEFLAEGTAIDDLHAPDRVLIGSLQTKQGLDACEALANVYANWVPRERILTVGLWSS ELSKLAANAMLAQRISSVNALSAICEATGANIDEVAFAVGKDTRMGAKFLKASVGFGG SCFQKDILNLVYLSESLHLPEVAKYWRAVVEMNEYQKSRFANKVVDTLFNTITGKKIA ILGWAFKKDTGDTRESPAITLANHFLTEKARINIYDPQVSADQIWLDLTDYGDVPAEP IKPHVTISQSVEEACHNAEAIVICTEWDEFKTLDWQKIYDNCPRPAFVFDGRLILDRK ALTEIGFKVTSIGTGDRL
I206_06167	MEISTISLDTFLRDSKSSKGISEAQKAAESLISTGALIIQDSRI SKESNDKFLDLFEDYFNQDQDILKFDERPEVGFQVGVTLENTEKPKCASDENCQNIIS LLEENERPIDLGNHGADPKCRFFHRMSEKTPYESNFPVLEAPNVIPSKFQNTWEDGVN EWGGSLKQAVEGVAQMVAVGLGLDENTFTDAGQYGSHLLAPTATDLVKYGKLNTIYAG FHTDLNFLTIHGQSRYPGLHIWARNSGKKIQVKIPPGCLLVQAGKQIEWITGGLIKAG YHEVVCTQATLDTIAKRKVEFPERPLIRISSTFFWHLSHDFRLTPIPDLKNEAESRFG QQEDYGEMLVGDQVRRELGLIALMKPS
I206_06168	MPTPPSSSSSSSSKKLPSSSPSSARLRRIAPSPVKILKSSKSIA IAHAKKNQDSNNSKKAKSFINSPLFEFEPLELIILSSPDTQIRTLFEVLEDSKRNSNS YSSYHSNNNNNNKSSSKKLDFIPLIFDIKGEYGKLAYENKLKSLELDLPIDCDFEDDQ NIEVISPIFNNKKSKNFKRRSGLTLLSPKKYLNQFDIPSPVLINFKEDIFNDSIFKKS NDTLENQSSVGIKEFLDHEIEKPRLDREDSIQTFNTFGINRNNQFNSNSILLGNDNYE DDCSILDNWSFTLSAYEEELNPSFTTGSPSLYRKDSFFAFNGNVKTNSTLGEDVYDSN SSIYKEIPKDIVIETNISSDDSSFIPPLPSYNDNVLSVKSLEVNWANVRKSQYETNAP LSDIVIIPNTFPTVNQNLSISNNITQDTPTPTIKNPKSIRSRSRIISTEKKIHYVPLT QSEQANSSLNGNVDIDSIENALKTVDKEMTIQLWVDQEGCREVRSTLKYIKSIKPCIF REREEKSLKEATEWCESPTRPESFQQTGCWEFGMNPKERDKWSFHHAALEGLPVLRRL TINNDDKFDFLSRSATLQIKEPGVYSVCGQEERGKSEWKFEYLVQNKISINSGEQILN ERIIIPLGLYVSPNFFNPERALKTSLLNLFKKTLTSNIMSEKVKPPHIGKPPMKNNSN IEIDQHIDNPDHDCLSDKKDIGNIGRKRSQTQIESSIINHESHSRSVSKTIGAIAKAV VGKSNSSTAHTPTQPQHQDNLHTSTTPKIVRPSSAGLHKFGTKAPSFGRSTIGSRSKT PITSTFTIDSTIPSEESGDKKKGIQINSNRKRSTSLFSRSRPFTPPTNITQLHPVPQL TTKHSSFNSSSVSSPSTEPRLNIGTSINSTSRSSILGVPTINNQTSISLPLQPHSHTK STNKMDNSNSNSIDRSNVYQSSSNTNTIFLQPNFSTPNGIYNPPNSNLSNSSLISNKT GSSSIISPLNTPLLSRSSSITYRSKPIIHTQQQTNEEERDTISIGKIGTFGFVKRGIK KRPSTAEPRLGIK
I206_06169	MSPSPKPKTEPELLGDKDDMSIPSISELEMEAALLRSVIDIRPI GRHRHLLIIQLQSSMHKRTGIWISIEELWNRLTGLYDLEILDDMSSGSSISLPSSPNI LSPLLPKTLKQINKSKSKSKSPNSQRSSLSPLSDLSINSPQKFKSNSKFIKSKNNRRR KQSFDNDNDDDVDVDKDKINSKSAKIINSKHFRETFDLPYFKDKDLIQGEGEDDEIAD IEDDDNELRWQDIIYPRAEDDGIDKNWDGRKSNITTKNEEKDESEEEDDDDDRKAESD AEKEDEDQNDDGDDDQDEEEEEEEEEDEEEEPSSPVKRKPGRPPKSSTTVLSKPVSTR RESISMRRKSGRGKRDESESDDDRKRKRRRG
I206_06170	MPLKDLLKKRFTQAIQSIPPPTWKILITDDHSQAILDSVYKNFD ILQQNVTSVETLHSPRPPMSVDAIYLLTPTAQNVDRILADFNGRRTYNSAHLYFIDGI DDRLAQKLTDGLQGGVLRAFVELYCNVWAIEDRVFSLKSPGSFFTMFGPLGGAASADL AIEAFEDDVKVAGRSILNFLATIDESPYVRYYQPHHHSPLGPLAAQQQTSAPVQQQAP QSLRWRSAMGAGPKTPEIVGEHLSKKIAGQLQMDLDEYLQNNPEFPPASGRPKGVLFV VDRSMDPAAPLLHEFWYQAMVNDLLKIDDGVRYRYKYTNTIGGTEEKTALLNENDPVW CSVRHLHMKDAIDTLMTDFGKFAQEHAGFSGGGNVNVNDLKDMLASLPQFQTQREQFS LHLEMAQECMSLFEKKKLSLVANVEQCCATGYTSEGKTPKTLVEEMVPLLDDRVNVTS LDKVRIMALYILFRDGVADEDRRRLYQHARLSISEQDMVNNLIHLGVKVIKDSSRSNK SRIKQKPSNQEGEYELSRYKPIVQMVMEDSSSSRLDQSTFPYIRDAPAEASGSLRAGN SLAAPTGQSGSLRSARPTWHKAPSARMNNTEGKQRIIIFIAGGMTYSEMRCAYTAGQA LGKDVYIGSTHVLTPEIFTQQMRALGRGGIGANPPGGFQPHPQGPNRPHRSPGQPTTY QNILDFRHWTVPVGPPVIPPPQQPQSNLLQQPKLQHQSSFASSLNESMSNLSMGTNGK DAEKKKKKKLFGLKI
I206_06171	MTVTTTFRRDFLYGFATASAQIEGGGAESEKESGRGDSIWDAFC EQPDKIRDGSHVKKTCNHLAMYKEDVAMMKSLGANAYRFSISWPRIIPLGGKGDPINQ KGVDFYNNLINECLKNGLVPFVTLYHWDLPLELFKRYGGWLNKDKIVQDFTNYARTCF ELFGDRVKHWLTFNEPWCTSVLGHGIGEFAPGHVSNTEPWIVGHNILISHASAAKLYI NDFKPTQGGKIGITLNGDWTEPYDESPENIEAAQRKMDFALGWFADPIYLGKYPQSMI DILGDRLPKFTKEELALLKDCSEFYGCNTYTTNTIKAEKSDDEFAGYTTMGFDKPDGS MIGPPSQLGWLRDVPWGLRKLLNYLHQRYKMPIYMTENVSSPLQVADLHQKLKWYDEG RVRYYQGYTQALKDAVEKDGVDVRSYFGWSFMDNFEWASGLIPRFGSVYVDYDTFERT PKDSAKVLMEFFQDNISRK
I206_06172	MSANHFVQNDDKFIDERPLAELEDPSLDPTEDEAGRFLRSVDSQ SFIKEATESDYRALIKKLDKRLIPILFFTYALQCIDKSCLGYASVFTLSKDLGLHGKQ YSWLSSLFYFGYLICEYPTTLLSQKFPLGRFIGLAIVAWGSVLIATAGAHNFAGMAVL RFILGGFESLITPTFILINGMYYTRKEQVLRTGAWAAANGFGSMVGGIIAFGMGHVNT GISGWKWIFVINGLITVIWGVLVFLLLPPSPMHAKFLSEKEKVLAVHRIRSNNTGILN RVLKWSQVREALNPFEDPQGLLLFLTIFCNEVLNGGFGAFGTLTIQSFGFDSLQSTLI YIPQGFINMICILFGGWLAQKIPNGRIYVSIGMLIPTFIGLLLQIVLPRSNVAGLLTG VYLFPPFATCLFICLSLPGVNSSGYTKRITLSSYAFLGYALGNISGPFMVKTGEKPAY RSVFIADIICIVLQAIFLIILRIYYVRENNRRDKLLAEGQIQNSKDDEFADKTDLQLP GFRYVVSDVMRRKRTMS
I206_06173	MAIETLAYEVPLKKKSYSPYSSTPETTAELEWAELVNLDVSQFD QPGGKEALAKQLKYALHNVGFFYVSNFGLSQEEVDEQFAIGKEIFELPLEEKVKYGAD TKEFSYNGYTGPALRVLNCCQVDNIPKFIKDFPGKEAHPGPVQRNWEKIEYFAKTVQH NVIERLLIIFAIVLELEDERYFVNRHQYEIRGEDHLRYMLYTARDEEINKKAQELYST GHTDLGSITLLFRQPVAGLQVLNSDGSYRWVKPVKGTVSTADTLSLLSGRYFKSSIHR VSVPPADQQHLDRLGVLFFIRPNNNVPVEVVKNSPLLQREGVYDSLEERSEPLDVGTW VKERQKHIFKNVYDITDAGQGKEGQERNELEAEVAGIKVKYWN
I206_06174	MSSDLQILILGASGYIGGTVLTDLLKQYKTSQITTLIRDEAKQA ILEPLGVKTVIGNVEDATFLQDLAKNYDAIFNFAVPFAGGDESIKALVDGLEHRAKVV QSELKPILLQTSGTGSIMYGKNGEAGKEVWKDSDHERWEALPDSAFFHSGDRIVARAA ARGIISAYIVMSPTVYGPGTGPGNKLSLQIPAYVKYAKRTGQAAYIGKGENIWGNVHV QDLSDLYLLLLQNAITYPSKTQATPESKGWSNLIYAGLEQHTWGPIITLVGDLLYDRG DIPKSGAKSIEEGQGDLYMFGTNSFMEISEKAKNLGWSRKQPTFEDAIQLALKPKSSV 
I206_06175	MTKHSRTSVERATVSSIKSTKKRNGSISAIEERPARRALSCTEC KRRKTKCSALGKTPCDSCARRGKPQDCKWEGLITASPDAHCSDSGPSIAHNDTDVLRA QIDRLERLVDTLTNRTAPPPMRAAQFHLPDNLESAAIDLEHFVVGPGVRPGLTETLHP TDKIDHRTAPRVSSIFPLSSLFTASSIDPSLLTVLPQLLPNAPDSQRLVDAFFEGPIN GSWHVIAQQAFRLKFSEYAILGLDDLEKNVDPLWFAEYLMVLAFAIKFPTFKIGQDIQ LFGSELQSLPSTLHHASVKVLEASDYLSRPQIGHVQHFGHSTDQANVALRYLDNAITT AQWLELDSIEDTLPFALTSEPSLDGLEPPHQIELCKQLYHLLNFLDGTIFKRPGLWRL TNSDYRERPCNNNDLDYAIKQQIRERPPRLMTEASLSRIGSSFADIIRDFEMERNPQH TALQRLLDHMPTIPSTAQSWMMNTLSCSLHYRLIRIHRSSMIRGFRDDRWRLSTSASV SSAQRILDIQVTMANWPDLRPGFMRRWIVGAVIIQAIDSLMSDSIIHHIRSQIQRICI DDFSALLPPITAILDSVDHFWHTLGVRKGQLDVDAFFAYVKERLKGNAGPPSATLEAI DIDQFLSTFDQYLPEGSANFLQVECYPDVWPLV
I206_06176	MSVTGDIFTNGVAGSSSTRSKLACAACRQNKVRCLRNEGLDHAC MRCLRYGLACTAPPINKRRGRPRRESSNGNSKTTSYTPPLASASSLDPAHSFWSMERS LGHFSQDILPSSSNGINTNEIHHNLAPTLTTNSNLHHMIIAQPDAIPSFPVAQSSPLS SEAEATGPNRNLDLSEYLDPADRPIHLAGPNAPFFLNNTPKSPTIEGDENSLSELRDD PEAEATALVKLFHDRLNHLVAVLDYKLHTLSYLRRTSTVLFTAVIAVASKFSRPDLHH SLLSHAQTLLTRSINNGVTDVAVVQSIMILMYWKLPADTSSWRKIGIAIRMGYQLYWH VPRTQSLPKDDTAARKILNAERTWMCLFCFDRSLSHMYGLPAVIQPNHLMDPANWARE HTYIGPSVDVHLASSIELCKLKDQWRAICDSTTQSSAYNDAALDSVLAQSEALLCRYW RKEAPPIGFEYEKEHVGLWSTLDFMLVLKRHYLEASPNDPIRIDACLSYASRITDQID EVANNGDLEIMQDTSSVMASSLTVLLRKMFHLSSLTQKTLIINLLRRILTAYTRAAGM EHNTAPAYVARFVQRTLRAIGMESKAGSPMREQAGISDGMLGGEQPDFMAQLQQFMVM PDETTALNDEDYW
I206_06177	MKLSSPRRTTTLKTGWSFSQVTSEYSKDVEETWHPCPIPTSVHV ELKKLGIIPDPYKDLNEWKVQWIQEADWVFKTSFHVGEDQLASQHLDIIFDGLDTYCT IKLNGQIVGEVDNMFMSHRIDVKEVIQAKDNHLELHFKSPWHEARKAEEANGGSKFLW NGASNRLYSRKAQYGWGWDWGPVMMTVGPWKDIHLEAYDARLEDVRVDTTLSGAGYDH ATLEAHFSTSGKAELKLDLELQDISGKVIRSVQSHPAEDVLNWNLGQEVKGWYPIGYG DQNLYQLKIELKDAKGTPLDVVTRRVAFRSAQLIQEPLEGQEGTSFVFEINGIRIFCG GSNWIPADSFLTEVEPLRYRKWIDLMVRGNQNMLRVWGGGIYESEELYDACDEAGILV WQDFMFGCGLYPSYPKLNASIEAEAEQAVKRLRDHPSVVIFAGNNEDYALAESIDVMD YNDNSGDYMKSKFPARQIYEIILPEVVKRFSHIEYRRSSPYGGKTSSDQTVGDIHQWN VWHGTQEPWSNWDKLAGRFVSEFGMQGYPDLRTVNEWSDDKSQLFPQSRVSVNHNKAD GFERRLELYLMENFRHSFDMPGYVYYTQIMQAECLGAAYRLWRRNFKGRGKEYTSGAL VWQLNDCWPCVSWGIADYYLRPKPAFFTIARELRPFTVGLTRKEVKKLRDKETSAFFE ISEKLEIWACNSSLEPVEVIVRLETFNLSTSHRDQLTFNARLDANASTEIWSGDLPGQ PIRHDEASSPHPIVVQARLYLKAKPDIVEARYSSWPEPYKYLDFPDPVLKLSSHDGEV RISCEKPVKGLVLDVDGDEVEWSDQALDLFPDDEQVVHAKGLKGRTVNYRFVGDGSA
I206_06178	MSLHQVTSESGDLQEKDFKSVGHHETIPHISKTGIDPDAHVKDV ANAELYAAIQESNIPRWSRRSIHLYFAVFVSFCCACANGYDGSLMTAVLAMPHFQNTF HSGTTGPKVSVIFSLYTVGAMVGAPFAAVLSDKFGRRRAMLGGSFVIITGMIIAATAK HVAQFVVARFVLGFGIAIMTVAAPAYSIEIAPPHWRGRCTGFYNCGWFGGSIPAAAVT YGCNNINSNLSWQLPLIFQAFACLIVIVGVWFIPESPRYLIANGREDEAFQFLVAYHG GGDPNSKLVALEIEEMRENIKIDGTDKVWWDYRPLFTTHNGRWRMAQVIMIGVFGQFS GNGLGYFNTVIYNNLGIHSVPQQLGYNLLNSVVSAIGALTATSLTDRMPRRPVLVFGT LACAITLGINSGLSAKLDSQGENVSKSVAQGALAAYFLFNIVNSFTYTPLQGVLPCEA LDTNIRAKGLAAYGFIVNARLTCSLVINQFAGPIALNNIGYKYIYIFVGWDCVEALLW YLFCVESQGRTIEQLEWVYNQPNPVKASLKVDKVVVEQDGRVVEKVDA
I206_06179	MTGSQSAPSSTGPTRKPTRHQPIVSCMECRRMKWKCDRKFPCAN CRKRGIESMCPNGQMRPVRGSQYLQVEKEIEILKMRNMQLEKILKEAQRQGFEIHPTT DDLTVPADNQVPIDRSTPEKTNPNWQEIDVVSGSRGPSSDGDIEDGQLIVGEEPGSSS FFGNAGAHYHLHLDGNSSQRISPSVRTDLPTGWLDTFPLPAAAPPTVDKLSQLLPQKA DATKWAVIYFEDAAVLNYSVDPEYFWRHIFQRAYPPDIPSPVSKAALNSHELGLMYLI LATGAAMDLSLPPYNEFAERFFTLGRIALAIDPTDSILFVQSIHIMSRYLSNSFRGPK ATLGFWSCLGMAVRSAQSMGLHRDGKRWKLPPEEIELRRRVFWEFITTRYLFLHRAHF ARALKDHPVEPMMSAYRFSYVAELEASRVILLILQEALSSNQQIACRFLIFFFHAFTA VVNFTAVVVRSPQSSLAKAAFAQVEHGVNLFETIEEGFRARDDLPRLRILLEQARSSI SQTHHGLHKASDIDVSSFGFGPRLVRVQKPSTARQEQHQQIPDLFEHNLGFPTTLADA SRLTRRSELPEYLYTSDSAPSPLDLVEHEQALFSDWLSAEWTLPLVPERQETESGPVL PVMQNNEDQDIYDFLINIGLDPNMEHKEGHSDRS
I206_06180	MPIATKTPLRPYNPPAETKADVDYANLRTIDLSKFDSDKPEDKQ SVFEEFKAAVQEDGFLYLTNFGLTQEQIDKQFAIAQAALIDNGITDEEKNRLEWKYLD TGKYTGYKPRGYWDIAQGVKDNVESFNFYSETMKAGDHLPKILREYADDIIEFNTFLH DVVNKKLLSLLSRMLDLPESYLWDKVQSHNGPIGEGYFRQMMFHPAPPEHRKKSSVQM HGHQDYGVTTLLLSQPIAALQVLSADGNWRFVKYKKGGMVVNLGEVLEFISGGHLPAT RHRVTQCPEDQADQYRLTIGLFTAANNDLSLAPLIDSPLLAREGYVSRFEPGSDGVVD ATKIPTAEQWRVARVLRSQTPPTDIVIVNDVKYNRQYFQGIYVLEPI
I206_06181	MLMYLFSALDRGNVSNAKSDHMDKDLGFTGNQWNIMLTVFFIPY CLMAYPGTYMSKKFGPERMLPIYMGGWGAMAMINAGVKNFAECLVVRLLLGIFEGCFA ASLIMYLACFYTRGELGKRMACWYSTVAVSGAFSGLLSYGLFQVKTTKLHGWQLLFVV EGALTLIAAVASWFILPKYPTQASFLTPEQKVAGVMRLLKDSTTHVNQIMTLKAYIEP AKRWQTWIWGFYAIIYGVANSTASVFLTQIIGRWKYSTVKTNLLTVPPYSVAVVVMWI FVISSDRQRERTMHVFGANALVMVGCIVLAVIPITKISAGYFCTFLIASGSFIPTVLF QSFVQNNTTTENHRAFRAAVCTFGANAGGIVSANIFLSQFAPEYVTPLIVSAAIAALG MVVLACLRIYMVLDNRRRNREQGVNWTSKDVPTAALRNGPDSPSWRHFY
I206_06182	MVGVSFKKETDIVKLTEDQLHAVSHLDPELVRIGHEVIENQKKE GFIQAWRNHGRAAGWSIFLTSALLMEGFDTAIINSFFALPAFLNSFGVKGKKGKLAIP ANYQSGLVNIAYVGQIIGLFLNGWCQERFGSRKTFIGGMIIMTLTIFLAFFAVSLNML LVAELAMGIPWGMFQTLSTAYAAEICPIQLRGYLSAFASVGFGGGSFIASGVLRACLS ITGEYGWRLPYALQWVWPVPLALGCYFAPDSPWWLVRKGRIEEAEKVLIRCARPGFYA EKEAQGFIAFMQHTDALEKLDAKGGSWKEMFKGVNLRRTEIMFGVWLVQLWNGNIITG LTVEMLENAGMSATAAFNMNLVLSAMSIIGVAISWVALRYTGRRKIYITGIALEACCL LPIGILGTVAQTNARLNAMGALMIIINLLFHFSLGPVCYSIVGELPSSRLRSRSIVLG RFVYVVSAIIASQLRARMVTATAWNWQAKSAYFWLGCNLICLTWTFFRLPETGGFSFA ELDILFANKVPTRQFTKVKIRNDAAEIGTEKQIHDQDHDEGKGEIEHVEGGTVLDPEE RAVVQLEEVPGHVPQNSAL
I206_06183	MGFASKITQANQLAGAAGLMSNKPPGASYGAQGGYGVAQSQGQS YGSSQTQSAYASPYGAPPQGQNPYGQQQQPGQYGGQQQGGQYGQQSGQYGQTPSSQYG QTPGQYGQQPGVQGQGQYGQQQGGYGAQQGYGAPPVPGGRPGQPAYGAQTSGQSPYGQ QQPGQYGQQQPGQYGQQPGQYGQQQPGQYGQQPGAPGAYGQQQQYGAQGGYGQQPGAA PGGGGVNAQYISQTLSQCVRDQHLEAFYPPQALDPIAQRVVQSGALQQLAANWKLPVE LATDLVKIALFDVVVLIDDSGSMAFEQNGERIEDLKMILGKIAFACSLFDHDGIQVRF LNSQLQGNNINSDQAALQLVQQVKFSGLTPLGTALDQKILQPLLLGPARANALQKPLL VIAITDGAPAGESTDKIVQVITNANSELQRSRYGPDAVSYQLSQVGNDKSAQKFLSSL DDNPTIGQLIDQTMDYEYEQEQMKQKTGEELSPEMWLMKLLLGPIDT
I206_06184	MSSPTISSNGKQSLDKLLKETVNRRHVPGVFYGATSAKEEIYFN QYGEKVFGVATSGQIDSDTTTECFSQTKLITCIAALQLVDQHLVSLDSVEDVEKYLPE ISECKVIKGYGEDDKPILEEPKNKVTLRMLMSHSAGFTYMFVPNLLSKWHAQNPSPSL LDPKATVKSLVTPFIYEPGTSWKYSTSIDWVGKLVERVSGLDLEDYFQKYLFKPCGIK TLTFYPTEEIKKHKMSICYRDPEGKVQRIPNNFGMGRPTEVDQIPKALLSGGGGLFGT QRDYLTFLRNLLQCDPSSPYHSSEPLISPVSWKELFTPSIPKGEGYTGVENIIAMVSK PGYIHPSPTVETINHSVGFLLTLEDFTEGRKAGSGCWSGAAKTQFWIDPKTGIAAICG TQLLSPSPDPWYASYVEFESTLYAALEK
I206_06185	MSNFAQSKPVSVADLEKIALDKLPKSVRAYYEGGAEDEITLREN ISSLQRYRVVPRYLVDVGSDKLDVRSRPIWGKRQNFPFAVGPSAFHRLAGYDGELDVA RAAAKRGISMGLSSSSTSNLEDVIEAVIGGSNYMSVSMCILFDVRLFANISRIIIANI RSDTEEFIHRSERAGYTALLITIDRPYLGRRHSYLREKFELPPHLRRASGDKMAQDPS MHWDELIPWLRSVTRMKILVKGVLHPEDAALAIKHGLDGIVVSNHGGRQLDAAPATID ALPCIADVIRGRIPIFFDGGVRKGIDVFRALALGADIVLLGRAVLWGLAAGGEEGVGL TLDIIAEEFRLVMALAGITDVTQIKRSTLALVNPYQVGLVHLPDPVWEDKRSR
I206_06186	MTKGKEKISNGYQDTIVLDGEGVAVPQQYQAPPKASWKGRIWDT FDAPPDEQKLLFKLDAVLLVFGCLGWFVKNLDQENLATAFVSGIKEDLEMLQNQYTTA TTMWTVGYCIGQIPSNLILLHVSPRYWIPALEIMYSTHLSGIQLSAIIRYPALHWILG SYYKPNELAKRASLLGTSAGLGTLFSSILQAAAYTNLDGHHKLAGWRWLFIIDAVITL PVAVLGLIFLPAVPGHSGSKPSFWLSQADLDLAKSRMESVGRAPQKPLTWKRVIRYSK SWHFYLLPLMYMVWNNSIKFTGIMAFWLKDKQNPVQRGVSERNHLVMPITALGIIGGW LGGWLSDGVFRGKRWPPILIANIFCLVTALALVNLPLWKNLDGHFALYYLSGFGTNFA GLYFAWINEICGADAEKRALILAMSNDASFVLQADIEAGW
I206_06187	MSTAGSIIYKAFMPTLKMVLCILLGFFATKKGWLTAPGAKGLGA IVIQITLPALLFSSMVSAFTPENIKAFGPLVIVAVSYQILGLFFAWCIREIFYVPIDF RWGILVSGLTSNWGNLPTAIVQTMAKEAPFNPAVDVDLGVAYIAIFIFIMNTTFWGLG VHKLCAWDFQDNRRIPDHPPLKERWSSQLELTKGKLSKWTGSRKDTRIDMESQTIPGM EMIDQTSEEHRSVLRELERNGIEPYLPPSDPHSSQEIISAHPTSSIDPNGPIRRCSLQ IPQYSSDKDQSGSEPTAISEQDHESVLSPVRKPIWKKICLLFYNLPNVTKAIIISIPI ATIQPLKALFAETEGWSGSKMPNGPDGNPPLAFVIETTSFLGAIAVPGALLLLGASFA RLKMPKNWKDLPLGAIVALTISKMILVPIFGIFVIQAFRDHTSLFPREDKIRTFVSIL LSGTPSQVIQLVVTQTYNPDGTAETLSSFLLLQYALMFILSTAIAAIALYIVER
I206_06188	MLRKLLLTGFVAIALAEQVPFNVDSPDDSGHYEFEWPIKDVAII GAGVSGLLSYRALSNTEDFTKIKIFERDDSPGGNWHYSDEIPKSIPIELGQTEYWWKG DFIPNIPNNIPSHKVYKVESNQTFENELENQRILHRQPKPLWKTLRANTPAPQQQVPG FEWPKGVEWASHHSKVQRYLRSFASWLGINNGDEKTNVSYNTRVELVEKNLNKIGKQI GWTLTLRKFLRAKEGCYEETYWKENFDAVIVAAGRFNIPHIPAIEGLVEWSHRFPEQV FHSRQYRYGESAVGKNVIVIGASASATGVSQDINTYAKNSYLSIRVTPVRRDTHLNAL PRNTTIIGEIKRFHPISDGGGISEGKIELLNGTIITGINLLIFGTGFRYSFPFLPQYH NSSILGNETAESEEQPIVTDGSHVRSLYLDTFYIDQPTLAFQGQNVGIQTFVYGKYAG EAIARVWSGKAHLPSKKGQWSHFWRTVEERGGLRKGFQWLNNESNSRYLNFFVAWLNA AAIKNGGRLLELPPDVSEEMDLWMKARAAGVFINPDGRNDFQSASEKGVLDNIQWKKA ILDDW
I206_06189	MHIAIVGAGYSGLATASTLLSFGHSVVVFDSSPDVGGVWSSTNH YPGLKAQNDKDTYCFSTLPMPKDYPIHPDGQQVQAYLEMYVKMKSLDKEGRLRLKTRV MKAEKRDEGWILEVQSSQSTQLLSLDYLICATGVFSQPFIPTFNGSTIFTQAGGIITH TSSFHRLVDVKDKNVIVLGFGKSACDTAVAATNSAQSVTIVARDIIWKLPTYLGGVVH YSYLLLTRFGESLFPYNRPWKSQRFLNYGPGKLFREFVLAVVGLIITIQLKLVRFNLV PKKPFDTIARSSISLATPGLVSALSSGRVQVESYASILSLSNSKALLSNGKEIPADVI ICGTGWTHHVPAFLPVEYSQKLLDENGDWILYRHVFPIDIPDLAFVGFNSSVFCPLTS EITAMWLASHIESDIALIRDFPSEIVQKRLTKEETAWHRRRTEGHHANGTSIVPFSLS NIDEMLEDLGVKIGWLSHIKEWILPVKPLAYRHILPEVLRRRGTLQAEKKKRI
I206_06190	MSSSTNPSRKSSITSRRAPASLRIPSITRRGSNTQLSIVFAQGD STGRTGQIEDQLSKQLDGDSGGKQMGLRSRRSSSATIRTIRTTSGLKSPDPDTASVME EDENQGESSQSKSDASAPNELVAGPSTHNIIPTRDPSPAPTIGGRRTLNPKQSSSWLR WNSPATSFPRSASTSKGKGKEKEHVDFEQKSTMDLPHPIELPAESPSPSQPESTDTRD LPQPFNAVLQPLPDPPDTARIPPPEAKIPNESMPTPTAKSRGWFSRSIPAQMPTPQRD PQLNEVPPASQPLSSESSDPSHSIIDQVPPENLASYKEHSKSVQIPRLDDPSASTSPP PTVINKSQDNATDTTKSPEAERKAKSGWTGYLGWSSVSRENEGSSNTESARKEEPIAI QEEESAESAEPDSSISQQQPVHQQSGLVVEDVAVPLLDEPNEVVEEPTHQSTGDTQPP PAGQPWSSYLYSFVVPPPRAVAPPPAQSSPSHPVKPTSPTEDQLPAHTEPPMSDIDVP EAAPIPAEIAPAPPSEPALAPSAAASPPRQSDRRPSTTGWLNYLAFRANQKKVTASSM TTTDTDNKVSGGGEEVMDFSTDPDFPSSTPAPQHAVQRRDVKVAPELVKSLTPKPSQN LDIRKKRLSNASLKSNGSLTPLPASPKGRSSLDSARAGSLHNGSALPPPPQTSATQPN LVIPTFTDTFDRPPRSFLPLNNDVDQHEPATKGITATTTGLAWKALGAVGNYVYGADE INHKSVSQTLQEDDRETRGKKEGRSVGADLPRRIGLGSGTPDDGWKQVKRVVVVGVHG WFPAKMLNSVIGEPTGTSTKFANMMGQAVQQFFHEKGVDDIRLTLMPLEGEGTIESRV DRLYKAYLSNPAWINDLRRADAVFFAAHSQGCIVTTHLLSRMIAQGSDGGYQKVAMLA MCGVHLGPLYSISTSTVIQPYLQWFENAAARELFEFQDSTSAVSIAYQKALSMVLENE VRVVLLASLNDQVVPIYGASFSTATHPLLLRALYVDGASYTQSDFMTNLLCFAFMLKN AGIDDQRLIEHLSEATAGSLTGVGHSTPYEELSCYSIAVQYLFHAGSARSPIPPLEIE PFSARDAKNDFELPWIMRALVDSPEVKDLFPSELKDLKEGILHWRPNTKVLKEIKKRL EPMAGRQSRLRALHTSPSSTSLTSNNEGLSASPGATRALASGKALKSRL
I206_06191	MTSEHQSPKSESTLPNTLPNEKIIPATTSEDETVIDGVDPVYAA KARVLNRAIQDIGMGKYQWQLFFVIGFGWAQDNLWPIVTSLILTPINNEFHPSHAPLL TLSQNIGLLAGAIFWGFGCDIFGRKWGFNLTLGVTSVFGMLASSSPNFAAIGVFAALW SFGVGGNLPVDSAIFLEFLPQSHQYLLTILSVFWAFAQLLASLIAWPILGNLTCQQTD TDCTRSKNMGWRYFVITMGGLALVMFFIRFFVFHIYESPKYFMGKGRDEDAVRIVHEV ARRNGKESSLTIEDLRSCETLSGNPQENSIDHKQDSNAKKAIRRKLEQVNGKHIRSLF ATKKLAFSTSMIMVVWAFIGLAFPLYNAFIPFTLATKGAEFGDSSTYITYRNACIIAV LGVPGAVLGGILVEVRWIGRKGTLSLSTLLTGIFIYGSTTAKNSNSLLAWNCMYSFFS NVMYAVLYAYTPEIFPTKDRGTGNALTATANRVFGIMAPLIAIFANLETAAPVYTSGA LFIAAALVVLILPYESRGKASL
I206_06192	MATFDSAPPNTYAGGKDDNLRFGSLPINASNDAEGDFDVEAYRQ NTPLWKRIKDHSLTQMILLSIQAFCGPAMADAIAGLGGGGLATPTTNNIANAVSYALL ALVCFFGGPLVNKLGTKWALFIGALTFPLRGASFYTNSKYNNQWFLILGSALEGFGTG AWYVAESGTIMSISPSGARGKYLALWIVSRNLGQLIGGAINLSKNHIKGQEGGVTPDT YIIFLIIECLAIPFALLISPLEHVVRSDGTKIRVSEQVGTKQEFKLIKKTWMSKLILL SAVWAFWSFFYGGSWSTYLGLYFSVRARALSSFISPFFCIVGCFGLGFVLDLKSLSQR RRAQVGLFTVVILNAAVYVWSIVIQVRFDKNDPGAIDWTDSNYAVSFLPYFFIQTTGP LSQSYMYWLLSSFATDAQSNVRNGAAFRCMEAIGQAVAYGMNSKTGESPLVGFCVTFG LMALALPPFLMLVNKTPDRIPADVLAEEEATENKLEGEKKHDTAQVTVLDEDAC
I206_06193	MSLIRSIISIRSLLTAFSLCLVTISLWTYSTIPTLFTSYRSIGQ GELVDLKVILTNIPINQEGLAWTEGDEKGKFQIIGESVSQIQFDDRNIIDIRTGKPLE NANEGSTFNLAILKLPNGSKWNFLGVARGPTRMREFMRVNGWPSREQVLVAMGLNMTS KGNLVAVTQGQTLDFPMIPRKGCEAAGAWIATYGAEDPRLFWTDAGTPGLTYGSAALD NDRCRSVGFVHDMRSIFPELNNALRTGVEGVRPYNGHRDPGEGNDKELIRLEKQGTVE KNWMPFYPGALPDGESLVPHIHYMVKSALSLEPIKTTPSRVIYKDVDLSNSNSKSCIG NAHSKSFKIHQATPLYRLTLCERGCIVTKQNTINIALSHTQSPSRQYGRFLATFNVSY PFNPISVGPRFHLNGCDDENDINYALTLAPIQQSDLGSTVKPTKTPQIVQPDHFFLDD HMLITMGHNDNEMTTVLATVEEILGRQHMC
I206_06194	MPPPRQLQSPIILTLRLDKATHQLLTSLRSKYFPPHRNFLSAHV TLFHAIPSHRFDELDENLNKICNSKSGWDVFFGEPEKMGNRGVYLICRERPSNSVEKI HRELLSNLKKGIKSDQDKLTNQDLQTMRKPHVTVLNKASNEEQVDICLKEVKEFFEGM RKDGQKEGQHKGRAVGFEVWEYLGGPWKSIKEYTFQGEGEGSG
I206_06195	MSHYSPHNSASFEGYYNRFRLPSGASVCLIVSSVPGAKERPYMI SFTHVNSNGTQYWQKEYWSDKWETQRSQGDDYSIEWDQGRFEFKDEKVNWEMKTKEIE FYAKQLNRGIPWKPDNSNSTPAGILAKFPLPIQWHIHTVESDAEFSLQMTEINLPKSD LNGISKVHIEKNWAVSFPKSYIWMQVRNENKNKGLCLAGGSLIPGVQAYLVGYQGNSF ISFMPPTSTSILGLSMGLYSNIISSKGIIDIDILGWFKRLKITGRCDPSTYFSFAAPL AGGHVPDYTVQSYASNITVQVYERSWPWSEWKLIEKEDFTQGGMEFGGDFYERHEE
I206_06196	MHDPQQLSFLGSINALIALGRIPLASEVPLWTTFGCILAARLFP STGATGISTIDWWVVFQCAFTTWATNVSINYGNEYFDWNLDRPGQIESIKRSIKLREQ EKNGQVKEKEKEEVREINEKIMGNTTRIIHDGTFPPYTAWLFGILWQTFVIALIFYSR SKDSTLSSTITNLSNSHGTPYKGLALKIGIISSILSQMYVGPPIRFHYHGLGEIVSAL LLNPVAILWGMTGYYTATTGKMINFSDIFSKVNNSGFSLGSLWMLLGAMYCFEQARIL IMHIQDIEADTAGGKFTFVVRVGHKVAARLYVIFNILSLILFRSFTKYTQSKKLGILH SKSFSNGWIQGLSIVLLYSIPIMIITARSLFASIPPNRITQPTKGLLPALPLTYLPPI VSLQVLVSPIVLSIFTLIHW
I206_06197	MSALSDSQGSEAFSMKTMDGKPENQQTVEEFDNQPEYLADETAE ELQDDRPPKGQNHQELGSSDNAEKPQLADQVDHQTNGKDKLNDEEANAQNPLSPVPVG ERSNVQEDINGEKKEDQSVVEQVKEAASGVKKVLKSGVFGGSPKPSPKATPSTASTTT RHIATARQSLAPKPGVKPTSSVTRPTALSSTRTSTSATVGKPTHVSRPSQPSRPGLSD ITRPTASSTAATTTRPRAATGASDRTTSSSAARPTRLTESSIAKPRPSVKPPVPTASS AARITRPTASSSSTAPSKPDPSKPSNTAPSAKLSSVTPSTINGIAKPRSTVGLASSTS RLSARPTVGRASIAPPSTRSGTGAVAQKGRAGSGPSAIAGNSLTPDRSKEISELKGKL EEVEKRSAADKEEYARKVDEWNKEKISLEQNHAAAISELRDQVDNATSQAGIEIDERI SALRESHMAELEASEEQRKIDVKGLEARLDSLSTEKDQLNSQLTSLQSELISAQSSNA ELNTNLTSIQEELSSLRTAHEESTASLNQLSDVKSSLEARVLELEKIKEKHEAELAEG AEEAERSLVGATGLEGQIKGLQDEIEELKRDAVKSRETVKDNEDQWIADKEALLKQLE GHKATAQEHSDALNRVNAEHAVVQEQLKNLQTTHEQLSSTHADLLETSAKHPQDLAEL EAKLKDANEQHEVLLKEATLTSERKMSELEGKLAGVTLEKEKAEKDLDEVHGKLTQVE EELGQLAELRRNMEEKENSLNDIIAKLEEEKEKDKAEFEEKYKKAFDEAKAAANESHH AELTTIREDLASTRESLIKAHEVEIEALKSSHAISLSDLQADQLKSSQTLQDSLLSAQ KQAVENEASLNELKEVNEHLRNEVTRLIGEIEQIGKSDNKGTADLESELKKVKGELQG VKDELDGAKEIAEMNKSHFEASLAAIQEQHTNDERVSAEQRVKEAQEDEGKYVREINE LRENYKKIEIQLQDEKVEKNNLLTKLTDTVKTPPTSPRPHAEPYSPALTKHHEAHNAK VVDLENEIRRLQQELSEAKRVTEHTSSEDAIDETETF
I206_06198	MEGPTLGQPWAQYGLTATGKQKRKRVRSVMGCLTCRKRRVKCDE KRPKCSNCARHPLRVCEYEYDSNEFNLGPIEEQDQLDAASTMSFDTTFHDSSSFASSS KLTLDEFLPSSTSLYLPSPDYEKMLNDRRVIEGMTAELQSLRAMDSMLLSDPPVIPDI MQQKTPVPKQHRFTSFRAFHSALVRRCFSSSEDPRNRLSLSVASATLPPNLSNGISLG PQSLPTDISHLHRPDIFKEYVKSVRDKSNTLMVGKLGSIAFTLLMCEIMDPSPKSWRE QFKSLIGKCIERGGPGWMIGLTAPIQGYKGGQIENKQPLSMALYLEMSAMIEIYACLT SGSIPQLTNQDASSKTPWILLSKVYQAKVSFSLPDTIETIFGIPRILIPTFSQVTTLV AKRNMQIVDDTSLKEHLDFEVNTMRMELEHLWPARLANRRDERRLQYGGRLWRLAILI LLLQEAQYYSTSSIELITYVNSFFDLCQEALNDIGHLSGWLWPILLSSCASCNHSQRE GFLRLLPYAKAPIGNTDNSEHAHKLLTMVWFYQDTGNCRFHLREALRIDNTLDFLIL
I206_06199	MYIRPIHAELDIPILHEFIRENPLGLFTTSIPNSKYSKLQTTHI PFILCKDLNDENDKGILKGHIAKANPQSKSIIENLDSINSINSNSNELEEEVLILFNS LNNSYLTPKFYLETKPKNGKVVPTWNYSSVQIYGKAKIYYKDNHETSNFLQNQIEQLT LENENNFIQQNNNIKKEQKPWKVSDAPINYINLLKKSIIGIEIKIERIEGRFKLSQES NDGDWNGVIQGFKSLNTEQGDNMAQSIESRGKERASKKGVCPIS
I206_06200	MTSFTNAITSLPAPSITLALGGLTTAYVFFSNLGDYQRGIIPYL NGRMVGPINISDKERAKLWRSYFKPAATWVVGSSLISSLLSFITSYLHPSPLISKITL ISGIQSLLILPITAISGLLPINSRLLELAENENVISKKEDEAKELIQAWEVKHLRRLF VYAAACLSTLIAIVLDGRV
I206_06201	MSPIALVSENILPTVPSPKVLPTDIPRRPSPWHEIAHSLSTTSL PPYHSRRASSSTVSVAEACTPSSDALNTPPLISSSQALREWEEKLSHPQSDVSRHKLI DERYKTTKLAAERDKAGYIEYKLKLIDPTSERFERLITQLMWRLKQGRNEAIYELGLA DDGTVIGLPRSQMDASLRTLELMASEVGATVIILKEIVLHPKATILPKSVNLNGAKPI CKNDDKKKVQGRRRKSYTPRDPGMYGGTRPKKMIFDPLDLSTPSDSEEEFDDNGYIED KENRLDPDDTFFCIEPDHEERDGINTTNDNSQYSSGFNSSSPGRDQNRRSSYTSKKAD NKRRKSAVRSEARRLDLLRGDGTNPMWNEMTDISHSNKAVELSQFTSPHQPIRPSSLR LATPSTSTDKSFLDDLLHIPLDNLSLSFADVRSIPEDPEMHSPLSTSAETFVSTLDKL VDDQGLTENKSTTAEDSPEQAQIEEMICVEALVVRKLQHDEDGAGTGEDDDEEEEEWG YGGEEDVWGFGVDE
I206_06202	MQLSTLLPILALLATQAVSANPHRRHAAKAVDHARAVERLATAI DSREAHRRAASIAAGHPRALETKPKRKVVRKRGSTCRAKATAATTASVTEAAATTTSS YEAAAAATTSSTALEAAENYSNPSQVSQTQTQDSNQNQNNNQNNYQTQTSEAAESQAS ATSTSAAAAASTSAATSTSNNGNYTPNGIKAGIAGGDAYDAVEAHIGWWYDWSANPSG HSGTPIAVPMLWGDGDVDAADASRLAVFKAITTAPQYIMGFEEPDCSTYGSSNIAVGA AAALWNALIGKWKAQGSTLLSPSMCHQAADQYTGYLSSFQSQITVPWDITNIHINKNS MEGVNADIDYYYNTFGKPIWVTEFACVDDSTGFVPCDNQDAINSFIKEIVPLFENDTR VHAYAYSNGEGLGDVWPMWSNGALSESGSTYLEAIKQYH
I206_06203	MDMGSGDNNSHSCKISMLWNWYTIDSCFLSSTWHIKTKGEFVGS IIGIFFLCISIEFIRRISREYDKKLIKIAKAEIVLSTLPDIPTDQISSSSYNFRPTWI QQIIRGIAYGSQFTAAFLVMLFGMYFNGYILFAIFLGQTVGYIVFGRDTCTTSIDHIV SGTCC
I206_06204	MLRPQGHRKSQSTSALSFLANQDRSSSSSNTSDQSSSRHNKAQD ETKGTYRSRQSLLPSVRESESSAASMTILPRITASRRSSQISLVMDDDLTKKSSGQSQ RSNRSKSHSAAEVSRREKSTTEAMKREKSIENGLESWLSDLKSLRSSARRRLSALKHL EKRLVEICLGQSQSEIGDLLSRQIHNILLSLLTRYTSTLSQRSSHTSLPAKDEVAYAL IPEIETIASILQGLCCLSRTCKETMGEGWVMEMFIDLLLLLRSQPPLTDNSKAIAYTI LELLFCVLVDSPKNARTYEKLGGLEAVVRVLKGTGVTKDVRMKCIEFLYFYLLPEQND SQRVVSCASSSSSSSTESTLFPPSPLSTSQAFMEPPSISHTGRISPGHPRELADIDMP FVPMTPRKAPQPNLGYLTPATRKSSVCISNSSTPSLPTVPASPRMPISQSTTSRGLAA MLDEMDDSTCPTPRSSRSSRATSDDEKSGVGLGLGLPKISSGLVRSNTTQRYLSSMAA GKESTAFIDPFNLSSTSERSHSGSSGSSTVVPTTASRSISRSSTQPSLTITDSNGLPR SPSTTSTIGIPRRTSVRRVSKSPLIHSSLPESPEKQSPRSPKIRHSRTQSHLSGLNGM RKSSIPPVPPLPMSNEQTTRTPSNKSRAFPAELTRGIPPSASSPSLAGGMTPLGASKR VISDKKNLQSSTRKDRSIEEKKKLKDVKSVEEKKEMLGMWLGNVDQLVQGVEKVSFWG SIGNGARAGR
I206_06205	MIAIEDNSEPPPPPDIRPFGHSSKNNKHDELNQQINSSPHHSVV EGNEITIVSDVNPFNRFRKYISIRKLGINYKAANLLIPLLFALIILPTTYAGSTTEYD SYTSYDGVSEGKRKSTPILSFVKYVLDSASNSLAHFTSTQNGDYTHVRSWSKRALSTG EIVEACMIPVLVALSGMFAGLTLGYFSVDPTQLQVLSISGTPKQQNYARKILPVRKDS HLLLTTLILGNMIVNEALPVVMDNVIEGGIYAVIASTALVVIFAEIIPQSICSRYGLL VGASMAWPVRVMMWIAFPIAWPIAKLLEYILGAHHGIIYRRSELRELIKMHAAGAEGG GDLDFDTVQMAQGALDLAQKSVKEAMTPIENVFMLPIEAKLDYETLGHVVKSGHSRIP VYQMVEVPDINLAAPTKGPAKTKMVKKVMGSLLVKSCVLLDPEDATPLASIPINAIPS VPYDERLTNMLNVFQEGRSHMAIVSRRPKRVEKDLEDAESVMTAAAGGLRQRIMRKVA EISHGNKSSSDSDSSETDTDVERGEKDEKKRRKKTRNKRANSGSETTAASPTSTTVTA AEEIKENVKAEEERKRKGGLVEKAKLTQLEQTVPADAQMAPGAVEKFFEGLEGAPLGI ITLEDVLEELIGEEIYDEYDEHGVPRSDASAFVPREAMLAARKAALARQNLALAESTP LPATNDSDIEQQALAASGGPGRRVGLPKLPMPKFSLGKKPLSQPGKSRSAPDDPKLQI TTTSQTPPPPASQAQFTAEPGSISLPEVDETVRRTQSEVRVNSRRQSESNDVTPQGAT NVPLKGLDPVTAVPARLLSGGGAVTTNIAPPSNNANLLSEALIMERGRRRVTGAASTP PVHRALSQSGVKSNVPSRQPTPPSGVAASTTNMTRPNTAPNEGILSPQPVQGKKVPKF KSIPTPMTGTPAAVERSDPLERIKKNTDLKE
I206_06206	MQILDKRPPIWVSWWMAFSAVVVTWDAAYCFYRPRSFVGGDLAW IWAPYNMVPYSLVDYLYGQPGLDSKQGFTNAQALMNVIEVILAIEYLYLRHTSPKTST TTPSPAHRYHAHAPLVGFAGALMTLSKTALYFLQEYFCEWCMVGHNDRFTFWAVWVAT NSSLVLGVCQNSASLLTHFSMILAKMYYSRRTWVLLPLIVTIVLGRFITQALMRDTAD QIAYLEWSTSQKSSANDTPSISSTSPTPIDAEADQVDLGEISLSTSHPAALPLTFHPK GLSVLVVGSNRLAASRASTFREAGAIVTIASHLAPSDLASEIRQLIESDQTSYKQIEA KSTKDWASLLSSLETSIVCVTDTMIGSQSRRSAASVQTIYETCTSLKIPINISDQPIY STYTFPSIHRFIGQNGEPSNLQVAVTTNGQGCRLAGRIKREIVSRLPSNIGAAVDNVG KLRAKAKATKLSEEETQAYEIPLNSPVEQLHTPSLSRVGSSDKLLFPHVTEGESGLSE EEQQLRRMRWVQQMSEYYSFENLARLKEDELDQALATWSLTSSSASNEVSLRHHDNGV GRLKKGKILLIGSGPGHPGLLTVAAHHALKTATLILSDKLVPSEILALIPQSTKLHIA KKFPGNAEGAQNEMMELALAGAQKGEIVVRLKQGDPFVYGRGGEEVLYFRENGFESTV IPGISSALAAPLMMGIPVTQRGVAESLVVCTGVGRQGKSVKLPGYIKSRSLIMLMGVA RINQIINTLIQLENDGRDGKEYPEYLPIGIIERASSPDQRIIMSTLGKIEESLKKLDE RPPGMIIVGWSVLCLEGKGKVDILDNSNSDQGNERMIVNDWLDGKEYKVKEGLQEGWR EILDSI
I206_06207	MTVDNDYIPLATSPSGADGFGEQKERITRRPHKKLSFPLNLLPS SIRARQKLLLITLGVPTIALLLLIGRHLFGTRSSPPSDLEIHDNPYFYTGDVWEHNQQ VADRLDRCASLGLLRNTSLPFGQNEILDDEEEAELVSNGCGTNQTTIIILSSLWFAEA FAGTSTAGETIYAQSVISTLNYHNYSYVFASLGWYNPDMRKTVELWHKHRDNVRMVLA DPDQVGVCYNNLEQKCIKTEDNMEGIEVWRVMSFWYWDDAANPLGEQFTLSPSPRNNN YFLSYSIEPTCRRLPSLPTSERSHPPQAYLLAKQIKYLEDTPRFSWTLEALAKLQDDY GIKVVAGMTDDDEVISQQVKEAGLTNLGRLNKLDFYLQLSKSFVFIGVGQPRISPSPW DALCMGVPFINPILSWDEADPENRTSWHAQQWHMTDLEPPYVYSVKAHDLEALHQAVG QALSTPIQSFIPDYMRFDYATGRTADLVEGDWRGKAQVILDDRIRSGEGQVSGNCVND IVYVCSSNSTRIGVYNVTSVLIGCGIRN
I206_06208	METQVLSCLQATLNPDEAIRKNAEEQLKQLFLMPEGGLSLAKIL LAQEADLAQRQIRVLLQQYINQHWTPASASFQHPITPIETKSQIRPIIFSGLSDPQRK IRLSAAFALSTVARFDWPDDYPDLLSQLVGLLGRSSNSVHGAMRVISDFVRNDLSEDQ LLPVVQDLLPAVLGILGDPERHSATTRAQTISVFRQTLRMLETVKDEHPASVKSAMDS LSPVWLSAFTQLLSLDASEEVRKNWEELSLRIEIFRTFSLFHNAFPKYLHPHLPTYIP LAIQNLHSLQLQFQAFYLTNGDDAPEPPSPVSDVGMLDPRIDITDLACAIFDFLTPAV RTKNAPAVLLDASGSGNETMQGVVDIVLQYTQITRENEEEWMEDPNAFVIDEDDETEQ YGIRSSGYDLIGSMMDKWSKPVTLILQSKVQEKIQHSAQLKASGNADWWKPLESALSL VGGVSDDLREILEEDEAGGKTPTFDVRYLFDQVIPGLLQQAETPFLQGRAFVFASQFA SSLSKPLAGQYLAAAVQVLNSQEISVPVKISAVKTIKNFCRHIPSEVIQPQSRQILSL LLPLLPEISNETLYLVLETIRAVFALDTNLINGESVGEIAVRIYDVWVKYTDDPVTTA IVEETFESLASLPDPTVAPALVRLIGPKLAEAISTPVDDDTVHIPGEAVQLANSLIRT RGGPLEPELIGSVTVAVMGVLRTTDDMDVIQVISYRSYLSPSLKWSSTCRHDREGNNG IHSIFHLLSRFLAPTFSESGGIFVGELIMHLFRKAGSVMAPVLPDLLRAIVDRLTTAK LPSFIQTLALPFAYLFGTEYTTSTIDLLTSFSVVTNGAEKSGLQVVLEAWCEHSDTIT GSWNIRVSALGLSKLFMADDSRLKGVVCKGDLIVDERNRDTIMTRSRTRNNPNQFTQI PFPLKAFKLILKDVQSEPLQKDKGKKVDYDIEDDDGDEDWDDDDLLAGGDEVGEFDYL SSWLDTKDGNENDAQDDDEDLKSDPLAQIDMGQHLTDILRQSYGNNNNDIHFMINELS DVEKNTLRGVLTL
I206_06209	MYTSAHTTPSSKGSSRTSGSRSTAAEPLSFRHLLRSGPHALTPI TISNSADKLPPLIDSVAEDVLQELLKRTASDEVTANGFMLTFFRHLIPPIHFIHMDRY GMGMRSAGSGIDLTMVMVKDGPTWGQLSGVQEHRMWHDKGKEIVDEKKKKKQESSGKK RDIAEDGPSSNQQPMPLKKIKTRPGRSVPSYTAAEEVFGCIKPDYFGYVLTNDSLEDV EPDANLKGKEKESGEDPKSADQIKELSSKSKDLMNMRSRGYSLCPFITGELKPREDQY NEGLSRQLCYQRNAYELAGTWIGLLHIKVRFSRLVMLKSDTIVIETLDRELQGKLQTI PQLWDISNGYSSMPWLMGTPKPFPVDSVLWSTLWNTVLQAARLVSDLPVDRLVERIPL PDSDKVPAWSRLKEMVHSAANPTPLDSAAAVEISRPRRGCCLMSGVLQLPGIAKSLG
I206_06210	MFRETNSATCGGGGISPTSGAAIWIADYMLQGVNPDNLRLYFHQ GSIGNCAYCWWGTSNLFAPYYGAYLVTSASSGISNISALDDWSTSLAAYALYTENCNT PEKVVLINTDCYPNTTTTGRPSQTFDLSGLGDDCKSVKVKQLTAPFATSQQQLGQTPT LGGVSFDNTTCNAFGQESFKYADVSEGSAKVEVWSSEVVIVYTS
I206_06211	MSNAKSQPLKPIRSEYDATRISPPVYPSTVAPFFTEDCKKNFLQ LINPTYSTSPRVEYYGADVLDQMYTRIQPPQVESTFNDQYRVTHEQLTPPRVQTPSPP NDIDSLAVPTSSNRPLAVPTSSNRPHDKNKWKKTYALRQWNCPTPPPSTDSNVNLDDI LPVTIVERIHSGRTWDVFRGQIVRSDGAPTPIVIRFTNLETFPEDFPAEINDWDVCTQ HVRSNALSGIINEDYIYRSYLPRLQGDLVPLYYGMFIWKGDRPEDGWMIVSILEDVGE EVEDHRILAGLPLDINHVVHGIEKAEQILERYENDENDTERRYIKLIDFQESLHVPPH VLQQGKRLEQTEIKVICQLSLVEIE
I206_06212	VEILLAASTISQFPDIFGLIAASLILETIFHFTTKADESIESFR TKRILDKLLKLVALKFVSSTLVFHDFDVIRDWYGARARSITKAIRNVGVFAGYQGERD EECGSSVSICNPLSIKLTSVYRYLVATKDMGDADRVDEWPCPDVIHRYDDNERIHAVA TWVWRLVHRLCRHAFAKAGGGAAD
I206_06213	MWMHLLNIKSLDEWCYRIFSKAGPVRIDFVTASKPILEDKVSSS STTATTTTTLVHRVCLPRLVMGTLQDTPFPILQCLVELAQESQDMVASAIKFLEKKPG QEAKLLADKWRKLFTDYTRGYHSALIQTERPGEIHQPKPTATQAHISNIVHSSPPRIG TELLHSSMSPSRIERTLIEAINDTFSVVQQYIREKEEVFDKLLADTGMEVEVVAWRKI QEILSSP
I206_06214	MADATLSFEFELRALVYVYLERRSSKRAGPSYHYGLEGMDDGKF ASRGRASNKNWTVGTEKITSSAVSVCIDKIGQIVL
I206_06215	GGGDRDREPRVRSGSFSYGGDRDRSSGFSNRDRGDRGDRGDRGD RGDRGDRGDRGDRGDRGNKRW
I206_06216	MSLIERLTDILSIQISQYAPINLNVPTTPILQISKFIHHVTTTP IHPIYFPYLRFGVLHAIRVTTVWANLTKGKNQNGSESGSGRLVDLFGYLVLAWGGSTV ISIILNQPPSWLISTTPWIIYILIYILLILTGLSKYFIKNCPKIIFNLIGSFIDCITR GITITSIGTLISSSSIFLNENENENENEKDLNLWTYCLLSGLAISSGGLIISTLGLNE NEWKLNTPNLLKGGFLNTLDFWGSSLIGLLWLILTNQNQNQNSNLNHFSKFLNYYLPD EFKISISEFEGKEKKIIDISHARAICVLVLGFLLATKAIIMTFKNDGLEKKKKRVNKL DKKETLFLDEKKEIPSKVVKTPVKIKEGNNKVTPRKSPRAKSK
I206_06217	MSNTRGSSPDSLFSEVEHDVVEDKKSKIASRIAPNIPGLWVFPN LLPDNIVHDVINAISSNDLFLGGQRNQVMLFDSPKSSSSSSSSSFKTSLPDYINILIQ TLYDLLKSILPKDVLKLLFKQNLARQVIINLYQPGQGIKSHIDLPNRYSDGIIGCSLI GGCIMNFSNSKNEHELNYKVYMPPKTIYVLSDEVRWNWEHGIEDCIEDTIENKDGSLE TILRDLRISLTFRWMKKGADLLN
I206_06218	MFANSLRQGLRTASRSSARAFSTLPARAAARPSLGAGVAMGAAV AGYAMYEASRSPLLLESKTIAGEKGTASERSFVMIKPDGVSRQLVGKIISRFEERGYK LVAVKSLTPSEALAKEHYSDLSARPFYAGLVKYITSGTPVVAMVWEGKDVIKQGRRIV GATNPQDADPGSVRGQYAVSVGRNLIHASDAFDSATKEIGLWFAPEELSDYEVSLSLL 
I206_06219	MPLLKRKPVIYHPLPSLSAVLQPLPSTSLTSDPSSSSGQVETPL PNDGKDEEEQLDKLLSVFRGEMNGVTKDNIGPSGKGKKSQKLTNGKHANEGDISINGD QSNGNGNGLENKPLWKSSDKECWYLPETGEIFTDYESFCNRRAFYAQPLFQCEVSGKS SLSFYTALQSEQKEVRQLHSRFPRQLKKAVLSAVQFQIEGKLDTLADKIFERFHDRFF DQEKVFVDVDGDKYLARIVKTFPPRSLATTSSLSNPTKPILHPYATDLTLPLEEVNEK DDPSKYFYNVRLIEEGYPEGIAAEYASANGQSNGPYDEEEEDGKGEKWMGSTMEVQCD KISRDRINFSRAMLKRFIRDCVVRDPAVYSPWLVKPSVAARYGLPTEMSEEIRQGIQR YKEKQMDKRKREREERLGITHEEEDDKPKSKKQKKDEEKKLKDEEKLKDKEARDREKE KEREEEERRKKKALKYPAEDLLVEWSEEKDSPAGRIQVRPIPKKTLPFGDQFEKLLMT WSFLNVMGNPLGLSPFTLDEFEQSLYHTDPTVLLTEIHSVLLNALTANLAAGHDPVKP LSNYGKEGEPDNDTDYWEGRKGATTETLTPIVSPLAESWKTRELSFKDGRKGWESSLI GCLWEKATLEILPNYLDNILHLTFEDKPAPTRPTWSTAPKGSTTGSIAGLIPSKPEKR YPTLHHSHKLDIIDFLIELVGQTEALREFMEESTLNLTEVRKDQVEIKRELRRVQAEK EALEPKEKPKEEGEDGDISMEVKGELGGSPDRSMNGHTNMNGNGHVGNGNLDRDELED SASIQEDGLSDVESDHKDLSAIGASRRKAMKEKALEREAEENLRNKKLLKEREELRIK KLEGKQIAIEKKRLIDEEEIINLKIRHLEYDFRRWIYTLRSKPLGNDRFGNKFWWLDG LGSSPLFLENKLNVGTGRIYLQGVDIEEEIILRNSTMLIVEEELTEEYVEERRNNEEG ERLKAGEWAVYETFDEIKELMNWLNPRGIRENQLLKQLRMWSSEIEQSMKKRRSLAGL DKEIILDSDEPRRTRPTRKVNQDEEKEGFLSWKNKRAVV
I206_06220	MSDVATPSTAATNKRPASPSPSADNQAQTAAKRAKPDAESEKKE EATNGNGEEKKEETKKKMDVDAEGADAGPSSTLAPPPASVPAAPVKSEAPPQQISMRS LIVTQDASIIIGRGGAHVNEIREKSSARVTVSESIPGNPERILNVSGPLDAVAKAFGL IVRRINDEPFDVASVPGSRAVTIKFIIPNSRMGSVIGKGGSKIKEIQEASGARLNASE AMLPGSTERVLSVSGVADAVHIAVYYIGTILLEYQERNPGAASGSYRQVGARGGPNGS GGPGGPGGPGGSSGGAPQFNKDPNAPPPPGMQTQQIFIPNSLVGAIIGKGGSKINEIR GQSQCNIRVTDPGTAAPGTVANPEERLVTITGYPHNINTAVSLLYARVEQERSKILDQ NHA
I206_06221	MMGKKDRLKALFARTANTQDESLSYARSPNVDPTTSDNRIPIPL LPLQHTAKPSPKIPIEIILKIVEYCSDSLDCLTKLALTNHEISNLALKLIWYNLELGK SSKHPFHLTISNKINKIKFNNSSSLSKIPSRNELQNLTKIINCYFENYNYLLRFSSNK NQFKNLKILKIHLNSLKGLPNKKGNSIRKENSEDILSKNYAKKLPLNFKKIIFIGLPD PYYSNNWYANWNEKGFKPYFNQDDDDKQQNIKKIKMKLSGPKKIVFQLNDKYTDKLIN HIENIFNEAKFPNLIQLDIIFLPKSIKKGFQIKQEFQLFVNQLIQMLIQMKKGYPKMR IRLINIGSLDSRWMGISSNNNYLLFSTPINLTNESIEPIFIENQEELEERKMLWLKDY LQKQARAKNQKILDDAGFSIIKKDEEGKEVEKKIYNQEMISLKQYKLEEEIESD
I206_06222	MSKRPQEGDHSSRSAQQARLSDMVNAHPYADSVSSTGGIPVPED PSVSSGNGQDTIQGVSYLARDEYAFSHIGSSFADNYHTPSSGGLTPSDRGAHTPSGTS SLWPSALPDPIVPTGTNRGPPSNDNIRWNKWLKESKPNSRHADSSGPGTDYSYYPEIP PPEPRPWETYQINDRNEAELLTAKAAAVGRQIHNADVTCEIHDHSKFDYTCPGPGSRH TPQCVEASNLKRARDWWNLVSEGRGRTKFEEYTLKELGEVKDIQSLTDEEIMDQMKTY RALQIIKELHKHHNDRVEEHASRFAAGQVTSSVQPNPNDLPKSRGLDSTLH
I206_06223	MSAIKSYTEPSSSSASSSTRSTIKPDTEPNENTSLLLSKSDDAI TKTKKEGGFFGPVPRVFFTSTLLSMTFAFTQTTLIYAFRVMTCEEYHKTHTWNGNGKD KCNIPYVEAKTARQIAIMSTVTVSAMIANLFLSGWSIKKFGVKATMFQQTFWAALRNL CQMYAIKTGAGTGMLIIQSTQLFNILGSAGGYQIASNVFVSMLVPAEERTKQFGVLAG MIMLGSSLGYTLGGLAYTYYGLLAPFQCAFYLLCFCTVFGALFLPYLPPDKKEESTNS EEKKKQSFLAPLKLFIPKLVETSDGRLKRDYNLLLLGAGAFVSVLATGYVHLALQLVG TDVFDFTPSESGMMLSGNLLIRAFFLSICFPWIITYGRRLISHRKDVPTGAASEEHPE RPIEAEEPDDIGAPREDQARQPTDIQHGSTFDLYFLRWSIFVDGLLTGLTTLSNQGWH LYLAAGVLPFASATGAACKGVTLDFVEPHQRADALSAIALIEKVAQVSTISLFSNIFA VLSEQGKPTLVFLANGVTAMIAFILLLFVRMPKPKPDEGRIALSA
I206_06224	MAPKSSAIPASTRRAKRIIQSPSSSSGGSTPVVTPANVPASDRS LRTRNNKAPIPLDDSEVEENTSDEEAEEEDAEGEIEEEEEDAEGEVEEGGDVEMGATH TDVERSRLSSAIASEDIPLDDEEEDEDAEGEEDDESAPPSKISNTPASVPKIKLKFGA STSSTPGGSEKRPGRPAGKKGAKKVKRVAEDEFAESDDELLLRDDESIMSSRRSLSPT KMTARQRAKGNKDLQETLLQLPNEVSGKKVILTEAERIQKREETARRRKRQTEQKLQD EQDETINRLLRAQTSKSRSKLDQPSPGMDGELHSGQVSPSRKVPSNPSNMIRWSSTIN KDGEIQLRVGAPKEKDHWISLRPEAYTEPSQSVIKPEQAEVQPRDSRVKCDAPGCEKD RKYRSTKKFEVGGCSLPHLKAVEATLQSATQV
I206_06225	MTFKRRGGGRNKKGRGHVKFVRCSNCSRCVPKDKAIKRFTVKNM VEAAAVRDLSEASVYPEYALPKLYIRLCYCISCAIHAKIVRVRSAKPGAINSRKNRLP PPRAVFKDGKRINPAVSAAIASKVAQGEKTA
I206_06227	MTLHSVLAGSFLSKTSNEISHNKTTSSFVVPKGSWGPQAVQVSA SKCPEVAYPEPPKDGGVTEDIFGIKVEDPWRSLEEQEAKSTIKFVKDQNELSIPKLSN HPLRKELEKAIEGIYNHERMDTPQKQSDGNYYWNFNKGNWPRDILVRSKNLEKHFGKE PAWSNNDDGPEIFFDLNKEENTSIYAHSFSPSGKLWCAVLQESGSDWQSIRVFDTTTK QPIEKDVGGSKFTFGVTWIGEEGYIYKRIMDYNTEDGSLFREDGSYGMFYHRIGQPQS TDIAVLPFTGEFAEAFAGKPYIVSSDHSASPRKRSFLTFDLYRNTSPETELFIVELPE GPNKADGKVIEELIKKNRKWISKGFTGETKYIGSLTSEKHFFYSTADGNPAGRIVTFD TQDWNETSVDSILPIKEFTPVNSEGHQLQFGQLVGSKILVLVYLKHACASVVFINGLT GKQLGSADSVDTKGNIDLDNNIEIPIPEEEFEISNSERVIIPEHGSIQPISSRYDSDD FYFSVDTWVAPSYVLKGTIVPKGDNFEVDISSLGTKSNKDESEENLVCSQIFYDSHDG MKIPLFICHPHDLDLTKPQPTLLHAYGGYCAPMLPHYDAFFASYMRNLRGIVAIACIR GGGEYGKRWHDAAIGTKRYVAWEDFSYAARYLQTQGLTTPALTSIYGSSNGGLLVTAV TNRNPELFNTVFADVAVTDLLRFHKFTLGRMWTGEFGSPENPKDFPTLFATSPLHNVQ SEKNIKYPSILITTADHDTRVVPGHSLKYLAEVQNKQPNSITEGKLILGRIYKDAGHE SSAKSLKQIVDEVADKLVFSLLTVKP
I206_06228	MTAAALSNGNGNDNGNELSSTAAKSANPASDIRLYKNRASYALP AALSIFHENPVAHVAFIHPGDPIEGSSGNGRGETIMNIPLITVIMFTGEDEDDKDDYS VYLHTHRHSGLVEAVLSGNHNVTATTTKIDGMIFSPTAQDHTLNYRSATLHLTEGKVL NDEIGSKDHEEKRKALSLVTNTVTDYNRIKLVGEPDDFNTKRTTVIKFKIKNLSCKQR FGGFNSTKEPELDIPLGKENDPFTGIVPCWTQWGEPLGYGKDLENIKILKNEKNEKNK EFAEKVAWANEDIAIEGLGKKRRPLYQF
I206_06229	MSCSNSNSNSNLNQSYNLESLFNVSGHSSSSGQVEEEFGGNLID TPSEQVGSSKRGKTGCITCRLRKKRCDEAKPICATCSRLGIECMGYGVKRPKWLREND NAKKAKQNIQEVAGTMKPGLEVMDVDLTTDNDNLRTQAQPQTHQPYFWELGSIGTNAS TSSSNNTLNWNLSPNTGSTNTNNTHNNPFEQQSTSNTTTSTNNFVDSTSSTSTDNLYS GFFSDPSLFPPPSMNTVGPADIGPMIPSIPGVNDISMDALWGILFGPQPPPDFTLDQN GSHISPNISSMINQDTPVFNLPSPTSGISNGLSPLGTSTASPNLAYLHHYLNVVLPLQ YRIMGISISIGDFVAPLALQRNEVLTSVSSLAALHMVAQRTKKRPHNLTTLIEIEKEI LEGSGEYNAETDDADADDGVDEEDNDADTRVASISHQRTMERLRFISPQDLTTEEITI SVLFAVSYHLFCGGTSKHLKELLSISQRCLSAALYSSPEIGVTNASSKAKSQSGPSPW SRYHILIEHMIWIDILASVTYNKASTLLPVYRKILNHMPLPFNSDANLTVKNASSSGK PLVLMDRLMGCDNTTLLAMAETVALSEWKDRAESAGCLSYKELLDRAANVEKILNERA WRESHLDRPINADDDSEDSAALLRRVMSDVFHGSVKVLLAITINGPFPRVPEIAAAVG ETMEALTRLDIQHSNVQIHRAVVLPITVAGCHCETIEQQTFFRDCFQCLGPEARAFGN TGPALELMEEVWRRRSTGEINLKVDWRQTMYDLGWQSGILLI
I206_06230	MATEAQVDLKKTVPVEEEKKVEEKGLNNDTLTKYTTAGQALGEV LKKFIPSITAGKKVLDLCIEGDKLVNDTVAPLWNKAKNGVKVGKGSAFPTSISVNNVV SHVSPLPSDPEIVLKDGDVVKIMLGIQLDGYAVTHAETVVLGKAEGLAADVVKAAYDA AQAAMRTIKVGNKNWDVTEVVEKVSKDYGCVGVEGMLSCQHEKNVTDGKKRILLNPTP ELKRDHETVTFEEGEVYGVDVLIVTGSTGKAKADPSRTSIYKKADINYQLKMKTSRAV FSEIQKKAGAFPFTLRALDDEKRARMGVQEAVAHGLLKPYDIVQTAAGTVVAEFFFTI ALLPAGPLLLSPQPVWYSADKVSTEKKIADEELATLITQPLRAPKKKAKKAATNGEAK A
I206_06231	MLAEQKIVLITGANRGLGLGLAKEYVSRGWKVIAAVRDPSIMPF LSEEVIVIKLDAAELNDANQAVNELKERYEVQHIDVVLANAAMGNHAPAISQIDPEIF TEHFNVNTRGTLLLYQATRELLLSSSSSSIKGKFIIVSSILSTLSRSWHKLGMVNYGI TKVALNYLSKGIHFEEPNLISFTIDPGYVDTRLGQKASEFLNSPPSQTVEQTAPMMCD LIDKATREEYSGKLWRVDGTPAPY
I206_06232	MPRDQDDKIAQAEHGGYHSSAYMVAGEAQMSFTPINQIHQHLCG LHIYSHDGNRAVKAHHYCTHLRKDLHQCVIYDSDDKNARLIGIEYLVPEEVFIKLPED EKKYWHSHKYEVDSGMLMLGTKSLVPNAMTDIAERPAMLELHRTYGKTTHTWAFDQYP DLPLGPPQVMMAYTEDAQVDKALLAERDKEMGVDTAAKRETRRQYLIKENLETPPAEG ADQIWTSDRNGQLEWVDQK
I206_06233	MTQPIPASETKHLNQLNHPLIYPFENVIIPLKQISLNKEGKSTG TTGTTLWLSSQILSLYLCTNVFNSNEKKKGEKKKKKKKKILELGSGIGYSSLNLLSKG FKLISTDIQPILNDVLKPNLIEGIKILNENEIKINIEEDLKFIELNWIKISNLYDNYI FEKNNLNNLIEIENFKKEFEFEYFKEINFIILSDTFYTIELIKPLWKTLILISIFSSN TSKNKKSNLPIIYISLERRDSILIDKALDEGKNLGFNLKQIHKLTLKKEIEKYWNNWK DEDWDDIEIWKCRWKGK
I206_06234	MPDLFDPYLTPPPSRRTSLAYDALPQSKHLFSKHRSSLVDTISG ACDNALSFRRHSLPLNARFRLPPVILAKDDSGEAAEGENDEDVRLDGRADKRRRVTKG GKSVDAVPSPRKDRSGEGSASTSPPRLGTATSQPSRNGRPLALAPIKPIGFAAAREAR RDDNGNQALPSPVVMGFDFKAIDEDQLKTVRDTISIKEQQQALIAARRREVAQSQPST PKELTFKGWAPKDPSSNPLSGGGGVGRRREKTRDKVEKMSIVTSATERDVVPGSKSAP LNHQGLASQQASPREPPSGSQTSVPPHILPPLQDYGHHGSGLTDPRTAPIGHRSRNGE EHEFARQQGPYYNLPQTRPLGRPYESQGNLRGPATVSDRRNFSVPQIPPSASTRYEPG VPSPRRSSLHQSSNTSPSSSSPRVSRETFLQPFNQLYDLIYTQMDSYRYQLGDLHNRY ESLYSQQLTQMNEFKNTANASNTLLGNLQQSADSLKDMVRYEVERNNSNKDREIEELR ERLRRLEERDGGENGGK
I206_06235	MLVHSTPPLPPRLPPMHPRPFSTSMTNGHRVVSSPGLINGQLTQ QFHVQTPLAPPPFIRRTSSLRDPPPGASRSPSIVESEDQEDDEFMAHQTRSGRRKVSR KKDDQT
I206_06236	MVCRSCRAVAGLLIDRPMSSKIGARVFSRYLSTTSNSRLILSRT SYVSPSRPLESKLWTINSVNGSRRTSSSNRSSQNISSWTELGIGQQLVENLFHAYPHI NQPTQAQKLFLLAVGAGKEVYLKDDMGRGKTLALALSAMNIIMRSKSQTDTKVMILVP TLYLAHQIHTHLLQLSPPSEIDESLFTILPPTFGDTTKRASPNTPIIISTPKDLLSYD LSNLDSLKYIFLDEPDTMIGPLPSRHSTPNMLINHTLFKHPPPIISSLNNLLNIQSKG KNELDYSCRRNDVNTIWISSSLNKDFKRLVKTRGWIKKSNSHLVDLDFTQGASDKLKD IRNRLLNAIKSKLASENADPNPASVAVVRENHRQNQPEHYALVINSEDGSISTLDPKI PSSYIPPNKEIADKDWKDGQFKQSDKRGISANMIEALSIIHLTSPPPKGKYSLILPPE GISLNTLSEELSNLGISSLIIQPELINLDLSILSEIQPEIESELPILLAKRSSITGIH LQDLHTIYLLDGLDYKGLTKNKRQSGGVKDKLKFYDLVTGRLGRLGTDAAENDNVRNQ AQRVISIVMEGTEDEKRMKEMFEDGENKWTIKEWDIEGMNKILEEQSIITQDGNAGAS EEVKLQNA
I206_06237	MSIRYISQKIAQQIDEELMSSSGAFSLDQLMELAGLSCAQALYK SYPSATHKKVMVACGPGNQGGDGLVAARHLHHFSYKPTIYLPKPGSKDIYQSLLKQCE NLNIPVIKDVEEFNNGLKDTDVILDAIFGFSFHPPLRAPFDKVLNAITATSIPIVSVD IPSGWSVTDGLQPLYTEKDEQGNSQSIKTFEPEVLVSLTAPKEGVKNFKGKHWLGGRF VPNELAKKFELNLPEYQGVDQVVELPSALTSKQ
I206_06238	MPSPTTTIHSETNSNPVKQQIPGGAPATTGSIGTGLPKTDTTSS QASSQGLGKGDKSKKEHKSRAPPTGVSATHAPMELPKARFWAIFASLMVCIFLFALDQ LIVATAIPKITAEFNSLTKLSWLASGFFLTLLGFNLLYSQWMNIFPSKHVMMFAVFIF EIGSLVCGVAPNMDVLILGRALAGLGAAGIFSGGMVIIAELTSLHNRAQYFALFGVCF AIASVIGPLLGGAFADHVSWRWCFYINLPFGGVAVACIAFFQPTRPPLGREKAYEGYS KKMFWQVVKCDWGGMVIAMGWAISFILFTQWGGVTKSWKNGGVIVCIVLSVILIPAFV LYEMLIGSDNQMFKLRLLKRRNVIGASMVCFCVFGVFMILVYYLSITYQAVYHTSATA AGVKLLPLILVQVATLIVSSRIIPKIGRFKPVIVCGPILLAIASGLFYTIKPSTPMAH LYGFQVILGVGIGCCLQNVMISVQHELRREPWLISLGTGMTVFIGFAGRIVALSMGGS VFENMIQRHLRSSVPGITETIVMAVVNDATAIWTYVPDEMRPAVLAAYCKTLSQVYII GLPLAIIALAGALILKNDKIATKEEEEAAKQSAKEKDALEATKEEELAVATAQVGDAQ GAIDASLPSSERQIDGAAVIAEKEGRSAV
I206_06239	MSTTTKFTAFSDKLKDYTLLGCDPEQLEAHLPGDPTVRIAYVSQ SRHVHQNTSEGTVKEGAGFSSTAISRTSQIDPCIDTIKRTEEKVHTVTGSLTFRRLRS YFAKTPGTECTISKSSRADQDSTPTQVETEKLSAIFETENKLMVCPNKHIQRAPGKIT LSWNQPESSELMEKWENKPITYANTIGTRSGGFYCVGCKSSRPMKVITDFRIADQHRK QIDHCWASQIADKVKSNKVDDYTLVTFHCD
I206_06240	MSGLDDLFNSPVKAPPPRSPIRSRSASPISPSRPAVEIYNENPL FFSPGGPSEFGSPSRPRPRQRSVSPTLSTHPPAGQSRNIPAPEDARYRTHQQGDFEDP FAALHAANNGDDDEQEGGKKRRVMAKVDADRLTSDRGIPALCRAAKKFKVKGKGYESQ DLTNLLNMYQMWAHGMFPKGDFQHTINRVEVICRSKRMESALKGYREAFYPPPRSPSP PPVSDRGITPPTASQEIEVPERAEPLFYSRPPQDDAMDADLEEMMALEEMERGISTTE AVHLSGTAGKQLSGLAAHGSDVTVNEDIDEWEGLYN
I206_06241	MANNQKVALITGANRGLGLGLAKLLIAKGYKVIAAVRNPSSMED LDNVITVKIDSESLSDPKQAVEILKSQHGITHLDIVVANSGICSDQDAISSIDPESFT YHFNINTRGPLILYQAVRDLLIAPNSREQSKFIVISSALSSLSDEDHCKGQTTYGTSK AAVNYLTRKIHFEEPDLIAFLIDPGYFESGNGQYASAFVGVKPPQTVDEVAPQIIDVI EKATKAETSGSLWTYNGQKSTW
I206_06242	MISLAIFTHLLCVLGAYIACYRSIKTYIVILSLRNYAEANPTAT PLPIEEDEKADHDQNNIESAIGSTDTAEPRKAWWRRIVDSNGFIITVFLSLSAGLAIF LVYGVPKITSKFIRDNIWTLMAGYSVYGNIFSTLQCILPRPRIDKLIILKDNTEKTKY KYESKQIYQNQSSISLDNDYETGLPIPEIPFYTNLNFLRIVSVLITTAILTSGYFGSL WLSSLVALSQVIISAGMIFLIKISIRFVLILLIGMISIGAVAIPMLNHFLPNNNKGAP EQKDPLAPPWALNVFMMYNVGMGMMLPAILLAATHRFEYLNSSTYALNEPTAKNGEIH APLSGNHVPRFKKPITSVGFVSYIASTVFFHVTASYTFGEKGYIDSMVLMGIMFYALP ITTLGMFLTASWNGNLRQWLDHRDQWLPQLNPEHVEQLRSKSYRVTEKEEMRRIDDDL MSFQDEKREPLQSDSDRF
I206_06243	MSSTQPSSLGGGPSSPSPFHYTPMSSAMSNSTSKGSSLFAEDYS LSSRSTSGDSITPSQNGGPTPRASIAGNDLAHSINIAFPPKPFIGLPASVSPGNHSIL PTPPTSPRRADNFTVPPPPIIRNKPSFTTMDPLDEESPKPTPSSSVTTKGQKGYHTYE ACMEGALGHDTKAATYKVGTEKAYHHLNRASELFQLAVELRPQDKKAMLGRSKVLINL ATNYQPPRVSTQSLKDAVTTLRELVKLAPLSLTARETLGQACGLLANTLHELDDNIED EKAVWDGEIGQLARESLQYLEDVAGDKMDRMRDLNSNEAAQQSPVMAEMFLALSSAAV FVSSLSTDINIVDLHIELAEQALDQASNMATVAAAARIKSSTSSANLITRVQLASGAS SLERLRHTFHLGVDLDEDDFRALIQDMSMLATECRERAAKLKGSKAATAATLAWEAIR QLADAKTLYANLLRLVWRKRGPRRRSTTKNSLLPTSGLGTPRRLSVRNGSLDARTIQE EDEEEADGQGTPRKDSDPKSIGRRESTSSTGSKGQGSRKGSILGAVSEDAEVSDFHQN RSRGSRPSISIPQTGRRGSWLPNAAEAGGLIGGRARRRSSLGFGSISTLPGLGSSPEP RSRRISSIGGGGPLVGPDGISAWNRKASVISIGSEDENAGLVPSSELARSAWQLLEGG VKQYKLALSVLNGSDLPNAQLAKAKNETLTAIAYSSLFMASLAPRLTVAAEKRTSLLV TAEVYSTWAAREVGWSFLIEGTQAAQLADRRTNSWRADEAGKRAVMLLVRAWWHRAVT TESIDVNTKSSAKDAVETVIRRMKDKEGATSGDVARMRYWLSKQEGEMDGAEALFWRS TSRILRGGSGFVMS
I206_06244	MPLSPVSVVVGTTLATAIVVIGTGYAFKKFVYDPHISHHIEALI AQHHQAHSHPYAAIPIPVSEEDSNVPVEGISTSYRDHHTSLRRRNINRSSSGPRPHEY ELQERPVEHFRENVEDEANYGGASKYELDESRLSLLGDHPTKSTELIDLDDNFRAESP QDREIQEVIFNLVPTPLRSGSSTPSAQNPFSTPDENLEVSHLDIQRGRLDPRTSSTSF SFLSLSQVSSPEQTPRFPFAHQDEEGTSTIADDNDTNDVISISDHGMTQYEDAESYTP ISRSISRNSIPITSPRAGDRDLVGEMGLSYVPVIPITRGAMSVISVDGSEMSESDWDV VSESGR
I206_06245	MPSNAELNLPPTNEGYGTHEYWEQRYANEADGTTFDWFLSPTYL LPLFEELTSSNLDKGKGKGKETRILTLGCGNSALGEVLYDNGWENIVNIDYSKIVIDQ MKERHISRPKMEWLEMDILDLNFQDEDFDLVVDKGQFDVDEILEMF
I206_06246	MSNTNPVHSSTNLFRRLSWQTSISISIRIADGEPGAGTGADKYF IQAPRYSYLPLLIPEIRENLIEVALDDRQSEETDEKDWWFEEEPDEETGAFASQGACR WHWPIDLLSIYSYISRPRRLPSSSASASSSTSTTIREPKQLRLILHLSKPPIDKLLMP NNIENCKSLWLNQVKEADFVRWRNTNKVTSLRKTDLDNGWDGIVQDDYDLYLRMASRV LPLPIAPATNNVTSPQPSRPPSTDPSSSSIKPESAYSTRGIPLKLYLPDNAPVIQEII PPLGTDGEAQLLSVMSRSSGSFLRVFAHTKVLLLGKPTTLLSVLRQHLHLLFPSRPQD PYSMAFPIAQGILIPEYSDVAWLSACMCGADGWLRIGICLRAP
I206_06247	MSTSTDYKFEGWAGSGPESIEGNLKFIEYEPKQFAEDDVDLKIL YCGICASDISTLSEGWGPLGDMWPQVVGHEIVGEVVRVGSSPKNGIKMGDIVGVGAQC DSCLQCHYCNKDMENYCSKGMVGTYNGKFSRTSEGSKSYGGYANYWRGPSHFAIPIPA GLDPAEAAPLLCGGGTVFSPLVQYGAGKEAKNVGIIGLGGLGHFGVTLATALGADVTV ISHSARKQKDAEELGAKHFLITGDDEAKAFKGHERSLDLIICTSNAPDMNMAAYLSLL KPAGNFILVGVPETGKLPEISPATLIFSNIHVGGSLIASPQTLKDMLELVAEKKVKSW IQKWSMNKVNEAVPSMVAGNARYRYVLVNEDNGGKL
I206_06248	MNDKTNKQYLAAIARMQERQMSWGRPTRSNSSGSISSTGDSSAT SPHQLNLTALAPQQAGSSTSGGSASASLSGVTSSGRGRPKGKGKAKIKDENMHAGAGI IEHKARKKVAKACLACQKSHLTCDEQRPCTRCVKKGMADQCVEGVRKKAKYLLEGEER LAARPRQTSSSSPSPPITNLDQLQQQPQSSDPLSHQYSLLPNVTLPQQTQAIEPPRLP DDVWLSAPISQNDPSSIAGSGQINLNDPTRSWNTSMQTQSNSFTSNGNAANTEYQMLD SLFGDLSPIFPGLDSLNEAGRQLSMDGANASTVSSNVGYDQSWMNPSPATTNVAFKQN QNPFSVQPTPSSTGQSVSPATYSDLSPLNTTWQSQSLNQNQSWNGNNNTDSDQMTLDG MNQNQSLQDLQMQDQASANAPFQPPTPVQIGNGATPAEVYKTVVKPYDYTQGYHILMD YLTKNFEQHDTLRVARALASFRPSLIALQMPMSEEDEIFLEKSFQRTLIELEKLISYS ATPTAVWRRTGEVCYANPEFCKLVDRSNADLYGSRTRTYIYELFSNPSVVSYWENFSV HAFENTTQNFFQPVGLSKPNTTLKCTGCITIRRDVFDLPSVIIGQFLPIPMDM
I206_06249	MLSMAPPDSVRWRERSIATDHEESQSVDGSPPLRSIESQDSPMY PSSQFHLPPASQYVPPSSQYSYPALSQFQMGSQSTQNAPGANKTTTTRGPRGKSWEKD TSSDGRFSANQVLMRYLTMFGGYLKWKGDSGTGISKAQALKQAGDMLIKEGCSQRTDN AIDSQIKALQTSYNSATAYKRGTGAGEEEDTLHGES
I206_06250	MPSEDEMSVKQLEEVLTGEIGKLNPAQKQSIFGGLPPEQFYGDS SQDAEEQLFNVKNLLRKIRIELAKGASTCISEYSHDHFTDGKAATSKPPSKKAPLKKS TPSWAVDGATSVAPERIFSMAGLTVSDRRHALKPATLTSLLCLSSWHKNGLINYESWR EFMRARNSKD
I206_06251	MQPHQQYEDSRSDDNEVERLTRPAPPEPILPQRGSSSPPGLTLG AVPPLPNALEWNEVFAELGEHNTSNSEVVGGSGENVMADKFTPPPPGGDDRMEGEGSQ NPPSPIQTPGALSPTHISPLEEGSAPNPPEATLATTPVRSGLHGKTEQDSPLQSLAGN NISPLRDLNEEEELNNGGGKKKEQPLPRNFRRTEAFIREHHKGEDIEDRDPPCERCKD QSYKCLGPPRSQQPNSRCWTCSSMNCSHYRKEKTKRSIIKKAISNHGETGPSSTLANR QFAEIARYIALVDGTSGSGRQFFFQEISRLAKSIGMTFSSVTGGKYDAEYDESNVGGS GNGGASGS
I206_06252	MGGLKSKDAFERDLEYSLVDAISFDDDDLFDDIAAVYLDSKLRR YLCRPSRYLKHRERDFKDRIDRLHREPDEGFRKFMRINKLEFNYLLTTLQDHPIFKST GKRPQAVPKYQITVAIWRLGHDGTGASAVCINQHFHIAEGTCNIWTDRFIYALLDLED EVILWPDKLERREIADQIAAAGVPGGCVGFVDGCLIVLDQKPSRPDGADFFSYKGRYG VSIMCVCDDQRRIRYLSCGFPGCSHDNRVFETSYINENFQDFFSPNENILRDSAYRPR NYCISLFKRGYGEANLAPDEEAFNIKCSSQRVAIEHTYGLMKGRWQSLRGLRIKIRDG DDECRVTCWIRACVILHNLFISTSFDFYTEEEAKQAARREELEQQQRSSMDPHHRQDE AVYHPARLAVMHLMGLQKSIKPKKKKKNQTIVLPPGINLIDYIHPNLVLPITNVDCS
I206_06253	MDPNAEDRPPTVKFVEIDVEAKSVAESSNLEGGSSSLNDPVVEP SSNHTVPVVDSRTPPIEPFSARSIFRTALGQQPISLPESSTRPFVTPPSKNISDDPEK IRQMHEEEFQRRLKGEYEQAQRRVGDVVSENMNRPLRLTSIRLAPPPKTTRPGFLNSL LSPFISSSSSKPSLLNPSPTLPTNLHEILLSTKLLIAHLNEFGIYDMDRVGVRFEPKI GGDADEIEMVLKLREKGRLFLKAGTEVGGGEGGGNVTARIRNVFGGAESLEGNASIGT KTKSAYQVSLSTPLFASPLLSLAVSGFSLDRDNSAFASHRERTQGARAKLSATLPWGI HDLQYELVNRAIEHLAPNASVSIRELAVPSTKSSISHTWTSDTRDDLWMGTKGRLLKF THEYAGLPGSSSKAHFFKSTTMSQLSRALYEGSDIHYSISSLTTLLMPLFSPSLGSTC LPDRTYLGGPNSIRGWAVGGLGRRDGPDSLGGDLSWALGLSVFSPIPKKEHWPLKLHG FINGGKVVAYDRARSLSDNLTKLYRSPNLSVGLGLMYRLEPIRIELNFSVPLIGRKGE RTSRGLGVGVGLEFL
I206_06254	MSVPIPHGYIQNNPNEVFINQSPSQAGPSNYARPQPAQGVPAHL QGPPPQTPFYPMNGHIPPGYPVQIDHFQSIPQQPFMAQHPTHGMMPHGQPGLMYPGVE MNGGPAVLPNFHFGSIPQGGPFMDEWAEGGMGHEGQDMVYSNLEVKHRRRTTPDQLKI LEYWYDINPKPDNALREHLAAQLGMTKRNVQVWFQNRRAKMKGLAKKDEKGDDDDDEK TPENSSPSQERVTRPMMITPMGPPMGPPPMARRASLANGEAAKIEMFVAKRAAAANGL NRGLRAPGFVSPQRSPLMGQAFAARRQSIPYPTPITAGPTGGPNVNGPGPSPKISPVV RMMPSALHLTAMRNNTRRASMPGAAQLISSGPFTPPRLVTNQHQVGLPRELGTIRDDD HSGGVDGQQQQQMSFDPNSAGGGTFTTHSSNVFDLSNGLSQPSFLASNASAGYNTSIP FSPNSPLPNPAFSFGTEGHQQQCQSQGQQMQQLNQIPILSEAEAASQQQLFLAFQQRG RMGSIASIGTYTTDNGNGTDLEDTSLGDWLAQESNAGLGSSAAVDGQGQRADGPDGFD PDARRSSAPADLLHQIGIMGLNNTQIRPSPLGSHFTSDNYNADSSAFDPSQLSTSGIG MTSADLDPSPVSMSSMPSSSSGGVSPTEHHLFSQSHSHGSFNFQQNTSANDHPQPVRS ISLQQFPSSAMTMDSNLTNPQTHSQSNSSVSPYSEHSSAPPPDYAQQLLGQSNGFFQG YQQPQSRDHTKTSNHSSTTPKHNSVHQSNKNHQQPQDAISGGQNQGQTAISNEDKDHF SFLEGLNGDTVDILV
I206_06255	MVKRQIILAPQALLPSKDDPQAATIEIDLSLGTIISIKEGIIPP LQEEDVEIIKIEDDKIVLPGLIDTHVHLNQPGRTEWEGFQTGTLAAISGGVTTLIDMP LNSIPPTTTLKGLEIKRKEALKIGINSDLGFWGGIIPGNQGELVNMLNNGVKGFKCFL IDSGVEEFPHVEEEDLIKACEALKGTNALILFHAELDDSNPCIPTSTKNENSHSHSHN HSSSSSSQSSYSKFLESRPEEFELKALKLIIKFTKLYPELNFHIVHLSSSNAIPLIKK AKFSENIKNLTIETCFHYLCLSSELILNDDFKTEFKCCPPIRSELNRKRLIENLLEDS SKNEKNENIINYIVSDHSPCIPELKKGNFLESWGGISSLGLGLSLLFNEIGNKIKLGK LINFLSINQAKQVNLKNKGELKIGNQADFIIFNPNVKWTVTTESLLFKNKISPYIGKT LKGRVEKTYLAGQLVWDYEKGIDGAVLSQGRLL
I206_06256	MVASGEKKGKVILAYSGGLDTSCILLWLIEQGYEVVAYMADVGQ EEDFEAARAKAMKCGAVGFHLADLKREFVEELIYPAVQCNAIYENVYLLGTSLARPVI ARGMIEAAVKEDCDFVSHGCTGKGNDQVRFELAFYGLAPNIKVIAPWRLPEFYERFAG RTALLDYAAKNGIPVTQTAAKPWSTDENLFHISYEAGILEDPNQTPPDDMWKLTVSPQ KAPEQPEQVHIEFAKGLPVKVTFPADKKEVTDAVEIFLTLNALARRHGVGRIDIVENR FIGVKSRGCYESPAATILRVAHMDLEGLTLDRNVRALRDQFITRELSQILYNGFFFSP EREFVTAAIPASQKTVNGLVRLKLYKGNVIVEGRDADEGLYDAKFSSMDEMGGFEPTA TSGFIEISSIRIKAWGRQNVKRGQGGVTPKDVYHRE
I206_06257	MPAPPFGTASAYTDSFPAAPPKQVTVTAETCFNLSVFRDMVRQY RKLDDQIIIRLNRAQAQLRDQDRLQSTSNSTNNGSEGMCVKLWQEMMAGWAHRQTLLT YCSTTLKESLNHKNEIDQNPNLNTPKWERGIKEEEVLVDQLESEESIEAIIRKRTLDA FKSRCQFFSPAPSDTISKSWWDLADHGKKGKGPDLS
I206_06258	MREFIGRPAEPRGRDARKQVESKPRKAADATTHRLEAEEPKART KAPRKLINDNSDEETPQSRSAKRDRQVDDPNQGKLPFLPAPKPLPRRTRHSGATINYD ESEPETSVAIAPAKPKPVSRFKGNRSDLLFPYPTTGRAEVTITVGDAQRIETGEFLND TLLEFGLRKMLDELNVEIQSTIHLFNSFFYERLADRSKRPEKDQEFWPGYQSVRKWSK GKDIFEKDFIVVPINENFHWYLAVIVNPKGILRPNAEGNSSMPDSNAHRPVTRALAEN DAGDASSVEEQLTAPGNRTDEDLNDLDSEAGDAKTQRSQGTPPLFDQPNSATSCQSTD VDMNDVNDISKDPLDCIDDDDNEGIRNGQPEHTAKEAMREVSTGVGNMDINSDQETEP DLVGEGGPIISTTLQAIAQQNSHLQNPTTEKIGTGHSQVRTKHPDAEIADRSHRTWII TFDSLGGPHAAVGKHLNSWLRYEAKDKKGIDYKTSDAVYWEGRVPQQPNFYDCGIYVV HYAKQFLQNPTKILQFVQRRAPYSNAPDREAWIQERAEVWNASDTENMRSTWEGQLQS LAEQYQLFKASQPPDEVSKDNEQPDDMPVENLEQQQGRIVNSQPESILLVPDANGHEL PSEEEPNFDPIPGAFPTPVTQQPEPPKAQSPSPPATKPKWSTTPSDDQRGRRRSSTVD IDPKIKTSTKSESLSPAPSDHEILQGTFTSVHDKKAHTSPSFRSIDTGIVQNRRHAFE STQYRTEGPITRQRNAISTSPIRKGRASEEGETAYREVEHLRPRRNPLADLDTEDSPP ASSSTLNAGLLPSGLSGSEDPILLPRNNNFHVTSSPFDNLGRFVPGQPSNQQERIEPA HPPKDPLDFDNSEQALDDDQVFVASDISQHLGQKDKSPTSLTSDEEEQEPIENLPAKA SPIVKHQYSSKSKSIKRMLSTEKSQSKLPVTKKPKTAISTTKGSTKGKGPNKQGFGRG TTKEEAIDIESD
I206_06259	MEQLFTHQPPGPSRSQDEEERVVHNNRRRSERFANDQPVTGRSS ENTQLTSQSKLPFENMNRVRTKKNQENKTGSSPFNPGRNTMGSFTSQTKQVNSSRGPL ARGGPNSGSLKQSIGQRATIPIHLHETSHIEFQPVASSTPSGAGPSKQPPHVQRQRRE SRGIMDSAGDSDGAEIVYQEHRNSRSISPAKPPTSGQHRRSSIEAEYNSHHRPPTNYD LPGPPRLPLSAKGKERMPEYGGSSPTKCHGNEATLIVDDEIKDPNGVFKDDSDVEVVE THAVELPEPIPPEKRRKSPEKRQLDTINRHLTTNDKPRMTRNRPMRDKNGNVPPEPSV KKQASVKKTMKDRIGPQSTGTIPSSGVGNREASADIKTARFNTGQSIECASLRLAGNR LQLNSYSGLKSEFWEIPLDTVGAAQVSSPTANVRRRLTGLFFVRYVPTKTIPSSCWM
I206_06260	MVDTSAEIQAEASQWPSAPEYDLTVKPQSIASLDAGKFNDQVKG NLWRSARWCVDGSAILSTTEDRSTRIHALNNEQEFVTKSYQQPDAIHSAIWYPTASIS TPETFCFVASIRDTPVRLIDGNDGRIRASYPIIDHRERFLAPHSLAFNSSATKLYCGH ENAIEVFDIASPGYDQGDRLKLVYAKKEKGGQRGIISTISFCPDYSGTFAAGTFSGKG SVALYSEDTGSTPLAHVEGLVGGGVTQIGWHPLNPNMMFVASRRSTQIQIYDTRDLTA PVTSLQRHGTSNQRLSFDVDPWGRWLSSGDEHGSVKIWDIATMDTIPIFEETLHSDAV GSVQFHPFKPLFLTCSGSRRHLQNSARYEDSEDSSDDSEESTDETDDTDDEDKELHNG TLISRRKYPISQDASLQLWSMKPNVSSKTSHSTDEFIV
I206_06261	MPPPIKQPEKLRIGFIHPDLGIGGAERLVVDAAVSLQNLGHEVV MFTSRHDPKRCFEETRDGTLKIHVLGSSIPRTFTKKIPLTIIFSILRSLLLSFLLIMS ILWPEPSSFFNPISPLKSFDIFIIDQQSISIPFLRLLTGTRIIFYCHFPDKLLSGNWN LNQENIENKIQLDEKVSLLKKLYRFPIDKLEEFTTGQSDIVLSNSKFTSEIYSRVFPS LSKRPPRVVYPCIDINAYQSLKSGKKGKGKAKFDPEIELIQSDRPTLISFNRFESKKN AALALKSFAKLRDDALIPDDEFDNLRLVLGGGYDKDEIDNVQTLTNLQKLCGELHLRH HTISSSSSSEAPLENTQILFILNFSNNQKSHLLTSTSTRGLLYTPSNEHFGIVPLEAM SCGLPVVAVNSGGPTETIIDFDVSSHDGTGFLKSPNEEEWSQALLSLLKLNEHDRQQI ATSARKRVEEKFSLETLGKELEEASRDALKMSDIHNDVGDTLIWFSAILMAVGALGLA VVIFILNE
I206_06262	MATATTALPSSSKSHPPITILKRARADVPLSQNKFLKKTARGKV LNILRERYLRDDIPCGVEDCQICIDYPGYRSVLPLKGYTEHTKYGEGHWLVIDTNIVL HQIDLLTALPISLPLIIPSTTIRETRHRSLPLYNRLQQLIQDEDRLIWVWWNEEARET ATIPKEAEQGKEKETINDKNDRAIRQVLHFYPEHLSTSLPKSSKLPKLILLTDDRRNR EIADGEGLIAISARDYVDGLIGEERERLVDLVVGGVDEISVGEKKGKRIYQDYLPQDT LLAGVKTGRFHQGHFNANQYNYLEGTVNVPGLSKPVLLVGREAMNRSVNGDVVVVEIL PESEWKAPGSEVVDQDVALRDDDADDDESPGLAEKEQEKAKEDRMDVDEETKRKPKNI LPTGRVVGIVKRNWRAYVCHLDRSSLSDAALTSLSQQTVFATPLSTSLPRIRLRTRQA PQLLDQKILVTIDSWSVNSRYPDGHFVRSLGKVESKEAEQESLLLEYEVPYRPFGRAI LSCLPEEGDKWKVPTKAPENLEWRDREDLRDLIVCSIDPPGCQDIDDALHARRLPNGN IEAGVHIADVSHFVHPDNPMDSEAASRGTTVYLVDKRIDMLPSLLGTNLCSLRPFVER LAFSVIWEMNEQAEIVKVRFAKSVIASKEAFTYEAAQLRKDDKSLSDPLTQSIRLLNT LAIKLKEGRMKAGALSLSSPELKIHLNSSESTEPIDVEQKQQRETNSLVEEFMLLANI SVAAKIQETFPATAVLRRHCPPPKTNFEALQDILQKRKNMTLDVSSSGALAKSLDACV DAKEPEFNTLVRIMATRCMLSAEYFCSGSVSKETYGHYGLASPIYTHFTSPIRRYADV LAHRQLAAAINYTPLHPSLQSKSHVEKTLSVVNKRHRLAQMAGRASVEFYVGLALKSR GERTGQIGGKSSREEAFVIRTFKNGLAVFVSKLGLEGLITFKKDTHTFDPENYTINVP GSNGQMIGISVFDKITVDISIEKDQNTQRGKVKMIMVGPVNSENL
I206_06263	MRISSTISIVILFSIIHIIASPTNRGSSPKLKTNLPSSSSLNTK RDENGFSWGKDPMRGVNIGGWLVLEPWITPSLFQDKPDWVVDEWTYGVYMNYQNDTMS EIRKHWNTWFQYAELKDIAAVGLNTIRIQIGFWSIIPLENGEPYLVGAYDYLKLAVTW ATNLGLKVMIDLHGAPGSQNSWDNSGIRGIREFFNNETNISRTLNAISILTLEFTKNE YLNTVIAIEILNEPFPKNLNEINLLKDFL
I206_06264	MLDNRITVALDQAYQGLEIWENFMIDPDFWDVAMDTHIYSMFDV NLLSMGYNANLNWYCSQVDYLKQSNNIHWTIVGEFTPANTDCAFWLNGRGRGARYDNT LNTSAPLQFPGDCSAKTGSDPSKFSAEYVEYLARSFEVQSWVYEQASGYVVWCWKTEQ AADWSMQTGITYGWIPNPITAKPHG
I206_06265	MNEIEKADSSYITCNSNYINQIPRIVKQFMMTSLRTYCRPTIST SWNSNHTYITYRYAQTASIDSEPSSSSSAQYSYTRRKATSETQSDPRKGKGKANELAE TYRFPEKGRLGGPPDPFEVMALERGASESIVKQRYYKLALLLHPDSSHPSSSHDHFAI LNKAYTLLSTPSSRSAYLKTGYGWSISSQIPTNKTTMDWGMHEEIMRRAKGGTSQWER RKYRDSDAGKGAWGGFDGSGGWKNFNDQTMNFDHKTSFNGTGEERYMSNPRFLAVLGI VGCAFGWIQYHRLGTATETHRDLLDRQNTDASHALAQARYEAAIHGKTRREQIRRRVR ESEIMRELEKIESGEIAPHALRITDKPPSTASTSSTTSASA
I206_06266	MRENKSRMIRSTSQPLNALDLNFQPTSEQHVQQYLAESSRSRKA KAMGMVRSIGRALSLTKSKQSATSNPPIIGVRDHGPIQAYQGIKPRPLKPPFTGPTMT PLKGISDLKGSTKTVQDTRRNSHSHLPLRSRQIPRKKLPHEAVQQAHVSSNSLYNSDW NHSRHALSLFEVAVSSPPTTPGKVEMITTKYERAAYERSQLDPRITRSVLVERHLNTT HDTQIPTNFYPQLDALDGLNTITPLKRSRRKPVSSRYLSDSFESLVNSNSLHTEEGCD PLNIVRTPGSKLLSKRSPYIRDPVSTATPPTPNFGESGTPSPAKWVDASFVFAREADQ NPLSSTTPFTPGQDVEAETSFDRPQNDLFDEVLTTWDLPPMSLSRSNSLILERLPSSK STLHEQKTKLSESTGTLLNSRPVQPVPTFYPSGRSFEPIRAAQSGDRLHQHGMRIDNE GNRRKLGGRTQRAVENLEGPQREITESPPTPWKRMAALLKPKSSDSSFHLRDDTKKMM LDARKRRSKSTS
I206_06267	MAERVHLVVLIHGLWGSPAHLAVAKEELEKAWSGQKLSQDVSGP PEDPTIITELSSPLSPSNGNDSKTKGSNPEDGQLVIMIAGGMTSQLTYDGIDVCASRV AYEIDLKIRELEKEGKNVDKFSVMGYSLGGLVARYLVGLLHSRQPSFFDKHRPISFST ISTPHYGIPKYNTLLSRVLTYLGARVMSRSGEQLYVADNYSDEDQRPLLEIMANPRGI FYQGLEKFTRLEIYASAVNDHTVPYPSAAIEPIDHFVQWEERGMSVDSDEDGIIQSWS IREEDRTEKPKNSNKKGFGFKIGTLPPVLRYKFPFNYIILLLLPIMLPLMIILILTRQ SLDTRRSKHRLQILSQTSKSSEISYHIPHSGGLSIQALREGIRRIERSLESDLVENVN VEIGIDSPSIFKSSILNDNHNSYKNEESDLKVELKDSQLRMCLWLNQLPTKKILTWWP EINNAHATAIVRDPKQFPAHERGRGLIKLWARNTLNAAKTEN
I206_06268	MAAPTTAANPVDRRAAALAAIEARLRPPPSYDEIAEAETHPEGS TSSSSPQQSGTNPISTPLSPPSQSSAGTSRSRPPGGMTPAALAAEARAAKFGTRETDN VWRPTEKEDRDTKIKFSRLLDRGIVRDNNYKDSADAVETLLKIATNIINSNDPKFRTL KATNATIKNKILDVKGGHDYLIALGFRTQTIDFALHYIFNPTLRRSHELQIGAEVLKD HLKVLQDRVELSKQSKLYGASVEAARKAAALADFEADREVVRARAAREKIAREAKEAK EREEREKEAQNQAEMMVDQDEEDRREMRDAVVRPATAPLAPEHEEEENGEDEEEAEDR ELPSYAEDRESRGWGGPGRRLGG
I206_06269	MSGQAFKIEDIFGVKGQIVVVTGGGTGIGKALTAGFANNGAKVY ITGRRKEILDAAAKEIGGEIVAIQGDVSTKEGCKAIGDAIASKESKIDVLINCAGVMR PWKSSVTNHDDPDQVADLLWEGHDDDDFNYSNSINVNGVYFVTAALVPLLRKSDNPSV TVIASIAGLANQRAMGTVSYGVTKAAAIHLGKLLAGRLHPLKIRVNTICPGIFPSEMT GKSDDGQGHEYNLAEGAAKAAKRSTVGRPGLPEEIVGPVLLLASKAGGYMDGALLTVD GGRLMGAGINDGLRLPEDTYI
I206_06270	MMAAGFITNGAKVYITGRRKEILDNTVEELSEIRAKGGSIHSIQ GDVLTKEGVIQIADAISEKEAIVDVLVKQRWSSKTLERPYTRS
I206_06271	MSASTILPQGAGYGVVIGMGLFFSLLMIGITWMQTRYTSHKTSS AEEFNSASRSVPPGLIAAGIVSAWTWAATLLQSSATAYKFGISGPWWYASGAAIQILL FAMIASKLKQNAPYCHTYLEIIRARWGKVAHLTFLFFGFATNIIVSTMLILGGSATVS SLTGMNTVAACFLIPIGVSIYVLTGGMRATLIADYSHTLVLYCILIAFALTAYGTSNI IGSPSKMWDLLAQAAEEKPIAGNAHGSYLTMRSKSGLIFGVLNIVGNFGTVFNDQAYW QRAIASNPRTSVKAFLWGGIAWFGVPLGIATSLGLSAVALAHGENPLITLTSEEVSAG LPAVKAAGALMGQSGATAMLILLFLAVTSACSAEQIAVSSLLTYDVYGSYINPNPSEK QILWVSHLCIFGYALFMGAIATAFNYIGISMGYLYELMGTIIGCAVVPIALCVTWKKC NAKAAISGAILGFLAGVAGWLGITSKLNDGVINVETTFGDYEMLTGNLLSIGVGGIIT VVWSLLQPDNFDWDITRNINVQTETNITEHSQPQSPITDQRIIEKEKEINGTEEASPE YAQTERVVEEQPSDLVEEREGLKKAFRFAAWSALTLVILLIFVIPLPLFFSSHVYPKS GFTVWVCVSLIWLFVGLAMVGIYPMWEARKGLMQVARGIAADLRGKRT
I206_06272	MSSNILSDDESHNDSRNNVALSQRISDEEEVPLDKMDKDFHRTE SHGHNIVEVPYAITADGHRLTVGDTLPEVKAVALETDDPEEPCETIRSYFLGTIVAAV GTALNVWFGARQPGIYISPFLAQLLSYPMGVALARTLPSHKFTTFGRTWSLNPGPFSM KEHAAIVMMATVSLPTATAIDVIVAIRQPTFFNDTEMGNNKGFQFLVVLSTQFLGFGV AGLARDYLVYPSAMTWPLNLAKMSLFNALHRRKVNEYGVVTLPEEGADKQEDPPVHGW KVSTFRFCLYAIAGSFCWFFITSFIFPSLTYFNWPTWISPTNKKLAIIMGSVTGLGLN PLPTLDWTYISGAGLTPLITPWWASVSILVGASIGYIVIAGLYFSNTWFSAYLVPNSN QAFDRFGAYYNVTKVLSPDRTLDVEAYRQYSPLYFGAGYNVVIIAYFASYTAILTYAA LNHWSDIKKGFNMGVHRFKSLINRNPKPEGFVHDQPDYDIHYALMTRYKEVPQWWFLV VLVFSLVLGIIMCETYDTTMPVWGIFCCLAMVVVFVIPTGIIQAISNMQMSLVILAEI IPGVAIPGRPYANMIFKLYGWVSLYQALLYILDQKLAHYLHLPPRATFRAQMWGVIIS SFVSLAIINWQFEAIPDLCVPGQKDLMTCPYYTTFYSSALLFGVVGPRRMYGSLGLYK NTLWGFFAGFALVFLAWVAKKRWPNNLTKNINVPVIIFGAMYFAPYNWSFIWAGIPLA WFFMSYVFKRFPSWWNKYCYVLSIGLTVGAAVSGVIQFFCITYPGGVMPTWWGNTVYV AGCDGLGCPLKEMPEVGYFGPGPGEYL
I206_06273	MYPSEDTASPSSTFGGRSAKASASPSRPPKLSALSPLQTFSPLQ PVKTALPSTSASSDAGSVSGRRRASSVTKSLSRRQSLIAHAANWGAGGKEDTELPDSG NLFSRLTLVKAPAADNTTVRRHNRSKSSSALAPFTSFAAASDMPATSNRGPRRSFAAS SFGGMSPLPIARSPIQDMNTSLHRVGSFHGGESLQSDVQSKRLSPDEVVDIARQLSSP VMVPEGGFKGAELKRRKSAGHSSRPGPANEQEKPPVALEPVEYTQMDEDVLLPFVERP QEVTDLVAHPANEQLFQYLKAAFPKNPARPNWREIEPDLWNWEEFIKHLTKIDRNESP DYDWIFRARQAVRARSVALWEKLGVCLGCDGDLLNAGGEDDIPPSWGGLGLGDEGEYD PSSNQVWIEGLEAVDPNEASKTERALAAAFGEIVEDENEQAAAGMNALLGSSHQPGGG MGMIGEMTEGEEGMTPIQSQFTTAQKAGNKDKFDPILFSPQNDSRQHLRQLPSTPPKA STSKNGRSKSFVGLQICTSPTMAKDQFIPRSPSSQLQTPVLGGSATLPVYERGPGSPL FPSSFSSLSAEPNLGRSASVAIHGSHRPLQDDLRGFSGGNSGFGPRGLMRKPSGAGLS ESAITFASESDYAHSHGDGH
I206_06274	MSMFSSFSFKSIDDSPKPRIPLHNPQQDQYSEEDDREYDSFHSS SGFGSGRQSRSSLTALAEDDEVEEDIAQTSVGSSSSGLSSYGSGLPAQLLSAVYEGVF RA
I206_06275	MHHWELDWRKHYVPLEDVPTVEKNEESFFDDQISSGSQNLIPTE SHQSLDPTVLPQPIDGSVDRDLPDSDLEIEIESNASTVPSPSSSGSLRLRIKNSFRKL KEPRVGHKRL
I206_06276	MSTVSRTQGAPSVTGNFRFGSIHVHHLPVPGEGPVVSVSSASGP SSESIPEKPVMTVGVSRVEEVEQRFFRSKLPETWTVEDQLNLSRFNQSLKKLTSSSCC GWLISDVCCYLRGFARNRPQERALQNPFLTDLKQESNKNIQVLKLRQTWNMNSPVTYS SHVWNSNQATH
I206_06277	MAGGIISKKEPQVIGLTDAQLRAVVEGVDPELIRIGHEVVIKQK QETFEEAWRNHWGAGMWSFVLSCALFMEGYDTGLISTFYGMPQFQDRFGSLHKNKMVI PASYQGALLNLGKVGQLIGLVITGVCQERYGSKKTYIWGMVAMTASIFLAVFSVNINM LVGAELAMGVPWGMFQTLATAYAAEICPIKMRGYLAAFASIGWGGGGFLASGVLKGAL HLKGDLAWKIPFALQWIWPVPLAFACFFAPESAWWLVRKGRFDDAKSTLLRTARKDFY AENEAEGYIAYMRHTDAMEKLEAKNGSWIELFRKGPPLRRTEIMIGTWVVQVANGNMI TGLTVEFLKQAGMSTQEAFNMNLILHAMGIVGVGLSWIFLGYFGRRPIYLSGLVSEAC CLLPIGILGFVTKTNGTLKLTGGLMIMINLIFHFSLGPVCYSIVGELPASRLRSRAIV MGRFIYVVMAIVFQQINTRMVAADGWNLKAKSGLFWVGCNFVCLFWAFFRLPETGGRS FAELDILFANKVSARKFAKTIVRDETAEYGVQGKEAVDIVQDEKEAIEDIQIENASRD GRTVTHTLA
I206_06278	MDEEGYILSNYGKGWLGTCLGFIASPTSTVSSIPSYLSLLQQMV YSASQYPSFEREVIHPIMGKLAASLGKLFERCISDSRPDWNIVYELLSALRKLIVHSP APFRPLLPVLKPSLLNLILQIPTPTNPYPVIPDEIRRSASELIACLHVMAGKAQSPQS WGTEVREALGGFGRAMNGITADAWEEESVKVQPPNAASALPELPVDSSSRLPVSLDWA EGFAEVILALLRYPTARPVPVPIAQITVSYISPQHHAALLASLPRIWTIGVQLIGSLA ISCGDHLFPHLSNILDHTVWLAERIPAKMTDTQIQLLKFHHLLLSIYPPAIVPLEYPT RLLRLSLTRVQPLLENRSKSDILSGNTGGGKRGKKRARGAEDGLVGGLEGRESRSISS REVEVIVMALHLIPLLHPTPLLSPSLLTFSVRLHLSLYLSLTTLRSVLPSSAAQSDLR GALNSVLERAVLMTEGEGGTGRGWKSLIISVLEQQSENLALVIHPSLPPLMRPLPPLS QLHFFVKEGEEERKERLGMGFGVSDDVVEEEEEEDMIIEKTTSRVSSNVNSSSITTEK VVSSTTFTHSPINSTIPTTIPSSMSTAPPTVETIIRNPDTLTIPSSTSTGKTAVPAPE IPTHSSIDQTVSQTIEVASNFISQPTSNESKGKNVANTEDLGDVIMLNNEDEEGIPDL DSGSDDFDEDEDEDEDEVEEDD
I206_06279	MPRTHTSTSALLPPTPTSSTPVTSTGTVLPYSPTSPPKPRLWLE PHKYTNFDIELRPCHHLRPSKPRGCKRHREPTKDDVTPEEAELTTRPVIKLKFKRPNF HKNVHANKKPVDGPPGFIPYSPESSRRESSSSYRPHVEEEFQVSTQPNPNVPPTKRAR AHSGSSNLIGFYLSDDSAPSSPVDTTSPSIAHMTPSTPTVSSANVNNNAYKVHPPYIS SPLASTLISGAPSHSHRATLDPSPSSFRDIEMSELGSPFIPAQLDAPNLHSQLLITRQ KLFRIEAEVESLATEVNNLFKLGYGRGMGRAVSNGRGPSRLRNGAQGVRVKVEDDMEV DE
I206_06280	MADVDNGGNNMLDVEDSDPCAIDNSDSHHGLRIGAIFIILVTSL AGTLTPIIFRHSSAIPTPVFEFAKFFGSGVIIATAFIHLLAPAWDELTSECLSGTWTE YDWAPAIAMAAVYGIFFAEVAAYRIGTKRLERLGVDYSSHAHDDTDAHAHDHNLDAPL NVDTSLPASQHHIHPLASNIHSHHHNDITEQTLSSSSNTEKQIKKSDIESNSNYDNDE PISNSEASAQLVAVGVLEFGVVLHSIIIGLTLGVTNEFITLFIVIIFHQMFEGLGLGS RLASLNLPQKMKIYRWIAALFYSICTPIGISIGIGLKNSYDGNGKTANIVSGVLDSTS AGILLYTGLVELMAHEILLNPRMMKSSNGKLSYIFICMLLGSGLMALLGRWA
I206_06281	MSRSHISNMYSISSLPSINQELSTPRSAPSPAYNNGACTPPPLQ LRESSERPRDSGVQAIGAFFSTPYDSDLESDSEEIIPTPKSYTHTPIARTRSTRAISV NSNVKTTKGLKDALEAKIKSQTGELYHWDNIQGENQHHYATDEKDDDEEEEDKMENLE LPKSPARRELISRQSDESGRVRPLTVVEFPEPTLQPNQGHGKGYPQPLNNLRPNTPIR SPSDDQSAYSSEYSNIPFRLNTSPLNSNRPQPRINTTSLTPPRVILLPPSTSPISPML AAPPQSHFSPLSSPSSPFTSSYKENGHGKTDSINGSIRGYDIMVEKKALFREGQEELM SPFSTRREKPGRSGLNRKSQTKSTFLASGMDFWKRFNVHVKLDEAEKAQHTAGSKCTN ESAWLSKAQERRGRIKKIIWVVLLIIIILAGGLTAYFLTRPSSPPKSIATSI
I206_06282	MQYGSQSPSLGREPLLASAQTPGMSQRLSESSLASYERSHSQMS HRGQTANSAGQSYASFSSGNRFGPTANIGSTTTPSSAASDAALTKGAYATGGLDDDDD LDDQLHTFTAAERKDLNTPFDIASWRGWANALTLLLLALGGIMLFAGYPIIAWYYGNS NSSGANTSGYNLGGINASGQYPSITGLPSLIDTDTPSDSYTKTGADGNTWDLVFSDEF NKDGRTFYDGDDPFFQAVDFHYWATGDFEWYDPSAATTADGHLILTMTQEPIHNLNFK SAMLQSWNKLCFNKNAYIEVSASLPGMTTVGGFWPGIWTMGNLGRPGYGATTEGTWPY TYDSCDIGTLANQTYVNQTGPDATLTTGSNSGPLSYLPGQRLSACTCAGEDHPGPNVG VGRGAPEIDMIEAQVILSEERGEVSQSFQVAPFDDYYQWDNTTTANWKQYDTSLTYWN TYLGGSYQQAVSALTRVPRDIYYSQSGANQQFTTFGLEWQSYPDNRENGYIAWYSDGV PSWTMHADAVAANPRVGIGRRIIPEEPMALVFNFGASNNFQAVDFDNLVFPNYLRIDY IRVYQRTDTGSIGCDPSDYPTADYISRHAEAYSNANLTTWAAYGG
I206_06283	MTSIPWLATLVTVLDEGENTNDTSPLPPGSGPTQKFDGPWFSTQ LTLSLAIGLTSFLTFCALRTKWDVVYMGRTKLKDFSPTPAHSPETQTSSGISKFFGWI RPTLKTSEFTVLQTVGLDAAVLLNFFRMAFMLFSLSAFLAILVLIPLNLFRHGSTDSA PDPGENSTISSMMYINAFVNGTNPSTRRSFYDVLLDPTTSSTIHLIFTYLFTGLCLSF FHNNFHRFVLSRQSFGLHLIHSISARTVLVSNLPQHLRGDRALADYFENCGWMVESVS VCREVEPVRKILEKRTIALLKLERAWCDWVGNPAGKAIKGYNADVYIKPQVASTLASS TTPTPSVTSPQPIEARLIPDLDEAQAEEETHQGPTLTPINGSATPTNTAREEAGENRS HVHIHTTRPRPTYRPRWFGNKVDAIEFWEKEFEKADEEVKELRKKGRFEATHAAFVTF EDAKDAQTACQVLHYPHHSSVVTELAPEPRDVVWSKVSMTNREAQIRDFFVMGTTGVF FLFWFVPVSALSTLLSYKEIKKIMPWLANIINASPRLAAIVQNSLPLLALTIFNGLLP FFLEWLSYMQGFKSRSATEYSLLKKYHLFLLISVLFVFLLTTTYIAIVRDFVDSPMKI PQKLASALQGANVRNFMVSYVMLQALGLMPLQLLNMGPLFTLGYSRALSTKTPRDYAE ANAPPMLNYGWVYPQALLVFTITLVYSVMSPLILVFGAIYFGVAYLVYKYKLLFIYFK PYESNGEAWRIAFARTLWALVLFQLFMTGLFSLRQFFWASGAMIPLILYTLWWSWVMY RDFGPLSQFLALSSICEVKRGEQPTGVAGVGDEDSVSRSQTNLNHRRYAVNDETLYVA PSDRRTDYSQPPMNNFYFGVLNTGRRRYAHPALTGLLPTPWLPAKAKFGDGAKGGGKR SVVLSLRRKVAKKLSKQHNQSQGDSDAEGTQSSGPGGIPEGWSTGGSLRPSNSTTSLK KQRQQPSRSGSGSNSYGVTSENLNPWRDPTPPPSELSSSQIRKKISFDPGSGVIALPD DEDNVWGDEDDEDDVEEQEDEPESPSTYFHSRNRARTMSSSKALDNSANSNTGNLGGP AQTGSGVGL
I206_06284	MSSTWREFMSWNKYTQVASRALRQALTETDRVAAEKRAAIGVRY QLWENGQGGEQKYVAPQAEPKAAGTPPV
I206_06285	MSFSRRVDSGETLYNGLDHQNQDKEPKEPKDRYHIEPLSDLANH FERRKDKTGEAIMEKETATRKTENALGSLEIATDPESFNSASTSSFSVPTANTTSFHS FPPEEYRNDQQVNNEFPSSKAVENQDQGQSKNYIQSRSVPFQVIFVIITCATQLLAQG QFGMVLITLNDLGLWLGTEDAGQLSWMAAGYGLTLGIFVVVSGRLGDMFGPKLIWSIG CIFGIASNVGSGFCKSPIPFDICRAIAGLGSALSLPNALAILGRTYPPGKVRNIVFAI LGALAPAGFIVGGAIASIFCVLLNPKWIWWSTAIFIFIFLICGLFILPSDENISSNII RKKIKSFDYGGTILLIFSMGLFNFVWNQSSLIGWEKQYIYILLILSILSFISFIFFEK NLGKKALIPIEVLSNQSLLVYLTLWLGWMSFGTFLLYSTFFIHNIREYHNSLLIRAQL SPLMPGGIVAALLVPFLIHRFSGNHIFLISMISFFIGNLFAALTPKDQTYWGLTFFSL IICVFGPDLSFSTGQLIVSNSVNHEFQGIAAGIVSMITNYSLSIGLGMAGTVERYIKG SGKTQNDLLKGYRAAFWLATGLSGLAIIVVALFVRTPKQTHGHEDEKIAEKQIEEGRL GNSG
I206_06286	MPHEITLHHLNGSRSDRIFWVLEELELPYNVVVHFRSPNKSAPK SLLNVSPTGKSPAIIIDGETITESAFIIYKLLNLPEISSEKKSKLDVQINKTDNGIFW SHYSEGSMMNLFQASAIIGATSQAFINGQVIGELKEEEKKGIKDYSGFVLQKYLGPQM QGTIDFAESFINKSQHGWFSGTDKPGEGDFMMFFAINSLLAGTRANAGFNVGEGLRGW YKKVTSRPAAQRAQQRLSVEEEKAKSKI
I206_06287	MAPLFATSPDYLGYGYAGLLIVGGLMGGLKRGSKMSLIAGGASG LLAGYGANRVSNNPTDTIPSLATASTLLILMGYRFYQSKKFMPAGLVATLSLLMAIRY YNLKP
I206_06288	MADSRNFHPHLLAQIPSSAIPIPPPNLTSQPKKDSSSIPNFPPI STSSSINPPKKTVGISKSMSLSTNVSDLLLSSLLPPNLPKLPPSALPPGSFRKGINGN LPRELSTQRESLSLPLVSNNFRRFMTRVGPIFWLQDRIEEVLFWRKPLWTWAWMMLWI FICFQPRILLLLPSFVLILIFLHVQEKSTPIDSLLGTSIQPSTITDRKNAFAPSSPDP SVNPSSTTNKSGETHSNTGQGSFSTSTTKDSEGENVEKVVVPPKETESSVDIYMNLQA IQNLMGLVSDGYDYLAPKLSNLQNTNSVNSPTNLPINLTHIILFLLPPTILLPLTPSF IIPYLLLPLGILPPLSFHPNLTSTIYSIPSHSYIKRIKFYLEILMMNDKLSDEIGNKQ ISNVQVWENQRLDPKLSINNISTSSSTSLNSSNNNLNSSGIGIIPIGSWSLKHLRASD KSPWVKVDLGGFKSQENKWKSIEDTPLPTSINENEKDGGQKAKLILALKEGWDWVPGE DWRIDVRGEWSESGVDEEGWLYTDDSWQNPAPTPFTEAETPLTDKTAPASGNMPGLAL RRTTRRRRWWRRVYEVSSDV
I206_06289	MTIHGLWVISKAGGLVFSRSYSDVLPPQPVNTILTLAGILHGIH AITAKLTPTPIPGVPVSGLESFEAEGWGGKVFLTPTGTKFVVLHSLPQAGLDELLRRI YEIYSDAVMKNPFHTPEMPINSSLFESKLQTLMSSVNA
I206_06290	MVKFLVIGGGGKVAQYFTKHAVEAGHQVHSVIRNDGHSDELTKL GAKIHILSLEDANVPDLVSLFTEVKPDIVIFAAGSGGNPPGPEVIDYQGAVKVFDALE SSNTKRLILIGAVDVRTRDKGWPDWYNDEDKETSNKVWKAIPTYLDAKLKAEIELHKR KQIQFTVIRPGGLTLEPAGKVELGKTHLKQTSRELVAKVILAISTKKGAEGLTIDVID GEGSIDDEVIKVIDNETDAWTG
I206_06291	MPAVPTPSRTHSHRTTPKPDLNMSSDDPSTWLNFSLPPRSRAGV PGSGIPGVPRRSRRGEGWRGGPMSREKFLNASFKFVLKPTETISYGAHFADPDISLHW PHILQVLVPTFSAFSVAQGYVSTDQADSHDLGSSFESHDLEGMGEEAAERRRRMEEER RGRMCPICLGKPVAGRMTKCGHIFCFPCILHYIQLSDIPKSAKCPICGDTVHSSYLKS VKYLDATAMLEASTGEDDDDLVVGSSSSSSHNGNVSSKGDNNHEQNHEHGIIGEMDGF EEIMQEAKAVDSHLLKEDKRHQIHMRLVQRPQMTTLALPSSSTWPSDAIPPHTAPWYF LPDILAYSRFMLSTPEYMLSELQRELTELKGEFDMLRGDELGREFVKAAKEKVERQMQ KVKVELVTETVRRSERDARESWGEAVGGSRREKERKRERDRITREREEKARLAEHQDI TDIPTEFLASASTLSFDNSANIKIPPNAEIEPNPMPTPTPKKSRRRGGGGHGSNPPPA IPPSQSYYFYQSSLGANVFLHPLDIRILLAHYKSYSLFPQTISFTTTGYDPGTINDEL RKKCKYLGHLPVGTEVIFVEADLEPIVDKEVLVQFEQPLKARRNKRKERVKKEDRAKI KWERSEREKLPIDLRSTPSAFRSGSANEDRDFAMTLARSAIEFDNGSTFQPGSASSSN SHLYAAYPIPPNNNLQGGVSASYSPPNGGQLWGQQPSFAHALHNHTTSSMPIQRRQEI DWEVEAAWEAFENLSVSRRNGGTNAVDAQDGNAEERNGTNGLSGETIRESEKGGGGGK KGKKGKGQKLVLGGGGARRA
I206_06292	MSDPIRTRASWSANCSFYHKGCNRTRDKSRVGQKVFDIDEAIHW FETLRPKSLCDRCDLAWDESTTMLISPASVIVNVENLAFVCPNGHRSYITEPKTFSLT GDQSVADAMTEADTNNVKTETTLKDLYANAQLRCSTVGCDAITSLADDQSFETKPSAE 
I206_06293	MSNYKVADISLAAFGRKEIELAEHEMPGLMYLREKYAKEQPLKG ARIAGCLHMTIQTAVLIETLTALGAQVTWSSCNIFSTQDHAAAAIAATGVPVYAWKGE TEEEYIWCVEQTLAAFPDGKALNMILDDGGDLTTLVHEKFPQYLDDIRGVSEETTTGV HHLYKAFRDGKLKIPAINVNDSVTKSKFDNYYGCRESLVDGIKRATDVMLAGKVAVVA GFGDVGKGCAESLRSYGARVLVTEIDPINALQAAMAGYEVTTMEEAAPRGNVFVTTTG CRDIITGEHFEAMPEDAIVSNIGHFDVEIDVAWLKANAKEAINIKPQVDRFTMKSGRH IILLAEGRLVNLGCGTGHPSFVMSCSFANQVMAQIALWTDAKSYPLGVHMLPKSLDEE VARAHLAQLNIKLTKMSKVQADYLGLPLDGPYKPDHYRY
I206_06295	MVDTEFMSRLPNECFQSSIEEMEIIRNYAIECFKRLDSEIFYHL KQSFILSFYAKTLPELKKASDLCDEIQISVIDFALNSISNGNYIWFLKCLSFVREKNK CARSKERLEDKFMKVRKLIKSKKTVLENIYRSVNLKFERSNVNDISLDSYNQWEFGQI SPTPWRKYGSYHSWEFDKTYSTSWRKCKTL
I206_06296	MSVHSNEDECDEVDWLLDSKRTGRGRSSTTVHRSNHVKTETDRN VPSKPAPSISRAPISIDFAFPPNRTVVRRNHVNSPVEYPRTIQPSLLRVNSPLMPVFK PSAIFFASEPLDSRRRTTEVSQAAASSIGHSIAPMNSQSPLDQPLWATIKPPQPNDTA PSTLSADSSHQSEPVERIPSSDPLSSVRRDHVSENNPSPPRSSIAFLPEYNGGLKFSS WYARQQDLPESSCKKPRFNEEE
I206_06297	MYNHLRNEQLTQDNVNGVACSRDTSKQNSPLFAHHPLKSFPDFP NVLQVPVQKENSHDRFCRTWEWVKQQSKQSLSCPPTVQLPPQTPSTTSSSTVNPVSST LPILTLPPPLPFQTNSEHLVDRSQPLIMNPLELELAWTKEDIEAARVLCSLTSIETLR NYPFNVDSSPTLIGSKDPNVLIPQIFLYDGTYSPSDLADKDDHQEVDDQVNNNKEKID DAKTPKRCRSPDIGDVTHGDPFTEYRSTSFTSLNTLPPTPFDLSDSQWNEEVYDNGIV DALMLNKKLKMIEQE
I206_06298	MANRIPRLLKLHTLPGQLIFLTTISLGVGWGITQLKENEPIHSN LNLNKNKKMQKGDLVDVIESASGGGEGLNSNQINRKGGINLSDALTAERTASIWWNYA RDNSIITGRLCSNEKESTILVPIDKAILNLGRKPHQYPGLTYKGTFSTSKINTERFLQ AHIIDGKVKDGKIKTLLDGFIVELIPDKSQKGGYKIQPGDIEVLGSKETSNGKILYIS KVLPY
I206_06299	MSDYPLSKDQVHQILSYLDLPITDVLPLQPLEFLNKYILNLPQS ILKEFIFLSPKQLTNLSIIKHRRLLYSTKIPKPNFLLPNQGRLRWPLLWENLGGDPNI NLNDNSLNAIEEEQWVSNKFMNPISIINNDNDNRISGIEEASKRQHVKKLGGFLRVLE EERESESVRAAKRMERRLNTLGEEFDDESDEEDIQPFSAYNNGVRVEIKEDQGEVERV FEKRILELFLDGLDTIDYTEIDFIDPPDGDPIAIRDAEDRYFDDEEPSETSDGHEATQ TQTDTNSDVKSRLEEIERAESIIPQNGQGEYDY
I206_06300	MPPSASKQKRLAEKAAKNAEKGKTGSSKSTPSASVNGGSTPLTS LSTTGSTEDLSLDAAAQMKKLTMATDRSANGVLISDVKGRDIKIDSYSLSFHGRLLIE GAEIALNYGQRYGLLGENGSGKSTFLESIAERDIEIPDHIDIYLVRGAVEPSDVNALD YIVASAKEKVARLEKMAEDMATADEVDEVGLEMIYEELEEMDPSTFEAKAGAILNGLG FNQAMMAKPTKDMSGGWRMRVALARALFIKPHVLLLDEPTSHLDLGAVVWLEAYLSTY NHILILTSHSADFMDTVCTNIMDLTLKKKLVYYGGNYTTYVRTKAENEVNQMKAYNKQ QEEIAHIKKFISSAGTYANLVKQAKSKQKIIDKMEAAGLVEKIETRKPLRFNFEDVRK LPPPIIAFSDVAFSYSGKKEDFLYKDLSFGIDMDSRQVI
I206_06301	MLYFRIAIVGDNGTGKSTLLNLITGALQPVEGSVNRHTQLKLAK YSQHSADQLPYDKSPVEHIASLYNEKFPEKDLQFWRGQVGRFGITGAHQTSPISQLSD GLRNRVVFAILAMEMPHVILLDEPTNHLDMDSIDALAEAIKAFSGGVVIVSHDFRLIS QVAEDLWEVKDKKVINLTKEDISIVDYKKSLAKRSQAQIEKAKLISKSATKGVA
I206_06303	MGAAESSMFSSLEKNSNFSAPELMRLKKRFMKLDKDGSGSIDKD EFLQIPQIANNPLAHRMIAIFDEDGSGTVDFQEFVGGLSAFSSKGGRDEKLRFAFKVY DMDRDGYISNGELYLVLKQMVGNNLKDQQLQQIVDKTIMEADKDGDGKLSFEEFTNMV ASTDIVKQMTLEDLF
I206_06305	MENLSWDYILKYVLLGDSATGKSSLLIRITDDRFDLTEPTLGVE FGSRILSVGEDGKRVKVQCWDTAGTESFRSITRSYFRGAAGALLVYDVTRRESFDHVT SWLEDLRKYADENVSIILVANKIDLCSTSPEPLPSIQFGHPFPAPSTTFDTSSSRSPS PTPRPSTDIPKDLKNRRVSSMEGALFAKEHGLLYVETSAKEGWGVTDAFEWTAREVLE KVKKGELDRRKPGGVKLKAKEMERQSKCC
I206_06306	MSSSPIEPPNGSLQPFLPQQVTNLINGAASGRSSRASSESGSNR IRKDTIGYKSSPFPAKADQQANVARILAESGFMPQELVHGEVDWFYNHLGIENSYFLW EKTETIADHVLALFSAKLLAYTKHDPEKLVIDLEKITPEGQEKGHEGAVFIHTSKAGV TVTEGPGASVEKRIDSLFFDESTPEKAFRLETYRSSGAISSTISQQLRCYFVTRCSFP SSPAVKTADGTTEIKSVSDAAFLEKASENTLEVYQHVMSEVERRHGPVIEMFEVEDSR ERRVVIGYKMGGTRKFFSALSDLYHFYGLYSARKYVEQFSNGVTIISMYLNPVPNTRA PPIEHSIHQVVREASLLYCLPDNPFFSAADDDASPHAVQEATYAYVGWIFAQHFCNRL GSAYLALKNALDESNPDHAEVLNKIKTRFREETFTRDSIKEVIQNHPELVRMLYINFA MIHYPAADEASQLTPTLSFQRLKTEQPLTDEQLYNKIRRTASNQHAVQILEALLIFNK HVLKCNFYQPTKVALSFRLDPNFLPDVEYPKKPFGMFFIVGSDFRGFHVRFRDVARGG IRIIRSRSKENYNSNVRTLFDENYALSSTQNLKNKDIPEGGAKGTILPDVNANYKRCF EKYADSIIDLLIPGKTPGIKGKIVDVSGRQDPEILFFGPDENTADLMDWAAQHARSRQ APWWKSFTTGKSAELLGGIPHDTYGMTSLSVRQYIIGVLKAHGLNEKDVTKLQTGGPD GDLGSNEILLSKDKTVGIIDGSGIIYDPAGLDRPELIRLAKGRKMVSEFDASKFGPEG YRVSVDDKDLRLPSGEIVPDGTQYRNEFHFRVKADLFVPCGGRPEAVNISNVNQLVDS DGKPHFKYIVEGANLFFTQQARLFMEKKGVVHFKDASTNKGGVTSSSLEVLAGLGLND EEYLDLMVFKDGKPSPFYQSYVKDIQSKICENAAAEYTCITKEWLRNKGSKSRTLISD TLSSTLNDLQNELEVSDLYENIASRKNVLSRAFPKTLLDKVGLETLMQRLPEQYLRAI WSAWVSSHYIYECSLEASNVDFFHFFSKLSS
I206_06307	MSIRPLIPFRAISPIPSRITARALSTSLPRYRPSNSSSKDTSPL LTSVSKGLTSLQDSVNDIVEKFKTAVEHSHSEQYEGVEERGLRMLIFGKPGSGKGTLS ARLVKEYDIEFVSTGDVLRKEIAAGSEVGKKAEAVVASGGLVSDELMLEIVKAELDRL HGRSWIVDGFPRTLHQGELLDSVLNKENRPLNMIVHLNVPDSVIMARISARWVHLPSG RVYNTTYSAPKVPGKDDITGEPLSKRPDDTPETFSKRLQAYYESTAPLLEYFAKTYPS SLFSLSGSSSDEVLIAAGLKSPPPSLSSSLSSSSSTKNEKKEQMTDFKTFASSINIPI SDTTTSQNYDPETESLKTMATLDQLWPQLQNLIEPFNLRRAKKTLTKDGRDLTEDEVK EIRRQADDLKDPNEVDIGKK
I206_06308	MVNEGGQPYRPDFFPIAQPAARDLLRPAFGRSQDIRGGNVKHVR SVAWSCDGRRVATGGEFKEILVWDTKLNARGSTPLPSSSKTNVHNGHVGSIAWSPVDP HILVSGDKGASAGGVIAVWDVTSNSSPIASFKIPGDVLHISFHPSGRHFAVVCPQRNK DEVFFYWRHPIDGVEKWERRDDVALGGALMDFGAEEINSLRFDNSGKLVCAVSNDGSI NAWIYPTQLQAQQEQMDDTLEPRVIKSGPSTTPSTPKLPVEVENAEILKSREGSREGS PENTREGKETAEEDTEINEEEQGKVNQEGEEVKQTIVAEGDEYKQTEIEGSEDVEMKE PAQEMEALPTTSAAPSRQPTPPLPPNQTLIKPAEKKKAGQLQRFRHAVCHSASLLSLA FDPQGKYLAVGGQDALLSMFDTRNWICERTFDVCTSAIRHIAFSHDGEFIALGGDDSY IAIVSVYSGALVAKLPVYGMVSSIAWHPKTNWLAYSYSGKVASPIWHIVHQET
I206_06309	MPVATKPKRTSNEVPTLVTVDPSQPGASQANIHTRWHPEIPCVA TIEVGKPFRVECLDYSGSQVHNDDNADDIVHLDHDSDHHLSGPFFVPNSQPGDVLEIE ILDVQPFPNHNWGYCVTAPELGSLDDNDDPKRQTKVTKSIWDFFGNETSSRHVPHVSF QGRPHPGVLGTAPSHQLLGQWKKRESELEIETAGKAGCSLPQSRGAYVGQDISDELRE RIYSEGARTSPGREHGGNIDIGLATRGSKMYLPVYIPGASLSVGDLHFCQSDGEPTTA IEMAGIATLRVNVIPQGIRQLCMKSPMFRTSPTEPLYRDKICFTGLSVDRTGKQTNQN GLLAYRNAAFQAIEYLESFGYSREQAYILLSAAPIESRVIATANRPNFVISLGIPTEI FDFDILPRSEGITDQEKKRIIQGPAIPSITVDRKVANGFNHEH
I206_06310	MPVQLSENAREQLDQVLEAYPQPGKPGTVLGLVNKEGQLIYLKS VGSKNAVTGEKMEDDTIFWIASCTKMITGIAAMQLVERGLIDLDEPVSKFIPELADIH VITDSSETSVETRRASTSITLRMLLTHTSGWGYSWYNQHIFNWWKTAGNGAQLPYVRN GQRLTYFMQPLVNEPGTKWEYGINMDWAGIAVERVSGKKLGDYFIDNIFKPLEIDDSY FDFKDRPDLLERLSPMHKWDAEKDEYSIRDFPHLLVDTLNHRGGGGLHTTAGSYLKVA SVLLNNGKGPNGAEILKPETIKLMFKDHCENIHDRGGLGTNAVVKSTDPSRASTDLEM LPGTKKGWGLSFLLNTEDIPNGRKAFSAEWGGVANLFWMADPKSEIAMCIFNDVLPYG FPEFFKVEEQLQQIVYAQGGLVRV
I206_06311	MSTQVESKKDLHADQGSNHNSHEVPSVEHVSYDVAPQPVKAHWF RSVTAQAIITGIASFLAPGAYAALAATGAGGLANVEIGNASVAVAYALIVPSALVSTG FLSKVGPRWTLAIGAAGYAPYAAALYTNSAYKNQWFLVVGAVICGLTSGLFWVSEGVI ISVYSEPTRKGRMLAIWQSLYTLSTVIGGFINLFLNLDVKVKGGLKPKTYLVFVALNC LAPFASLLLSNPKQVQRKDGKAVTGIPDKGFWKETWLTIAELRDPKIIAMCFLWSQSL FIPSWTSTYLAKHFSVRVRGMSSIIKPTLTIIWFQVMGFYLDSKSTTIRKKLVYTWGF LHTVILGSCIWLLVLCVRQDKLAVAPAWDWSTPGFAAAWVPVALATAAQGTAYGYMYY VAGYVFPRGGDKARLSRIIATLRSAESGSAAIAFGINATKLSLHKTGYINIGFALFCV PCGLYILSYVWKQDKLGAFDEETVQVEKPAIAQETP
I206_06312	MSADTSETIAGNAHAEGSEPKKIRRRAGPFRRSRTGCGTCKRRG KKCDEEWSDKGFCQRCMLGQFECSGRTEQVGGSGSKSNSSMREVNGARSSSSSREPND SQESQAVHLDIPLIDHLSANPTMPAFDANPASNSTSIAGPSTSIVHPSSVNYDAGLGL PNTFGFWGTPTPIPPNTTHLNHNNLTIDHTNFFPSVNHNPQPLYNWPGQFATQPSAFL TTNNDGSLAIDSQPFIWDDHSTSDIWNDFAASFTNIDNSSVKENHNHQLNANSRVLFL NTDKPTRQGVSLAEIYARVVESWLVGIPSTTRDYARARILALNDNNSVMRNVRFAVSA AYIFLFAGCSERSNENPNDPQPKLVELACKGAGFIDGKSTSLSQSSGESDVITPSSKD GEKDQLTNALRKIRIYVDHVSTPFAADMESLKWTEDAVRELREIEVTDKSHLSDLLWG VIDLQLVEFIRGGAAPSYNMLALGDKLVRSALGSNYPEVTLSSLRTSDTFSLRLYALS DISRCIVQRGRKTIFNFWSDINDSQSNAPARSEDEEPWATYLGLPDSIVILLAEVVNL CAELSNQPASIIKAQADELETALKNWQSQTFSTAHSIDSTALISRTIAGELWRLAALV LLYQSVHRVGGLHPILRRAQKEILNLLDSIAKLPNGDLWGFIALPAFLAACLSISDHD RQRSMLHLAKPGPERVWLDNIALVERVWEETDETGKLPDWHDKMTREGMSVAFF
I206_06314	MSVVTISAVCAVAALSRKTPTSVFLAAKDRLVDLLDQSDVLKVA SVANIQTLLIATSKAELLMNHRQSSGGSLSFQRCSSAIRMAQELGLYRNDIDFPADIA MSRRSAWRSCLIADRWLAAGYGLPQIIDLDDCDDVSGVAETDPQMILQAELYNASILL GRVLKEIYSPKVLARTNDDRIEGLIMAIDSCRSRVNDVLRFSPASEVGRVVFELSILT VEALFLRGVSSTKIRRPSHLTYRSSPGRWKAIWDRSQKLVSWIGEKGDWLLDTSRIGL YGLTFCCLMMFRDHSQTHSSTALYGLQMASHATFRWAQGSGDLTYMTDGRQNHAQIIR TLYIVARDGVIGAKPDAALGERLHVVSPLAEGKHRHAYTKADSEIERRSQSTTAAADL NDPLAAELEVGQSLLKLTDANTLSQPTPVEPMFGMPTDLTNLDTFNMLGFDGVKDSRH EEMAWSLPPMDKWLDEILEAEANGTNWTF
I206_06315	MRDAKTYRLYTIACSYHRSLTAVFLLCIVGGGLDAGAKVKIFIL TFAVNGGNGKEREFPTWWGNPVASSKQYANYCGTG
I206_06316	MGKHRGKKPIRGGARQPQSRDDKQNDWNAFVGTDKGNAGFEEYY KTQGIMPEADWEPFMGSLRKELPVTFRVTGSRAHAETINDIIKNTYVPNMQNVEFEGQ KYDPPSQLSWYPGQLAWQVDAPKRVVRKTEPFKNFQRFLVGETEVGNLSRQEAVSMIP PLFLDVQPHHICLDMCAAPGSKTAQIIEALNPHHTASTGLLIANDSDYKRTHMLVHQT GRMPSRGLIVTNFDASLFPSIKLKGGKQLQFDRILADVPCSGDGTLRKNLEIWNKWGA ADGNSLHTLQLRILERAMNMLKPGGRLVYSTCSFNPSEDEAVIAAALNGKPGKFHIVD VSAELPHLKRRSGMSSWKVGSQPAVKARQLVWYDTFEDYKEAIKKGEEKENDRGKGLP RTLWPPANAGELNLDRCMRLLPHDQNTGGFFVCVLEKKADATESNEDSASSSLKRPAT SPPPASESKRPRDKSPAPNASAPATSTETTSAPTPAPQTSTKKDKRDLTFKEDPLSYV DPEHEEVKSIVERFNVQDSFPRNNLMVRNEYGDPLRTIYMTNEIVKEIIENNDYTRLR VVSAGVKCFARQDSSQRTEIRCKWRTPMDGILEVLPHVGEGVVISATLEELRVLLEDH YPPVDSFKDGEWKNIMQSRDMGCEIVKFEAGKLAGGELALPMYLPVWKAKMSLSLMID KREKSILSLRTFGEDICKPPPPQKDFHKKPEGEVESVPVTEGEGEAGIALVAEVAQGD AVAENTEESVLNA
I206_06317	MSGFRLYSDRLTLPSGNARASSSRHLTSSRSPPANDVQASASRR VSQEVAILVDSDEDDLPVAQTKPSHYFNASHKTASHQTESSNGHGSKPLHHKIGSMDL DAKEALKVALAKLDKEIKDVEAQLRPLQELHTSLNAERRSLETQLSKSVNISRPANDN TTVGTSIQQGTTNYHTTSFVFSPQILQKLKSTFGLNSFRLCQEGVINAAMDGRDIVCV MPTGGGKSLTYQLPAVMGSGLTVVISPLLALIWDQVRALKEIGVECVMMTGATSTSEQ NTIYERMKNGASRGEKEIRLCYVTPEKVSKSKRLISTLEKVNENGRLRDYIDEAHCCS QLGHDFRPDYKKLSMLKTLFPRVPIQAVTATLSSKTLPDLLKILRLGPITDGNSAKSS GTVFFSAPLFRPNLHYKVLPKPSNAKMAISAMGQWIQDNHPGQSGIIYCLSKKDAETV ADELRDWSNGDIKTGVYHAGIEDYEKERIHVKWREGRINVICATIAFGLGIDKGDVRY MSKSLEGYYQETGRAGRDGANSDCVLFYRGQDAARLSSLTYADVEGSGKLQEMLKFAQ DLKTCRKVAFAKYFSASAHLSASAWDAPDTLSSSSGTTSTCGICDNCTRNPGSIISKD VTIEAWKILKVTRAVQTEQGRVTLTNLSDLARGLGGGMFNVIGGEGRKGKRKANGEKE KFDINSIGGKIALGKDDTETLIIHLLLLGYLQDCEPIFLAWADNVNVYIIPSDTAFRL TRLRLEDIEDRPPVKIECIFPAPKVKKTKTKRGKEGDGADEDDKEVSEADVEDDEGEG DGEHEEKRNSTKQGKKGTSDPPPKSKPKAKGKGKSRKKDEDEEEPVQREWFDIDFSDK KIKKDPIKPKNSARATPKGRTAKHSNPKQEEEEVIVIEDDLDDLQPDLRGSVTPRDQS IEVEDEEEMWAQIMNGDIE
I206_06318	MSASPAPSGGTMSVPGTPPRRPAKRDPSSLALSSIANNASASTS TSISANRNSGENGSIPSGTVRADIKPRDPIIERALQLSTFESNYSTEDFIGTLSEKLI SESKVDPGPFNPKPFLQTFSPALDSLLSLRQQVAERTKKMETDVRRAEREYGRRLREL DGGFEAIGNSFENLESKITDVGRTAVRMGEQLDTLHQTRSTAQSTSLLLSYYLSLAHQ TSISSPDGTSSTPLEVLFATRTSREGRSRLAVILRRLMAVSKDVADNATALLNETEQA QTPKEPNGNTGTSTTASDAPVSAKAIGKRKMEKEKAERVRDEIERYCEKFEKEVLRLF DRSYRKGDPRMMAHCAKTLQDFNGGASCVQIYVNQHDFFISKDRLLEEAVRLDTSPLY SWTTIGDPDAPPPITEPGMEALLKEIRVTVSQEAQIVKAVFPNPSAVFQVFLQRVFAQ VIQQHLESLLTRASTTSTLAVLRILHLTHSICSSLVEDLKTYDLTLGAETAGLSKAPT TASGPLATMLDHALEEMFIPWLEGSRYLESESKNLVELYAGLLSRFTRYHETVLKAKP NSILDKVVNQLQTSSSAATSSSTAQTAAAAISKYANLFTSKTGGTSTPIPGQQVKPYG TNAAHLGVQGGGGTKSAMLTNGTQTPVAAEPRPGLPRQDTVLKGELINKGLEDKVWLT DGVLTIEMAERMLKWHAEAVGRAVELSASGDIGKNALSLSKVLSEAIGRSFVETALDS ALARLDNQETRSAEPDLQPLTVIKPSDQICHLWQRYTSTALLPLSGTVMSIRREMNTI NSHNVVRMEGKINSVIQKALDGIVSYLSYLLTKQKKNDYKPKNDELSFARTNTEPCEL CTDFLVTIRDTVNEALSGKNAEAFLTEVGVAFHSLLLDHYKKFPVNPTGGLMLTKDLA SYQETMSSYGIQAVNDRFDMLRQLGNSFIVQPNVLKSYMTESHLGRIELRLLKPYLTQ RSDYSQFSKLLQLDDSTAGNEDHPSSSSPLSISSTISSTMLNRSHSRASGLGKAGSRL STMSMSGVAGAGMGKLKEMLKEFDTMTPEESANARKLHNSQRGGYQPMFYMGLH
I206_06319	MSSSKDSDRLLPVKNRLEPFWLCERDPVLQNARTTTELPRAVDV VIVGSGLTGAMTAYHLYAEAEKIGRRINVVMLEADEVCSGATARNGGHCKPIPFVGYR TEASKHGQTIANQLLTFEASALKQYADLVAKEDINCDMHVTRAFDIFFREDDAASGKK DYEARKSAFPNDVNGQDIRVVNDPKELERLTGIKGGCFGANYPAGHLWPYKLATSLIH IAIGRGLNLQTHTPVISVKESNSQPGQWEVNTPRGSITAGQVIVASNAYTSGFLPDFK DLIFPVRGTACSITPAQSHSYGASPGPIKYTYGFRHGPGEVDYMIPRQGRGRIPGVGD KSYILGGAKGCFLNDLSQWYDNKQDDQYMPGAKEYFGGFMKKHFADWNGNEKGNVDKV WSGILGYSADLLPYVGEIPDKPGVFVCAGFTGHGMPRIPGCSAAISSLVASRINDGFI TANAQKAFQDALPQPYWLTRERYTSKVNLIKAAMGQGDKTKGALENSDEAVLAGRAVR AKL
I206_06320	MPSAAIISEIPLLPSASKPLPLTTSTQGLQAIADKHITKGLGRL RDHVFKEGRGLRVLTTENQKLLDFTSGIGVTSLGHAHPDVTAAIIEQAQSIIHVQCAI GLSEPYVQLVESLLTMMPDPTLDSFFFWNSGSEAIEAAIKVARTKTKRNNIVVMQGGY HGRTSGAAALTRSKTSFFKGTGPLMPCVYTTPFPYWHAMGLPKDTPEEVLVDQAILGI ENLLQQQTAPEDTAAIFLEPVIGEGGYVPTPPAYIKHLRQLCDKHGIMLVADEIQTGF CRTGKTFAIEHSGVKPDLMVFAKGFANGMPISGIVTRSEIMSAMQPGSLGGTYSGNVV ACAAALATTRYMRTHDILSHVNARSEQIFNGLREIQADTENGGWMIEEIRGQGLMIAL EFKDPNSKLTRSHSRGDVTLPGNLNKLVQDACYDRGLLVLTTSIYPVLRLIPALILSE DEVDEMLSTMREAVREVAKSVEGK
I206_06321	MPSVVFDVVGTCFSYDNGAEAVQHRLGHKLAKYGIPSKLLFYAW VCGTERDYSYLSQIKQYKPFFDILSGTLKRVLFQAGVPAEDLEDFFTTEDVEYIREEY KKLKPRPGLSEMMQTLRDGGFEVWCCSDANVDRVKGYFDKAGVPMPLDHILSADMVKA GKPEPAVYKFAREKAGSDQPGEVSIFAASHAWDIAAAKSAGFSTAYTTTYELDECVDI FGKADLVTPDLVTLGKGIVDKWGKKTA
I206_06322	MSLRQHNIAVIAGDGIGIEVTASTLEILRAVQKKVGGFELKFDE LDYGSARYKAKGSYTPEGWLEHLRKFDAIFFGAVGDPDVPDHISLWDLILPMRQKFQQ YVNVRPSAILPGIPARITNAQVGDLDWVIVRENTEGEYAGQGGRTHVGTPWETATEVS IFTRRGVERVMRFAFEIAQKRPRKLLTVVSKSNAQRYGLVLWDEVAEIVSKDFPDVKW DKMLVDAMTVRMVSKPKSLDTIVTTNLHGDILSDLAAGVSGSIGIAHSSSLDPTRESP SLFEPVHGAAFDIMGKNLANPIAAIMSAAEMLRWLGEDQAAEIIENACKTSIANGQTT GDLGGKLKTSEVTEVVLKLIDSE
I206_06323	MSKTQDLGALLSDSDLFRTQGYINGKWVGASDGATFPLTNPATG AKLADMPHMPRSQVSEAIDAAKSALPAWSALTAYQRSAYLLKLHGLIEQHVQDLGTIL CVENGKPLNEAKGEIAYGASFLQWNAAEGLRTYGQTIPSPFPGTRNMVIKQPIGVCGL ITPWNFPNAMITRKMAPALAAGCTVVIKAPAETPLSALAMAVLCERVGIPDGVVNVVC MDKGDREAAAGLELCENPKVSKISFTGSTPVGRLLMKQSSSTLKKLSFELGGNAAFIV FDDADLETAVNGVIASKFRAAGQTCVCANRIFVQSKIYDRFANLLAEKVKAFKVGNGM TEGVTIGPLVNQRGVEKVERHVKDAISKGAKILVGGERFIPEGGESNITEDETCFYKP TVIINVDSTSYVSSEETFGPLAPLFKFETDEEVIKRANETEVGLAAYFFTKDLQRSNK IAESLEVGMVGVNTGVIAQACIPFGGVKQSGFGREGGPGGINEFQIEKLITIGGI
I206_06324	MPSSNLPKPKLHIPITEKSSPFFTKPYNSSQLSPIPDNSPINGF HNNQIHNQTTDSQTSSSDGGLDINCQTPLLPSPGKLEQGHNNDQSYPFPTSSLSSSDW RNNSGMLTRTKMFIRKGPHSIFVKRVLILLFVLSLAIIGLHTQSNSISLSSNNVDSHS TSNNPINKKKPKKPFRYPFQLSTPAGVKHKTIYSNAQAQGKRVIDIASQPLPITASLR ERLDAWREAPGGRGEVEGEVEHGGFVQWNLEQCDTISEQHNTHMIQHSANLWASVNRS SLHDYRMDLIDHMEGVLNRGEIEQVGQGRGIVMVAGNADTLQRVKWSLQMLRSYGSEL PVQIYHFPSEKPSVDDPIVKDLQELNAELVEAAGQMKDAKKTKSYHLKALAVVQSPFR EVLYLDSDSIPTRDPAYMFDAPNYKRLGIWATPDYWKTSANNPIWSIIGVKCRNEWEM ETGQMFIDKSLHLDTFLLIKYMLERHEFWFYFSDGDKDIFRWAMLALRKRWAVPGRWV GAAALPSGTASGDFCSHTMLQHDSWGEPLFVHYNLLKQIPSGVGRGYSWGRTKQMPLF NTWPATPATARLNEPETKPSDGILPGLGDVDCDMLADAQEDGTARSPAKEMIMRRAAR ERGIKVKYHGGWISALCIDLDYIDPRPEERKEADAERKRIMEEQASLSPVPESHENAE GEKEEEEEEFVGVIYPDWEQSPIEVVQWRDDEHLKDFERKIYDLGFKPSGPGF
I206_06325	MSSSNAVASSSKRSAPSPTLHKAAKRPRASTSSAPNDDEDDLED KEESEHEGSNLPEDLKAKIARKEARTIRNRESAQRSRNQRKAHLAFLEQRVVELEAEN RALKGGESMSNSGSPVSPSSYSGREASPAQSVISLANDLGIPSELVNGTGVRLSNVAP PPADLDMEDVKPNIHHFPSPVPDRNLQPSFVNNNNQVDQLKAENSALRERISLLENLV KQVVAVANFSGLSTSQDVKPSVQLPSHELVSPTTTSNIDWSSFLSAPVPVPSVPGLES TLSPPYYSNTISDVPSTTTKPIQPSANTQVMLENNVTRSANPVARHPAEVATLSLPVS FDTEKGKALQRARGNNSTVSTLSTTTNSFGSEIGNSFGTSERLDNFNNNVNYNFVNNA NGGLWNGAFENGINQLNTSVESMENWDEAMKNLIEDIEGRNNKSETENQLTNEHEQNN GSFLGMEWFGGNGENVVV
I206_06326	MVQIFDNPPLPKQSSAHPLDPLSASEIRNAVGAVRAFLAANTYK AEKPVEKPLFNSISLKEPPKYAVLRWSGLFSAKELAAVGESSTDEPVRRQADVHLICP VTSQSFEAVVDLPSNLTHKSSEEAKVSVWTPLHELIQPSLQTEELIWAEEVCKKDPKV REACEAIGIKQEDIAVDGWCIGLDERFPGKRLQQCFIFARLRPNDNLYAHPCDFIPVL DSHTGEVLTIDYPPKNPAPGEAHPVSSAEAYEKLPPRERFAPPLSPQNYLPEQIALDE PNFKVRDTLKPLHVVQPEGVSYSLDGRVLSWQNWKVHVGFEFREGLVLSNITYDDGAK GTRPIFYRMSVAEMVVPYAKTIFPHHRKQAFDTGEYGVGALANSLALGCDCLGSITYL DADFCTRNGGVQTIKSAICIHEEDAGILHKHTDFRDNRAHVARNRKLVISSICTVANY EYGFYFNFSLDGSVELEVKATGIVNAYPLAPGEIRDPTHEVEVAPRIAAQHHQHLFSL RIDPMIDGIKNQVVQVDSVPDDEDVGSDSNFYGNGFKTVKTLFKTSKESVSNYDAPKA RSWAIENPNKKHFSTGGNIGYKIVSKDMPPLLGKPGSLVWNRAPFARQNMFVTTYSDD EKFPSDIHINQNPGGKDFGSQSWINRDDDIVNKDIVCWPCFGVTHISRPEDWPIMPVE ILRVHLKPSGFFDRNPGLDVPSSVDAKSRYANEAFTNGKHVNGNGNATNGTCK
I206_06327	MTNAMVENSRSMVETPETRRDDARLEDLGYHPELKRAFSAWETF GVAFSIMGVVPSIASTIFYNLPYGGPVGMIWGWLISSVLILFIGLAMGELASSMPTSG GLYFWTHRLSPPKYRDFLAWMVGYNSFLGNVSAVSSLAWACSGIVFAAASLNNSDFAA TAAQQFGLYVGILIICGAFCAYGTEIFAKTQTPSVILNVILALVTIIGLPIARRHELN TASFTFGGFVNLTSWPSGWAFLLSFLAPVWTICSFDCAVSLSEEATNAATAVPQAIVG AIGSAGILGTVILIILALTMGPDVAAINDDALGQPLAYIYLQAFGQKGSLVIWSFMCI AQLSMTASLVLPSSRQAFAFARDGALPFSKYWHHVDSWTGTPVRTVWLVVGCAMPLGA LCFADPVNYSAINAIFSLAILGPYVAYGIPIAAKLIWGKNNFVPGPWYLGRFSRVCGL IAVVWMTFAVVLFSFPADTNPNAGSLNYACVVAGAVWLFATVYWFLPKIGGKTFFRGP VTTEPDMIHEIPHVESVSSDSKKGGNGQIEERSVSRVETIEL
I206_06328	MSPNPEGESSRTQRRSTRACDNCKSRRTRCEYDNDNISCKSCVE NGLECTFMAPVRKRGPQAGGRKGDRRSASPRSSDRWSTKPKSPENDSQRVSPPYPRRT LPFGGVPSKLVDQLLPLYFTHVHNVWPLVYKATFNPHTAPSPLLLSMLAIAACVTPLK DGDSFPSERLFRMAEQSLHESRNECRIDLIQSLLLLSLRQTGCGDKQSASMYAGRACC MALNMGLNLAPGGLGKLPDPTEQEIRSRVYWNTYVLDKTLAEETGRPFLLTYRRTTTA LPSTSELEEFEAWPPPTISATISSSVGVIPRRGHVLSCFAWTCRLAMVVEGVLEMDPT CPPMTTEWDNQFLEDLPGKQQYMAERPATHLDGWYRALPLYLNVDGSASPLPHHAVLL AWYHTTRILYHSRFIRSKGIAQSPMVNTSLQPISARQACSDAAQATIAILTLLDRHNL LTVASADVLHILSLTALFEAFDTTSPDIDTAHKAKVNFAQCCIWLREFSKSWPAASSH RLFFEGLIKGGLHLSSTESDQPSDPLPGSGDTAASPSLPAGLHAIRRNLSISGNVSPI RPDPSDIPLESTLNPSNLFQLPQLYWNHFNTGSNFNNALGTVTNTDSTWNSDFDIGLN TNDINDTFDTNWNNQVGGVVDMSAENWLESLTTSSGQPDNSISGDAVQSALMSFMIQA GRGG
I206_06330	MPPAPTLKEVQSLYSAFQNTSNRFTSYNFHNYFLRRTHLAFKPI LESLSPSAGSEIQAKQIDPTELSKWFSEQQKELEIIKRAAEVNRMFQGPKLVVEHARP ITAGGGSGAEASP
I206_06331	MSAYNPNRPQRSIQDEESDIGLTPPSTRKSKNKFKHKEKIENAE DNERFKIPSIPKKKLIIWRISIILLFIIPSCLLYLITCSNPSWRTNWSVVKIHLSSQE WGTISSKGKNIGISYNATLTRRIMDEEEEGLTDGGWLSVNMWGWCLQDISKTETICST ENMLFDLDKLLGEQSISSAPSGDDFNFLLTHGLILHGITMVIAMTAIIPMVLMLYRTI KAKNPTVESGWFEHGILLTGNTLCLITISENKRTKSPEKP
I206_06332	MSGFTQNDQVAINTIRALAADVVGKANSGHPGAPMGMAPVAHVL FSKFMRFNSKNPKWINRDRFVLSNGHACALQYILLHLAGYKVSMDDLKSFRQIDSITP GHPELGVTDGIEVTTGPLGQGISNAVGLAIAQAHMGAVFNKDGFNLIDNYTYVFTGDG CLQEGVASEACSLAGHLKLGNLIAVYDDNKITIDGDTAVSFTEDVEQRFKSYGWEVLH VEKGDDDIAAIEAALKEAQKTKDQPTIINLKTTIGFGSLKQGGHDVHGAPLKKDDITQ LKKKFGFNPEETFAVPQETYDIYNAAAEKGAKAEAEWKDLFKQYSEKYPKDASELTRR VEGRLPEGWEKALPTYTTSDAAVGSRKLSETTISKLAEVLPELVGGSADLTGSNLTRW KNAEDFQHPSTGLGSYAGRYFRFGVREHGMAAICNGIAAYGGLIPFGATFLNFVSYAA GAVRLSALSHLRVLQIATHDSIGLGEDGPTHQPVETAAWLRAIPNLAFWRPADGNETS ASYLVSILSQHTPSVLAFSRQNLPQLANSSIEKAAKGGYVLEEVENADVTIVSTGSEV PLALGAVEQLKSKGIKARLVSIPCFEVFDTQSREYRLSVLPSGAPILSVEAYSTFGWG QYSHDHFGLKAWGASGPYDQVYKKFDITPEGIAKRAEKVVAFYKKRGQPVFSPLISAL DDVSDE
I206_06333	MDIEQFRKAASLNDDVDIGYAAVDSICDYYTNLQNGTIPVKAKV QPGYLIDQLPTSAPENGQDFNEIQKDFNKLILPGITHWQSPSFFAYFPSNSTFECMIA DILSSSVANPGFNWICSPACTELEQVVVEWTAKLLGLDKMFWGSSGIGGGVIMGSASD SAFTAGIAAREKALRYLSVENGVKPSDYVIYGSTQTHSLAAKTAVMLGLPFRAVPVSI EDNYSLTGQNLKEIIDKDISNGLIPFLIYATVGTTSTGAIDKIEEIGNLIKKKYPKTF LHVDAAWAGVAYALPNYRKNLKLNEVNEFANSFCTNFHKWGLTTFDCSIFCIKDRKDL TKALDVTPSYLRSKESDQGIVIDYRNWQPALGRRFRSIKLWFVLRSFGIKGFQEHLLR GIDHCQQLEKIIKESSNFEIVTPPSLTLIVFRLNPISSKEDIQLDDDELNLMNQDLFK ALDLRCDIFLTQTMLHSKERNMYVIRIAMGGVHTKFSDVEEAWRIVEEEGQKILVKWK AQRAIE
I206_06334	MDAITHKIISLLPYNIQAVISNPPSLSNPQSFIPIFQLLLPYTK YILIFSSIYIIYSFLTNIFGIFSRLLRFGIKIGPIIGLIAWLMNNSGQGSLEELTNLV KQYFGLSSNNNNNNGGFGGLSPGIANLANLFNNKDKSKTTNSKYKNNKNNYRTDPISS RTRNSKKSENPGSASEIFENLVNEATKEENVNYVQDFVKTSLMKAAGVDWLFGNGNTE KKEEKKKGWTR
I206_06335	MPIPIFDDQISSDVENENDNDKGTPLVREFPPIEHFRIQNVPPA AYYIPDFITKEEEEYLLRKVEESPQPKWRKVGTGRRLQYWGMPL
I206_06336	MSKKGILLPEIIPDFLTSFPDIIERIESLLRDGSIKGKEKMGLD INQVLVNEYLPGQGISPHEDGPAFRPLVATLSLGYHTILDIHHYLNPTKPSPPMTSQV ESDTESGKTIAAIPLAHLLLMPRSLLILKSSLYTSHLHSISSKEEDTIVHRTLSDSVS EDRIVKANGGVEIANMELLGDAEVIDSLKNTGEWTAKRDTRISLTFRQAEKVLKGGAL GALSNALKR
I206_06337	MTSILSYDLLEIEKIDLSLINPLNIIKGFKKELEILILCLILLA KKNGIIIIIVKESNSSEMINNNEIRIDNEDNKLWNKENSLPIPIQPDKSFSPNMNIST FLENQQIDSNQNDSISSNWLNKNNEEINFDNSMVSDEFDPFLTPIHQKFIQSHRAQSL QYQENNLIEDSDRSMEENHEMTLTNSQSHSMSKTISSSSSGKTVLQLMLEEFGLNPG
I206_06338	MSRRGTQAPLISKSMFDVLGDDNEHEEEEEEEEIEEIPVTNAAG TTAPTEPSAPLSKSARKRLARLASKSAETANSAIESAKQTVEAVTESLPLPAIQQNGD KPEEGKELDNAIAPAQEEEPKLNGHQESAFPTSASTEKVIDGKKEDDLPKSTEAVSKV PTTPKFHPTLPESLPQHTANVLPSNRKRKQPQDFEPSGPGNTPTPTSPSKLGVKFEDG LAPGEGEEGEKTISTPPKKALLPQLPVVKKDRNVIERTTWTFIMIGGFIGLLCMGHPY MILLVMLCQALVYKEVTALFDLRDHGANKVEVANGEKGDKWSKTLNWYFFVVCNYFLY GESIIYYFKHIVFVDAYFIPFARNHRFISFMLYVVGFVGFVANLQRQYLRQQFALFCW VHISLLLIVVSSHFIVNNILEGLIWFFVPASLVICNDVMAYVCGKLFGRTPLIKLSPK KTVEGFVGAFICTLLFGLAWGTFFMRFPYMICPARDLGTNVLSHITCRPNPVFVWRDF EFTGPAKQVLSTILGHAPPAIPYAPFQIHVLVMATFASLVAPFGGFFASGFKRAFNIK DFGHSIPGHGGMTDRMDCQFMMGLFSYVYYSSLIRIQYITVGSIMQTVVTSLTASEQL ELLADLKRFLEGQGLKA
I206_06339	MSTSVMFDQSQSVSKRRAVDNQDFMAVILVGYGENLYPFNQGTN VVSKALLPIGNVPIINSVIDWIFAAGLRDVLIIVPPSFYNSVAEHVTEHYSMATHSKA RIDIKRTSEGEKDDDEESSEINNKAGGRDGTARILRRFKNWIRTDFVLLPCDLSPPTS LSLTSILDKHRSSPDSVLTSVFYEPTESVKDSEEKVLVALDKTTDELLLIQPLDNLQN DDLDLRMALISSHPTLSLTTRLSDAHIYVLRRTVLDLLSTRRTKDLDSMREQVIPWLI KGGWQKGLGRRWAPILNPPKKDPFAAALARSTTTNPSSSPYSTLSPGSSPTSDHTPLP PTTPASSGSHFGSQDGSMLNSMIIDPEEDVKASTRRDVVGWKCKVIITAPIPTAEPSQ PQKGAGGGRGQKAKPTVEAEPDYLIRANTLAGYWELNRRFLKLLGMNGMNGTSKMIMP KQNLSSVEDATGSTPAISPQSQISPDSLIGEGTRVAERASIKKCIIGRHCNIGKGAKL TGCVLWDFVTIEENARIENTIICSNSRIGEKSQIKDCEFGTGFESKPGAILKGEKLVA GQEA
I206_06340	MSDPSSSSSNAAQSIAKSAREAFEQSQLVDVSERDVALKAIKEV LEGKKEEILKANKEDMDAAEILLAQGKLSKSLVSRLDLSKLGKFENMLQGINDVSSLP IPTGQITFAKELGPGLDLHRVTCPIGVLLVIFEARPEVVVNIAALAIKSGNAAILKGG KESLKTSTILSNLIAEALGKTSIPSTFIQTVSTRSEISSLLAQDRYIDLVMPRGGNEL VKNIQNNTRIPVMGHADGICAVYVDESAIEEKALRVVLESKTDYMAACNSAETLLIHK SLINTIWPKLASTLMSNNICLRCDPNTLSSIRNLKESSKFVTASTEEDYFTEFLGPTL AIKTVNSIEEAIKHINSHSSHHTDSIITENENSILNWFKGLDSANCFINASTRFADGT RYGLGTEVGISTGKTHARGPVGLDGLVIYKYILRSKNEIGSIIADYEKGENKYTHKDL IKGKSPF
I206_06341	MTTSPPPYDPPVIHQNSSPSSPSSNYSPSSHRYNQPHHRSFTNS RPNLKRSKPSILDELLPTPSEQNKSSNSSLNLIIRRAGSVAIISIFILSITFMAFTTT TNSVDIRIEGGGRRREGLKDIFRNDNHAEILNLSDQQITFPDNQSEEYNDSSSSENDI DDDETDEEITPENLNKPKQDFDQYKMLKVLPPGTIDIDSSDKRLIFIGDIHGSYDPLL RLMDKISYNPVSDRLIHVGDLIAKGPKNNEVLEWMRDHKILGVRGNHDQAVIQWRLWM EWAGGKDWQAYIDSLSFDDENSASKELQKHGKGWPKNWKWKSEHWEIAKNLPDDLFQY ILDLPLIIHLPTLHTIVVHAGLLPFDPTKSISSKIQPLIQFSNISLSSNSELSMDEES IRNSQEMSILLDIPQNTIPWNLIEMRSVFMKGKKKGKITKNGKKGIPWSEIWNKEIKR CKGHSQSNLLKKEKEQKKSFKKIEKRQKPGSPTISVQGDSSNIESDLGCSPVTIIYGH AAGRGLDIKPFSKGIDTGCVYGRDLTVLVLGDLTGLKGETVNVGEHQGLLVNESCGKG GT
I206_06342	MGREGAPKSSRGRGKFKVARGGGRHFSRDLDPRNIEKSESSSSE EESSEEETDDEGINAAAHAAEIAALAPEMKVVNLKLGNTELAEPEGDEEELSRAERRA KKKAEAEKIKKNVSIKEPNSDSEENSDDDEEEKKSIQQQNKKQISKPSPASVQQSRKE REAADKKAAQDKYQKLHAQGKTTEAKTDLARLQEVRARREAAAKQREAEAAEAAKEAA AKKEKLSKRI
I206_06343	MSSSSTSSKIRPPFTLKVAETKDEIEACYDIRIEVFSVEQGFPL ETEIDEYDPISIHFLLSISIPSPPLEQSINSTSSSSSSSSITNNKQTTEKPIGTIRYV PKTSKLSRLAIDKEFRKFGFGKILVEGMHNWIKSNYLSNNLNIIKTNDGKKFIKIKCH SQIPVIPFYEKLGYISEGQEFDEEGGKSK
I206_06344	MSVSTTLPAWPPALSPEQHQQLILLSSTYALSHGFTLLPPQSDN PPKSAISAPLTLLPTPFPRKLYELAISLQPLYNALYARITLDWEFLDRVMGGSVSKVD SFQGELWRGWKSIRNDLIQQLQLGLFRSDYLLHESAKEEELSIKQVEFNTIAASFGAL SQRSTEMHKYLQKATDGFYSISPHLANSANFPQNEPLKNLAAGLAQGWKAYDEKDAII MFVVQEGERNVFDQRWLEYELLESHGIQVIRHTFSELSSLATIEPTTKKLLLPSPLLP SSASKEVAVIYYRAAYTPTDYPTSSEWSTRILLEKSKAIKCPSMALQLSGAKKIQQVL SEPGVLEDFLLGKDRPDVGFGIGAGKLTQIDVDNLRSSWIGLYPMDDSKFGKEAYELA IKHSERFVLKPQREGGGNNIYRENVPIILKGLEAETEEEGEPSKKEGYILMELIEPPK GLRNHLVKGGDNHSRNSDIVSELGVYGVSLFGGDISQNITSTAGTLLRTKGRESDEGG VAIGISSIDSPLLID
I206_06345	MPSSPPSSSYVELPSSSSNTQVITQSSGIPSFPPTPSRTTSKLS ITSVDAHLSPPTETDRRRRSHSNPNIRAKSPTPSTPTKYSKRSQNGLLNVDPFSGAMT VRTKSSVSTAASSMKSVSVFDGVHTTPSPSSGDGTPTSGLSKNFDNLVIKQYDDSEVR ASQSKKGKEKALDIDVMEEEGAEGEMSRSTSDVRKGLRNLVRRDTEQKHLDKTTGDQK MIPRQGLKDTKDDPHILNITQSSANHVIRYTARRYYVLTNAGKPVFSSHDDSSEDNIT NLMAIASTLISIFQEDDDKIRSIVKGNSRIGFVLKSPLYLFAISDWGEPEHVLRSHLE YIHLQILSVVSSTQLSRVFQRRSNFDLTRLLEGTETFLHKLIDHSQFDFSYLTATLQP LRMNPATRDVAGAALMPPSKFKDLLYVLLIADGRIVTLLRPRKHAVHPSDLHLLLNTL ASSATLRSSETWLPICFPKFNPAGFVHAYISYMTEDVGLVFVSADRDAFEDLRQWKSI VLEKLEVDRTLSKISDAIPLHPYTVSAIGCPGLLHFIYKSRQYIQITQPEWEAPYVED SIDRKRLITLYQKLHDVVHARSGQSETYKLVYMRTEHEACLAWLTKPFELYLTVSPQL SKSAVVSTANTVAKWVLAEEGRVFLKDAPVF
I206_06346	MFSSQDQQKFTINPPIISTSSYIPYKPYQSIKTNEINERNLNDS FNYNNDITGSSKNTISGLNYLTNKNNNQLNRNHYNKLFNLSLTLIDGIDKFDNELSKE QNEFLNKIKKSNQIYICRFRNSSKNHHHHHQQYGNSCNAKLGNYENLLKHVDMHLRNT YLTYQYDTNHLYHCQWGTCRYYSNSIRELQEHYLNEHLKIELKCIFKGCKEKLLKTSL IGYENSYSNFENLNLSLLSHIEDERKHPKRLFNENLLSLRSNLQIKLIPKKPTFIDSN LELPPYMITIPPILSKSFSIKIPSSPSPSPSPSPDPISLPQTHSIPILAKRFIKQQEY DNFSFQNSCSSSSSSSSSSKLKSRFNEKENQIKISKMMKIILPNRNEEIPFWPLCGES ISFESTGTFSGSIFPSTILLSSNEENENNNDKEKDKFGNFIIPCSDEFKKLKLSIRKK GLNKNDKLCLGKPKLGVGIYICNDNNNNEKKKLKRKRIENEINEINQIDIKILNNQKV IENSTLNSINENKRFHPDQIRNSAIQYAIRKTKRSIGFEMWKEVINFENEGKYFEEL
I206_06347	MVGFPVSSSRESISREFSPISASASASESGLASNSINKRRDSNK MKLNKEFASYRNLFEEIENYEKNDLSRQLSNINNVNENENEEIEDLEICNPQEFEEEE EEEEETEKLSSNEKKKNLKRIDGLNFKPFYQSEIIINNNNLNKKKLKIEKSKNDENRE SIRWPIPIFELNNYDSNIFELKEIIKNFINLYIRLNNLKHPYYNSPRKISRNSKEQES INQLIIDDDDDDDDDDDENLEIEIDLEEDNISKNLIKSSLNLINNVLLNLAIIRPSDI GKKRRNMSPIDWIGVLGAASLEKEFLPLVKRTDERLREIYKADDSDVLTHRLNTLYPP EPTLAINKEKSILDDLYDTVLPKKNTVIRPHQSKAELERRAEKKRKREEMRNAIKQNE KQVLKINRNRKRK
I206_06348	MVSEYTDVGNRKKTKRSKLDNFVTKLPYYFSYVILITTWILFTL FISVGEVLLKRREISRFLAQVIIYNILLILTLISLATAANRSPGTPDQSLAPSMVPSH LNYHRTRPAEYGVNSIDPTTGNVPINEFDEDNNDDDDNVPLRYLRNEQWITTRIGKDR PSPLPIPQARSYTPPPNSTTSESDESDSEYSPFPLSAKSPFIPTTAVDAGEDDQDILE ETQVQQIAETIEDTTGVEAPLLSSEARQSESSRSLMAKSNNGESRWCKKCQGWKPDRC HHCRHCEQCVLKMDHHCPWVGNCVGYHNYKPFFLFINYALLLGLYATFQAGYETYRFF QDPSGALPYRPIQIEGNSTSIDTTAIATNDTWSDELGISPAIFMMLTVMGGFMSLAVG GLVVFHWYLTLNNQTTLENITHAYPSALLDEIPKDVQWKADYLLTRDERRRLKFEARE INVYNLGWRKNLKNLFLGEDTDITILGVLGAFWPTGRRRRYDGRAGHFFDYNPDHFDK LRELTLELRCGIIPQHRKGQQGSLEDNSLSFDDGSIDDADNDGYELNRMEEKELIDQH TQNKVGSRRMNWFEV
I206_06349	MADINIESTGSTSATGSVVQLPINLFTRSTNDAIPQSTYLIPAS WRRFQLSELINKVLQNNSDNGKKPIPFEFLINGQVLRGSLENWSKKNRGNDEETTIDI EYVRSTLPPQEVGRIEVEDWVSGLSLSRKGYTLLSSYLSHLQILPLSSASTSSTALYT LPLPTSLGATSCTWVSPQSQEKDILLAAGGIDRLTHVFSIPSLSPEDNIQLPKEIYTL HGHTQPISTIISSKSGKEIISGSWDGLLNLYTLPNSEPNEHQISSEPLNFLTGQGNKK KRKLEKENPREPIEGLMDNDSTGEGGWRRIPEINFKGHLGRIGGSVWDKFDQEKIWSA GWDGSVRGWDLNTGINSMIRQGPFDKSALCIDQFTANGTLVTGNMDRTICLWDTRQAT SLISLTLQTSSPIPSIKTHPTSSFTLASATYSGIIQIWDIRSPKNSLFSVSNVNRKER KVTKNGKVLGERLLALDWDGEVLIAGGEDGEVGIWNATGA
I206_06350	MESLSPECTPLKHKYDSCFNAWFEGYLQPALSSEYQSSSTVSSD QKCTANISTSTTQIDIQNIPESSSSSSSSTSTTTNNQNKQRKSIITSWSSSFPSTSNK RIRNKNLNSNLNLNEQPKQEEYSWFNNDNNEGEEEEEEFKNNQIGLNKNQIEIDIRGK SKSQIKAEEYQRNCGKFWEDYQGCLKKAIIQNESLSSLLETAREEHPLGNLDGLKGTP WDSNVDFTKQQE
I206_06351	MSALLPSKILGVTTSIVSPTNSSTSSSPELTEELRKSLSPHDGA PLTGDPSLIPSKYIISPKNDSRFRSLSPEITSGQTSSFNTHPSHLSPSSSSKSSHPPP IHLTRAPPSTLLDAPARAAMSLPNGITAHAQARAFRNSNEAKTPEYEIDEEGIGYQSR NNTDSNGLNNREENTPLLSPIPIYGNGIGNGNNFNNLNVKPSLSRSSSTGSTGSNRSI LRRIFIDRSTTPTQHLTRPTFPPPNLSTYSPIPHKPLSFLSKINLFINQTISIILSTY FLIFVVLWAFSAELAKALPKWVWPSKPKHFPWDDEKYWKKQGKKISKDPKDYANQVGM DIEHQTIETEDGYFLKVHKVIDPEATPRSDGRGGFPVLILHGLFQSSGSFVTSEDRSL AFWLAKNGGYQVYLGNTRGIFDMGHRNFSRNDPRFWDWTIRELAMYDLPALVEHVCRE TGYDKIAFIGHSQGNGLAFISLSLGMCPSLGKKLSVFIALAPAVYAGPLTHGFPFTAL NKMEWSTWKRFFGVLDFIPLMRWAYDYAPARLFAALGYIMFAFLFGWTDANWLGRRKT KMFRFTPTPVSSASIFWWCGKGGFADRKCTLDDSLDRWFDNRFPPLSIYHGGRDYLVL AEPLIERMEKKEKDVKVIKVTKLDKSEHCDFYWAAEAVEWAYLSFMDDIESTRPKYPD EEESLADGTETNIDGNKSD
I206_06352	MPVIRSNQLKTSSSPSTPSIEHDTQSSQVEKQPKTKATRSRNGC LVCRSRRLKCDLGKPECKRCVNYGAECVYPEKKAFNPNAVAEKLAKRHKKSSFIQDQD QDQSLDILKNEDQDIQDKIESKLNSQIDIESNVYISPQAISSTSFNNQTISQSIKSLS PIISTNNINNTGQPAWLLHPTHNVQQMDAVELLMALCRDTRMGQFFGGPLDPPEFLKK LFPVEEDLRCFHHALTYSLSILVVEEEPNPWVEHVARLFLVPTGEAPLSSEALKQGML AMGAIHLSVLEARGSVSSSSGRTRELGLAYRWEAVKLLRQAKNIQEEIMSDAFFAATV IVNFDDVLGANPHWREVVRLGLLAVRKRGGCEQMLFPDNPYPDHPLSSMTPASVPDKP VSPLLRCLIESMVISDVGRSLSTGDPTVVLTDSSTWWERLAPTDPSEHDSCESTWGMH RSIPRLMTRVINLTWESQDLERQSFFDYEEKKRWRQSLKFRINELRNDMSNWILNIPK TILRKRTKDGSLATWHSFQILILRDLLKLPRENEIIQKSADTVLDICSQVGDKVEWMN FSLLITITALITPIQRQRAREVLRWFRVQCCYEIDVLESVAEECWKRIDDGLDDEACS WREILLEMGCTVLLG
I206_06353	MDLSTLGTTLPPGLADAERDMGDKFRAAALSITNLYKSSLGYTK QAYNVGYSGALADVLSTVQSSIGAGQNAEQTLSRLMDWADARQAAISAFAAEETDEPA PPPPMKRPISAFNKSALNPTNRPASAGPTFACAASSSKSKEEQLDESASPLAGSSRNI PNTASTSNSTPNTIDSPAYQPTPSGLMSSSPMASPSNNYTRPSLNHLPRSSKNVPGRY TQLNLNQHNSNASSSSSSTTMPSTTFNPTLPLAGVPFVSFSQPTNLDGPAQNYATGSK RPMIDSMEIDQVPIPPNNTATVQIQTPPTNSRSSRASKRRSLGTNLNNNNNDGDDKDK DKEREKEKDKDRERRKGGRRHGNGGASAV
I206_06354	MPLLHTINDSAYYGYSDQDFHTRSYSPILQSNNERPYPFFLAIS DSVNRSSHRSTSVPIFLDQIRNQVYSEGDRTLQHYSSKSTKVPSISPPSLRPEAKPIH PTYPPPVQLQTQVKPFIPSRAHSDDGSPKLVTDEVVKTFFHRPLPFTLTTSSGSSGSG TSSSGSSSFRPRVPTLVWYRMFSDPIQADIKDRDENWRRKIGI
I206_06355	MPQSLIYEPLPEGTVGRYTAINGGSKPPNFLQKLFDFLSLDPHP YPDIMYWGSDSKQLVIAQPDKLAEEVLPKLFKHDKIASFGRQLNIYGFSRLFPGRQFK DVNGNISDASVWAHPTLDRLSTPSDLLSIKRRAPPKLIRTRKLPNGEIIKTKASQHVI EKAKRLKQDMLISKSHSHSRGKSIWNNNKHSKEHPVDEIDNDTQNTWKDQLQSSLSSF DTMKQMKRNDTLLSDITEYSENGTGQSPLFKMNNSANTNTTMWSNSASHLTPTLTTSS RDTKLTGLMPPLNLHLQKSPPLMSSYTQPFPNPSLLINERLYSSCPASIHTSPTIHHN YIPPQLSWNNNASSGNNLLTSSIDTHNHPFEIGNNPGSFDSSYQSDVPYSMANDQPAW FEIDNGITQQNQPSRIAAPAAAIPTHLLQNRNQASRQFYEKQIDLNQSQGIIQPSTPI SNNSIFDQYKNNSIGLSSSWNKQINLPSSSLYTGSSFLIKPKPILIGNGNGTIDPKWI SPINSEWSTPSISRLTSPNHLIQTQIQTPSYLGSTTNSPGPHLDKIPKSENTFTTIDP APAPSFVWYNPTHMDPSHSSDSLIEPPEPNNGHTNHINQSSIGTSANISHSFENTTHR ISHQSLSLPTMCTIDSNFIGNNGSGNTHEYRVSQIPKLDDNGVFKSTQANQEGKTDID PLIYSTIGTNYKWFE
I206_06356	MAETSKTSSSRSEKVNNPANAGYEQNFTNAELPYGDLENSLSKT VGVGGVGSTQRRLNRRHVTFIGLGGGIGTGLFIGTGAALAKAGPAGLLMAFIVVGAVI WSVMEGLAEMATLIPQAGTFPHYATRFIDPAVGFTLAISYGYCYTIAIASEVSAAALV VSYWTDITPALVISISLALIFAINIMHVKFFGESEVVSASIKVICFVGLIFVSLVITL GGAPNHDRIGFRYWKNPGAFTNYNGITGSKGHFLGFLSALINASFSFIGVETVVIAAA ETVNPHKYLPKATKRVTYRIAIFYILGALLIGMIVPYNDPALSSGTGNANSSPWVLGI KRAGIPALDSIINACILVSAWSAGNSYCYIGARIIVAMSIDRQLPQIFKKTNKNGVPY WAVIASFLFGPLAYLSLGSGGAAQAFSWILTLSTVAGLLAWMTLSICFLRFYAACKAQ GVDRNSLPYKGRFQPYAAWVGTIGSLLITLLSGFSVFLKGNWSSSNFVANYIGIPIFI VPFVLWKIFKRTSFRKASEIDLWSGRFDPSEAPEDHPPTTWWGKALDWAF
I206_06357	MPTAVASPSSIITNPRSRTVSKKHSGSTPVSPADSPLQPSRIIN APNKSSSLSMSVNDDAAEKAKRRKSAHFGDLAAGAGEHKERPNNGINGSGKRTVSALA VQQAASGGQSMAQRRAKRLSAVEPAAPVVSMEVMNTNFEEWMKLATDNKINANNSWNF ALIDYFADLTLLRNGPDDQSINFQKASCTLDGCIKIYTSRVDSVATETGKLLSGLAGG GGGDDADGEDADGDDEEGGEPKATRKTTSRSEATLAKSFAQLQVKKFDLEFTVDPLFK KTCADFDEGGAMGLLMNHLGVDGKGRVVFDAGDAGADEDDEDDEDDQYEEMVDLEKLR GKSTISSRTSQLTSYEFLEFVPSVEAVQDLYISDTLQSFKFSSDPDSAPDFATLFGLK DSYNDDETRSAFDPDDQDFSPQPTGDGAVHDFFGDEDFDVGPSGGFDDGASMAGDDME AQEAYSGAGMGGSLAMAAPGEHLGPFDPRRQAGPGELVMAFGAGDDDAEGMFDYFDKG FGKAWAGADHWKLRKVTRRDGAATAAASTAPTKTARAAKTPFAIDFASASTAATSSKT LFAPASKSSITLPCNRASSSKANKRTSAVKRREEWLLPDDMHFSSRQLLRLFLKPKFS VSGRPVQNANGEIDENFWAQAAADRADGGLDEGMDVDSQPAPFESQFFHDDVDDAYVD DALDAVDPNNMYDPNDADDLLQGSQNIELKKSRPENVNFAKKAKRVDVKRLKDDIWTG LRSLVPAEKDDSEDEVDTPKTPDETEQVKTFDNIITSLRSSYPSDKMSEVSTSFCFIC LLHLANEEGLKIETARYDGKDDEDVGCQGYVEEYEGDGVPLGGGKGKNLDEGDRKDRI VGELQALKVYKDHTAGRAA
I206_06358	MAFVSTGLPQNYDRQSQYAGAGLGRVVSHSQYTEETREETLPAP TQEHVHQHIGHLARQMSRTSAISHPQYTRQAETDIDEEGSQAQTLGPDEGNPFKYEEG SDLDPFSSEFDSKKWTRGFMRAMQGSGPHRRSGIAFRDLAVHGYGSDADYQKTVSNMP LAGLGTLRDLIGNRKRKVQILKSMDGYLEAGEMLVVLGPPGSGCTTMLKTIAGEMNGI YLDESSQLNYRGITPKQMYKQFRGEAIYTAEVDVHFPKLTVGDTLAFAAEARCPRTPP NGLTQKEFATTMRDVVMSIFGISHTVNSIVGNDFVRGVSGGERKRVTIAEAALAGAPL QCWDNSTRGLDSANAIEFCKSLRINADYLDVSSVVAIYQAPQSAYDVFDKVSVLYEGE QIFFGKTTEAKQFFVNMGFECPEQQTTPDFLTSLTSPSERKARPGFENKVPRLPEEFV QAWKASPEYQTLLGEVVSFEQRHPVNGERYEEFLASRRAQQSKHLRPKSPYTLSFGGQ VKLCLRRGFQRLKADPSLTLTALFGNTIMALIISSIFYNLPSDTSSFYSRGALIFFAI LMSAFSSALEILILYAQRAIVEKHAQYAFYHPSAEAVASALTDMPYKITNSIVFNLVL YFMSNLRREPGAFFFFLLISFSCTMVMSMVFRSIASLSRTLTQALAPAAILILGLVIY TGFAINVNYMHGWARWINYIDPIAYGFESLMINEFHGRDYACSAVVPTGPSYTGATGT EIVCSTIGSQPGSLVVNGDAYINGAYQYYHAHKWRNFGILIAFFLFFTAVYMAATELI SAKKSKGEILIYPRGKVPKSLQTHGSGDAEAQSDGKGNKLAKQTTGAERADAGGIIQR QTAIFSWKNVVYDIKIKGETRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDVLATRV TMGVVTGEMLVDGRQRDVSFQRKTGYVQQQDLHLETTTVREALEFSALLRQPKHVSKQ EKLEYVEEVLKLLEMDAYADAVVGVPGTGLNVEQRKRLTIGVELVAKPALLLFLDEPT SGLDSQTSWNILQLLKKLQEHGQAILCTIHQPSAMLFEQFDRLLFLAKGGKTVYYGQV GKQSKILIDYFVRNGAPDCPPGENPAEWMLSAIGAAPGSHTKVDWHQAWLNSPERVEV RQELDRIKQLSNAPTENSNEDKTAYAEFAAPLTTQFRLVLTRVLQQHWRTPSYIWAKA TLCIACSLFIGFSFYRSGTSQQGLQNQLFSVFMLFTIFGQLVQQMMPHFVTQRSLYEV RERPSKVYSWKVFILTQLTAEIPWSFIMGIAVFFCWYYPIGYYRNAIPTNAVHLRGAL MWLYVETFLLFTSTFSTMIVAGIETAETAGNIANLLFSLTLVFCGVLVPGDSLPRFWI FMYRVSPFTYLVEGMLSVAVANTEIICAANEFLKFTPTAGNTCGQYMEDYISTAGGYL LDPNATSECSFCSTRSTNTFLSGFGLSYTHRWRDFGIMFAYIFFNIVAAVGIYWLARV PKNSGKEQSSQDEPTQTSNEKQVTASEHSASTP
I206_06359	MPRPSIPQHHLLRRSAARPVATRPHSRPVVATRSLKTTSSTYSP TPSPSRQVSQPSTSTSTFTTINASEISHFSNLSSHWWDEDGEFKLLHRMNPTRIEYIR QKVALQPADEEHWSFEDRHRDLEKEAERGSGLWLTGKRCLDVGCGGGLLSESLARLGG EIVGVDASESNIGIATTHAQQDPQLSRKMSSGELQFRHSSAEALRDAGEKFDVVCAME VLEHVDQPGEFMKCLGEMVKPGGHLILSTISRTPLSQLLTLTLAEDVLRLVTPGTHTY RKFVKPHELRRFVHSDMGGYNTWHKNEDASDIRTDEVGETRGIIYDPLAGKWKLWGGV EGTFWKDVGEGCNYMYHARKRI
I206_06360	MASRIYQPLRSAILARNITPHLFPKANILPSGSTAARQASSSSS TFGLRNLPPHTSPPNASTVSFRDGAIPYRTVQLVDPETNHLLEPQTLRSILSTYDQNT HTLVLVNIEKEIPIVKLINKVEERQKERESEEKARLKKRMSIEEKEVQISWQSAQGDL LHKLNLAKSLLEKGDRVQVVFANRKRGENIGENKKQEIISTFHEELEQIGKKWKDDEI KGSIHVLFYNPLETTRNQVQNKVKDQENAKRKEKEEKKEARRRKEEERRAKAEARNAA KEQAQEAANI
I206_06361	MTSTIVFQHAPLVGYPDNALYTVQVVDGVVSSIEEEKSGRYING YDASEAEIVDLGGKEWLSPSLIDWHVHTKLSALHTNRLNLQKCTSAAQVIEEVKKALK DSKYDASLERNFVGINMKNSNWPDEHIINLQLLDEISPERPLFLLYNGYHSMWCNSLA LIAGGYDPKSHTGHLVEQDAFDMFPTLTTASDEVVDSWILQEGKKAASLGITEIVDLE MEHNIVQWQRRYAAGNNYLRIHVGMYTEHIQDAIDLGLTSGDDVPNTDGLIKIGPYKI VTDGSLGSQTAFCHDAYPGTKDNFGIYYHQPSTLAQMLEKGLEHNFKFAVHAIGDHAN HLTLKTISQASSKLRQGSTIEHAQMLNLEEDLHMFKDLGLIASIQPAHLVDDRELCHK FWPGREHKAYAFKSIVDAGVPIKLGSDAPIAPMDPWEALAVSITRAGENDEENPFIEE EILDLETAWKASTSNEKMKLEVGDRADLCILQSDPLKQDAAGLRGMKVKGTMLGGRWT HKAF
I206_06362	MSHRAYLTKDEVVKVDQLERSYKSQEGVLNFACSGVFPSHVIAS ANLALYYVTPDEGKAPRVIKYAMLPITNEVAKDIHGTATTSPFGRGSELVYDDSYRQA RELKPPYFSLTSDILSASSLLTLLAKKLNYDSPLEARMNKLNAYTEGGFFKAHKDTPQ SEHHIGTLIICLPTPFTGGDLVIRQMGASVTFDWSNQVQDGSITWGFLYSDCEHEVLP VTSGTRVTISYDIFVSKDVKAFDQSVMDTRLQPLLDTFETLLKDAKFLISGGTLAFGL VHDYPFGENDRGFPGDLRNRLKDSDAVLVSAIEKLGLEMEYFAIYDYESSCYEVVEER PIDEADAKAEEMDNTFKRGIWIADDVHKCNRDHCIYDDTAEELGLKQDLDLIWVTFPA SYDTKNSYITFGNEPQAATVYCAVGVKVEIPKASTRLHQKPIPRTAKSG
I206_06363	MSTYKPSEHDGLKQDGTPDKRLSSDHGFGGSDGPDPHVEGQKGG SVSGTDNYKPSEHDGLTKSGEEDQRTKSTHGFGGSDGPDPHVEGQKGGSK
I206_06364	MSAKVEDQHDEIVVTRSPSLREDGISSPDSDGKDEKFGSSPELY PQDKTLAYEAEGYVPKATFDDFAERDEDGTEKYDWESEEFKNIPEIVRQTVSFEDDPS LPVITFRAVLLASIFCIIGSIVSQISYFRTTTAPFPVFFVILASHPLGKFLARVLPDY RVPLGRFSFSLNPGPFNVKEHVIIGIAANAGSQGQWATFIPTNAALYYNITLEPAIAL FFGWGASLLGFSFAAMVRPILVDDPQFLFPLSLQQVAVYRSIQGTTELHLSKSRKQMK VFGWIFLGIFLWQFLPAFMFPFVASLAPLCWFASRNHNVNFLGAGRGGAGLLNITLDW SNITSTVITYPYSVQVIVFASFVLTTWVLIPVAYFGNLWGSPTYNIMSNGVFQKNGSA YPFNSLLQTDASGLQVFNETKYEEVGLAYSGAQFLWEIFMWYASYISSFVWCGLFLAP NIAHCWRSWRRRENAHNDRLSKLIHKYPGLTWWEWVILTIIPFFMLLGVILSKQLYMP IWTYFVALGFGAAAMLPMSLIYAVSGYPMKVGIFNELIYGYMIEAKGSSRHPLGQLAY RVISGNVWYDARTVLEDQKIGHYFHLPPRVVIGIQILANMIALPVNYGVMRWVISSKF EYVSGQKADPGGQWTGQQFKSYNTDGIQYSLVGPKRLFESTVYKPVTYGFVAGAVLPA VIYLLHRKFPKAKFNLWNTTIFFSGCATFHGNLSTGPFTTFLIGTFWNFYLFRYRRKF WNMYAYISGAAADTGFNFNLLFIFIFLGTTGAVMPHWWGNNKDSIERCFALKK
I206_06365	MSQPRNRQVSSGNAIAESVKRQVKEAGDTAQDAVTSGAWGYPIY GIYYLLSHPALIKPLIPTLVKGVLVSLGVVVALFTFAYLPQVAVLAFVSGPLAFGLAI PLVLGESYVVINFLTRALLVNQAGVDLFDAVLLQKGHSTLVEHGRQVTSGGNGKSKVM GTLLMKPLSRFSTDNVVRYILTLPLNLIPVVGTVFFLGFNGFKSGPGYHARYFQLKGY DKTKKQELIKKRRGAYTAFGTMAMVLNLIPGLSILFNFTTSVGAALWASDLEKKGRTT TSDLHNARQSGTENEVEVQLPNAGGSGGRKDL
I206_06366	MAEPKPQAEDRPDLTIPIAEPEPVLIIVMGPASCGKSTVGSELA NSLNLPFIDGDSLHPQSNINKMSSGIPLTDEDRLPWLALIRSTAERKCKEEFENCKGK FKTFEQGGIGRAGIVIACSALKKWYRDILRGEVEANPPAEHDLPPSHSIANTEHKVSH PATTALQTYFVYCDGTPELLANRIAQRKNHFMGKQMLESQLATLENPKGEKGVISVDI SKSPKEVGELATNGIRKILGHP
I206_06367	MASQRRRGRSTLLLVSMLIAVSLFLSPSSFVAAQGEEAPSHEDT SIADVCAPLREAQTILASLAPSANAHLEHKVTSVITPFSSKGWSDGMGWGHEGPLSTT FRLLPRFINTLLSPKTLLSKIPIIGSDSKPRKGVKVGRARRERIEKLLELLKNAEKNG CQEVWAVRGKLRMFPPKGIKQDLQASYSAYKKYLEYTSDPASQFLVGFFHATGLGGIE QDQGKALLYYTFAAVQGYRPASMALGYRHWAGIGVKEDCMLALDHYQNAAEKSYQTFL SGPPGGLTLPLSNTRLSDRVGGIYGPHASWASTGSNAHRPAIRATMASARGETEKEIL EYYQYHSDRDSHLYTVRLGRLFYLGSVYFSQYGVSAGAEGVGEIPQDFKKAKEYFLKV ARVLWPKDFEPEGTVSKRRKLSKEQEDAIREPAMVAAAFLGRMSMRGEGGKPDYRRAR LWYERAAELGDREAHNGLGIIHRDGLIVPEDKQKAFHYFQASASQDLAEGQVNLAKLH LERGEIPQANAYLEVALRHGATFEAFHLLGKIHSANVKNQNNFGNQPGMCGVAVAYEK LVSERGNWGQDFVGDADRAWLRSEEDNAFIGWYIGSELGYESAQNNIAFLLEKGFKLG TTNTNPKKIDLDSDSGLEGRISEKDKERALTLWIRSANQDNVDAMVKVGDYYCEIPYE SPESYDRAVAYYQTAADTQVSSMAYWNLGWMYENGHGVPRDWHLAKRFYDLAGETSVE AYLPVMLSLIKLYAKSWWIDIQTRGVVPGLSLFEPDPNPQLQLSTWTKIKGLFTDTTA LDGLDDEAANFDVDTNRRHGGLEEEGEGAGADYEDRSGAGSGWRPAAGDDDEELVEEL MEDLILIGVLCATIGLIWLRGRWAQREREREDRRRRDGEAR
I206_06368	MQALRTLPILNRPSRPSSPAPPTVQPTTAAGTPAATNIDGKPRS RSLSRQVAEKVSSLHATNGAIPAAVVAPSQPIGAPKKGLSPPGSRPITPRSTASPLPG VAAPNGATTETAGIQAKHMDVIGLRLNEVVNRACAGVDFKAKKGFKKGTGWSLGEAVV KELPFPPSDAYLIRAVLRTSVKALSIYTTRLETLLLPALTDPAFSSALNINAQAPAAH PLNPTQYFVLSVAHAAWETCEVLEQTLETGTWPRFVQETLRPVMDKLDLVVSKVIQPL MLGLKRDLVASLSKNEGTSPAGSKVIGLASIPAPTTAPTPAVTRELSNAPVSRLTKEL SSGGTSRQLAIPPCLQHFANRVDGARRIFELVAAPCADDGEGWITGIMVAVIWKGMCI VSEKDLGGSPNRPPSPGSVAKALAGLGKEKEATPTVIAAPSLGGVTAKLTSSLSIIPS RSQSRPSSPPRGAQKLHPATHALMSLEGLVKRLVGGMVQPPAAPGTSVSQDPNAAEHI AREALHEALEALASFRIISNAMYKGGSCSSRILASARRLRDDIDDPVEDSLDDAMEDL PAVSLLTILLRQANTALTGLQSVNDEKTGTFKIRTPAEIWGWTLVEYERQVLSGFSAA EEWGRRYATAIKPDLERVLSQLATHSTGFSEKPTREVIEAVEWVKALGVACEARVGIK MGGCA
I206_06369	MSLHLDYAEISRQARKIVDANRARSIIDQVISDPESKQKVKYTR STTGCLSCRSHKVKCDETVPACLRCVASQRKCEYPQPGESSNSNNNGKKRKSTSVSNS RTGGRRSTSVREEEGESKIEFNNSNNEGSKRFKEDYKKQSNQQRQQQDNNNNKNTNIS PKSMLVDDGSKVDPSPTDNSRLGGPSPMDILNLTTTPDFSFLNDFIPFPHITPPSQPI PQQQGSSSQNIFNTSPTTIFTNDLTRPQQTGYGAIASTNNVITSNARNSVSDSVSNQY QNQAQAQALQNTLPHFDNFGKSLLLNRRLIKVNNNGNNDGNMVQDEEGRRSFTPQMQV IHPRLQMVDTFLQAFVHDEVLFEFGPSHRHRNLSNNTLTAGVIDVLTNAFPSPIARTL FHHYCNTASKILITMGNIGPNPLLTLCTPVKLLDTNSAASAAIRMSMLSTAIAHFIHE TNSLLKQSNSSNLGLSYNLGLEGMGVGQWERMKLKMKEMSNKFKKASLSNITLAASSE KSPDQVDSILAACTLLCIRDVISADPTWRDNLEFALNLITKKGGPQVMLQGSEYTFTR RYLLENLATHDVFASFITGKEPSMLGNYDSWWFDSVETSQTRWEWESVERSFGISRAM VDLVARIAVLDSQKRRLGLSLKGGSDEMWDVGQHFERESHCLLLELDIWGNSLNALPQ HVRVTCGDYIYKYMAVVFILADILEQPTSTPRIIKSIDHILELISEASAMRMSVMLIW PLLIAGVFSLPAKRQKVVELFAALSDDYCEDLEVAKELLDEQWRMVDSGQGKQPWDAI MKKLGKYVLLI
I206_06370	MDHMSSSNTTCQMSDMLWNWDVINTCFISSGWQNSTKGSFAASC IGVSFISILLELFKKISKDYDKLILKQLSNISFKSKKQNQNYFIGIDKKRRATPLQQL IRTLLHVLIFGISYLLMLLVMSFNGFLIFSILIGHGIGKFFCDWLILGLESISNEEEE PNVCCG
I206_06371	MIEPRHIQDYSSASTLEPHWGYADRVVPCTNDAGSCEYLDVVYH SHDLGMLYSGILWSIIIGGLLVWGIIHRSFSSNRLTRTINSAIRKRLLPEFKIGRYFF GRTTRLQILVLLCLTIYLIIFSFIGIKYKTWITPVKNNPGVYNTRSSIGPLSDRLGIL AYALTPLSILLGSRESILSQITGIPYQHFNFLHRWLGHIIFIQSILHTIFWCIVEIKL YQPQPSVAKAWIIQPYIIWGIIAITLLLLLWILSTSYARNKFGYEFFRKAHYILAMIY IGACWAHWKQLKCFMLPSLLFWFLDRIIRLIKTFLIHYKILSNGKGLFEIIKANIKHF DSDIIRLNFKNPIEIEFEIGQHFYICFIKGGIWQSHPFTPLTLPNSSNQGYLIRSKKG ETKRIINEFEENTSIILTGPHGVNIMKNLSDQDNILCIAGGTGITFVLPVLLYLSKYG LTNGRLIELIWVIRHERDTEWISNELEELRKDENVKITIKITREIPISNSQSSGTSTP GASSNLSKDLNTLRLQDNSEDAILPSTLGKEEYGECPCKPPIYTSSPSSSSNNAKEGK EIVDVEKDIEQGLEPHHRPNLRQAVKDFVSRTVNGPTVVYASGPGGIISDVRDEVAEC NDASKVWNGEERGEVKLIYDDRLE
I206_06372	MWIQFGLLVLFSLFNSVSARLGSAPFLSGGNLVKRDSNPYGVIR GVNLGGWLLTEEWITPSLYTDDAADEWHLCEELGKKKCLSSLESHWKSFFTRDDFEDI KAAGLNSVRIPIGYWAVDVLETEPYVSGQYPYLIQAVNWASELGLSVLIDLHGAPGSQ NGQDNSGLIGNTSFYSNTSNIDRTLNVLKNFTEEFTQDIYGDTVIGIELLNEPRLSDT FTMANLKDFYSEASEVIRGVNGNINITMHDAFWGPQYWANYNPLPNTTEASTGNYTID THQYYAFEPLNNLPHDIILEKVCNISKILKLTNQGILPTIVGEWSLETGHSPNSTSSS LQNKNDNSQEKRTWFRLFFESQLAAYQPNSNDQPSIGWYYWTCM
I206_06373	MTSTVTVAPSSVERVRGDHWVNENGTSFKNPWKSFGNYGGLAIA KIVPTMMWKKLTYNDPAAKDAKNRIPYIKPTFGSQIPSNELKVTWLGHACALIELPSR EDGEAGLKGRGVRILFDPVLSDTQAYGFGPKRLSTNPCKIEDLPEVDAIAISHNHYDH LDLPSLKGIFEDQKAKYGKLPKLFLPLNNYHVVTGLSLGRENVIEMDWFEDREVSVQG VGDIKITCTPSQHTASRVGWDKDNSLWSSWAIKDNHSKASVWFGGDTGYCTMKIDSHK LEDVPAEGVCPAFKEIGERLGPFTVGLIPIGAYEPRTMFSPAHAAPIDSVRIFKDTKC QNAIGIHWGTFQMTFEPFTEPPERLKVAAKTIGLKEDDFIVVALESNAPAEGDTFTAF QANAIGGNSTSSNPTGLASITSTSPSTSTSSSSPSSTSSFSSKDDTDLSDTSADSTTS SFASSSTTFVTTGNSGTTNGTASGASDSAAYK
I206_06374	MSSQLTDPITDVTSQSPTTVQTSLPITESSAAASVVDTTPSAQP SAEPSASASPTQSPSLSPSAEPSAQSSQAESSASPSPSAVQSSAAQSQSASPSASATP SASASPSTSEEQSASASPSVTQSQSQSASPSASASDSQVEQSSTVAPSTTTSVVQPSL VSQSSSSSEIPITDSEGHTTAKDLTTTIATSTDGSGKVYTITKVVAKPTGSLSSSGSS SGNSGFFSNSGAVAGTFVAVGLLVTAGIIAFAIFMLRRRRRQRLDRDVAAAAAAAAVA AHHSSRSAFDDDEEQPSMTQYGGYYASTTPGIDIHGQPQTDVGGYHDYEDPSGGYDPY AINMTNLNSGDRMSTATVPGMAGFGATSAQNVYDQHHQQQEQYSNDQYHNQQQPDYTQ SLPQSQDNTTNGYYFDPKQAYEYQEEEDAYGGYDQQHSGVRPLRPGSAGSVNPNDSRG GLRVANV
I206_06375	MSSTNMPTSVQQAEKAGEDAIQDLVLDNADSTNSNVRYAAYLRR ARDIVRAGSRYTAYTSDVGEAFRPVVPPWIVTAAYGVSWAYLIGDVSFTTYKSSQFGP TPLEAANMSEPTRLTMVAVKRSVFQGIASMALPAFTIHTAVKQASKQFSKSTNLTLRR WGPTSVGIGIVPFLPYLFDHPVEKATDFAFDKIEETFFNANPLPNTPTSTPTKPGKPE L
I206_06376	MGKLSTTPETSSCFPLPATPRPSHSSTSTRTPHSSPFESSSNTP RRSLPTIPQRTSGLTFHSPSSSTTYVDDDVEESTEELPAYLAEPDIGEVVMIDPSGGN GSVAQREDDQLSVPALTQQSVSNFDIRASERSTQPSESVEQGTRDQEHAGESRVGGVQ RFGRWREWAEKRAVERTHSDPDRQERRNAQRQLPQPPEPPSYEANLSPLNHVSSSQSR RYFPAGAHPTLLCDSSLLRLDYGSSIESQSSHPINCVYPLNESLVLLGTSHGLKVLNM DDKDKSCRNIWVGLPVWEIHALSHQSSGKRYLILLVGGIEESTKDLNPKPKKNSGTQV RIYNSKSLISLAKYSSIQVDTYIGIDLSQAKSAKGKKKSKQIEWIMIDRSSSISSNTS QPQNVHKNIEELAKSWSEDYTCLSSNDNSQGDNLLIQTYISPLRIFVAIGKSNNITIH GAFPNSIDEEIRFSVSRQFYLPAQPIYISFLQLPFTPNSSLPSSDSQRHLIDDETSSL FSYDDSASTCTRVIGIGENLTTSPRIDGQCSLCSENHSTHSLGLYISFGSKACLIRIK DSTVLDFKLKQSSNNRSDWSKFETLKLKDGIEIYVITRGKETFLFSAPFQIPSQSNIP LHTILWPESPSSISAITENSSEKINQEENESESDIINIRLISTSFTGNLHV
I206_06377	MFSRSLFNTLLYTLIIIPGIKGQAANYDSLLFTEDFFPLINSRI DPIISPGKVSGHVHHIVGGSSFSVSQDYSSSRNAKCTSSNLICDHSNYWTPHLYYKWR NGSYTAVTGDGATNYWKYPLTNVNEGDQPFAAIPDDFRMLAGNISRNDYDENKAVSFL CIDAQSSYDYTDYIPTNRECLTLRPQLHFPECWNGVDSYKEDNSHVAYPIDHNPEGGI CPEGFQKIPHLFMESTYHIKTENIGEGYEWYPGCFVLANGDNHGFTLHADWLNGFPSN FLTEAFKQCYDKSSELFIKDCQFIQQYRGDTGRDCITEGDVINELVGQHYSIPSLPGD NLEFNSSKYSSNYPKPSNQAYIEKSSMVKVSKQNGGYCIQGECTDYKGSHIILPQNNQ IDGTDNQLTATSVTTYTGQAGTGVSASSLSSHTLSQGTTSASQATNQTTAASSPDGAL LKRRHDKLARKAGKGRF
I206_06378	MRVSKALIVTTLALAAGSEAKLFGKDKPVYENWSLDQSVQFLKE QGVAVKDSATLAEIQKQVAENADAAAKWGAGAAGSAQAHYEAYSEALLETWSESQLRE WLLEKGVVSPSSSREALLVKARQLANAASTAAYGHPTDQAASSVSSAGYGAASSISSG YYAASSQAAYASAKASKKLDDAKDYVFSTWDDNQLRTWLEEHDVISTPAPTGRAALLN NVKVAYLKATTPIYEAWSTSNIHEWLVEHGIVHPEPTAREKLVDLMKDNYYDTKDTAY SKWTESQARDWLISEGVITDAAELKKEKYEKLLNEHYTRSKNTVWGGWYDSELRSWLV DHGYLKSDAQAKRDELVNLISTKYKENTQAPYLAWPDARLRAQLRSYGIDDTKFTTRP SLLHEVRIHYVQSQNKVEQILSSIRDTISGGVEFAEEKLAGVLELLTASKLTAEEKLD KAYASVSSVYDVAISSASSAADHYGASATSAASVASVSAYASASSASVAAVSAASSLS SSLSSAASSASSVAAKSANNPSLTSAASVASKSASSAASYASSSASSLASSASSVASV YAHSASLSASSASKSWSLSAVSASKAALETSASVSKSLSSAYSVASKSAISASSLASA SASSASKSASSYSASLSSISAKASKSAKSEL
I206_06379	MSFIIQFAPSLHIRGRSYLKSGLRFDIHPSSQYRHPTLPVPIQQ TSVSPTISGSRETDTLLSNETLRPPLYTLTVHQYLAAGYLWDFWLATHPLFGQVVLKL VFIPEYPCRKPDYDFYIPKEQIFDEVSREDKRYLGSLASVQGDLVPFYYGMYGSKNDG SKKLDLNSEAEEEEEEEDEYVYYAILMEYIDHPIGPGSVWLDQEWKPSILAESLDVSD TMNYEEQSQFISDLEPAFLETPTSPSATNGMSILAVHEYIAAGYLWDFWRATHPLYGD VILKLVFVLDYPCAVPGYDNYIPEHRIIKEALREERRYLGPLAQLQGSLVPKYYGLYA SKGDEVKFDGQEQEEEEEEEEDYWYFAILLEYAGNPIGPGSAWQDSEWKDKLYSAYER LHLHGVVHTEICSRHVLIDDEGRIRLVSFRRSSTGKLTKRRDVRELMLEGCEVRTNIG MENVHETTYDCLPPTYWSKLPDPDGFIRRVRANRNRPLPDWAIAINEWQDRQYGSVP
I206_06380	MGDDKPAGGYDSTPLPKSTKPTYTVKITFHSATNLPIADFGDGS ADPFILAQIKTSHKGRHPHDPYLRFRTKTIQKNVEPIWESNWIIDGIPSDGFDLSCRI YDEDPSDHDDRLGKVEIQTGNIDDKNWKGIIKQEYKVKKTGADLRAYSARWACHLIGR KKLHAKLTLSIEVIGKTNNDLGKAYTVNNFWWIHYSPLIGRLAGVKGKDDKGVEKYNF QANEIQLTGPVPDELYHRYVEFKTFVGGMFESTGLRGKVLNKALHHQHERIYNFDRQT KHGEFEFSPDGPPKDLALKFLEMCHFDQGGRIFTYVITLDGLMRFTETGKEFGIDLLS KHTMHSDVNIYIAWSGEFLIRRLAHPNQSPEDPDQSTHPAEEIPGGPPNDDPPRDAAS YELIIDNDSGTYRPDKKLIPIFTKFLEKNFIGMKVRVMACDDEKLSKIKDSQRKTKQK EGERVVYGQQSSSDLSLNSQGGAGGSISSSDEEDLEARARAADENDDAEPQGVKGNLE KGFNAVENPKGTMNGLVDKAKGKTKEPSGKEEREKAENNDRV
I206_06381	MPATFSSPPLRQSRSPGGDRSASNPPNHSADTPPLPQLNPPTSP YTTMTRSSRYIPSPRRAAPAPPPVPALPSPSLKTGSYGTSFPTASPSPHLTASPIVRS TSSSSSYSTTSSSSSTSQRVSTPPPPIRNRRTSKSSSKLLPALPLSIATPGEQPSPYD SPASGRSKRAAVDFSEGIGLGLGLTEEVQIIVSREGRRSRAVSVSYGSSNLGISSPNV SAEDLACLPTPPMASQHQSPSPALTYVSERTPSRSSIKHTITIPISCDTPPRPARRSA SVYPSPASATTNSRRSPIFAPRPISLTPQSHSDLLPPQTNFARSVSPSGDSISTVTLS PASTPRPFLSEIPKPSITVPPDSSAALSLALEENITSSAPMGGRKRDSAQRRLSALRG LVASLDFNQPWSSTGSPLLEENIFSPSLSTGEQEDNDSKSFVWACSDEQNENGLEPQS DCSGESFIMSSSSEEVLASPTNSISNPIKFIQEGSTPKSILQPEIAESHGWPEPKTQP QQIYTSPSTTTLNSPRVEYTPVRRNSGSRKIPSSTPPRRPKQFRTSSELLSRTPEPPR PTTRTRKEVFEVASSGYSKSLEPSSPTLPTTPTSTWRSSLANDELYNSLMQNYGPLEV KRQEIIWEMCETEHTFIKSTRTVLRLFATPLKTPQGKWIDGIPGKITDLFDSLECIAH SHGVISAIERDMRRKSDILDVGQFVSTFKNWVGRLEVHEWYLIRFESVVALVEENVRD PDSVFGEFVRMQMKDEVLGSMSLGSMLLKPVQRLTKYPLFLKRLLDATPHPHPVHPEI LSLLSTTESIILNLQATKAREEDFEQLQMLETRLVGLPENFTLAIRGRKLLGQAQVVR IPPSKDLVGAFGNGRSRAGSMHSSRGSISSSVSSSAPSTASSVSPWDFSASLAPSSRT SAFSVSSNGSSFCSSIGGPSRSNSINNGPSQYSSTSPGRPSINRSPSSTCSFLENNSY YTSSRPSTPSSGKSRKKEEVFTMLIFDDLVILGQAIHEKAGLFGVGSKKKGNGSIRVL SENEGGIGKVEEIRDWSGWGGYANLFSLTTIPSSTSNRYQSPPITTAFALPANASSGT LNSISTSPSLRSLKSSSSLSSNVVSKEQGIMSNLTCPILSNMSAILGMLGQVSTSGRR HSEYVIEEKEILEDHEGEENEVENNEEAHDHEEWGYAA
I206_06382	MSTAIRRLNVLSSHLTFKPTLQSFKLGSSFSTSSPAMSAEIKQL VDKTIKENKAVVFSKSYCPYCKKAKTYLKEDTSDIAILELDERDDGSAIQAYLKELNG QGTVPHVYLNQEFIGGSSDLLKLSHDQIKQKISA
I206_06383	MPLPTTGSIPLVPPDTSLHTNQAYLIRFPEELWASLQEASSGGL DVTMTVEGKMILNIPNLPPIPLDPRSTGIPSEIHSYNASSSSLTPNALSDTRLNVPLT SVSTARAADKLKAQNEAFDRERKERAVKVDGASQPSKKRSLEKSAPGISSAGMGRTIS SPQMSTSATASSSANGSTPMIPLKTRVMQLLALGPTTVPDIVRRVGGDEQNVMNVVRA IGRPSSSHPSSYTLLPNQYSKIKLGPGQWKYTYAEQQQVIRLARAAFDELDLPFDAEE REELDRKEVELENAGGYNSTASSSGSGSSQEKPLAISVPQNGLTLAPPSNSTSTQTPK TNESSVPSTSSSNPSNGKSKTINGGNTKKSGPQSKIARERAKFMAEKQRSSSLPNTKP IDGTASPRANLTEIKSTTIIKDKGSPKKKVEKALENGKSKGKGKEVDYSSNDESDNEQ PLRGRLPNNQSINGKEKKDEVIKNGKDKERLSLIEKVKEKDKAKEIEKTRKKRNYSSS EDNDGNDDDSEEGQVEEGEIRGRSKILKITRNSSPGNSNTKSIRKGPPPELILEKSSS NDGLNGRISTPLPKFNKSNSISSNDIENDQESLRDRYEELYPAYQQLTKKLTKYHQAA ENDMKIDDNLNELNKMVAKWEKWHKELEGIRRWFV
I206_06384	MATAELERDPFYLRYYTGHQGMHGHEFLEFEYSHGRIRYANNSN YRNDSLIRKEMFVGPAVVDELKRIVRESEITKEDDVAWPKKNVVGKQELEVRIDKEHI SFETAKIGSLADVNESSDAEGLRVFYYLVQDLKCFIFSLITLHFKIKPIQQ
I206_06385	MSSSILSRSIFFTSSSRASSSLFISRNLSSHAYLPSSSSSQAGP SSTSSTTSAATDILIEATTTTPNNLTIEQKQLIDSIIRVDQAGELGANWIYRGQKWAM DLKGDKETSKQVEEMWENERHHLNILSKLQNQHKVRPTLLYPLWQVMAFGLGASTGLL SKEAAMACTEAVETVIGEHYDDQLKSIQNLINVENPHPSLPLMANILKEFRDDELEHL DTAVEEGAQKAPGHSLLSAIIGVGCKVAIKVCEKV
I206_06386	MCPPPDQPVTSNGDEEMVPIINDGPHISSSTNGQVKKINENVSE QPPAVKSHKGLYGRASDFLSNTSNWSIIESTLREGEQFANAFFTLETKIKIAKMLDEF GVEYIELTSPAASPESKAHCEAICKLGLKKTKILTHIRCHMDDARLAVETGVDGVDVV IGTSSFLREHSHGKDMTWITKTAIEVIEFVKSKGIEIRFSSEDSFRSELVDLLSIYRT VDKIHVNRVGVADTVGCADPRQVYDLVRTLRGVVSCDIECHFHNDTGCSIANAYAALE AGATHIDTSILGIGERNGITPLGGLIARMMVADPDYVKSKYKLSMLRELENVVAEAVE ISVPFNNYITGFCAFTHKAGIHAKAILANPSTYEILNPADFGMTRYVSIGHRLTGWNA VKSRVEQLNLNLTDDQVKDATAKIKELADVRTQSMEDVDMILRIYHTGIQTGDLKVGQ SHVLDRLLEKHMPSREGSPNGSANGNKRARIEGASA
I206_06387	MGIKGLTALLSEHAPRCMKDHEMKTLFGRKVAIDASMSIYQFLI AVRQQDGQMLMNESGDVTSHLMGFFYRTIRMVDHGIKPCYIFDGRPPELKGNVLAKRF ARREEAKEGEEEARETGTAEDIDKLARRQVRVTKEHNEECKRLLALMGIPVVTAPGEA EAQCAELAKTGKVYAAGSEDMDTLTFHTPILLRHLTFSEAKKMPISEINLEIALEDLG MTMDRFIELCILLGCDYLEPCKGIGPKTALKLLREHNGLGGVVEFVRGKMAEKEQENQ AIASSQPVDDDSDRESEEGGGGMMVNSEGEEMPVSSPVKKSPAKKKKKVTSSGMQIPE HWPWEEAKKVFLAPDVVKGDDLELEWKAPDVDGLVDFLCRDKGFNEDRVRAGAAKLAK MLAAKQQGRLDGFFTVKPKDGGNAKSAAGGKRKGDEKDKGGSKKKGKK
I206_06388	MASILRLTAQRAAPSFARSFSVSAVKMDLVQDLYVNQLKSYKPA AKSTDAHVGAVRSYSAPKPPSAPTLPSDLASELSKFDAEEPVIGGSSPKSSATTSTTE AGESADDYLKFLEQDLPKKDAHH
I206_06389	MEDPSGWSLTESDPQVFTQLLKDLGVKGLQVDDLYSLDESTLST LKPIHALIFLFKYVESSAGGAEESSGIEVDPLDNGVWFANQVINNSCGTVAALNAVMN IPSQSSQYSEESIQLGEELGNLREFGTGMESLDLGHLVSSSPHIREVHNSFSKSSPFS MDSSAFPEREKEDAYHFIAYLPINGILYELDGLRKNPIMHAPIEGEDWLNTARETVES RIGTYPPGSLMFNLLAIRSTPLPRLTRLLNDPSTPSEQKFQIQDQLEHESNKDKRGQM ENTLRRNNLLPVVFQLFKALGESGQAGKAVEVARAKGEERREKRAAKGEGDD
I206_06390	MNAAQLLQDSLSPNQAARESATQQLEAAARDNFHGYLHTLAVEL ANESQDLNVRYAAGLAFKNAIAARDSINQPQLTERWLALPDSATAPLKQSTLSTLGSP QHRAGAVAASCVSAIAAIELPVNKWPELIPQLLEFVGNQENQGLRVSTLQAVGFICEV IDPEILSAKSNEILTAVVQGARKEEPSHEVQHAAIQALLNSLEFIRDNFEREGERNYI MQVVCEATQSPSVTVQVGAFECLVRIMHLYYEKMDFYMERALFGLTIMGMKHHEEPVA LQAIEFWSTVCEEEIELSQAAMEAYEMGEQPLYESKGFAKAALPDILPVLLELLCQQN EDDDEDDWTKSMAAGCCLELLANNVGNDIVQPVVPFVEAGITQPDWQRREAAVMAFGS ILDGPDPEVLAPLVTQALGALIGMMQNDPSLQVRDTVAWTLSKICENMLQVIDPAVHL ENLITALVIGLNASPRTCNSCCAALNSLVVQISGDADLLGDDVPTSAMSKYYSGILKE LMPIAERPTNHANSRSAAYQTISVFLGASANDTLPVVQEVAAAMLARQEALLGMQNQL VGMDDRNNWNDMQINLCVVIQSFIRRSPSLAAPFADRIMTNLLSLIQASGKHAGVLED AFATVGGLASALEAGFGKYMEHFTPFLFSALASFEDWQVAQAAVYVGSDIARAVNDGL IPYAERLMVALIDLLRSPVVQRQVKPNAITTIGEVALAIGGGFRPYLETTMSILSQAG STSAAPGDEAMIDFVQTMRESIVDAFIGIMNGVKDSDVAAMQPYVPGIMGFLKTCWAD EDRTDSFGTASLGLIGDFAEAYKGSIRDELLQDWVQQAIQWGRTRSGNKRAKTNAAYA QNVSSSMSKLLTKGRSDSATHL
I206_06391	MSTNQEQELTSIEATQTQIEDNGSLHKPKRPRTEFTAIAGDAAI QDSLASSRSSTAIPLFSVPSVSTIQDHPPPYRATSSSFGQSEPHSTLPSSYWEIPVSS TSQSTQGEGITSPYQQPNFVPPPTQIPFHDPSAQLKPMSPDPPTTGSTLTRHTARPFP TPTMSTSRPPTPSPITPHLSTTPYHFPPQAHYIHQPLPTNFRPMYSIRPDPERSDDSL AAQSSSFVPSPTPHTYRSSNSTANSPSPPIRESSITPHPPATPIITESSAEKTKTKGK GKHKGIPGPKARIPSEAKVTIAEHIIAKGVTMANLDELAQITGLTKQQIKSQLVDNRQ NVRKQLNEFARGLQ
I206_06392	MASAGPSKGQMETNPRGIPKAPFVADVDEYVGGKDAEIQSTMKK FEETTAKYRYMEISLQQRRKALLTKIPDIEQTLQVVNFLQIRRKKKNEPLKTLFELND TLYAEAQIEETGQVGLWLGANIMLMYPLQEAIDLLSNKLQTAKKSHEETVEDLEFLRE QITIMEVNFARVHNWDVKRRREKGITGQQSGLLPSQRGEGKDDSDDERD
I206_06393	MNVIDNTQAQGSSSTLQPVEEVPLALEEYIRYGRQMIMPGFGLP AQLKLKNAKVAVVGAGGLGCPTLQYLASMGVGTIGIFDHDEVSLSNLHRQILHTTDRV GMNKAESACMTLSANNPSVTLIPNPVPITPSTALSLLKPYSILVDCTDRPLTRYLLSD ASVRLDIPLVSGAAISSAGQWAVYGGTTVIGKRRACYRCVWPSVLPGSGGKCEEEGVW AVVTGLVGTGMAGEVIKLIINKEVDLEPLLHLHHLCSNPLIRTIRMKGPSPKCIACGP NATITDDLDVYGYESFCSGAMGPDVDDESGLVDGAEGDRINVKELAVLLDRKDPSTNI SLIDTRPPVEFGICSLPGSINIPLPTILKDPSSLPPSQDIVFLCRRGNDSQIAAESLR RIKQDAGIKVRDVKGGLRAWSRDIDPKFPVY
I206_06394	MLRAVLLLLCIIPFTLAQFGGFFQHGFPFGGHGPQHHGDNNNGI KREHKGWHEMDSVHCRAGYVCPSSLACVPTPADCPCPYPEDIKCVIPDNRERDEGEGP PFICVRSTGGQGNCDRVIELSKPI
I206_06395	MSDSINDPAAAAASGPSGPLEEVQIDVTKLTALSPEVISKQATI NIGTIGHVAHGKSSTVRAISGVQTVRFKNELERNITIKLGYANAKIYKCQNPNCPPPS CYKSYPSSKEANPKCERAGCEGRMDLLRHVSFVDCPGHDILMATMLTGAAVMNGALLL IAGNESCPQPQTGEHLAALEIIGVDPKNIVILQNKMDLVRESEAMEHCGSIKKFVEGT TARLAPIIPVSAQLKFNIDAVVQAITNIAPPNYDFSADPRMVVIRSFDVNKPGAGVDE LKGGVAGGSILQGVFKVGQEVEIRPGLITRDQNGVCTCRPLRSRIVSLHAEQNHLQFA VPGGLIGVGTLVDPALCRADRLLGMVMSSVGKGPSIYTEIKAEVFLLRRLLGVKTDDN KKAKVSKLVVQESLFVNIGASQTGGRILSVKGGDVTIALNTPACCEKGEKIALSRRID KHWRLIGWGKVRSGGTLANVVEQD
I206_06396	MVKAIAVLKGDSSVQGVITFTQEADGSPVTVSGDIKNLSANAER GFHIHEFGDNSNGCTSAGPHFNPHGKNHGGPDADERHVGDLGNVKTDGSGTAAVSITD KSISLFGPYSIIGRTVVVHEGTDDFGKGGHADSLKTGNAGGRAACGVIGIAA
I206_06397	MRWNILLLSGVAAQATQLIFDTSSTPEFDSLSSESSSIALDDTK ITEEIKPWQCDIRSWVRAPDLQPSTTIPGETRLSANGSDCANIIKWEIGLRFKERAII KIKNKDIDSFPVRPEYPRYNASEFPKNDYNDIYHVGGLITLGSRSEEYNIQMEAYNEA MKNQSLWDIYGSERIVFDIKHDLPLNSSYTEKIDEIQSFNVNVPNTNFPPIDHRHSSG NLVSSNDEHMLNSETLMEYYHSLTLKNGTILDIPAGRTGFLPVVEPDRITHTNPKQDA WPVEVNLSSPRLPLGSEDKVDRHDTPWANPYNSDGPECDQGNRATFRLDIRSDTTQIT QGSNITLDITVTRTGNGSEYPAYLQMEMKTLRNITWAYNFLETEDQYNSIFSFSGSSS LSKGEIRRQNRLNRLRDFGTPIRMLKKLSMEELEEKRKREGITSSSWSSSDNRQRLNK GQARFDIEAEREEGLEKYEFTIEVPVPDDQFPSFKTTYETYQSVLNLQLMTMFTCEPK DVRGTSSKVEAEQDLTSERDDKWVEYKLPPKSDKKKLRNGRSLNHIGVLPLEITLSAS ERDPNSELVDYLDPSASVPAIFIPGDARTSSKEHRKVGQATEEIGEQLRKSRYSEGRF YGQRGNSGGRMMHAARLWENKLHNDSKQ
I206_06398	MTSASTQNNTTSEDQTPQTDSSASQTSIDQPRNAVPSGRGEAIP LRQDTTDSNASSGSHTSNITGDGMWDDPDQYGFGGLYRSDTAGTDHNANALWANPDEA VYMEEDQGRDTARTERGPSEDREESEQDVNRKL
I206_06399	MSFIIDCSTSLDNDGRYDIDTDLIFFQHPRSGYNAGVSTALRGS TSNNVPPELLIAAQLSPPVQIRGLTRQLSRVKPSSTIADGSISESAANVVNVIRRLAA GSLWDYWLIRHPIYGTAVLKLIEEAVKEDGFYVGPLLGLQGDVVPRYYGLYQTIGEYR YYAMLLEYIPHPLGSGFLLLSQEWNGVLHRDIDIRHILVDDHDRVCLVGFRHSKLLSL KEENDVDRIMGELGAIRMGIGLEDEEDITTDTIPRSYFELLPDPEGYIQEMKDIESQP EPDWLDNQVMRADPWGVPDLSSVPEETTITAENYRYWEQQRATS
I206_06400	MSDEAERRHAKRRMLEEQLRALDDEEKYNSRSTIPNTPITSTGS YPPQWAAIVSNPSSSRQSNLTSSIYNDDQAPSIRSAEHPSSSSTWSTMSTHDYHQQQY QQAQSNRPTSGTRPQQQVQSHQYPQSSTHRSQSHYSLSQVQSQPKPQNVTQSSTFHRP QSFRDLDRSSTGPSSSISPQIQSAIPTPQASTFTSANPVPLPSEHQLHDWLTDCMGQP DGLATDWRIRGKKVDMYKLLASVIRAGGSTEVSTRGWWYMLAKLLELADDSTSQAIKS SIAKQLQELFLLMLGGLEILWDKTKGTEERAALPRTRDGTYSNNSSNLRNPVPATLGS NQGLYAQHQTQQPSSSTGRQASTSRRTMSSPQQHQQQHHYVDPSKLTLPPKALRAVNN HQSERTSQVASSSTNITHQRPHEGSQDPAPFQSLNVPVNTTDYSSRHSTSSMLARPAS AASSSASQQSPNVSSQVPLYQPRPSHPPQRVPDLLSSQPEDRAQPQKSPNPPSTAQQN QKDRPSSSALNSTRTITLRTLNQNFGGYQVPRISSFRELVNSGILPLPPLNQDSNLYR ATWSSDPLIQYSKRCHELGHSVRKLKEGNQARKLNSEELVFWAKLLAIMAGNPSVLPP PVDDRPQSNPPPVAPAQTPSTSSSYSGPPGSFTNPSILNPPLHTVPNLGGSDIDTTRP PGNAQGTDSISKESDVQSKKRRRKSGEDVANGNAGDLTAQPKKRGRKPKVDPIHIVDP SSTANASQINNTSTNLTTGPTVSTYQPTIGRTNSPFQSTTLQTDPSLYPTGGYTEQSS LQPFGSEPRISAPVHVAEPMAAEIGEERPQRDPALVGLPSIPIMRPGYAKRGRPKGSK TVNRNPKPAPISQSQANGVEGAILVPDSQPDHGSYPRFDGLTQPSQPVSSFEGLFRTE AEQERSLYSQNPADSSNSSGNMNPLGLSSGVPISSHNILATMYNNKDQSSSLFTPAKS TEVEGDNRGSGSKKTPLSAEQKAKRSELNKIRYQKRKALESSFGPLSKPTSKHSKSLA QGGPELIPLVLDCSPRKRINLSSSLGQTSSAHLRAQDSPFTRIYRKPIIPNETNTNGS PLTGLTASQSPSLAKGKSKEKTQAPPGIETTIFSVLNQDDGYEPSPAQVEELARALVE DLGPIPDADGQTLALEGEDEVDISEDAVQEIGAGVEIQEASSSSQQVQPAKKKKPVKS SKSKVKLNGPSNLHKKIGISTGVSSSPALATPQKRGILVVEIPSSKKIARVKFDIVQP EAENEVDELDPGEGEDEVIEEQRDSSPEYQPSPEPEEEANANDFELLPPPETEVAADQ SDGAPFKVEIPKPKLIKKKKVKGPPKPVDRAKVVIPMSKRRREELLSRGHYNPFRDDD SEDETLLQRRAHAGLRPVELTVQRGARVIRPSSPEPIPQRFVARPGPALLEPFASILS EQSIINRSKECKCLWKGCDAILASENLLRKHVNAVGHARQGQEEVSTERWSWNARRTT EREVIQGKWFYRCHWKGCNEPCFASHKALKQHLVARHISKVLRCPYQDCELTSPNISH LSRHVIKTHDSPSDKPANFADLSIHISPPSVPAYSIPETARTDELTTPRVAGSTHKSA FYAAKIKEKVASHCFAGADPVMHPQHPPHILQTIDDDDDDVLAQQLTEKKSKRRRLEV VVEIPLSKRRKLTEQEKRDRILRLMNAVEPAQDLQLNDDNDGQMSPGVIYESAPTPWI ETDALMIEDELVLGAPTPAPFSFWNDDEEDDEEDDGGYAAGPSGTQEEDYELPLPLFG LQGDLDADEEDEKEAYAELMEDEEEEEDDENLFNDSVNVSQSNSASSNQPVTPFRVMK NIPTSLFGPPSSTPLPTPIKPFFPPTQSRKTSLSFAGALRNTPDANSPLRFGFADRFI IQPVPSSTSTPVLQDIAGIPDRQIPNLPESELGSMAPGGSAQTASPEVNEPNKPDEPT QPALEEEESPVITDGIAQNVIEVNDAVLIDGPEYGSPPAVQDIDKSDTDIADVPVTEP EQVLASNVSDDDADSEEIMDTPEQELGFDAMVADAEKVAGVEPQN
I206_06401	MASLRMQPVLRTKVGRRSLISTRSAHQLVVTTSPDPSHTPKPII KYGPPTGGRSSDSGHTVTVFGCTGFLGRYLVQKLARQGTQVIVPYRDEDEKRPLKIMG DLGQIVPMEWDARNPDQIAECVKRSDVVYNLVGRDWETRNFKYEDVNVKAAGLIAEVS AAVNVPRLVHVSHLNAHPDSASAFYRSKYHGERAVRDAFPTATIVRPSQLYGYEDWLL NAMSQYPILFKLNEGKTKILPVHVMDVAAALDLMLNAPVTSVASTFALPGPVLHTFNS LASLVSSITLNPTSTAPTVPKPIAKLFATALNRGIWWPTISPDEIERKYIDDLGVEAF SKPNEDKIKSGWEESYITQNKGIDGEEVKSWKELDMTPDPIEEHAIKYLRRYRAATNF DIPVETGRHKPPKAYHVLP
I206_06402	MMASRPWSSLTPVQALVEQCCDPTLPVPNDVANIELAEMINKKK ANSAREAAHGLLQHINSRNPNEAIIALAVLDHLVKNCGYPIHLQISTKEFLNELVRRF PERPPMVIGRVMGKILEMIHEWKNTLCVHSKYKDDLVHIRDMHRLLSYKGYRFKSFDA ARAMAATNPNEDLKSPEELEEEDRQAKSAKLQELIRRGTPRDLAAAQELMKALAGAEP EKQPDYAAQTFTELDKVQSKAILLNDMLNNAKEGEKVGLEGDVYDQVAAACRGARPKI QKWIEDDDGSREGMMDRLLLCNDLINTALDRFEACKVGDWSKAQAVVEANNPNKKASD LINFDAFADDEPSSSSSPGGGLALPSDATTSTSAGMTAAGLPFDLFAPSPSASPSPAG PSNGIFSNPQQHQQQRTNPMDFFNTPSPNQFQQQQMYQPQAQSNYNGGFGGFQQPQQQ QQPNYGFGQPPQSQIQPIQGYSLTPNTQNGQRSQPPPQQAQPPQPQAQKKDAFADLVN LMD
I206_06403	MSIAKSLTNIRASTSALKSSLSLPKRTLATPVNTNLYTPVLPAK IPAALHLKSGQSYYGQNFGSENSKFGETVFSTSITSYTDSMTDPSYLGQILVFTSPMI GNYGVPSNASAPGFPGIPFLESEKIQCTGVVVSDVALKYSHYQAVESLHEWCQRYDVP GITGVDTRAITSLLRDQGTTLGRLAVGDEASKPAPSSEEYWDPSVENLVAKASTKEPY TLNQQGKGPKIALLDFGTKANILRSLVKRGAQVTVFPWNYDFNSVRDQFDGLFLSNGP GDPKQIMETAYKVRKTIDEWDKPIFGICMGHQVLGLAAGLEAYRMTFGNRGHNQPVLA LASSGSINAGRVYVTSQNHQYALKLTEDFPEGWAPFFINCNDSSVEGIISTPESGKRV WGVQFHPESAGGPLDTIEMFTDFVNECQFGRSGGSNMIAGEVKIGGSDAKAAAEISAS I
I206_06404	MIINQISNITLKHSPVQSEPHIHVDPNSNLFLFYILIIIGLVLL GGIFSGLTLGLMGLDTVNLQVLAISGTLDERKQAPKVLKLIGNGKHTILVVLLLGNTL INTSLPIFLDSIVGGGIIAILASTLLILIFGEILPQSICDRYGLAIGSSFAPIIKILI ILLYPIAKPMGMALDYILGAHSDPVTYRKAELKTFVSLGIEDKLNEDELGLLGSVLEF SNKTVRDIMTTKEDMYVLSADKIVDEELVIESYTNIRFSDIDLFGLHDVLPQCPPDLP LLEAMAYFQTGRSHMLLINNTPGQDEGVIGLVTLEDVVEELIGKEIIDETDVYIDTHS RVPVIRSNQRAKQTKGLKRIYEGHLARQKASISFNRTKSLHIGSPSYGSLSEGSKLIA QRSGEIGSEDQGDRDDGVV
I206_06405	MATQLDLLIILLTISLPLLYFFRESLPFIGGKPLPSTQNGSIGK AKKVEEEGDPRNFVEKMERAGKRAVIFYGSQTGTAEEYAIRLAKEAKSRFGLSSLVCD PEEYDMNLLDQVPKDSVVIFVMATYGEGEPTDNATNLIELISEEEPEFSNGSTLENLN YVIFGLGNKTYEFYNEVARKLDKNLKKLGGNRIGERGEGDDDKSMEEDYLAWKDLMWE QFSKVLGVEEGGSGDVADFLIKEITNHPPEKVFHGELSPRALLIASGTNTPSTISGGY GIKNPYPAPVLASKELFTLNGGDRNCIHIEFDLTGSGMNYQHGDHVGIWPSNPDIEVD RILSVLGLLDNNKRFNIIDIESLDPALAKVPFPVPATYDAIFRHYLDISAIASRQTIA FLSRYAPTENSKQKLNEWGTNKEIYAKEIDGPALKLAEVLQAATGDSLDLKQGEETFN STTKWSIPFDRIVSSVPRLQPRYYSISSSSKLHPNSIHVTAVVLKYQSAASPIHHHEP RWVFGLSTNFILNVKNAHSGSNTPMSEINSNALEAVTMKKVPSYKLAGPRGNYIKENV YKVPIHVRRSTFRLPTSPKVPIIMIGPGTGVAPFRGFVQERIALARKAIEKNGPDALK DWAPMYLFYGCRKSNEDFLYKDEWPEYEKELKGVFKIKVAFSREMKKPDGSKVYVQDL IHDLRSELAPLIVDKRAYIYICGDAKSMSKAVEEKLIQMLGEAKGGSAEIEGVKELKM LKERNRLMTDVWS
I206_06406	MLWAARLAGFLLFRVLKTGSDTRFDDIRSHFFKFAGFWVGQIVW VWIVSLPVVILNSSAVSSTLRSGDPSFGKATDIIGIILFAIGLFWEAVGDIQKYMFKS SKPPKGQPCTKGLWYYSRHPPYFGEMTLHWGLWLLCLTPTINGGLPNSAKAAQYSAIL SPIFTMILLLFASGLPTAEKPTAKKFFLMSYSSIKQNQLDNNNNNSSSISTRFSEPEP ENEIWSNYKNYLKKTSIIIPFPPFLYLNLPEWLKSSLLLDLPFFKFDEQIDGKQALEE ERKKRASV
I206_06407	MSDTTLPSTSSYFVELHELRYDVDQMVKGVDAALARKATNNKTS PASSSTPLFHLHTCTIETTLKVPQSQFEYFGGRVQPNPAYQTYTELRSKLSHAVDPFV PLDIGHGLDVVRPYLGSPGHWGPYSPLKPGVKVYLPGAHKKKHLTQLDTLIKSVSKEW IPAASAWDSQNWLHAILALDPNSESTRKGNYRDGKQRFQLNTNLQICWIPEDSTPGGV DIKLLITVKVMVDPHTILEPLPELGRDMLGLILHSLIPSPTSTDGYINELEARANALN AFYACLRSAPDLPFNFVAGQLQPKEMVSKLLPFQTRTLRLLLQRERGTSISHSDPIGF WKKYDFGTKGSKIAYRRLTGDLKLVGSSSSSSHIVNTDKKGKGRAFEPSPERALDDLD REEETEVPTLLDLSGVRGTMLCEEMGLGKTVEAIALVLLNRHPLSRSRVLPPRALNNE TAQAKSSTNPKDKRLPKAPVPVIDLLKGTPGMEIEEVKKWVESEQLAFRDKKAWDEQA QMNVTEVATTLIVTPPPLLKQWVAEMEKHAPSLRVCVYEGWKSLQKGVEKQRAARMRA AEAEKKRKAVEFRDQTRTKYSRSNASRRVKVEAANEIIDVDEPEVKPQMVEEEEGTLQ VTQRQFVEYVRAHDIVVTTYQDLSSDLKVALPAPKRSRRSTANYKMNERPRSPLVMVE WWRVIMDEVQLAGDSSDSANMVSLIPRKNSLAVSGTPARADIKDLMGSLKFLRVPIIP HDTRLWHRLQQPSMRAAFEGLFRSIAVRTTKREVSGEFSLPHQNRFVVPIELSEIEMA YYNDTLDRSRDRLHLPADRREARPEDWTLDRGAFIVCLRNLRQICTHIQVGQMGDHLP RGGGGGERRLHLGRSLMTMPEALERMRNDHTQEFLLESRKQMRLMIKKAQLTSHNEED ELRYLTALNLYDKVKTTLSKQLTSVHEHLKNLLGDREDTVDIDGTPDRQQSQQERERI LAIQATKASIRDISIVLHQAWFYEGDVRHMRKEQEAEIDAYAQADSIRKEILKGPLQT ANTSVEFLKGQLRHTSALHDVEELQTDETDNRGGMLSNSIITQANDLLEIMNDNAFLV FEWRAKILALLSSPIDAENNEPLQQGESTDVVDPEAEYYAEALKAQGEVEAYMAAFAA AIADRKEFLLENRSLLAEHDARQTKQRSTKAALNAVVDDPTLEMPNEVQEQAKLLMSE RQAFRDARVEKGCERPLKGLLIDLNGIANGPHRHEEVTIARETAKFLKAYIDEQTKYV EKLNKELDLFQNTFNKRVKYFAALQEISDSVSAPEMKKKPLAAELIDCNKDIDELEVK LARMVVKGRYLQFLGNKDDDNGDIKEDCIICFGSSDDTQAVLLECGHYFCLSCYKEYR KSPMGRRCPSCRTEINTKEITRIKLKSDQPGDPSDEAEVDKINGDESTGPASQIVPEI LSEEAENERRAADLRRLKMMDVEKIRGIMNMDMLGEYGSKINFLVKHLLSYKSREPDA RHVIFSNWSDSLNIVMQALRSNDIKYTSFDEGKKRKDVVDEFLKDESIKVFLLHAERE SSGLTLTSCTVVHLLEPVLRHSFELQAIGRVDRLGQKKETTVFCYATLETVESRILSQ GVRNGTSIYLDAENADQQVAELPNVASAASKGGDVAGEGNEEDLLGLIW
I206_06408	MSTSASILRSSSQTRSSDNPPYQSTSSHLSFQSEARQAALSNLE GSGKDLESSRRSPPEQYRDPEAVLLRFIERLTSPEYQDSDPHLVAIGYELEALLSIYG SSAVKLSFASRPSSLISTSSTNTELPPPIPSSSYLGPSSSGMERRSESQDYANAIFDY DIGFIPGERIRYEVTLPVWEQGDHLEGIDEQKVPEDPPNMRVLVSLPPTYPNSSPPQL QLLGRYLGNFGIDSGLFGDITRTYISSNGITFSPGDVCVFDGLTHVQTIVRNWYISNL SISQEGESAREEDRLTLNDDNNNNNKPNLTRSTFSYGSQNNEEEIIDISKFKFFTSNP IIDRKSTFIGHSIKISNEKEIPLLINELLNDKKIAKAAHPAIFAYRLVKDSGGPAGKI YNTDYDDDGETAAGSRLKHLLEILELENVLIVVTRWFGGIQLGPDRFKHINQAARDAL ELGGFLNDKKDKDDEKSGSKRRGGKK
I206_06409	MPGASGSQISLSSAHIYTLQRDPNLPPPIRSNHLPISSQTSPDD SISSSPAKILTSSNEVEIHVDGMGDEDEFAGLEMMGLTQWEREVPSSTRKASDRKERA EAEEQIDELDESDLERPFDLVQSAEEDVVMDDQVANHSSIAAHSSPPLRTPAFSLPSL NSDIPSGPYPQTPQTAESHRHNHQILNPNVSTPLSWSHPHSPSPLSSRDRSDPQTKRS LMILSDDSDMEDVDKENQDSRLPGHGCSSQASNKSNRKGKGKQLAESEDDEEPLALKK RKRGRMHKLIESDEENEEPLSVQRRRRVLNKLNDEEEDEPLAVQQRRREKGKQKDISP GGGYEGEISFDYDFTEDILENRVDDTSVRKDTLDDLFKDDENYEYDQRGEEQVHKDIQ QQKDEEDLFDFDQFGDFPFDQIDLELANSNNKSQNIIKSKIRLKSKSKSPQKERQLHN NLFPSDEVNVYKHHDKSNFRDDDEMINRYFDNPEWNFPLISDLNEKWQDFYKNHWRRG VDKLKSTSKQKENQKNMRIGGILSEDEESEEERWIPPTQSIAKKITNGSIKRGPWGLR GRGRGAWRGRGGVRARGRTVKRK
I206_06410	MPKRFSNLSFDSGPPRPLGYSFTGPNASQSSDRPNNNGLTFLSR NKTERGRLQGLMHTMSKVGDQRGAGSKALGWIEKMKVWMVNEGSKRIFFFLWIFLHCM AFAFALVHYQIKDNFVTARSSFNWTFSVARGAAQVLHIDVIFILFPVCRNFISLLRRT PLNDVLPFDKNITFHKQVAWMIVLFSLIHTIAHMRTFVSQLSVSSGSGIIGFLEFNFI TGPGATGWIMWLALGIMVWFAMEKRRRAHFERFWYSHHLFIIFFIGWQLHGMFCMIQP DRPPFCSAGTIGVFWKYWLPGGLVWISERILREVRARHVTYISKVVQHPSKVLEVQIK KENTNRRAGQYIFINCPEISYWQYHPFTLTSAPEEDYISVHIRCVGDWTNAFAKALGA DFDGKMTKEEEAHGGKVVTAPVNKVLPRVMVDGPFGSASEDFTKYETILLVGAGIGVT PFASILKSIWYRMNNFGKEKKTRLSKVYFVWVIRDFGSAEWFHSLLQAVEAEDIEGRI EIHIYLTAKIDEDKMNNLLIQDVGANTDTITKLRSPTHFGRPNWDKVFESIANKHPDT DCGVFFCGPAGLSGTLHQMSNKYTSPMGCRFFFGKENF
I206_06411	MSLAPRKRRTERPDSPSALPQTVRTYSGLEEEKRRSPRPPPPDS SIRTEHFASWSIGLSLTIIAFILRFWRIGHPSQVVFDEVHFGSFASHYIRREYYFDVH PPLAKMLNGLAAWFVGFNGDFGFEQIGDDYIANNVPYVGMRSFCAIMGSITVPIVFGI MRESGYPVGIALLSAALIAFDNGHITQTRLILLDAALVLFMALSLFSYVKFHQYRYKE FSNWWWFWLLNTGTWLACTLGCKMVGLFTFLTVGAAVLWDLWMILDIKKGHSMAYVWK HFFYRAIGLIVVPFILYLSFFWVHFKVLKYSGPGDTFMSPAFQETLAGNELLLNAQEI RYFDTVTMKHKDTKQFLHSHAEHYPLRYEDGRISSQGQQVTCYPHNDTNNHWQIIPTK ELPESGRGRVVRHNDVIQLKHVVTNTVLLTHDVASPLMPTNQEFTTVDPEDETRKNDT MFKVVITDAHDGEPWRSLSGHFKLVHIPTKVVLWTHPTALPDWAFGQQEVNGNKNQQD RTTSWYVEDIIADGTGNDFKNRTTHVEPKGVKKVAFIKKFFELQVLMLQHNAGLTSSH PYASTPIEWPFCLSGISFWTDSETNQQIYMIGNLLGWWTCAVSLSVFVGIVAADMLAR RRGLDPIEDGIRNRLYRNTGFFLGAWAFHYFPFYLMQRQRFLHHYLPAHLASALVAGS VLNFILVEVVNYPISFAGPKTRLRPAVRAQLGKVGWGVVVGLLAIIVGVFLWLAPLTY ALTLTGEQVNSRKLLSSWTLHFEAKKHHAD
I206_06412	MNINMRPTSSGSRKGKEVVEMASLSITETSSAAGRRRTEGPDEK DKEDMAMAKWRKWVVEKPIDTGSSDHSPIRSRRASPASTMATGLHGSASPRISVFTTS PRVTLSPRQFPPPAGSPLEPVGSAGSSSSSPGAGRLSGFSPKASSTRLGSGSAIDAEV CNTESVMALRDVELAIDEEVLERNERMRHYNGSQARRMSTHPRISELPNPFSDISQRL GSRRVRPIVLELIQALGHYLDAVWCITYPNRPCPWVIGVDESPMPASVRRMTMAQNGE SLGSVTTWKSPMVTAVQQGKKSGHVVQPPTVQDVKFWGDEVTFAIRDVDEVVGIYKGV GWAFGSAMRDGVYGTVTSDNVLGSNGEGGGMARLLNDLEEAIWGDAQPRPTDLSYDLP IDFDPYAVPDDQELLIAASVPRGGQARSALTDFFGESRITGKQGSTAVQGGVPIMEEE IDALPDLIQNSDEQDIEDGNSETDNKSDMIKSPTLSRGRSTMTIPGVNDLPPTTEGMT LEELGKRRHLEWLNNQRLGLDSW
I206_06413	MAKNQDTVDPGEYGLARSTVTLSGLLNAIDGVSSQEDCILFATT NHPDRLDSALSRPGRLNVQLSFEDATFDQAKALYEHFFPFSQSAESDESSLNSKNSIL DEKENSKMNETIQSQDDLDKLSTEFANGIFNPTPSLPVSGEEEQDCPAEFSISMAGLQ GYLLTYKKQPRLAASNAAHWSNEIRKQMKEKEQKRMAKRAEGRKVLKDSLHGTDTKVD DFKNEVAK
I206_06414	MSPTSHNVQDYLPHEPIEPTVATGVDMIARADQGDSVGDGEKTL PQKSHYLFKFLREEKALPSKRLAGVMLAVLFLVMFLAGWNDASQGPLLPSLQGYYNVL STIWLANFAGFMTSGLTNVYISDSFGFGIAAPFGASMQGLAYILICWGCPFPLFVIAY VFNGFGLGLQDAQVNSLVTRLEDSSTKMFLMHAMYGFGATVSPFVSTAFVQHVSSRVY LYFAASLGLALITVLALVVAFRGRTETQIVGKRQLEIRYNKDGTSKEVDPTHEGGGSG GKMKQILTTPVVHYMAFYMLIYVGVEVTIGGWATSFLLDERGGNDNSGYVSSGYFGGL TVGRIVLIPVTKKLGNYLSIYIYSALVLVLSIIIWFTHTIVGNAICFSIIGVFLGPMY PIVMNVVVDILPGELQGGTIGWIASLGQAGSAIMPFMVGAISEKHGVWILQPFTVAFM AADVFLWFLVTRSSHRHTKRLKKHSLQGTSVEDKDTEKEDDNMMSNTPGVKEEESRNS ASHQPEHERFSLEILSAPFQRENVQS
I206_06415	MEAQPLSLPSTGKLPASIVPSSSETPSNGTIFVPDLIQLLAQSY PGLTPTSSDLPNDLVISSDHLVIKWWDLPPGYTTGSWAEWEPEAPYKPSPDEPLVAYE QKDVDDLLDRMVEEREEQNAEEKTWEDRYEIQTPADQSFDVQLEESTVASSRNDISPT TSPPHSLSSTHLMIERPFSDQNHNQDHLDSPRDQAAYKPFEAKKNITPTINGYPKAGD DSAQNPPIGVDIISHNQPEISFNSSPSPPLNPIQDQIMPYEAIEEVKDTQLVMETELS SNHSLSDSDGLKTPSSSGFQTPKWKCHASSIVKSHEHSPQTPSNRVILVDTSHISVCH GAFRQACTSIFHPDNSFGPSALADRSRVLQRSATCPAVSTTVTKTDQEACPQSSAGQV RAVIPTHPDDSMCSTARTSLSAPQPFLAEQQVTEESPEFEMAQPDDSPLLDEFSRLHL APRNPPAIEGISTDLSTESNAPHPRSSQDSRPSPELSVLTTPMTSHNGNAALPLSPLP DNVTTVLSESFSAEVSNSSTLDHNMSKDHKGEVHRLMVSQRDGQPDLFDFDEDSSKIP EAQQSSEGVIVQTITCTTDRAEPGVRGQFEAEGEQIGEYTPQAEEDTGNGGFTVEGHQ GVPESEEETCVGNKSKSSQDSYTSTAERTLKGRSKRKVATQDETAAPKPKRRYTRRTE KNETGAVKPEQKSAASQDIDGISHAVPKKRGRPSHKHLIQQQVTEHTAALSSAGPSRS TKSTSVAPAAYSVGANYGQPLQAKPHAKSHPNRQITSSALQAANTKAVRSYWVTRESD EKAQDDDCSSSNPTSAPQFTRAMPQPPERESARQANRALQNCTASARTHRRSISTISS TEDPLLLTPTVSAASASSPTSEINGSKLIMRGQITPYGKHRRWEAEEDAIILKVYEQY EDTDMDRLQMSTLMEKNLKKLNFEIQRTSGSIKWR
I206_06416	MLTSLPSFSRLNTMASSNDPFQSQQLQSQQQQYIQGYNPQQMGQ QPLYPQLPGLPNQSQNQYGGVVQPFSQYSQANVVPLSGPSYQNQHQNIVGPYNSQSNS LYVQNQQQQQNGGYRYPQPTSGIQSTQTQLSPQILESVSKDEDPIYGPLSRAKGKIDR ALIGDNEISTDLADGMSHPLQSSEPYIHSPSNATAFKPAKITKRTPLPDALHQELNYK HLTAKMGLFEDIERAWFTVDNKLFLWDYSDGRDFSRYDEQNDTIQAVGLVRARKDVFV DEITHVLLICTSSKITLLGLSKPYNSKEINLYATNLSVESPTAMIDIKGTPAGRIFML GANKDLYELDYTSDSSWFFGSSTKVGLHNRSSGSLANWTPGFLASKSKEGVESFALDG QQSRLYTLHTGGEIEMIDISGGNYQSRARYTRLKHDLNRNQQGGNVSIVSLAVVGGHE SKRVSLVAIASNGYRVYFTTSPSFWPHIFRPPITSQANLPVSSQSFYSSGTFMSVQHD NSAPMPQTQLSIAVPHCGRQSALRENLESYEAPAFQEWTITEIIPSQVWTIVELPATN PAYAPPSLTRSDGIALSALPRQATTQSREFLVLATSGLFCVSQPRPIDMLQDDLELEK DAAINTVRLQFGKSQLAAMSLQLGATSDLKAIDLASAISTILITSGEPIIKDGTGGKS ITYSGRHDGLALIIARYLRPIWNVKVTVPSVPGRQILALPEATLLAVQGRLEKLRRYL DEHPFQRYQAEGDAKVAWDQEDMSIHGLEVLLKQAIEAISFILLLSDYKISDVILRTD PQTQQTLSNLTFQALLTSIDGKDVARKLVTALIEQQIGQELGIDTLSEILQQRCGTFC QPGDVVMYKAEESMRRAEGARDFAEKTDSLAESLRLFTRTAGSIPIPRLQEVSKRYRN MQYTFGAIELPLKTAVELDPNDKAVDFVRDGEHPNDPRKALFEARKQCYEMVIDALGM FDELLDSATAQGNGTATQKRDEAYALAIASDDELFHFYLYDWHVERGLQEQLLEFDTP YIEKYLKLTVNDVEDRRDLLWKFYARREEYLAAAEALSSLATRPSPMVLHDRLYYLAQ ALTSAKSAASFGSEDVEFTSRLQEQIDVAQVQMEVARGVETHPDMSADDKNETLSRLN TDLLQLDELYQNFARPLRLYEPILLILKTADTRIDDVCEAVWRQLIGSFRAAGNVAMS EHIITLVKRYFPSEAAPLDIMVPVVYAEAQGAQGGSAGWASIALLDGGVPLRDLWEAT VGLYENSDDDERDYYAEEVSVLASRWVSRKDEIPAAEVERFASAYLLRTNGGPLDEVK RDTRDRLTAAKQAAVRY
I206_06417	MSSIPPLYPSAAIIATTLGLHGFRKGSLSLDGAIAAWLVGYGHL ANSIKVFGVTMIGMYLIGSRATKIKVDVKAKLEDGPDPLKPSGNRNWVQVLSSSLPGL IAALLCRFGPASQLDKTSTVLSLHPLSRPGIYISLGLNATILADTLASELGILSTNQP IYLPTLKPVPKGTNGAISPLGIGTSLLGGCLIGLIMSIDLLIENPASRNQLGWAVELI SMGAVLGLTGSLLDSLLGAYLQVTYFSNSQNKILTDQSQKSITDKDVKKIGYGINVLS NSGVNFVCGIALSAVGWYYGTYH
I206_06418	MSFKIECAPGLTSGRSHMKSGIEFQRSWPTSPIATGTQVDQNTP TVNHSDGLLNLSTSISAKIDILTVTKYMRPGYLWDFWLGEHSEYGKVVLKLLARWDYP CMDPEYWEYVDPDEVLDEAVREEQFYNGPLLDLQGETIPRFYGTYLSKDGQFHCAILL EFAGYAIGPGMVILDEEWRNKLYEAYWKIHSRGVGHDEVSSRHVLIDEQYRIRLVGFR RSAMINLEDEDEVWQMMCEAVMVRRQIGLEKKSEVCLTTLPEDYYGQIKNREAFIDSI QPIDPKDFQYPEWAKQHNQRVRDRLISIRPNDPVSEEDFSDSTGDEAEPIGSE
I206_06419	MSFQIKCIAGLTEGRSHMESGLIFHRYLSKSRESTRGETDKENT EGWNESLSASSSSPASVDKLSQPQPDIVVITEHIKSGYLWDFWLGNHSKYGQVVLKLV STWDYPCMREGYWEYIAPEDILGEAVNEERFYTGPLIDLQGNTIPTFYGTYLSSDGEN YCAILLEYAGHAIGPGLVQLDEEWRNNLYEAYQKIHLRGVSHGDTSSRHVLIDDQHRI RLVGFRKSAPITLTDGADVLLMMYEAIDVRVQIGNEKPSVAQLHTLPAIYYDQVTDRD EFIKSIQPCSTKEFVLPDYVAKHDQWLKDQGLFTPDLDSDDYLSDSTCSSLDSDEKAY YKEQEAKYGSGSGT
I206_06420	MPLQSTCSSFSQSENSDSGDTNLVESPESEYANVFENDSLQKKS RTLRRTRSLSDLHEYDPEEYAEDAHFTISPGILRRHTTTLADINGVLSDEDLPDDSEN DHEVHQNDKSAELSTDYSRLDHSTLHHSGYDHTVNNVNGNCDISTINTYGDLTQYTMP MSHVEQQPFYPCIVSSYPQKFSSRIQYQYAQNVRSNYSPDYHVPQIQWAYQDPLENQI DQLHTDIIEDDIADQRAMHGLPSLSYPVIPKCFSNHHSCCQPSPEHTSMIQYMYQLQW KVKLEAMVAKREAKQQRKSRS
I206_06421	MSIQDPSSSPSKPSRFTSSPSKSNISPSLQSPIPSHGIVDLSSP APSPSKRVSASPSLTSSRPAPASPASSRPPSALFDPSSSSFGRDRSTSTSSRGGRRVS NSSAVSISNSRKPRPGSRASSKPRPKSTLGINIILPESNTPSSTGKEPESRQSQNSIP ENISEPSAEISQSHLAQLSDFFQMVLPEVTIRDFAFDLNDERFHGRGVIEEGDTNDSG GYKWLRGKDDYGESSTNGSGWGGFGFLGGWRNKPIIFTGDEDGRPTFDDEDSDEGDFE PAQQEVEEYYSSPAQSDSGETFGYSYNILEPLPENIEPKGYYRAAYPFEALSSSEMTL EEGDLISLSGRGNGDPGWVIARRVRVLAGKIAGIDEVVGLVPESYLERVEVIED
I206_06422	MSAQSTIPPTSSPSPAEPSSMGGRASKSSFGAESTMSLLVDSLK DRLLFAVPKKGRLMEKTLEILAGADIKYNRAHRLDVALVQNHPIALVFLPAADIPRFV ALGSVALGITGQDVIAESTHADNITELLQLGFGKCSLQVQVPVTGPIQTVEGLSGGRI ATSFEVLAAELFNGKSGIDSKTGKSTKVEYVGGSVEAACALGMADGIVDLVESGDTMR AAGLHAIHTLMKSEAVLITSKEPHQTLTPELKSLITLIKSRVAGVLAAKKYVYASYNI ERKNLDKALTITPGRRAATVSPLETEGWVAVSSMVERKEMAKVMDELETTGAEDILIF ALDNCRVGI
I206_06423	MTSIPPPYSSGDHAQVQAVPPGSSSSTTTTTTSQNHEFAETTSI SLEWRLTGLKAMYDSTRGDQKSKCIKSAIFGDADNLWEVLWYPNAGTSTQTTGDHVSL YLSCVPTAQERESSIQNKWTRKGLWWFKFEVRPIPSSNIEISRSSGSSSSRTHNSTDA LASKDASDHTFAVKTANWGWQAFAKRDLLFQNPQVIQSDSFMIICTIQAQPQPPAGIW LGLGVQPSSSNLGKINGTGLGSSGGGLSAWSNLDGSSGGVAGGTCAAGGVKRVVPKEL VNSVGSMLDDPLYSDVEFIIPSRKGGSPPRRIYANKKLLRRYEYFQAMFHGGFKEVEE DYEDDDSDDDISILSDSDIDDEFNESQEGYIDAASSSRSNKGSAADQELHLTTTTSRN SFDIRRSSNEVERPNIREDQSETDTSVTSSEIGNSGTNTGKDDKDNSVEVGNITIESE DVGNSSKSQAKSASTHKKTSSIAASNSKSEISSKVGKRGSESIPGPKKTRVVIRDAAW STWWALLYWIYTDTIYFAPLTSSFEYQTSQRGSTTSTNTVIGTSGDQDIPKSRKEWIH KWMLEHGINSPPPPSTSYTRGIFDNDDDDDDEEEEVCKIGPRPISSKAIYRLSDKLDL PSLKLRSFQHILNQLTFKNVPLEVFSKFSSTYEDVRKLQINFFLKNWSEIKKSETMSS IWTQIRNGKHVGFEEVWPLIVSQLDFKPSN
I206_06424	MLSRQPFAQNLLRPLSKPSSSFSKSSTLPRLTFLQSRGLATSSD PYDVVVIGGGPGGYVAAIKAAQLGFKTACIEKRGSLGGTCLNVGCIPSKAMLNNSHIY HQTQHDLKNRGIDVTDVKLNLPKMLAAKSASVKALTGGIETYLFKKNGVDYIKGEASF ASPSKINVNLLEGGETQVEAKNVIIATGSEVTPFPGIEIDEERIVSSTGALELKEVPK KMVVIGGGIIGLELGSVWSRLGAEVTVVEYLGAVGAGMDAEVGKQFQKILQKQGFKFK LNTKVISGERNGDKVTLKVDAAKGGKEETLEADVVLVSIGRRPVTKGLNLEAIGVETD KRGRIVIDDQFNTSAKGVKCIGDVTFGPMLAHKAEEEGIAAVEIIKSGHGHVNYDAIP SVVYTHPEVAWVGKNEEELKSEGISYKIGKYPFAANSRAKTNQDSDGFVKFIVEKETD QVLGVHIIGPNAGEMIASAVLALEYKASAEDIARTCHAHPTLSEAFKEAALASYDKPI NF
I206_06425	MAKKKKNTDNPITEVNTTKAPSASEPAEEGGDGQPSQHHHHDHE HHHHHSHYARSVDSDGNVKETTTDIVEIKEVKEIPAEQIVVSEKNTITPSISISKPKD PSHLSVHTSKKTKSIIPTVTPTPAPPSTKAPTIKPPTVIPTPTPSVKPPSAKDAPPPS SPAPSHKSRSSHHHPEVIVNVTIPQPAPAAPPIILQPPAPVPAEIVETEEIDVEEPVK ATPLVVPTEIPPTPKAPTLIAPSPKAPSIRSLAPAKSVKSRAPTVIEEPPEDEVVEET KVITTTTTTTTKKRPPSPPKTPQVISIDNPFVENVETPPPPPSLPKSTKSSKPPAAPA LTVPSPALPATPMKLPDFLRCSGGSGEKKPMKLIETTTVEKFYVPDESGNNVEVDTAA TTMGGATTKGIGGARSAALTPSPGRRSGTKGGIRPIPMVDYEALIQSTPPHSIKKSYR VLPQARMTPSPQGPKTIVTYSAQLDRDSQGNEHLHARLRDYTGVVKEVDPTMPPASVM GSHPLSPTTYAQTTYVPLPTSVSPVHVMGTRTQLSPPTTYAPEPMVTPSGGKAKTIVT LSAQLDRDSKGNEHLHAKMRDHTGVTKGLELDTGQGEVLPMIESEEHRKKREKKEKKE AKRLAKEAAKDESKGNPYRHVGGVVPPPKSERYAYPPAPTVIEQNSKERYANKFNNGQ GGAPGSGMGMGMGMGMGGMGPPYPPIAPALYPRPPPLIAQRPPMFGPPMMGMGGMGMG MGGMGMGMGAMGMPMMGMGMPMGMSLPMATPIPGVVPGQPGMGYYNSMPGRFGRDMLG RDFAGPGGVGSSQPYRPPDPSALPPMPFGLPFIARPGQEGFDQYGRMLPPDLPEGWDG WGRPLVSTNPQPNIPGGIQPDLPAQIPLTNNVAGIPNGQNQQMPLSSGMYGNSSTNGN AMGNGSISSSSRSSNGTGRPDQPACFDRPPAKSDTYFRYDQFDAFSLHCSSLNYPHQL HLPPELISHDVNEEDWSKFINDLSKEAIKKNYNNSLNSSTSTTTTTYLKGLKFKNNKK EPILNEIIHSLLASWSVGFFQPRGIKIILVSSLNNKRILQNSIEPITQINGYRNAEEF SDEESDFEQYNEFNNLSNDSEEEEKEKEMEELYLPRIERKFRKEERNLIKRRLKKKQN LNNILNNNKNNQTKIGNWEIHFICTTPTIWQKGARPRGYGEPVIRLTR
I206_06426	MSKPHLTPLKQNSSLSSISSDEPILIESNSKAGPSNYMNSIKNS KSVLSKNVKNHLNKSKSLVTLSDEDEEEDDEPIFIGSTMNKLIEKYKLKSSNINSNST KSNSRSISKSKSKSKSPSINLNFENQKSDKSLSNESIPKNSKEKSKVKIKIKTKNEST SLPLPLLPDLGSLIPLPVPEWLGKTSILLKLNNCAICKVRFKKTDSGAARWRHMSICR PPLFRPPNSPPNLKILIDKALRQQSKSSEPTSLLDLHVRKSNLIEIENIITPTKGNVN KNLTLGLKNLTSVKAINERDENWEQEIKIRLKEFIGDSSPPPPSPHFEEEEEFINSSI PTTKSDLDISPLSKSNKLNQQEEEDIDFNLPSTQTLGESTLAQIYSKPKPKPSKSNSP SILGSPSKSPITPTSNSPESQLISIQSEESDLPLPPPSQKRSRSISQRSDYEFNSSGD DDEGLLSDELDMIGIKKKPFRRWGDSRVDGNFSGIKDESTISNPLGWGGEDSPSTSSC TPQLSRHNTPSKKVTPESIHSTTPQARSRRNEHSVTPTPVAILDRVVYDVSSSSPEVD MIDDQWGDEAVISWEGVNQNVMQDEEIISVSSVAPSEVGLEEDEEEWGRDAYLEWAWN ENDQNQDREALYSMENQVENSIQLIERGMPDYSSWELKKLQKLIISYGYRTSNDYDSL IKIAIDCWKALNPLSPILQKEKEKGKSIKTKKRIERDSSINTQDKSTHSKRKDKLKES GNSKEMIKNKITLEDLNKIFYKLIMDDKELWLRILRYEPINFDELISKSIANGIDKQR KTWKKDLKKYLDMQSISFFTEDPTGQRRRH
I206_06427	MHPGVLHRRFLNDLSTSLFSFVLPLLPTSEELNVKEEVRGLIEK LIKTLEPSARLLSFGSSCNSFGLRNSDMDLVVLIDDPNATIEPGNFVESMASLLERET NFNVKPLPRARIPILKLELAPSPALPFGIACDIGIENRLAIENTRLLLTYATIDPARV RTLVLFLKVWSKRRRINSPYRGTLSSYGFTLMVLYYLVHVKQPPVLPNLQRIMPMRPM EEEEVMLEGRNVYFFDDVETLRREWSSVNFESVGELLIDFFRFFSHDFQFNNSVLSLR AGQLTKESKGWVNDIDVGGLNEMARDRNRLCIEDPFEVSYNVARTVTKDGLYTIRGEF MRATRILTQRPDRAVLALAELCRERDDDLHRAPRSASPAPRALSATRGNFNNPHVNNG FRSHSQVPFDRFGQGGMLDAPGGRRGQSNEDDFPEYSAQDLWLQSQGSNLGGVGGLGL GFDDLGLSERGGRGRDTVSRGLETPGGAYRGAPTSRRSASAYEGGNGPTSGTISAPLS PHRLYAQLELGKGLQPSPSNTSTTAWPGYDPRLHSSPGMPMDRISGPGPSRISTSQSQ GGHSRSPISAPPATTANGRSQGLQLGGIPGDDSQSGSPLPAFKPFDSAATPTTLIPPP PGSVKSSIKPPPSVRHSQASKKPSTTLGKESQASFISPSTLLSPANDTTPLPSSGVDS LTTSFGQMGVVNPPPPTKSIGGDGPKIVGDGKSKEQLDNPDPGAQ
I206_06428	MTSYQTSTAGPSRPTYASYPSQPPSISPGPSPLIDQDQWPQNGQ YNNIQMPQPRNVSGSNSASTSVSRSASGASVHGSGGTARDDGGEGSKRNPLVDLIDSE KIYVEQLSLVIRKVAAAWSRKDFPPPKLDAMFRCVEAVYRANRAFGSKLKEIGPNPAS PKALGDLLMRWIDDLEPAYLKYCTNFLTGFDSYQPVTRNLLLDDILQEISSSCSPTPP LSRWSLDALFILPYTRLRYYRKLYARLLRSTKEGRSDHKLLVVANERLEGLVSQVESR LEMDVSDNNSPTDEHRISAQSYAGGTKPNSREQSWSEKERVSRTSSAMDSSMESHTNR IEDRNSGGSAVTNLTSMTQSPQRRPHIQVSTTSNTNLVSSSSTTISASAPLSDLELRI DPERTIDLFSMTPKKCKLQMNPPSLPFTRSLRSSHDVTVYFTPNSTGQQVTHRRAHIF ILSDLFLVAEWMEASEKASKAQQVARDQPDRVGHGGPMPEMWLSYPPLAGKHLMVAEG QQVNVLTVMIMKKETFVIHAESDIARDQIMKDLIECIDFASGATRPSTAAPSPINPDP RSPSLASLENRSNESVFPPLRYPSPFSNSSSPSTSPRPNDQPDMPPMPLAGNALVSQM NQISLQPGEAIAWPRGPTPPQALPQPSHNVSPASGAPTMAVLPPRGASLRPRVQSNNM QSPPQHHMPQMSHMPGLAFDRSSDPQMMPGGAPLPRSSSGRSVQSAPRLHMNGEIPPV PPISRSGPSGSFSSSDHGHPQMPVAPFAQNNHMIGRSRSLEPLRAPEPPSARFSSFES GPNLGGIGRSSPLTSDQLDNTNRDDDGEEEDDDDDIPPEEEITEITSLTGPTIISAQM KCKVFLKQEHQKWKSLGSGKLKLYVQKIGQPIKQLVVENDSSSSKSQMIISTIVLTDG VERVAKTGVAIEISDKGKRTGIIYMIQLRNEKSAMGLFESLLQGSDRAVNR
I206_06429	MALQQEGAVSTPTSGASSYPSRTRMGSLSGKSKTPRGTPTKNEG TSRPSIKPSPSDSSRRRPTFTTSLNYPEVLPAPTYFANEGELQRGQRRSKVEALTKLD RAGTPIQINNGPTGTSFLPSSAQSSTPQPVAGPSASRNPLHRPVIVNPPFNPASVRQS APRYPPARTTPRLFGIDECPTYYPNEEQFKDCMGYIESIADDARPYGICKIVPPEGWK MPFTLDAETFRFRTRLQRLNQLEAASRAKINFLDQLSMFHNQSSENEITIPKIDRQPL DLWKLRKEVNKSGGYLELDRTKSWPKLTETLGHKASWSGQVRSAYIDIILPFDNYSVR AKSASVSPLTPLNNSSINGNGTVKPPAFASDAPSSPSQTKSAGRMGAIKHSPRTRFSS RMSGAGFGGSGGETQSLPTLTASLPTINGLEAAAEIERPSSATPSLTTLKIKVPGFST RDGSESELSDADSSSDGSPKGKVGTPEYKKGDICEICRFGHAAEKILLCDGCDRGFHT YCLDPPLASVPANEEWFCTSCLLSQGDDFGFGEGEDHSVASFQARDASFSWHWWNRHR PNPAASSSQSPPRKHDQNGFAQMPSQPLNQLERKFGKVTVTEDDIEREFWRLTESLTD TVDVEYGADVHSTTHGSAGPTMETHPLEPYATDGWNLNNMPILPDSLLRYIRSDISGM TVPWIYLGMMFSTFCWHNEDHYTYSVNYMYWGETKTWYGVPGSDAEKFEQAMKSEAPE LFEQQPGLLFQLVTMMNPGRVKEAGVKVVACDQRPNEFVITFPKAYHCGFNHGINMNE AVNFALPDWLPDGREAVMRYQQHAKPPVFSHNELLITITLYSETIRTALWLKDNLTVM VEAESRRREKLRIANPALTEILFEEDGAEDQYHCTKLVACLDHADQLCACAKSKKALR KRYTEAQLEEILAIVVARAAQPDAWRARLYALLEVPRPALKSLRALVADGEKIAYPIP EVFTLRALVDRANSWVERVSSLVTRKAAGRRRKGKKEEDEDEDMVIDRSPETLSTLLS EAEKLAFDAPEILQLRQLLMNIQGFQSEASLILSTPESELELEKCKTALILGESLNLD LPEVTAIMNIVNRLKWFRKVEDEVDDRTLEYDDTVNLLEQAEEYEIPEDHPTISELKM RRQRGAEWLNAVDTLLSSPRIKIEEISDLIEGKDFVPVSTDKMRQLESIRKTVLNWQA SAKNLLSTSGSALAASRLCKNVSSATPPISRVEIPEMVELQAELNHHAQWQSQICRIL EIPVAKIGSTMNYLKREFESHLNPEDDEPNEEFVCFCRGSPGNVMIKCQNCQGEYHPK CVGVSPKYIDQLYQCEMCQRLLPGLSPSLNDFGVIVVSQRWRFKITPPEFKVAQEIVE MALRYSSTVLKIIDPKDTAEPCMDISKIQHSIRKIYNLPLLLDATNVETKERVVFISW LFRRLQNAIKVHNGISTATANGGEKPKSKGTRGRKAKLIIAQSYPKEFRCICQFPDIS ALNRTPYRPDPEDNDESRRLTVECARCSQKYHNECVRAGPELSRPLLPSADSAEGLPE RRSFWRCPCCAVKEAKYYMKGVEVRVQMRDQIGTDQYIDYRSTINDYAEKPIIITLQP STDAIILECTNFIPPILPEDYTREGSGNEEDGQSIKKRRKLRPSEINSTSDMKLNGNG GGQSSPVSTNAIPPQHHYQNLQNGHTPHTAITAPVNSKVPSVHPIYPSTATAISRGPI NGYTGPQTAYPSPITHHIHQPQTARLSDPVPVNYRTAADDLMLNGQAHSSSYPHHPIS RSPEMSIPVMNSVVELPARTLESLPSLDRPKSPNKLVSPTQPPPQILSSNDEVTSAYD RKSGSPHALPTDVPPKESQNSPSHRAEENGSGPPIPVFEVQHPVEEQEPELENHLKTS ERLQPIISQEIPPVLPIESMEEIPRMDINKSPSPSKRKLSDLGEKIDLSENVPIVNME RQRSSSTQGSSVHDAIVIDDD
I206_06430	MSTSNSNLPFGLSKIFKPITPKIIAKTKLKSNLFLLFLFKIIFL FFPPYLNLKLLLIKIIDKFIQNRKNLREISYSENVFYYFEFLITSVLIFNIIESFISI QYPINYSPEIKQNLILTPSKLSSPLTRSYSPSKIPTTSSQTLQRSIYKSSPISTPIKS SSNQNQNLNISQSSPNSKSPTTVKLFKLSSSSSSSIKNQSPSKSGLFFEEKDKNQQND NFILIDREEKLWVDNVLKGVRGKSGKIGL
I206_06431	MAISPTSPEVIQANAEAGPSSGPKYILSQTLSAHKRAVTALRFS NDGQTLVSAGADGWLHYWDPETGDHIRGFKAHKTGINDISISPDSLYISTASDDSTAL IHYLNPPPSPSTSIADQNEPLRTLIGHTAPVLTIAFSPKSNLIVTGSYDESAIIWDVR KGKILRNLPAHADAIWCVGWDNEGAMVLTASSDGLIRLWDVNTGQCLKTLDNDTNSPV SYASFTPSSYFLLSSTLSSTLRIYNIHTSKVLKTIQSPGLYLSEKFPYTWIISGSENG KIIIWDLQLKSVIQILEGHNSTVVALAVHPNGRLIASGTLEPEKSIKLWRID
I206_06432	MADNPSFAPHPFDTETKFYPRSNPNFILPSPPSSDNGLLDFDIE SFTHCSPHSVLLDPLPINDKAETPNHSIDFDLNQAVDLSPVASASTPTSTTLVSPKSS DLEISSIPQLPILSSITNSDADTAEALSSHHLQRYLHYKALAARAEADRAVAQRQSLD DQFDTLFATCDNLDILMPSGPGDYDQLKANGNDNMLAYQPQSIASSAYYGMGQQMADW TTPNSTFTYQPQSAQAALQAQAQAHLQAADVARVQAQQQRAIANQTYYVPSNSRSSFE AAPQQFTSFARPAMSTLSSNSPSYPSTPTYAGVPSFTPIPMSKTSTSASMPSFISVSQ DPSPAVRRAISNNGSAHEGEDELADYSPTSRSGLEELKPMIPGMPIPNAHGGGRGYVP GQTPDDPKKRHKCQICGRAFARAFNLKSHIQTHNPLRPKPYMCPHTSCKRGFSRLHDL ERHRQGIHSDGPLVEAKRQGVSPAIARAQNKMQKRAESGSLI
I206_06433	MSDPSSSRSGEAVDSTSTGLTTDELALDAGRRYKSTFNPAAEAF VPRSTDEGQNESQNSASSLDEQDPREGHKYGSWHANEEESDCGRLQALLSRPEFLAIF QDQQIHNSENQTRSSRKPGAPSMEGKSWAQVAASGHSASESSNRNRPPSPANRAESSS KPSGRSWAEVAKE
I206_06434	MDPTTFAHVDHSGYTNADLTAKQPTVYETSGLTTSTIPPGAAPP HLGAASEGFNNPFETSNTSNNPFTNSKSSSSPPTAPVNPIKQSYPETQIYRETQAGQS DFADIHARSTTSDRNTTEGTARQNLSDATTQASDKANEVTTQASNKVNEVTTQASNKA NEAASQASTKASQFSEQVQQKANEAATGIRQRVRKLSVDLNNAADHPAVKNAKGTANN YIAQFREQLGRSETIRDLEKRTNVDRVVLVVGGVLGYILLIPLNILRLALPITDLLTI LPATYLSAIIIDKPETTANDQQVKSLLSFFVVLGAIQTLESLMAGVLENRIPQYFTIK LLFLAYLLHPRTQGATQVHEKVFKPLLASAQRSSKQAPPPSSSTYPAPNSASKVSTPP TSKESLSTASSPQTTGFTTMATFIPLPNENDNITRADARGQGYAVVTEVPNF
I206_06435	MTNSNTLDILTNSSNPSNLLTNLKDLKNTVIGNTWKKVEVAEDQ GLLQLLLTLLKYPSSNDVDSTVALELMSETAVIIGALANVGKLTLQPLLLSSTPSALL CLITSLNETSDITVKQLEKILPHLLRALRNILISTADMVWGHMWGVGAERKVIGTGLV GVEIMSEKEVSGKGKQVAGKGAGNWRSQATAALSSIFEAENLVTLLSLLETHSDPQIL LPLYQLFSRLVALPSHRLALVRWSPNINEPGPSSASVPSLLAPIPFIIDHLMNSISAL TPSSGNYYTTRKPNTKLIEASLDLLAALIKGQPSLSIAIRAWTFYEDEESMNDDESPK SEFVGILTGLVGSGPTNVRIAAASCLTNIIKADKGIRTSDRIRSTVINYQLLEEIVKL LQSEGPEERIKLCFILAALVSDDAALQKAAADKECPARLISILISVNQDEEKGEIGND LASRIREAALLALASLAMQHDATRTLIADHSPPVLPHLFNALSSPSYGVRAAACQLAR ALSRTVSILRTNLIDSGIGEEIVKLLKREIASTSSSGFDEEDIGDRIWTVEVAATATL CNLVADFSPLKTVLLRENGIELLCDLTKSTYEPLALNAMWAIKNLTYHSMETTRIMIT STMGWDRLKFLMSNANTLSLRVQAFEIVQNLLAESSIAEISKTVESFGEDELLDLIIQ ASKEDQEVDLRIPAIFVLSNLSLGNEKIRNSIVNKIEILEILSNSLNSTFDSIKIPSL RTLRHLIESNPKNHKLRPRQQMIDIFQPYQLKYRLKELVENSTNLDVTAQSIGLLDIL EREKSSGTSTSSGGR
I206_06436	MVIFLPSISANQFSSAIAMHQRRSTPETSTFQPHATTRSCTCKQ NLSSSCSPKTNSKIESTTDVASSTYQQTTLVSVNPTSSSDSSRIITSILQPTTRPTSA DSESVDTNSQTSTVEDEHDECQETSIIPFASSLSNLAQSINSQSTSTSSSRCTTSGGA CGTFIGSLGSCQNDNCVCELSLPAQFCAQCLATQDAIYQYNLYLAACANRGLVQPSQT ITAQCDDATVTSDVLTDILASQAASATLSSNGLGSTGEKEGGGYMSQEAVQIGPDGQT MTSTYGQTTSMPSDNGRNPITLTTTDSSGQPTSIITYNGPTSFASISGTVPSADSTGT SQQDGTSESFNTTALDSAHTFFQGSVDSTCQSDCDVWMQLAQSCTDDTCICTSDGLSS AKACSSCVISADSDDQMSAYAGYTQGCTTISTAVITTDEAASTTDMYGNVAAAITSSS SQIGGKNTNPFKTESDDNERVATQVAEAENAGGVATKIATENDPTSGGISLRDGTLIS SIGLLITGVSGGVLLMQIG
I206_06437	MIPMRAISKARFAQSSSRNVICQRCIASRSFTNPSIPRLSPPAA QLAETDHTPSYYHHPPQHSSPQPLEPRIRIETSPYPGRTHPLLHLPSPLPTDVTPDAN SPQSTLYPPTGVIDSISMISICLRRPEHIPRAYQIFKQLLEDSANRLRPTPEAEVWAR VIEGVASLGKESEGTAWENWRKRAERLVMQWQGAHGDFGAKQPIGLEHGGMKVYQGWF NGLISSQSSLDPLVPYLTDSSSSLPVSTLLEGLEPSAVPLACEALIETAKQHALTDLE DSVREFQGFEKARREEIAREYIEEVKPVLETAGKSKQADQSTSSPEARFAINNLRSTL LPISTSSLPLNRQHKLEEASLQAARAELEESAKRLQNAHDNPTLQRSRLQGWMHNWLG LLTTELEQRISIMQAEQALIPENKINVSPTRYSAHNKMKKEVLLMYLQLLPVDKLALI TILELMRMSGSGGIADGMKALRGMLAVGKAVETEFRAETIKNVAGIDSHHWLKTIDPQ TQKPSRQLVGSVWRQIGEKIKTGGNIESSSTNHEDLQQVWTPAWSQMAQLGVGSELVD ALLKVAKVQRTAKNPTTGEEITEEQAAFTHAYEYIRGKKLGVIKLNPVVAARLARDDV GVVIHPKHLPMLVEPKPWTSHKDGGYLLHNVPIMRFKESAEQRSYLKQASKEGHLEPV FHGLDVLSSTPWAINRKVFDVVLSAWNNGDAIADIPASEEKSVYDIPEKPDSRDQDPL KRSLYVEKMKSAMGQQRKDHAERCKFNYNIEIARSYLNDTFYLPHNMDFRGRAYPIPP HLSPVGDDLCRGLLTFGSKKPLGEAGLKWLYIHLANVYGFDKASFEERRRFAQEKEAE IFDSADHPLDGNRWWLKAEDPWQCLATCFEIASALRSPDPTQYESSLPIHQDGTCNGM QHYAALGGDVRGAKAVNLENGDKPADIYTGVVDIVNKVIEEDQRKGLEVALLIKKPLG RKVVKQTVMTTVYGVTFVGAREQIAKQLHARGDFSQEHIFLVSGYIAKTVLNCIGDLF SGAKAIMDWLTQSAKLISRSVPPDRVQEAASNLTTTLRNGKVKSRATKEFMTSVVWTT PLGLPVVQPYRKAQKKQIMTALQTVYISDPNAPSEVSPQKQATAFPPNFIHSLDATHM LLTALKCRQNDIAFASVHDSYWTHASTVEPMSDMIRDTFIHLHSQDLVGELRQEFIER YGDHRIPVNSAKNISTTAAKRKEQALTRQKQMSAVLGELSGEDTNTFSDDVESEGIIE DEFDEKTKEIEADLISNENNSLTEEIEIKAVNSMGINVDKLNLLNNDVTEEQQQGKEG KIKKLNTEKIGKTNWVKFEDVLPPCPPRGIFNVDRVRESAYFFS
I206_06438	MTSLSSTLRPQPITHSPTSSISSQNTTPTSPHFAQHASAATSRH PYASAHHLEPRPRHQSSSNSISTTATSSSSVNIIHHPRPPTPPLLHAPPSTSYMTYLR GWGQAELTAFLNLYRCGQYAAAFQRHDIDGKVLLDLDMAALKEIGIAKVGERVKLLGG IKDLRKRAAGSRDSLRSSVRSGSVGSVATPPSETTEHMISPSLPDTIRSQSRLVHPSS SSSLSTSSSRRLNTSRPPPLDLQQYKSSRPLPQAYQNNLPSATSYRSTTTTPRPIPPL QQSLPSRPVLLAQSSSNTTVTPSNTNSSSVPAPSNPKQLSLRPPPSRDPSRRSPSPIN VDSSNFASRPLPPDPSASYQSSAAEYASAFTQQHQHKQGENGKQTPTWASSSDHQYGL PKGPAPGSGSKVTATRINESTQHRKTPSVSQTGGTTPKQSSPIKGKFGNIMGNLGGRS TPQHPFAANRSREELVLERQNSDILSASTGNLAAKRSVTPTPGYVVGRTVSSERVRQK TGNESLASTNTLSSNNSRIAPSLDDLRRQLVKFVNSEDGTMRTVNVMHVTSGVEVLER ALKKFGKWGTGTHVNTDTESDEDGERLEVDGWGVYAESDPDNDSKPLSEAALLGICLS HRDGSAMREKGLTLRRTRKLQNRKNMNNYLGEAPPQPMSPTSPTPFSGPRYGEHSNLL TPIKSAASKKMNRASTVSVMSGLGVPMPEVPPSPSTTRSPSSASFLSNKKKSVYNFFG HRPPSELISNHLAEYFPSAKKKDVEKARHSMLRMSSGPGALKRGSLAPSESTGRLSFD STFAPSIKRSSVRIADPMAEVKASPPRRSTRPGSRGTMISSPPPAGTIPEEEFEILGD EHPPRLSVSNDDGRLSRPTIDGESDVESLGSSASQGPPLLPPFQHSGESLTESLGDYS PTQKGNNNNRPKSIALKRRGSEESTRSRFSMLSQLRKNRDKSDTASMLTIDEITAGVE NRRASTITFNDSDEEDEEVIIPAPPLIMPTLPQDQNEEGNSDGDNESETTETEEESTE EEEDEEEEETETETESEDDDDDDDEDNEHGKAFTSTGSKRIIKWIKGALIGAGSFGSV FLGMDAHSGLLMAVKQVELPTGSARNEERKQSMVSALEREIELLKELQHENIVQYLDS SADGNHLNIFLEYVPGGSVAALLSNYGAFEEALVKNFVRQILTGLNYLHEREIIHRDI KGANILVDNKGGIKISDFGISKKVESNLMTGPKTNRPSLQGSVFWMAPEIVKQTSYTS KADIWSVGCLIVEMLIGSHPYPNLTQMQAIFRIGSQTPVPEIPPDISNEAADFLKQTF EIDHNSRPTAFQLLQHPFIALPTSSSNKNSSRNNNIISMADAHKRMSMAMANAGQGLG GLMSKS
I206_06439	MSSTPFRRSEEISETNPLLTNSIEDANAEEEGLDRPLVERRLSP AWQFWNHPPFQKRVRFDDVTDTRRYSQHTEDDESILPISNENENHKIHKHHHRPLYQK YGQWVMYMILILLGMIIGTIFSREFWKRNNELGDGPMVPPVWTLPPPTGLPRNEAYLI QAQNGAVASEDKTCSDLGLSILKDKNGSAVDSAITTTLCIGLLNGFSSGIGGGGFMVI RIPQIYNSSFELVDELISEGEEKVIAIDFRETSPDKSEKEMYGEKKAGRVAAQVGGLA VGVPGELRGLELAHQMYGKLPWEEVVMPVAELARGWRVSRELARRLRLFGQFMLSSPA WSAVYAPRGTLLVEGEYVQRINYGKTLEVIAREGAQAFYEGEIAASSIETIGSAGGVM DLDDLKGYKARAYTAIHSRFMGKEVYTTDVPSSGGILLAFLRLIEPYNIPFTGGLKSP LNVHRLLEGMKFAFGARSEITDPAPQFGGNLTRFEEFYKGDWADEKRKMLDDNRTHPI EYYGLQHDTPIDHGTTHLSVLDQWGGGASVTSTVNLIWGSHVMDPKTGIIFNDEQDDF SVPGAADAFGLWPSPWNYPQPGKRPLSSTSAAILLTPATKQSPSSIYAVIGGSGGSRI FPSILQVLLNLFSGMDISQSIEACRVHNQIVPPLTTIEVGPEGSPEEIIKDLKERGQE VGEFDVNIGISEVQAIVIENGTIWAASDSRKNGVAAGY
I206_06440	MPSKILSLNRLSTSSNRFIKPTISLTATRTLITSSRLSITSSLN LTKQVSSIRNMSNWPKVTSENPLGLDDPSLLIQKGLIGGKWVDTESGNTFEVNDPASG KVIGTCPDQTVADTKQAIDAAYKAFSTYKTTSPAQRQTYLAELHRLFMANINDITRLI VWENGKSWNDALGEANYAASFFSWFAAEALRTNGETIPCSVPGTRNITIKQPIGVVAL LVPWNFPAAMIARKLGPALAVGCTSVVKVPSETPFTTLAIVELARRAGVPDGVINVIT TDKNLQEVGKELCTNPLVHKVSFTGSTRVGKLLAEQCTSTLKKMSLELGGNAPLIVFD DADLPTAVAGTIASKFRGSGQTCVCANRIYVQDGIYHKFSKALAERVGQFKVGSGFDE GVTHGPLIHARQADKVEEHVQDAVSKGAKILVGGKRGKGTEYIPTVLADVPDNCLIAS EETFGPVAALFRFKTEEEVIEKANNSEVGLAGYFFSEDVDRVFRVSEALETGMVGANT GLISQAVIPFGGIKESGYGKEGGHQGTEEYMITKLVAIGSRVKQ
I206_06441	MVLQGYKVNSAKLANGEIVSQNDHVYVSLPWFERDGTPYNIARI IEFLPPHTSPKKGSRVQNSGSEIMVRLSLYYRPPDVSLRPINDFRLLFAAIHTDIQPL SNVRGKCYVRHKERIDDLLKWKKLPDHFYFVKFYDPYIKRDFEVIRTEGVNNIPLDVK DALMSRYEYLVTEREMVSDLTEAFRSCCVCNKWASYQESVKCEACKKHYHMSCLTPPL VGKPAKGYSWFCIPCSFQRHQEVESEKFRFTTNGAATTAGKNKQKAKEKITATDARPD VTFRGWPWRYFGPRRRYISKSRNEMASYMEEVRLMKLPVPSHDVERLNLAIDSYTLMG REKAIQFMRRTKLADFKPIQFTDKETAIFEAELERNGGLETHETAKILNRTPAEVLKF SYIWKNRQLSKENEALRHHHKVSTPHARQNKTLGAPSLGKIRAAQDHHSDDEVSLYGN DFVKKKDKEKETLKCAACSTRISTVWWRCPRTVQGEAMCEDCGSNYRKYGVISFVKSE DSKKEPKKDIGTKKAKGDVSGTSTPVPPPPPKLPPCANCKKMEPKAMMARCKNCTYSV HAGCYGIPSQDMGPGWECDLCVNAQTEENHLEPQCVLCPNDLSAITTKVKKKPTQHSD FDLLSSLKPTEGRRWAHILCSAYIPEIAYAEPARFKTIEGVMEVSKDKWENTCSLCNQ NDGAVIGCTDCDALFHPACAWLSGFKMGFEFSLAKPGRHGTATVTKFKDSEGVMGLGV WCKSHDLAERVIYDLWEIDQEQNETAFQIYVSNYKAISPYDSFAMLRKAKRLEIFLPH LQEIQQNLQQQQQQPRQSSLINCQNCNVDVSPIWHNVSTSTSSIEIKDEQMDIDGEEQ LNRKGNGLTPYKGKKRKLCHLCYFSYQ
I206_06442	MSEFEKIEGLTEEEQLDFEAELQEGYADIEDKYAVDTQQGFENV LVVDNIPVIDEGKKQKLVDRLRQLFAKAGAPIEEDDISMPWDDKAATNKGFIFLTYPD AQQAENALRALDGASFGKSTLYVNRFGDIERYANLPVGEGELPTGWREKAFVEKDHLR SWLGDSAGRDQYLTFRDTDVAIWWNGRNGNAEPVKVDGKPLKNSKWGELYLQWSPLGT YLTSLHRVGVALWSGPKLDGPIGVNVLRFTHPGVRLIQYSPCENYLVTWSEDPLENFE NHPNAALRETFGPEDEGNVFVVWDIKAQRVLRTFPPEKPVQGEDGPQQMPWPLFKWSP DDAYIAKVNVGTGISVYELPGMGLLDKKSIKIEGVQNFEWCPMSDKDFAARKAGKGKE CSFVFWTPEAQNQPARVSIMSIPSRNILRAKNLFNVTDCKFYWQNQGDYLCVKVDRHA RKAKSKKATFCNLELFRVREKDYPVEVIEFKDYVPQFAWEPQGNRFAIVSSNDPNYGQ GIPGVVVKYNIDFYQLDQKKGDFIAIKHLDGKIANTLVWSPRGRHIALATIGSSQKFD VEFWDLDFVVDERRETSEPGANVTMLASGEHYGITDIAWDPSGRYLATSASAWRQTPE PGYCIWDFKGQQLVHQPQDRFKQFLWRNRPATLLSKDQIKKVRKELKEYSRTFDEEDA AEENRGSAEKLAQRQRDISEWNAWRSRNNKKLSERRSELGKEKKVPIVNHQDDEKVEE IVEELIDETEEVVVG
I206_06443	MGIFGKAKPAFSPPELPVHDPVPPRATQRRVSLFPSLASIGLVE PDADAPIDPITRGRTFSGEQQRGINGRKLSQAIVPAWHRKASEALARRDTNPVNLRLG ETTRFNQDGMNNAFYKSKLTIDNGSSDEEDSDGEFAPDRLSVTRKTRRNKGKGKARAT SDALPGWEAAPPLKARIKKGKGKDKKSKNKHRSKRVMRRLDENLDAYNAYAQNGLVPL TRAANATRDETLALNPPPMSIGNTILEEDENMVKSASHTMSLNTAAQSDHPRAVLFGD GFDALDVMADHIFRLGVQKKKWFKAPRMGVRRNEAATGVTIRARTGLYRTFPVDYEAL KPFEEAITRLNPEVAIKIKSDIVGTIFDTYINPSPSMNELVIDENTRIQILDNIELLA RARKHQYAAFVRSEQVLVVWADAVENVIPAAEALEESLIQFIWQGPEVNVKFNQVMIH DAKNREEERDQERRDSENSNEKYGIDGVVLPELKADDNGSMKTKEEDLDPEDIAKREM KRKWRERPVMMIAPVSDGLAIMLLITIISLGIRTLLKEFLLDGKPIRFVLLIFAPGLM CVATFAAMVIINSVGQIFGPVRQVTQNSRYFSGIAPKRTMGELAHVTVKLPVYKESLE EVIMPTVESLKAAITTYERQGGSVGILICDDGLQLLSKAEADKRRRFYFDNNLAYVAR PGHGVDGFIRKGRFKKAGNMNYAAALSLRVEEVMDDLRPAAMEKLDPDHFWNELDEND IYDAALAQALEEKEGKAWAAGNIRIGEIILIVDSDTRVPEDCFADAVSEMQESPEVAI IQHASGVMQVAHHFFENGVAHFTRMIQHAISYCCASGEVAPFVGHNAFLRWSALQECM FVDPDDGANKIWSEDHVSEDFQIAVTLQIKGYTVRWATYSGSAFEEGVSLTVDDEINR WQKYAFGCSELVFKPMKSWFRGPITPLFHGFVWSDIPIHSKFSICGYIFSYYAISIAW LTTVANYFIEGFNLPVDGYYLNSWKITLVCLTVFTGLSNVAYIVLRYRLKVPDSSRLA VDQIKWIPYLTIFFTGMSMPLSAATVAHLVGYNMTWSTTVKTVEKSNFFLQLPIIWRR FWPQLSFFGLCVPMMIITSGSLMPAGYRIGSHSIEVFVPMGIITAAHLLYPFALNPWF LSFSF
I206_06444	MSFLRRMSSAASSFIPSIPNAQGVPASHVPRRPTETFSRTSKAF IEQDDIDEKASRASWELSDNESVSSKGSRSSKSGASFATSDSGDIDKKSFDESDNSSS DDSDDDSILDRGGRPRDRYDMMVRHLWNVAERQGWFRDAEFDGLVSIRVKKRVLRTYP QPKNTKKGARELGRRIKEWDAAVSTLNPEVAMKITSKVVQAIMARCAEDAIEITLDVN TRIQILDDLTQLAGARKHQFAAFVRADACLIVWADEVETLIPSAEALEQRMIAYVWSG RHHELQLLEPESESEDGIDEKGEGEEGWVDRDAEKEKELADADGAVDEEKVGGKEGDW EMRDRRPVMLYAPLVSGLAMILTFVFIGNLIKEVLLDGSMVRMALIATAPFGYLLAIF FSICVMGNLWQIFGPVAQCHQNSSYFSGKAPSRMTGRLPHITIQMPVYKEGLEGVIIP TVESLKKAITTYERQGGSVNIFIFDDGMQIWDEEEQEIRKAYYDRNNIGWTARPKHGK DGFIRKGRFKKASNMNFGNNLSLRVEELMDELRPSAEEQEDTEWLWTDEDEREIYDEA LAKALEESEGIAWAAGNIRIGELILIIDSDTRVPEDCFLDAASEFAQSPNTAIIQHES AVMQVVGHFFENGITSFTTRINTAISFCAANGEVAPFVGHNAFLRWAAIQDASFIDAD DGIRKCWSESHVSEDFDQALRCQMRGWSLRWAAYSNGGFQEGVSLTADDELNRWQKYA YGCSELLFHPLRHWFTKGPITPLYRSFVWAEGIPLHSKISVLAYISSYYAIACALLLS CLNWVLIGLFDDVLDLFYLSSWQVFLTCIVIFCGLSNVSSALFQYRLNTNSLGNALIQ NFKWIIFFFFFFCGMSWHLSTALCAHLTGYNMQWASTVKEVELSHFFKEWPAMWKRFW DIWIVSWVMILGVAFMASPLVPAGYRITNFTCILPLMAQACCHFLYPIVLNPWLLLFQ F
I206_06445	MDELFFFFIFFCGLFLLASIIYCFLSTCLGVQIRRADLKEAFSI PTPAKVRARDAERRRRMEQTGSYELDEIDRNVAYERVGGISSGAEEFEVMRRGGPSRG FF
I206_06446	MVSSEHRHRSTLKQSNKGFKSKHASKGSLKTAAKGKMGGSSHSG KNTKNLASSSKKARLNANAQKRDLKRKTVVEDVKFFSTSSGGGQVPRIVSVVPLLPSI SPRRFLANLLPSLGLPESELEEISSTLTDRGTYLVRAPRFKTSLQINLLPPLSLYPTL DAALISDYVVLLLSSVDEVQLEGEAILRSLQGQAGGVEMIAAVQAPVSNPIKPDTKQL IHKSLLSFTKYFFPAVPKIHSSDTPAESALLARAFCEAAPGGTKNEEGRAYIVAEGSD AVRWTGCGVPGEDGVERGRLEIIGTVRGGALSANRLVHLPGRGDFQIESILPAPPSSL ALSSRPHQQSSMSVDAAADPLSLPTENADDLTAINNPDLMSNEQTWPTEEEMAGSEVP TAGSSTGEKKRTKRVPKGTSAYQAAWIFDDEDEDDDDDEDEDDMDVSGDEDIDEAEEA YGRYEHDEEEETEEIELDERKEEAHRDLDPEREEQEYEAYLKQREKAQTEDAMFPDEI DTPRHIAARTRFQRYRGLKSFRTSPWDPYENLPIDYAKIFQFENYNGTKKRIERDGVE EGVKAGTRVLLVLKEVPRSVIDERDSTLPFVVHGLLQHEHKQSVLHFVVQRNTEYNEP VKAKEPLILCVGPRRYVIRPLYSQHVRGGGKGVNNVHKSQKFLRPGEATVATTFGPIC FGKTACILLKDEGADQVPSLVAMGSFLSPDPTRIISKRIILTGHPVKVHKKTATIRYM FFNREDIDYFKSVELHTKFGKVGHIKEPLGTHGYFKAHFDAPIQQMDTICMSLYKRQY PKWSEPFVPPPVIANSSAQEEEDMQVE
I206_06448	MLVRAAIRSSRSSSLATAQRRLASSLVFLEHKNGKLNDSSLNAV TAAQTLGSDTAGIVVGSKSDVDSVLEEVKKIDGLTKIYTAASDDYAHTLAENVAPLLA SVLPAKNISHLFAAHTAVGKNVFPRLAGILDSSMIADIVALESSGDVFTRPIYAGNAI LKIKSSPKDAIKIVTVRTTAFDKAKTGGGNASVEEVEAKSGDSSTKYVSEELTISSRP DLSSASRVVSGGRALKSQENFNKILDPLADALGAAVGASRAAVDAGYADNSLQVGQTG KVVAPELYVAAGISGAIQHLAGMKESKLIVAINKDADAPIFQVADVGLVADLFEAVPE LTKKIEGAKA
I206_06449	MSENELNISELQVKDETEIISWNNQTHRDIGAKILNEAAKSREE PFISKAFKQEDWEEWFDFLAVGGVNRTIERPKAIKEKAMIYLIEKMKINFQIYNMDSR EIRELIIDLPDYLYAYEIRKKLGNEYNEEIWIRKEFILTAVVLFLGGENPTNLTTRQA TFSASTFYLIKKYIPQRTIDQIKEALVTYFGHIQQAFDGLTEKVREHGLGFFGDDLTE ADIQEMKEHGAGAGAEGLFEEDQ
I206_06450	MASFTGALGSKRKSDLTEIAESLGISDPEAKVADLVKSIQSYLD KNETTLSKDNRYKGLYYKKRASGTHPPDSDSESPSASDIKNTVLKSSRKSINRTLDKV QATIEAANIPLPESPVALAKLQDKAVEYSQALVPSTETQRGLVVQARNVSNFLVKYGK DGQLRVDYAVRHLRDILSTPQHIVLASLSIEFLFLLSHVLQFYDHTYYFPPGPGDRGT IASLLSIGFFWLPSATFKFRLPEFMSFGLADVWSAVAWWFFSTVLPPYALSTVVSFGP QKGTARHTGAHTRYQSSHPPAPTPDPLAFTLIRLALLILPLTSAAPSAFVDALEISGN LQGRALAAGLTAALILAEKLY
I206_06451	MSHPHPHPHPPPGGMSGHPPPQIPINPELLSLLDSIPHQDVPFA LQSIPIPTPPNVPPQTGIVVTCQEHKSSLCEECGVDFNALNYMHQFLRTAPPEAIPPP PNVQPPPQRAEMIKNLKEQGNTAFKSQQFPAAIQAYSKSADMALSRPPWELSALSRDE TAIALCNRSAAFAFVNAWANALADAEAVVILKRPWTKGHFRKARALVGLERFEDAKQA LIDGLQYEPNDKVGLDMLFIA
I206_06452	MTHQPHYDPSSGSTTTYIPLPDEPQFASISPVEAAPTDYIHTKR PLTPSRHTATWSNPDHLTQGVVILNRVCQCADFVVASQVNDLHQFQWDKWFHLLFGYN RDIQKGHNILLYLEPHFREVFPPDRYEYREQGVQDPINIYDFIIYLPDHLWALQSAYR HRLLIDPIWSIEEIQILVMLLYGNAENPFNRDIVASRRFSPSIISLVKRQFPYRAMVN IHFDTYFNMELIRHIYYLQCVHPYELNEIINADSHVEEHNWFNQYDSTVPPPYQESYY SEYPHTDSQRSDYTFDEFHDQYSSESTSHSSSDGYNFSTKAWSGSSSGVSSASPVFCR SKPLNPRAKAVYPRDQRSSEYSRNLSSASPDLGKSRPLSPWAPPVYPRNRIGGNQDNA KWGRELCDLLDKAKGRIRFEFWWE
I206_06453	MEAHPPLTAHAPEQPKPPTVYSFWNEFRHRDQGAKILNQAARLD DEPFKALQLKQDDWVKWFWIFLTEVDNRSSNTNSAADKIENYLRRRFIHDIPDLTDQQ WPLYSLPDMLWAFSHASKIGHSMIDTEHWPKEEVQWITNVLYQCNDPPIAIDKPYDHF KTDTLYKLKRVLPHRTLPVIESTLHHHAAYLQILYDETAAYFENFSNGREVLSEHMRE IRLEVENRGGVQGLAGTITLTQHTASGQTDAGLSIPSVHEFHENEREQQPGSNPYSPL DGRQHRSASPFDETEEPMDLDNAQPSFQHDQGDGLSQPGTPFLGFGLPASSGKTSRQS SSRPTSERSLATAFRPRPHLAIPFPDPPEPEDVQFLSDDGPDDYYQQPLVHREALSQP CGVDARQYSKVGPLRHDKHQRRHLKEMLPPHYSTENRLTISLVNQDKLDRHLYPPSKS VPQPLSPLFVEAFEPLKGGYNDTRSLIQELRARDRLLLCPGDDRHWQK
I206_06454	MSRDPYVDVKREIESTLSTLPDLLTAYASSPSSSQHHEAQEELR NTLQILEGDFEDLEESVRVVAEMGDRWGISTQEVSSRRKFLQRVKKEIDLYVQRQDDT LGVISGTLHTLASQAGLIGNEVAEQSEMLDDLGNRVDTTDSKLTKVSRTMQDFIRRNE ETKSGWCIGILIVILMILLLLVIIT
I206_06455	MSVYEWPLSEANEIPNSRYISFLTIPSQSRNSILPQSHTSKFTD IPKLIGDVICYIKRDQTEPQITTKPKHRFSKFKKSTNLTRIIPILSIFLIILTFTYLA LRKSPNSDQDNMVFLKRSSPSLFEIMYEEEGVPTGFAE
I206_06456	MLSPTQVPLPSSPSTIASRRSSSSTPYQPSPLSSPFTSFRPRLD RNVTSLTVRQEPVDPFREGSIISVNQPVGSISISPNSRDVCLASRKGLYILDLVNLNN APRFIPQGGTWQIADVQWSPHPSTANLILSTSSQKLLVWDLAAQKALKKSIDAHARAI TDINWHALNPNLMATVSMDAGIRGWDLRCWDRPFMRLCAWDGSGTQVKWNRRHEYVLA TAHNNSVQIWDNRKGSIPLTIIHAHESKIYGIDWDRRDRDKIVTCSLDKTVKFWTVPE LRSTVSGIADSDPFATVTAPEKPTSTLTTSYPVWRARNLPFGRGVLSLPQRGEEALEL FSPDDDTPVERFEGHDNVVKDFVWRVRGGENASFEDRDFQLITWGKDRTLRIWPVEKS VMETVGYRYGGSIDVLVSRRGAPDITYTVDPLDADDSVKLPPPLVNPSNLTRQKPNPN KVVEVGMTRGGTKQKGMDQLEWLTKVVKTVPSPEASTIPSRVGSMSRNPSRSRGPSVE GTRNDWISLKDELVLLNKLFPRPKINFEKIDLVQRRLTMSMQGPWANGDRMAFIRIHW SFPPNYPYGPEHPTFELERNPTVSSVSRQLMVTTIQERRAHNRQCLIPATGFLLGSQE RMGRRMLEEESDSESEKGVDNQRLGNVPMLIRTCGATFGPNGQLVCFFPKQVVLPRTR ITSRSPSITRDINPSPMIKAITALAKLQNPHKRNAIRYRPRLKKFDNVPPPQVQAGST MTIHDVSYLSQPHAGLARVYSTSVENNLTHALEAKKLDHAEVWSTLRGVLSDPPPAYT ALPPLGHRINGIKTERYGWERSMIRRKRVIDQMFKVLISERNFQLLALVSCILLDHDK STQIPSFTENIVTRSPEQDYFTLPKFSHHNHPLTHITPTRQRTAGSLPHSPTTMGGPG SGPGASGFRNSGWSQMLNPSAISLRGALTPKERTSFSDLPFNIARSGTNGSQIPAQTP GSYDEYNSSPSGMIIPANTVASSRKGDNNSPRHGQVQRPKFAHSISGSTSASPPIITP LKSSGTERSYTSIGGESKHKVSFGSVSPLNKGIMTRAGTAPPSVLPSGSTGYNTPIGY GVQTPSGFVGKKLARTCGIKLDFPRDESPPLTLLSEEIKSQCELWKLTYADFLLRMGL LAVRAELLKYVFISNNALSISGAASGVKVVEKKKDPLPDIGDMQNHVQVCIPCTSHIE GNCPSCSKSYKKAMCSYCRLPIKGLSMGCSICAHKLHSKCFQRYFYDDIKTPITCPAC TCSCLSHKGISTPFYTITNITNTTKPSPAITRGFARGSITPVSSTTVNENSTRGRITY ASLAKLGSIKEGLGISNTNTNTTHNNNIGGTTSGIINALGLSPENEDSNKDVTKKDEG LLGRTRWGEGGLLPWKGHG
I206_06457	MLPLPTSSSTSRRNRTYFPSLPPTHNLSSSQQILQTLRSRTRLT NLAVFLLILCLSGSFLLNVNYLFLSTSSSAPSFSLSSTKSYGIIDEGWDSEVTPHQLR SGIPLSIETTLERDSRYKELDHLIMVPGHAIWLGHDASKVNENDDWVLEPMQRDGSVR TYVKHIRRGVEELQKDNKALLVFSGGATRLPPSPPLSEALSYHNLAHALGLLPSTPIP IGSEESKPPLPLNLRATTEEFALDSYQNLLFSIARFKEVTNVYPKKITVVGYGMKELR FKNLHRQAISFPKEKFNYIGINDDNSDLTKHYSGELKFGFKPFLNSPTGCNKPLSLKK LLRNPFKKIHPYHISNPNLINLFEWCPKLIKNKSIIVEDENQDKLENYLNVLGRNFKG SLPWNSIDFKGEEEEDDDDDEEVFWGREKD
I206_06458	MLLNELVKSGPLAKIWLSAHQEKKLTKQQALNVDVGESVDAILT QDAAQPLRSSGPLMLGVVRIYSRKVGYLFDDCKEARERISLAFRPGIVDLPEDQIRAS KNAITFPDVRNDFDFLDWTWTGPSFTAPEISLAEAPINLPRTREFGAYNFGRPAAPSI YGGSTAASRSSQDLDGSGLDTNDFSGIDLGLDLEGDISMDVEQGRDLMTPISRYSREG SAALRAKSRESIGLGSAQGGLDLEPLDLGLDFDNLDQPLPELEARSRRESSALSTPPP LSPTAAADLTLDAEIAAQIAAAPQTAAKPKRPRLVQADAELELPDEPRDLSSILGEER YIPSDPEAIRLQEIMADPSAHFLPIIRVGGENMIFAGPPGLAPELAELFTFPSNVLRR SRGVEEEDRASKRPRLEEEEDVEAGRRVERGSEPFEFPSGFGQDIGLDDSYALQPEDE PLITPRATRVLPREPSIAPSRAESIAREIQFGDERGEFTLSLFDSRMAAGREEFSQSQ LSQQSTPTKSSERTTTTGGFSKNTSMAMGLLRKELDAIEEEDKVLSFEKLADKSTKRA ASSFFFELLVLGTRDCVKLEQSTPYEDIKVRGKEKLWPEGEGLGGEAITA
I206_06460	MPGNSNSTENSSNLTDGTLIAENSMTRARRARNAGKSSSLHILT QGGNDDLGFDGRLASLISMQYNINDVGSISYRECIGRVGYMTVN
I206_06461	MVRNESDDSRAFSEEFLQSGEWSPYRDPQQGCAPGTAGVERAVI LADLTHRILSSNRSTRDQDLKKVLNMKNANHTIIGEESIDTVLTELLESYPERIEDTG NISHGDTISADGISSVSLRQQRPSVRKRVSDIEGDNNGSVDDMRTRRSTSGSNSGGDQ DIKPLNDPTSPASNAKYFWEHQTDSSMPTLEEDRQCSSPSPV
I206_06462	MSPANQDDFQSSLDTVISHISKRQSSIDKIPILPTSDSIANSIS SLPSSLPEIGLGVSATTNYLIENVLPGILQAQNGPRYYGFVVGGVTPAAQLADILATS YDENVQVNLHQQTASTAIDQRTLELVLDLLDIPRSTFMGRTITTGATASNVLGLACAR DHLLSQSPHLPSNYSWARDGPPSAPGLPSPPIVILSLYPHFSISKAASLVGLGSSPKI IQQLSAHSEDELAFDLSKFENRLKAEQEIRRGVIVVYGVGEVNTGGFGRDLDKVADLC RQYGAWLHVDAAFGGFAGLMPELSPYTKDMDKADSLTLDGHKWLNVPYDCGLFYTRHT SSLTNIFQPPSASAPAYLASNATTVEQGIEGDLPEGTILAADVPSPLFVNIENSRRFR ALPLLASLLSLGKEGYREIITKNIHFARSIAIYIDKSENYELLNSSPSHLKSSQKDSI ISSNIVLFRPSKNSPYPPSIPTSSIRLTKIINDSKQLYVSATSWRGQSAIRIAVSNYL TDEKRDLQIVLNVLEKVGKGEEVDFIN
I206_06463	MSLAYSKGTRVWLPDPHSGWVAGTVSSIILPQDESSSSSEVSLT VTNDSDTSNSKTLKFPYSVLAAASADNAAANVQPSTPPPGQDQLPPLRNPPLLESSED LASLSNLNEPSVLHAISTRYSRHLPYTYSGIVLVALNPFSPLSIYGPEIIQAYAGRKK GELEPHLFAIAEEALDCMRRGSGGGGTDPTGAGDQTIVVSGESGAGKTVSAKFILRYF ASVDDPNKQSAGGRRREIGAEDDGMSEVEKQILASNPIMEAFGNAKTTRNDNSSRFGK YIEILFDQKHDIVGARIRTYLLERSRLVYQPDSERNYHIFYQLLSGAPSKERKDLSLT SNPSDFAYMAGGGPNSTPIPGVDDSKEFRETQNALSTVGISVEKQWSIFRLLAALLHI GNIRITQARTDAVLADEDPALALATNLLGLPLAEFKKWTVKKQLITRSEKIVTNLGSA QAMVVRDSVAKFIYTCLFDWLVGVVNESLLGEGGEGAKKATKFIGVLDIYGFEHFKKN SFEQFCINWANEKLQQEFNAHVFKLEQEEYVREEINWTFIEFADNQACIDVIEGKMGI LTLLDEESRLPAGADASFANKLHQQLTKPEQKEVFKKPRFNQNAFTITHYAHDVTYDV DGFIDKNRDTVPDEHLALLQNSTNEFLKEVLDASLSAANTAKQPNGDAKSTAGAGPGP IKKAGAAVKKPTLGSIFKHSLISLMDTINNTNVHYIRCIKPNEAKKAWELEPQQVLSQ LRACGVLETIRISCAGYPSRWTFEEFAERYYMLVSSKEWSSNTDYKGLCSLILEKTLK DEDKYQIGLTKIFFRAGMLAFLEALRTQRLNELVTLVQKNVRRRIAYKHYQTLRISTI KIQSWYRGILARRFVEEKKRESAAIKIQKVARGYLARKAFRETRDAVVKIQAVVRGHQ ARKRALEERTLSAVLRLQSLFRGLAVRRNYLSQLRKVVILQSQWRRKLAVRELRGLKA EAKSASKFKEISYQLENKVVELTQNLQKRTADNKELSSKIRALESQIEGWQTKHDELA TRAKGHEAELSKPTVPLSEFEAALAAKVETDNKLKEIAQRVSEQEKEIQRLTEELSAQ AAEMEEKQYTIDSTIAKNVEDQNTIASLRAELSSTKEQISRHNTLNALTKNERVNREP PTSPTQSHGLRALQDLTNVENRQPSASRRRNRRHSTTGTGPSGAHARNLSDEITALKK NNVNNPRAVSVMFPPTNGQIRPRDSSGLPLPSVLDNASDEIVRLLEDDSPLDEDVIQG LIYQLKIPQPSLHNTPLAKEVIFPAHLISLVSNEMWKQGMISESERFLANVMQAIQQH VISFKGEDIIIPGVFWLSNVQEILSFICIAENDAAQGYGPGFDLADGTSNIDFETYER LIGIVKHDLDSLEYNIYFSTMLEIKKKLTKMVIPALIESQSLPGFITADGSGRMFSRI IGGAMGANAQPTATMDDILNLLNKVWKCLKSYYMEESVMQQVVTELLKLIGQIAFNDL IMRRNFCSWKRAMQIQYNITRIEEWCKSHDMPEGLLQLEHLMQATKLLQLKKATMGDI EILFDVCWILSPSQIQKLISQYHNADYEAPISNEILKAVAARVKPDDKSDHLLLTPET DEVGPYQLPPPREIAGLETYVPAWLNVPVIRRLAMHVS
I206_06464	MTSFFNFSGSPVEIEIKLQGEDDRRQVEVKGEKDKREMCPVYYD GESVVGQVNVRVKDGRKFQHDGIRIELIGSIELFYDRGNHYEFVSLSQELAAAGEMRQ AQTFDFIFKNVEKQYESYSGINVKLRYYLRVSLNRTAKEREIWVHSYRMPPEANTSIK MEVGIEDCLHIEFEYNKAKYHLKDVIVGKIYFLLVRIKIKHMELSIIRRETTGSSPNQ YNESETITKFEIMDGAPVRGETIPIRLFLGGFELTPTFRDVNKKFSTRYYLNLVLIDE ENRRYFKQQEITVFRIP
I206_06465	MQDTIADTRYNSWTAIGQTSNQLILYHPPSHALQVQPHPSLQTS SSTPGPSRLPLRLLGESTNDDPIESITTVPHCPYCSQPLPTTSTNIPERPIIYHQSNQ YEIPIEEEQINGGRGRNKYFRILEKAHEGSRPPSPSPSGSSTPRKRHQTPLMEDEDED QLDEADFPARGYYDRFFKEECKLGMGAEGSVFLATHVIGGNVLGTYAVKKIAVGRSKS YLFKMLREVRLLEALRHPNIIPYHHSWIDVTRFSNFGPPIVALHVLMQYATAGNLDTY LLTRSHTNQPRPDLSAGDIADSESLGQLPKAERIKAFKRRRQSAVEGLAGKGKAKRRE EMRGVLLLSADEIMKLFGDVVEGLAFLHANSILHLDLKCSNVLLHWEEGKLIPKALIS DFGTSEEMLRGKRERTGHTGTMEYMAPETLMQDTQGNWRPSDSYADMWSLGMILHKML FLRLPYPDTEDFDALHKEILAYPGFKPSSDIIQSLERRHIPRDLLLLLSRLESLIPEE RPGAEKVRAGLKGLEQKIRSTPTTLTSKAGELVRRFASPWSSREDDGHNIKEYNGMDG IPQHIPESYSPVRTILTLPSPQLDLNTISNSNFNLDIKKNNLNFNEFTTLNRKLKKID KGKSIRIVILTLKIISIQPNLFGNDLPFSNFLFLLILSIIQYFFEEENKENNKSYSIK FSFLFNSIHIGILLFNKINY
I206_06466	MAIQPEQSTSASQLEAATSSASSDPAKAEQIYRDILSKKAVDED ELRDQETALVKLGALYRDHNKAKELAQLVTDSRTFMSQIAKAKTAKLIRTLIDYFPPS SRELQMQVTNDNIEWARQEKRVFLRQSLEIKLVGLQLDSEQYRIALTMTENLLKELKQ LDDKIILTEVYLLESRAAHAIQNLPRAKTALASARTTANSIYCPPLLQAQLDLQSGAI NADDKDYKTAYSYFFEAFEGFSQIDEKDPRALRGLKYMLLCKIMMSLPDDVPPLLLLK SAAPHAGKDLDAMRETAKALKERSLELFKTTLKEYQEQLQQDPLIRSHLSHLYDTLLE QNLIRVIEPYSAVELSWIASEVGQSLQVVEEKLSQMILDQVFYGVLNEQVGTLEVYDE PAEDPMYNTALDTLKQVGDVVKSLYDKVSTVYTLLEGFGYFPSVAK
I206_06467	MSTNLPLPQFYAQTLSSLQPIFDDTISTSDPKAQPILSSALDNL YLIQRMINSLGVFSENESIDEVGERELVFMSLGWVIGSTEEKGGLSGRDDRISSLQRA ETAYNTYLELLNSYGVLSPEEKAESSAAANGQSSMPKDPAKRREAKIAQYRREKDLRQ TISNSLPTHPDTSSSPTTFLLSLLPFNSNRPSVVSTSTGSTSVNPDDEEIPTSTIVSI LRLLHTLTISSLSSINMELELLSNAPASITQIAEQDPRQPRREEEDSTWRLDRQPGTY KPKELISGNGRVLRPFTILPSTQAMSDRERLKGDVFKQSWRLPTMTIDEYLEEEQRRG NIITGGGQASYDAPTATELMELEAENDGTIVAEENYEKKRLKDENWAMFADENKKGAG NTMNKG
I206_06468	MSFEPLKIPLSPRTPCALLSNLILSPKPNTSSMFDITNNSIMSI ISPILPNFNFSSNQLKNSPILKKENLIEKDNSNNNNNELNKNINLEKENKINFRSLTF KESLQISKFILEEKEKINNFHNKKIIKKKNNKKKIKKEIMNFFIKIANLLDELNIKLD KFRKIMGIHLKLIFDNFNDFNDDFSSSFSSSIERKGKKKNKNKNKQININQLSLSIQN TFVTSNEEPHPLDPEPLPLPIKRINPDDTQVNRTIQAGLLKALPININPSQIYSELSI VKRDRSSINSFKSILKFDSNSSSSSITKKENNHIVIPSISAYRIKRIDGTNLMNVHNF DSRFTKFENQQIPLTSNKFQKYSPMKSSFNIGYNSDQRSKRWKERKKNIDLKIIINNN NHKDLINSALQTNLIISSNIKTTITPYKIYKNIPIYKSNKKYKIGTFTPITNSFKKNK NKHNKMIQNYNNEFNYFKNKNKDKNENENENENQISIPHTGRFQTNLIQPF
I206_06469	MSYHPYSLPQDHQMYGENQYSAGSSSSPGGTDPYLPNFAGPSLG GIGKWQGGNTNGNNQKSAPVKAACLSCRNKKAKCDGNQPVCGQCARKNLECVFVKSRR GGARKRRPVMAPTALAEYLKKLDSLLTAPALDHGPSTMSDDSDFDVNEDTTEVVRRFS SREEVFQDYYKDVHQYITLMPPKHLLSTILPTLLPNSPFLLAVQAILVLAPHPKDPNP NSSRSKKKRQAASVAFAEQALQLVESIAASGHLNLECVQATTILSLWEWASRGSISKN RERSAYATQLAMQLGLHELDKFTPSSVPVGQNEIGRVIEGADWQKDMARRTWWTTFVC QLLAGLVSGNQPVVGPDDPGIFVHYPICSEIDHSWANFLDTVKSTIRVFNLVTSVYFP QLASDQASAGFFSPSDNQSTQVTQDESVRQKMYDVDKQVMDLIKQAEATAIIDLVPGG EEEVARNQQLQARLGLAVVHIHIHRWQAFPEVSLFSKKICGLPQAPEFVPEEDYSPVT GTPDRGYQPDLSIANQSNHDKNFNNGDPVMSAGSHQNFSLSPEGQMFDQIQQGLYAQI GNPFLNGYSQDLNSDMSQQYPQDLQPYDSNEIYEFGIDDMWAPETYPESLPAPWFSHP GGASQLYAPTYQAPTHYPEITASIVPITTPTPPRSSVPPSENGSSHSRRPSGGSSINT KPHKAWGVDDKSDKILPPPELKQLDVFPPGISLARCATAAHTIVRLEVLHRSAVMAMW DGPPKWPPFCSCGLVTGAYAFLLLALAVQAENTFSGYTNSRSEEVEALLTNVKVILAG LEAYGTMWAGIDAMAGEVRAALEAATRLPFEVSAQIENGTASPSTQGGLPE
I206_06470	MPILQFQPLSSRPTPSFWTSLTSYKLDKARLNDSEKWITGWLEE GRLVSDHHGNTSRDSTTPVGIDGALNVGGNAFGESTENYPAGSIPISGILKNFNTVEE FRKPETKKALFEDLTDKIVESFDTENPIFNLFLLVTFADLKKYKFHYWFAFPAIIATP AWTIDDEGLADATEIRVLEAEMQRLEGHNRECFLVKGSTGNYSTAPLGRLRDFMANEL SEKITVAFHDPSSSSSSPGWNLRNILYYLQSVYGKTELHVLCLRSGAGSRRGLLRLAP VPTPNIKPVAVGWERSKDGKLSSRIADLGSMMDPIQLAAQAVDLNLKLMKWRISPSLD LGRIAETRCLLLGAGTLGCYVARNLMAWGVRNITFVDSSTVSFSNPVRQPLFRFEDCL QGGQPKAICASERLKEIFPGVNATGRSFTIPMPGHPIPPSMVSTTREDIEKLENLISQ NDVVFLLTDSRESRWLPTLLGKVQSKIVINAALGFDTYLVMRHGSNTTSDQELGCYFC NDVVAPTNSLTDRTLDQMCTVTRPGVAPLAAACATELLVTLLQHPLRLDAPAYSSSTS NGREQDLPFGEVPHQIRGSLNQWSNLLISGPAYKQCTACSSSVLEAYRNGGVDWLLQV FSDAKLLERVTGLDELHAASDNLLNDIDWQSDSSEGPESRWLPILNGKNQVKIVISTA LGLDNYLVMRHGSAILPPICEELGKAFSATICRSHSFLDEQDVGSDEHKYSTGNHPVD CSGCNRAAGIPTISILSTPVYADVGRNSIDDRSLAFGQLIGELSKSPFCVGGIHADMH LGAGRLPARRRSMAATGLLASRCARVKSTESWIRDLRSLYENAQNRFGDISWEALGSS ERIWGHKAIIYARAPKAFKDRYFQSTVNYQKPSRVPSPYLLNSLPDLPSKALEPLAIS VYSTISQTSLITLNGEFTQQDAEVNVLQLLDDETPELFKSQLEWLYTSEGLGDVVQWI DVESHDESGLEASKISSIDGDLQNRRDKLGQDLTYMWRSKLYSDVRIHLTSEDPLPCD PNDSDNSTDSLSATAVFSSHGCILASRSPYFASVLLNTSSFLPPSSDIHLPIPPFTPA SLHFCLGYIYAGHLNFSNRTFDLTTAFHIHRAATYLQLDSLVNEIESRIVHDFCHGLE WDSCRCKKCLARAPRVWKYVLSGDVNALTLEHRAKLFLVRSWEQSWGKEIGTADEDAR QDLTIAVKETIKPASVISTLKAIKIARIRIENMMRISREGESTWIDNVIQMIDEIERK AYYVLQYQFPAVACAEEFVDLLHDHSMSLDLLEETMDRVVNATSTVEGFSSAPIIYQT LMTTIQSKLDENSIHHDVSPRSRIRIIMGQVRNKLVENINHRWELMTDHGDPIFRSLE PWVLQELSDGVNVNQLVGSERNRASRIVPHTTSRSGPNTYGTLSKSRDNHLKGRTTTT DNSKLPRDHSGGLKRLRLPSAVSSISTRSNHYRESMRIANDPDNIAPRLTPSPGTSSK TSVSRHGPLNAVTPQRRTPGDGISNNAILPSRIHSNTSAPSSVVATSSSTSRPIRQSP FTGTTQTSLQPKRVTDKRTLFPSSHQHSNSISDSNSKSNSSSNSGSNGYSKNISITPS SSSSLRSRARSNIIERTPITPKVNTRSRLNTLTPTPSSGKSEKQIRLKSSISSFVHHS NENAIENINNDTMIKRSKPIIKTSFSPSTALSQLNLNLNTIKKNDKFENDKDQIKIWD GPGIILNESIPCIVVHDKSRNRFQGNIRYIGHMKGSKGPWIGIESINLNKFQNNSKNE NKNKIENEILLNGIKDGILYFQKSKTKQINNKNLKINNGLNRRDRRNFNKSNQDQDQI NYGNNDINNDDDKYNDNDNDDDDLIKVIFVRPKEIVFIMT
I206_06471	MPHNSYFQTNWELRPRVSESSLHNTSLSRPNTASSRKSHDGYFF SSFDFTSLIGKDSSKSPKYPEKLIRALDGYLQKIAMGQEPKYSDQRFRRTIARFWSST WPDKGFQRQMKESRKIEDLILAFVTVSTKTLQKDEELVDGAWKPELSSQVSLFMELLY DCLTALGPLSGEMSARLQSYRSRLKTEIDLPPPDKGPDSAVAERPRSDQLPVSKKENR DWWKGSLIDVVRSLYGVGEETVEAKLLELERLCTEQAALEDLKKCLKLLNTDPPYPYT PSDFADPDHWNLWRADEIAALSQTMLQMMQSNPSLVQTNDRHSPLDLSMHVESLNLEP LNPNFTFIPPTPKQVYTNLLERCLNMDLQILETLPEDEDVSLSILSPSHVSLLQTCAV RWRLPLSFRSVSFFAAIIARYRNGDVPSACVHEAMAMIGRAQLDMPLDSWTTSDRETL DRDVRQRDLSFLQAIETALDYPDGYHSPEFAEAVEDWRISGANEDYNKDLHAIQTRIV DIVKSQAFNTYIDQASRLLDSEGSKTCHFAMQLASWIEHEAKRLNRKFPDPISRHIDM VPLILQQHLTLWYRDLEDTVIAHSPVGLDLNLEEMFVLYRKARKLSDMGVAFLENEEI ALRFPLSPIFITVVSLWLDQTAHKTKEWSDQALAVDTFEPTSSNGPSSSVTDLFDSFR SAVQFLMDLEWPEEQQLAAFATRLAKIISVSISDYCTRIEQLFTEDMHTSDTVVTAAK QQAWIEKAKATIASLQGDRKLQAFFNFTPQASSNLHLMSYPCPRLLIFTQSCVKLNNI EAARQQLDKLYDQLRVDDLSAYEVDEPTSRSNQQIYLFTIKIVLAEGLAVEGSSKSPD SFVIVSDEHGNRFAKTRTIHDDSDPRWDESFDIPVKGNSWFMVTVRHRNLTGKHDVLG RAYLRLDPSQFVDLIPKDVLLPLDTRGHLLLRVGVEGERDDIQYHFGRAFRSLKRTEA DMVRAFVDKMTPVLRHTLSRASIKSVLKPNATGSLDYNDALGKLSAAYRSAIGSAEYS IPPTKQDRSRGPSDASIETAIHPLFDYLDTNNHTLASSLSPDSMQMVMAKLWKQILMT IEALIVPPLSDKPSRMRALNDGELDIALKWLKFLRDFFYVGGDASGVPLGTLQNAKFN EILSVRIYYDWNTDDLMEECIRGFQATLQNRSKRPTKSLMSQRNLGTIRARKSAKRAL PNPSSNTEMIMRILRMRPLFMRRQGTQEFLAQQLQTISVVKLENPKKGRSIASRSTK
I206_06472	MSYNLASLTPSRPAPAPPARGQTPSASDPHANSSQMRSNFSSTS YASSFPSINPTSNLSVTRPNAGANQTVRSGYASVKEEGLRAFMWSKRWLVLGGTELSM YKNEQSSTPVFVLPLSDIHDVQRVDMKPFCIELETKEKLLYFTFRSDDEVYAWMDDIY NRSPLMGVSGPTNFVHQVHVGFDPISGGFTGLPPQWSKLLTSSAITREEAARHPEAVL DVLQFYTQQQMGQGDYQRPSAPSLPDSSRTSSTAATRFEGAGLAGQPPPLQPQRLRDP TISNNHTRSISKVPPQAAIAGPREVTKVQVKKDLVQATSVLAQPASASTHAQVSTART ERRISTMNEAQIMDKLRSVVSSDDPAQLYSKIKKVGQGASGMVFVAKTLASGKKVAIK QMDLQQQPRKELIVNEIIVMKESQHPNVVNYLDAFLVRNSELWVVMEYMEGGALTDVI ENNKLAEEQIAAICLETCRGLQHLHSRSIIHRDIKSDNLLMNAQGQVKITDFGFCAKL TEQKSKRATMVGTPYWMAPEVVKQKEYGAKVDIWSLGIMAIEMIENEPPYLDEEPLKA LYLIATNGTPTLKSPEKLSQDLKHFLSVCLCVDVSFRATSSELLKHEFLQTACPVSDL APLLRFKQA
I206_06473	MQSTIVLYLRNDINTSTDIQCRPQSGVQPKFLISQSSALWGRMV PYPNETCGGDQQGYKYVGFESYLFPVHATAVFARGKYPLLKKRRVILCLSTKQYHGVE MYMAPK
I206_06474	MSLAVTRATINPMRSLLLSRQFTHHAGISTRYQSTFSKKTFPIK PDVNKASQTIKSTRLFLPRPVKVEVRSFIRSLDQFAALIDKARRASSFQKIQNPELRQ AAKQVMDWSSGKAFPSQFAESVKNWSNKHWAVLTNLSPKQIIMVNNAYEIARRDAHSA LRSKNLRPGFVSPPSFEQRKRGLNLLEQLRKLGEIKNEADQKSKAVRIEIEHVSGTHL SYLVTVRVSNAIRGTLVISYNQQTQLFQPSEIFTTGHGEGHLLTRHARTVLPKADPAI FGDNALQQDVLSKVREWILLEFPEFAPKSSRHSEFPVQLHASGNKSVNGHKAVTGRRG YRQTLSNRESFETLFRQTASIEARLRVIEAADDVYASLWNAKLNDQVVRPGSSDK
I206_06475	MPSRNPAKPNVSIRRSSVYASSNANRPSLQSTSHIAAPPKESRP VRDKNFQIECMRNLSDYLVNVRYPAPVTSKTLSSPTAKEFQSIFRYLASTLIDPGMIW SKKFEDDALTMLKDLRYPGLESISKTAFTAPGAPQSWPSMLAMLNWLVELCKAHDNWN DTNCISDPILSLPTELPLDHPYLEDRMLWNFASKTYNQWFDGGAEEFPEAEQELMEMY AVDRVSVANAEQTFSLAGILQKYQLELQQLQIQEPPLRKLEDEYLQLMGDKTKFIAFI DLHRQKADKTRQAILKIRAAVSEQRDELETHRSNLIVIENAIAAQNLSPDEVNRMNHE RDSLHRALDDLRGKIAEASQASYDNEMLVTRGMDRFETLHAEYAALTHNIGKRSLQSE DDSENVPMFDADIDVDLGVADLDALKSIGTFMRSSIWQGLQSRRERYRQEKLTLDNHA IVQEDLYDRSRQEVERQMEEVSTLEVKLKMIHDQADAAQTKLATDNVNTNKVIAQLEN EVTSMLAASQQGVLVSQSQLESDKIAYKELRHRTAILQDTLVSHIGIHIDAIIRAKEH TNNSLRSIRLIAEAQ
I206_06476	MSDTIPTWAEQSIGEEGTSHKPKGPGSQWQTLSVGPDLVRSLLL RKFKNPTPIQRASIPSALSAPPRDVLAMARTGSGKTLAYLIPLLQRLGSDHSPSTNPR ALILCPSRELAVQILSVGKDLARGMIRGKAREGESLKWALIMGGESMEGQFEKMSGNP DIVIATPGRFLHLLVEMQCDLRHLEMVIYDEADRLFEMGFDTQLREILSRLPTTRQNL LFSATLPSSVAEFAKAGLNNPLLIRLDADHKISPDLDLRFLAVKPIEKDAGLLVLLRD GIGIASNTPTHDQQPQAIVFVSTKHHVDYVSELLKAAGYRTSHIYSSLDQSARQQQLY LFRKRSTDVLVVTDVAARGLDIPIMDHVINYDFPPGPRVFVHRVGRTARAGRRGTAWS LVTREDWPYLFDLQTFLGPSRIGQDREILKGFPQDEMSENMEYISSSLDEVAPHLAAQ RDVKRKGQAMFERSRGKAAVNSYRKAKLLGQHMGDRLSSFPIDLSFRKQHTIPDSLAR DKLVASLAAYAPNETILELGRRGDTESSVLMKKRRKLVRERKRPKTEEQREVEEEDRP ETSRREVYSTRSFRDPDFFMTHAQPGAAAEKGYSLKSGASLPEAINASTIDMTADEGT AARAQKASQLSWDRKKRKFVTPTIGADNKKMIRSESGSLLPASYNSGRYTAWKSRKRT VNTATNRDRQIAEIASRTAHSMTSDTSDNVRSNRDVGRSHQRQSDSGLLSADRIRQHR AQKQKRLAKTGRAAKREHRG
I206_06477	MFTCISCRVAFETAAEQRSHFSTDWHRYNMKRRVANLPPVAAES FNEKVLERREQNAVRTDPRSLVCSSCNKNFSSENAFRSHIQSKKHRDREAQQPVDRGV LASTSSSPHTTAPAFLESTSLGETTAYNRQVALEEASSSSVISSDDEDDSGDFAARIA KSRRRIQPTDCLFCSAAQASVDHNVAHMAKQHSFFIPDRDILLDVSGLLSYLGEKVAV GNLCLYCPNGGREFGSLEAVRRHMIDKGHCKLAYETDEDRAELADFYDFNGSEELIDS DWEDLDDDAPDDHQSQDIMEPYARQRPMALAADGLSLMLPSGRTLGHRSLKVYYSQRL RPFAQRDTTSSINASKIAHIRQRLADPSLALVPVAGGHGAFGRGQELMKARNAGEAKW ARRQGRSFKDQRIKENMKTRVGFVHNNQKREC
I206_06478	MTSAPIFPEMRGSSAPISTADTHHSVNIGQHAAAHYSALPNILP HSSLHHEAWPCGTTVAAPIALQPSAHVTQHQLGQAVPGTSGSTIPPSKGFSCPLLSCG RLFKRLEQLKRHVRTHTQERPYECTRCAKRFSRSDNLTQHIKTHEKADRGERLKTEAS ESTEDDIAILLEAEVDAMAARETKGYHVSAPPEQSYALSFGQSQPVSSGHFSPSRVSM LSPSFSGAEDHPSHVMPNSQSGRSPLVRPDWTPMSNPAIFGALSENPLFSKRHRSMTP NLPPSGRTNITESHTAFQASNTYLGASRYHPYAP
I206_06479	MLLVLLPSPWHLRARNVATLSLIFWLLLANIVTFVNSLMWADNF RDLSPVWCDISGRVLLLISYALPACSLAQMRRLEFVASTRRSIISAKDRKRRIIEELT ICIVVPCVLGALFYVVQGHRYDIVENIGCIIPVYTSVPGIIMRYVVPGSIAVASLVFA SLAIRWFLIRRLQFQTILAASDSSLSTSRYLRLVALAVTDSAILIAYTIYDSTPNSDA PLLPYQSWKAVHLNFDQFAQYPEELLGTVYPIFVANVYAPFLYSIIFFAFFGFGEEAI SGYLTLASRFMSLLERIGFRRNTSAHRFDDQNFTLGSKIMPADGDAVSFGERPEDVAT ATEQQAKPDYRLGKTGYVNQLHDGVAVTVERSIV
I206_06480	MSMLPLTVQTANEPCSSSLNHAFIRNRSGSRGLERIGSAIYSNI LPEELYPLPPPNNCSPRPLNDIESVRVDTLSRLQFFLATAPTCWRETAIDSIPSLHKF QLPNGECVSCVLWQGLFHITGTDIVRALTFRFEAFGRPVHSNKKWEEGVFSDLRNLKP GQDAALEEPKSPLLEFLFRNGCIRTQKKVFYWFSVPHDRLFLDALERDLKREKAGLEP TSVVVGEPAISFRRYDPTRTLFEQFAGKNPGLMSPVSQPVDVTLLDPSGLPPVVISDS AVASFQLPCDQFDRMYSQSPMPSASNDPQVSHQLLLPGSSVYKRRRASRRRCLTPNDP ESSRAEQRQAPKHTGILRAWTSSPTLTYAAQGSLDDANRPPASVLRTYGCMYTNCGKV FKRLEHLKRHIRTHTNERPFLCSTCLRSFTRQDNLVQHLKTHQRTGTLTPEASDASGH ASIIRRRSQLHRSPAPLNEKGTLAPPLDQPRALNETQVYARSLTAPPGWSTNLSNSPI STPLDLTYATATSQDYVFGPGVPVVSANLAVASFGMTGVDGDYTVHGALHPAGC
I206_06481	MTATRTHSMKVNIKHSGKTHLIEVDPSKSVSIFKDAIYQVTGVP TDRMKVMIKGILKDDADLSKLGLKPDQNITVIGTAGPLPTAPTEQITFLEDMDQDQVA LAEGNPAGLINLGQTCYLNSTLQALKTIPQLSVALDSYSTTANSPEGKVTNSLKNLFS GLNQTADAVAPFNVLSNLRILAPQFAETDNAGRYSQQDADEAWTQLLSALKLSLGGSN GDENAVDKMMGLELTSKLQCVEAPEEPATTSKERQLKLQCNISINTNFLVSGILDSLN QQIEKTSPSLGRMAAYSSESRISRLPQNLVVHMVRFYWRRDIQKKAKIMRKVKFPLQL DTIDLATEEVRTQLQPVNSAVKQILKERDDRAKIIKRNAGKATSDDATKEVDIRRDER EKIAELVKEKGIADDTNVSGMYELYAMVTHKGASADSGHYIGWTRKESDVPQASGEEE WFKFDDDKVSVVTADKILNMDGGGEDSVAYILLYR
I206_06482	MSTQNRTVLYVSGFAGNLRARDLAYEFERYGRLIRCDIPALKTP SSSPFAFVEFRREDDAEDAYYDMHGRSIDGKKITVQWAKRPPSTQWRHDGISDSRDRR RSPPPRRRSPSPPPRRRSPSPPPRRGRDYDDEADDKSRKGDADRRRSPSPTNGNGRDS RRSESPPPTRRDIKDDRSRNGTASASPVKKTRALDDEKDKDDERERRDD
I206_06483	MSAAGPSQSRGGYRFEGVTDLCTLGATLAEDTVLATLRERFLIS QPYTTLSPSSLISVNPHAYLPLNGDASLQDYVAEYYRSQVDDETSRERDPESSTKSKN SGLGPHVFQLALDALYNMRRTRQDQIVVLSGSVGSGKTEIRRLAIKAISEVSVAAPGK KGSKVGSQVANAQFILESFGNAHTLTNDNASRFGNYTELQFNDRGRLEGLKTIEYYFE RSRVSQTPSSGERNFHVFYYLVSGVHGEEKSFLKLENINSYRYLQSRVRRTGTDDRSR FEQLKQAFKTVGLSNRLVAQVCQLLATILHIGNLQFETGGNQHEGAIVTNFETLSTVA EFLGVSQEALAELFSFKTVLMKKEVYTTFLDPEQAESVRDELARTLYSLLFSWLNEHI NQKLCKDSFGSFIALLDLPGMQNNHGPVAMSNSLDQFCFNFANEKVQNWVLHRVHENT LEEASKEKLTATRTPYFDNSECVKMLSDSKGGLVNIMDDQARKKKNESQFLEIMAKRF TGHASFSLSTQSRSGNSTFTINHYDGPVTYTTENFLARNANETSADILRLLRGTTTGA PVVSEHQGSNNPFIKSLFSSKSIATQAHPRNDDEIVAVQQPVRPMRAPSTRRRKGRPL KAVTEIEEEEGEDDEVGGGNDGGNAGKSLNCVAGQHWSALDTLLQTFDQAQPWLIFCL RPNDSQLPSQVEIRSMKSQIRSLGLTEMAHRLQNSYEVRMTHFEACDRYAEEFDIRGI LKGPSDVDRLQDLKRVLGLSDSQMVIGANRVFLSHSIFHRFEDRLRAEERDEQRHRRE DMEYLDDKDRKVDPFSPYNNNRDISPAASPALGYSDPYLQNESNVELPLVDHAQPLRQ DSPDDFDEIRGFAPSQITSQFGDSNSNIGTETYAPSRNMFRDFDHKDEKDVLDLEPQD GEITEEYKESIARRRWVWLCTLLTFWIPGFLLSKIGGMKRQDIRQAWREKLAINIIIW FICGCTVFVIAFLGPLICPTQHVYTLSELSSHSYKNDPNNAFTAIRGEVFDLSQFAPT HLTAVSVVPTKSLMQYGGLDSTSLFPVEVSALCDGYGTNTISPYVTLDSTNTSDVFMQ YHDFRAYTNDSRPDWYAEMMIMMRHRFRVGFMGYTKKDVKKMASSGRAVAIYDNLVYE MTTYIQQNGGGLKAPNGVSLTTEDQASRQFMAPQVVELFTYNAGKDITALLDGLSGTA GQDLVNKQKVCLRNLFIIGKVDSRDSPQCQFSTYILLALSIVMVAIIGFKFLAALHFG SSRAPENHDKFVICQVPCYTEGEESLRRTIDSLVRLKYDDKRKLLMIICDGNIKGYGN DKPTPAIVLDILGVDQNSDPEPLSFQSLGEGSKQHNMGKVYAGLYECAGHVVPYLVVV KVGKPTERPKPGNRGKRDSQMIVMHFLNKVHFNAPMNPLELEMYHQIKNVIGVNPSFY EYLFMVDADTTVDEMSLNRLVSAMMHDKKIIGVCGETSIANSKQSIVTMMQVYEYFIS HHLAKAFESLFGSITCLPGCFSMYRLRSPDTHKPLFISNGIIQDYSENRVDTLHLKNL LHLGEDRYLTTLVLKHFNDYKTKFVRDAYAQTVAPDQGSVLLSQRRRWINSTIHNLAE LVFLDQLCGFCCFSMRFVVFIDLLSTIIAPVTVAYIVYLVYLIVKEGKSIPTLSIIML AAIYGLQALIFIFRLRWDMVAWMIFYIAAIPYFSFFLPLYSFWKMDDFSWGSTRLVVG EKGKKIVIHDEGKFDPRSIPLKSWNDYENELWDQESVHSGSYMPPVKGEYDSRPGSAY GYDNYDSKSRVLSPSGSYGDLRAQSRGGSMYNEVGMGVPQLPYQNRDIMGSPMNSNNH LPLGGGDTRSMYGDNRSLYGQPLNVDQRSMYGGSFYAGQPNFDNQRNSSYSLHSNMGI PTQMIMGNNIIGLNQRNSSYSTYNPPTVQQPQNQQQRINSIYDENQNKPITNFLGDTG INSSINQNDNENDNGFDNSSIGLTLGPQGITENQLEISIRKIIELNNNNLDNLTKKMV RKNLENEFKCNLNSRKESINRIIEVILAEQ
I206_06484	MSVDINWSLLNTPCDSSTSTGNSNPIPSTSRNSSGQYSNTEEYE EITNELSESLIILLNEQLQTSKRPSFIGPITVTSFSFGDLGPDLEIKDIRDVWRVFDQ GDEEGDELQEKLEKEELIKKVKEDERHRIEKMGVESELDEENYEYINKDFLNKNKNEN ENENQLLSRGKDSNEHSNSIKYKKPGISHKSISNSNNLITERERSKSGSTINSGKSYI PFHFDPNSSSLNINSNSNSNIYEGLKNNSSSLLFSPGLGRRSNSIASFPRQTTQSILG RNDLNQNLIKELNNNQEETIDTKQNHNQDEIELNLSNSSSSSPPAQISNKISNESSIR KNSKMNLPSIQLHLNLNFKSNLNLTFITSLQVNYPSNLFMSLPLKINITGFEIKNEII LAYSNEKNRLHLTLLNQEFSNNDNNNNNNEEDENEFENDLNFKGEKNLRRNSIFNNNN NNNNDYEKIPIGMKILKNLQIESEIGHSDVHVLRNVGKVERFIVDVIRKTLVEELVFP NFHTIVL
I206_06485	MSYRFTSRLYGNAVRRSQHQSIPLATLALVGTVSFVSFATIAHF WNAVNLENMRKLDELRMSFKGEGQGQRQALGYRLDDIERRQNTINQAILNLEKIGQSV MFVVNHKLV
I206_06486	MPFFQSFQDFKGLTSSINPLNLFSSEQPSSSSPSSSNPTPIATP QSASAEAGPGPSTQAMRQPSTPFSGPTSLGRPIDNRQVYSGPIRPSLKPSPTSSSPNS SDSSDSNERRRVSRTNVMIADPEVSGIQGQRERKRSPRKGSNSRPPSSITGSTGISGV EAEQRLRKKKSPMETYIIVKPPPTSAKNPLNLQIQLVVRPNRPRRDRSVSAQTVDLPT EESDDTSAKEIMNSPDTVQSTKSQPNDKGLTGSPKSSSIHSEGANGAGVRRSSSIRSS ISTSTAATGSSAASGKRIEPMFNLAVHNVMQPTVVTDAATDVKVAKFHKRNLDITGVG VLEPSEVWLPTHQPTGLFAPPTRQTTDGEVAPRQRPLSLVSLTSPISPTLSRSDDGKS GIRGSLDLKSFKMENLRIGQKADGESRTRQFFGKVFKKKTSLGEIGLAPKKTSPSASF SSFDYPPRSATSTTHDFAGVDTLHPNMAAAIRNQPSNTTDLPSSIGVGAPTFGTAPLV VSRRSSGALITPDGAVTGLTSHVNLDLENTATRMERCQSLPIIPSNRPVGYTWTVRKW AKKNEEGWAAHLKAAANAGLEIVGGNAGSEEEEDEVVFEWVKLRVPSNSTGDEILRRY STTGAISQSKARARSQTRASSVPPHISQGAEGININSPNTSRTSLNLQPPKAKREASP FPPSSPNLNSSNQNSPFSSPRLDGRPEPIRRISGPIVIGSGSGSGNNSRRSSTIIDIE ETNSILRGGGVEGGGGGETEEDSDPEDSETPWTCSIWIKKTGQRQLLGTLTPAPHHPK VIGILKIPQGLNSICLTDFKQSSTNSNSGLSLKEGTIIKKLKENISLTEENLKDVVCV TSLWLVAREEFNGLGKKKSSTGLVGGVGVGGGGGRRGTYQG
I206_06487	MSDVGRESLTDKVTAAAKPDSEKSYVEQATDYVKGALDSAASVV QPQEEKSTTQKVGDAISGDNRNKDVA
I206_06488	MSADPLNPGSSTSKRKTPIPEVDGNGSGNGNGNDQGATDEEGFT KVPTREEKRKKKKLDKKKPSFQYNVNEFRYGKKIGIAHVRDLVLYIVSEANKPSWMQI DHKSFISHTVVLFVPGLLPNHLGLDQIPTISCMPFLTKPSDPSSSSSAKVPIIPKLFT YACPTKAPGDDRKLHSVLNTLLMSPIPDALKKQKQEEAKQLAAATSSDVPPFLYLLTP HQMIDNDYPLPSYISPSDTPIIPGLDLSTLPESLSAALRDDPWVETPQAKSPPHNGRW PVLAMDCEMVLSEDGQELARVSIIDFATGESIFDEFVVPPVPIIDYRTQWSGITAEKL KPATHTLQSIQEALSSGPNPIITPYTILLGHSLECDLIALRIKHPLCIDTALIYKHPR GPPFKAGLKWLAQRWLKKEIQASEKGHDSEEDARTCVDLLKMKIKYGPDFGNAMENME PIFERLNRFKPPKTSALIDYGNPRSSYGSKATTAIRCSDDDEVVEKIIENVEGHDLVY GRMMELANVQGWNDRGIQNDQFDSSTLDSALSRFSDRLSKLHQSLPSNTAFIVVTGHS NPLPMLKLTAKRQNWERLTKIIGMNDMKKEDKWMMEDERELERAVSEAREGMAFFCVK S
I206_06489	MSTEKVECPICSTLVSESDINLHLDLQCRGKANIAGPSSTPKLK SQNSQEKGNGTISSPHAEIINLVEDTPTAKPALNARRSSGKSTGPVASIFNTSTKSKR KNLSQDEDPLEDKKPTGVFGEEGRMEKKSKINPLVANQPLAERSRPSTLASYIGQTDL VGPGSLLRARIEAGEGVGSCILWGPPGCGKTTLARLIARTADADFKELSATSSGASDV RQVFEQAKNSLKLTGRRTILMVDEIHRFNKAQQDLFLPYVENGWVQLIGATTENPSFK VNGALLSRCQVFTLSPHTPESLQEILANALSSLPEPLPHLPPDLIPFLADVADGDARQ ALNGLELALKVCQAPRQTTLSASNSDISQNGGTGVEGEESGDKGEQKQKENEEEQKKR DEELMASVRRGLRKGYDRSGEERYDMISAMHKCLRGSDGSAAMYWLARMITGGEDPLY IARRLVVMSSEDVGLADPQALPLAMATYQACQVIGLPECRINLAHCVAYLAEAPKSTR SYTAYKRAEALCHQPPLPAVPLQIRNAPTKLMKQLGYGKKYSYDPDYIHPVYNEYLPH TLANHSSASPFPEQHILKNPEYELKDKNWDEDRLSEWEWRINNNKEWQGRTMREDKA
I206_06490	MLALSLLRTASAGPSTFSQSSKIIIRHASNGNGKPLPHIPVIRT LGQLRRWRQEAREKGLEVGVVPTMGALHEGHVNLVRTSISKHPLTVMTLFVNPMQFAP HEDLSSYPRNFERDVTLLQSILPLPTSPRQMRGLGSSPLVIFAPTPDVIYPLKGELQD LRSHKGVEVDVRGWAEVMEGASRPQFFKGVATVCTKLFNAVEPDHAYFGQKDIQQALL LKILVKDLLLSHPTSENLHILPTTRSSEGLALSSRNSYLSKSELQIAPILYKALSTVK KIYESNENEIENENENLTGEDLISKSIKIILKEKERILSNKNKNENELVELNLDYIEI FDKNTFEPIRGKIKEKKNKEFIIAGAIWVGKTRLIDNLLIGWEIS
I206_06491	MDLDDLTVDNTYQLGGSDDERERAKNAALIEELDRKKKFRKMAV PTDDKKVRERLRAYGEPMTLFGEGPGDRRDRLKYVQEQIEQARGGDGMDVDESSDESS DDEDEEGEFYTEGSDDLLEARRKLAGYSLSRARTRIARQRVEVETPLGRIVSLRKEVF SELKTFNNLGSQFGDDRPLSTIRFSPNSQYILTTSWTGDSKIWDLPNLNLVSTKKGHL DKIGGAAWHPHATLPGGIGEDGVNFATGGGEGSVKLWSLSGDKPIATLTGHENRVGRV AFHPSGSYLGSAGFDGTWRLWDVEKQKELLIQEGHSKEVYALAFQDDGALVSSGGFDA IGRVWDIRTGRTAMVLDGHIKEILAMDFAPNGYQIATGSGDDTVRIWDLRALKTQYII PAHKSSVSDVRFFKNSSSSSIPDNNLKIKKSGVIDSNLIEIDQDKNNDILLEKEKEEK EENSNLNKSGLFLITSGFDCNVRIWSSDEWNLIKNLQTDSGKVMSVDISNNSKFIASA SYSRSFHLFGGDKSL
I206_06492	MEASSSSNHGQPPATPSHSSLLPSLSPSQNIEQTPAPLHTLPAH LHSQQPPPPQPRLGPAHQQTSMQPPASTSTSNSESQRQHLTTAQQLQQQHQNVFGNVM GTAPGQGPTNSSQTGGTAQAKVYASVYSGIPVFEAMIRGISVMRRTSDSWVNATQILK VAGIHKSARTKILEKEIHPGIHEKVQGGYGKYQGTWIPFERGQELAAQYGVTSYLAPV FDFVPSATAIAALPVIRTGTPDRAGQKTPSSNMTGYNPSLMSGNRGNGRVISPFPQGH AHPHAQAGQLPPPPPPQFAPSNGDQNQMMGIQPHPAMGYPGQQQMMYYPAPQPHLYPG HDNKRGIAMAMTPSLSGDGLHNPSLGPAADINGLGLPPSGAEMYIDQYGLPHPTPSYQ PISYTVDTDMGPPPAKRQKSEDALYINGDMEEQQHEPPQQDAEAEGEDIDDGASDSSD DLRDGQPLPWSMRLSNKPIRPRPNASSSKTRSRLLSLFSASADGGEEEDINVRQVFGL SSEDMPNECDIDMVIDNRGHTALHWACSLAKLSITKQLIELGADIHRGNYAGETPLIR SILTTNQFESGQFYSLLEYLSPSIKTLDHAYKSIVHHISMVAGLKGRASSARSYMANV LEWVARDQQSHQQQHLNGAANGQDGIHHDTSINLKTLIDIQDIHGDTAINIAARVGNK GLVNLLLDAGADKGKANNLGLKPSDFGLDIESLKVTPGEAIVSSLKSEVPKPARKSRD VQKNIAAIFETISSTFSSEMVNKQTKLNATEQSVRVATKALADKRQQLHKAQVKVGEL ELLSQRVESLKRNPSQEIDCTGRTILIGESELPLSFQPVSSEDLASIKKETQSIIDGN NLDEIALPERGEEGALIKLRRINLWEDRLTLLLNQRINELENTNLGKVFEYKKLISLT TKVPVDKVDGMLDGLLAAIESDGQGIDLSRVSEFMNRIKEIPRA
I206_06493	MSAEASSSGISKKSKKDKSKVVEETTTEESKEIDKNKRHRKDKP WDTDDINHWEIPKFTAPSALEHKPFLEESSFALLFPKYREPYLRSIWSNITSELDKLG LSCELDLVQGQMTVKTTRKTWDPYIILKGRDLLKLLARGVNAPQAIKILQDDIACDII KIGGLVRNKDRFVKRRQRLVGPNGSTLKAIELLTECYVLVQGNTVSAMGSFKGLKEVR RIIIDCMNNIHPIYRIKELMIRRELSKDPKLANESWDRFLPKFQKKHLSTSEKTAKKN LILEKQFENSLINPNSIDIKTNQRIRTNENEEEIKKITPFPNKVNKKEKKIYTPFPPP QKPSKLDLELKSGEYFLKNKEKDYLNKKKKLEKQFENSNLKKSKREEDFMLPNEFKEE NVKERIKKRKRITAEELM
I206_06494	MSGLSSDPDQWIAHIRQCKHLPERQMKLLCSRVRDLLLEESNVH LVQSPVTICGDIHGQFWDVLEIFRQGGEPPETSYIFMGDFVDRGYYSLETLSLLLAYK ARYPDKITLLRGNHESRQITQVYGFYDECLGKYGNPSVWKACCTLFDHLNLAAIIDSS ILCVHGGLSPDIKTLDQIRIIPRAQEVPHEGAFCDLMWSDPDEVDSWSVSPRGAGWLF GGKVTSEFNHINSLSLIARAHQLVQEGYKHMFDNSLVTVWSAPNYCYRCGNSASIMQI NENGKTDFKVYGAARENDTDLKNPAMRRMGTPSYFV
I206_06495	MIDLIHLQADKGGNPDIIRESQKKRGASVELVDEVIELFSNHKQ AQFEKEQAQRNLNALQKQIGQIKKSKGDATELLSKKSELDKKIADLVIKAKELEELRD KKAGLIGNIVDERNAVSMTEDDNPILRVYHPEPNHKGNSGTGLSIEDKSTDVLSHHEV LYRLEAYDTDRGVKVFGHRGFYLTNDGVDLNQALISYGLDFLRKRQYKKIQPPFMIKK DIMAATAQLSEFDEALYKVTGDTDDRYLIATSEQPISAMHMDENIPPSSLPIRYAGYS TCFRKEAGSHGKDTWGIFRVHQFEKVEQFIICEPENSPAELDRMVETSRDFYESLEIP YRVVNIVSGALNNAASIKYDLEAWFPFQGEYKELVSCSNCTDYQSRSLNVRLGFKTKD SKVGFVHMLNGTLCATERALCCIVENYQTPEGLRIPKVLQPYMQGREFLPYTAELPKG TTSQKQKK
I206_06496	MDSPLLPQFHQRSNLEDVSLLEDHSLDDLSIDDSYIQNSNDNNN LLNFGSNSNSNSNSTNHLFNQEISNNQPFSSSSSSTSSNKHQIKINNKENNKNKIKPR FSLFAAPKSPSPENENDNNNDELELENENENDDQTIISLKSNLNDNENIEKQINKINK NEFDNNNNNINNKNKEDKLRSSLYELRKMNEIFENVLGSLEGVRGHNERLAERVSQTS ILLDEYTAIMGQAEHTQRLLLNENWKGSTDDAEAIAAIEIAKQQAIEKAEENSRREVE NARLLEEERERRILAKERTESLKSGRGGRGLPAKSGRGIPSNVTRGSVRGRGTGIPRP SVAPSRPTPPSTTSSRRGTTTTNSSTTRGGGGLGGQYNHVKSSGYGPRSS
I206_06497	MDNEKHERISSPKGPEDASNIADEYPSPERTPATLSEHVPTKEV EQPSATQEISTQDETEADHADLHAELNQVAAQAIEAAVAAEVRAANAKVNDPVNNDTS VEVLSNGNTNGDIEMNNQNNSPTEGESSIQANQTHQHHLRNHPHQHNPRQPNFASSSS ILGKRYHTSSGSPSSSSHHQHLLSQFANKPKSVVPKLSQNEQVVILREAYAKNPNPGK KELELLAEKTGRPWNKIREYFRQRRNKLRGLEDLEGMEEPGRASGWLQVAYRQAPSTS SVSQLSLYNSYKHRFDPYSITTPLLGGQELIQLACATFPGCEMAKDESEYVLKGLKEK EKEQEGENGNEGDAEEWEKGMEGLVEPLRAGSWLLSSFQHQNDPNAPSTLTQTDLYTS YAARFSSLLTNAGQSDSVQNQSVEESTQQEQDHDADMRAFEDAGLNNDQQENDQSPRH LQQHQQHQQQQQQIENENVNEHQSLASLLPSSSSNTNSGTNTNIEQIPSIKKKENRLL NPYELINLTRMTFPKCEPIIDENGKFVIKGLEKRIGHLPGSAERNREMFNFTLYNENK PGEAFVNLMKRKLGLLNSESSFINEEINNNNSKKLKINLNEENHEKEENNNNNNLQQQ QQEEKEEEEEEEENLFLEKLKNEKELDEQDKELINGLKRFRNSKLGESVREVCISQ
I206_06498	MATLLPPPKRQKSNYAKSLEKPKEPEPEIAIPSIVVQFKSSEDG SNLGPAINLPADTGRDALQMLVNKLRGETEDPLPYAFHLLPKLPSTSTTPQSSRVQIN NSIQLDALKVSGTTFSPEDVFELWCEPQAVFRVRSVGRCSATLTGHSSPILCCAHSPT GKYAATGSGDATARIWDMDTETPKWTLTGHKGWVLCVEWDSREKILATGGHDGQVRLW TPATGQPFGQPLLGHTKWITSLSFEPLHLMSSKSTSPRLATASKDGTVRIWNTSTRTL DFVLTGHAASVNVVRWGGENVIYTGSSDRTVKVWSGVDGKLIRTLNEHAHWVNTMALS TDFILRTGPYDHTGKLPKDDEEAKSIALARYKHLTSTHPEALITGSDDHTLFLWPDQA SSSFSSTATPKKPLARLTGHQKQVNHVAFSPDGRMIASAGFDNAVKIWEGKTGKFIAS LRGHVAAVYRVAWSADSRMLVSASKDTTLKLWNLKTYKIRIDLPGHTDEVYCVDFVAD KVVSGGRDKSVKMMIEKTPLFFPSSQTSIVSTPHNSQPSMPFPPISQKRGLLPFAPLP TVTTDPAPSNSLSHPKHIPITPCPKMYDSLDGLMHHLPQSTNFLISSIEKKENGTTWW IIKCWTVNDSSSDELKEIAQNDDMTKDKQKERKVANYKNIDAFPNIESKKNGDDEEEI DELEDSDQDDNPYDQALIDDQTLVEFSKDVDELYQEEMTKNTQDQSIVAKECNPEDEK VKIVIFEKILFDPVGHFTYNIVREVQV
I206_06499	MNSSLFSQTRAKTHARPDPSSNDGKTTKRTSEIHVDQLEKKSED EDDDDNDGQIPTNGSLKKTETEKKVHFGNSDSADSKSSDQE
I206_06500	MTKSESIKSSSIKNEDELSKYNHKLKIKKEKRLNSPNEDNLDQE SESEFEQVKKKLKTKTNKNHKSIGKSWKSEEDWKLFQILHPKINKPDWNNISSLIGNE RDSKSCQNRYSIISKRLEGAIKSIGGS
I206_06501	MPSTPLTIPSRPSIISLAPFSTSLDDLRVSEPTFIPSSPSTPMI LSSSCSSASTLSGQIRRSSSISTNISSSISKPITRRGSSLPSIPCSSSTMKTKTTNIT SYELENHQIPSCSSSRNVIQRGGSGSSMDILQFIELTTQPSWEEIESSPLSMVPNILP TSNTNIDLITFLREAPGVIKSPMSNLSTSSFNDSLNFDYNNEDGNDDRINRNKEDLVT PDLNQISLLTFLEEEDSHFDDNDLCFNNSFNSIKLNNRISSKIELNSQEIRNSSRSSS FGEKISRSSTIKSQTYQNSKRIVSLNSLLKDNVQKHNRSISNTSVNLKSRSSDNESYG PLSISVESRSHSVGRVIKLAKDAFKIGNNNNSR
I206_06502	MADPTYSPHQIGELLFEKEPKYLPQQQHIPLSSSSSINQSYHSR FRYHAQPQSQASIQLYDSYFPPQAQSSSSSNYSSSKQTTQTQTNNVDEIIYRYSSEFD RITQAPGTPTVGIYSQDGLWDEDDLDFNPKHSGGASSSRKSTLTDKKNNRSGTIYTAT PVEGKSWTWRRPSMSQLNSPSIAVETQPPMPLTAPPKGLEKKKSKGLLRGKGRRGELF VNVASDPDESFEAPPPLPIPATPSSFITESTPASYSSSTFSPVNYPSPILDTPSLIST PPLTVASASTNKPSKAASSWKRGMQKIFKSKSSIALREAAQKEASMSPPPPMPNPPLP PTTSRLEKPFSSTPPTEMDQRRIKESIPLHTAGYLSTPPSATTDAFVTPLFPNFPTDP FASSLDLTCNNPIPSPTVEALRPSLKHNSPSLRDLKSFLPNATKPAILKAKSFATLHQ REDHRSTVTKINTQSRANHSSKLSKRMSSLVGLNVFAYSAPERAGSNLVTSEYLERPP TQASLAPPIESPPLLPPQPSYFTKASRSSSLPSITTDSSSSPEESPTLSIQPPNAPLP PIPGSSSTSNLTAPMQRSGSGAVLLPRSRSTSMSFKSPPTSSSFFDLYEQLGIWPSAE KDKKEIQEEPEEISDTAGIECEKLQAISNITAAEEEKENISPPVEVFTTAEEDLEHAV DITEDISKSQVQLNMPRSNTDSSIASWNVALNSFPAAPCGDVLDFGLPYVADEEGFEP MASGSQEPHDQSDALSIVAVAASSRNSSHQTTVDNSMSTDKRGSGSTVTHATSVGMSH LASSSSSKRPGRAAGSGNSSRDNSTPGSRDDVTDREEILEDSEDDDVPLSKLHPEAAA AQLQRRETRKRTRQARLDKQAKAVERRKSEKTQGRNPGGEHSWDGEGGVPPGILSKKL ELVISRRAENEAAIAIARIAEGSAVSNPVQGLKAHRSMRENVPTQLDHGVRRAQSQGH AHVSLVSPTFKDTPAVPPIRTAIPLRHPQTYGLFNPESAISPTNTSFGRTPSAKHGDS NSVVAMLGHNHQEPSASYGQGRSQAYPMSQPMAVYDRSRQNSVATTVSSRLPPAPNGT RAPSRQDDLPILSAQVTRSNTNATQYSIASSAVPRVRAHSNGLSPITTHKEDSAALHV RSTTEPIPSTSASKNTTVLPIANNASAGPAQRVHATVPAFISALNGKKIMLDLTTTTT AREVLVNTYHKGDLADASVGKSWVLCEIFAEMGCERQIREYEPLLPIVKGWDSTAKFN CLVFKQSNRGMPTWARAVPTNPPMLGQWVQYETKKGKWTKRWLETRGGQVFLAKNEKN KDEVHFNSLFFDIYAITRGYDSPRPATFMLKRVEPASNFEDSQDYAHIFSCDEGIAFK LMAAIYDAKSYSIAQSYPQMINNQLPSPSSNSNANHSGSMRKHSNISSVQNVISSKPL INLENDDQNHKSGFTGKGLLKL
I206_06503	MGLDDTVPIKVLLVTVFESVVQVFILCLAGYTLSRVGVTDKATQ RKLNVINVSLFTPALLFSKVAYSLTPAKLKEMWIIPLGFVVVTGLSAGVAWALAKLFK LKKSQTAYAMCAAMFQNSNSLPIALIQSLVIEVPGLKWDEHDTKDQMLGRALTYLVLY STLGMMLRWSWGVKLLSQADDEAVEIDSSNPQHLQPILNDHAVQSPEPLVPHPGARET DPFFTSAEDISRDEHDERLNPSGQFPNQSPNSPSLPFTAGSAHSMHPPMVKRGSTAGY SVMSRRSSSLSRHRKKLNRTESGREFWGLPEQPKMHHIALIEEDSSESEPEDEEWGTL TPGSLRRRQTEPPKSAFQSLLYKAKHRSKTIMKAINDFMTVPMYAALLSIFIAMIPPL QAQMARIKPLEQAIKSAGQCSIPVTLVVLGAFFYSPPEVKPGSGTIHIPSKKEQNNGG LLGYFKSRFQNDHSSGKSKSSAYPGENKTVFVAVISRMVIVPLLMLPVIALLAKFDPF EAAEDPVFILSAILLVSSPPALTLAQITQAASGDAFERLISKTISWSYAVLTPPLTLV YVVIGLIFGRL
I206_06504	MDEGSETKIEGVKQDTPDLGLELVTDGYYRHNDIVFSYLSKLSK PHADAMGSLIHGEALVHPNHPLRSPGDEGITLYIGIDKLGKPRLFWKIKQLQYLQYYI HEMRLTNPSWIEYHRKRQELLQEEANETRVNALSKPSVEEGKHDWVPLPSYAIRGSDL DSVIPITFDSATVLKKVANQITKDGKAVDRNIRNGYPVKPFHPNHWEAKKDDFTPGPG LRKGPEKQGRSDFANQNKNEGSEAAGWGGFPLSKNSVQLDGQDMEKGLTEPLAESEFP QNQSDPKPTLTTPDVSTVSPPSPSCTSPFSSDLGASYHYHCQQAFITAICGGLLASMP ASQQPTSDEDEENTIMDIPPNIGRSLFIALCITKWEKDPKIVTEIGWCAVWWQKAVPD EEGSNGASSGYEEMRDMGHFVVQEHLIQKRNKDTQPDYKDDYLFGDSLPILEAKMGAD IRRKIDELSAKAGNGPIYIITHSADGAEVDLKSVGLDISDTTINHQPDGWEVPPYMCA SGCSAVFVINTATLFGSIENVSPMTIDGVRYAGRTKRSLQNIALTMFGNDPDRKPEKC GNAGNDAVYTLAIFIEVMTGATLPELRGDYANGQFPRSSTVTDGQDQPSSMRFGNPER PASDALLENDAGEAGQGVCDDEDDFLEDEMIKGIYYEDEDGNLIEMDD
I206_06505	MDQGTVITSLENAIEELTRACPTNRGDRQLYKLEKTGTIEVSSG ADNISLWKDELNDVEWHTVLSTIRMVAEDERETVYGYKHEDLCMIVSLVIEMHKTINC VMDVPRAD
I206_06506	MTSPNKSNDEQTGSGRQGINRYISLYQTNEGADNIDSVELPSDP RQTFPGDTGGLSGSGDSRRPMASITPKHSAMSNFPTAPSVLPPPSPVAPPSHAPALSV SQFPPPPVVPGPPQIYETTASSGWTVVPKDTTGNRNQATGPDTSIETREPSEWKDILA EGVKQRKDEHDSSLADTSVQNENANSAGPLNTDFESDNDNEGLKKAPDLKATLSKFS
I206_06507	MSSTNGRVLRNVEGNIQRRGVQTSNGNGSEYHGDNDGNVNHFPT NRAPWSRPAHEYLGNSSNPQHSHMSWTSAGSAGDLPQGGRGRINHFGDNTGGVGIYGG SNSGFRFETGFGDSGSPDTNQHTSDWVPPSTESGREANPPPTAGATAQPTELADATDS DPDVSVPDDNHWPTNSSSGSGPNATQHHQDSAAPDAYTSPSELVAKYFKPLDGQTAVQ ADTAQRHRFGSRPNAPESNGQPIPTAYGPAAEIGRYIFSPQTPGQTLERQSDDSPDDE WEKVSDESTGK
I206_06508	MVSTTESTDEATLNAIRQRLMETGDWDRIQKLLREQLEENGWVD DLKDLAKEKARAQETPNLGNLIKEISETARGMINESTRRDVAQEIEAVLDREVDQA
I206_06509	MSIFTPLVAYQAPLTLLLIIFGPSLLPRLINFFRRKPPSSIPNK TQTPRPLSLKLILGIHTIWMLKQLILPPFNLFSNVPISISNSQLRYNIIGPEQPNLTL HPMIELLLTRLKIMENRILYLKFGHNPLIECVWCQFPSDYLIYSLPQILSWYILEAFF IGSLGMSWISGISASNRAERWRSIFGWMLVGGAILEGGVKWIWDLRAVEGDALHLAST IHTIRSISLLLFPLIYTFLPIPLDPISPNILIPIISNTTSTLRLTSLARSAIQRSGKL REIWSDIGKRDAERNELAMRDEDIRDLVKELQLDQNSMKLSASQWIRDGWNGMIRIDS NPRHGL
I206_06510	MATIYRQAQRMAHESPVIFWSLAMGFAGPIMVLTVPPIRKSFGY KQAERIPTTFPVPNRPRRAVSGYEDP
I206_06511	MAKGKNHDRKANPGFGKQKLKSGSTGGEFSLKKVKGENFYRDAK AASRVKMLNGGKAVRDKDGKIIEAAAFQKTEKDVEPGRVKADRRWFGNTRVISQTALD HFRTALKEQKSDPYSVLLRRNKLPMGLLEDESANGGKRPHIVETEPFSNTFGPKAQRK RPRLDIGSLEELGEASNAADVAAVEGEASQTGTADLADIYHPTTSTAREPIYQKGTSR RIWGELYKVLDSSDVVIHVLDARDPLGTRCKPVVEYLRKEKAHKHLVYVLNKVDLVPT WVTARWVKHLSLSAPTIAFHASINNSFGKGSLIQLLRQFSVLHSDKKQISIGFIGYPN VGKSSIINTLKKKKVCTVAPIPGETKVWQYITLMRRIYLIDCPGIVPVSAKDSDTDTV LKGVVRVENLATPAEHIPQLLERVRAEYIERTYGLEHKEGGWHGESGATILLSAIAKK SGKLLKGGEPDQESAAKMVLNDWIRGKIPFFVPPPAKEQPTEGTSEEVVNSAQAQEDK ETQEMLEEQERSLGKILGEKRVKGVDQTISKIVTMPKFIGEDARRYKEEEGKDVDMAD LPEDAEEEDEEEDGDAEEGEELAWDDIFPGNAGPSKFADLPVDEEDENNDEEDEDEDE DEDEEDDDEEEDDDDDEEVVIDLSDPSSKKAGKRKAVEFEDEESTPAKKEKRMTTNKK KTENFYTHANVKNRNREKKIPKNPHKRYREDEEPTGKKRPKSKKY
I206_06512	MKYLDYPILNELSASLSSDGDSDLRVHARFEAYSVKPVGKEKRA FKEREEAYMSEQEGMEEMSFSPEMREAGLASCFGRLDEKESRKVHFLLVSTLNAAFPD HDFTSLRPDHFTRERSAAQVLSQLNGTLLGSSGLGTTPVVLSQLASYNPSSNIRSSPS QSPANSSPNLGPTVPNHDLYRILNDVLPMEDAEVYSWFPEPEYDPHIDTSLIPSDDED EDFLPAQNEDDIQMDMDDADPSWGAGGMDMDNSTSGGGVVASSARRSSETAIQNDWDT GRERKVAGLLWSANYFFYSKRQKRVLFLTCWCRNRPLHPVKHIESAFPVQISASFSSP TSSFEHLVPLNNGGTIRSNRYNHHTHHRKPKSSLRTISSSIKGDATSSTIPIRGMERP ALHQQPATPRSHRLASSAPGASSFGNGTKSPMTKMMAGGFKPRQTPARVALNANSNKP KEVIDVDSLPDINSRTRERSGSTTPGPSTGSTSALTAGLRNNIQGIGGDKGKRVKV
I206_06513	MSQPLYPIPPFTAETAHQKVKAAQDKWNTKNPKLISPAYTPNSI WRNRDKFFKGTNEIEIFLENKWKLEKNYKLRKELFAFKDDRIAVEFWYEYSETDDLNS QWYRTYGIEHWVFEKDGRMKSRQMSGNTITIKNEERWFKDDIDVNEGEVPRGHISEKS 
I206_06514	MSHGTPSPDRNRPLDDRKVTGYDPLIPPALLRHDLPVPTVANKT ISAARRTAASIVQGTDPLSRLLVIVGPCSIHDVDQAKEYASRLRKGVQEGRWPGLEVV MRVYFEKPRTTVGWKGLINDPDINNSFSINKGLRIARQLLCDINEMGMPVGCELLDTI SPQFIADLITWGAIGARTTESQLHRELASGASFPIGFKNGTDGSVGVAIDAMQSASHP HNFMGINSQGMASIVKTSGNADCHVILRGGTHGPNYASEHVQKALTTMRTKTPDNFAS IMVDCSHGNSSKNHLNQPKVAADVAAQIAAGEEGITGIMFESNLKGGKQSSDKPRDQL EYGVSITDACVDWEMSVDMLDVLNKASLTRRSILDAKHANGNGELPAVKKLKTDE
I206_06515	MSDAASRAAARKAKILARGNTGLAKLAQSARGDEAQALYADDFK PSPSSTPTTEAPPPIQSSTSTSTSSSRNTNSTWPPEPNTSVPQNRATQSQGNPNLTAE QQAMSAQLEAMMSMFGGGGGQGNSNGEMPDMSKLLSQMMGGGIGPGGLGGDSQRLLGD LDDPAGLGGFPMNDNLGGIPPNLFGNGSDNQDIPFPFPSMGGLGGFGVSQKKSKSEKY FPLIHFLSIILLSLFTILWWEPKIKSETSLINAIEGNWSSRWSSLNGKSFGKFGNVEI VPIFWAWTTLELILQTSRFMIFKSPPPPHSLISNFLPLLPPKISKPIITGSRYLSLLS QTYKDGCLLVFTLGMTVVLSQFLNGGKLF
I206_06516	MTTTSIYNPIITTPPLLRTSEQSNYQNKRHFYLCGDEILSWESE WYTASFFTSDRSSFDKAASTGPVQPPTSIYQNVPACAAILSSEISATSSLTNGRIITT ETLSTYISNGRTFVSTITRTTNIPLSTSSPLATVSATTSLSIIPSSTTTSPASAITDP SSINTCAGGWDWQGWGVVAGLGSGVILGTLLWILWAVLRKKLPGIYAPRTWAIPSESR PPKWTILAFLLPFSHPSQSWTEGSGSLSVLFAGLKLAGMLSILALAAILPLLLVGVPC LSETSPTNSQRGRLGTLTDFSLLPLLNALDPSPDSSATSHTLLQMLETRSLTSTISPA ISNARIRLIIILVILAVLACGGGLFVIARTYAGLIRRKTDFDNKICQKMEMVYIRAND APGWKGMTEEGVRNLLKDYSAKMKGGNEKEIEIVGVFAIPDTTELRNKVKEREEALME LEVAETSYVSSFQLTHRTTSGGILEALDWNKNDPANQSAHNSSPTRQTPPDDFLAPKR FYRIPTATQPQSRERLDVPMPPNLGEMQGEPPDSRFKEINRDSAMYGGRFDIGQRIKM DPAGEWVPDPSPQSEETSPLGVTPESTPEDPLSVNPILLKPTSPNERSQIPTRSSHRV SVIRNGDASHSRLADHYATIRRCRATFKEMNREIDELQRRKFAEIATTNSNLVGWVIV GKGVRWLPHAEIIEGFTREDFLWHNGVYQTNEKQFWAKVTILAMVLGIILIPVLGLTV GTAPGFDHYLGLMKPLAKSDGLGSGVVEGLVPAIFLTLVVVAIVYFTDEFSKDVRYIS RSRQRSLAYKAVFYLLLLVVVIWTILVASLEFAVQGFTTNVQKARVVGDGAIFSTWFT FVLLLNLAFILPALYLLQFPRLLRYLKSRKKAITPRQKFRRTVVASSYNPAVGLTPCL LAVFYASTLLFIFPLLVIPILILLYLSFVANRYMVDYVLVDTSSEYSGILLSLWTIRR FGWTLALQPFLYGLVLLSRNEWTIGGLSIAIGFITIIFSEGLTVYRYHDQRRKDLNGN TRKALDELSNLMKIQKTSTPPAGDSGKMEERRRISLQSDLSILNKVKELLPGYSRLPF NCSIPIKIDKIDDMILTERSSYLKPNLSKKLELINNDNNNKYFTENLNYNLKGLIYPI ELIIKIPIIWLINDKNKLSENELIELNKFHQLLAIIDPSLNYLKGKEKEKPK
I206_06517	MASSNSNSKSVAGPSTPRSKKEKKRGVKERSVDTISDRPVKTAK IEEDIQEDETGQAGGDAMDLLDSGAGPSNPKADAMEILEGQTEKGQDLEGVTVVKADE FSTEAEREIEASKGLDGAASDEGKMKLVHQVRHQVAVPPNYPYVPISEHKRNANPARE YKFTLDPFQYVSTSCIERNESVLVSAHTSAGKTVVAEFAIATCLREGKRIVYTSPIKA LSNQKYREFLETFGDVGLMTGDVTINPTASCLVMTTEILRSMLYRGSEVMREVAWVVF DEVHYMRDKERGVVWEETIILLPHTVRYVFLSATIPNSMEFAEWITKTHEQPCHVVYT DFRPTPLQHYLFPAGSEGIYLVVDERSNFREDNFQKAMAALAAGQGEDSADPNSGKGR KGNKTRKGGAMKGEKSDIYKIVTLIMRRNLNPVIIFAFSKRECEDLAMQMQKFDFNSP DEAAMVQQVFENAISGLSEDDKKLSQIEGILPLLKRGIGIHHGGLLPILKEVIEILFQ EGLIKALFATETFSIGLNMPAKTVVFTSVRKFDGKDFRNLSGGEYIQMSGRAGRRGLD ARGIVIMMCDEKIEPDAAKGMVKGQADRLDSAFHLGYNMIINLMRVEGVSPEYMLERC FFQFQNSMSVPVLEKQLKEAEAARDEIVVEKEDEISEYYDLRQQLKEKGQDFQAVISH PSYSLKFLQAGRLVEIKDEDKDFGWCVVVAYNKVVNPKGRTPIFTESDPAQKQYVVDV LTRVASGTSSNPKDRSSSALIPPSGNDQGEVAVIACSLTTVQAISQYRINLPKDLRGQ SERNTAFKAVNEIKKRMKDGPPLLDPIKSMGIEDKGFKDLVKKIGILEQRLTSLPITS SSSLPRIYDLYDQKQQSIENVKSLKRKINSVHDVLQLEELKSRKRVLRRLGFTTSDDV VEMKGRVACEISTGDELMLTEMMFGGTFGELTPEQCAALLSCFVFQEKSEAKVRLKEE LAAPLRVLQETARRIAKISNESGIPIVEDEYVQSFKVEMMDAVLQWCKGAKFSEICNL TDIFEGSIIRCFRRLQELIRQMGQAAHAIGNTELEEKFGKSMELLERPNTVVFNPS
I206_06518	MSFFSGLFGGSPEPVEKEVSASSELFNSTTFRSNAIPSDPSSSS SSSSSSLPQPPSNLPQNPAPVASAPTALDTFGTAFDPARLHPLAGLGENLDFLALDED KLNEIEGSASVLPSRGWTDDLCVGTGTTYLSGLVIGGMWGAKEGLSRPLGNNPSMKLR INSILNGCTRRGSFTGNSLGVLAIFYNIANSSLDSVRGRHDTYNVLGAAALSGAIFKS TAGVRPALVGASIMTAAAGAWSAFKNTV
I206_06519	MAFARGTIMILFGIHVHARVVPRGNVSNQGSPLLLGPNGNIADK GSDTSTNSETSSTDNDNSDTSVDGTDSDVGSTGTNSISASTTTSISINRSSDTLSSSI TSTQSNSMSESSTNMVSSTSTSTSASASPSETKNSILPSDPPKQPSSIRYLVPVFLLI LITIAGFGYQKYRKRKKRRSRNSMASKDFEKLMKKGKDPFEENPIDKRRGGGWKEIPT YEYNHDYDDEEDKEGGIWDSKIDDNLQIHWQNDLNDQNEIRSGLIRSGEFISAAEKGW GWKESWNNFKSARGKDIQIEIQNEIKEKQTMKLVNSLNKSSENTLNNLPIKEEEEEKE KEKDFKETIISEISKNKNKNKLERNKSPNKRLPILSTSNNDNNNNNYNNKPIMPEVPE WIRPRSVSPTNMNILSPPMQPHLFFHPISNLPENKQNEPSIVSEYSEFDEDDDDNITI LTSSQSNTPIIPSEEIIQNNENIEINSKFPRIPSSASKLETIDSISIISTKKNKNNFN GNEKKYTSSGLSPLKRSVGLKNLSSSSSSGSGNGKLSNTLSPNVATQRRSKSNKRNKN EKKELKTRNQVEDILKASWSDRALITSPSSSPLPLSLNENINFSNHLNNKGIIIPGLM SPGLEVGNGIEQRLALLRNVQI
I206_06520	MIFNEELSNDLSLRENIKQSDFTKWTEKINHHQHHNDNNENNDR NDSNDSHQIPSRPSIDSLLSSVESEAEEPLPFTPLTPKFVSIKLPSELFQDQNINTDT LSKSWEEPSEQQRLKAVSQTPQEVIVTEKLTRKDQIYIQETPKNQIPRNRVDKNSDIH SHSIEQLKTPPNSRLRKQGRQPLIEPQAIILRPTTFWRHHPLSPHSFPSHSPSSRLIR RSALIASPTIGIQHPNPDQETTRICVGLAGVDLDTKPRTRRVSLLPI
I206_06521	MDDSMEEGDSLFSLPGPSTRPRALYQTPPQSTSGPPPPIGLGYN SSIVPQHLPNADESFDQSNRWNGGASPPGGSSTSQDNYEDENDENDESNAINGDQVEG EEDSYEASEGSSAQYDPDADPEGFAQRLDELAGTLEISEAERKAISWGLPISSKQRKN ANLPLADFRKLINHHLESTEWKYQTNTQSLPVPGWQSGHGHSDGLPLGMMLDGHPIRA LGKGWIEKDEWLDPPSDSSTVDANGLSGQEQSAT
I206_06522	MDIQQASDGGLFLLERQLEEYDSLETLLDDISGLTGVTPNNVLL FMEDGRELRTDVLEEAWNTAGPSSPSAAQRLKLYLFNRETFWSDAEQWATQFQEDVIL PPPLDPSQSSSLAHVQHPFLVAHDHLSHLQSLYQAQSRALEIAYSNLSHHLQPLINEF QRFAVRAEKELQTEESLIKGAKVDMALLPKLSINPHLLRKKKDSEGDERTKTIGDFVN KRKMEQVRDSCRTAHEEHVERYNQLAGRVDELALQSDAESSGFKEQSEIVGREFEEGL ARLEVAISQLSELLGSGAEDIAQDLVELDQAMRDDLVALTGVKNEFTLDIHLHLHQVA EFQSRITELVSPIGALDADLHEKAAFPHLHRLRQLPFAYATVVAEVVRRKEYSRLLLE WSVRLSETLTRFTSTEKTRREQVQAEMVAQLPFGVVGLEDSGPRVDITVVTGAEGLNG VKFGQEEIEKVLAVLEESDEEYLSALQHSIESLIGRVDFASDDLDRMIQRSGKALSLL SNRDKTRSASNSRMTLNLSTQLRTANQEKAEQEKRMHELEAAHQADLRNMEEQYDRRL EASQSRQAELQEQLVRLRTDLSEEMLARQALSAELEERSKEQEDKYREQEDQSDFIKG LQAELYQEKDRATDLGVRLQEALLDVDGLKSAEQTLINQLQELQEERTKSLQNLGDTQ LHAQNLESELAGARAELESTTRQLAEAQNDRDTALKNQSAEAERMMRDHIAETDGDRA VLEHHNLTLTKQLEDKKVELEDKLNAVKNSAIRQVDGLKAELSFTKAQLREVQRKETV LMDEMAMAKDTASAITQEKAYQSDVAKDSIGLVTKYHEVCLRLMSAINASTTISGNNG NTSQSQFVGIVKSINRLQPAINDDQLSNSITSNANSNKDELRESVLIRSLETAQNFDL ALFSEAVSKTIGLVKKWSKSCRQFRDLAKNKITFTNFTKGDLALFLPTRNAAARSWAA FNVAAPHNFLKVTDVMQEQIKTREWIIARIIKTEEAIASGGDSFENNPFGLADGLRYY THHVEEYNPHAIRPSRRSTSNALDKDTSASQMLSPTQRTPGPTTYPPGQSEPSSNVDI LSPSQILKNRPRSSSGYFPQMSSVTEKEKEPEDERKDDQSDTPEPETDPIVNHGDIKP ISPPPESTGSPAETSNTPPLVAHPVAPLPSYEPNPSESSTKLPPPPSSSPTVPLPSSS AQPIPRKTHSRFPSRGATPVSPPLNRGERENLNPGSGVRPGSAASSFAGTSLPKGLNM PHTKSTLAPAMATNTSSNSTSPAKDGFDGLQKIIKRKESSTQLGKSPESNTKISSPLG LGSAGSGETSRRGSRTALPSEDNRSISPADMDDIPNTPGGFSALGIGPAYTPTQSVPS TASKLIRGFTIGRKSSLNNSTIQSDNQDTSTNQTLARRVSMKGKDKDKDGKPPSAMDM LKRFEGGSNF
I206_06523	MSSFHGGNSAGGSSGSSPVNGRTWPSRDGRPLDVQYVSNGSIVD RATTAPRERDQSYRERFPGSGHVLGKSDTGDTANALWGSSEGLSANADPEEPPEQEKA GTEAGRSAQGSSASGRSNF
I206_06524	MPHREASFTDKYLRHHHHHHHSHRDKDGHSYRRRSRDRTSSSSN GVIEEPEQIVGGSMDVHVRVHSSEQFWHELELIVDIPESPTLAQLDGTLRMFVTFCAA YHDKYLSSANEIQHAVELILDSELFTFHYERMVGIIMSDAQENTNPHDLYILYHMIWY YGHRHPSLFRSHRKWRKLLPTLGEIVGLDCDEQHFEMGLPPIEARLRLPATHLMYEVC RVQKLTPDELSQFDDSFIDHLFDLVETTRDMQDERLNYAVIKLIVALNEQFMVSSLPS KTSSASNQAIQSSKGETKKNNRVLVVLMRRLGSSKTFGENMIFMLNRAENTPDDLCAQ LLILKILYLLFTTPGTQEYFFTNDLRVLLDVFIRELVDLPDECEALRHTYLRVLYPLL NHTQLRSDPYKRPQIKLVLSSLIANNHIREVNATTTRLVERCTAEPRKLERSHSAENV RNAQRQESASSTISLDSIASALPKSLGSTSIYTSRDPVRQSSLNDVSASLTMPGRDRP SSSASTYSHPNNSSQEIIRSENSTPPPGSAGFSPTTPPKGRRKAPAPPKTKPNRKMSN ASWTSFDSEHTNNEEDGTFTATSPMSSSGILIDRGGKNVPPPIIEINHIPMQRESTEE AQKPVKNGWITFST
I206_06525	MSSSRIEILNDGGLRHDARRPYELRSTSFQLNTHPTAEGSSTVT QGLTTVQVSIFGPREPRQRSQASHDKAIINVEVGVVPWAQGGGGIKRVRGDKRLQEIG ASIRQTFEPVIMTHLYPRSEITINVQVLSADGGILPTSINATTLALISSGISILDYIT SISIGLYLKQPLLDLSFLEEQDLPNLIISCLTNSNKITLAQMETRLHIDRFEEMLNLG LEACKVLKIEMELIVKEQTEKIVERMNVKSIISSNASGMNIDE
I206_06526	MLDQIPSEIISQISFHLSLSSYNSNSFNKFEDIPPINLLLSCKT INEAINPNSNSRLYGKLFKLLFDINAPERRFRDSSQISNDKKVKKLRPRKRRKVNEDD KLKAKELTKELKKRIRCLLRLREMINSKDVSDIEDNDLWTIYFMLIENDGKNLVHLIG PQATVHLPALLELYHEQHFLAAAVEPGYPAETVGRSLAMWIAWLVGGSGPPDETPEQR EERMFVLRPYVFAAQQYHLYFAPWTLPDLPLTKPLPPSDSSNPFVADLTPRSRSVTVE HFGRQLSVCPPFLAHAAILRFFYRKPGEDFDGDSDNELDMVTPPFMTMHTAQVDGIMP GTEGIVPSNSTSRAPTRPGSPVPIEKQQQYLLGNSKIHDLDFARLKACHDPLSSKGLK SPKWKGSWDGCWEGTFSFFDFDAFREMLAGHARALYEGPYGEQAQVWRMKETYVRKIG WVKKPDSPYSPHQSSDDDYYTDNEEKENKEEEEGEEEEEEEIIKDKEGLPLNGPKVNA GFPLSEPPNTFANLASEIAEAETLKQTIKQQIQAIKGYEIVPLEELDEMLNLSDEDQE ESGLEMLLSGTGHSAWGKFILKGRVRSWDGMASLVKEYAPDSRGKWIYRGYVLAGDIF VGRWRDTYTPENFVGYEGTFFLNRR
I206_06527	MSLPKSLSFLRQGTGEQVLDIYLDPLCPFSAKITKSITTNLLPL ITKGGKYEGKLSLVTRIYPQPFHYFAVFHTEALIIFGKLYHDLFWEYLTAIFDSQNEY FNQSSSQLTPSQTRDKLVELATDLLEQNKKINGPKSKVFGEIRDKLEVKSSPNGGNEA TDDFKYLLKVGRQNGIQVTPSAILNGLKDDSVSSSWGKEEWEKWLS
I206_06528	MSNNQVTQSKGYKYVIEHMEEDDENTKALPEWVKLEYSHMLKLV GKESSVHFTSLSLNSIPSLKNHLSKDKSITTATSSSISKIEEKGNPTNLTILELIKKL NINFEKVCLLDPKAEKILSIEDSKKFEIFLYGGILGDDPPKDRTSELRKFNFNSRHLG EIQMTTDTAVGVTKLIIEDQIPLDKIPFVDLPTITFNKHESIEMPFRYVTDDKGEPIL PPGMKEHLKADLNRSIDDF
I206_06529	MDASHLAHLLRRSRSRAFAAGPHVNVDVRSPNDSGILLAKKAAG EYGHVTAPSSAVTRTVGQAVQLTSTQRQAAQAATLGTTRTVLVTATRSSAANSAKATL ALSSSAKSTLAASSSATPSIAPSSTSTSSAAPSTTPTSTSTSTTPSTTSTKTSTTSTT PSTTHTSTTTTSSTVRALTSTSTSTSSALPSSTLTAAKSTSSSAGMSTGAVVGTVLGA IVGIVVVGSLIGWLYRKYTARSFSSKSSWSKIDDDITPFPPPNEKYSDNDDIYGGAAA PVIGSRRALAMARENAFDGSLGRPDSEMYERGGNHAGYGAGGATMAIANSYAVSPTYG YDVQGRPYNTQAGATPISYGHAGGYEDQYSPYYDVSPQADPRQLVGPNSHPYAQAPMS MPMPMPSPVPMGRPAAPSTAAEFALAEDFADEPLTPGLAYTADQPRTPVSQIGIASSG QEGRSRVSMTPGQNRPRVMSPTESLIVHDTVPTPPLAAPPPVATASSSSLTPHHIPLP TFAPLSPLMSDFNFKRQSQPLAMYEDEKSQQKRMYTEVATTAGIDEPITPYSATPSPN PNIDNNNPTSETPLRLPELTVNPPEPYVHGQPLSPLKEVPTPLSTGESLLNPFDLPLN RNAPATSGLAPQYTPSSTGATNGFSSSIPSPAYPPPSPGGMSVPGSITDSPRWTGTTQ HGRAVSVYEEEDAYGGI
I206_06530	MNPIRPLIHASRLRSISSASTSSFSRISRRTLVTPTDPVRATVS NVTPKHVREDPGELDGGDVVANDIEGGKEMFGFKLNPVETKTGGHAKSTGRPIYLDMQ ATTPMDPRVLDKMLPLFTEQYGNPHSRTHAYGWEAEAAVDEARQHVANLVGAQEKDIV FTSGATESNNMIIKGIAKFHQGKRRHIITTQTEHKCVLDSCRWLSTQGFEITYLPVLP NGLISLNDLKEALRPDTSLISIMAVNNEIGVIQPLKEISDTLKAYAKENGCQKAFFHT DAAQAIGKIEIDVEKMGIDAMSISGHKLYGPKGIGAAYVRRRPRVRLEPLIHGGGQER GLRSGTVPAPLVVGLGEACRLATQEMANDHARVKELSDRLINGITSQVDHIVRNGDPS GYPGCVNLSFAYVEGESLLMALKDIALSSGSACTSASLEPSYVLRALGAAEDMAHSSL RFGIGRFTTEAEIDLVIDRIVSVVNRLREMSPLWEMVQEGIDISKIEWSQ
I206_06531	MSDTVTKDSNSHNSDEATEALPSPETALNEVEIRANDSTILNQR LGVLRWASRPTDSTDEMLEFQRLELIEATQSSQGSNKDTGSSQGGGN
I206_06532	MPDEEQWNPPPAKNDDKHINGTVWSEKKTKESRSSNKPNSVTTG DNTNQSKQTSSAASGQPSGRHHMGGREFVNVNLWTRDTSSTNPS
I206_06533	MSDRRIFRGIVASADERQHNGRNYTRDPPTPPDSGISRESSDTF SVKDTGTEATAGSDNGSDATAGFVNGSYKSSETSPVVQNEVKAMAESNNSSDKLSQSF SVVSTVGERVEADGDVSPKEDSEDDPDRVKVNHSRQGDA
I206_06534	MLRYTALFTSILAGAIVSAQQICVVPFGGYGVNDVDGLTALLPN CSSDATIVFQDGVKYNISTPVDFGTLNNVEIQVLGNLELPKSIPYVQQLVNATAGGRL YWFTMKGNNITLSGNPDQSSEYGWVDSYGIQWWQEAQYTQPLGGLANRPHGWSIAANS STIRNVKLRKPIAWSFSLNGNDMHVCESCNPGVFPFNTDGYDIHGDNVLFENNWVFNG DDCVAVNAGNNITIRNMVCEGGHGASLSATTGVDNVVFDNITSINSLYATRFKSSLNS VGNISNVTWQNIHVHNATFPIFATGVYYDQNTNRGRTPGVYPANSTATHIKNFSWINV TGTISDVYTGDGSCTTDPCWYYVANATNTAGITLQLLNGTATNIQIHNVNLKPIDGKG VSNVVCDPSSFTDGTGNLGFECVNGPYVST
I206_06535	MPPKAIYKVYQERIKPISLWHPQGGNHTMTDILETCSNYKEAKS YVERYFEEEIDRDRYWVEKDDEKAGHFEIKAELDEEDMRLWTIKEKPPPSSKSASTLT SNKQSKTTSTASPKELAIGSKGKAVQTAKKQTANTQKESKPSVNSPAMSINKSTPQVK PKATARKSMNHVDQSRSSDISGRHHETDLLEGREVHLVMEEHIYPRKRENRVTGIYES FGSARKAARSVVMEGGFGDSAMWNNYSMMDTDRVFEMKADGAKGVHHKFWVESHPVIA D
I206_06536	MAPWTPTLLQHVNLPVPKGTLHLAQEFYGSVIGFENDTVPQLQK DILLWFRIGDGPQQIHVAFENLSPDAEILSSRHPCFQLPSQEALLQLQQKIYEHKESG AKSAARSCDQPGGENSGSKGVEYPTRFFATDFAGNRLEFSAPKA
I206_06537	MPLQNRMEKSETPKSSISSSSESSDPESETEGEDGKVQVYTVML ETTDPGLSYSNPPTIAENQGVYFTWKEAKNAAKSEIENLGFHIDEVLDDLQDNDYVDD DAKIFDIKLEGSEGEQFNVHITNSLASKSAPRKNVTPTKKQTKRSVEENSELTVPKSF EPDNKKRKVPEKYEVSHDQTPASESSSSGANDKEGWTLVYILLKNIEWDGTKHTEVEG LYWTYDEAKDAALIELENVGYDKEQDFNFDDNIVDEEVEEFSVRAKGAEERFHLRIDT NVIRTPTFRILKSNETRIIGVGSAAGPAMHRQSQGHLVLD
I206_06538	MTTNPLYLVFRDEIDYHHDPSGGMRLSEIRGSYWSYEAAKAAAK QDLLNDWKRNFFDVYEEVEEGEETGLFQVNVECPEGEIMTVYVKKVDVPLLPSSSSEA STPDVSKGKGKAAIDSNRYTPSAKLTSTSTMLPEKIQQAKVYHVIRREIHWHLDPSGK TQGTRVRSTCWTYEEAVNMAKRDLTDDFRRETFVEYEEMEKGDTGHFEINARCNLGNE YRTYIEEGKMVVPPPGHLFSIPPINSIQNATPVSQTPQSTASIRSDTITDSSHQQVRN SAPVSQSTSHRYPLPPHTRQVHLPNEAYVIFRTDHVHPDDHDGNTSLATDEAYISVAD ANQRASWELDEIEGREDSDDEEWESPHERGEGHHGNCLFGHIRLYWDELDQVDVTVKK VKLSWPVMNDYLILLRMQQQETNPVATQVPRNEDSEERGEKRRKINAGQAEVVDLTGV D
I206_06539	MRSRRPPPLPKSLFAPQGQLSPNSAPLPPSPSTIHPDYIIDSHS FIKKIEPTPDPIYDGLDPSFPRPPVKSAVQVKMDVSAEPAQAVLGVKPFSIHPTVLNL TLVTPPSITNIAKGAVDIIVPSTFPLSEKEWDLLDEAVDALDGCWGNHEVAGNKPVTG ENGKEPGKVVISGILPPPLTQTSTPLFRSDSYNLHLARLANLSLHANVYLKALPPVVD TIQGKEVDGKWWEDRQELERVLRMYISPAIEAFGTHRIIFGSTPALPIPELSRVTPIP GELEQPISNGEWYSVLRRIVTQLGEGKEEVTGVMGGNAAKVYRLA
I206_06540	MDAIKQVIDKASGTAEGATPAAPGGEKSGSGEFAKPGEFPIESQ VDRAVGIQDDMVKKPDPATVQEGEDDFTTYKAAKKLLGKKTIVTGGDSGIGRAAAVMF AMEGADVALVYLPEEQVDAEKSKQLIVAAGGQCLLFPQDIRDEQGCNRVIESVVQAWG RIDVLVNNASVMYSIPDITDITTEQFDRTIKTNIYGTFFLTRAAVPHIPKGGSIIVTA SQVAYAGPPMLVDYSMTKGAQVAMVRCLSNQLLSKGIRVNAVCPGPVWTPLQPAAMDK DQMKEWHNSPAPIGRIGQPSELGPAYVFLASQDASFISGQSIHVNGGAIVAG
I206_06541	MTHRRIPSKRGLFSSLGPICLIVLLAISQMAIANEHSSRLERRS NHTKRQRWVEGEYDLNVELSRERIKKQLGLDLAAELGLDGLLDLIPSSTSTSSLTPTT TINSSAAPSTSDAAASSSAESSPSNSIKSTLALTTSSAESTSTFTSTTEATSTSTSQT PETSTSSSAATTTSQEVTTTIDAVENTVIFKRQDSLPSADLSVGTEGPSSTSTSAVAS DSLSSTATSSGSWTESPIASAWSDTSSSTYPVSGDISSSSWYTEAKFPANDTSTVTSD TLPLTEIPASSSVDSTSSMSSEFTQASSTSDNGWVTTSNTSDIASTSTWSNTSQMPST EPLTSLTSWNNTATTAEPNLIYDSSTASETQAMSSTEAVKSEEPTIGSASTAQSDSQV SATYNTSSETSWSTSRTTSSISQTVTSTVDNSSSSFGTSTVSSYFSNSSLTFIPSTSI TNNLFSDIPSSKLFSANIFLATSIANTATSTSSASSTATASSIDSTTAPATTDTPVEG VSSSADASVAGTTTVNDASTAASTSSPVAEVVPTITTTDDTQPTSGGTMSSQVAPQEP SPSTSDPGTATSADASSATTVGEVSPSTSEPPSSSVGTSPSDTLSASDVPATSAVPNA STSDSVPATTDVAPSSTDAVLTVSSTTETPFIPVTSTTEEPAQVTSNMSSPSTAIESS MAEPTLAPSTTTEQGTSTLEISSSAVASESPVQSNVYSNGPSSDPSSIDSSITTSAPE PITSASPSAAASARPSDDTSSTANVTSIPDVGSAIPSDAVSSFVSATSTPAMNGTDIS TFEPTATSDGWDSASITASSTYTYNSTSSTDDAYTPTQTWLIGNTQASSTDLWTSEST SETPTTATGTKTTITTSTPSVATIPSSMPTLIVPANSVANNAKAGSGTDDDPIQDKTL IAILLSADYYPWWFVVNSSDATSQLFNTFPTLISSALEIDDSEVQTYGLQVYQPASWD GDKTSLLTQYMSYIPTKYFDTLNAYIKTSSSPLYNQTGIEGALAAQINTAFPLAASSE TAPTSSTTSSGSSDGNRKRNIIIGVCVALGGILWIGLVYWIYKRVKKSNDNAVHKRLS EHMSMFGDHRPMSEVYAQSNWNQSRRVSMAPSIAASEVDDRPSSFYASPFENDRSMRE QQRLERDTRESYGTGPSNYTESGNSRSPTNPNYGPSVFGTSWFQNPHAQQGRQRISQN PFEDVTTRSYLGTSNSGYNYGNPTSPTTLNSSHGSGGSHGQGQNQVAKRRSAVGKPVS KALISNPTLQANSLEFRDYGTLHE
I206_06542	MAEEEHHETFEATGAGASLTFPMQCSALRKNGHVVIKGRPCKII DMSTSKTGKHGHAKVHLVATDIFTGKKLEDISPSTHNMDVPNVRRQEYQLLDIQDEFL NLMDGDGNSKDDVKVPDSELGQQISADFEAGKDLMVTIIAAMGEEQAISFKEAPQN
I206_06543	MSAPSPDAVLHLAALHTLAQAGFASTSRAASVTLSTVLSKYLKL VATTCVERASLAGRGKVAAIDVVDALDDLGVNVDELIDWSCDQSGDYFDQSSLGSLQD YLQEGLGVEDAIASMKFVPEEELDQDDSNFQEDGDEEMKDDDEEERMITDQPQEEDTV VKEELENEEDEVKPSLYRHQSPDFSWLPPLPNTSSINVIPSDQMPESTSEADQPSSDS TTIPAPTQSIADRYRRPIAYASSQLSQAHPFHDPPRPLALPILPDAPTSLPNLISTYS AIASDPSIALRQTDLRRQATEILRQSIATVDSYSPNPTLSSTIPPVRASSIVPSHSDD ILPQKLLPVNPNVNSGLISSLVNQINSPNLPHTLRERLTSLRPPVVQIKNDQPVFYNN PIRGPDEIALQKFKSINKNSHSMEEGEGENESNKVWLKQTWDSGNRGIDKWDKPNLPR GKKVIIQKEGEKKPRMNIEDIKRIEEKERKQLEDENIVNNNNNNNNNQKVKVNLRLPN FNGNGNLNGDLSYENDNISNMKGSISPNQMTSTVPLTPATGVGSGLKIKLGNNQKLSI SPSSVPPSLEYPASVNPY
I206_06544	MDQYIGQPQPAGVLSQQPQPYGIIPGQNAEAPKKPHLYVGNLSA RVTDYILTEIFAVAGPVVQAKIIQDKNFQHGGMNYGFVEYSDMRSAEQALQTLNGRKI FDAEVRVNWAHQGNQNKEDTQHHFHVFVGDLSPEVNDDVLGKAFGAFGSMSEARVMWD MNSGKSRGYGFLSFREKADAEQAIATMNGEWLGSRAIRVNWANQKTQTGTTTQRGPGG MGSPAPGFGGGMGGGSPAPANFGSTALQFDSVAQQTPEYNTTVYVGNLIPYTTQADLI PLFQGYGYIVEIRMQADRGFAFVKLDTHQNAALAITHLQNQLVHGRPIKCSWGKDKGS EGGAPGGAHQAPAYPMQHQQMGYPNQYNYYGGYNYGQTGVPGQPGQPQGHPPAHAHGQ VAPPVPGQEAQQSQQAAQGQWDPAAAAAYYQAGGWGNYYTQGQDGAQNGTQTQ
I206_06545	MPRSRVDGLLAAFPKLIPVNSQHTTVETNDVRFVYQPFEELYVL LITNKGSNILQDIKTLSLLVRLISSLTPAMSEPAILHHAFDLLCAFDEVVSLGYKENV SLNQVRNVLEGESHEEKIQEIIARNKEAEAKEELKRRAKQLEMQRREQQRLAQATGGA SRSGYGGGSGGMAGGYSNVPRYEAPQTEYRTPTPSANQSQSQPSKPKFTGSGMKLGKK GGKQSDLINAAIGGEPDVEEDISYPQPEPEPEVPAETEVSADVLEKVEQDSIHVTIKE QLSLTLLRDGGLESFELKGDLDLRITDAAQSKIRLTLAPKDAYSELQFKQHPNVAKFS GSEKIIGLKDPSRNFPVGQGLGVLRWRMTGKDESHVPLNVTVWPQPRGDGTSDVAVEY ELEAQHLTLRNVVISIPVPSGALPSVTGEADWRLSGNSFVWTIDTIDSENSNGSLEFR CQGDADAFFPVQVGFAASGSLADVEVSEVAKAVLIEDGSEQTFSQERILTVDKYEIV
I206_06546	MSYTIRPGVSSDSKALSILLKESFYQAFRNVEGVTEEFFNNYFK TTLNPNNLKKVLENDKWTFLVAELENEPEKLQGMIQILHPNNDDNDEISFKKNLNKQV HLSRFYLLPSSQGSGLALILFEEILKICKNLKYTTMELDVLSTNYRGIRFYEKMGFKK IKEVHNEGDDKFKRVDWIMIKDLI
I206_06548	MISSHENTILLLHPATVNPSSFLSRLTNSVIDDTAEDITWKIDN KYYTANVTIQAIPLDKSSDEFLIPTWRDVDVIIYTFEKTPVSSPPTLIKLLSTPRDIA LAIRTVPSLTMDTEYQEGDEGVSEETGDALQMEEISEEIGMEFIDEVNHLTEDDDERP MEPLEVIRQTLQTHIWPNMARKPLNVASTSQIPTTISPSSSTSSSPRTHAFPETFQAS ININPPIGPSGSSFPGLDELRAEIFKADYGDIDRLNKLDDDFGFSGLGPSQDEYTRLE EWLDSDEEGGDLDDYQSIQDDVNAIDPDNIGETALDSEPPRPPNVETYIDTNENDAGQ LSNIQEGDWQDEDDMKFDPILSDLPPKSTRTIQKNEEIENKEKFEDDFDDFAEFQDGP SVSSRNPNLEDPTLSLDPTPLLLHLQSVRAELAGVTDEDERRVRAGKEVQQILATLGL GEMEDDLGLEEMI
I206_06549	MSTLTSLPSSSSSRYISPDVPSTYLRQVIVDLLLKRGFEGAEAG ALAEIERLLEHHITNLFEDSVEYAHLTGRQEVNVIDLVSAQEGSGWGVKRLKRESKRK RGKAPQIQYDQISSPPSPDLPNLLLFDDDPQETATESEDRKPDLNSMSGRKRNEKGIK PIYSQDWFPSLPGKWTLLDTSKVDSNNHQPKEEVIHDQPPSQITTALLDFIKLTATER GDIPPELGVVNYSRVQNAGEDANMDKKGIVSGKGMKRKWGVKGVSTRS
I206_06550	MTKINSPLDGICQIIHATLLSPPIQFLLVSNVIKDEWKISLFTQ LYDNSITWSNHESIKLNPIGAKEEDIAQAIKEGLLHVDCGTKTQVSISNINQIHVHFL IKPEPKIISLKSVEIVNNVMGLLNATYQLLNRPQPKVNGNSEADIRELKLQLSQKDSE IATLNSKLSSLKATVVRATASDVNRKKVQQSPQKVRPLPGASQLQPNQKRRKVVEDEF AGSSSDDED
I206_06551	MTSLASSPSSSFIMSYRPTSPLSRPGSPLSSSYTKSRSTSPFPS HTHTHNNKSKSISSLPPHSIHRLTDTYYPTCQAGPSKISSSTLTRSSSFQPCHASRST ARSRIIQDENTIPNGTGLSRSSSIRSIKSKRRPSISGTISPSRLPSTDCVSYFPPFED MGSQAQEGESEGGSDFNVANHSSSRGSTKDERRGHHVKGRSLGSIAGIMSASLSWGLS SISCTTPPAYPDIEKKDDSAVKALNETISNAANGKRRVLESFTLTTNQSVGEFDHLPS SALLVDGPEKMHKRRMKSEFEVDMVLSRSKSLGGRSGRTTYRARVMGEKVVGEEDIVE SLLMAETPSRPLTTRKPRPNLRLPIPTLGSWRFPLGPSPPVTCLSPDIPLEAFNHAID NPCSSTPSRLISPMKVIMTNQEEIDLIEYELSPSPTSSNSFEYSPSTPPKSNQLNHNS DVEISIKNLNSSALWSESVSEKELDLNLRRIGSRTSEMSCETIKQEDWKDLTPKPSKI LKRHEGLSVI
I206_06552	MPTPTVDLLKSKYNTADAPDIKVSNPAFVPPTQPLTPGKQLPTS VPLPVLPAVEAAKRLAAFAAVDRHIALQHKVIGIGSGSTVPYVVDRILAQGFDANKDR VFLPTGFQSKELIIKAGLTLGDVDQYAKIDVTIDGADEVDNELNSIKGGGACQLREKV LAEAADTWVIVADYRKNSEILGTTWTQGIPIEVAPFAYAKVLTNLSHMGSPSTLPNGK PGLSLRMGKMKAGPVVSDNGNFIIDAPFPVEMMKDPIGLLHRIKMLTGVVEVGLFCNM AEAAYFGNEDGTVSARLKDGSMEKISSVPDIPELKAQV
I206_06553	MTSIRSRKAGGPIKTSILGTGMSLSVFHEPSVSLLPDQFKLHSI YERSPKGRLDPLIKAGKLDKVKVVRSLEEVVNDEEVELVVISTPNNTHYEYAKSLLVA GKHVLIEKPVCPTYQEASELYDIAERNGLIIGVYQNRRWDSDFLTLKKLLDSNKLGDV LEMTSSFDRYRPLPSTYKAGENWKETPGESNNSIYNLGSHLIDQAIVLFGQPDEISGK VWDSRGIGLDENFVVNLYYPPTPPSKSLKTVTLKASILSPLPHQLRFLIKGSKGSYVK YTLPTSHPALKKYTSPADHEGFDIEPEEGWGTAYIAKEEKDGSDFTEETVQAVSGDYI GLYKNLYDTINSGDRGKLAVKREEVLSVLRIIELARKSSEEGKVLSFQ
I206_06554	MPLDYSKWDNLELSDDSDIEEHPNVDKKSMIRWKQRDIHEKREA RKLQIAKLKSELELNSVLRPRIETIKKGVSEKGLNHYRSVQRRIKEAPSDEKPNTGSP NQPTYDMMISQLLQDVYRESAWIVDGSQIAKDGKVLDKNGGFIKERLDWHIKELNKRD LAVKAEIEKEEKEQSKKITSEGIHDGWSQSSVNKAQPSPLDDKPKIPKKKETTETIEV LNPKGVETSRQSPSTTSVETDEDESFGPLTPSAKAFANIPLGAFEKSFAFIQKDSSVL TEATHDSLLAEAFDAERRGDKGLAQRCVHQSLLVNYCRQLGRDGVGLFFQKMISRNPK SIEMFMQDFNQTYTRIATRTVEMIAEEEAAGEREQIQLVAEDPSVEIGFNLPDGPPPV DLRLEGEGTEEMDIEQVKAFLQRKWDIFQAFPDNLKNALKTEKLDEVNKVLGKMKVAE AEKVVELMQEGGMLSFR
I206_06556	MMPRIFLLLSPLLFAAVAQAATFVGCVLAAEVALTGTNGARVTN QAACDTRCTNGGYQYSYYIASAITPTTNCYCDQLGSYVGASSYVVGSSASACVGITGA LQAVATDLSTTFNFNGCTGTLVGVTVNLVDGTILGGAVVNGPQECFSRCRGNLNAFVI PLVPGATSLVPTYGCVCDPSGPVTTGACGFGQFYGFSHSASASQNAQSRKRQQLLLKA SQDRIGRTFCPGSMKACVIPGSESSWECIDPQTELESCGGCAYGEYTSSGAVNTTLTG TE
I206_06557	MSTSKSSLANPTYEPVSAPPGGFQSFQSFYPFYLGEHSKPLTRR LHLVGTSIALASFARSTLSLVPNLLASAAKSLPASHGIHALHNKGFLVSLGLDVYLAK WSYDTQFLEIEGAGRWLLGGVVGAYAFAWISHFFIEKNKPATFKYPIWSLRGDIKMWW EVITLRRSI
I206_06558	MLPRMMFVLTTLTLLSLTQAATFVGCVLSLTVSLTAQNGARVSN QNACNTRCIATKFQYSYFTANTLLGTINCYCDNVGTYVASSSYLVGSSSDPSSCLDTS QATATDLRTTFNFEGCSDTLTGVTIDVAQGTILGGAIVQDPHACFQRCAGNGNAYFIP IAPSITALLPTYGCVCDYSGSGTAGTCGILEFYRYTHSATASQNAQARKREVESLAVK ESGKSFCPKQMISCRVPGVEDSWECVDPQADLESCGGCTSGEYKDSGFINFTATGQDC TDMPGVLMGGSTCTNGDCVAFACKRKWTLRDGRCVRGRS
I206_06559	MYSTVLAILLAPLFVNAATFTGCMSSEVPARSKTGSHAVILEGT DCIDQCTASGFDYSYSYFDETDSLHYCHCDSTTALEHQKDFLRPAYQGERCYEGDATI YHLSTDLTFTHCAHTLNSNSEETIIGWIVDTPAKCFEHCASTGAYLLPPPVGIENGDY ECFCQQPEIQEEGVSSFCDSATYRRFDPFVKSNRLVFQKAGNEIKLQKHLSS
I206_06560	MSISFAEQDLQPTYQALINGTADYDWAIFNQTGNELKVQATGNG LDELEEEFSDGRIQYAFARVKDPQSSLPKFVLISWLGDGVPENRKGLYNIQSPQVQDK FLRGAHLVIQARSEIDVTPAHIHKRIQESSGSKYSSSASGPATKAAAPKAAPSYRPSQ GLGGSQGKPTTVSTPTSTFSRPTPGPPAAVTKPPPAPARQPAPPSPPPPAPAIAPPPA VRTEARPTATAIMSASTPASSEASKPSYEDRIAPVGTAYEPVKLSAPGKLSNRWNPGA QQESAEETTSTGPSLKDRMAAFSGGGNAAPTPAAPRPSGQKLTWSERQAEAKRQREEE YKASAAAGTAVTGSKSSAPSWKPPTAAAAPAAPARAPAAPAPSSPPAPQSAPPPPPAT SRPVPVAPGGIPAAVSRSIPPRPASPDSDEEKEDEDDWGAPPPPPPAAPFRPEPVVED GPAEEAVAPPPPPPPPPPPPAQSADPQVEELQRLKQDLTLEEAPPSGPPPVPQDSRPK TESAPPAIAGLRAKVLFEYEAAEDGEISLREDEIVTQIEQLDEGWWSGTNPAGQAGLF PANYCEIIEEEQQAAPPAPPPPPAPPAPPAPPAPSAPAFEAAAAPPPPPPPPPPPPPP PAAAPAPAPVSEVDEEHMVAAYDYEAAEDNEISFKEGDKITNIDKVDPDWWQGVCNGT EGLFPAAYVVHPSEYPSE
I206_06561	MSSNPQSVPPTGLSKSAAKKRAKKAARSASVVGGQTVEGIRSFS GEIPISTNQPPSVAPPLPPSAPDSLDPSLFNFSAPPGSYPVDVQYDNNGYYDDEVDVP LNVGNHHTHQNNFAGSYSIDYNLSLQNGGALAGLSAPFNITHDDLISAANELYKRMAD PEFGADDAYWSSLPPHIRQFIRDAVPFTGTISQNTPGNNSSQRTMYQMAQQIVQAASQ GMGLGQGIGSNLMSGVTGVNGMSGNQRQFNQPSIGEELGFRRHPDARDEEYEDEEDYE VDEPDYHAPNGDAPKKKNKKKKKKNAASKLSETPAAPIPPPTTMRPQQQPPPRQPVPP QPQMQQHQQQQPHHQQPALNPPPPPVTPAPAHPPPSSRAAGKQPMSNNNAPSSNPPAR SARAAGKAPASAAPAHNSHSGHNHSHPSASSKPTAKGKAPAAPAPPAKIWTQSSAEDR ENIRLFWLGLSEAERRDLLRIEKDAVLRKMKEQHRHSCGCAVCGRKKVNIEMELDQLY EQYYDELRSYAAEQRAAANGIKPPPNGAGPFPGSVEVDSTGVITRYDHRAPDPMGDHD HDDLDGEDSEEYDDEDDYGDEDELDDEELGSDEADVGDDLDDHQHHNHQHPPPPPTRN NQQRPPAPNSKPPVPRQQADGGDDFLSFGSSLATIKGGILTIADDMLKNDGSKFLEMM EQLAIRRSVREDQNIRDMQEETDDEDDESKDEPLTDAERMEEGKRMFQIFAARMFEQR VLQAYREKVAKQREEQLLRELEEEEDSKRAREEKKAKESQKKKDKKKMQKQKADEEKA ARDAAIAEEQRAAKARAEEQERERQRRQEEERNRREAVKKAAQEEAQRQAAERKKRQQ EEKEREEEAAKKKRERDEKAKKEREAREKEAKEKEKRDREERFAKEKAEKERLAKEKA EKAEKDRIAKEAKDKAEKDRLAKLEHDRAEKAKRDEIERKEKEAVAAEQAKALAAQRE RAKADKERAAAEKRAAAAQSAIASAPTPSLPISMHSIKSPRNGNTPQTTPPVTQPSPI KAPRPPSAPSAGSSSVGGRSQKTPQPYYPQPIPPVGVPVPSFQRMPVPQTYSAGFRGA PGFSTQSPAFSPPQTNGPGPSISPNPPARGFAPEPSPPFEHNIRTAPIGMGFPPVKPP TRIPSVDEPFSTATPSTAPIGTTPLPSSRHVSGEIGSIGSGLSVSGSSALDDFSRPSP IGAIGTIGAPGPIGRPSNSGTFLEQPQSSLPHQSVSGISGSSALRSSSPAQPEQVFGS AALGGDDEIVQPQQRRNLSNGGWDVPVAAAPGSGRWSSSPSIWGTSAPTAGSTNTNES IIGGGSWGQMPTIGERQQQPSSNSTSSSINGPPPPGFINQTPGANRQPSFGNFSLGSN NNNNQNTSLQGAPQPPPVIPFGHQQHQHQNLFSPNSQHQLPHLPHSHQHQHQHHTHHH 
I206_06562	MRATRSKLQSIASSIRPRLSDNQPTPYSWINDAIPGSSSGKLAG KTIAIKENISYHASPTSCSSALLRDYNPPYTATCVQSLLAEGAHIIGQTKMDEFGMGS QTTHLPPHYTPVHNPASPRPSEPPRSAGGSSGGSAAAVAEGSCWAALGTDTGGSVRLP ASYCGVVGLKPSYGMISRRGVVAYADSLDCVGVLGRDVQTVEDVFGKYNMNGMYCDVL TDCKSLDVVSKPDKGDMTCISSSHRTNASRILEEHLPKKGIEGLRIGLPKEITQRTAP SLLNYLKKEGAIIQEISLPSIRRALPAYYVLASAEASSNLARFGGGWYGSKNDQASEG TTESGLEKRKRIRTEGFGKEVKKRLLAGTWALSADEFNNTYLKALQLRHLLRKEYQSL FRIPHPLFLNEEKNNSNEGLDIILHPTSIRTAPRLQCYDANSQNGIKEYDQDLLTVPA SLAGLPSISVPYEKGSDGWPLGISLTSQWGMEGLIFKLGKVIEDWSRNR
I206_06563	MSNAGPSRRYDGRRYDQYRPLQIEIGELDRADGSGRFGFGSTAA LASCSGPLEVRLNKELPTRATLEISHRPLEGVGATPSRALVTTLESIFPTVLSLSSYP RSLIQLIVQSLSSSSTTLNSSTSSNYNPDIYIDIEPDNNEKNVWPQFGNKIKFEDDKS PNTSYPFSIRSTSINSITLACLDNSSIKMINLPISISISYLINKGLIIDPTIQEENQS SSRFGFAWSFGKNIKVNVKKSISKQDLKNKMDIEGEEDDNNNDQVEMELVWVESEGKF TKEIFSDALELSKIACRKILDEIRSKLGEKLENRKLQ
I206_06564	MSNAGTTNILPEEYGKPLEDSLDHLVSNSRGKLGGDKDVLAPSE ERKQGDAVHSHGEKSRGWLTKFFPSEETLDKLFNMEHMGNFVIDRSTGKKIFETMPIY VRVGMHLLFVSGCSYMSNSSVEKLLENQSIKQGKIYDETGPEVKEHIEQFIKTYDLPL NELLVQDLNQYPTFNSFFSRRLVATARPITSPNDPSIITSPADCRMTVFNSIDQAKQF WIKGKQFTIPNLLTGGDDTETRFKDIQEDLTSAISIARLAPQDYHRFHSPVEGVIGDI KDIHAVNPQAINEDLNVFTLNKRSVMLIHANFGPGKESVPIAFVAIGAMLVGSIGWSK KPGDKVLKGEELGWFQYGGSTTITVFPSKSGVMFDNDLINNSEHGMEALVRVGMEIGK VNVKV
I206_06565	MAQGSSKNIKTKGKSVGSSKKNSGKTKPGRRDIAPKDKARIAER SQKKKLSSTINNNIEKQMVNAASAGKLTIMRNIGDLEAGAGKDGSKGKGKA
I206_06566	MSDVTYLKNLLGVHPNFPKKGVTFLDIFPILRNPIAFETLITHL IHHILTNNEIKPDVIVGLDARGFLLGPIISMRLGCSFVPIRKGGKLPGLVEVVKYEKE YGIDEFEIQSDAIKPGQNVIVIDDLIATGGSAHAAGELIKKSGGKTLEYVFIVGLPFL KGHEKLDAKSYWIVEAED
I206_06567	MPPSTNPRESPPHLNNSNEENNTNNTNTESNVVRSNATAQENTR ALLRSLEELRDSLSSRVEELGNAVERLRDQAGVLERALEGEVSTQRNEITNQRNVRDP TRSRQRARDIVNAFENRPNPSTLPITSNSPTPRTPTAENAIMDLSRIITTEEISTLLD RASISTPLQARNPDPASNALWISRAENIENRIRRLSETARELRSRATEANDLSASGAS AGGGGDLLRGVLNRARETREYQSGLVDRLRIAQDAARIETGRVNSRTTRSALPAIPRT PDIIHSAPMSRNRSSQASRGIIPSTRSRRRGLPPTNILQVGRQPAPQIVRSNPVSPAS TIRPSLEETCSDIPNQDLSERPDEQPVNDDPLGLALDNAFAPAAIPSPNAHADRQITP TFDSLNQPTRPRLSNTNLMDMARSIVEGISNPLPTLPPPVSRRRSDHANPRERDSDSA LTFRGRRVVASMGENANASTRPRRSNTNNNSTEWDENDVLRTWPFLRQFLQSPDPPAP GIRQRESPMEETVRLIQQDRERENRDLELNEWTNNRNSNGIPVDQTRRRRTDDYNSAL NRINRNSNNINDNDEEEEEDDDDEEGGEAHTVFVIDLTTDPPTRQSLPRFIFPQRRTT AERTRIRQDRQVANELIEQSRNDLENLDLLPNHNLDGGVIGPSITNGQRRRRERRRLS QIIGNSRGNRLNLDVEIGLEVNPSNAITPTQGISMADIESGMEGVMRSLILSDDDSTE EVSSSIGDMLSTDEEWTASAVVIEGEGEGENRAQDRNNRFSRQVGIHQQQSSLTTDQR VAPKENFNSNLHLWPTHYTLAGM
I206_06568	MIGSSPTLTWLNVLIGFLFILFDSLLSLILGLGIGGSLIVAAAR CILQLSIMGLILDKVFASNNIWGVFGIAVLLNFLGAFEATYNKSKRRFNNMFPLILAS MLSATVPISILGTRFAMAQNPFWQPDQYVPVLGMILGNAISAIGIALNSVHKEFSENK DKIETYLAFGASRFEACKPVGKEALKLALLPTVNQMSVIGLISIPGMMTGAIVGGKSV EQAAKLQMIIMFMISASSALSVLLALCFSLTTLVDSRCRIRPDRLDSRKPIFYRYRDA AGEKIWKGIKSLKFWGRKERGSEEERRGLLENHRD
I206_06569	MTSTPITPQRSSTPSPTIDPISPLSPISPWPPISSSTFLENSEK PQSDLPNAVDVYSSIAILGTYLLFGLYIIWSFSPKDIKWLNWLPDRQWAIIIPCWIIM VFLLTYWSYAALTIYRTPNWDSIDCITDSYSGIPSGQPVNEVSDSQVEEPYYWKMIDE QASSEVVDLPIDLVGRVLYPPRRRKTHSSDR
I206_06570	MKSPSLSSSSRSPNPPLSARAPSPNLPNRPSTSSNRSFKRSEAD FEAALLDPKSTIFLSASPNNSPIIENDEVKSKNLKSSPFLGIAVDSNAPLPIEKHSFE EDLNNLKYDDESGKKGLGILMESPLTPDKNGSIGIIPPTPSTIGNKNGRHNRRSSQMT TDSGYQSSNYSDTTNGTPGRRKVSQSLGIDAELGEKTISKSHQMPPKRRSIFRSPGTA SSPDLATLVRKAKEAKSSPQPPMANTSRNDAAQKQYQDPPASAGPSTTTIPINSGRPG TSGSIRYGNMLGGNQMASIAEGSGTIGSSARSRQQSDEGFKSMRTKARGVFGKMFGSS KDHHLPPITPPSATSSRFPVQSSSQPPVPPVPSAYASNRRKAPSPPDESVEVFRTNDH MRSQSTRSVSASTIPARQGSPHAGYDGRTSVTPTDRRVSGYSDLSVDKPLPAVVEPEN APQRTPSNTLRVSGHTRQPASTAEEDSPHSMESPLKPSASPGTRAVHTFKSDMAGMLE DIGQTDPAKELGLPPDSMRRERNRTTSNETARHETSRSRYPSELGTSPSMRDHSHHHK THLSPVPNQRTSSLPFSSPQLDQPIPKRTTSSPSPNPSLNGDSASGLLPNQAVVSRAS SKSSGKKSDHQGSPSHPTVPSPNRSPSLSRGIKKPFDELASVTGSGSQQGSPLMPSSV RLVSSPNEQEQGKLAAALPLDLLQTSGLEKMSSFRNMSPWGKQPTRMMSAPNAHDNPH LGLNRDDQVEVVDTPSETPKTAHEEDEEEKGRRLACEFLEDDFTNVSGEKVAEFLGGP RPINSIALKYYMQYFDMKGQTLVDSFRDLCQKLHLKAESQEIDRIIEAFSARYFDCNP STVYGTPGVVHTVTAAMLMLNTDLHIAELNKHMSRTDFVRNAMRAIQESMPSAERERD GDSTPDLTRGDSSSMKAGFGSNGSMAPSFVSVRAKPSITANAPPTQRSASAPVVTIQH QHGPIRTESTSSIGTNGIADGKRGGSSTTVSSFTYNKAWEMEAENALREIFASVKAER ILLPISGTGQGTTQSSRQSMISISSNGPFDRARNVRSPSDRVNALKRGSIRGVQGLLN NPYGSQWSASDGRLSPTPSYATSINEVSRFFLQGLGSFAPTLGFASNLSHTVIREHDD EVRSMDSNTSVDTAEDMDDDELALLGAPWAKEGLLSRKLYWESINKRAKKNDWKQFFV VISKGELYMFTFGEKGSGNMGFTMGGSVGGGNWLENANANGQISLMHTMSVALPKPGY NANRPYCFSLAIPTGETSFFQAGTEDLVQEWVSTCNYWAARKSRQPLKGGVSNMEYGW NRVIIDSNGNDENEDDKISLRSNKSNLSRLNINSSTGGTYGRKSIIMNGNDKIFINDW KSPPPATMPSPLDEENQLEALQNYIKDLVEELENHKAIEEPMNKLYTPGSKNFLKAKE NWKSKSHYIHTEIFKYETYVEALRNAISLRVKKQGEKKLEKSLARSMTSLHRQISNNQ NGKEEEEEEF
I206_06571	MDQNHAPPSPLQQHRHSSSRHANQGSASTQSSSSIDNKLKNPVG LGRQGTTTTCASPTSAQTNLTQWSGAQEPSSSATSLPQTTTSMSWSQESEEAAVERWR AQNQSTSSTLTTSTSSWEHNTNTQPLASSSRCPSPHHISIELSDTTIQSHSPRPYPTD APSSSLLTSEPLNRTASANASPTMHTPEPSPPRRKRHRACSLPTATPIFKRRKSRHDT PTPSEIWQRTYETGRTSPTKIQRQKAAAAELGRVLRSNRQIKAVATTQEQHCVVACRQ PAINVSSLRTLDATEILKNPQLRHDLLFDSLAFRPVNLPYEVPSKPGYAEIVTGGKTP VVDPRASSFVADMYWDSIAEELSTGCRCVRWSMPKNQTKLVGRMVEKLERIPQCLCGR WRRDLTENEWWSRAAVWPSRLPELIRTLREILVSLMGSTTPCPNHFAHSFSKEALEAH EAVCPTVTHALVPELYAALDPEFLTIQARRGVFDLHLFEKLGEAMKVHCAPVRDEVVD DMVRTALSGDVAKGLRKCFDCAEVMKLDIANHQVHALRPYLWDNANQHEYSAFQSILA HNSTTPENSITRQWINSASRKVLFAAEPRIRPHLIGKCDCKNNKELVIRSLATGFIEL VFEQWDSEKSIWPPTVTKRVIGSNGIPVLDSIHKSSIKIPESFKMDTRRIRDFHSEVI DIAISHMVLLAFRDLFNQHNGKVSPEVLQSVLKKARSDIEWTTDQLSTISKKSEESIT TTEEQKLKSDLAFILALRIVRPNSGLTDEINNLPNQEEMKIVSKIASTLTTYLNVNLT QDSNLLKGNMKRLKIFLIEKISNILLSYRLNPTSTFYDQKVDKCLKRSYELSPSSSSS NNKDIEMFDQQQQEKLENKTNSSSSSSREIREKYEIFLIKSKNSENEFIKLNGMEGII EPIKELSNRMIKIIAFNLSVFGEIYAGQGMIIGSG
I206_06572	MATSMVSSQPEEISAASYVGFDSITRQIEHKLLKRGFQFNVMVV GQTGLGKSTLINTLFASHLIDSKGRIEPDIQPRQTTEIHALSHVIVENGVRLKLNIID TPGYGDNVNNEGCWDPIVKYIKDQHSAYLRKELTAMRDRHIPDTRIHCCLFFINPTGH TLKPIDIAVLRKLAEVVNVVPVIAKSDSLTLEERAIFKQRVMAELQYNQIRLFPFDAD ELDEEELQLNDRIKEMLPFAVVGSERSVIIDGKPVRGRKNRWGVINVEDERHCEFIYL RNFLTRLVTIFPTVMNHHASWFSQVKYDLIETTAQIHYESFRSKQLLALKESSAKAQQ ATAVST
I206_06573	MAAPPQDVYLAEGFDPNTLRVPQLRSILLEHGHGITSTAKKAEL VEAFERYVLPQASALRTKAGKVKPSGKGIITVSENGDEAPAVPAKRPRARSRKTTTQE PEVEIVVTEEIVEIEPPAKKPRASRARKSVSIEPEKKATKGRARKSAADVAGKIEEVD AGVEAEVEDEDTPAPSSTRGTRGRASLAPSTPSLITPASDRRRSAIGSSSSATTELRT PSVGPEIVKPPRSARKSEPVKPLDHLAEESEKEESPKKPIKPKTPRKSAVAEESGFSD FNPFQSGSEAAADAERRRRRKSSLGLEGMRKPTQPRFSEPAPSASTPTTPGLRRVGPS REHLRTPPSDVKAAMKRELDAAVEYNQAVEEKLNQITTRDSEEPPRVTVESHVVPVET NSLVRRVESQIAQVPAPRTTIPLSVLFLLLLSLLANLKNQSSSLGYCDSASNTNDVIL YRQSAYENAQACIARKASLELDDHEAAKAVQCDVSDLPLVPFLPRPTGCTPCPPHAEC TDGHIVSCIPEYILSPHPLGFLGSVVDGLPGIGPRAFPPSCKPDTAKKRMIGGLAKEM EKELSKGRGLLVCNGLGKDDGKKGEGERYGVEENALRERFLARRDPKFSKEQFDEIFE SALKDLVEHDDVIESIDIHGKSWYASSRTDLTLGCRAKLEAKDLLDRWKSQIGGTAAV ITIIMALQSEVKKRRAEKYRAEELAQVALKRLQDQEQSHYVDPSLTPNPFIPPDQLRD LVMPPKGSTASRSRLWAKVQDLVEHNANIAVREREVKGELWKTWEWTGTGAVGERHVT WEQ
I206_06574	MVLAFGMDSRKINELMSRLAKRSEKDATKFVKKYKNLEAALDNY YNDPASINAGTSTSNKAQEKKLAQIWEEHKDSSDPKLIKIDGTMALCEELGIDPESDS VLFCLATDLGSKVTGEWEKEPFVSGIASYPGNIDSLASLKSYLPTLRKKLNTDPAYFK KVYMHVFTLAKGQDFGARTLQLEMAIGLWTMFIPPALSSCPSSLCKITNNSIQQQQQQ PEFTKNEFDLWIEFINKKGKSISKDTWSLLIDFIKSIDKDFKEYDDEGAWPSTIDDFV DFVRTKRNIK
I206_06575	MSRPPTKRSMSSDKALPALPAGGLGIDFSGLTKDDIETRNDHNM KLYKSPAPPFVPLRQSPIAPTRIPSSPSVISLSPSTPLASSSAYPFPYTNGNYSRSTI LNRSTTTLTTQGSPSGIIPPKPNPTCSVTPKQKVGFGKSSISADSINSQKFYIKSYDG PSSSSEPSQASGSLLKRKGSSGQLLSGIGKGLNRVGSVIRRNTEGNIGLSTNNQSPRK FSTSTWKRGRRKTTGWDDSWEKVKRIGEEVDEGDKGIGRPFNVGHDLHVSPDLSDLPE RWLLSLKAQGLTESDLLLISAARKKQHENANSTLPIRGSSRLYQAPLSAPPSRFLDAP FRQESPLGPSSELSHSALLKKFSFENSPSTTPMTTPTRRHDQPHQLELAARHLSLESE MEPEVVVISRAGRRKIDSFPVSAAGSSDTGHITPLSQDQPPVPATSAVSITEDSESYL LPRSRNKKRFSRQIQTFRESTFGLGEEDEGEWGKSILDQTLTKASYPSSTPPDERLKD DRIPVPPESPSCSTRNNKWLDKPTLSGYKTPSKRPSIEDLPKSPPPPARSRRSPSASQ VTYQISSPFIPLSEDEHEPRKSSESFGVHYDFSMSKSKSSVGSEIITPSMSMEDSISP RVIELDEAYSDEGNDHQTLSKDNNFDKLNPTLLKIDSPQHCSRPGIRTFQSNPDLSLP SSAIDSRPTTLDSLHLIPLSQKNQTTPKTLSLKPQSSYSTFNSEIYKSIGEDDDDILN GLDKADSEERASIALSILSSRTSTSAQSLNELREAIVSKAYKLTPVNEIYDERDKVWN EMENNNESRNPADSTKDVGESIHHQVDGSSDFQSTINTGSSRRGSMSMMSTNTGWELV SGEFDERNDNSAKDAMDALGEAAKRLKSK
I206_06576	MLKSKTKCINFGQSYASIAVIDKEGHPTCIANEEGERQIACAIS YVGEQVYIGNGAKPHLVKNGKNTIMGFRNLLGHTYDEVDHTTILTAPLLADSKTPAYT VDILVPPPKPASNPRSAAASGTATPNPAALEPVPSTKTITVPEVTSLFLSTLLTSATD FLGVKPTACVVSAPTWFTSAQHDALKKAAQDAGIAVLQVLDEAAAVLVGYRVGLSEER KMVVLDMGETSLAVSVVQVSEGEYTVLNKGRDDRLGGREFDNLLLKHFSKEFTKRTKV ALDLPCGPDASAADKRADAKLVLAVEHTKRSLSASSGAATCAVESLKDGYDLSSSINR LRFDGLASNVYRQVGNKLNEVVKEAGLDLAEIDEILLAGASTLFTGLQQHLSLLVSPT TPVTSTIDPSEVIAIGCALQALHLENLKEDNLKVEDVLSSTKEKVDVTSAPIGLIIPG QESDELVAVVIDAGAPLPVRRRVAIPVEKAGLVGLELWEGKHEVKITKVERAPIEKSE DDDEDEDDEEEEEDEEIKTPITKKVKVLGGVQVDFKGEGQLILEVIVQRNGGLNVKAW QEGNESQIDSFEV
I206_06577	MRLSSALIALPLIMAVNALHFYFESNEKRCFMEELPSDTIVEGH YKAYIWDEPLNQWKMDEEVGIHVAVEELSSGHTVVNTRGPPDGRFTFTSHEPGDHNIC LHSNITGGWLINQHIKLYLDINVGSSKPDNEADSSHVTTLSSKIKELNNKVSDIQREQ RYMREVEATFRDTSELTNSRAVWWSLLQIGVLISAAIWQMRYLKVSI
I206_06578	MTNSVVNAVDGVITYLAGIFDNPSINYKELVILSTWVQTAFEVY VLRRQMPCYERPLPPPALKQHISKETFTKAQSYSKDKTRFALLKLIFDQFLGWGLIKL GAYSGLWNFSSKLLSNIGISPNRVITHSLTWMTVLTLISSIPGLPWSYFQTFVLEQKH GFNKSTVKLWITDTIKTYALAAVIGLPVLAGFLKVIEWAGKGFVPWLMVFLISIQLTL QIVYPTFIQPLFNKLEPLPQGELRTKVEELAGQLGFPLKHLYVIDGSKRSSHSNAYFY GLPWSKHIVIYDTLIKDSSVDEVVAVLGHELGHWYHAHPTKLLLVTQLHLLLTLTIFS IFINNKSLYASFGFDPSLAVKAPQPICIGFMLFQLVFEPTDALVKFFLNAETRRMEYQ ADEFAVQLDKKSDLSSALIKLHITNLSSPHNDWLYSMYHHSHPTLPERLNAMDNYTGE NTLKLKGKPAEGEVETEAKKDL
I206_06579	MTYNPLHLLPIPPQSIDPKPIPTSIEFDSFSDTLWIGTSSGIVS ALCTPLNLTRNVQFPTHGSKPFSSSSNVNGFLGNVITLGGGGGGMNSSVREIRVTDRD VWTLTEGGIGGRMRGGRAKWTVSDPSRSLRTMSPNPTNSHEVLAGGNGQMILANTSRG EIVRKVESNESSIVKLSPSSFNRSVLSASLSGQINLLDPRTGYKSLNTIIPVQAHTGG LSGADIKGNMVCTWGWTHMQGHPMPDPLVKIYDIRTLRPLPPISFPAGPAFALLHPTD PSKLVISSQQGMLQSVDMSGGSAASTFQQLDVNSYVTSMALSPRGDYLAFGDADGHLH LWTNYETGETAQVDENGQLILPTFNGYDGIKPEWPDQPDPPPPIVWEETTPLNLIGMP YYSESLLSNFPPELYATEVSPFYNPPLPIPPAVLNSMKMVDFVGYATTPKELKGKRYV IPSRPGAGKNTSNTINGHSFSGRRDSEPRFRSEKDKKNKSTKKINRQNSTDDQDVEND GLDQGEIPKYYRKVEIKYSKFGIEDFDFDYYNRTIYSGLETDILNSYTNALLQAIHYI LPIRKVATAHICVDCKKEHCLLCEAGFLFRMLEDAKGRNCQASNFSRAFSATPQASAL GLMDDNDKSTAPYGSLIQNFNRWLLSTFSTESIVEGETFNLRHKGIQDLTLDKENNTT SAIDQVLGLEIKTTNTCRSCGFVSERDTTLHAVDLLYPKKSTRPLRFDDVLRSSIFRE NTTKAVCSNCKSFTPLDSKRTLSPKRQNPLPPILSINTMMNSIELFEHWRTDISTDGF LQPFVEFDGGNGENVRYEVKSLVVQIQENDSTPAHLVSFVKGETEAKVSQWIMFNDFL VRQVSEEEVFNFPDQWKVPSVIILQRIDTSSLLELSNLPTSIDKSVLLKDVSIAWNKR NAMIKHTIFGPNELPQPGTLIAIDAEFVALQQEEMEFRSDGTKNILRPSHMSLARVSV LRGQGEKEGIPFIDDYIHTSETVVDYLTEYSGIKPGDLDPNNSPHTLVPLKVAYKKLR LLVDLGCIFIGHGLAKDFRTINIFIPSEQVMDTVHIFTIPGRQRKLSLKFLAWYLLKK DIQTNSHDSIEDSKFALLLYKLYREYIEKDDQGDEFRILMDNVFNEGHKLGFKPPTDR PNSPNAFPPLQAPPTPNPPKQPRSRKSSNKNSNNNNNNNTNTNQSNWTDTINVSANGN DQNNGNNSGNNNTNNGGRGRGRGRGKAQSQQNQSQNQW
I206_06580	MVNNYNNSNSIIEHTSKSNIFNSNSNDDKQINNHKDDKNNLIIS PINEITITTIPPSPSPCPTRNRIITPQPIVQPSSIGSTNSLTSNSRSRSRSRSNPHIF GSKHSTIGDRNYFPLSSSSSSSTTTPIPTPHIHSSPNKNHKHLRPIAITRSQSHYINR HTIKDHPQEKNGNIPSIKDKSHNNPLKRLSIDDTFHNNYNNAVTTGSDVHTPTQEYGS HSGIITRRRPSISTPTSNTYTSPRSRQTSLLRSPPSVPASSSSLGWLTGNRLTLPPIN NKHSTSDDEREEDEDNEEEEENSKTLRTNKKWDWRMASNGLPKYGESSTSGSASGSSS TTNTPFISMTPPSAYDVKSMPPPLPIVNSYNTSPPRTSILPSQAPLASPSNLQPLPQD PYNILPLSVPSSPLISPCVSRAPTPHQSPHPSLQDLASEYAASANVQSSSSRTESSPR TSISTSSSRYGWGTGRSDSRSSEEDDLIPPFSPITTSTSHRPVISFPRSGWWQRSLIS SKGDTPVSPKINLSNNNGNPSRIIRFPVSANKFVPTGTRNWGWLFEVIPGFASSTTTT IASTDSSIIRKNDSRKNSITTKTRNRERERLMSGHSRGRSDVHGPFTSRRKSINQKVL GSKWLARVMVFVPTEPWSISLFLIFFAAFAVTLTFTIKHILNPDKEPLPWRQYCTSDY PTLYSLQDPSLPSPHVNSMVNSNPSFPSTEHIESVPVNSFAPSSSKPLTLMPLTPEHP AWPYHPHDKPPFSPEMSTKELDESLNPVGVLIGVFTTDAGVERRHMIRQSYASHWRSR RDGTEGIRIRFVMGRPRKRFEKAVQLEMEAFNDILLLDMDENMNSGKTHAFFSWAAEN ATVPSWEYPQPEPIASDTDTESNSVDARQGRLSPIWKGEKKPDYVVKADEDSFIMLGE LEKRLRVSPRSKAFWGYLVKNTFMAGECYALSFDLVQYIHASPALRTLTRGKEDKLVA KWMNMHPEREQIVWVTERCWIYDHPKAGTVYSHGFLFPSIVNEVRTENLTGLSPSTLS LRGGAEAAKSYSSVSKFGVAYRPISTEMTVPEQVEALIEGSPLSLLKDTSLNSDSSVQ QQQQQQQQTFSKIENIQTQVNKIYSNRPTRKERFLNDENELGGTVVVHYIKKNEWFQE TMIALLGGASNLDDQVWHKGVSTGLGALEKRKGRVIRNGKGNDNSIEPGKFIDHNDRD EKEEEGEGVKLRKGDGL
I206_06581	MSNQYTNGYGHNHNNNHEKPEIITKENKNGIRNSNDSSNQFINN NNEDQKMPDTIPNHSKIASETIFQMSPEEYALAEKKLLRKLDFKLIPWMTLLYLLSFI DRVNVGAAKLVGKF
I206_06582	MTYETGLTADLKLTSSQYSNASMIFFVSYVAFEVPSNLVLKKLR PSRWIPFTMICWAIFQTTMGLVTSYGQLLALRFCLGLFESGLFPGLNFYLTGWYKRDE INRRCAFFFGGAVLAGAFGGIFGYALSKMDGVGGKAGWSWIFIIEGLLTFIVAVLSFW MIHDWPDTAKFLTPVEREMVLHRLKQEQGLASEGGFTWRVIKKALLDWKTYCLMLMYI GAAEPLYSGSLFTPTIIAYLGKFTVSQSLLLSTPPYVLMFITTMTTAFMSDKYQRRGF FLMGWSLIGAIGYLLLLTIPIHHPGGLYAAVFISSAAVGPLIATTIAWTGNTFGNHYK KAVAMGLVFSAGNSGGIVSSQAYRNKDAPRFLPGHGTALAFCLLNFTMATILYFGVKR ENARRDAQYGPAPRPDEVQEFDDPEYLQRWGLQGMSRKEIVELGDDHPAYRYIL
I206_06583	MSFIPILTTSLKGFAAFWSLVLFAVSASFIAKCYGYFYREDVND SYLAAGNALIAGGILFMLYMGVALFFIFRKPESIFISVMVDTIILGFFFVFFLASTAC LSTEASFFSYWDDVDDWASLGNAVLGLGWVMTFLVFGILLLEVIYTMKNYGRSYATWR TPFNQLLTYGTSSSSKSHNEGVLETGMASKGNANTTTAVPMSNVPPAQAYESPAPAAH TAPTTIQGEPHHTVTPYMHQPQNKQQVNEKLSPYQGQLPPGAAA
I206_06584	MMNLPEHNKVLLLAKSLSGLTFEEISKKLDKPEVWTAALFYGQA ICQDKETAENLFKVLNSNNNNNDFLEEYNNNYILNNLPKLTKEKFINSLQGIGSEGSL GVKGMVDRDKGMEMPPKDPVLYRLYEVLLVYGYSYKAIIAEKFGDGIMSAIDFRTSVE RKKDPKGDRVVITMDGKFLSYSSTEAWKG
I206_06585	MRVTSYLTLPVLLLASSAIAESSRTANQISQDANKLLAAGSYIE AARAYGEAIDLDPSSYANYYKRATAYLSAGRHSAALDDFDKILEINPAFAQAHLQKAK ILAKEGEFEKAQSELKAYGKSKVDTESEELARSLTLAAGASKSAHKARKNKDWNVCVD HATKALEVGPNSGGLRELRVECATELGDVQAVYGDLNRLASLNPSSTLYPPRLAYIAY FLLGSESATTHIKQCLHYDPDSKPCKAVHKLIKRLEKDTAKARNFVEGNVFRQAIRLF EGDDGLLARFDTALESAGLADQFRPKESSISRLELYSLACKAIVGLGDLSRKGMKWCE ITLELDENNVDGLVAKGEKLLKDENWDEAVRILERAFENTGRSRQDVLERLQKAQRLL KVSKQKDYYKVLGVGRDADDRTIKKAFRKAAKIAHPDVGGSEEKMAALNEAYEVLSDP DLRQRYDNGDDPNDPHQGGGHNPFAHHGGGMPFQFFQQGGGGGFPGGGFPGGGQKFQF QWGG
I206_06586	MAPVKPIDKANKKKRTFFSSKLDIPIPKVIVKEKNKEKPLASTK IVLSALEGLESIHLQRDQPKTRTQAKKVKDEIPAKRVKVYTSKSKSKAQAIITRNSKM KNVNNGIIGKIRPKTIRSVITTTNNVIEQKSNSSIAKKGNTTSSRRHDHQPSKIFGNK RKVSVKSMTKANIITIKSTSSRKIPPVVKLFQTSTSSKLLLKNSKTLKEGSTKPTMKS SESQPRSGPSITNEKAILDSSKKGKESKSLKKEYMLAGFYCQDPNPSSSKQLHNKILA IRSSENKSSKSSAKKPIVIRHTRHNVKSKTSDEGKNQNDKLIIENRPSFPPLPYDHGY ELFFKQEHDFVLPYYIMKEKEDGKLIAKKKPTQFTKIRGNIYPERQKVMTDFHAICRC SPESKCADQCINKLMSYLCGKECPAGEECTNKTLTKRKPAAYKVVYTGTRGFGIVVME DVKEGDFVMDYRGEVISIDTFMDRIQDEYKGSKNFYALAYDQDEVIDAGMKGNDARFI NHGCAPNLEVRKYQTAGDGWEEFEVGMWAIKDIKAGEELFYDYNFESFGVAAQSDELR TRCHCGAPNCVGFLGRKAGEKSAKELAAELARNAKIMQGKKASIKKLKHRLAEKAHVQ AQIKNTARLGTTVLGLESTPSIVSNTDTITTNSIKTPSELSPSATRNVTIRQPSSSPL SEESNSPVVEGIADGKKRKLENSNVDGGIHKKKARNSEPLTLSNKKIKQSRKSETGPA SIPPSTLTVPLVHKKSRKSEPIIALSKSADLKVEMEDKSMNNPRISMDVVREAARMKK AEIVKARKGVPKGWTIVLPGQEPPPRAPQPVVSGRRPPRDRSSLG
I206_06588	MSSNSTSSSESRLLSSVEILKRKRLNGGTGRDLEDERHSKSRSP TFSPESKTKNRNNLDNMSESALSNEHRVVNSELKVVKEEVNDSKQEEITRKQDYRIAR SKLKRKEYESEMLEERLNRIKAEKANIQLEKRVENLENQMKVKENRITKVTQDHANLL KEKTTFEEQNAKRIATLENDHKKKLDLIKVDHATQIAQKDKIIQDRDKTINNLQKTKS SFENANHSYKEALVACESTIRVALKIKSP
I206_06589	MYDDKTISANNWALPVKHYLEVDKLHRSGNESTIGMSSLGLTRE EAIQRLNIQKEKLRQGCVKREETIRNIQMIFDRDMSTIKILEQAIEITDLESKISKLK QENINFRNQIIDSKIEKNSIEQLKNEFALQKNENQVLKNENDNLKLKIQSMERTIEDQ KSIIERLRKENIKFRDCNDALIAQDVETKLQRDHFEKEMDKYKNENDRYKSEIDKLKR NAKTLQEELNYRKLSKSDERGAAGHVRRPNKRNHISEANKSKVSFENKDVDASDQMSM YTLEIERLEKQVIDLQVVLGMGEGLKGQVDEETKKKFMFDEMVANRNERFMFVERGVE LTRHKELNKSQKRKIDSLESEYYKQKKVEAELREKIHKYETTL
I206_06590	MSQQADRYSQQNPNPMPARSIPKPPETIEYICGDCGAKTAMKTS ELIRCRECGHRVMYKPRTTRSE
I206_06591	MDISQALSALEALSSSTSTSSSGPLNALIDIHFNNAKSRILAGE DPKVVITELQKNVKTCKKDVEKGLKAWYGALGNVGKEVDRAFPPNLGAISEALSDPPL FSSPEASQALDGVILESLGRRGIWEAVEAMEEETSQSYSRSKRDLSSELQYIIESIKS SNLQPALQWCETNSRFLSSPPHPSSLPYHLHETVFKSINNQQDAIKYARSNMMGYVPS QPVLKLITSCLYDSSNSISSSTNGFGMNGSGMKGKLMNGKIIEDLNEAKEREKLEKLN ELQKMFQSEFCRLHQWSKEDSLEVTVELGSRGGTLDKIEKARRVMGEHLGNVRKWEEL PMEVPLPPSRRYHSVFVCPVSKEQATESNPPKMLTCGHVIAEESFNRLLKGGRRSVKC PYCPMETSQSVAQRLYF
I206_06592	MPIAIPAVSSHQVNKMNVLPVDQVHGQVEETSKVLGAGGKELPA PYKSSGNEALDTLAFLHLLEQLKVQKRSGWIREGVKEAESISDHMCRMALMAMMIPQD PAKPLDIPRCVMMALVHDLAEAHVGDITPVEGVPPHVKHQLEEQAMDSFLNEMLGGAG NKDARERFRSLWDEYEARETPESKLVKDLDRVELALQAVEYERSQDIQSLSPFFIGSI PHLENPTIQKWAETLMEERRQLWASRGREKEEQDGLQGVTVGSKVKKPNGI
I206_06593	MPPEITIQSQESTQQPSIEIQPATVATSAEPPLSTVNEESPEIL NSDARSSTEASLGRNGFQGSSVEETGESGLNWTQSQIKQNQRLLVDKPEGRSNELNED LEVQATVWETSLPDQGRIQAGTEQIDDQLAEESEDEVITSPDIPGPSNHPRWNTRSPV TKPQTTARASSPLTELDELANDSSREMLDLEGGLEETEVDVPQIQTPEQSPPPSTPPA RRVNSFRSPTSPQVDVSGRKLRGVTSYSPSKFLTSSNGHQSNRKSTDMTPFKPFASEK TLMINKRSEPSQDSEESLFGKEAEIVKKLKPIENLQRILSGNADATVRTEPSRLLRRQ PLNGMADKEEQEGQPDSGSSQNNGTNVGAPKGHIDGTQEEQEDEQEGVNWEKSLPVNG DTFTDRFSQTSPTPPQPHIPPNMPSSPHQSQSQSQSLPAGNNTRLHHFAYSGSIASQG AIHLSDGLFSDVGDEVPDFSQSQHDVLLPATQMFARQSSPYQAPLESLPEETRSSRAS VASQPDDTQLNEQELPSAKTHADNHFGPPSAISRAASTTSITSRISVPSHRQLARRIR TRENSEEALPTTTILFTEQPSGVHKSPGGDTTRRAVSRAPSDLSTTSRISVPTHRLLT RRKRAQDESDVFPPNLHRTASAVPTETPALALKLNQSETAIPRAGSSPFKKSSPHRVS SEPPMLQETLRNSIPSGSSLPQGAQHAAQNSVDAEISPAEAVLKYTSPVAPSFPFRRS LSPTSPSLKAVNNLVPPNLPSILEKTQMDEKPDEPAAAGSKQDDPTLQPAPAPRTSPK HYAGRNKRKRIVSSPNSDDGMKTDSSSTTPEDEEDHTYRPVPETKLPKKMSIKAHGAS SKPRDVSKSPDPLLLSSKRKRKPIVRSSSACNPPSDSQSSSSAPEDLEDNTYQPSLLP KLKNPLIENTRKRGSAPSSTSSGIHVTKKVKKIHNNAQVARLSPSISISSLSEAVTAK PERFAVLAAFFHRYYAGEAVWTGRAYNIEFCDGEKKQNVKPDMMRRLILKKGDALEGV ESTLPKHLEVAQDWDGHVRGVKCVSLKGEKLGRVPLKDFGINNKVIHASFSDRLFEDP HASKITRSDGHKKPSIPRSPTKRSGAVYGTPSKSARSNSPTRLISNRLKGMLFFITKG TRDDQASITLAIRQNAGKITTDWEDLFDKSSPGGYGFDKNLDGTPFLILLGGSKGGTI ITPKAMVALAKGIPCLSERFVDDISEGNDVDWRSYLISPGFSIHVEHYMSQVVDIAWG GEGWDPEIAGPIRRPMKGKTILFVLPGPKFEGLKRLIPVCAYSMGADELHTVTNTKTS ENTIKDSKWNYILFEDREYIDKHGNSKSLPKWLSDEKDRLVNVHWLKQCLITGRALPP SLDLEKTEEKKK
I206_06594	MLRQSTKYIIERQSTSVLNIRNVRGTQQDIFSNRPNVTRIFKYT TNSKEKSNINSNFSSINSKNQTNSQLHSTPTSTSTSSSSNLNSTSKPKSKLILKYIEN LNKISKKTGVSINLLTISFLILHELSAILPIFLIYWIFSIFGIGLNLINYISFITSSS SSSSTQNKNSNYKEKVEVKEEEEEEEEEEEEVEEIKPVDGFIKKLIKNWYFEGESRIE KVGKKYGILGFEKIQKQKIDINHSNINKIEEGKKAIDINTNSGTTIKVANAIAAYVVV KALLPLRIAFSIGFSPTFARYTLIPLQNTFKRLKR
I206_06595	MNEKSDQPQTTKEQRRSSGTRYLKLRRLPTLQEVLDRRTRPPLD LFCFYIFLQRESAEDALDFWLDVQQHENMCKAYFKDIKKSGRSIEEEWPEFAQYARTN GSHFSPLLSLSEYYQPQSPNPNLGSNSPSPRIHQGAEFDSPTLGTATGRERRDTESHG QIARSTAFSRTPSSTINELGQNTDSGIPQNKDRPSMTPSGRMSLRGKFAGERKIKAPT IIARNRAIEKAALVEGAERIYLRYLLPGAEREIYLPPSLRIHNFPISTSQDVSPLIPD LFHAQKVYIFKALEQDAFPRFLRAKAFGNLSPLGSFVRLILGLVCLWGGFVLAFSLIF LDWKPKLTRLWTILPFIFATNLLLSSYYSLSPLLALFNQSETTPFRLIKVKEPYVRKL LTIRALWIELVCILITAILVVIFTVVPGHRL
I206_06596	MFFLRELTHTILLHPSYFGAQLEDYLRQKLYEDVEGTCSGKHGY IISVITISDIGEGKIMPSTGQAKFKTTYTAIVMKPFKGEVVDGKVIHVNKMGFLAMVG PLQVFVSSHLTHGDMKYDPNASPPCYRSNDEIIQKDTKVRMQIVGCRVEANDIFAIGT IKKDFLGQIRED
I206_06597	MPDIIEDPLTPKNGLTLTPFHLITLIISSLSLFLSSLNLPLEFL TIIGIIKRISFLFICLIIGHLIIISQIENYQKKLKNSYIEEKGRYDPIIKSKIDKKKN DWENPSSHPSHFLTTRDGKPRLFPFPLGLAGGNNKNSNNKKTLWWEAGNSAHVGHYNQ RETPEAREQFRLEVENKEKKRQKKAKKAIEEYEKSRKKWQKRLIHLKLISIIVIIGIF HRKIAVICLAGLIYYIFAIEITSMLKPKYKEEETSAKKRKKIPTTPGMAMTYIYEKDG SSVKPSGAIPTKSPSHRLMTSLDSRYAS
I206_06598	MIAIRSPIPTHLASKPTTPSTLRSPILLSTSSNIPSNPNTPRNK RHLSSVKSDVHPLVSPVNRLDKYFSQDQRPSKRFRLNHTPHSSTSSDIKLIDNGTSGE GIDNCEKGKIVIFAPLIIAPCHSSRPINKAKMDVQMISQIDMEEEEREEQYDVQPKLY PTFSTSRPKLGRLDTTASLDFEPGSSTISNSSYSIGGMSNQASPTKPASLPPVMHLKR NPKKLSLSLPASSSTSYSSSPDCSTPSTICPTPTAESDSKFGTPYTPGPPKTPALAMS LGRSTFKGNRRPSMLSLITNPPGNDDEVPPTPGAIHPYATKMTKTKGVRARSQTELEF MGGSILDQQGRSSSLGFQPIDENPTRSSGGLSALGFALPSTSSLSGGVMVQAYNSSSP TTSNSTISDEAASSSSSSSSTPSTSPPIPTAFTFQMPLQDYYTSKRQKEPYEDGPIEF LPGVYLGSEDSVHQFDKYAGHSKRVRIVNVAQEIDDPFDPSTSSHMRGWTTAKGKEKM KLTTYPDPNGRPEIEYCHIRWSHGELGLADLPPQAILSDLLDFPTQKSLGGEEDGMWG FWDSIKWMEGGRKEGIPILIHCQCGVSRSATLAIAYTMALAAIGAMSNTLRGIRSMQD AYDFVKAKSSWVGPNHSLVFQLVDFARHLTNLLSLHLSTTNDKIITSFPTNSDAELSE AEWAKRRKEFEESEEICCISPEEADEEARRLDEEMLLRKARR
I206_06599	MIPSILLTTLPIPSLLLLMIITLYHSTPLLKLLPSLTPNLEKLS NIIPHPKRSKNLPREFFNLPPRPNSPSVTSYQSADYSIRGILGVRGSLILILLIKSLI SLICGWTFLSTTTKFDEPQSINWGLVATSLILLPNTIAWLSIFTILSKPPIIYQKYSS ASTTSTIRTLIFRNGGITHSTLLPRILPMSLFTSILAIILSVTLPAYGSYVCLGYTSL CMAIIIGSGILGIWRMVTQPGEGLIRLRGESRMSLYEKDHLRALSPGTESTYRISNEI AQLKEGDGMERIRDTSSWLSSPSRPPTPVSSFDYASPNGTMSTSHTKDSFKTPKSRPS KSSFSASASFAVLPSTSAFTSTSTLITPEHNNKSDLSSAAEGVINDRSWLSEPTNTPL SVSEWSFPESPASPQPAITRKRSSSPLDLPLSPLEDGRDTNLPPPGGKRIPQKASDRT AYTHTIGSNTPGSEIRSMVDASILGDYSPDPFHPLPPRGFQSLTSYPLSPDQLQSKTS LLSRVTALQSGASLAVTPVEKESPTFGLHSHHSASDPDSYKTPNAKNARNRRAPSTID RRAPPPPPMPLDMPLPPTPTLARSSTLFEIQNKDSMELLMGNEEWIQVDGEAESLEEW GTNGRGVGLIAIIVCMLYYGLSLPLLLDNRTDMAFILYLIAVLLPSPFLVLTSWLIRY RPFPTVPATRSTKRSTKTTSSTHLSLALRSESQLSLPLSISPKLTPPAPKRASTMNLS SPTLQKMIEPKPSLTTFLGSTRGENRRHTVYGGLSFEDIQAEQNMRKTLARQSGDVWI SSGHAIEGGGFISRATEMLKPVPAMRVLEDTRVKRSNEGTIKRMRGGVVSMLVKRASD LFQSANSTNDIEMGQFEDADNTINNIGEAASPARSGIAISIIAPSPEKRISQFARTAS SYSTGQNEGDTDPGYDMSYATAEIGTARRGRMSNGPTFIFGRDKHEQNVQSRSQSNEY ELDWMTAGVLPGLVPFIKIGENVRIEPTPHSVPAGQMKHQAMNLDTPRRQRPLSNMPV SPEQGNETYVTMPSFRDTSFKQSTPHNSKRHSHTRSYSSSIDFTMVSEYYTAETATSM SREMRHRKQASLGLGRSDTLEKTITHKPSFGLPKLRNDEGFGDEIRRSIDDLQQRPHS DEMEAGIDLPPIPMGITTTLKHKSSLSRVSEMTEEPTIALSSSMGNSTADHTQDTSVL LSESALEDMRLALALGTSTPASGKIAKTSTGTASTADLSVGCSVLTNDEDLEEMERMM AMDTPTRTEFVISPPPPSDGRSSRASEIRSSSRASERSVSTYTTDYTTTTSDTTSNST PARTGPKTSPAPPVPLLPFEYRQPAYPVSHPYPPPLSGGLTRQLSMPSMSMSMTAHPP LQTLLPKRSTETLHSVSSTSTAPTPKIKGQQSKKELKLVKALEHRNSLIEQPRSKSAL DFRSDAADVGKKSVTEKRGLKPLTLVADNTSNARRSSRPLSGNTKKLTVLYDSEVDNG SKVSVGGNKGKVSSGSGKENVREGSKSSTGPATVGVRGLRA
I206_06600	MAIPAPPVSVNPQLTETITPALPHHPAPSSPESYDSAKKESEYI SQDGEITYGYGTANSSQDELYAKWYEPPDSYESKHRWDPKANWTKEEENKLRRRLDLK VAFVACLCFAALQLDRGNISNALSDNLLTDVGMTTKDYNNGMTIFYLCFLSAELPSQM ISKKLGSDIWIPIQMMLWSVVAIAQVGINGRSSFYATRALLGLLEGGFIADTILYLSY YYTAAELTIRLSFFWISYTATNVIGALLAAGLLKLRGHKGLPGWKWLFLIEGIVTFVI GAWAFFYLPASPTQTKKWWRPKGWFTEREEIIIVNKVLRDDPTKSSMHNREGLSLIDL WRSLTDFDMWPLYLIGLVAFITPSTVQAYFTLTLKHLKYTTLQTNLLTIPSWILFAIG NFSCAYGAKKLNSRLFFILVQPIWHLIFMIILIVLPDNTNRWSKYIILTLVQGYPYCH PILVSMNSMNAGSVRTRTVASSLYNMFVQSASLIASNVYQPSDSPYYHKGNKVLAGLS ATSILLVLFAKVWYIYRNKQKSKIWDNFTTAEKEDYLANTTDKGNKR
I206_06601	MQSILHPIIGPAGPEKKDLTGTVSVITGGALGIGYEVARFFALY GGHVIMVNRKEEQGEEAIKKIEAQLKEQGSKGSVEWVGCDLGELKQVKEVFSGLAKRL DRLDYLILSAGINSNQFGLDADGIDRHFGVNALGHYYVINLLYPLLRKTSKLPGVEKG SVRIVFESSEMHRFAPGSEDSKHRGRGVHFGSEEEITEAGKELGPIELYGRTKLAMIL YAKSIRDKVIKKNGDDIYILAVHPGAVNTDMQDQWEAAYPGIIGTMTKYATLAMGRDP EQGSYSALYAALSNEVVEKDYNGYYLSDPATPGKETAQAQDLNLATSLWELSERMTKR IVGEDALESWSA
I206_06602	MSPNITEIDSTSQFDNIVKGLPPNQLLVIDFHAVWCGPCHAIAP VLEQLSNSYKHVKFVKIDVDKQAPLAQRFQVRAMPTFKFLKGGREVDELRGASPPQLN NLVSKHAGTPAAASSSSSASSSTVKSSAPADTTGSLLKHIVSNGLSCLNESSDHPLSS IVGPNPGPRGTSYLESDVDAELLISIPFQDQVKLKSISIFSAISPAQAPKTIKLYINN SNIDFSDAESLSPAQELELTPEQVKGDKIDLRFVRFQNVRSLHILIKDNQEDEETTRI DSIDIYGTTGDASTEKPAAAPPQGSGSMLERLMGRS
I206_06603	MPSGSTEQSRPIWHENTDLRRDLRPHNPYRYDGTNWDSKDSQKH LNFNHNACLLSYMVKWRCSPYIHAHGWKPDSQRPTVLEFLTEVGFTNVLDKPTGWAGP WPPGPTRINDYNKSVTATLANCSSTTIGSTPYDPLLAQSVASQAPLWLVTPSAPSQQP QENYNSPPNPSINQSMPPLNQAGSYGQDSYMPHQYQYSQQADYPPSGQYPHYPHYPQY SQYSQYHDPSGYSQNPSMGVPSTFSANTHDPNQGMAGLPAAPDPATSSGHWGGDYSAQ TDTGYGTDFGK
I206_06604	MPTSSSATPITPYQRHPPPPRRGHPTRSLHISLSLLILGFFVVY AQKHQTFYKSSTTYNVNDKQLPERFAICSKDGSKVYTVPEDEGIGAKECVVVAKGIVV DTGSIGKIRRKWITSMYDQKPFNAMKIIYLPQGHTLTPGFIDSHGHPLIYGHAQQLRL HGCKSVAEVIKRVEDFVKNTPIKPGGWIEGLGWDQNLWEGKEFPTADDFKSSPILREL PISLSRVDFHVEWVSPAILRMLGDNIPDVQGGQVVRDEDGKPTGVFVDNAIDMLTAIR PPWTDEDRERYLNIMLNDAMSKGLTGVHDAQGFLKEVPFWMRVAAEGKLPIRFYQMVS CEDEDYCGDKVDHCIDEDSHFTLRAVKLFGDGALGSRGAALIDDYSDKPGWKGFMLKP EETWGPLIKQWYNAGWQVNVHTIGDHAAHVVLDAIEAAIGDELQRGREARFRMEHAQI LTQEDIARAARLGVIASVQPTHATSDMWYAEDRLGPERIKGAYAWRTYLNHGGRLTLG SDFPVESIDPLKGFYAAVTRLSEDGKSPQGKGGWYAGEKLTRVEAIRGMTIDGAYASF SNSTGSLTIGRKFDAVIWDDDLMSVPDDEILEVKAKGVIVDGKMVWGSLG
I206_06605	MTTIKAGLYIIDHIVDGKLINLKSKDNKRKYVIPTNSEDLINNN NNYNNNQKLKLKNNKDECKNINYLVKIARIAFKNGDSRPFFKTFCLLYEYNKSKNGFS GCFSTNDLNHLNINYNKKEFNQLVSSDKSSMKYIKIYAYLMESLIPIPTARFRLGGSI LFNAIPILGSLISTISNLFIYSLTAFRISVPKWLLFIEILFPLFWGSFFALIIPELGA LAASRISPSRRAASKFKYWLKLRLILSLDNTNMSKSLNTIFPKIKEDGDMEYHWECNP NLEKEIFGKDLNLKETMRKIRTRQKEVV
I206_06606	MSSVAGLQRIAPVPTSSDFIDIVLNATMRKTPTVIHKNFKISRI RNFYMRKVKFTQDAFDEKLGKIISEFPVLDNLHPFLSSLLNVLYDKNHYKLALGQINT ARHLISQVSKDYNRLLKFGDSLYRCKQLKKAALGRMATIMRRQKDPLAYLEQVRQHIS RLPAIDPNTRTLLICGYPNVGKSSFVNKITRADVDVQPYAFTTKSLFVGHMDYKYLRW QVIDTPGVLDHPLEEMNTIEMQSITALAHLRSAVLYFMDLSEQCGYTIEAQCKLFHSI KPLFTNKPTILVINKIDIVRLSDLSPENRAYVDNILADKSVTVVEASTYSEEGVMDVR NVACDALLAHRVEQKLKGNRIELVANKIHVAVPQKRDDVERTPFIPEAVKHKVKYDKE DPNRRRLQRDEEQEMELSGMGIYSADTKKDYILADESWKYDKIPEFLNGKNVADFIDP DIAEKLEALEREEEALEAQGFYASDESEMLDSDEEEFQDAADQIRKKKASIKKISQEK NHLQNRPIIPRKKRHVTLTEFTTGMRKQGHDPLVLEKRAARLMEGKKEAWEAAEARDA AVAQQADMDVDMNGDEDSSRPSKSRPIKTKSGMAVSRTPRSNRQTAGLATSGQSDKAN ELRDFAQRLPNRLAKASESDRHVPITRPKWMLAGKRKGGKTDRR
I206_06607	MASVDQLAVKYSSQLSQLQAIFPSWDEGDLVFTLQDTKGNVEEA ALSITEGRASQFTSATKKKPIKSKETFSSKGHTNRNADTGGWEAVNGEGFASRGDRPN RGGRGGARGGRGGRGGEPFRGGRGGRGGFRGARGGASNVNGSSKAPQNGEFPTTTATT TEGWANQVQQATSADEQEQETNDAVADTSKGDDFSASGGWGDAPAPKELAKAAKAGGK GWQKEIEKPVQVASSSVPPAAKPKLTWAQIAKPVEKPKPIPPPAPVVPEPEAEAEPTT TSDEPVAQAEGQVAPEALEETPAEEIEALGDAPAPEETEEAAAAIDEAPAAEEPFTAK PAEDLLPEETIPAQSETGGDAWESDPAIAGSGAQPEWAKAEPVAAPEPVTTYQGPPGF NSVAAKAAPGVQIAQQPRSSSRAAQRYKDAEGQGVVLPPTAQGLAGMEMQFGSLSFGG INGDGVDSPVPPEQPKQETPVQAAPVPAPVAASPIRSTQPSAPAAQPQQHSAPTPAAA SSAPTAPFYSQPQQTAQPAQPQQQAYTSPHQTLQQQMQAYQYLQQQQQQQAAPAHSQQ QDQSQQANQYYRQQDYYNNPIGSQPQQQSETQPQQAGQTQSSQQQQQPTASPYDSPFG AFGQQSHLFGQPAQQQSQQSQANDPYGASQRNYDSYSASGYPRPPVDEPKAAAPAPSH TPSAPSQPPHQQSGYYSQMGNMGYYQQGPYNPYYQYGQAPQAGFQQYYPLAQRNLYGQ PTPQAPPAPLQGNKPQPPASHSPYGQPPSGYPSSNAYDDQSFGALGGGRYGESANKAS PAVGQAQTSQTGAQGGASSLAPGSYNSQQGLHNFLGASTTSSASNVGANRAQATTPDD GFKSQQSSLGGQRSTQQQTQQPAQSNQANAAQQGFNSYPYGGGYGGQDWGHYAAAGHY GTRNGYGQHWQQ
I206_06608	MPGFDPSAMAMMYQNMMKSMGGNQNPSGSNNNNNNNGAPAINPN MAMRNMGGMMGMNGMGMGGMGGMGGMGGMGMGMGNMGMMIGMGMGNMGGGNGPRNMNQ QVRPIPNAPRGPAAMRGPNQGSTTPNSGTGSGSGIPPTGPGAQRYSTQGNARAKPY
I206_06609	MADANDLYKDDLYGDLDLEDLDATQLEELVEPPELEPAPSSSSA QPQASTSTPAIAPLAQQQTNDFGGQTSQQPAFNAGGGDITPEQALDRIRPSDMPDEGK MFIGGLNWETTDDGLKAYMAQFGEIEACTIMRDLTGRSRGFAFLTYKASNSVDKVLQK THTLDGKQIDPKRAIPRAEHERTAKVFVGGLAPSVTGESLRSFLTQFGPVMDATVMFD KETTRSKGFAFATFGDEDSVQRAMENSGIELEGKPIEIKRAQPRGAGTQPGKFGSGNN NNNGQNSQSRNNQFGNNNQFNGMNNNMGSGFNGPSGAGGGGGGFDPNAMAMMYQNMMK NNGMG
I206_06610	MKRLFRTSKSPVVDPLPPPISTPASGTSTPTNHHQEHRWPFGHS HQPEVTPFPIDAGRENASTLPPLSNEARKGKQRGPSGGNNPNAPSLLEIRQMQDRDQA MRSVQTHHHQHQNQHLHQHQPHQSKGYGGSNASASDEWTVISPSNSHIPIPSPGSNGH GRNQMYDSSLFEPLAIPNAAFATSPTPSNNDPSSVSTHTVLYLPPGARPATPPSMRPP PLGSARNSQASLNSMSTAHYGEAGDIISPPSNNVGRERGHSVVSATGNNTNMNQTIPT NAPTQSSKLQKAYPQPTRSPLANTYPSPELTSFPSPHPYSLPPPPPPTTQNLNGFNPM VPRAEEMPNVVNLSRRGSQMEGKEKKRGFLGMGWGKGKDKEKKDTGDVNRPITEEPRR SFDGWRGQTVSGETESQPSSTHGHSTSHEQEDAPRGRLLGLDFGGGGKQQQQHQQQQP NDAGSAIRWLCAQPDPPPSQIYDVCDRIHKSQGMEGITKDAARAIRKEFKGGNENERK NAAKVWLYLMRNVSVKGFRQHASSKKFLSSLEPILLAPASKPLVSQPTYKLITDILSD LTFSYGLEKGCEGLAELWKKVKLPQESEIGNPLPADHPIFSPEPFYPQRQAYHYASPD QSFPSSRRASSPSLQYLSANHQDIPNGDQRGPSPNYGGPRYANLPNHGEDIRRLVDEC TAAKESARVLSEALIFTGPDELEQKPVIGEFYRKVFLAHESLTNQMDWAQAEAARSRE SHAALTLNGNSDTDNTKHDTPEEQALATLLEAHSALGEALKQHDDLTRLAGEENEMRE VRERSKKETKLDRNVRLLPPSSNQAQASSSRSPSPVPHARLPPDNTLKVTSSPRNYDL PLPPLSNGTAALPIPGSNNPFRNAIKSRTPSPDQRHPLPQLPKLSSSPSRTSSPLGRS RMGGPRPLPNPFAKGNNASHQSLANLANSAHVGSEGGNIPSRNGSGDTPSRSGTGDSS SQGAHVPAEEVDGDDLPPKPIKPSRKALGKRRAVIDEDNNFNPDDMFNPNHTDPRSIN RDGGQGNPNSNNNENENDSSEEDYITLLDDKTKIKPKIVYAYDAYEERQLELKKAAQA LKISEKYGGGGGGGSGGGRV
I206_06611	MDITHLPPSRILTYHSHLSLLPSSLPKESTSSSIAISECSQRQM KSKNEIVYISKPPEDGKSPFSTLPTEIAISILSYLNLTDVLSISITSKRLYQISISST LHKQITLNNIPTIIPNLLKTYILPSVKELTLNLHYQQYRNIPLRSLLYKRKLNVQTHT HSRNSITHCLGENTKLSSILEPILRYVNLNKLIKFNIPFSSSYLPLEELEFIIDGLGE GLNKLDLRASNLNGNEWIRKFQKFVNLESLDLAFTNIHSLPNPKNFKRLKYLSLSSCS LLSPESLSVFLSDLPPTIENLDLSRLEQIPFSALWNLKVVQFNRLNDDDLIPTKLKEI KLVGIDHLTRRDIRSLRRHWETQRRNCFNPIGNSGLHNHRINWETKELRTPEMISRTL SSSSNSSSSSLEEELQTPSTSYSPAPRLGAREGLELGKSRLPSQHTFLQSLSTSSDYH TRSDYPAMYMGYNGLGLPQRKGSNIWDDREETTSIHIIHSAILESEDEDGYRQFIGEV TGATLDAGIDNGGYVEID
I206_06612	MRRKLVIVGDGAAGKTSLLNVFAVGHFPESYEPTVFDNYVTEIE LDGKPVQLALWDTAGQEEYERLRPLSYSKAHIILIAFSVDTPDSLENVSQKWIEEVRS ICGRQIPVILVACKTDLRDKAIANGSFTPERYIDRATGQRMANAIGARAYFETSALLM QGVDAVFEAATRAAVTVRDQGHGGVGASHDHRREAYGGRRRSEKDEVEEKSKCCVVM
I206_06613	MAEAQAQGQRGGFGRGRGGAGGRGRRGPRRGGKKEEEKEWVPVT KLGRLVKDGKVKSLEEIYLFSLPIKEYQIVDLFLPALKDEVMSIKPVQKQTSAGQRTR FKAFVAVGDFDGHVGLGVKCAKEVATAIRGAIIAAKLSIQPVRRGYWGSHIAEPHTVP CKVSGKAGSVMSRLIPAPRGTGIVAAPASKRMLQMAGIQDCYTQSKGSTATQGNFLKA TMAALSKTYQFQSPDLWAINDVGQSPLDAHSGHLAIAAKKAAAY
I206_06614	MSINLPNTLPPPSLWIELLPHLLNQLENKKPSTESFQILQITLH IYASLQLSSSSSNYNYKKSNIPLKKLLEFSTIKEFNLINSTILLNCIISYPLNLITIN EILSNCFLNDENQNLVMTFKHDIIPNLLNRLSSLSLNDELNLLNLKKIINISLCLIRS HDELLIILSENSENLFKNLKSVYESINNDIQQHQQQQQQQNEKLEEDKIKIEIKSDIL MICKELLDNSSLKGNELLEEEENIIKFMGNSSLSNNKELFQNGNSLKDDWEIIFKSNR DLNQIYKNILEKQRNENAKSDLRVKYLLKLFPTLQPYLLLSALSHPNFTSLPEGSRAT PSEQASPIVEIILNGGEGLPNDLNDLKIAIQNLSQDIPIAQLDPKVQINGNEKLKINT KVERRNIFNDEDLDISKLRLKEDESTLPTLSNTIPDSLRASIMRLVENQVVEEEERKR ALKDANLLDDDEDDYEEGDDGIISRIKVGGDTRGDDDDDDNLNEEDGVKISKESSGTI TPSGPSDKQKLDILRTSYITNPKIFERDGITRRSNERKKLREIIGWDDGQIEGWKIML ERDPHKEDILAAHADRLSRLRDSSPTSHRQGNDISSRGGGNGRGGRGRGGGRGGSGGN RGNGKSSRGHSNVTRTRGHDKKMSKMGAL
I206_06615	MATRPAPPAPAGGSGRRHNSMNQSMNHGQFQQQQNVPRQKPRVG QYIIERTLGTGSFGKVKLATHAITGHQVALKLINRAKITTPDMNARVKREIQYLKVLR HPHIIKLYEVITTPTDVIMVMEYAGEELFNYIVSKGKHGMTEEEARRFFQQMISAIEY CHRHHIVHRDLKPENLFLDSRRNIKIGDFGLSNLMTDGDFLKTSCGSPNYAAPEVISG KLYSGPEIDVWSAGVIMYVLLCGKLPFDDEHIPTLFKKIENGVFHIPSHVSEPARHLL KRMLEVDPLKRATINEIRQMPFFQDNLPRYLEPLPEMDRYPALPMDDMTTLLLINEGQ ADPRKVAEAKGMIFTEDLGIIDPDIVEELLDKISTYNEPTVWDALQREGDNQVKVAYQ LVRDHRRILKDCGYIHEDEDMSAMEEFMASSPPAWNADIPVSFDNRYRNTRDEHPDID EEVDLEIQDIPNAHFDVLDSSLPGYMTRKSSSKSSPGRTVLILEFRIAPSSSGPSTAT ATPVTEVPQPDQLLEQEAAEQAARALLASQVPDPPRSNKSMSKPKWHFGIRSRSPPME VMLEIYKTLNVLGMQWKLKEEIALPEIGGAPPGGYTEEVEAALEQYAEAHNGERPIMG KKAPNKKEISSQEKIAGGLYHVETRARYGDVIVRMDLQLYRVDEQHFLVDFRNLGYYM VTEKEKDVPDVSRHDFPINSTSSNLSGKLHTKENGIINGTQQSIGGVSGPFHFLEMAC QLIAELASG
I206_06616	MGNTPSSHQHGQASPHHSQRQDEESSSSSSHHHHHHRHPNLRLP MPQRPTHISPQSSNPTSPSGGRSGSPRRRKSLELPDLNKLSFTPSALTPAAPVPTVHT HTSHHLAPSTSAITSNKTSPINTSDPPIPPSNIVPTKRWQHALGGRASPLTNPNALGA MSKLDNNVPSKSAPISMPNTNIQHRGDESNPYFPTTAAQEAAAKSIPIPIPGKELPPP LGKPAGLSPPPPTKAPTPLPPNASATQQGEQSTPLQPVDDGLVNVPVHWTGGGKTVYV TGNFADNWKGRIKLHRSTHDFNTTLRLPPGQYRLKFIVDDSWRCSKQISTAVDDDGTL VNWIEVETPKTEEEMRAEWAMDAKPAVKEENVDESAWTTTIPPALTLWQYVEELPTHF SPEEYQSFMKTIPYLPAVPPPPILPRILDKVIVNNDSKRLWDSHDPSMAQQTGYSAPA TLDDNSILAVPNHVVLNHLTASAIRNGTLGVGTTTRYRKKYITTMFFKPTLADMPGTQ PVTESVSQVPSESISQAQSVQ
I206_06617	MSSSTINDLLPSEITLTKLSNIPHEPKRSKSSALLTPNLPKLPI PPLKETLNKYLISLKELQTNEEHLKTKEIIKEFLKPNGEGEKWQKKLKEYSKDKDSYI EEFWYESYLSHSDSVVLSLNPFFVLSSDVTPRSDPQLSRAASLILSSLSFIHDLRNGY LQADTVRGIPLDMSQYGRLFGACRVPTDRGCRMEVHEESKHIVVLRRGQFYWFDCLDS KNRPIFTDREILSNLEAIVKDADRTPVHSVAANSVGILSTESRKIWSHLRSELIKSNK LNASCLSVVESALFVVCLDDAGPEDLAELCGNFLCGGYKLEGGVQTGTCTNRWYDKLQ IIVCSNGEAGINFEHTGVDGHTVLRYAADVYTELVLLFAKTINPSTPSLFKSKQSPFC KSAKSPPKEEDGEEEFEFQPKKLEWKLTPDLLAGIRYAETRISDLICQNDSQALEFKG YGGDFIKRHGFSPDAFVQMAIQAAYYGLYGRVESTYEPAMTKAFLHGRTEAIRTVQPE SVAFVKAFCSDHASVHDKIEALRKACKRHTQLTKECSQGLGQDRHLYAMYSLIQRDIQ AYHASRARGSSAIDDVPIPDQKPRVPALFKDPAYNLLGTSVLSTSNCGNPALRLFGFG PVTPEGYGIGYIIKDEGISICMSSKHLQTRRLLQTLQAYLNEVQKMLIGLWKEANERP EAFMDHTGVMRDARTGKKVEMDGDEDKEEDGMLGGFGFFDVGVQAALPQARRRRPAVG KQLVIAEY
I206_06618	MFGNSGNSFGALAMDDNQSSAGPSSQVIDGDEVDVDWLKLVKPN HDVDVRVSDKVALEGLPDECNLMVVSNKWDLLIVGGDSDIRIHRLSTFHNILDEAPKD ASPSSEAIQTLALPARPVWIRLALDEERLVIATSNGSGVHCYKLRDVISDITTPYHTF TTGIPPQLLDVLPNPAPSPADQQARLIALLGNEGLVVADIEGCSQSSPLPGPFTCGDW SAKGKQIVVGTPSGKLVQYTPDGIAKAEIPSPPDLDSYYPLFVQWLENDLFLVSYAQE GSQPDDPVETYTIHRNKSEIVFTKLFDPLNTMGLPSRSGLYRHFSGLRSWGDKTKHIA LIVSGASSEIGVLHGTTETHMDVPKWEVIILEETARGVLPAGKVGVRDDASVLGLALD LTSTKVIQQGIVGGVELPDLPPQPRLLAYTQEGTIVSFDIRYSNAGPYHGMITPQPIS KLTSGRTEAPEGSMSQTPSAPPASAFGSSAFGSTGFGQTSPAKLASTFGSSGFGTSQK TAGFGSSSFGQAPTPNSSTSQATPSAFGNTSQPSAFSGFGQSFTPSAFGQSAKPAAFG ASSTPSAFGSSTTPTSTPSKPAAFGASSTPVPAFGSSSQPSKPAAFGTSAFGSSSGTT AFGQSAFGQPSKPAGSTPSAFGSPSIPSGPKPAFAGFGSANKPIGFGASAFGQTPKSE DKIAASPFGSGGSAFGTTSAFGNAAGAFGSSSAFGQSAFGQQPTTTPAAEPPKPAFGG FGPKPDSSQTPAFGGFGQKPPPPSSTEPAKSAFAGFGSTPNQSSAFGHTKAALPEPKA DAVKSAESDDFGLGGFASALDGSAKPSAVPGLGESPPGSPVVGAGKNPAGSDEDTPPS SPPLKPAALPSISSTSSTASTFIKPATAFGSAPSVGSFGQTSKSTTPAFGAGSTPAAF ATPPSSSPSAFGSSAFGKPSTMGSSSPSAFGSSGFGQSSVPVAFGKSTIPATTKPIGN ISGGFSAFGAKSEGEKTTGGFGGFATASGVSVFGGSADQGKKTSGFAGFGGSGPPTPT SVFGIATDGAKRDNTTTSAFGASSAFPFSARPTGATLEKAVTEKAPPKPDEGVKGYDV PEPESVEETKVESITPSATPAKTPVGSTSPDLSPGTATEDIAPEPDQLPHDAPSDPEE SHVGVGFASVQAHSDQGSGDGAELHEVEAVEEEYGDEYNEEDYEDEYEEGEHEDENDE DEEDYGEDEEDYEDEEDEEDEEDELVPSGRRRSTSIPPDMSPIKEEASDELASDDGDE TEPKEAVEEEQSSPAERATGETEDEVSSLTKSLTKSPPTWFAKPVKTDKSEEDEEPGS PTPGSEGASLFARLSPAPSVPDAKPDPQPPVTSKLSQSSSFKHASRTSSPLSAPPENA STTPESSPAKPSPTSGFFAFGFQPTTEQKKTNDLTSAPPALGAFGSFGKTAAPKDSET PVNSGGGGLFGVQPVKLSQIEAQKVGFSLLDNKKAEDAGRNLSAPSTPAAGFSLFGNK PADSSKVPSIFGAAKPTPSATTNQSKSAFDLGLGRPGSITSPSAPQESTTDSKAPLFA SQPESKPFSPVQAVPAPPSKFSVPSRQSVPPPVNRPADGSKSMGALIERIILALGDDI QNLRDVLNANSKYHQSFGTTGFPPITSENLAAHDVVAFSSVNDLTSIVEGLTKELSDL RNNDNSAELNLAELQSRMLKTDMKTGQADKFLKARQDPSFAKVMQIKDLSPEQAASQT NLRKAVHAAESKLEDLDASIAGLKRRAEKRAQSKVEQQPALERVQRSVRNIDAAIRDR QQTIDELARRIGGIRLQSPNIASSASPTRNTPRKSTAISSVPASRAINLEPTKEIMKE VEDALDVERTNKMISKLEKLKVARLTKLEVKEAKAGYQKGIKGPIMIDALPLPGQLPP SLIKSPRAKKEEVPQPITSISTSTPSFNTPSTPQNAPFSPFTPITNNNKDGNVSTSPL GGFGGIKLNLNPGNISDLTKSNNGGIGGGGGGGSTSIHRGSGGSGNRTHTSAAKFIPT QSTSNSIPVGGSGLFEFKNDKQEEDNNIKKEQGKPSGFFR
I206_06619	MAPYVPLHDRSPYFSNLPTPPYSRDPPTPRYASPDYDPSLPLHP GSQLDMSSTSTPDYHNEYNTNNGSSTYAPRSTEGEAGPSRKRARPEEYTDHHIQQYHN AGPVRLTGSIFNIAPRNPFTAVVGDFIMANAAGLDNVEIEIKLGILMSPDHQGNPQRR IRMPTQSEMIVPPDYPLGPFHATMHPYQHKVLNNLLNQAAQQSVSLPPEQGRVNFSRS KLTDSFHGQGGRGGKIRVSRSRETGEVVEVVKKRRIADMNVFCPGAAFDWRISVNVEE PYDMPDSPPTMTRDKDRASYRHQVCQVDLTHVMSKENAQAKAVSSFELEIELLDVPTL LAEGQAQSDRFDEILQNALDTARMLVKNCDPAPQ
I206_06620	MSNPSYQMSSLAPVPPAYGDPSSDISWDDYYGTERENERPSVIY FCPGKISLEEKLNLDRQLQNVEKHIWHKGTSLAVGARLTEKYFEQEIREGLRAFLEDN RSGKYT
I206_06621	MSEEDLFARFAALRAPSHQPKEEDYSPGSSYQRNVDEVAKKARK EEDEIEKIADGRFDDVSLGKEEADEDDELRKRIAKLRGYDSAHSANANKDCEGDQSVE DFLASFTSAPSHNPRNRDGSVLKDFKKEAATALREAEMYVPPAAQTEEVQSDDDGQNE DEETEEEILARALEEASLDKLHNPEDDHETPEPDKEDLLHAKSDQDDKRDRLEGLSFP SLPTHLPAESEEGAEEIDEESKKRLNALLGLSPSPHKPGQNTQSTLPKITPKSWNLPG FDMNRDSETDTWCCICNKDATLICTGCEGDLYCDECWRDGHGSGEGQERGHKAKRFVY KRQLVGAA
I206_06622	MNATRSLLSLTAAFSRLAVRPQASFASSSKCAIYSSPSIGKEMR GFASSSKCEATIQQVLRGARKSSKRKSTVPLLDNCFQKKAVCAKVYTTKPRKPNSAVR KVARVKLSNGSMTTAYIPGEGHNLQEHSVVLVRGGGAKDLPGVRYKIIRGALDLNGVA GRISARSKFGVKKAKK
I206_06623	MAEEDFISLTGGSSSKKERKERVNTTVFVSSLPYTATTTDLLTH FSYLGPVRHGFVATDKDTGKSKGVGYVTYSLKEDADRAVAELNGSSFGGGNRKIRVSV AGERASLKDRKALANDPESRPKPRIAAGEDKPTGDPNAIRTLVLSGLPEGITKNVLWK KVRKVDDKAELVYPVPAEEGETESSDLANIIFPSHGQALKALPKLHGHTYKGALLSCV LKKRLEKLSARGEGKNQSHAGRLIIRNLAWDTTVQDLRAAFLPYGPIHSIDLPTLPSK LPPSSDPSKPPPPPRARGFAFVWFLTRKDAEKAIEGVNGKVLKTKSGKEGREVAVDFA LSKEKWEEANKKPDSEEKSEESGSESGSGEESGSDEGSDDAEESGSDSEDDGEEDGEE DVDMSEAGSEGEEEEEPVKPTLPSVDVGSTLFIRNLPFEVTEQELNTLFRTFGPLRYA RITIDKMTGRSRGTGFVCFWNTEHADEAILEAEKVARETGANAMPLGGGAKNPFALPS VLTADPSSSLASRLVLHGRTLEVSRAVTREQAGLMKEDSERARNAGDKRNTYLMREGV IFPNSPAASSLPEAEVEKRQASFNARKTLLRSNPSLYISKTRLSIRQLPLFATDRTLK RLAIYAVREFDAEVAKEEREGLARTEEMDETLSAALEARKSSKKKGKERETAVIQSKI VRQTEKVDPLTALGRSKGYGFLEMKSHKDALKVLRFANNNIAVGGLMWTWWKEELKDL KDRVETQLKGLKDGKTAAGENAEELENRLKRIIQRLGEGDDRSEGGMRGGKTLLIEFS IENVQVVRRRVEKITSHREDSREEGKERNRNGKREFGVGEKRKSGVIAAQDSDDDDDK GSSNNKNKKPKFDKKRRGERGGKDKRAKKEDARSNPPSIPIVQPSAESEKKGIEKLGG HLGSLIGRKRKQRSGKK
I206_06624	MPSHKKVPLKSIKTLTFYKDKLTNARRVDPVPQLTCSGSTCKLY QPEVVQCVNMGDDGFGGVQWRCDTDLPSSLRLGKVDVSCEGWTKSGDMNVLQGSCGLT YDLHKVNKGLEYGEDPIRPSRNNSLFDQAFNLLFWLISLIILYSLLRSLIARFFPRYT PPRISRFLPFLGPDGPSGPGGPGGGGGGGPGFNPGSGGSGAPPPPYTKNPPQTQTQGQ TQSWGPGFWTGLAAGGLGTYLANQRNTQNVGARNVQPSRIRRFDDDDNDDWYRDVGPS RRRAGGNDEGLGEIRRATGFGGSSTR
I206_06625	MAPIVVSLGNPLLDIQVAPNEGPAYLEKYGLKSNDAILAEEKHM PIYDDIVANAKVQYVAGGAAQNAARAAAYVLPQGSVAYIGSVGEDDLKQTLLSANEAE GVQSAYQTQAAPARTGACAVILSNHDRSLCTTLRAAEMFTPSHLSTPEISQLLDGAKY FYIEGFFLTHGLESALEVAKQASSKGKTVVLNLSAPFIPQFFKVQLEELLPHVDILIG NESEAEAYREAAGMGSATLAQVATSLAASSKSNASRPRLVVITQGADSTLVASSNASG IAANLSPEDENPKTFPVPKLAADKIVDTNGAGDMFAGGFLGSLAQGKSLNESIEIGHK LGQMCCGQIGPKLVWPKENVL
I206_06626	MLLYFVRHGQTEDNVQGIIQGHKDSPLNAHGRKESERLSQRLKD LKITEAWSSPLSRARETAEIVLSRHPNVELKFHDGIKERFLGSMEGRRRARGEHAPAD AEGSHELVKRVTQWFDQVFLASHIPPEPLPSQNNPVLNGFETKSLSLDSKEEEKVIMI VSHGAWLSCLQQILIHLRFEISRKVDLHKPCYNTSLMIVQVEYLHEKSKWVGKIRDWA DIDHLKDILDEEVEEVADDI
I206_06627	MQPTKTEVETSKSTKSLFYSKNNMNFDSTIEKDIYKSGIVENPK SQTCTPKLLRFLLEDGETDKRPN
I206_06628	MRVKLTLLPPFSPAKLILPVPDDVKTIHDLKKNIINSLSLVSQH VSKAKELVLEIDGFELLVGSTIDVIESTDVVSVRLTPGSSKVKVKISESKRRRSVEEL QREDSKSQKIRRLSTTRSSLPVRSQASVTQHLRPASPLTTLSSILSKSPIKRAKSVSR ALSSTSSSSTSTSSTSTSSSSSEDSSSSSSSSSSSSSSESSSSSSEISSSSSGPSLRP IDTFPVLAKPPQISQPVSAPGQGKPSTQNRNARRKLARKYKKIAAGEAIIERKEGARS RSILQSSESMVEAIVTTGNQFIPVPGSMSNRNKKKGFMADMKDKIGTKTVFGETDTVV ADSTMVSVNGLPSEKQTHGEAILPYQNSSFLPDELEEPSFNESRKVHIPTPSETTDLP SNVFITSIEFPRAPTSPRRKQRRENELQSDRGLDDMTIDMEVEVENENYVEDEEGALN EPQPEQDIWKIVDEKYESLPVLVGNQLNDLKEGDLLTCKELELDLTTFSPALVTKVCK VMHVHGGQLKMEWIKRPVIDDYEVQEEEVAGEMEEQDIAFDLDMAKIGNQEWRIIIK
I206_06629	MSTHPFLVDQTPSLPIASSSKSTIYTSPKSSIYTCSAMQHTHTR TNSSTSINTLASDISTSSNTSTSSFSSVTSSYSRSAPIDRECLRWMQQESDCEHLFGS VSSRGKSLSLEEREVRRRAMEVGESQSSLDQSEKSRLKDIKAERRKGKVGKWF
I206_06630	MAGNLATEEKLIGAKNMSNENDSRTPSTIPDHKQSLAEEIAAMS PVEFQEAEKKLLRKIDRNLVPWMTLLFTMSFLDRINIGTAKLAGLSTDLKLTSLQYNT ASMIFFVSYVAAEVPSNLVLKKFRPSRWIPLIMIIWSIFQTTMGLVTNYHQLLALRFC LGLAESGLFPGISFFLTGWYKRKEASKRISLFFAGAVLAGAFGGIFGYALSRMNGVGG KAGWSWIFIIEGLLSFVVGIASIFMVHDWPDQAKFLTPLEKEMVLLRLKEDTGIMQEG TFSWTVVKKALKDWKTPIFMLMYIGCAEPIYSQSLFSPTIIAALGKFTKAQSLLLSTP PYVLAFITTMATAYFSDRTGKRGFFLMFWSAMAAIGYLLFLVIPIRYPGGLYFAVFLT TCSIAPCIATVIVWSGNTFGNHYKKATSMGLIFSLGNSGGIISSQVYRGKDSPRYLVG QGVTFAFSCICFISACIMYFGLRKENKRRQDKFGSPPGPGEINDWSSEEGKKRWGLEG LTREQVIELGDDHPAHRFIL
I206_06631	MAKGPKKKSIRQEQLRRKVQQNNARRRRNEDESDEESPSSDLQG LNDQLQVRFEHQISAPSESHTSAQDTEDFFGQVRDSFSNQTTPPPFDQEHSGDYTGIN QNNSQFEPFDQMEISQEVSSDFNVSEIMGGARCMSADTSSSSDHLSSSTFS
I206_06632	MSFHQTSRDDTKIDGSDTSILYYTLSDKPSFIPTSSNSEPKDQV YSHTIASITGERSKSIQYQNAFSRQISQIEKFDQNPLKQLEGIIQDLNNDTLPESEVT ENTSSKKYEGFHAKYISISSFRSLEKLSDLEQREEKWLTGFIVLFTKDDNFKPSIWQR ILNSSHTYKESMSKKSVNVDGIGFYTNRIDLSKGQCIGEELLKEKQNTYIERSRKMQG LKDLITSNSNISNDEGEYLNSKALVPVLKEDIGSKTVGIITLHTDAMSDLDYIDILET PKKSRLA
I206_06633	MATTSTSKTPLVPRSKKHKPSWYRRNIARPMSKLNPARLFHTGK KTLTSRSIYINEELPPDFYDKKGRLLKNKKYPSNQNVTSKYTIITFLPRNLFEQFRRV ANIFFAAINILQFFPKFSTISPGLVILPLIIVLAITAVKDGYEDIKRHQADHRVNHSI VHTLGGQDYENKNPMKPKEKTFVPAIPLPKLKSRKAKKAALLEENRETAQANEAPPVA EPRGQDNGLSRMRSQVSNWQDDEEAADAPNELGWHRTIWEDVKVGDFVKIYDGEQFPA DILICSTSEEEDVAYIETKNLDGETNLKSRNGVPGLSHLDSAEACAHAHVRIDLDAPE VNMFRLNGAVVNMEEVDEEGQHPIHPCTLETTLLRGCILKNTAWVIGIVIFTGADTKI IQNAGRTPSKRSKVERQMNPQVLLNLFLLALIAMVCAIVDHVNEVNWNKEQAYWMLYA DTSGDNPNVNGIITFLNAFITFQNIVPISLYISIEFVRTVQAAFIYWDRNIKYIKNGV VTRTTARSWNLSDDLGQIQYIFSDKTGTLTQNAMIFRQCSVGGKIYTGDGKPPSHPTL THLHSEPHVKQTSPASSDTDDTAQDGGEKADDVKVALPKEVLATFHDDELDKDLAAHD TEQSRVLHGFFAVLGLCHTVLAAEPEPGVIEYKAQSPDEAALVQSAADVGFVFRGRDH NVLKMSTPFSDNPDEYELLHVLEFNSARKRMSVILRKLDEDGRIFLLVKGADNVIFER LSKDNSQKELRQKTDQDLQYFASEGLRTLCLAYRVLGDKEYETWAKDYHNATVALQDR EQQVEDVSSRIEQGLVLLGATAIEDKLQDGVPETITDLKRAGIKVWVATGDKLETAVA IGYTTNLLTQDSNLIVVREGRHSIQDQIREALDGFFGGNDVTRTISRASTSRPSHEAP HLARVNTGVRSLVGHDNGTRPGGFSLVIDGHALAHCFEDPETEDLLLALSTQCNTVIC CRVSPLQKAQIVHLIKDNLGVMCLAIGDGANDVSMIQAADVGIGISGEEGLQAVNSSD YAIAQFRYLKRLLFVHGHWSYYRNSSMILNFFYKNIIGIGVLFWYMVFCGWSTTYVFA YVYLLFWNVFWTIMPVLAIGLFDKDIDDETLMALPELYKKGREGAYFGIKIFCYYLFE GFFQTAVIYFFIHYTYITTSARGDGYDVYIYEMSTTMVMGAVMVANLFTGLNIDSWTG WTYFGILFGPVLIWLFTAIYSIIPPSSFYTGVYGNDVFLFRSAAFWFGWPFVLVISLL PRYILRYLDQNAFGDDIAKMRLVRKYNPHVDPNTHPLLGGKLGEKDNKEDEDNSYGRP QGQEENEDIRLQRLNSNVPPHELQEFGQDVEHGTQGRPSYERNARNSFQSNRFGGHPQ ARGSAVDMSTGLSQEPSRGYGFTMEEGGVAIQRMQSRLSQHSQNSNRYRLIPKMSSGS NNNKVSISEPFGAPKGGGGSMAKIRERAGSILSISRKRAGTDSTHHSGGGGVSESPHK SVGFLSPSRRGSEKGKEDLNSPLSPSKRKQSKTQRDWTAQGHNAREGEGEEQSLGRDF GSGQNIAPPEIPRV
I206_06634	MKVSSWLFSLFLLCIGLLSPTLALQADLAGIVDWYLPLIGEPLL EPTPPLFVESNRIISLTKRNILAVLNSENGDIVWRQQFEDTDPVVSFHVKDDNILLLS GPGGSTARLFSLQTGSLIWEKPLIPNNQSGGILTTPVHLGTDVSFISSQNAGESESVV ILSDGKRITKLRLDNGGQLWATEVPGSGSTILFKQLVWSGSSIHVLGIQNSIASQTLL TTTLDLELPIPKNDLGQIPSIIKLPEQALISPSLSKSGEAFVIWIEHGRIRIITVNEN GLISKEIKDLLPGKGKVYIEIIDVGTRNKGIILGKRSDGAVDVINIHKREKITEFELS ATSSERSESIYSGIETKKGVILNRVYWSFNMAVGVSQTINIADVSSKDVISSGFTFPY DTVSHGTFLHAAGSPILNDKQLPTLILTTSSGAIQKMELDNPGWVREESLADIKAARF VDLGEPETEEVREVLAEESFFGRLSRHLAELKDFPKYVIRFAKRFTSASYTSALRVTP LNSTHLHRDQFGFQKLLIAATAKGKLFALDSSSGATLWSRNLGLTSSSGSEIKIEDIW NVRDGEGGREPMLAILATKTVGDAVTTVAYHLDAFTGLISGEVDPVNHLPLGKNLFEG KYQNAFPLPFENCGTKATVLAVIDSTNALHIFPPCKKVAAGIEEISDKLFYTTQSKSI DGTLLRGFIPSAAKEGTGFKGEAVWQHPFAEGEIVLETKSVVFDAVASFGRVLGDKST LYKYLNPHLQAISTFIPSVKGVASSSSPSGTGKIYVIDSTNGKIVYETEIEGVIARGG IKIGMVENWLVFAWLDERGWKISSTELFEDTLKKGVTPSQSTFENISINAITQTFILP TEVKALGFTTSKAGITTKEVIVVNGKNQIATIHRRLLDPRRPIGKPSSRDKEEMLIPY EAMVPIDPKKVISHKYEVLGAKHLLTSAALVESTSLLFAYGLDLFLTRGITPSGTFDI LSDNFNKAQLLLTLGALSAGILVAGPAVRRKELRNKWY
I206_06635	MPSSRFRRWTGSRSSNQRTSSVVDQFEGSYGGKKENSQIFYCAK IYNKNNISTVMRYPKYPSHKDICEDIETSIKQILEHETEELLSKTLSSYFGDKKLSEQ LSNNSYTSINEKFVKHLEDASDPKLGTAYSSAPEQWNNVEVVEVSHKHAKILNAIHEE LREDKLNSLLILDTRYLGSLKEQISKGKSIATEYIENPSLPDAEKTTWASRTKDFVKE LESSIRAWQPSSKFDDSTIDDMIKHSTNDRGSKIGYVVMSTQGEKDIFDCISKTLPDN 
I206_06636	MPLVRSCQRSRKDKHQPHRGHSSNQPHLNVSTIASPSPRYQGSR DCSTIFYCPSIDYSGANNSVTYGYPRYYEHQDLMEELAMTLEGPMHDQWREIQNTLPS SSRKGTAQTAWDTFPGKAEVEVNRWMRNNNEHYGRESSTAGLIDTIRLPQRSRDTLFN LKSKLGKPRIQGVFVISTDNQNDMFSNLYQGSKFSTHCILRPDYRSDGTRNAKIEEMS KFSKSLDDNILGNMFTDMTLDTMIRDKSDNRYSYVVMTDQEKTSFGCMMEPLVDVTAT EQEYNVSYTESENGSVYDHQPVKGHNFIDSPSF
I206_06637	MAFGGAPKCETCGLSVYHAEQVLGPHRKIYHKVCLKCAQCGKRL DPGNLVEHDTQPYCSRCHTQLFGTRDLRHANVLPNITPTSSPARPQPPITPSTPTYRL PSSAQSPRSPLPPPREYYTPPRARTPPPPEPATPTDPSIPVTRPNFRDSRPISIPYAG GSKALDDRGLLKKTESPRSKVGERIRDDEMCKGCGKRVYAAEQVYSIGSKWHKACLRC TSCKTTLDPSRVSDKDGQPFCKNCYAKEHGPGGIMGKR
I206_06638	MSNPLDAPSSPSPQPETPIPETTDDLSPSTNIDSSSKIHQPLAI EDSKEAQSSIPQKEEEVHVLDLGEGNIIKLDKLGPMIINSDGTLSRIQNWQDLHPIEQ KRTVRLLVKKRNLVRLKKLDKENKAEEGNDEPLTALKEEGSQAQ
I206_06639	MAPAKGLAALREARAKGGRLGQWKPSESELYDEVTDDQYRSIVG DRLDADDFIEDDDHGGYVDNGMDDWDNGRDEDESEDEDAFEGEDEEFRKARLLKKQKA RARANAGKPLAKTNKPKAKSAFSDYARPVASSSSSTYRPAPNAMQEDDFMASLLSSVT STANDTAARKRKSSPDIPSSEGLHPSSDSSFFSSSGRKRYGIEEDDEDDDHNSPSIWD AKRGVMGKKPRMSDITVVPSHQDYDQENDFIMDVDEEEIMVKPELIDEDEEDDEMQIR KIKPLAATTANLNGATTARRKVINSNSIKNVLKPDPVKVKAEPIDEDNIEIVKPRLSS TSTPRNGKVLNGASHWSAIQESLLQPQTKGSELEEVKASFSSVKAENVLEEDGSLSMF WLDHFEQDGVVLFVGKVLDRQSGKHVSACVSINGIERNLFVKPRAKRVVQGQETDDDV SRTDVFTEFDSIRRKAGIEEWAASYVQRKYAFEDKSVEKGESEWMKVAYGFDQPEIPM GTTGQTFSHVFGTNTTPFELLVLKRKIMGPCWLKIENPTLSTKSASWCKIEFTVSDPK TVNPFSETDNAAPKDTPPLTIMSISLRTIVNHRENKTELLCATTRTWEGCNIEDPTPP DQLRSSLNTIIRPIEKFPPGLEQRGKTDKSPFQTVKAERALLNSLLATIQRFDPDVLV GHNFLGNTFEALLYRLKELKADHWSRIGRFRRKGFNISKGGSNHRLLAGRLVADLSSD AVKGMISSTTWSLTEMCGTHLKIQREDVDPEDTHSYFDHTLSSPDRLVKFIRLCEVDA FFQMAIAARVQMVPLTKQLTNLAGNSWNLTLNGGRAVRNEFILLHEFHRLKYVCPDKA PFKSKKHVVNDEEDTEDIATKPIGARGKAKYSGGLVFEPKRGLWDTYIMVMDFNSLYP SIIQEYNIDFTTVEREVEDDSGETEEKIPDVPASDVSQGVLPRIIATLVNRRRQVKGL MKNKSATPAQLLQYDIRQQALKLTANSMYGCLGFAGSRFSSRPLAALTTFKGREILTH TRELAESLQLDVVYGDTDSVFVNSNVTSLPEAHRIANDFKKLVNERYKLLEIDLDAIF ERILLLNKKKYAAVKIEDNGERKTEVKGLDMKRREFSKVSKDASSAVLKEILSGESTE IVVEKIHELLTNLGEAVKNGLIPLEDFIIFKRLGKNPEDYPDKKSQPHVQVALRMKSK GASVRAHDVIPYIMCLDESGKGGKTAQAERAFHPDDLRRQGSELKIDYDFYLDTQILQ PVLRLCETIEGTERARLAECLGLDPSRYASSGPSVSDEKQFFTFESQISDKERFKDAE PLQLRCVSCESAFTFQGLMDESTNIQPVGISCSACQAILHPASVSVQLENQIRAHVSR YYLGWTVCDGEGCGARTRMMSVYGKRCLGLVKEGCKGTVRLEYNDSKLYNQLLYYRSL FDGEKAISNARGSQRFEEIRALVLPNTALFGQLVQVTDKYLDKNGRRFVDMKGLFGFM ERIKI
I206_06640	MPSSDQSIPMQQSSTESRTTTVDGPSNDLVFGNAGQDTHIPDSV RVPDEYHDNNPPPSDPSSEDKSDYIVYSTLTHGADLILRSDNSFVLNEPKLVVVGNPS RTVRSHDVKGAEEFDGFFKEKINNPEEQNTVLQNIRNQISNHTNDPLNQFLDPAKDIP GLKPHYSQARTSLNSLTYKEGESILNSKVKSLLVDHVKTYRRTMESVVKDWAYDLFKK SDSSSHAAGSTQLRIAHVHTKAEYLERTGLNDGLDVLDQELNMEEYQSSLDQKWGDLK WREVWRARSQDGKTSIGGAGEGKS
I206_06641	MPPTNRSEPTTYSYDGPASEGSKLVLHLRGASKTHVTPSENYDP PSSNPFSDDPISDYIIYSALTDGTDFAIEPYIQNGRAIYRAFWPPLNITGWPSTKFRS AKPDGIVKFHEFFKQHSQDPKEHEKISSSIEKEMPNNSLHQFAEYREKGELPKGLTER EKDSILSRKAKDLLVEHTDTYSKMSEKVVEHWVDEVFRKFQSTHGHSRLDISTVHTKD EFLRQTGIEKEAGLVPQEMDLEDYESDIGMKYGNLTLEEVFR
I206_06642	MSLDTSSSAIHLNLPPTSSTQIRPPTLITVHPSVVASILTHHSR KSTEADSSNRVIGTLMGSRSENGQEIDIRSSFAVPHKEDENQIAVDMPFQQGMMQLLG KTGAKESIVGWYATHPTLNAYSALIQNYFTGETSPHPSVHLTIDTELDPSGKGLGIKG WVSTQLGLSPKPENCAFLPVPVVIKYADSERAALDLLTAPAPTPSPSLPPLPTLSASL TQLSTLIDQALTYVQSVNSGSQNPDAEVGRYLLEGLGRWSGSDNEDEGGVKAGLQDTL TVSYLSNLVRSQVELAGRLALLQQTPAS
I206_06643	MASEPSVDALKVEESRFQGEGGDLTLLQWLRHAEQAIEVLEPSE LLPTIPTLHAFFLKILLPTANPTLPKPGRPIRHLVTRCLVKLHQRVESRTLFEFVQAL AKAVGDGGNKNMSANENVARVASWYAIGEIIRAHGTNMMSFMAEICTSSTKVLKNTNL SVILRVHAMLAFSKSLQSAGKALPDGLLKDLLKSLRNGIQDKALPVQRACAEALVSVH TYTSLLQLRETIDMITPLALKSLEGADYLTRRSLSRLLAHFLAASQIPGSGFVAVDSS KKSATKEGEDTSNEPTVMTSAAEDRGSKTLLTTVEMLKYLSGPYNRPHTPRKLLNAII DVYATLFTALGPEYVEAHYPEIVKHILDDLVIFQRGGQSSRYETLATRESVGLLLRDL IGVRLLSEPGQVSAIRELTISYLKKWQPTLLPGQPRMNSQALIIALREVAGLLEQLGN APPSIIELLAEPLVRLLSHESYTIRLAASYTLRRFCAANPSQLPRMLSILLADVTKDL GMLGTPTASKELPQRVIGKSFALSALIASSPSRPLYVSHDISSKVFDLAVSLLKQAGD HDIPIAMVEVQVAWYLIAALMSLGPSFVKLHLPQLLVLWRNALPKPTSKDTSVGERGE TEWSFLLLVRECTLAAVLNFLRHNQSLVNIDVARRLSTLLTNSLNFVNGFATAYAEAL REQANNQAGGQSPIFTARPSLVEREANLRRRVLQCFTSLGPSSATESMQSALLQAAIT VFADPENYSGSAAQAAIAAQSGNFTTIWQSTDGYAFGVTSLISAREGEGGIEAEEPFL NRDRVEMAVESQLSHPILGSLEHDFLELLVSKPLPVSPRPAPPQTGVIDSGVELFAMM FAHQNAEGQVQSLATLSSHMRSSKLERNPGRKQAVVANTMAALKRSLANVESAGHKAR KSLGSTQVSDLIKSLLQDAIFDPSPSIRSSAADSMGLLSALAGSSYLSSQIQWLVDQV VNNRIPDSRAGCALAFGAIYSNVGGLAGGPILKTIVNILMSLATDPHPVVHFWAMSAL TQVVNAANLSYEPFVPTTLGMIANIYMLETHEPDGGSLGSVNLRGDLPAYQVICRLLH ALIGVLGPELQEPGKVRSLVFLLVHEFGEETDEGLAVEAIKCVQQFLMFAPSEVDIPK LVTTFKSHLTSTRRPLKVAAITALYQIVQRDAVLISKLGGNSLVEDLFGLLDDDPSIE GVRQVITSWLSQTASSLPSGWIDLCQRIMTRTTAQKLAQQKKQSQAQAQGGGPKFIDD EGESFSGNAGANTGSNALSSRWRTQLFALECLHEIVQSTYDHRKLENFDPVLAKRLHG GSTKHMLYNRVGDLIRMAFSASAALVMDVRLQGLVVLRDVIEKFANSPDPDFESSLLL EQHQAPIAAALTPSFGSDSAPEVLASAVQVCAVFVGSGVVKEVGRMGRILKLLTGALE QCKSGEVISLGDVDQLSTNAAIMLKISILTAWAELMISSTKQSYLTEVIKPYRYLLGP FWIGALRDYAQLRTDPEMGGGGLSGMGVGMDSGNAIGREVLLPYYEAAVPNLLHAVAI ALAINDPFAIGAMDGQSFTSPAEPPNYKLTVKDEPTQNFYILYGLSFESLLKTLGDKD GSAILLSRTSLKLMSSLVKPELSGLTVFDSQIFDELCTVTYRIGLSDTASTKLEMINL VSSFALSRRVTGKLNEEKVKRILSIIIFVLRNSISTKESKSNFTPSDSLLDRINLIRT SFENYAKVVTLIDVNQRSTLYQIGLHLYLDLLSDESPYDIPSGTLSCLKLLVEGLVNS QVPGVDTAQRVLHGLIGGCLANVDDLRARVNPIANTKIKNNLLAITLILTTLPAGVKF SKNLIESVGYTIGQYLGASTERPELGLTAIHCASTLLTASLRLLSSPLGPNSPSAPSP ILQHAALNLLSPMINYISEIIVSQATSSEDSQMNIEGLKEIIKALVGWVNGLPEKDAS KGYSVLLPTLCLLLDPPGGGVGGKQGQLHIISIGVLIGLAQSNSIAFKDATQSMGEGE RIELERSIRDAVGSRNSTNGGGTGQGAGGGDRKGGIELKSFG
I206_06644	MKFTIIASIALASLVSAQSIPACVVTCSTQAAAAAQCSSYTDVT CVCTNAAFQNAATACLTANCTTADQTAALSLQQALCAGQSISSGSVTASISASGTSSV DASAIASLTESGASGSAAASSLVASASSAVAAASASASAASGSASGSAGSAKASGTSA AAAATSAAASATSAAASSANKLEFSLKGLVGPVIGVVGLLAGAVFAL
I206_06645	MPSEMFVESDDNPPIVVPPINFSLVVPGIYRSGHPNKKNFNFLK KLNFKTIIYLENENENEKEQEKYRKDFLNFINININDENENENKIIIKRFDLSKESNL FTLNGLNKLNELLKIILNFKNYPILLHDDNGKGTVSLICALIRKIQNWSLTSIFSEGD LFAGPASGSEGVGLGEAGMEFIASFEPKKVVFDKKYKPDWVD
I206_06646	MDFDTDDIDAKIAALQKLKEEKLAKADKARRLEEKEQAKVLVGS TPTKASLRASVKNDQSVLPTRPAQPNFNPNQASSSSKIISTSRLEPIINPQSLPSLPQ AGPSRMGSSLAARRKTATNSSSTSQLPSKAIIRSSGFEERKKPPSLPSLPSSSIKGKA KEESPEIELEVEDQKEQVQRDQDNLTIIEKLVLGPKEFGLDPEGGDEWNFVEPNSGVR LSKRTLSHSHLQDHLSGRYFLTPSQIYSVIRLSKDGATYDIPVDGDWITIAVVAQRGE VKISGTKNATEYSDDEDEDQNEEHDSNSLAEALKVNTNPNEKGEYTQKPRQPWKKNKK LSDKLQRKRIPRKYINYTLCALPPRRAGQNDMSGDALLQLLLFEADAVVREENEDGEV NRSYRGGSGGAYEKWCNLTEGNVIAILNPRVWRNLRGGTNGPHPLEFPLGLNPHSADS IILLGQARDLGRCNAMQKDGNRCKTWVDLRQSQVCEYHVHAAVQRGKSGRAEFTASTS SFALMSRPGINQSSKGKLGYDPKKKTGLLPAAGRQAAPRGMENGGGGATYVVGGGVVN TGSVSRGGLKGYGEEHLSEKLGRNRAEKRKRQLEDRQAERALQNLLAREGQSGSTGAK YLSILDKDKVKAKKVKKLEGEIDLEKKRPFGADAIKKIGFDPTSRSRIRDDEDVQRRL EAISALRGEEPGCRLEKLSKKLEEEAKAKKLKEEIRVKVKSNDFEEECEEDMIDLD
I206_06647	MTTTEQNGNAPFERNDTIDTYSSTIATPDPNASPSPNRTVVDLD AQANGKEGASPQKLEKKLEEELTSEQALQRHKSTTVNGAEIKLSQKRKWFLLLVFSVA QYLDIASYSGLFVFTDAILNDLDILYESSSWIITAYSVTFAAFLLFWGRVSDLYSAKP VFAYGFLFLGITNLIISFMTNKYAYFVIRALSGIAGAATIPSAFRLILAIFEPSELNV ALTLFGLSGALANVTGLVLGGIIGFITAHGQNEAYRWFFRVIAIICLPFAFAAITLVP KTKGDKSDECNSRDKFKRLDLIGAFLMLVAIILLILGLTLGASYGWKTAKFLVPFLLS WPLFVAFFVWEARLPEGYALIPPSFWKIPNMTLLIVFALGIYPWWAVNQLPLVERFLA VFNEKPIIAAVRMLPQGIAALAVAMTIPPLLQKLGSGKWPIAAGMLLGSVSYLLMIFA NHGELGETYWRYLFPAFIIGSGAAMASFLGTNITVMTSVPPAMSGVAGAMLQVSLQVG AVLGLSVQAGLLTLNEGSFTNYSNVQASFWFQFGWSLLNMLLIVIFFRPGKAAEGSAR ADKLEGKEGPESAAVIV
I206_06648	MSQPNQASEASTKSDLRTMPETAEKGMEPQQVPAPNLSDFKTVS DAVKEETRSKETGEEKKGDESSLPVVLVATHYLLKGLKRIGDYSLHPESGWYSRRTYD REKIKPSDAFWKAADKFDREYLVPRLEAHSCRSLKYPKTLNYTFASSWKEVTEAEMNQ GNAVLKECLEGAYAEFSKDYPKESKFITHKIVSQHDYPKSFPHPSVDHKEVNMDWNEL ENPFDWDGYSKEITEFYSSGKEQSKAYIDREEFLIHAPYVTIHDDNDIELTLKLAEDR IKAKAQAQAEIEAKAQAQHEVEANTAAASNLAKNTKMNLDDSEIDQKEVSEHPPVEGT FVYDGKFSIQYHPMKVIHHMRPLSIPGFLTSEGNKKGTSEFIEIVQSKCDSASKEEPT KWRSNLDDVSKDNIDDLSKSSWDRQKQLMDKIIEREYSKDPNYSDYFNIIPSELDFES ESDPPPHIDLQDYNSWFWSKGSSVFEKYQGTRPTTQGKISAK
I206_06649	MSNNTHDTCSATKPSHLSLDGTDRDVNFSAQFKSDQVVFSINVM PYLQRASYPYYTPGSYNDEHTIRESRWEMSEPRCTAKVSTSVNDNKLTQDCHSMKLLI DEVLQHVSEGRISQRLSQQIGKVGIRQIQDKIIGFNKLLNDRHAIGVHTTEKQTDELV GDITKYNQDLADYWHDLVNETIHTLPKQLKSRKTEYTITKLNVYEMEPPIEQLRELNM FSAVEQKSLLHKTISNMTNPSIWIISDCSHRISPTAVGYANDENGNLIEMERYDTENV RTAVVPIYPKDNNKIDEIISSMNSYMFELMEEIRKESATYTQLVPVLTIDSANTFDLK QSKLIDKSRRREILRQIQIDWSGKIEKIAQPLISAPAPTASSVLRRTKIPIEFIHPRE FSHLNPGLSNYFTFLDYESLEDALQGDWPFTRRCPIRNFPSTETQPVERVDGPLSDHT QVSDEAPAESIAVEVRQESSQVTGNSRRRPTRRDALDTGRIEPPEDYNYTYIS
I206_06650	MLRSTLVSLSSLASSAPIEVITTTFILVTLVYFQLLQAIKGSVF FNIQSTSPSPRPVHLVRLSHPPQFDETLYGLPSTSSSIASNLNTATPWSGEDWQPVSV GDFRRILEANAVEGGYVFDEKIGGNKAGEKAAVVLAKQLVVVREDWEGSVEQWQEWLL NDFSVEFGGSKYTYKDLRFDSSIKPTLVPHPLHSSQSVLTLFFQAPTPDLPTLLYLNA LSKLPSFTPSHSNTTFQILSSSDSGSWGFLPSFDGAGLFSNFGDGMHQSEREDEDALY GLRNVRWFTYAVRALGMRFWNLAKNADSADIFVVLLGYILMHGVFVHLFIGMRNIGSS FWLPVATLVSSTFAFLVALLAAYLLNVPVDPICLSEALPFLVITVGFDKPFLLAKAVL QNPDIAPVPTSPEMSPVDDVVDETGLGLDLGTLHKELAPLERLQRLAEGKVRWAAPVA AKKVVVDAVKQSGVRIVRDYAIEIAVLSVGAASGIGGLREFCYLAALIMAVDCVFLFS FYVAILSVMVEVHRIKLIRGNRRAKHLRRNSSHASLNGSSISPSPTSKSFIPKEADGQ PKNPMVRLKLLLIVSFLTLHILNLCTTLTEQTALKRHSTHSVPKSHPRAMLDPRSPTL SPMLQALYDNQPSETDMAVQIIPATNIVASSEDYTPSRMATIDQFMSEWTQLVGDPVL SKWIVVTLGISVLLNGYLIKGIASNSMGGKGPVAAAAQILVGVFESAEKNDRARKAAS KSATPRGKLPVNSAHIKDGEQTPKGDDRPNGHLGQVMVQPSAPNVPIISEPETSISKS DSSSSLQSMTFGRRSLEECIDIYAGGVGSNNLSDEEIILLVEKGKIAPYALEKVLKNL ERAVRVRRAVISRASVTRTLENSLLPMADYDYKQIIGACCENVVGYMPIPVGIAGPLN VDGEILHIPMATTEGTLVASTSRGCKALNSGGGVTTVLTHDAMTRGPAIDFPSIVLAC DARIWIDSHEGFGILKAAFDSTSRFARLQTLECALAGRTLYVRFATQTGDAMGMNMIS KGVEKALEVLRQRYPEMHVLALSGNYCTDKKPAAINWIEGRGKSVVAEAVVPGHIVKS VLKTTVKDLCNLNIKKNLIGSAMAGSIGGFNAHAANILTAMYLACGQDPAQNVESSNC MTLMEPINDGADLLITCSMPSIEVGTVGGGTILSPQRSMLEMLGVAGAHSTNPGQNAQ RLARIICAAVMAGELSLMSALAAGHLIQAHMKHNRSAPVTPGAVTPFGSITPLRESQL INGPPPPTNKISQSLSPVTATRQTF
I206_06651	MPAPIKLFSTKPSSSKPKKQPIEPLPKTFSENQAKKAIEALLNH YEKVKNQKEQEELIPKEENVWLVVNTKKGSTKRGLMPIKIQLPNPPLPPPPTTSICLI SKSPQREYKDLLIKHNIKFISRVVGIEKLKGKFKPFEPRRELMRDHELFLCDERVLTL MPGLLGKMFFETKKQPIPVNMLRKDLKAELGRAISSTYFHPSTGTSYSIRIATPSSSN SSEILQNLLSALPNVISNIPEGWENILSIGIKTSNSIMLPIWNSNLKGRFDKSIKNQD KENDIEMSEEEEEKEEEKVTEQQQQQQQPKKKLISSDKSQIQQQKKKSSTIGSGSVTK KVKSDLIGTPKSKKVLKKSKA
I206_06652	MRITKEQLKGIDKYKYSGIDKSILSKYVLGPFWTWLVTLFPKTL APNTITFLGLCFVFANIFTLLYFDPTYEGKVLPSWVYLSWAIGLFAYQSMDAIDGKQA RRTGMASALGEMFDHGCDAINTTLEVVLCAHALGMSRSWWTVASQAAALCNFYASTWE EYHTGTLYLSAFSGPVEGILMICVIYLITAFHPAGPGFWSTPILTPLIKAFPQSLNLL LQVNELLGLKGSWRLERLPANVAFMSFGALGTVGNIFTAYSNVIQARRKAGKPIFSPL LGYLPFFTHTLILVTWLHAELRGGVSLVHDARLLPFIGYWGMAFSYQVSQLILAHVTK SPFPYWNGMMVYSLFGMIDANSLWWFGREPLVQSSPVAANVFISMSFFVALFNYIRFA REVIWQITEYTGIACFTVRHKDASGKWVENGVPDKKSQ
I206_06653	MPKVSAKDTKKSAGVQAAAKKRAKKDPNKPKRALSAYMFFVQDY RERIKAENPDATFGDVGKLLGIKWKEMNAGEKKPYEDKAKADKDRADKENAVYKGNAK AAKAAAKQQALAADDDSEEEESD
I206_06654	MTRAKSTNNENISRKKRSKNKKDNEIRINSKQLLEKSDNEFQKK NKVSDEKTIPTSSEFIKDESKISIPVKIGEDIKFEVHKKLMSTGSFGWSGNRNSKITL GDGKNVNVSISVNIVVQNSSSQKKDSLAKEY
I206_06655	MLASLLFLLPLVSASAGYGSISSRSSISPELMSLRGGEVGFSQI QYNSSLLPPNRRPPNCPPCFNCQLPAFQCGNAGECNNLDGQCRCEPGFGGQDCLTPLC GALSDGDERYPRPEGELCECKDGWGGINCNVCKTDKACSAFQYKSPSSDESEDPNGDE ENDMVCYKGGLAVQRNFQMCDVTNRKIIDTIPDNKPPQVTFSCKTAQPQSNLTSSFSP LDTFGLEIGIQEDNSGECNFQFWVDRIESFHCELTKCSWQSKESFDSNQTNYNCQEIE CSCIPGRFLCGEDGSVNIDDFLAEEVKGPGSFNCVSGKGCSFEEPAMNQLINDIFGDK SITLDCDSGECLHYTQVPGYTRPERPDNSVWVALSAALAALIFILACLLLWYLGRTHR HPEGFGGVKLPEDEAAKLMADHVPATLHFSNISYDLPSSKRVLSHITGTVRPGELLAI MGASGAGKSTLLDILARKAKSGKVSGDMYINSRTITDEATFRRVVGYVDQEDTLLSTL TVYEAVLYSALLRLPREMSHQAKVYRTLETMNELGILGIKDSRIGESGKRSISGGEKR RVSIACELVTGPSILFLDEPTSGLDSYNAYNVISSLSTLAKQYNRTVIFTIHQPQSNI VALFDRLLLLAKGQLVYSGESERAQHHFEKLGYDCPKGYNIADYLIDVTVEASGDHRN DNKVKMNGNGISGSNGRDIDVENGFGTARERLPVDASDNVSEETEEGVISGIKAKASK MLGAFTSTTSKGLSNLSGSGSSTPSGSDNISPIPEKLASLVLAARASDDAKIVEAEIT RIQQGQSADGGDTSRDIDEETRLLKGSDKASYWTQFRLLSGRAFKNLYRNPLLMATHY AVAIIVALVCGFFFYHVTNDIPGFQNRLGLFLFILSLFGFSCLSSLGIFANERMLFMR ERANGYYAPITYFLSKILFDIIPLRVIPPFILGSIVYGLAGLNAEVSAFWKFIMTLVL FNLTASSIVLFLSVAVADLGVANLLGSLVMLYNLLFAGLLMNYDRVPDGLKWMQTLSF FHAGYEALLVNELRYLQLIERKFGLDIQVPSATILSSFGFHAQAFWWPDTALLAIVFG IFTIASYLVLEFFVKERR
I206_06656	MEPSAEAGPSRPSPRRMTPPPVDATSTDIVMEDVSASAPIEGGE PDDAVALLLNISSAVDVPPPLPPKPESMEVIMEDQTREVAKPAPPDNPHTYPYTPPDE KDHNNNDRPPDSVEQQTESSVFPLSASLPNGLPSHTQGSSPSAHPPTPIRTSPDPPVK PKGKRKRNANAGSSRRASSDHPAHWLGEDNTIIRCICGFTEDDGFTIQCEGCGAWEHG MCFGYMDEASAPDQYFCELCKPRPFDAAAAKRMQIIMQDSQRQAREAASTGGDPSVEK EKEKPRSKSGKPKRARTESVLDGELDKDKDSGKEHSPGVMGPPAVKPKRRQPGPKPRA KQPITPSATAESSSAPVPSFKEQQQPPLEEPEDDYFRIEPWTLEYTPIKENIVRGVAA RQIMRKLYKEWVDAEEEVVAAKSRAVHNPSGLPSPTETGILRLSPDNLFPPPDFHILA PPVPPIFLSGSSLESLSSPVSIQIVEDAPSFLPLTYAENISSYGVYTRPTIYSVFAEE PITLGSFVGEFKGEVLDCETYRKDPINQYSCLGVPKPHVRSIGPPVNLIIDARGYGND LRFVRSGCHPNVVLRPLLWRSSESESLKLKFGLFAAKDIGKKDELVLGWEWDDQHVVH SLRSIVHAAMLNDGSLASPSFSTSIRTVNQLSHKIDSVLTHIFGTFTACACVVPGQCA LAQMGQLVEPKVMQDHHIHENPRKKLRADLGELVGAVRGWRRRELESAQMRQWRLTQD RFDLGLSRMSSRSSQAEVYAHSAESRSQSEDPVEDQSSTRADESMEQDTLVEEASNEE HAAPKQMIEKPAIPEIPVDEGLLREDEAMDVEESAPVAEETQAQDIINIPAVDFVPPS IPSPKTSPAVTINPIPEVAMIPEPAAESAQPETPIASPKPHSTSPRKAKVERQDSSSS LSSAVSSIKPSSAADDLDSGSESDATTATIPKSHFSEESDGESAIMDDDEEGLPTQSS PVKPPSNGSRKVRRVLSPVIESSNYMNGHIEIGGNHEISEDEREMVIKKPKKSLKAKV IPDSSPKSTSKSVRKITLITSPTNSLSSRGVPPPKSKKGRIKRIVSSSSASGDDQYDR QDTTSSPSGKQKKVQKNSRDIEFSPISAKSVKLEKLEDIPQINGEARAIVPDQVVAPL SVAMEVDEAAIPDPEIVAPIESQPAPDTLAGVDGTTEITPAVEAKETTPSPKEPTPPP KEPTPPPEPPKKVSISDYLKSHKFRKEAQTPISEVPLANPASEPPKVELTPKAVEAKL SSSFDDIPGFGNIGSSTTNSSSPVKGEPETPTLGGKLNLSEYLPSNRITSVNSTPTSG NIGLGTPAIETPRTSSYVPRSTSNGSAPGITSTDYFPTQPQPQSQSQSGGSSAFVPRS TSMSYTPRPSVPDDSSSLGLGLNTSGYQSQDTIGLGKSPSDMIPPPLPIREIPPHTTT TPVVGGIARPPPTGPKVPPTGPRGGGVSGTPTRGGEIPPTIGSGYRGSPVGRGFGGRG LWRGGRGGFRGGWRGT
I206_06657	MSGNKKTGAGGRDLSEFNYGAMSSLVVNQDRSVLRSDEPTGVAE SLVGKINPKEMGSRVVREAPKDLEKKKAKSKLTSADEVEKSIRRAQEKSTARFGAADV LESVAQMEGLRYKPRTAETREVYELLLGLTHQILGDQTQEVVRSAADTVLENLKDEDL KEFDKKKEVESVFGPLSENIWSQLVNLSKKVTDYGEEEEGQQADDRQQAVDQEGVAVL FEDDDEEDEDEGFEVKGRDSEDEEESEADEEDESEDASGDEAMDQDDALVLGKEAKAA KSKSDKVSPHEVDAFWLQRLISTAYPDPVQSSDLTTKALEYLGSEMELRDLENSLVEM FEYENFELVTTLTKNREIIVWCTKLARSSDDEKHDVEVAMREKGVGYILRELRGGRKT QQAEVEQQLSSVPTKATLAPGSVAQPRRVIDIDSLIFTEGSHLMSRKKVKLPEGSFKR QMKGYEEIHVPEPKKREVQEGELVSIDKMPHWTHPVWASVNTTRLNTIQSKVFPIAFG TNEPMLICAPTGAGKTNCAALTMLRCIEQFRDPETGYIDRDSFKIIYVSPMKALVQEQ VSAFNKRFKALDIHVAELTGDSQLTKQQISETQIIVTTPEKWDVITRKSTDTSYTNLV RLIIVDEIHLLHDDRGPVLESILSRTIRKMDQTHDDVRVVGLSATLPNYKDVAAFLRV DPKKGLFFFDAAYRPVGLKQQFIGVTEKKAIKRFQVMNEVCYEKCLNYAGKSQTLVFV HSRKETAKTARFLKDMAMEKETLTQFINPEGSSREILLAEASQCKNPDLKELLPFGFG IHHAGMSREDRLVVEELFGAGHIQVLCCTATLAWGVNLPAHTVIIKGTQIYNPEKGKW CELSPQDVLQMLGRAGRPQFDTFGEGIIITNHGELQYYTSLMNQQLPIESQFVAKMVD NLNAEIVLGTVRNRDEGVQWLGYTYLYVRMIGSPALYNVGADYMEGDSALVQKRADLI HSAAVLLEKGGLIKYDRSTGVFHSTDLGRIASHYYVAYTSMSIYNKHLKPNLTAIDLF RVFALSNEFKLIPVRQEEKLELAKLIERVPIPVKEGVDEPVSKINVLLQAYISQLKLG GFDIVTDMVFIQQSAGRIIRAMFEICLKKGWSAPMRAALDLCKMVERRMWKSMSPLRQ FPRIRPEIITKAERKEFPWHRYFDLDAAELGELLGLPKSGQFIESLVHKFPRLDLQAH VLPLTRSLLKINVTITPDFVWDHDIHGSSQAFWIIVEDVDGEKILYHDQFVLRERFAQ DEHYITITVPISEPVPPNYYLSVISDRWLQSETRLPISFQHLIRPEPFPSHTALLDLQ PLPVSALHNKSFEKLYDFNHFNKIQTQVFQALFTTDDNVFVGAPTGSGKTICAEFALL RLWTKRDPPRAVCIEPYQEMVDMRVSEWSEKFASLEKEVVALTGESTADLALLRKADI VVCTPSQWDLLSRRWKTRKDVQNIGLLIADELQLIGGDVGSTYEVIVSRTRYVSQQTG IPTRIVACSVSLSNARDLGDWIGATSQTIFNFSPSARPLPLEVHIQSFNVPHFPSLML AMAKPAYLAMVEHSKNKPTICFVSSRKQCKLTANDIMTYALADEDETRFLNVEKEDLE PHLERLDDKDLAETLRYGIGYYHEALSKIDKRIVTTLFEEGAIKVLVASKDTAWSLPV TSYMVIVMGVQSFDGQEHRYVDYAIADVLQMLGRACRPSIDASSKCILMMQQTRKDFF KKFLEEALPVESSLPSYLHDHFNAEIVAKTIENKQDAVDWCTWTWFYRRLMQNPGFYN LQGTTPTHVADYLSELVETTLNDLVSSDCIIIQDDMDTLPNNLGMIASFYYISYVTVE TFSASIKETTKLKGLLEIVSSAHEFENIPIRHHEDTLLQRIYDRVPVKVAKPDYNSPY FKTYLLLQAHFSRMTLPPDLVIDQATILGKVTGLLSACVDVMSSKSYLNCLGAMDLSQ MCVQAIWDRDSPLKQVPYFDQDVLGRFKQKGLDSVYDIMELEDDERNDLLRMNDRQLA RVAKFVNSYPNIEVSYSIEDSDSLNSSEPITINITLDKESEEEEEQEDDQIVDAPLFP HKKMISWWIVIGDDKSKQLYAIKKVTIKNKLKTKLEFNLNQGEYNSLKLYLICDSYSG ADQDFDLETLKIVQGEDSSDEDDSDDDEEDEGMDQD
I206_06658	MSSQPTSPGIPQSPSSPSIKWGELPRRGSVESGNAITRVRSNSS GTSFKGLDCEKYPIDKRKDYWLAYTVKESELPNKSRRNKEHDTLLTIESKPLFLPTTS VPSTDVMERLAAEDTVFREVLTKNNVFSPLQIDKNSEGGQLAINHYKRTLEESIQSAD QITDYEFPKCYIPEFSHHKTVYPCTDNTGELDPACSPSKKTYQSDYARYFLDNPKYPS SENVSSVDEEVGLGDSRPGIFRTNSNKWSSFIAKITKTKASK
I206_06660	MSTSTSSAIAPETPSNLSSLASTLDSYGSDIPSFLNNLLSSHLP PSLPSPNPAQAPDLIPIDKSLNELLTQLSLLSQDTNSAVEQSIHDVSRTVPRLAYDLQ FMRESANGLSSSLGMVQDRFARQIDLSSSSSSSSLINTNRKESNGNLENEGIKTNKSL EKLTHLDKLKNRLELARDILREAESWSTLESEIIGFINNSEFSKAGNRLNEASKSIIV FQNTPTEYEDRKRLLISLQNELENNVSKALKISLNNNEILEISKFFEVFKDMDRENEF KTYYFNSKSFNLSTQWENIKLLEISTGETPIIGSELESKEITKFSLFIHDFYTSLLEV LNVQVEQIPQIFPPHTAASILASFVRHTFDALDPSPSARLSAVSDYYGSEALPELIIA YQATEDLGVAIQGLIDRLTFNTQGGLLSGDVATSTSPSSTLVEKTSPGNLATSPSASA TGPARTPTKKMSISRRFSRAPIIAGPIPVDNSWESTLYEPFLDLQSTYATLERRYLEY VIRTDPSLQISASSIGQDISRALLDRVNVVFTKADEAIKRCTAFTHGYGALGLLSALE AGLSTFLSNQQSIVSNQIKQGAEISRSRSGRDELDQFDGLDYSTEDWSSFQVVLHVLE TCKEISSKFENFQDKLENALQSFENVLKFTESTATTTTNNQGFDAKHTTQGAITLLQQ STLNSIDLHSLISTIPKPILPISLNALKELTKSNQLEIQSIILSPLLNQLETYPHLQV WNKPDKLIKKGELQVPTFSLSPTDVISRVSEGLLDLLRIFEVWSKEKGLKWSLNTLPF IDNVLEEENDNSNLNLPEEIVLSTWISSLSLSLLSYLTSKVLPNIRQLTNNGSNQLET DLNYLNNAVGALDVNWNDLNKWEKKLKLKEIEWKKQIKFDNEDFEILKNVGRMKGWN
I206_06661	MSDQGLTDEEDNTLLNSPWQGKRDQHGRTNLFKYQSTNDGRSFV LAITNLESIHVHTPKPEDIPAVPWEVDHPFLLSTQDLIRDSFPDEPLEQIQGLVEKIS TMFEERWDEVQLLIEQENGVKVAYMRIDDFAWRFILSQLASSQSIPFLIRHLLHPAIT IISNDRSIPIPLSTSTSKSIYESTSRLISDPEIMRAIRRSTFKPLPSTNTSSQGELKS SSQFPSSIDGSPTPRKPPRTRIEQNRKDVTPSSSMPPSSPPKILSSSVPPEEEDEHQS SPPPFSNDRPSSSSGKGFIPTSSSVVKSSSPSIGSAMDFKPPTQSQNKSKKEREKEEE EAIEKRMKDMKRKMEKGGGGKLGKRRLAR
I206_06662	MPPINGISTPSHGSRPGSTKPGTPISNTSNSSLILRKQLLDLQK SPVDGFSAGLVDDDNILEWQIVIMGPADTLYEGAILKARLIFPPEFPLQPPRMIFDSE MWHPNVYNNSDKRGEVCVSILHQPGEDEWGYEDAGERWLPVHTVESVLISVISLLSQD VPDLNSPANVDAAKEVREDFASYKKKVKRLARRSAEEAYD
I206_06663	MVSSLSKSKKATSSSSKVKVNPNPSSSTANPLNQISKLESTLLG DEYDPNPLLPLISLSRHEDPQIVHKAVWALHRVFIKFISEGKVGGLNGDLIIKRKINE DKGDNDFGEEREVKAWVRERLLEYIEVLGGLVRDKEPALRSSSIPLLFSLLPPLSTSI QSSTPLIHIPYFRLILDLLFNPKSSLRGAKPKSSGGWKIVEANQVEEDEGILPVDVAQ IVVDDFWAKHDDIRWAFFKESSNFIQNIDGEQSISNHSNLLAQLGPLVNLPKTPEDIN TFYISTFTEPPTTKSLNKKLKKNNKKGKLRYKGEIDELPSWMKEYESENSDSEEEQEQ EGKKGTKRIREKVSTLSIHQSVYSLQSHKTQYTNLWENVLSYLKLDDFWIRKILIGLH GEYGILGHFKPERRLRIADWLSTLVDNGGANAMLGMNGLFVLMTEYNFEYPHFYDRLY ALLDRNVMHVKYRARFFRLLDTFLASSLLSSALIASFIKRLARLTLSSSPAGIIIILP FIYNLLKRHPGTMILIQRPDDDNNNLNILKISSTLGKQGYIDPFNNEEKNILKTNAIN SSLWEIKSLQKHYLSSISILSKVFNEIFTKPQYNLEDFLDHGYGTLFDTESNRKIKNP PALSILIETSTPEILTDLFPTSDFIKDLKNQEEEEGQEIIAKQGDIISELWTF
I206_06664	MARPIQDAIMLLGDSITSRQDVPLSLNALLSETYRRNFDILNRG LGGYNTKFYLPSLNEFFLNKEEEKRNFILKRNYQKIKLITIWFGANDSVLPEFIQYVP LEEFIKNINLILEKITFEEKENFENKNNKNDDDDDFLNIILITPPPILEKMMENQEFS NQRKLKNTKKYAEGILNIGKQWQEKEKENSNKNKKWKIRTIDMFNGILNDAGGTEDQL KPYFIDGLHLSTKGYEVLWKKLLPILENDFKGRGISPSEIEFTIPE
I206_06665	MSQLLDPVIRPNDNDDDDEIRTTTRRIITEEDNDRTMATLLISS ASAVTSTGVTSAVATSTIRGQNPATPQSSTVRSTIISSANQIISTSTSSSTSTTPSTT LRPSTTSSTTSSARPTTTSTSTARPSSSSSRSSSSISSSASASRSSSSATSSATKAAS EAGKGGIGGTGLSLGALVGIIIGGVVALVLIGIIATRTIRQKQRRDRAKRRSSMFEWP ATTMEDEHEKPRYEPPSQSYAMSDTYPSNNNAGASVPYLTNESSYVPVPTQQQPQSYM ERNKPQYSYDQQPSYPPQQQYPQYQENVIPPPITAPVQGNNSSGSGGGLRDGSMVRVN VGFVRSLEDELAITPGQQLYLHQMYDDGWCLCEDSNQYKGVVPISCLVPLSNGNTNNN NNNLAPDMRREGSSGSGGSGGERLQRRSSLYRNQ
I206_06666	MTSRSPSPQGMIPRYPNGLFSFDEGCVLVEDADVEIMELYMSLA STSPEIKSQDKDSGGLGFLSSNDSILNINIDLTPPVLPTSEATAVSDGNKRGMKKKAK ISSGGESKKIVESVNVQIQQDLGMLKGRGGDTGSVLWRSSLYLSTQILRQSTYPDSYV NPIFHSEVLKKSSILELGAGTGLLSILLSGHCKKYTSSDRLENLKLVKRNLELNGINI GDRPSSDTLISNNFQKRTKLNGSSKNKFNPAEEKRYINLEEIDWTSISDQRKRHPELW ISDFYMKNNKEEEEESDLILAVDCIYNEFLIQPLIDTLGRYCKSGEKSVVWVVVELRS ADVLTMFLEKWMNDSSGPWTIIRLSEKMMGDWEGKKARWVGWVGWR
I206_06667	MLPPPPTSRQSSYIYSHGQPSTSLNSNSPYKSKGHGRIPSFRTA AENVLGLAHDDGGGGGEGDSNEEEDEDNNSDKGVERGLEETLEKIGFGPYHWRLLALC GFGWMSDNSALQCIAVILPRVQVHFNLTSKVVGLLSASTMAGMMIGAVAWGVVSDLLG RALPFNATLFLTAIFGIAASFSPNFTILCIWMFLLGSAVGGSMPTDGTLFLENLPHSK QYLLTLLSVFFSLGAVFSSVISLVFLPGHSCKTFEECDVPAGDNEGWRRVMLVLGLFN LLCAFARWFLFRLQESPRYLVSNGREAEAVVALQAIASFNSNSINIQRKDVQVIEEMS MGNEMEETDQKNSELPSPNPQEELPIWNSRIDVPSSSGSGSDVEGRYGGVGIGVNKNK KVPLRTGSAFYNTLTPGFNDGSEIDENRFESSFANASNSTKYGEQQDSTERQVLFDSA NNPDDNENSERPREKILDHTIEREGWHAVPLVWWESWIKQMSKLFVPKWRKTVILMWI IWGAISFGYTMFNVWLPSVLESKASGEGDEAIKEALGDFVLYSLAGCPGSIIGAWMIQ THLGRRKSLAICTLATGLSTFAFIKVEAKWAVVVSSMVISAAATAMYAVLYGMTPETF GTSIRGTACGTSAALSRFTGVMAPVSAGFLLSITPSLPVFISAAIFVLTAGCALALPF ERVGGRSGGGLMH
I206_06668	MNASCSTHPATSLPRVIDNGSLELTSVIGTGAYGVVYLAVDYKY SQPLWRAVKCLRRHGLDSRQKHFQRREIALHRLASAHPSIIAMDRMIEEGDNVYVVME FGEEGDLFAMITDKQRYVGDDELIRDVFLQLLDGVAWLHSLGISHRDIKPENIVCSHD GTRVRICDFGLATSEQESSEFGCGSTFYIAPECLGDWFPDNTSYPTRSGDVWSLGVIL VNLVCGRNPWRIASPSDESFNSFLNDPNFLRRILPISSQCLFVLQQIFTINPAERISL AALRKLVMEVESFTMGEEELRLAHYAAQNQNSTIPTPQYQSIETLLPVQEIPEECDID NNLDVEIDCDWSQVTEDNTVFVFDELETPSLRADSGTGSEPLSILSYSSPVHRSRSSS SNGGSLPPTPQLLAEGGLTLPNQQYHQSQFFEILKGKTPSVISELRVNPISPDISSVN SPNPFFR
I206_06669	MPQFPFLRSNQDKNQEKDQLILSPNLISSPDIRISGNNSNNSTS SLLPQFTHPFTSTSTNASSTTINANGNNNIPAVPTQVPAESPTSKYHPSRLLRRKASL NNKSTEPPIFSDSDPLPQTNGSGGSEPPISPRRLPSSPRGFFFDKSPSINKENGYFGD NHRERERDKFSTYPTRRGSEASKSTYGLSSPSSSSNQTKRSAFVYDGKLTNEGDELVV IIPSSSNSSGFGKIFHEDELSNNLAALGMRNDSLSSSLPKVLDGGLGSNSGMGMGIFG KVMQGDGLIHQQNDIEFKDKEYQLPTPPDSATTPFYPTALSPPRRNMPRSPRAMANQR TGTPTSINNRPETPPSMGMIQALSAVGGPSSGGDSNGNGTQWKQKNPSSSSPNLRQKQ QQQQQHYNENTTSPTPSNIRKGLDKPKNTAEWLARKPSLSSNTPNRQLQRNHRPSLSV DQGRSSSSESPRQSIHPSNSPSLRNHLEGNKPSTPKRQGSLDESPRIMEGLKVGTSNS KSRKSSFSSSFESDIDPISSDADGDGEIGLNIRRKSLLTPSKLINHRKLLLNDNKKND INDTNENGYEVIINCIDNKEEENEGNEEIKWQVIIKKKSNNNNHNNNNNNNEQNNQFF SKNTSTSNSPLQLNENGIKTISPLSANSINLSLSLDKPTGKLVFISFPIDLDINATPT RKLKSSFSKKNSSSPRPLTPPNQILSNFNNNNNNNNNNNNNNNNTEKQNLIKTPSLKR KPPPPWPSPRNPITNPISPPTSPRDVFTPKKNVTPTKVGVDGDLLNNNN
I206_06670	MPFPDVFKLPYDRCSPKEKQGFAYVSLVKRWPVVLTNIVSAVSN VNHQLHMNPDEYSEAKINEGKKIISQISQMKYDLGHNAILTPIENDGDINRECYNDEL KTYPDEDRRWGTMNWLYAECYMYRRLRSYFASTIYWKEYDPFFEQKSETYKSSSNAIL HLTKSINQAIKDKEKLNENFEEKGSKLEIAFLEMIQADLWGNATDLSLLVDLNYKDLQ KLQAVGSDSQKEQFKFILKNDLIKVWNYLKKLNTKQNGGRIDFILDNSGFELFTDLIL ADFLISCTPFINKVVFHPKAIPWFVSDVLPYDFTWAIDSLLDPSFFTSHSSTPISSED LNSLSDLANRWKDHLKTGKFQLSVPEDTKLGKATPLGRIWTTQYAFQDLPEVAPGTLD ELKKSDLVIFKGDLNYRKLVGDAWWPTTTPFEEALGPLAGKITLLSLRTNKADTIVGL EEGVAERLDKEDPDWRISGK
I206_06671	MIPLILYASETGNAQDVAERVARSFRSKGRKVTCQSMDTYPIQS LIHVPLLILITSTHGRGDPPPTMMNLWKALLRANLPKDILEDVHFTLFGLGDSSYERF CYAGKILARRMEDLGGNKLSEYGWGDERSPNGIEDALLPWLKETLDTFLPYLPLSSDF NMLSSTDLPPPIYSLTPIANSSKIKNGPNIPLEKLSIIASSSNGDSHTAPTRVEDNEI VTKDDWWQDVREIELEFEDDDTEPYLPGSICSLQPQSSEDEVYTFLELMDLESQADVP MFVNSVMEEQALPQHLPPSDKPTTLRSLLTNHLDIRCSPRKSFFEWLRRLSLDEREQE RLDEFIDDPDEIHTYATRPSRSIVETLADFRQTKIPLSHILEILPPLRRRQFSIASSY EAHPGKVQLLVALVEYKTNLKIPRRGLCSQWLDNLTVGSRIPIHISPPTLFLPPSPKT PVILVGPGTGVAPMRAFVEARVAQGAIRNTALYFGCRSKYADFYYSSEWKQYGEMGMN IQIAASRDQEEKVYVQQLIKENKEQIQEWLIEKGGYVFISGSSNAMPREVREALAWCI SKNGAGNLTDEESKDYIEKMFEEKRGGEESW
I206_06672	MSTKQLPKGEQPLPLKHLLKNFTSDYPLRAPSPIPPSSRVDPNL KQKLPPSSSSLNIFLWTIPTWWLFLRFLITKGLTIYFNLLNHFLFGPKRKSWGYRMTF ITSFMRNISDHSSLADIILIRKLISLHFLLPLPSNAIVTPITFKVPSYSSSSSSFSKN FKRKKENSINPSTSTTSKSIGLLEYLDLKENGQRELSGEWVVCTDVWKRLKSERRLRN RIKLKKSSTSSKSKHSGLINSLTPTLEEKLSSKSTNGSYNSPTKYDLRPSPSPQRTNR SNSTHSTTTPTTDSESDKTGERVIYYVHGGAYYVGNAATHRLVTIGVSQSCNARVFAI TYRLAPEHVFPLPLHDVLHGYLRLLAPPLSIPPENIIIAGDSAGGGLSLALCMYLRDE GYKLPAGLVLMSPWVDLTMSCGSWDENAATDVVPRPEADDHLNPVGCYLGPEGISRYL THPYASPLFGDLSGLPPMLIQSGDSEVLRDEITLLAHKATLAGVHVTHELYEDMVHVF QMFSFLPAATAAINNVGKWVCQTLPAIEEEEVMGKLNKEVEEEMETSPRVVSGDGEEL NVTNQSNQTQIIPDEIDAVQDYRASRLRTPKASALSLDIGEIQAQPEILNQDTTVDLD SLSYDPHMSEINSTIAGSSSRSRSRSITPTPKSRRTAALDSSLDLSGVPSFSQSNNSF NAPYTLPRLRRSYTNVPFSHHTILPLSPTPTSTSSSNYFSHNDNVDNGTTTGRRRRRT TYSLHVSPAQSTGIRTPSNPTSPTPSIRKRLRGMSISNSNNGTPSTRARSKSHSDIFQ LVEGYVECGAANTTTIIDPEGEVKSMGVLGENEDD
I206_06673	MADPVRPPNRVRISSRTRLFNDPNQQDQKMSFKSINLGTFNPFS QEWDHTQRKRLGVFIMCVLVGLASGSNYVYSAYAPQLATKLVVSSTIGNLIGLAGNLG VYLTGPLWGKIVDSRGQRIPLFVGGLCCLIGYSTVHAFYIGKIQLRSNLDSEPNQIKL FILEFAMFLTGCGGSSGLTSAVNATAKSFSNVTRASASGTVLAGFGLSAFLFSSLGNF FYNSDAGGLLFLLSIGTSIPMLLGSIFIKPIPPIEESINIYQPLNNQQEEEQEDEHEE TNGIPKVIINQSQSEEFEYNNYSDEESQVRSRTSSLELTRSKSPISRGRHTQIHQNHH HAHFNDNLPPPINQSKSKPNHLRSTSLASLPPTAISYSPLDLMKSIDFWILFICLALL CGTGLMYINNAGTVTLALGRENQRIYDKAKIGGYQAKQVGLVSIWNCGGRIIGGVVSD FGKNKFGIRRVWFLPVVAVLFIISQISALETTHVQSLWMVSTLLGLAYGSLFNVLPML ILEWFGMRHFSQNWGWVAVAPVLGGNAFNLLFGKVYDSHTIGKIGTSDELFEGITEVV RKVLKRDGGAIPDDGKHDCLVGEECYGIAFKISTIGNLIALALSIWVGIRREKISKER KKLILASRGEIVSDEEV
I206_06674	MLFERGHFSSSSKLTITDLPREVIRLIALKIYDPLELTQQDRLT WGIFSTVDMHQERQDDLASLISLGMVCRKIRKEVRRILFQCVRVSGVPWAEEVIQNRD GWARYVKSIIIDLSMFDSDPEASTRTRLSPIESQQPISNNNSSNLNENSLSKPRTCWA ESSLLTSLFNSLPSLNHLSFFADASDDSTLALLFASLIPHPSLHDIPPTLLSNSPSTL STISGLGGGGNKQQQQQSIINTWIPLTHRLKSFGWRQRATPPLNFRQFSQSSTFVSIL HLIRHSHNLSFLVLDADLDEMTPSDVLSPLKELSLRKPPIGEKNQLVSLMLCGPIKNW ESDFLNHLVNAYSGIKELFIDRPLKKSTEVKATSFKDFTKLLEPLSTLPYLRLLQVGS YTFTTSVQLRIVQNLSRTNSSLLVVGLLGEEGETIWWGIWRRASFRGLGSSIIISEGQ GDREEVGEYDELTDIRIKFLNDGDLLKLEEENEDWLKKSQPPSPPTFNINPFQTTNEF INFNDIDKFDYTNNNHSFNNNNNNNEDIRMTPRNTQSPLISPKEQERIQALGLNLDGI ESYSIQSQQEERGNGIGEGKNMMDLNRLMD
I206_06675	MPEAKNEEEISAEEEEGVEEIEREFSPQLSPPLMGYTQEPVEVQ NGHPYEQGVADGAAAWAMLSDDVPSNQRMAQGSWNSNLVDGSANNLTPDYCLDDPNEI PDLRPDPMPYGLKDQQLGNESQFNVSSTVTGGTFVIPRRPWGGSRMHRYHPYNPEYSL QRDAASQISDQVPAGMSEAKKRSRGSVSYAYEYSATLRGTVGCQLKGKVRSSRKKHFD DIYNEILDIMESSNRFLIAENNKTNDHLQTNDWGFLDFKYEEVGAESSKSITNSPITL ESRRRLLDLLDSCKDLEKTAPRKSRRATTSTSNVYQMSDAQSGLDVSQWLTDTAATAG PPEEVGSILSSGPANIGGSDIHTREDAPDDPAVTEA
I206_06676	MALSKRKRPSISPHEAVQLDYTHLIPGTILPPTFIQGPIRIVWG KGDVQKSKSPSPAISNSSVSSNNELIPKIGFTLAQKYQISKGYQPNDYVLPTEKETTE TYEITIRLFHSQLNPTYKDLGSVNSVKALIDEAYLWLKSMSKGIWMETTGLEVISKSR VEGKSIWAVVLQGVGERELKTDDKKQSRILMPDFPKPSQPPDWFSSSAPIVSSPPRPP SQLPTPTTTPGPPNTSRKGESKLRPITSFHPPDLTRHPSKSSTKSSSSHLARSNKRSS LSLEPGKEEDVKRTKSNEANGHSVKLPNLPSTPIVGAVASKDIEAVKEKSPRTVVQLG PPGTQASVREATAPSSNTVNKKLEEHVTPHDESPNPVETPVSTESVPQEPILSEVNVA QISNTAHDALGIPVAQAQAPITPMTTHTVEVQDTLFKQMTPIRRRSHVGSKSDAASSP CLPCREQSVPTISQSSPVFSTQAEHSQLAIARQRAEKDRRAQLQSAQAESSRTAQLGA RNLNRLEEPLRISGLEYTPLKRLESQRIANIVGVVVKLTHVSKPSASLDDF
I206_06677	MSVVICDPSRFANGDPAYNEELVVSVFRPKEIELPLDLAPGDVI LFRGVRITIYNNKTKAQAFSQSNNTWVILKNERDIKLPNGQNELNPPLNKAEVDRMVQ LYHWYKAENGGIVQNSDLDNSFSRISSFSVPKEERLLGDVGPNEFFHAIFKIMHVVRN QRKPELELYVTDGTISQNYQPRNFHNIEIADLPQAAIYTLAIHDTPPLHEIPNFDVGN VLKLSNVRSKLYKGELELSWSELPTSEQARQGWSRRRLAPIRQEDEKAKLIERCVGSI LSTRCKEGIYTLLRIRRLRALKRGEVYDEPGQPRPATPKNDHQSKDPFQNSTAYFELD STRLTNRNQATQLAKHFKTIHTDLTEHPVSTIQDIIASSTVPNKYRVTAKVKSVHPRN LEKNDTLIQSFCKHCKSTFKSAWCGSCNDSEGMNAEYKYRFVCILEDQKGDELACLVG DDEAAEFLPPLPPYSTSTNPNDLRKSDRRRTELSGEVYNILQGAKMNGVRTKPYIDMS LEVYHIVRPFNTEQNGEEEKFIVARMFGMTSASIA
I206_06678	MPSSSPLPRPKSSPSLPAPNSRPQPQFRAKKEHLPLTPLSPAAA ANLSMQFPSPHPLMVALAGWPETIYVSNNRRHSLDTSNSHMGFESLSSGQVYDGVPAP ALHSHKKMKSRPTTPPKLESTITSKSPEITDSPFSSSSSSSIPTSTFDDDKDTLTDKT PLSIRNKLKARAAGKTKANPVPNIVPKPISAPNLSIFAPVCPHLTDPSLGPCPFKSHP HDVRNMFPPTSHLSSESNGTNPSSSSRLSPPTINTSLSPILKSSSLSPTSESGYVNNP LSSPEYEKQLNFEEKVGLGERKNPEGLTNYFPVPGIGAPKPRTLSKSSINAKPSSSNS SVTELNTNGKRKESPIDDTSPSSTTTTTTEMLHKGRSLPSVPIPSWNTSPKSSNTSPR STSTSPKSSSTFKGKGKQSESDLMDIDINEEDYLNSSPILDEGQNGVMLKVEM
I206_06679	MPKALPRLTPPPEGGESRDPISIALEQHLHDVRQWTEWVTNGDP RGIGTMDYGIYRTFEVWVQRKESLDVIDSILQNRMSIGYPYSQKAIILAQILPSERLI PYIKLLEPLLPPTTIKKEEEEEEEELKNNKFLKNFNPLISNLAKNLYDKAKLEELNLE NLKKEKEEKLKQEEEIKKWELWCNLYGRTCKSNWLSKSQFENPQWTYWNWKEISKEDW WKGRND
I206_06680	MCSTVPFCLPTPPPGYRLIYVPPHDAPGSIQPRSSPHRFALSDT PNSSAGSSTPAHMNPPMPQLPTPSDTPMSPRSHRGDQFATDHDCVLRKYEMKMRQQPV QARMCGVGEKSDRRPIDPTPIIQLKVIDENVGLTLKSVDPYYFLFACLVGGDENEDEL HVIDDGKTRFLTGTPVSSLYHLKDLDNTDAAFFVFPDLGVRKEGRYKLKLTLFEIVDQ EVYYCTTMFTSTFSVYSAKKFPGMSKATDLSKSFAEQGLKIRVRKDPRQPAGRATKTK RKSDAAESEEEFDNVHHDQRRQHPPPQHHHQAKRSRGASLGYPNEEAGGGRYYRPTSS HSHAEPPLGDHRQQYSAQMSNGHPNSGYHPNYPPPGHHMPPPPPPSAYDPYRGHQALT PHYPPPHGSYAPQYYESNSGRPATHPAPGSAGPSHTRPHQSLPPPGYGPPEHPNFHHH QQYHQHSGYHHQVPPSAYHQRGPPYPDSPYSDQERERERNPRNSVPWPQDAKDIYIED RERERHRERQREVEFAYARDREIERERERERERYSSSRGPPQLQLQTQGQRPRTREGP RPLSPRSRVSPSGPGYNAHSVHPSPTLSPGLMHNQRPVSSSNRERERNLPSPGMTLPP LSLATSTPPTSASRSSRHSIPMQQGSAGKRESSSRPTSAGLPPIVTDRERERDDTLSQ RSGSARGSKNASPTGDNTPVSARTGRMSLHNIVD
I206_06681	MPVVPPMDDIQPSSREGSQREGSSRPAAPAGQVEKKSSSGSTPN GSGNGKDKGWVEGIDYAYEYVPVTQRRQGRKNM
I206_06682	MSSSFSQQSNNDKKEREDFQKYLAKSSEKVLERKLSVNQEVYGL TPYNQAINYNLLSDAQFSHPPDGANEQNPIYHDMSMNPIQSTPLTYQGIPNTMSDIPY QCSPDIMSYPQNVISQENKNHYAAGDTTVSSVMPPSESTQDVMQRGIDALERSYFSRI PSYPYADCTNTLLDGQSRQIYLHSGLENDSTPSVEKPGSAHVIYPCSYKVTIQANYPP NAIQVGDKVTTSPSQTRVYREVSEDKGATIDRFLRQ
I206_06683	MSFSLLRSRGLRAVSRSAGIKPVRSTIPAMRFLQTDADKSSPAE SLPEAGNQPFTVALHGESFHSYRCDAPSNEISITKDEMVKMYTTMVKMRRMEQAADAL YKQKMIRGFCHLAIGQEAVSVGMEHAIDGDDRVITSYRCHTFAVLRGGTVKGVIAELM GRVDGMSYGKGGSMHIFTPSFFGGNGIVGAQVPVGAGIALAQKYLKKKSATFALYGDG ASNQGQVFESYNMAKLWNLPCVFVCENNKYGMGTSAERSSMNTDFFTRGDKIPGLQVN GMDILACKKATAWAKEWVTSGKGPLVVEFVTYRYGGHSMSDPGTTYRTRDEVQQMRSE KDAIAGLKRYILEWGVTDEASLKAIDKKAKEEVDEAVEEAKKSPFPDVKTFWTDIYYK GTEPPMMRGREKEEVHVYHKD
I206_06684	MVQPNGAHQQASNSNSNGVVRPWAADFNPGSKSFTTLDGKPVNW NGGWAGIDGETASNGSAPPPLTAKSGPNNTEITYLPYPELTCLHCLGSNPPGKLGYVV SYIPVVSNGNSDSNDTQQNIGKGFPTQSNGFNHTIHEEEDEHIESPVRPSAPQQGSSF SRPGRDTLRSSSATRGLQARSRSRAEERAQDLERGRQIEREAQEERARSSSVSWELAG VSKQYVPDGEDDAVVE
I206_06685	MSPISTTTTPTNQSDTQSSIPQSVAPMWTVVTKREKEQITATVP DTVQLTKITIRQMNEPDHRGRRISLMASDPKTDKRYIWSGYKKDLREFDDRDNTTGAI FRRAVSLIKDNDGTKQEYTTTEGEKGKYRKEKDDGTGEDLYVYSFKAPTDGDNIREEE EITVLSESLMKERAKFDVTASEVWARFQGYDLGGLKAAGTFKRSGHEYSWRTTSVKDD KGSFTYHVNFLCPGETNKKAWLTMGSIEANSKNHLKDGIDWKGLKNSILQQTSETTRT PTDSTVV
I206_06686	MTEKPEGADVPQEQKAGWASFIKSLASMTGDLSSMTAPPFILSP TSLTEFPAYWCEHPDAFAEISEGKDDKERMERVLKWFIGTLSAQYTTRNEKMGSEKKP LNPVLGELFYGVWPDRGYGETRLIVEQVSHHPPRLSFSYIENKQAGVRLQGHSGQKTS FSGTAIHVKQSGHAVLTVQPKNGQKEEKYLITLPKLRIEGIVWGSPYIELTDTNAIQS STGLTAQIDYKGKGYFTGKSHSFKAVISNGSGKAVQNYEGVWTGKSHIGGSKGPLFLD TAGHKEEVTVKDVSEQTEWESRKLWVNVAKGIRTGNYDEAGKDKTKIENEQRQRRKDE TANNTAWNHLHFTHVESDPDYQFLSALLHDKLTPPHEDAYVIKPETLNVVGRPN
I206_06687	MPGPSSSLPPYLVSGASSRAHHGLLTRLHEAASVQEEDEIISKE IRRAKETLAVRGQSTSKLRDTLIILLHCQMLRHRTEDNIEFAFVPALQLAEGGHTLAE RRTGYLYLVERLPKKHELNLLLINTIRKDLSSTSSSCVLLALQTIAKIPSTDLAPAVI PLLTSKSLLKHNSPAVRQRTFEALLSLHQLSPNDEQFPLTMNKLLKSLQREDDMSVLA VILRLIRHLLETSAHHIHNDTERLYVIDKCLEAARQNEVRYEGQIAVEVVKVLGALVE RKEDFGEHDASQMVSRWVIDTMNEMGSVHGGQGAFLLEICHLANILPALAAHILAQIS QLLVPDDQIATSSASPSLPSPNDHVLAIRCLGILPTSMWDGRLEEMQMGVIMHGVNSV DDTIRKLTLSLLQSLSPDLPEMILQTHFDSIRHSTDLSLPMSLANGLTLKEKTQIGRN ETASRALEVVEARFQNDGDGYACGVVELLEALESGNDYRDGHVWAEGVRRIQDYLEYR SPSFAHAFTTSVLESLRGMEHSSSDTLIVVFTSIACERLPLEQIPEALDYLSRIIPQH NGELTLIHFMFASVGVRSLTLCAVLASTQELIMVTLVALLTCLDDEIAEEKGQMVLNT IQEVQKGSSRYLKKVSQTLGKQFRQRTDESAEMSGDINNHQPRFPERGQGSLEHL
I206_06688	MSPSSTNNAEAGPSNESKKRSKQPQLSCAECRRLKLKCDREVPC SNCIRRKCSELCPDGVKETRKQVFDIKAAESLQKRLLTLESLLADHGVDVPPTSEIDH YPFNNNNKRRRESSVSSLDQSHHRSPYHVQHHHISPIQRSTTSRPSASPRYRSPSISN IRQPISSHRDYPIHPSLHQRHGSNGSPLPNEPNYWIRPSTDYSSIHPLPPIPIPHMAS SPQKSSELIPVDSANQLRTNNMPEPGLPSPVEHSHGTLVIGQEGRSRYLGPTAGTEWL KNQEMGDGHSSGSTESPEPEEQAVPTMSPDRIKRNVPEHLLSFPFPLPNGPSTMESLL SHLPPKDDAEVLMDSYYRYFAWNHDTAPRKFFEPIFDRIFQSISNKSYRSVHPQQLAL LFAILAMGTLQNLELPPNDPTANEYLSLAKGCLTKGDFLNNNTIAGVQTLIIMAHYLL ETEKGRNGDSAWPLWGLAMRIIVAMGLHRDGARWNLPTDVVEERRQVFWAAYAIEILQ ANCFSRPTSLALQYIDTAFPIGPSDYPEGSKSYQTKRFELIQLSAKILDTGMSVQFES YDTILSLYTQLCTFERTLPYDLRCRTSMLSLPSEYPDTNFAKEQSPEITRRNLKKTFQ QFTLALNISEHVLFLHRPYFVMAMHDQPIDPTRSVYGRSYLAVIERCSVIIQIVSTLY EVHPAVSSRQWFLWYHIFTAAVCLGTMVLRNPTSMLAQFALTSIDQAISVYSLLIKQN NTTSMIQNHDWLTRLRSRVFNKIITVSQSQVGENVDRESNDEGNGERSSATTAGSRSH RDSIHSANTNGEEDLDIVGWKTRLIESASNGTQIAINIPSSTNINPSTRQPEQLQMIN PTANGGHDFNSPMNTSGQDISHNGVAPAVQQVLQQHLVGTGDGPNMSGGQFGMDTATD LLLHQFWDPMMLNDSNELASANWWSWM
I206_06689	MSPYTLRWGIIATGKISGQFARDLLVDPSTREVSDVAHQITAVG SRSVESAQRFIDGLKKPGEGEPVAWGVKNGGLDKVRAHGSYDGVYNDPNVDAIYVGTP HNLHYQNTKDALLAGKHVLCEKPFTIDIEELDDLVAIAQQKNVFLMEAVWTRFHPIAY AVDEVIRSGKLGKPKRFAADFSMEYDLDSKPDSNRFIDPALGGGSLLDMGPYPSVWAM LLVHRNPHNKDQTPNVVSSHQSIYKRSGVDLDSRWIVEWDGLCRGILTTDLTAPGLRE NTAVVQCEEGDLIIEYPPQKPETFHIIPRPDRYIGSIKEKTTHHHPVHAGNHGMSYEA DEVARCIRDGKIQSERMPWEESKVVQRWFDSVRKNGDSATKGMKGSVGH
I206_06690	MISRLPITGFRTALLHQRAPVPVVNGLSKSMKPGGYADGCADIA YALQSSGETIITPVPQPNPTKDLEWSFPDTIEGIREAINRGANVLWANTNLHSQHAIV QIQDELITKGVRLMGPNPLSAEKYDDKEWTNRWLAQQDGLNDSFPRSMLYRKGDEDKV AEFPLPAMAKPVKGRGSHGVTKVSTPKEIRDALEILLKESEAVLIEEYLAGEEITITI MPPGQYTKEHWALPVVYRYNQINGVLPWNGTVPVTDNSRVLSKEEHDADPAYAKAQAL CVRVAQLLEATSPFRIDCRRKGNGGPFILFDVNAKPNAGGPGRPGRDKQAALTTMSAN AIGWGWPEFAVNLLRTATPLQEVLKHNK
I206_06691	MATEKHYSPTHIEDEKVLPIDHIETAHHQIDPEALHSTKKQGAN NQLDDAARLLAEAGGHVEYTAEESKRVLRKIDLFVCLPMCLVYFIQQLDKSSVSYSAV FGLQKEAGLVGTQYSWLSSIVYIAQLICQPLSSYALIVFPVKYWVMFNMISWSIVTIV TCVGKNFTGLLICRLFLGIFEATILPSFVLITQMWWLRREQSYRTIAYQIANSFAAIF GPLLSYAIGKATESSNVIRPYQGIFLFMGSFSLALVPIVWWLMPNSPTTAKFLRNGND RLIAIDRLKENNTGTKASKFKWSQVRETYKDPKTYMWAGMWFCAACPSGGIGAFGGLI TKGFGFNTFTTILMQIPTGAIGITALLLCIFITNRIKMRWPVLAVVVLFPIAGAVSLT QVNHKKVGGLMASYYVAYLFAAIQPLLISWCNLNAAGTTKRVVTTATMFGALTIGNVV GPQVYLTREAPRYHTGLYVDIGCWCVEFILVVSMGFYLKYLNKKQEARRVALGLPANL KDISIMDTAEADAYKLELEQMMAQAGVNRDLLNENAFDDMTDWENPMFMYVL
I206_06692	MTLTVEDSYKAGSADKTSLPEEMKVKEIPLESGQDSNGDIRPLD KHAFTFWSVFSLAFSCINSWVALVVSLSTILTAGGPTAVVYGFIYATICTMANVLSHG EMFAVFPTAGGQYHWAAMLSPPKARAAISWATGMSNVIGLWLGIAAAAYLCTNMVASI ILVNNPDFILTPGKQYGIFIAMTMFAPLSALGVGSKANRRLDLGLMVLSIVGSVVIVV TLLAKASPRASGSFVFASITNETGWNSPVIAWLIGLLPSTYAFVGFDIVYHVSEELPN AKREGPKAANWTILFSGVSAWIIVVCILFAISDVGRVLGTGYGLPFAQICMDATNSKA ATTIFILILLIVFSNATRGNTISAGRTLMAFARDGMLPYGSVFMTVKLGEPIYGIIIS VVIALLVGLVQFGPAAAFNSLTGGSTIFFFISYTIPCACMLFGGRKRLNKLFPDRQHN LGKWGIICNLISIFFVIQSLVIYVFPAARPVTPDNMNYVIVFAAFFGVVLVALWHGYA KKRYHGPRVRLPTDQGDPIYEE
I206_06693	MLADQTVLDPEAKQVHHDKVEVDAFTALAIEEQDHDIKYRTLSW QKATLLLFGEYVCLAILALAWSWSVLGWVCGFFITFGLGIVTWYTSYVLWQFCMKHPE ARDICDIAAILFPSIPRIAFELTGLMLLLNNIFLIGFHVFTGAKIFNTLSDSSICTVG FQAVTAVIGVIVSLPRTLNHVSIMSVVSAIAMGIAIILSMVYAGIEEHPFYGYGGNYP ELGPVKTSIGLPGSPGFINGLNAVLNITFLWIGQILYPSFIAEMKRPQDFPKALASLT VLQLILFSVTAAVGYYYNGQYATAPIIGSLSEPWAKKSAFAFVLIPTVVIGTIYGNIA AKYLFKRILGNSRHAHSHSVVGWGTWIAIDIFIWTIAFVLGNIIPSMGDFLGIMSAAF DSFFGFIFWAVAYWHLNRNRLWANWKMTTMSIINIIIFVLGLFMLGPGLYTSVDAIVT DYSGSVKKPFSCASNAL
I206_06694	MPDRNLRSDSLGSEKKKAKLDAAEISRNGDRENTSSSSAQPQVS LQPSDQSPSILCPTTVASHPNVDSPSTETINIPQSEDTANAILPVVSSNSHSETAFPN GFLVPPDNVFQDQMHPWSQSYAQPSRSNQATNSVRFEDAIASPPEEQSHGTLVISHTG SSKYLGPSAASEWLKDAEVFEGNETPAFSRAASPAVASAVSQPRTHLGFPLSGRGASA VPSFSSLTSFLPSYEEAEALIDSYYRYFGWSYEIVSRNSVRKIMEDVYIHVGGTGDEP RRTMVHAQQLGLLFIVLAMGALHNLELRPDDSSAEEYMQCSEDCLTKGRFMTNNTVAG VETLIIMAHFHLETDKGRNGDSAWTLWGLAMRIIQAMGLHRDGEKWNLPSDVIEERRR IFWESHSAEIFQANCFSRPYAIPAEFIDTKFPTDTPSVGGATPLISYHTKKFELAQIA KTVLQTVLNTSGPAYDTIIDLYERLRGFERSIPFHLRCRTALLALPSLYSDAKVAEAE SPEVNKRDLRRTFEQFSLSMIVSETIVNLNRPYFMRALIECPSDPLRTMYGQAYLSVV ERSNVIIQIVCGLYALHPSVTARHWWCWYHAFNSAVCLGTLILMSPQNELVPIALGAM GQAIGIYTETVHSRAAPRLVQNLRWLLRLRQRALDRIEHYQRQPLESQDPDSDTETDA GLLGWRTRFIERIGQGSQKAFSISTRSPVATELTPTGDLEGVIKSIPHAIQQHIASDL RESAPFTGSSSQSPPIQINSTDQLLHQFWDPMMLSQDLNDVVGSSMTWWDVLSQPPA
I206_06695	MSDEKNYGIETYAPPRPDLGARTASQLEKATITHVEHTGPVDRN DPAALHDDTLMIGERGEKLTSFVIALSFVAAVSGFCFGYDTGVISAALVSIKDDFGHI LADQEKEWISTATSCGALIGALMSGSLADKIGRKWVLAIGDVWFTLGAIIICASFSVP QMIVGRAVLGFGVGTAAAIAPLYIAECAPTRFRGALVTIQSLAITGGQFVSYCIGIPL TGHDGWRIQFAIGIVPALIQAAAIHFMPESPRYDLLQGKREAALATIERSYRGMSEDY IAVKFAALEEVVGLSAEFTRQNNLKARLRLLITEGKYRKPAITALGIGIFQQLCGFNS LMYYAATIFSMAGFDNPTAVGLIVSGTNWFFTFVAMFLLDRVGKRRILLSTYPGMIAG LALASVAFWKMTGETGHRLIEGAVYPQQWSNMMLGMMVVFIAFYATGSGNITWTVGEV FPLEMRGIGSSVLAGGVWAANILISATFLTLMNAIGPTPTFGLYAGICFAGLIFIFFC YPEPSGLSLEEIQIIYNYGFGVAKSREIRREHELRRQARQDAPMGITASGSDDQLGKV 
I206_06696	MPRGEASHPAFHFDDTDVTSLFVIQRDLGGKLWEGIATRNGPYF PVTAVTGLIR
I206_06697	MKISGNTFVITGGTGGIGGATAKSLTSQGASVALFDIIPEEKGQ AFAKELDVGGKAKYYQVDITNSDAVKIAVEDVVASLGNLKGAVHCAGVAIKREWTNDI AESIPNFKKMLDINVTGTFIVNAHVADAINKPLNHPDGSDKHAPFWTSNEERGVIINF ASAAANPYARVLSYGPTKTAVVGITKSFSDFLGPSGIRVVSISPSIVVSAMTANFSQY FTDDLLKTATFPRVPLTADQITPTVQYIIENVGVNGIDIPVDGGWRLVSLKAGIEGGK DPRELAPGLE
I206_06698	MATYLTLPADLPNHDQGFSPDDDVHHQVHTIAQHWLSQVERAAI TNDGELFSSLFVKNGFWRDILAFTNDYRSIRSGNIAKAAKARFPIVKARDFTFASKEP SVEHPFPDVTFVSVHFDFQTETGPAYGIAALVYEDKQWKAFTVFTLLEGVHGHTQKVG ANRARGAHNASLSYDEQLEKAREFKDSNPDVLIVGGGHNGLAAAAQLNTLGVSNLVID TYKRVGDNWRLRYRSLSLHDPVYANHLPFYPFPENWPVFTPAGKLANFLESYVDVLEI NVWTQASLDPKQTKFNEKTKRWDVTINRTHISGRQETREFSVGHIVLATGLGGGKPKM PAPFPGQNDWAGRVVHSSKHTSGSDWTGKKALVVGACTSAHDLCVDFAKNGADVTMLQ RSPTYVMSVDKGMATLVSGLYGPHGPPTDIADRIAESNPKIIAKLYHKRLIPQLAEQD KELLDGLKKAGFNAWLGPENAGFLMMALEKAGGYYFSTGGSEMIIKGDIKVKQGEIAS FDPESQITFKDGSKEQYDVVVFATGYTGFPDTIRATVGEQYAETFNPVWGLDEEGEIR GVSRESNIPNLFFLVGNLSACRLSSKTLALQILAQKEGIFGERYTYAKQKADGDIDDS TIFKAINGVNGHPQVVEDTV
I206_06699	MPSRFNGNTATADDPNPHWRKGPEVEHVEWRKKDNQADLADESL VQAEDEDKVTPYLIFLILVAALGGFLFGYDTGVVGSALPLVGTDLGGKALSSSEQEIV TAGTTIGAIFGAAILGPMADRLGRKWSLFIADVFFTTGAVIIASSYSLAQIIVGRLVL GVGVGAAALIAPLYITELAPTAVRGRCIGINAFFIPFGQVVSSAVGSGVQTTKHGWRI LFALGVVPSIVQLSLMHFLPESPRVLILRGEEEKAAEVFAQIYKKATPEILDLKLSVA RSYVAATTSMQRELTLWERSKRLWTHKPYRRAIISVSGLQIFSQLTGFNTLLYYSGTL FGLLGFSNPAAAGLIPSGINAVMVFIGMSIVDKVGRRRLVLIFAPVMMAGLVWASVAA WYMTADTNHRLLDGYEYDHNIAGALIGGIVLFVVGYGLCYSYLGWYQSEFLALEIRAS GSGISTVAVWVANLVVSVSYLSELENITPTGTFCLYLGFSVIGYVFVYFCYPESKGIS VDEIAKLFTDDFGVRKSEAMRAGQLARSQRVHSQAVGKRSDSTNKRSEAIETTTAEV
I206_06700	MTSVHLLPPTPTPSGPDKMPNPLVQYRPLHPTFGAEAVADFSSI TPALITEIKSGLAKYGVLVFRKTGLNDARHVEMSHLFGDLDDIAPFVGGLGQKNRLSS DYLFDVGNVNPDGTVMQPGGMRDLLLRCNYHFHADSAFNPRRAGISLLLAHELPPPEL GGDTEFADTRSAYDALSEDRKAEIKDWVVCNSQLNSTCCVYCVDIITQFDPMKHRFGK HKLVQTHEPSGRTNLYIAAHAHHVEGMPLKEGQKQLHDLLMHAGKPEFTFRVQWKEVG DLVVWDNTCTLHRSVPGQYAGKYKRDLRRTTVHDMSSQAWGLNGEGATWRSGLD
I206_06701	MAIAERSESFNHPLDPLTAAEIFAVSAAVREHVVSRALPGIKPI QRLYFNSISLREPSKYAVLLWSGLFQPKEVERVSAKSGKTHQITREADVHLICPISGQ SFEAIVEIPSNLPDLTTVPEPIIKSFLLLDSDFQPSLQPEELLWAEEICQKDLKVKAA CDAVGVDQKLLAIDGWCIGYDERFPGKRLQQCFAFARLRPGDNLYAHPCDFIPVMDSH TGEILTIDYPPTNQVVGEPVPASSAHAYQANPSRERFPPPMAGHNYLPEQIVIDEPSF KLRDTLKPLHVIQPAGVSYKLEGRVLKWQNWSVHVGFNYREGLVLSNITYDDGPHGIR PLFYRLSIAEMVVPYAKTIFPHHRKHAFDTGEYGIGALANSLALGCDCLGSITYLDAD FVNRAGGCDTIKSAICIHEEDAGILHKHTDFRDMKAHVARNRKLVISSICTVANYEYG FYFNFALDGSIELEVKATGIVNAYCLKEGEASDPSHEVEVAPRIAAQHHQHLFSYRID PMIDGLTNRVVQVDSVPDDDEVGSPANYYGNGFKTVKTIFKSAKNAVADYDAAKARCW IIENPGKQHYATGGNVGYKLMSKDMPPLMAKPGSMVWKRAPFARHNMFVTAHSDDEIF PSEIHINQHPGGQNFGLANWVDRDDPIDDKDIVCWPCFGVTHISRPEDWPIMPVEILR VHLKPSGFFERNPGLDVPSASDVRSRHATEAISNGLDKIQLEHRNIVNGIHGNHKQNG ISNGAQCCSPA
I206_06702	MCGPCTRDNVECRWDIGPKRHFPPDTAGKKAGTKRMKRRHSDAS AGISENRTNGRDAIGSGVNWLKLVDTEGSVRHYGPMSTFAHLPENSPNSVLSNTSCSP ENLSTLPWICQNGQMNAYQMSTSVSGARPSSLMYGHLPTNVNLTWEEHEELLNLFEAF FGSFCMVVDISLFREDLTRTHGQHTTNYFSPLLHNAILGLAVHFSNKVHSPSDAAFSA KAASYILQECEKPMMSTIKGLVILGSLQTLLSREDLSSLYLSMALSLAKSFGLHIDCA QLVQSGQMSADQAKMRRDQFWSLYALEKLWCIALGRTSTFLDYNVPTYTVDPIIDEKP WLEPHRFQLDRPQSHMTSASKASSTFYWFCKLLMVASDINSNLCSLKVDKRPIGGTQV QSLRHRLKVWKDQLPPEMQLNIEDERCPPPMLMIMHMYFWLLVILLNQPQAHGRLARK SGDADAIAASEQAASEALELLLTYPHAPPAHIAYAAASVMLMSSVTLATPTARKEAML QKFDQCVELLTKMQHVWAAQTAGILNKLKDEWIPSSAPTTRSSSAKLAVTEPNRIHAP DADTVQIDMEDIFSSETLHSFNPSEGQASTLFRPDISDAIETSASLQSGQTELPSAAL VGSLWPMFHSSGVPYMSFPDGTEDYWSQWMSLFPETGDVTSCEFIPRD
I206_06703	MIATDQERRRALKACDACRIGPPKGIKLGPRSNFSKRKSRSTSA EADEQDVSLDLIDLAQAVDSHLSSQVRSSTPTHVSANDATTTTSGYQSHHNAEQTFLD YDPYVSIQSSSSPDSSSSTHRAIGEKAAQDSTQSFSNLKVTAAGVIAKLENGTVDEFL DRYWTYIHTVWPLIYKPTFDKRTASPLLLLSMLKLACLISPPCPTGRSILASACPTIK DLWTVAPTHGDLQIWLLQALISQARGNMEQASQFALEGVLALMRIGHSLITPGPQSEI DAQSMSRAAWSIYCYHQSLSQAENRRPILLVHSIPCALPSIMETDELEFWPLITSQPG LRQKALTMTCFHQSCRLAAIGDRVSSLVFCNTRSQELDLGPDGLSSDSVEILHQVLDQ WYERLPTAIKALKDDQPSHFTALIMVSSLRHAPLALHAAFETVNIACKLSECGQLRQM GIHVCSSLTFALLYLVFDIRSTNPDAQSRVTASKGLRSLHELASYGLLPPAKIRFFTD LTDEMQTATSEN
I206_06704	MSHHIEPEIAPVYALDQLDNDVKSQDKDLTPSSINVLDAEDQHT EPVVQVRLERRLQSRHMQMIAFGGVIGSGFFVALGSGFHSAGPAGLLISFSLVGCLLW IVMQAVGEMSAFMPISGSFMAFSTKFIDESVGFAIGWGYWFLWATLGISEYNTMTILL SYWNTHIPSYGWILLFNVFFLVITTRGVRVFGELELILCTFKIIFIILVMILSIIVAA GGVNNGPVLGFTYWRNPGAFANGFVGVFKTLPLAANFMVGSEMLGTTAGECANPAREV PKAAKQVTWRILFVFILGIFLQGMIVPYDDPQLLNGASPTARAVIAIAMNRNGITGIG HAVTAFCLVANISALNGTMFIASRALANLGFVGHGPKFLTKVSKRGVPVYGVIITNTI GLLALLNTSAGPGQLFTWLVNISGIIAFLAWCTICLCHIRFRAVLRAQNIPLSELPWI SKGQPWFSWIGLIASIFFTLISGWTAFYKGFDYISFLQSYIILPVFFGLIVGFKLIRK NPRVDLRAVDLRTGRSVWSPEDFAVSGESRSKRLLRRGRELVTG
I206_06705	MSHPAASVGDTESFFQSPQQSHFARRWSAAQVDRLRNPGGLEEY PLANTQGLKLRNKLSEVATKRETCWTFGAADPIMAHEMGEAGFETLYQSGANATLCET PTFDCGGDLADYTYDTLPKRVKQIVQNQLHWARVDRTRDTCNLDRLVPIIADGDSGHG NSTATMKLVKLFLDAGAAAIHFDDMLSGEKNFSVGSLTHVLVPVREHIRRLLAAKLQL DIAGVHTVLIARTDSESASRITSAIDPLDRPFILGRTKLGHPSLSRRMMDSKSVGAVR LAEEQAWEQEARLCTLDEAVREQCSLEDYKSFESPSRGLDVADALLIARQYGVIWDCE GCRNTRGWYRYRGNIEAAIARSKAYADYADMVWSCAPGYNLDNVKRYASAIHEKFPGK WMGYNWSFGTFESEYSSHESDEDIASLVRTVGRLGFVWQFNPQAGFYEQGVAMNRFAK AMYKDHMLPYVKSIDAGTKEGVSVVDWYEQAGDLTDAMTDAIQ
I206_06706	MVNETLAATGPDQYTVLPGFKSFTLAMVQLGNITRDKLSNLQHT ATMISKAACGDLAHPKVDVVMLPEIFNSPMECSAHAPNAEVIPEAVAGKPVTPAELPS SKISPTLHMLSKAAIDTGCWIIGGSMPEKAADAPAEGFRVWNTLTVWNAEGCMVAKYR KHHLYNVDVPGAITVRESDVITPGDTPIIVKTPFGTLALAICYDIRFPHFLSALLEQD PSICAYLLPSAFNHVSGPLAWEVLQRARAIDNQIYVGMCSPARDNTAEYISYGHSLLC APNGTICSTPTPEDESETIVFAKLCPESLRTTRQWLPVGQHQRHDIYTRPHLKTRATE F
I206_06707	MPTATDLTGRIKIAIDRGGTFTDCVAIPENGSPFLVKLLSVDPS NYNDAPTEGIRRVMERFEGKKIGRDELIDTSRISHILMGTTVATNALLERKGEKCALL VTRGFKDLLRIGDQTRPDLFSLHIKRPDVLYEKVVEVDERVTIESRAELLSSDADSSP EGDKYVKGLSGDKIRILEPLDTASVRNNLQQLYDEGFRSIAVTLMHSFTYQDHEIEIG RLAKEIGFTQVSLSSQLQPMIKIVPRGQSATADAYLTPGIQQYISSFSKGFKGGFQDP HGTVVAFMQSDGSLAGINSFSGLRAILSGPAGGVVGYARTCYDPEEGSPVIGFDMGGT STDVSRYGGHYDHVFETRTAGVTIQSPQLDINTVAAGGGSILFWRNGMFVVGPESASA HPGPACYRKGGPLTVTDANLFLGRLHAPSFPQIFGPNENEALDYDTTRRLFTELTSEI NKEVTTPFTPEQVAAGFLRVANENMARPIRALTENRGFRTASHNLSCFGGAGGQHACA IATNLGIHSVLVHKYSSVLSAYGIALAEIGTDMTEPSVEILTTDALPRLAARAEAMRQ KATNNLLEQGVKEDKISHTLFYNLRYEGTDTSLMIKHPEDGDFEAAFTARHKREFSFV SKEKRLIVDDIRVTAVGRGEQVESVSWSAELASLRLSPRSPPASPQTTKVYFEELGCF VDADLYDLTQLSPGMVIKGPAIILDDTQTIVVFPCNEATILKDLVYIDIGLGPKSELD TTSVDPIVLSIFGNRFMAIAEQMGRVLQKCATSLQIKERLDFSCAIFSGDGSLVANAP HVPVHLGSMQYAVKGQAELHKGQLRPGDVLVSNHPKMGGTHLPDITVICPVFHREDGV DHLVFFVAARAHHNDIGGLHGNSMPPESEEIWQEGALIYSAFLVRDGVFNTEEIIRYL TEPGQYPDCLPAARPQDNISDLKAQVAACTVGVEQIQLLFNEFGRHVTLFYMEAIRQN AEDVVRSFFKRLAVERGNKPLTAVDHMDDGSPIALTVTINGDDGTAVFDFQGTGHSIH GNINAPPAVCNAAVTYCIRCMIGTNMPLNAGVLAPIDIKIPYNTLLNPSPGVAVSSGN TEVSQRTTDVIFKAFEATAASQGCMNVVMFVRENGHGYGETVCGGSGAGPTWQGTSGV HINMTNTRITDAEILEKTQPCILRQFQLRPNSGGIGRNNGGDGVIREFEFSEAMSVAT IGERRVTQPYGMKGGGPGERGAFFFSRKNEKGDYVTIKTKPCCTLKVKPGDRVVLHTP GGGAWGEPSEMSEPQTADDILKVHGFVPRANGSWASYQDAQHASN
I206_06708	MELDYQVTDTAPGPPVGVESKLIPPVHPSRRISSKDLPSKTLSP VDTSRTSAQEYQDEIERELKAKRLVKGIDNDDLNALLRMFDKHVQNVHICPHLKEDDI PRGLDLQASPDEEFTIDKMRSNLERFYSTVVIGLLRCLTEIRRIRQWEEGGIRTIVTL LVYSIAWHFEVILSTFVLFLGSLILSPTTRQFCFDPPSAYRTQARQTSQGMADSEARA NGWADSMMGMMTTITTGGDGDGGQKAEEKFTEEVNGMIVEDMQRKEQSAGLEGKSDKE KAIELYARPTMRIIGGIADKWERIANSLNPTPPFALDPHRTRVFMYIIAPLLLVTTFV SERLVCRMTGLSFGLFMFAKPVIDGCLEELDRRYPHWFESLIVEHNILSEVPTNMQLV LRLLREAEHQRAPLPPPPLENHQNQQEDDAADSGSTHSSESKKSKVKNFLTNTETKMS NGLRAKLRHGWDKAGSVKEEGFAWMSGHKTPDYERLAQTAFDKLNIPHEKGPANAILR RLPSGSKENAPDTASRYYVNHTKHGPGHIIIHPPTIPSAPSGSFTPARIVFTTIRRVS AAKNVSIDASSEPKKGDLTISVNDIVSIKKEGMNWPRRALTSWILDTQGAGGTGMEIK IARRGRPSVPEGDEAVRIPALEETIKLKSIVRRDELFSRLLSIGEQKWELL
I206_06709	MAEASTSTPPVPLPSSHDQPKSYRGSKTKTNAPRPQPGDGAYLA AQSDSRHNGLHQTNGFGHPPNGNNRNASRRAGSHRDDVSNSRDHPAHSSRHNNNAVKP QIRNVARPSQPNNSNNTLNGQSSSTNPRNRGLNKFTNGSHAIPPKPVSTKQPQLQQAG SLDAEAIPFTPGAALPVSDLDDISSNNHSSSNAPDQPTNAFKKKKNRNSKPKSQDQPT SDLPTQPAVTSRKAAFQQANKLTKTTSRSSAENPDSALVTQAKRQTEKKKKDEPDDLV SRLTRGLRQRPFLECPICFNFITPSQQTWSCLPPDHAPEPSSSLTLQPNPITGSTIAS NYYSACFTPFHLECIKDWANLNLEDEEKKARNAGRDGEDIAWRCPGCQKRRGDRPAGY RCFCGRLSHPPTSTSAPHSCNDACARKRPKCDHPCPLPCHPGPCPPCQVALVVPCPSH HTSLTVKCSAATTNNASLSPVCDETCSRQLGCGNKDHECRQLCHFGPCKPCDEREVAR CYCGQDEKDVECGWGRENDKVCKSLDDNGEEEVWWGKYDCARDCERLFDCGVHPCKET CHPHPIHPLHCPLSPDVITHCACGTTLLSSINAVRKDCLSPIPTCDAHCPKTRPCGHV CPKKCHNGPCPPCHEQVIKTCRCGQSQMLVPCDELREKAERGEDEVTCERICRTLRSC GRHECGRLCCPLWEQAFRSKKQRNEDFQQNIEDDLHKCHLTCGRMLGCGIHTCPKPDH KGQCGRCLQASYDELICHCGNTVVYPPVACGTTINCPYPCDRPEPACGHPKSPHSCHE NPECPPCPYLTDKPCACGKDLSVKHVRCSQDRVSCGQQCGELLGCGYHKCTKLCHRPG DCESCAQVCSKPKRVCKHPCTSTCHAPAKCSENDPCQAIVTQSCACGHLQARTSCGAS TTNPRSREVEQLKCNSECAVRQRNARLAEALGIKPSERGLEVYEDELKSFASQNHGFV KMVETTFEDFIKGTRQSMVLPHMPPAKRVFVMSLADHYRLTKELVDQEPNRSVQIRRR VDTRSPNPSLSSSVNPATTVAPQTRLMTNLGGGWGRSGGSTAASVVAGQSGATPSGAA AWGSTNGSSSNRPSRVPTPVAPILRETSRPASPRRQPARTNEGDDDWDVDL
I206_06710	MSRGGFRGRGRGGGPGGDRGMPPGGYGAFSRQEWSDAMDKLKMD PGRRGALYPPLGNSSTAYLSGPDEDELLMMQLTISLNSTLMSGKAVSTASATVPTGYV PPWRIAGERKVIGIEIESYSDRSQAQAKAGPSKLDPTIMKLDSAMFPPSLWAGYFEGV GEKPTQRPKKRRKIEDLDKEGDDNAEEEESPPPSSDEDFDFEEDEEEEDHQDYDANYF DNGEGDDDSGGDEGE
I206_06711	MSINKKGKAVDVPTDDDTTLQPFTDESGAPATLPEVGNGGEESK VKVLLGLMKKLMGVKDVANLRISLPASLLEPIPNLEYWQYADRADIFAAIGDSDDELE RMLAVLRFSFSKELKFIRARLGKPYNSALGEHFRCSWRLPPIVIDKETGEPVIRTHIH VPIPGEPSYGGQGGSGWTTPILGPEHGGKPNSEASSIVSSSSKASKKQGAPLSLKQSN KSSDTISINRAIPGPGDEIESDPDAGVVDSEKVTVVFLCEQVSHHPPVSAYYYTCPEK GIEGYGMDQISAKVSGMSVKVGPGSSNHGIFLRIARDGPGKGEEYQITHPFASVNGIL KGSYYGTISDQIQITCRGGDAGKTKLRALIDYKDESWIGKPRFLLDGIIYRYTVGNET EESWTKAKQVPMDKVVANIEGSWMKEIRYKLKGQKEWKTLLDLDLLALIPKDVRPLEE QDEQESRRLWDPVTQHLIGKNWGEATRQKQVIEQKQRDKAAARKANGEEHHSRYFEAD WEGGRPKLSAEGLEAIESEVKRLDAKSKEDDTDI
I206_06712	MSVQEEINKLEASINSPQAVSGGGKGQSDSSVDSGVNENVTADF PGSTVQVGGTKRGENPPIPDEEGGEQSKLTGRQTHADDFEGDGGPEDKVKVAEAERPG DQDVTGNVRG
I206_06713	MVASKHFIASVFVLPAILAKEQSSSVSSCSALTVTETVTATVTV TASAGISASAVSFPLASPKSTSLSDDNASKPSKVSSTFVSSTKANDKSATSSSSTSKS DSKASKSSTTANARSSSSEDKSASRTSSSGVILVATGSATPFSPNLSTSFIYDLDNQP IAAPVITTQSKLSLNKTTYIVDMAQSTTEQIANYHAKGKKVGCYFSAGTWEPFRTDAK SFLPECYCGQNVTTDSAGKCTGQGANNNLLGEWGEWWLDIRSDKCLKNIKEIMTTRIK AAAAKGCDAVDPDNVDAWTNTQNFGITKQNEVDYLLWLSVTARSNGLGIDLKNSGDLI TDPDSGKSTNWTTSLVNAFDFNVIESCHEYDECEKYDPFLKAGKPQIQIEYSNSIKKC PSLKTGQQLLVYSGTTVDSSKITLSCP
I206_06714	MPAHRTALPSTPLHRKPNHVRTYQACESCRAAKLRCDLGSPDAP HDPPCRRCLRTGRQCNFTRTYQRKTAKTDTLSHIHTPDVLIDPALGLEDDIHPHPEPS IQPSLPRHDNNDLGEFKFVRGERLENPADALRILCAAAEGEDSKAGDATNVSIDYTVG TGLWNQWVPVRDGLLTSDEATALLSYFGTHLNILLPIVPTQLFQPENFPILLREPLLL AAMICTASRYMDLGQSFNPSEPRRARIVQNKITHWLRERIGFIAMGETSSRTIGTVEA LLILSEWPPRALLLSDNSINVALHPSKSPGNPCKVYDDLSWTMIGLAVRISQELGLHD EKAYPSEAQEEWSVHRRHRTWICGGPAVADPSFKPVKMPTGWWDVLGEYSRLSGDETF PFPRVQVKWKDIMLVAQLTHMIGLIQEQFYDSADVTAELIRTGRFETTLHRLKPELEM AWHIKAGDLPTYDLFNHQGASFSEDELRELRWRLDLDYIRLYSNAIAMRAAQARVMRR HSKRNQHDRVFQASVINSTEGPFIIEAVDAAVSLVKYGIALHKKAILRYCPSGVFLRL VFASVFLMKAVSFGAVGQPEQEIVDLQYALIQALSNASVDNEHVAGYLATMLSRVFPS IPTGPARAAPTEVNNTTDEIPNTDTTNILSLFGFDTEISPNPGYNSHLESLSGFGYDP RSIVSDIEEMLAVTEHFQFDLQQPML
I206_06715	MTIATSPAMMSHSRAATALPQESPLSEAPHATHDLRKIAKEIQQ PSLKSWYKQLHVALIGEFVGTFLFLFFAYAGVTVGKTALGGTPSTTGEYLVFLLFAAT SFGASLAINAWIFFRVSGGLFNPAVTVAFVFTGLMHPLKGLAICVIQLLAGISAAGVV AVLTPGKLSADNTLGPNVSILQGLFMEMILTAELILTIFMLAVEKHRGTFIAPIGIGI ALFMGHMVGIYYTGAGLNPARSLGPAVIEKEFPSYYWIYWIGPLLGSLVASVFYKVLR IAEYYTANEGQDDDGLAQKTV
I206_06716	MSVGIGGYNFVERTKEAPELGRTLSRRVTNDGYNHQQTDDGAVV QPSTAQERHHQVTGLARQMSRASINTGKGNDIFNYNADSDLDPFSDNFDAKKWVKQMS RLSQESTPSRTAGISYSGLSVHGFGSDADYQKTVYNIPLSLISSARDLMSNRKRKVHI LNDMDGVLESGEMLVVLGPPGSGCTTLLKTIAGETNGIFINDEAEMNYRGLTPKQMHG QFRGEAIYTAEVDVHFPGMTVGETLQFAAEARAPRNPPGGLSRIQFATHFRDVIMSVF GISHTINTKVGNDYIRGVSGGERKRVSISEAALAGAPLQCWDNSTRGLDSANAIEFCK TLKMQSEYLGTTAVVAIYQSPQSAYDLFDKVCVLYEGEQIYFGRAGEAKSFFQRMGFH CPEQQTTPDFLTSLTSPSERQAEAGYENSVPKTPREFARRWRESEEYKQLKQDIAAFN QLHPTGGERYDQFLASRRAQQSKRIRPGSPYTLSYVQQVKLCVVRGFWRLKADPSLTV TQLFGNFIMGIIISSVFFNLQDTTDSFYQRGSLLFFAVLLNAFGAALEILTLYAQRPI VEKHARYAFYHPSAEAFASMLVDMPYKVLNCICFNLVLYFMTNLRRSPGPFFFYLLIN FFSTLTMSMIFRTIGSVSRQFVAAMTPASIIMIGLVVYTGFAIPVTYMRGWSRWINYI DPIAYAFESLMINEFHGREFTCSTYVPSALIPAYANVDPLNHVCSTVGATAGSSVVNG DTYLQLSYEYVHSHKWRNFGILLAFMFFFFATYIGATEVITEKQSKGEILVYPKGQIP EALRSSKSSDTESEGSVGDSKVKPSDAIGSNGNVNTAIIQRQTSIFSWRDVVYDIKIK KETRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDVLATRVTMGVVTGEMLVDGHQRD VSFQRKTGYVQQQDLHLQTSTVREALRFSALLRQPKHVTKQEKYEYVEEVLKLLEMDG YADAVVGVPGEGLNVEQRKRLTIGVELVAKPELLLFLDEPTSGLDSQTSWNILQLLRK LTENGQAILCTIHQPSAILFENFDRLLFLAKGGRTVYFGEVGKGSHILIDYFVKNGAP KCPPGENPAEWMLAAIGAAPGSHTDVDWHQQWLESSERVAVREELDRLKANGPPAQDQ SKVDKADKAAYAEFAAPFGTQFVVVLKRIFEQYWRTPSYIYSKLFLAVSSALFIGFSF FKADTSQQGLQSQLFSAFMSFLIFGQLVQQIMPNFVVQRSLYESRERPSKTYSWKVFI LSNIVVEIPWSMLVGTLFFFCWYYPIGYYRNAVPTNTVHVRGALMWLYMQVFFLFTST FATAIVAGMDQAEAAGNIAQLMFSLCLIFNGVLVVYNSLPGFWKFMYRVSPFTYLVEG ILSVAVANTNVVCSEVEYSVFEPPAGQTCSEYLTAYTSTAGGYLLDENATSNCQFCSL DSTNTFLNNFNISYSHRWRNFGLMWVYIIVNIIAAIGFYWLVRVPKKAGKEKPTPERE DLKSVSRSKSHEPEKTRSQ
I206_06717	MNERSLDPSQLGDNREEKKDLDAQVYTGAADWEAVEARQEETHR ALKSRQISMIAIGGAVGTGLIIGSGSSLARSGPGSLFISYIVMGAVCLSVMLALGEMS TRFPSKKGFAGHATRCVDPAFGFATAVIYLCKYLITSPNQIVAGSLIIAYWAPDINKA VWVTIFIVLVVAINLLGIKWFGEVEFWLSFIKILTLTGLILMGLIIDLGGVKGQERLG FRYWKNGQAFKAWKTTGDLGRFLGFFNALVLALFAYGGTELVAVTTGEAKNPRKAVPA AVKKTFYRVAFFYVIGVLIIGMIVDSHSPLLAQAAKKGTAGGASASPFVVAINAAGID ALPSIVNACLILFTFSAANSDQYICSRTLYGMALDGNAPRIFTKCNKRGLPWVSFIFT GSFMLLAYLVSSNDALTIFNYFVNSLTLFLGLAWVSILASYIAFQRGLKAQGISRDSL PYKAPLQPYLSYFGLFLICLVCFFKGFDAFMPFDTKSFVTNYIGIPVYFLSYFGYKFS RGTKIVKLHEMNLVDGAREFDDIMDEDDEEDSHYKSLPLKHKIIYHIKNW
I206_06718	MSLRSTSGSRVSHIALITPPLRSILFRALHVTPPTQAERRNTAQ PVRSTRPSFGKSFSPANRPDHVERSSFGKKPAFGKKPSFDRQPQREPSTSRSQKFDKG RIQPSSSRRLASFDQPSSTRRPIRSAPAENPQDDVERIPSPRFPKSQPFQPPTYSTPA LADSTQAASQPFDSFDLNPGLLESLQTQFGSEGKTTPIQSLSFANLLQTSRSQGEDST ADTKEDAVKVILGAETGSGKTMSYLVPLFQNLKATDVEARDTSEEALHPRSIILSPTH ELTRQSTRFAKSLTHSTKLSVLGLSNTAAGGVGARRGAVDVLLGTVGSIRRMLGIRKE KGEEHDSEDVGAGLWKKEEDKPGMVKTDRVEWVIIDEADVLLGNDFLDETLSILSKLQ LARMNVILCTATLPPSLLNLLSSHPLFRSTSFQHLLSPGLHKLPSKLETRFVPPSRSG NRLADVAHEVRRVFADDAIKAKSEQSENSETSSSKEKSKIVIFCNSDKKVEQLARTLD SKGLPSLAWTSEGAHRMRGRNGELDTFLLRSTLPKRKFQDGDIESSNSTVEKENKLTT RILITTSLLSRGLDFSPSVSSVFLLDPPRDVLDFVHRAGRAGRAGREGRVVVFGLGDG SGIGKSDKKTRSSSQALQVGDVLNKKETRRQMLGGRIKRARM
I206_06719	MQRHYSDTAYLPQRSSRLNPNPNTVVCRPSRGVPVGIIQQRGTM SMPTSPTMSKMLFQTTGVDATSQDTLHTPQTAQPSSSLQAQQESTSQHGHSISNGPSL QQQYQQGPISHISPMDMFSPPSLGYSETPIYQSQPQASSSSIQHISPHPVTFPNITGQ GSFNASLSRLEPPVFNTDNADFQINNNGISHIDQMRNFRNQQNCDGFHQIHQEHSDWE MDTDINFGPGGRKKRNQVRIACTHCQKACKKCSNKRPCERCVKYGLSDCVDSARKPRR TGMKRGPYKRRSSKYSESEYHLPSTLKSHVNASAPSNSNLDVKCPESAVVNFTTGNRN HQAHSQLSMTKNVAYFSPGRSYLLQNPTQTNSDKLTSEPPQNMISQNTDQVPKASEAV DKFTNNNDDPGHTNKVYPPFPKGNNQHNLVLALSNALSNPQSQQWINGKRLPLNSNSN SNNSNSITNENENGNGKKGKTSPLYPKTPIGPFPFSLKTKGKDDPFSRSVSPIKQFNS EFGFGIGFEFQQNSNFNNNNNNQEKRNELLNDVREESEISDESFSSEISNNQFIKQFN DDQNNQLQNKFQYQLPNKIHKPSLKTLISTTTSKVPTPSPITFTTTNTNTKIDIQMQS PTLFQGGMLDENDVNLANWKEWENNHIQKNDTIQTNMRDDCQEDKDKNKGSEHIDGVS FEGLMGLH
I206_06720	MKELRIDKLVINISVGESGDRLTRAAKVLEQLTGQTPVTSKARY TIRSFQIRRNEKIAVHVTIQGPKAEEVLERALKVKEYELRKRNFSETGNFGFGVEEHI DLGIKYDPGIGIFGMDFFVVMGRPGMRVARRKHAVGKVGASHRVKPEHTVAWFKQRFD GIVSR
I206_06721	MPQFSEVIYLNDRRYPGPNAHEASKDISGLPTQEELDAYPRMFT WGELKEIIVSGRLEGLMRNKEMQVRYNIWTKGIKEKYGSTGKYSESCECLFRLIRGAL NYACNVENYLRQGRLPFPQSTPESRFDDPIPSSAVPHEYLKYDLDLSLDSSKYAVLVN DWPYNIPYGVRHFCVWSKVPIAHPSLVNNDSTLWAKIEEEGLAGFTGIIPIPAPDKPS SDPSCVSSIPRTNVSVNGDSKGKQLIHPQGWGSTDLSEFGEDTWLSVDLKFGGTDFRK WAGVQYETPGGEEVGKMVNGLWDRRGWECLWFVNPPRLQSVPGLSHFHVFARRKTPEE IDAAELIWGTGEKHVE
I206_06722	MSLAGEKEFTRLLGPATGYGVVVGLGAAFAVLMIAFTYLQRRFT RFDTNNASEFATASRSIKPGLICAGIVSAWTWSATLLQSSAATYQSGLSAAWWYGVGG TIQIAFFAAIAAKVKMNANGATTFLQICRARYGTACHLLFTFYALVCAHIVAGSLVLG ASATINALTGASIEACNFLLPIGIAIYVIAGGLRATFICDFTHTVILFVIIYMFMFSA YATSPQLGSITTMYNLLVQAAQTDPVAGNASGSYLTMKSNSGILFAGCTIASGFSGVF CDQGYWQRAIASRPESTTRAYMLGGMSWFAIPWAFGTTMGLSSRALQSNPSYPTYPYA LSASQQSAGLVAPAAAVTLLGKGGAAAILLATFMAATSAASAELIAVSSIVVYDIIGT YWKPLSGKQVVFWSHLIIGIAAVWFGAWSCILHKANIDLGWLFYIQGVCLTPAVVPIG LTVSWGRMSHVSAFYGTLFGTVCGMLGWMIGCWKIYGVINTSNLAKPYSAISGSAPGL VMSTLATLILAYFFPGTNDWTATRAIHQADDKASSNEKGEPVSAPITHSSSPDEISPV ITSGNNHRQEINYTDKEKEQYGNSTTVNEVDEIDQETIKELDREVLQNVFKRASIISG SVAMIITFIVPIPMFAAHYVFSLGFFKFWIAASFIWVFLAGSFCIILPVWESRSDLVL ICKKLLNLRK
I206_06723	MTWQDTAAQYRAERDKLFPKEWLLEVPPSDDVLDVREIPTLPGI LSELELEITETLTVTELLEAIKNGEYTSLQVAQAFCHRATIAHQLTNCLTEVFFDKAF ARAKELDGYYAKTGKTVGPLHGLPISLKDQIEVEDTNTTMSYVGWIGRKAKHTAVIAE LLASQGAVFYCRTNMSQGLWFGEGYNNVFGRTTNPFNRDVTCGGSSGGEGALIGLRGS LLGVGSDIGGSVRIPAAYQALYGVRGSYARIPYCKASNSCEGQEMVRSVLGPLTVSID GLKTFYKALLDAKPWEFDPWTPRMPWSETAYNLVDHGEGNKLCFAIMWDDGVVKPCPP YVRAMKELKEALLAAGHEVVDWTPYKTAESVALLSRFFTADGGYDLKKQLDLSGEPQL GGILDRTATELSAHELFDLCYRRSAFVKDSLDHWNATVNQTSTGRPVDAIIAPAGGTP PQPHDGYMYIGYTGFCNLTDYTASILPVAFVNPEIDSKPARDDYLSEADQKIYEQYDP QFMKGAPCSLQIIGKKYEEEAIIRMTEIADAALKKYRK
I206_06724	MTNEITQVYPAPVQDKDLANAEVAYGGYDEYPPSGKDVANIPAD SYEYDPFSAQREENAEDYVDFRSMGWVQAGLVATAENIALGALSYPSIFLRLGMVGGL IANIGLGVLAYITAWIMIDFKMKHMGVMNFADAGGLLFGKWGRRILGAGMVAKSIGLG GSHVLAGRQALNTLSTNAICSVWFALIIMIVSVMMSTNREFGKLAILSWVSVSCILTA CFITIIATGVQSPSVLVKKGVPIEWHAFPTNPNLMDVIGALTNVVFAYGGNMGVFSWC SEMKNPNDFKKSFLITQAGGIIVYCIVGGTIYAFGGQYVQSPAFTMTTKPVRITAYAF ALVCILISGIVGLNVGAKFLYVNSFRNSRLLTSKGLRARLAWVGIVAVMWIAAFILAE LIPFFNQLLTIVSSLFSVWFSYGLCGVIWFYNKHPYFAKDGEVRSLSGIWNKVFIFCA VLSIVLSVAITPLGLYSAIEGIKEGYSAGTFKHPFSCS
I206_06725	MRIPIKTSEKVISNFYSPQAISKLSYTCIRGPTAGTLKASEIRI QQLENALYFVLGLEGIREEVESRLVLSQVCSCAPSIPKKSPIHHFGCGEQLQQVIPSA PSATIDRAKLIDYWTAQPLTRYVDIIHFKDTLDSVVEKKTRNYSAEQTASVKERPVWP VTTHADSRTARGRFDGISANPNPQLSSDTSNAPLNRSNLDFLASSAASQLPLSNDNQG IDDNQGIDGNQGIDDYAGPSLDGNGQANQMNYSYDHGPELSHELVTPTNLPTHSSNAI SPGILDLTARPSLPIPAVDHSDLFW
I206_06726	MAPIAMRPVNEPAAFPDGYAVRPERKNTYKIAQIGADGIGPEVI NAGVQVVHAVAKKVGMFKVDFTELDWSSDRYKKSGSYVPADYLDILKQHDAIFFGAVG APDVPDHISLWGLRLAICQPYMYANVRRTKVLPGTTSPLSNLKPGDLDWCIIRENSEG EYAGHGGRSHRGLEHEIGTEVTIFTRTGIRRIARYAFQVAQSRPRKHLTYVTKSNAMR NGMVLWDEVIKEVAKEFPDVTMDSMLVDAMTVRMTLDPQSLDTILATNLHADILSDLA AALAGSIGIAPTANIDPSRTMPSMFEPIHGSAFDITGMGISNPVGTFWTACEMLDWLG EHEASKILMKAVEQTCADGIKTRDLGGKADTQEVTDAVIERIRAL
I206_06727	MRRVAFTSGQFAGSASGVYLADTVRSDLTSTTSADHLTPDPGIE DAFIHRNNDEPRSDETALYSIYLLRSRDLLDEPALRLRLNRYFALWHPLFPFLDGPYL LQCFNNSLTLAKTHHTVDTAQGPNTGSAETGNVFAFEGLTPEQSLALTTILLVIFSIG GLGLETPEDVSKLPAIHSTSQATMLGHLVIGACQNSRINDLFGIQALLAIELFFYLTR KYRPAMHLSGVITKLAYEAGLHRCPERYASTFTEAADRDLRKRVFSSLYILDRLLSAD FGIPLMLSDSDIDTCVPGGVEQHNSLDQASTPAHASRDISEQISPSIETKESRGIKRK LGDISEEMASPDNASHTSGVSNRPPSIMSTQIDPRITQNQLRLLLRVH
I206_06728	MLSHKYIDETTTAHILRFNMPIAITDQSTLPRVGINGFGRIGRA LFRLMLERDDLLLVAVNHTAHSSEHLMTAIMHDSTHGKFRLGSDLTICPDDHPGLLEP TSNNPKPSGLLFRGRLIHLFSERDATKLDWSSANADFIMESTGKLTTKDKAEVHIKHG KAKKVLISAPSKDTLNCVYGVNHHVYVGDDDVLSNASCTTNCLAPLALVLQRAFGVET GMMTTIHASTASQKVLDGFSSKDIRQGRSAMGNIIPATTGAAQAVVKVLPELAGKFHG ISVRVPVTNVSLVDLTVTLSTPVKSKEDLIRPFRAAAARRPIQSSTPHPDGPALAGVL AVSDEKLVSSDYLSSTQSSILDVDATVMLNERTAKIVAWYDNEWGFSSRMCDLVAYMA RRTETA
I206_06729	MVKINAIGALLALPALSLVISSPLIESVNSDQLPLNNLLSTSAS AQHEVHPSILSALDKYDDPVEALLALKPELEGMMAERRLIRVFGEDANEGIWMTEGDK LRLRRAGKRFMDLTESQDLSESNLMAGKANTPDIVHQRYIKPIFADLKTSHMHDVLVK ATSFFNRYYYAETGVQSARWLHDHIASIIAQSPTGEFISLEYHTHRFPQPSIVARFEP PTRNASLPLTIIGAHQDSANYLFPLLPAPGADDDMSGSTSILEAFRALALRGYIPARG PVEFHWYAAEEAGLLGSQEIVAYKRGLNANVGAMIEFDMTAFVARNTTPHVFLLENDA DDNLTKWVVKLAREYVDIPVNTSSLEAGAGSDYMSWTRGGYPAAFAAEGDPLAGGFPG QFDGYIHTDKDRMDVDDETGFFSLEHMLEFSKLAVAFAVEQAGWSDKHTRSGDNKKSV W
I206_06730	MFTQLVSGAILTLPMLAYGSPVIVRTESSYGGSSDSLSAFEPNT TAWKDGASDSFCIWRDSCNTTQSELIGEGLAQAMKLVEHGRDHLRRFGNDSYFQRWFG NNNNPFILEGLYDRISFRSGVSVTPVSFIFVPGTGEGGTDHQKYYRLTVVCPTYYLSK APSAELCINGETIASQGSEYSAHDAVDLAEGVNATQSIIDIHSVQYYALDVYAADILL PGEGCLGNTSIKVADKE
I206_06731	MWHYSTLLRILLLYSLTSSTLAALAVKPPNQTTKPKSYSYKHTN RKQILQRQEKKRIFNNHERGIAQRAIPSAFPGIPDISPTTDDSIVYYFFHYGIGSYET FAPPIKTDFPGDQDQGQVVHSCADFAWDQGYFAFQVYYRLSTDTWTCVSYVFAYPEDI SSSTYFNVVDPDVTLAYGYQHRWID
I206_06732	MAPLDRSSEYQDIKGSKIRMKETKSEKADRLYRKEQKRQAREAS RISRANGYAVSPPRQNRSGSISPPRNPIKRTYPEDNDEEIEGSGEWMGGFGRRAKEEL EKREWQDKLNWMSRGFDEDPFFNGSFDDFEDIHIPKRFREAAGLSGLAGPGPSTFASR RRAADEVDLNGGLAPPLGRMTDEEYTEWIREGMYRIKHKSEIEASERRRKEIEEKERL KEMEREKAEKEEEKKIKSLKKQKGLNEEKKRKDQRRRWVDRWKNLTERDNDIVELQLS FDDIPWPIHRMTNDITIDQLNLENIRNFVYAVAEDSAENEKVDLRKTVRDAIRNYHPD RFNSRILIRVRDKDKDLVKEAVVLVSGLLNDLVREVR
I206_06733	MSHPHNLPPIGQAPQQQIHSLNNTRRASSTSTRQHHHNHNDYSD SESDGEDDKVEKDKLEIRREKNRVKQRNLRLRRANHIAELEKNLANFRADQSILQNNI THLQARENSLQGWIHDLESALFRNGLAGEVETLRRIWSDKNTSIPQTQHQIRPKSQHG FSQQPPLPTPAANAPLVDPLSTLARAASSIPPGVPSSGRMSYPSSSATGSRPTLPQPI SSSRPFENPYPTPELHWGSQMSEWVPAPSEMEKKRKRDSQSEYTQARPVLHPMSGRLS ESNVHTLPPIQSYRSGSPSSARPTSAPYQSKTSAPISASTSGTGNISPRSIRISDLVS PRPFDVESGLPSLSRELSGSDHSYRQSDMLTAKENGWNRISRGASSPDKDTNGLSQSR PNKLAPIRFFQSKEDRVYPISTPSSPKTLSPNHSLIKMEREEVSPKTRNSLSPTALRA GMNRSPLLQVKENPLLDGQIPKSA
I206_06734	MSSSNVPSTPNHRYQAKSTIIISPSFKNGQDKMKRNQVKQSSDR FIINSGPAPPANLSRLHSSFTSTSGTLNKGLKPLGMTSSSSLNISPRSPSSASSNIHE DNNPSSSNLSNSKQDKRKSIIIPPSTPTIMDTEESIDQSQSSSRNKLKRLSLCSRPPS FELESIDYKSPLILSSPSPNLQISTQPLTPINGSERSRRPDRRMGLRASISYSPAIPL PTPRTAERKVFGRGDGWGMDEDVIEKRNVESEDELMDEVQQDRRISGVQTLAEKHADL LTHIAQRERRVAELKQELLAQENSLAKLKSRWTTIVSRSALSPIQSDPPAPTPAPQSR SSHSRSHTTTQHPLTRRPNSTISSSASASASTSSSSLSLTTIDEPLSNALIASTGGLS NTGAAVLSGIISQTEGYLSPEVVQGGKRFLGNLWKTVGAAAGGTIPEQESIKHDNSTS NGKQPAEENHGVPKLDLTNLQKLITPWDTPSSRLSNSSSTNVNCNHQQQQRNNRRAND RSNTVTPTSFTRRTPTSPPATVGLGFDLNIKPTSTISSNTSPKFDHSHDLLDDDTTTD NERENQHNVNLGKTLTPMKSRLDTTDLVKVISTNSSDDGWGPW
I206_06735	MIYFKTNLLIILPIFTSIVKAWNSDNHTCALTKPIFSCENQTTI LDTCCTPTEGLVLITQYWDTYTGLESEKSFLPLNSWTIHGLWPDRCDGSYGQYCDLSR QYDPFPSPNTTNGKIDGIPVPIWQGGDIITPLLQKYGKYDLLSYLEKYWKSQGSPDWT FWQHEFSKHATCFSTYDVGFEGVPNCYGSIYQNSTEASIIDFLESVIKAQIQYPTYEW LSDEGIKPSNQTGYNINKIQDILTKASGAQPYLGCYGPTKQILSEVWYYSHSLGRPQE GILKPINQTTKTNCNSTQPIWYYERTPGSEY
I206_06736	MAPKDRTSEFHSTLNSIKSRSSLASSSSSSSSSANLKSKNKDKD KESKQPLLSKDVNGSNSSKGGNKSEFGRMAGGIAKDINNTTLKLQKLAQLAKRKTLFD DRPIEISELTYIIRQDIASLNTQIASLQSYVRSQKPNSSSSGSGGGKQVEEHNSNVVM LLQSRLANMGMGFKDVLELRTQNMKASKDRTEQFMHTAANASLPPPSNNSLLFAQNGG PGSGFDRKGKSRASPIPDDGKTDFLALDIDGDRGESGVSKGGDYQQMQLVEQQNDYIQ SRSTAIESIESTIAELGSIFSQLAGMVAEQRETVQRIDADTTDIAANVTGAQRELLKY YASVTSNRWLMLKIFGVLIIFVSTIFDC
I206_06737	MDRRCYLNLQGGRQVSGVLRGFDMFLNLVVDQAHEELGGGQRKP CGMIVIRGNSVSSMELLDSMKV
I206_06738	MDPRLSPSLDPSFTPSSSSSSKPLSRPQFPLSGRSRFSQASYAT ASPPTPNADLLFYRPPPDSTSPASVLSQNASDSRPESRLPDNEGVAVGYGLSPRQLAD MLSPPNNQSLASLMSESSSKKKGKRVKAKTSRISIRSGQLQTPNPSPSEISPPQTPPP VPHKALLTTTTPKSTRTTTSLASSLSLDTQSNAHSHSTEIHSPRKAEIGRMTSASSHH LQFRPSMDDLSILSTPNLDFQPSVYPNRDCTGFDYDNHKEGNVGQPIMEFGIPSRSFD HDDSPLFGLISGTSSGRERLHSSLAALPWAEPPPRQTSPFSTSPVSSHGPSTSTEDYS ITMKGDTPASSARTPTYSFLARDPISTPVMGYSRRASADSSIKSQHTMLPIAENSSSN ARLSIPRSPISWPDDHTSGDRRFSEQSLYSGMTESPTSIRNTAFSPISRNISVTPDQS QINTPELISGVNGSESNHSRWESIGSAMATKASSSNFHSKGKWSFGGTASKSGSSISH RTKSIKSTKSNKSTKRGSNSTINVNGHKFFKKWEIHAIQHRWEELEDGETDEIKRNEG QMDLISLMGRAWVLERVLRSGKRVSSQSLKILRPFTPSSNQPTPERPPLPHLPSSSFS NKKTNNHKPSSSVSIHCPRKSSLRHNRTQTDDNEKAQNTAQCRKSYSEKSSSPRKSNS TKASRRSSLPAQSLRERLGRRLKRTESREDIFTEIGSDESHDHDHLEIDFDMIRYPSI PSPKRKGPTQSRPTNEESNTHFTTNQEGTVEKGVIIFPEQEEEKSPPLPPKDKSSYII MNCTNPIQCINSSSTSISPLSSTLQPKSSNNLNNTNNNEKLEYTFDEGQIIYRNENEH KPQSPHLGHRSPNWKNRQSILSYIETGILEEKPKTKLKIWIILSTSLILILIIGLLVG LLIKRDYSK
I206_06739	MPNEGSRQHLDPNPHHHAGVGKPTDFSSRQSSVSPHRQALAENE MYRDLFKGTKFEGTSASDHHLGPNNAQEQALFAGTKFASSDYDEHNHALDMSTGSAGT LLSQEPIHPGNIPNSSTEGINMPDSFTDFNEWGLTGSNELADNVNIPMFHQMQGSSNV SSLYHRYDPNSPAQPAIYNTSAHDTHPFGNQYGYFVPQGHNHDMNGNNPFSTANDYVA DVGSSIPHNLNYPSDQVTNATSAVDASQASAWYPAHQAHANVTGSFDPTTWANGGLAQ NLNQLHASSTQDNVQDPSNLGLGLPSGRTPGLPRRLSEWPGSHRYGLNEEEIENLDRM ISSLSNERVENQRLYLRYDGEEHDTTLVGQQKSQHILAVRNRRANEFNRVKAMLDEFR HGTLRDLKDISTTDIQTCENVTYKLAEDNKSQLEANQSTWKLIKSRLDLRIMKGLYPW FHKTTFSATNSSGKNLVGNRESIYWVIENEFRKIKPVTGWASASFENNIGKTKKFLER MGARANMNERVLIDHEMSQTIKVLDGMITLLNNSQATKDLSTIRELCGTAFTYREAKP SRAKPNKAPHQQSSGSKD
I206_06740	MSSSDLPPFLRTIPPPTAPPSIARLQALYASTSSQRTTNPTGYK ANYQWWSSVIEETLRTGWLNGEEGDRLILKVDDSMLSKLEDNLGRRPKGIGGVIDGMI NTTPPTLYLLSTYLSSLTPLHAPPSLTSRFIGKPLWWAVSQLNPFGSSSETIEKEDVL WSRYGKGKEYVHIPLLEQSASAFIAHLSKNPILSYTDALFDMDTFSEGYGEICLPSSS SSKKLPAGIHKLSNKDIEVLIKWLNRDCGLIVSDGKIIKILEADQIPTDHPITEADKG VISVINAQRKVEKQIIGIEEQINQSQEKAKKQLAKGQKTTALSYLRSKKQLEDLLAKR ISSSEQLGTVIRSIDQAKGDVEIMSAYETSTITLKSILSNPSLSIEKIENTTNLLAEV IADQEEIDQAIKIGGELSMNEKRIEIDEEELVSELAELVKEEKVLQDKVKEQEKAEPD KRKKEKQDLIEQIKRKEEKEREKQETEEMKNQAKVNNLSSLTSQNTGELNKFNDDEEW ERRYQDAQIRKEAEIQRSLDERLRKEEKRIAAD
I206_06741	MASAEASSSTSLPAPAAPPAPTIVAPAGMASEKYDAIKGYRAKV KEHSRMSDSLKQIRLNIRTLATDFDKTEDDIKALQSVGQIIGEVLKQLDEERFIVKAS SGPRYVVSYRPTLPAAKLKAGVRVSLDMTTLTIMRILPREVDPMVYNMSLEDPGSASF AGIGGLGDQVRELREVIELPLMNPELFERVGITPPKGVLLYGPPGTGKTLLARAVAAT LNTNFLKVVSSAIVDKYIGESARLIREMFAYAREHEPCVIFMDEIDAIGGRRFSEGTS ADREIQRTLMELLNQMDGFDSLGRTKIIMATNRPDTLDPALLRPGRLDRKIEIPLPNE QGRLEILKIHAKGINKSGDIDYEAIVKLSDGFNGADLRNVCTEAGLFAIREDRDAVVQ EDFMKAVRKLNDAKKHETTM
I206_06742	MTTQPPSPGTSSPPHKRLKSSEATNTIDIISTNEFTEINEAPIK SSFLPDPTDIIPKASTSSLTKPNGEIKVKGKKNRKQKRNLPEKYSAQDVLFHDVRDFL GTNYVDELISKKEGLEWEAPEELKLYEEVTLTVGAFTVSGESLSLFPGTKPWAIITPF AHPGDKIRAKIHKHDRLCSFADLIEILEYSEEYRGGEGDRRKYPQNGCKYFGECGGCQ LQPVPYPLQLLHKKRTVQLAYQRFSNLPQDILPEIQDTIGSPKQWGYRTKITPHFDAP PKWIQKQLKENGEEAAMNSLANGNGERKGWELRIGFERKGRPGVMDIEECPIATPVLN RKMTEERKRVQETIMSFQRGATLLLRDSLPNPNPIPTSDSPFSSETTSKVETEDHIAI TNHKLSVYEKVGKYIFSFTAGSFFQNNNSILIPLTEYVKEAIFPVQETKKLKVKLPNY LIDTYCGSGLFGITLNEKFEKVAGVEISNDSIIAAKKNAELNGLKDKTTWLCGKAEDI FGGLDEKGFKGEKSCVIVDPPRKGCDEPFLNQLLNFKPLTIVYVSCNVHTQARDVGYL INESEIRGKEKNWKYVVESIRGFDLFPQTAHVESVAVLRLLEN
I206_06743	MNAVQKELDSTKQVLFNNIDKINERGQRLDHLEQQTQDLSIQSR TFNQTAKKANRAMWWKNKKVSKLHFPLLYVAFALVE
I206_06744	MAAPEKPIVFTEHLQLTALGIQPASISFQSLTLESDAWICVREQ LDTPQVVIVNLNDSNDIVRRPITADSAIMNPRAGEKILALKAGRQLQVFNLVSKSKIG AHLMSEDVTFWTWINETTIGIVTEREVYHWKVMDGQAAPSKIFDRHATLAGNQIINYR ISHDEKWLVVVGISSNPQAGQPGSNGFKIKGAMQLYSVERGVSQPIEGHAAAFATIKQ DGAAQESKLFCFAVRTATGAKLHIVEIGHQAPNPPFQKKAVDVFFPTEATNDFPVALQ VSPKHGILYLVTKFGFIHLYEIETGQCIYMNRISGETIFTTAQHESTSGIIGVNRKGQ VLSVSVDEDTIVPYIQQVINSPELAIKLAQRAGLPGADHIIQQQYQVYIQNGQYSEAA KIAANSPRGLLRTPQTIETFKKLPNVPGTLSPILQYFGILLEKGELNKYESLELARPV IQQGKKQLLEKWLKENKLECSEELGDLCRMTDMNLALSVYLRANVPNKVVAAFAELGQ FDKIVLYSKKVNYTPDYAQLLQHLVRINPDKGAEFATQIVNDESGSLVDLDRIIDIFM SQNMLQQATSILLDALKDNKPEQGPLQTRLLEMNLVAAPQVADAILGNEMFTHYDRPR IANLAEKAGLMQRALEHYEDINDIKRVVVHTNLFNTDWLVNFFGKLTVEQSFACLHEM LKTNIRQNLQLVVQIASKYSDLLGPVKLIELFEQYKSSEGLYYYLGAVVNLSEDPEVH FKYIQAATRTGQIREVERMCRESNFYNPEKVKNFLKEARLSDQLPLIIVCDRFDFVHD LVLYLYQNGLTNFIEIYVTRVNSARTPQVIGGLLDVDCEEQTIKNLLASVTGTFPIDE LVDEVEKRNRLKLILPWLNTKVEQGSTDHSVYNAIAKISIDSNNNPEAFLKENNLYDP AIVGKYCEKRDPYLAYIAYAKGLCDDELINITNENQMYKHQARYLVKRREIDLWTQVL DPESIHRRALIDQVIATAIPECTDPDDVSVTVKAFMHMELHGPLLELLEKIIIEPSPF SDNKSLQSLMFLTAIKNDKGKVMGYINKLSGYDVDAIAKVATEAGLYEEAFTIYQKHD NHAEAMNVLVEHMVSIDRGFAYANKINQPAVWSRLGKAQLDGLRVKDAIDSYIKAEDP SNFAEVIEIANRAGKHEDLVRYLQMARKTAREPKIDTELAYAYAKTDRLHDMEEFLAM TNVADILQVGEKCFEDELYQASKLLFSSISNWARLATTLIYLGENQAAVDAARKAGNT QVWKQVNAACVDKKEFRLAQICGLNLIVHAEELPALLSLYERNGYFDEIISLMEGGLG LERAHMGMFTELSVLYAKYRPEKLMEHLKLFWQRVNIPKVIKSAEQAHLWPELVFLYI VYDEPDNAALAMMERLGDWDHDQFKKVIIKVANMEIAYKAVSFYLAKQPTLLPDLLAA LTPRLDHGRVVKILQNEDHLPLAKPYLIATQKLNLSVVNEAYNDLLIEEEDHVTLRSS LETHDQYDAIKLAKRLESHELLEFRRIASLLYRLNGMWEESLGLAKADRLWRDALETA AASKDVSVAEELAGYFVSIGNKDAFAAILYVCFELVRSDFVEEMSWRFGLSDYSMPYK LQQQRDTSSKIAALEKEVKDLKAKTKEKEPENEPSLMGSGLGQRLMIGGPGGGPGFGG MPNGGGLMSQPTGFY
I206_06745	MDSSNVPFTRTLPSGFTLDELHYQQSDPEMLSRFQKAYPELYSH LVETYIDWREDPNDYITLLAKSRVVREEAIKSLESMTKRAQASARFLDMQTAFRGFKD TIQSIINNIDRTEYNQSEAWRKTRITLEGFKDSNYTKSSTASEDDLQIVKHNLLSLTE DEKYLLESIIKNRDPREAFNRMKSIYLQQINPTDASIFCKNPEKPDEHLLDGERRSQM IRFSDICQSQVETQNTKLVEGAINRSRSSIDYLITEKGSLYPEILVIGHQCRDFFTRV ELADLYQKARTAGTKVQQDTVYRKWLDSRRASQDDQSNDHWDHPSSVDPSADPEKLIN AIAKGDRDLIESYDKQMASRLIGEISGWNRGNVGSLNDRWLFEGRLHDVRLSLERIHT FRDKYFV
I206_06746	MDSSHNPPDPTSSTQLTHVINGSARSSNGMELRECINPHTGMLG CSYVPRRPLTDPMAITEEAQRRLWGQRKLDVLKSNATSTIASLNLRTSIRGLIEKIQN REVIHGPKEAWQNIRTALEGFIEENYTKSSKAKVSDYSEVESEFNSMITLNDAERQLN SHIVRFNNETTAFDTMMEEFLKKDNPTQASIFTDRLELQRQREIDHLLQACSNSNRSR ANPKIEILKYSVSAIDYLISQRGSDYQEIFFIGHRCKSYFSDIQVRKLRLSASTKASN IKSSNFYTHWGNECKKTGQVDHVNKANELLDAVRKRDTEVTKVYATETIRSLLDGTEI LSKWDQSQIQIQILDHRAHCFTLTLCDLKSELEEIRELEAKHF
I206_06747	MNITQTTQDQSKSLSLFYNSNPNSTVSINPWIIHNYPLGNGSWG IVNIGSHEKNHTVQVAIKTIKRFQYDQDNLKQIKFEIKVSKSLNHPNLMKLLDWIIDC QTVSPDQGKIHLIMELIIGGDLFSYLENNGFLREDEIRWISWQIIDGLKYIHKKGIVH RDIKPENILLHTSCAYPRILLADFGCSSSKSSIFSELDEGNSHLRTIDRQGTREYFSY DILKAMRAEECFVRKVYTGTKKEVGEIWWREEMGMDVWAMGVTLYFAATHQPPFYSGK NYQKSPQEVLSFVTQPAISPQCSGWMIDDDIEMGDSLSLGTNREASTETSDPFADFPS PPRESLFGISDSLATENITDDVHNRFEGLYMRSITPITNGTARKDNINNRSGTIKPTS QYNRDDTMIPRERDETIKSSAKQQHLSSAKDEVSGRNGELDSMIIEIEQFKKLKPDKW PEGEIWSRWSKEGEIFDFNN
I206_06748	MMETSPLTSQIDIKDLEIPHTLENTLEPISAVHPQILDILKRSD PTLLLRISKGFYYDLIPQLYRRLNLNQYNIQGILKGVNYYRKKKALKYVRELSIMDIQ ALDGLLKIIDDLYDFQPQAEFEESNQPTLIFPNLDKLFISFKLLKSINNLTLYLSNQN MNLINNLNSTSIINENEIEIEKKLSKYSKIFNKLIKTKLIELEINNKEIKNDEYWSFD ITILTLFEKIKNLNSNANTNTKFNLKINLSNELPLSKGYIPHNLILNSNIHFFLSENN FKTEELAKLIRDHYDVYLSSNFHPEILYFVKDPKSIKAEFTKMLQLLIRVGDELLLDE MNGGILRKEE
I206_06749	MIKQAQEFKLTTLSEISLLNLETSSGIGGDTISTNSSETSNLIM YSKYTEQEILERLMDSLPEEIKEQIWKEVLSIPSKSLQLKIMLTSKKHYKFIIPKIYK KVKLDKYNIKKYFYGIEGKKPPKSSSNGEINWPISGAGIIPLNQIGLSYEPNILKISS FIRKFTLSNLIENLIINDFKTFIILLKQISINEIYKFKMNTWYYRVLFDQIDSITFEN NFFLNLNKHLNENKLFFIGILNQIWNLIKSDCIILKFPLNKILKEETYKISINILGSP NSFGQENRLLDEIIINTPILDGIDLNTISCNKVNIFLNENNEWIGCDEKNHPECLSQE AQLRRFLKRHWLIGIDNNEYIGIPQAVQSVTIHNVASYNRRRCLMGRLSIHPEDTQGE YRIPRNEDEEGSLTLPTVLYSAMEGDDELAWEVNTKVHLKGIEGKSA
I206_06750	MPSSRPPPSMYPPLPRSVTHLPPFPQLLRFKDENQQSNSQATPS TGDQADSVTAVLSEDEDELDENPVPSPVGGEKGSGKKERKPHATRRRVVQSCSECRRR KIKCDKKFPCGPCILRNDQARCHEVGMAEKSVVASPNNFATTSELAALAHRLDALEAS LVKNGALRPTDLDHFLNILREGEGGGPQQALAEEETVDDTEGAALTLEHLAFGRSRAD GSHSMPHFGSRLSSVSRPAPNNDYHLAKSIVPQQIAGPQTSGALSPSMMSHSNVTSDG PKYEGSRLSLEERAQKIDQLLELLGPTDIFDLLYRKTDVAIVALTRLLPSRQRGEVLV KAYLEKVDWLHRCMHVPTFLRQCNDLWCLPPERVTHEIAMPFLGLYLTVCTLGLQFMD QSEINKHFTEEEAHTLPDTWFNAARSALWAADFIGSHTMEALQCIILLGVFMNNRDRA DAAWALLGAAIKMAQGLGLSRLGAEQQAVDGKPLPMWTGRWESLIQREVGRRIWWNLV FLDWQLAPSYNFSCSIQPDQIKTALPANIEDEDIIDDRPFKPQPISVRTGMSFQLARL KFAEITQRQIWQANNNHHPPYSFILSVDGELRKAMMELPTFFQPDSSTKGPPNQDPKA LVQYYEKIMLNLAIHSRMMRLHRPWLSRGYEDERFAYSKEQCIRAARASLRMMSDADG TASFLEKWWLPLFYVSVSGLVVIIDLLRTPRRQMYSRDTDEKILEVKGALGQMRGIMD VSHPARAAVRVMDLLLAEVEDRRQTPGSSLGKRKENDDLDDSESGGLQRAVKKLIRQA QLEADSPNTSFSSSTPDVARHVSQSPQGSDGAQSKDKKESERPVFDAYPMPFNPVQPT ITNATTPTPSNNTLQPPSHSQHSNNQLGSGQQQSQQHQNNLNQNGYNNYDMGMGPNSP FTFPIDPTGNGNFGTFPSFDMGLTTTNNGNNNQGFNRNFGSTASGNLDPAVQSMLSNY FPQQSNPTSMTQTVGSATLPQAPDDFLSRVFGFGWDGANPTNANQSGPSQGGINENNQ HQQLQQPPQINPLQQDNNSSQSQRQNQNQNTDLNIQNGFGTFGAPGGGPQGWSSHGWM A
I206_06751	MILATNVFLTRQPIPRRICRLLRRRNFGSETKSIIQELDERGFI AALTSPKLHQHVSKPTTIYAGVDPSASSLHVGNLLPLLGLLHFQAKGHQSICLIGGAT GSIGDPSGRSTERKSLTSEELKINIEGIKSQIDRFFKNGSEYLEKRGITIIDNEKGKG KEKEKEDIGVKIVDNFEWTKNVTLLDFLRGPGKLSRVSTMLSRDSVKNRLSSDSGISF TEFTYQLLQAFDFSHLWKNYGCKIQLGGSDQWGNIIAGIDLIKRTHSSSLEGISEKGE SIHEIEEKNEIVAYGITIPLLTTSTGEKFGKSAGNAIWLDENKTSPAEFYQFFLRTTD EDVSKYLKLFTFLSIEKIEKIMKEHELLKSERIPQNILASEITELIHGKEGLENALKI KEILFPSTSNSKQENIIKSKEILKLFKNDKRFFKLNFNEISKISISKLCVKFNLTKSN SESNKFIENGNLFLNNKKILNSKEFIKKEILFDNKIAILKIGNKKHLILYLD
I206_06752	MRVVKIFFAPWDTIDVPELKEDYDEYQEMTITSFVLRHFVTSDP DYVGLNKKLKMIELHNVIYCDRTRIEKGQTKITDWLTNPNVNKLSTTNLFKSPPSATA SGGSIDPPHNNESPELRLSRFPSWIRNLFPDEDTLLKVAESLAFFPSREDYCIACGRN GYEPHLAARRRNAGLMRL
I206_06753	MPSRPSPTQRREVNRLSVNIGKLPPEIVHYIIEIIKARRDTLTI LNLIRTCRELYRSCAPLLYEQIYLISREDCSELFYGMGPDFNGSIIPQHTPSPKSDKT SFARKFNLLQAIREMTIFEINCIPMIVQLINDCWGPTYDPKIEIFGNLVYLCFCSSIM MDLDLEPLERGEQLCDLLISQFVYTLCFDMGGEPLGSFAEAPFHAAISLISLGTQFTR VRS
I206_06754	MDMSTPQSDSIFSSSQSQRPTLINFSRPLPYKWNSDTVTTQSQN AFEKPVITRSSSSPIERPTIKRSSTTPIQSEYDPKLEIKKLKAGLSSLTCILDSINRP QSERRNCQHIWTNNLLNNQTTELENTIIDLTDIPNKLTKITNELFDIFKTSITELRLN DFMRSNDNYKNFEKSENNVNKLKIPKFVISNLTLNGIETEEIMEFTRKSVEKRWENII KDDRYKIWDLEEEEIENQLKFSCDFQSERGNKTGNQDPIR
I206_06755	MTIVQDSPIPVTCFTGFLGAGKTSTILSLIQQLPKDYKVVLLKN EYGDVEVDSLLASQSNISGVSEILNGCLCCTMVGLVENALKEIRDTMKPDRIIIESSG SAFPATLALQIRQLEPEGFKLDGVVTVVDCLNFKGYEDSSPSAKLQAKYTDLLLLSKH HLINERQMDDLIDSLNDLNDETPKIKVGPSGENPPKPELIFGLDTKLFELVEGEKASW EKIGSNSDSESQKWHGDEIETKQIWKGKKGKSKAPIHHHENGQECTKNHDNEVEDDSE ETEIEPIDKDLLDRELSKLSFEVYRVKGIVRLPSSSPPRYTTNILNYAFGRYELTHIP NLDQDESLKGVTLKLTVMGERGEVARRARRLAEGLGARSE
I206_06756	MPQLQLISELPSHSEAAWNVTFNPTRNLLASCSTDRSIRLFSYT LPDSIIDSSPNLPSSSDSKPVFSLNKVIETEHKRTVRSISWAPDGKTLASGSFDSTVG VWEEVIPSSDDENDDEEEGIFKPPGTEGVEGKQEKEWECVTTLEGHESECKSVAFSSD GALLASCSRDKSVWVWEVQPDADFECIAVMMEHSQDVKCIAWHPHEEILASASYDSHI HLAFDDPDSDWMIFQKLHPKLPANPLTLSSHSPSSLIHALIPTKEEKEADAQLDVPPL EEDETVWSLAFSPDGSYLASGGDLGGIRIWKRQGNQPDSQWVEISHLAVHSRSIFSLA WSPSPYNDSSTNDLGFLASASGDGKIVVFQITSKDDGGVEMKPIAAIKDAHGVSDVNS VGWCIRDDKKGLGILSSAGDDGSIKVWRVISDD
I206_06757	MANILSGPSRPPVQIPSVTQSGPKPRTIRTPGVPLTFNDLSELY PLILSYLKLINPVLLLSVSKSLYTELLPTIYEKIHLNKYNTSLLFYGYSPLISSRNSI FKSKNHTRFLRDFVGLPGRRSRSSPPDIQTSEKDFSESQSRKSIALNFTKEIYLKDAE SLSLICQVHMELLSYSPISSKRRRIEEETNNNNSSSWPLKNVKILKVGWKLIKYLAES HNLNNINNSKILPICCIPFEVDILIIEIEKLEKLRKRFLRQAISELSSEFTLEKLILK VEIPEMTRTRISSIDEDESLKSPKETDEEIYIPPIEHPPPASEILIILIPPLSMTSSY ISSNCIENGKLVDVLVKCIHSFLEDTGRRNFRLPNIQIACPANNQVEHKIRNLIFAND GLGIARSVGRRAFDVTQFTDLSSITPYLSPRLN
I206_06758	MEDEIHVVPMHHDIESQHDYDFDIDQKKDVKATDYVTENENRDL KRGLAQRHISMIALAGSIGTGLFLSLGSSIQTGGPLGALLGYGFIGLIVCAVQFALGE VTALLPVSGSFVRHAEFLVDPGLGFALGWNIVYGNWLSIPSEISAICVLFQYWTDLNS SVFIVIFIALTATVGLINIRWYGETEFAFAIIKILLIVGLILLGLIIDLGGISGVPRI GFRYWKTPGPFVEYIATGSLGKFLGFWSVMINAVFSFAGVESVAMAAAETINPRRSIP RAVKRVFARVTLFYVLAVLIVGMLVSSDDERLGNDSGTAATSPFVLAASSAGIKVIPS IVNAVVITSAFSSSNQALLAGTRVLYGLGLKGQAPKIVLRTNRWGTPYVCVGIYILFS FLAFMSLSESALTVFYWLIDLVGCGVLISWSAVLFNHLRLVAALRKQGIPRSKLPWSN WWTPYASGVALFMCLLILLTNGWSVFTKGGWSAAGFVTSYLDIPLVLVAYLIWKFYKK TKVVSLSEVPIEEVLHYIAENPEEPETRQNGWIRFVSWLWD
I206_06759	MLFCIYSCFLLAFTTAFAAPIIIDKRYTAIRIKSFRNEECLHPL GQKSSWGEGTNLGTTSCNQAALWKANPGSESITLYDTNLALDAGTGLNSSEQVTLERN SPGEFQQTWYWTEDDRLAITGGKQCIDQGNEQEGTQTWDCITGNTNQIWTLLTPTPEY PDFDPPFGTVYDDPPNGGKRLHREISKISDMFDQPEPNSSGYSLSRT
I206_06760	MFIYLLAILATLSFIASSPTLETHRYDKRYSGVKIQAYRNGLCL SPIGRTLSNGVPVGAVDCAIARTWNINPGSGSVTLSGYPQWALDAGTGSQNGEGVKIW QSFPGVFQQTWYLTTDRRIAITGGNQCLDKYDDDAGVHIWQCGADNINQIWTIVQPNS PFTPTPGQASILNPPIGQTQLDPVHQGVRIHPYQRPDLAVTVTGGLAAFDKNVDISYD QSNTGAYAALQLWYLPSPGTFNSVVLLYTANNNYCLDVGVTPTDGIRVKLHDCDTIEN TRWDWDGTHLKVTGTNYCLDVRAESSPTHSIPYDTLKTLQIWTCSPGNHNQEFFTIAR KA
I206_06761	MLFLTFTVVAISALSLVSASPIHKRYSAAKIQSGADNLCLAPFS AWQNGTLVGTTGCDNAATWDINPGSGSIILHGTTWALQAQGGGNTNNAAVVLWKSQPG LFAQTWYLTGDNRIAITNGVQCLDESTNGPQTYQCTTGNTNQIWIIIPSSTTPPPNHT IGRVAERAPKATAA
I206_06762	MYSSTILVSLISLLTFVSASPIEKRYSTVKIRSARDNKCLSPSG TKWTNGTQITTVDCTGAARWDINPGSGSVILHGSTYALSAMTGNANNENVQLQTSVPG TFAQTWYLTTDNRIAITNGVQCLDEGNSGPQTYQCTTGNTNQVWIIDQ
I206_06763	MNSASTLKEEIDFEGVRIQSKPNGKCLRPMGQTLINGSEVQSVL CDSGSRWNLSLGITDIFL
I206_06764	MRRVRVDGTYQSLTTREILEGENLRAKIEAMECDGFPKDSSCSE NSYISAINVKFDRPALCASCSVRSEGGYVPSEQSRTIRSPTRDGLEALTLTNSQILSD SSLMNSVQEIRCDICKTEWMLVDDQQRRFIWIRPQACRTCRSDKVRVKAVKEDWRSRN SPTSQT
I206_06765	MNNTQDDWYYDESSILAKHAPEPQEQYNQDQERFYDYTQLAHGN ISQNSNEDPNTLGTEFRPRGSGVVSRLQREEDLRRSTRSLKFGNSTSNPSNLNSNTQS TTDTTKRTSSTKSKSKKSLNNSDLIKKVSKASNYLYVDGEKTQGSQGERFIAPQGPYS RNRQRASTVPPSSSSLTSAYLPNSILPANDLVPNPYYQGMNNDQNPIQEQSQLPFYGP VTQDYSNYQSLYPQHVPNFDPSGMYNQQSQTYISEQGNLNRSFDNMEIQPSEVGYQNQ DRQTFGQSSTSTNLCDNTYVNMTSQTPPIKYDSDIAGSFIDTHQWGQSSANDEENRQE RIKSLKEYKDSGLELKIRLDWSIRDDTSGKRSILPETEMIEGIDHESALKIYRRVWEN EPKSSRKSSKPSSSETSRDHPQNRRILPRR
I206_06766	MSFAPLEAHQQFQHILRLLNTNVAGQGKIMYALTEIKGVGRRYA NLVCKKADVDLNKRAGELNSDELERIVTIMQNPAQFKIPAWFLNRQKDINDGKNSHVL SNVIDQRLREDLERLKKIRSHRGLRHHWGLRVRGQHTKTTGRRVGKTVVGKKK
I206_06767	MKANLILNLSTGPEEPGKACKKHTPHKVTQYKKGKDSLAAQGKR RYDRKQSGYGGQTKPVFHKKAKTVSCVSRSCYAGILD
I206_06768	MIFFPSNFFNLLPLLLLGIKANVIPQNYGSSSSCSSTYNSISIP ISSLSSDTLSYQTTSKTCSCHHSTSSTITTSSCTKHTSYADSSNTLSENVPILDSNNN NNNNNNNNNSSNNDNNNNISNDKSPYGDNSTKTTINPITQSNQGSIFTTVSSTTSTST STSTSTSTSTSTSTSTSTSTSTSNKVNSTQLGSYKLYKK
I206_06769	MPSIPSFLKSKSKPPQPITTYYLSSPSSSSSTYDTLLRPIPPPN SPQTIPRDTLIFSFTHPPLSNSQATSFFLECIPDPIGFLYHSSSFISKNLILNEKPEE IEWRHQLITLELENKDGLAATSGGKISISLKWVEEILNQVKKGNKTYENSIKEFKGVL LHELVHTIQHDGFGSTPGWLIESIADYIRLQANLNPDHWRKSGSGNKEKGYEDGYDIG AKFLQWLIGIEEPEEKSQRDQLNVIQAIPIQNTLSRNKTTTTTTTTDQISQPQPTQYP LSSTEEEKLPAIPPNKPYRPGPFPDLVKLIDSRLNYEKWHDNWWDELTGLTLDELWRE YLRYYGR
I206_06770	MVSLKPTSIKIPLTNGTNGDHAEATKTPKTPQDEAIAFFSGESD GKPVQVWELALENDGGPGEGKDYIRLPPPVRPYILRFSIRPGTNVTKNGVLKSDFPMD GGVFQRGEWKERRLPTDLSKPVQIDLPISAPGAFCYYLEYDGPTPSSPRITGRKGYFN VDPIISLPARTPFFPSSTSPLSNSAGGAILPKSTNVSLDGLIILSVLAKWLGKSNEWD GIFEESSRRGYNMLHWAPLQQRGLSGSPYSIKDQLSYDQAILVNNSAKDGGNSEISKV VKQAKEQYGLGSITDVVLNHMAYDSPWLEQHPEAGYSPHNTPHLAPAVELEDAILALS ANLSSLGLPTTLNSEDDLQRLIPAMRKAVDDAKLWEFYVFDVRSSVSEVGSSLLQNSP SPKKWGGSNLSAQQSVGQLAEVFKNEAGMIDNYKAYSSRYCSRVQPDIAAGFVQTAFP HESPENQAKKWGEILDVLNVDLYSECNDDVNSAIDGIVGRLRFTRIEDGGPKLGAITE ERPLVEKYFTRLPSNGTTSKHPKKSLALANNGWMWGADPLKNFAEYPSKAYIRRQVIV WDDCVKLRYGKKPEDNPWLWSHMIKYTELLAATFDGFRLDNCHSTPLELGVAVIDAGR RINPNLYIMAELFTGSSEMDLKFVRELGINSLVREAYNGHDVKNFADLLWRFGLGKPV GSMDAACLSSSDELSPPFGKGAARPCIVTPLQGSVPHAVFYDLTHDNQSPFDKRTAED ALSTGALVTFSRAALGSNKGFDDLYPKLLDLVTDNRLYEIADAKTENGIGKVKRVLNH LHTQMMEEGYTEGHVHEEGQYIMIHRVHPITHKGYMLVAHCAFKGFEGRGWIKPIKLS RTNISYLFGASISTDFSQWRSDPKTHKGIPSILTEIAEPPINEGQDNDGHYSEVTIPD RFDPGSIMVFETSMSDISPDLDAKIQSGANEAFSDLDLVDLNVVLHRADGEERDATGG DGTYTIPNCGTLVYCGLEGWMHPLREIMKNNDLGHPLCAHLREGTWAQDYVLGRLEKY FADLPNLSTPHKWLKERFDLIKTTCPAFMRPKYFALVIYTAYKAARKAVVEQCSEFIS EGHSFTHDLALCSVQMYGLVKSASINPSKPVASLAAGLPHFAAGWARCWGRDVFISLR GLFLTTGNFTGARDHILSFGSTLKHGLIPNLLDSTKTPRYNCRDGPWWFCQNIQDYTK MCPNGLALLNDKVKRRFPADDTWVEWDSPKAFAYESTVAELVQEILQRHAQGIEFREY NAGPNLDMDMKDEGFNQKIWVDWETGIIFGGNRYNCGTWMDKMGSSDKAGNKGLPATP RDGAPVEITGLLKSTLTWVDGLAKQGKWPYKGVKANIKGETRTVTYKEWADLIQASFE KCYYIPSDPAEDVDHDINPDMVNRRGIYKDVYGTPKDREWSDYQLRCNYTLPMIVAPE LFTPERAIGALQIADAVLRGPLGFKTLDPSDSQYRGDYDNSNDGHDQAIAKGWNYHQG PEWGFPSGWFLMAYLKFDRIAGEGKKDATRTMHYISNILQHQAQHIDQDPWRGLPELS NSNGSFCYDSCNTQAWSASTILDVLEEMHKIGKQK
I206_06771	MTRFANLLSAVTLAGGLARAATIEHWWNITYTTANPDGLQERRV IGVNNSWPPPMLTATQGDVLIVHATNGLGDDKVGTALHTHGMFFNGSNWADGAVGTNQ CPIPNGYTMDYLINTTYQTGTYWIHGHHEGQNTDGLRAPFVISPQNQTGRSDNVTWDE EYTLVVSDWYHDEYPDLIKDEFLTWTNPTGAEPVPKSAVCYVAKNGSYLHSNEDLSKG VGVSDDAEIAFEAGKTYKIRIVNTGTLGMFWIKMDQHQMKIIEMDGIEMEPYPIDVLT VSVAQRYSILVEALNTTGTNYAMMIMQDTDMYDLVPDDLQLNNTIQITYDSNASKAQA VETGIDDITTFNDTQLHPVLKNELLQPNVKFELNAYFDTYDDGTNRASFNNITYQMPM VPSMLTAASMGQDAYNTAVYGAQTNAFVYKQGDIVELTVFNWDAGFHPFHFHGHEFQI VHKSFDVTSDDRIINPLIDENQTNPARRDTIVIPPTGSVTLRFRADNPGAWMFHCHID WHLSSGLAAIFIESLDVFQSTNETINEVPQQIYDQCKFWNTPTSGNIVGKFSTTDFKG QPYGPFPVKMGWTSKAIGALAGCIITVLLGISTIIWYASGELNENELEEEVKFKLASK EKKIPIWKRVLPNKSG
I206_06772	MGHQYFNVPIFFIVFRETIEAAIIVSVLLSFVEQLMLTGKLSSS STSIDDDNASPTDNSDRRRKLIKRMRIQIWAGTAVGFLLALAIGAAFIAVFYTKLNDL WAKTEQIWEGIFSIIAAIIIYVMGIAFLKMDKSRIKWRLKLAAAFDKSQAKMQASAEE TEKDKREARSGKWALFILPFITVLREGLEAVVFVGGVSLNVEAVSIPIAVIVGIIAGL VVGYAIYRTGSTATLHWFLVGSTSFLFLIGAGLFSKGIGFFQYYRFAKGVGGDVAETG DGPGSYQVAGNVWHLTYGNPETGSPTTNGGWQIFNAIFGWNNTATIGTILGYVFYWIL IMVTLIYLRWKEGRTTFKYPTTENGKIAWATKESAAAKRRNAGKGLERRVSDNSGSDD KHTKTEPETPGLSGVPHLNETN
I206_06773	MPSDTNAISKKEANDQIMTPPSDPLDQIHLLPKEIISFIQEELY EVNPALIFKLKEPHYDEFLLPAYTHIRIKGEIVDSLLLGAIKLRPSTIGRTSHWRSRC DFTSPEININWDYSRKVEAFTHTKTLEFIDLEGILKFSHLIGNKSNYSSRANIKPKRY RMLFPKVNTLIFTAESIKGLFSPITVVSITQNKTKVPIIQTRIKQHARKRTSPCPSKQ TSRSSTGPPIVTDSNSNLKDKSISKRKIESEKSDENVVTQVKLKNPTSIEFQYALNPH IRPLYICMDYRFDQYLKLSDKCLSVRSGGVKTIHGYLGDEKVYRPIDGKLRILNIFRQ DQRDGSMDTIAVDSLIEQEAREIANHYWKYVCQAL
I206_06774	MSTSPSPSWRKIPYSPPSSPSTTPFPYSSKDLQPMDSSSDNIFY SQPRFVTHIDDQAINTLRNYFSEVLPISKGSKILDLCTSWISHYPTSVEQAIKNDTIE IFGIGMNDQEMKANPILKGKYAVKDLNVDPTISGWPDDENSQDIFDATTCTVSIDYLT QPLSVLSSLRDQTKTNGTVHLIISNRCFPTKAIRKWVEIGEEDRLKMVADYLAWSGWN KIEILELRKGGWMRGDPLWVVRGCKG
I206_06775	MVPAIFLPLPQKLISPRLKGKAQYHPLSLLQNICSLLTPREQYY MTLCSYDLYRNITPIMYEKLKITKDYTTSIFCGLNYDENTQRMVQSDNITQKSVFPQV PMVKMIPKLLRKFTLTFGNSSQTKTFYTKTELLNMVQTLIIDDPESLNNLAHYLKPEC SETTHLCNRNGCLLNNSKNRIFKNIQNISFTEDVLKYLYWIQYDSNQHGETVFDILNT LGCMLNPKHLCVVSPGPYHPYMENIGTISPYIMESCLSQWQLNSISSHGFLFNIPQTI CRTIEKITAYLPTHIYPCKESGQYLCQNRCQYLVMEETLLSIFDLNHLGIDKNLEKCH VVLVGASNMSLLRCPDEMIVLYMLQHSRLKPLAEILKRNIKGTRRAFSKFQMIKRDES DYCVCCGER
I206_06776	MTRSKANRNKNKNKNKSNNKKKNNTSIAYSLDLTTLPSDVLAYL YDHIAQSVDIYTLCKLLLVNSQFNQLFAPALYERIEVNGDNSYQIFYGIDYKVLEEQE WKANKDNKNESYKINLDSHHRKMRSLNLVKSLIISDYDSAMDIAQHLSNEHKAWFKQV EIEFANKSPFAQAMGLISTSLPDNPSVIFNNVENIALGLELLIHPAIYPHCAHHGEFV PDLIASALKKGLDCKNVCYDSRAGDRFHANDEGFGLAIIHIAGEWNHTTSIWHKMNEY PLPCCHARPKYKLYVSDIHETIGPLSHLKDEYPPLAAFIAWYVDMDYWCCAHPRRDER SIIEIAFPDFNGKIPEIQEIIDTGMAIYQDIFWQQTSGEDDMETCMKAAEKRWTERKM SGWFEKYLKVVNLDEAEPCVCCGTK
I206_06777	MAPKRSKRTKKRSRPSPESTQTIPFSSFPREVINLIFSYVDDYD QEDVFSFIQVSRDWYTQLIPKLYRKLSVHQDNTSVIFRSLDLTMDDPSSDCSDSDIHH SSGENSDEEEAAIVPQEHGKRTVVYGKHNKTHDEKTQKGKWQFGLTSEDASQRKLEHL QHVKSLKIVDWKGGKAIAAILSYSDSPAKSKLKPFCQVESLAFGLKFLNSREFYPDCA CETQPRQTEITRQLGSLRPKHVCFSWKPSAKFHPEEDGFDDYIGFLINKYKSQLISFT WHGMNNSTLFPWFPQTCPTIRLFCEKCGHCNPRDEFGGLTEQGVCQCPIRLKEMTECV RSAAKKNKENSLIEGEIINLSCLGDVLDENIDEEGQINGKNSGSNSKYKKGNDLDRQF ENNKKPFIIRVTSSAKAEACVCCWERT
I206_06778	MTLILFPTVESGAAKIPIYQDSPFDLNRFPSDIVHLLYLAFDNL PQSVLHKLIQCSLEMHDRFAPILYRTLNVNKGNVKSVLAGSDQKSHISLRPSRCISKV GLFKYTQSLIINDLPSAEHLSQALDKSKPSPRIHSCFPNTESTLFTSIKILHLSAKAI MGLADIYNASSWGSSPYNIPSQPILRALRYNLNPREIVLDYPNCKISLHLHSCIEEVI TYLTQGWNLEQLTWLNLQRSLLGPVPKSKKLIYQFNKCALLDRMTISIEDPGDAQKGI ILELRGLKCMPNLSWNRIVEEVWNKKETLKEEDWAKADKWHDAKAIIGS
I206_06779	MILKNIEHMLKKHWIDMLTTCQWMFDYFAKKLYYTLDINHDNCS NIFQGIIFHTSSEHLFIPEPTEGKDINFANSTTSMILSDQRKMKILDLVYVIIIRDKV AGGYVAALIERNNKNTTKKIFKNCLTICFKEKAVAELILQRPFSIGKDVNRKNWEMFR SSLVER
I206_06780	MNKSLLPKLKIEVKSIIKEAAKSGGLIDEGKFTMKLARSIIENK MNLKKGELNGEWKEIIKNLINEALENIEQGNSNDSQIPSKSKYTSPSSSPIVKPILKS EKTKSVSSKKKRNVESVSPDENEKGESSTQHKKKSAKQKSKIVKASQEAEEKEEEEEK VEELRQARMSDSDISSVYDELPSKVKTKKGSKRKSKSVSGLSDVDENEKPTKKKKVPT SKKKKDPNEGLSPDEAKLADLKRIVVACGVRKQWAKEFTDCPTTSSQTRHLQNLLSSL GMKGTPTLGKAKALKEKRELAQELDDVTTFEAARGVSSDTRERRTRGSTSSKNKKRFV DSDESDSEDADEDEPEELDKDESALGAVMDFLGGDSESE
I206_06781	MISKLSITALIITLARINAAQYPLTESYSGTGFFDGWRFPVETY DNTTNGDTFWATAQNTSLLYVNDAGRAVLKVDNTTLVPYNEKRYAPKLFSKNTYGPGT VFVMDAVHVPFGCSVWGAFWTQGENWPAGGEIDIFEGINLRKENMMALHTSGGICTIS NSSSMTGRIDATNCDQSANSGSGCTVYDQNDNSYGEAFAKDGGGVFITEWTNEAIRIW FFSRSNVPSSVTSTANTFDTSSLGTPTAEYSNASCDLATLFEPINIALCGDFAGLTSL LEQTCPPLEGDKTCYTTYVIDDASTTYANAYFELNYINVYTTNSSSSGNLPSANGIGK ATTTVTAGTASRTTSGVIGSATNGTNANSSARKTTIKEIRIIALISLILGILL
I206_06782	MKFQILALTTTALLGLCSSVVANTTPRDKINIASLEARSLYLKT RQDLGDNSTIVDPSTIEDCEEDVDDITISNSTTLAAVDTNSTNTTSTIVDDADDADDA DDEDDEDLPYCDEVEDEDDSSSATSSSTAQTTSTATQLGVVQAAVATSNTSDESCGGV TTVTVTVTAGSATAAATGSTTKNDPAVTNVAAVASPTTSASSTTTESTDDEDDDDVEY DDEEEDCEDEEDDNTTDSVSPSATSSAAAVAQSSLAVANNAAAGNATESVDEDDEDCE EEEDDEATVSSTSLISEPTDVTNTTTIASNATATATATASASATLSANVTDSAIGTAS ATESVEESATVTGAVETASTTESATETAATTLAEEVVSTATASEEDTSSETASSSTVE GITVTAEDATSTETPVGKRRIAFWA
I206_06783	MSTNSNYISSSGTLTNQPLTNRITNQFSNYFNLIYLFIETLISP ITNPSSWQDPSIRSTFRSNGNGNNGVGGGNSGNGRGGGGGGGGGGGGGGGGGGSGGNG RGNGGGGGGSGGRFMSMSDLNGGGTVDGCRATCG
I206_06784	MMQVDDQMVELSLWDTAGQEDFDRLRSLSYADTHVVMICFSVDS PVSLENTESKWIHEVNEFCPGVKTILVALKCDLREDPIIKEKLAKRSLHPVTYDEGLS VARAIKASRYLECSAKHNRGVQEAVYEAANVAIGSRPKGGGGGVSSSKLRTSGWREGR CVIL
I206_06785	MSLPTTNRSISDSYASSLSPSSSSPNPYNYNFISSPRERSPSPS RLRGADLSKYGPPNSSSSSLKRKSPSSRAAILRHIRPYITIRFITPFFIWIGIIWIIH NFIITLPLPKLSNNLIKNKNNNNNKGGNLISSSNHHLSTSFPQPPLRTGDDFLDSIDS RWRPFDPLPPPETPFPRLRPTRFLPSKCLEQWFLDGETLCGKDEMGKEETLDATWLWV NGSDHRWRDSMIHWRNLEGVYSPEHHFREQNELVHSMRSVLDALPGHLSTFHLILADY PFDPEKDIDLLPPSIIPDLEKSVNSRKSRHSRFNFLNETENSRSINSISPTLLTHLSK NWRIAQTPTWLDFSRRDKTNPSHPYHPFSSNQQKQGKEGKKNKLLKSELNYPTLRYAS HSEIFHLPSLDRDGFTEELGEREWKEKEYRRKALPCFNSMAIESRVGWLPGLADVTLS LNDDFFLLRPHAVSDFHSPLYGSVIRFDHGYYQQVRPILDKNRFNDAGEVGGLYHANH LLSQRFPRRLRPYFAHVPKVITRGLHHEASLMFKEALAESSQRRFREMMLGEGDIQMQ WLLTSLRVERWREALLWTYVVANLGTLGDSSDTWNDQARNELRDMFGLNENDDDVIKI EVHRGERWTLEPGRMSKVFEQVGWESPKATDFLFSSMDGHMPPILKSGMDPLTNDKCF LDLDRCFGSFWTREEDVPSADMFKRLTFQYPECGDCLIMALVTASGPLGLSAFFPPKG TNFENQEGEDNKNKYDNKHNNKHYSRYLPPPHLPLTPTWHEADFSLETIMSITSLPGE FVDLREFTMKLLSRYQYLSAKSVSHFHMLKSEEHANRVFKMIQENSKVSILGLNDDIE ENYEKVKEIMQNWFELRWPKKAVWERDWDPIIDKLEE
I206_06786	MLPSIFTLIAAFLPLLTLTSASTHSPHPPHLRHRRISQSIRHIK ENENSPRAIVAPRDNAGHSQAKKVIKKNIKKRGGQQCRPRQAGTTSFQAAVPTATTAS ASASAVSSSSASSVGTNNNDNTSVDNAQAWIQPSSAAADTWTAAATTTSSAWSEPSQT SNSNSGGLSVSGLLAITDHSCGYSNADDNAPNGVEGWLNCGVDGSGWNPPMVTADQLI AAELTSDGVFSPCGPYIDKFNQYASEFGLKGIMLASFAMQESTCNPSATGGNGEAGLM QLAQINCGGAPNGNCYDIDFNIKHAAELFSNLIKSNNGNVLTAIGSYNGWQPGLTINS ATEAKNQGRCAAQNNLDYIHQFCNGWMQGKSGYELGTYCELICFFFI
I206_06787	MTSIFGRKHRSSNSASSSHGAPSPNGLAGSVPYNQLPSSGPAPV AGPSSATRGGQDVPSRMISPPNTNPMLTEQGTPMSISRRADGLPMPSSSRRRSNKDDG ERDRRSNMTDPGKVGTISSDLPGSSKRVTADPVGGVRYNQPGIVLDTSSSTGIRYQPP TVINQNIGRSPTPSEMGGQVRHPYAAGYGFQDPETMSIRSVSSINSQRPNRDGRYPTF DGPPPPPPPQPTRSSVSSRHVYPSSNGRSTPIASTSTISLIPQTPRTNEFNFPRPSDS EIEQLFQQLLENRDLDTSQPKGVPSISSRSSISSTISNIAKTAASIPVDSKWQMVEAD ARNRHEAAKSARKKEEELNRMSQGKRVDARTLDRTTAEWYLTKALDGKLTVDHLRALD ISLRSETLDWMHNFMAHQGQVVLANYLNGITHRKGKGPVDGALELELLKCLKRSLGNK LGAQDAFQKPQVINAIVSSLISPHIVCRKIAGEILVFFCHYDEEANPRLGLRLVLSGF TALEQQINATVADLAYKVGRFDAWLRQFEATIDGRGRMGSMVGLSKDLKGMDDNSLMD YCVTMLCLVQGLMSGKEIKARCSIRAQLESAGILNVFYKIKQWGDDHTTRMIVEYEEE SENDRRDLVDEEDREMLKSMRSPEDVFRALLQTTKGSKASAYLLNALRHLLLIKGDKE EKVRYFQLLDKLITSIVMSDTPDLSQDFSRAFGISVSHLVGKFIEQDRLDEALEELKS TKAALARSKEEGRTLQDEISAGDDGLVGKLKAQVLDLEEKLRRSRAATETVTDQMEGM KRDYEQRIADLELYIQELFNMLRETNHLDQVQEMTHGPIDRKKLIHDLREQWERKKTI RKLEGKNRKKTSRPGSTILEDDSEEEGEDEDAEVMEAEKIALGGQAKGEMKNTKRETH RERKAMSGSQFMDAEEERVRAHIENTLAKGADSVSPIRPQGSSSRTARKGDDMTPTRR GFDINAPPVGEKSIYRQSKKPGLPPRFLEEIRFKALSRSSSAPAGMVADDVSEGEDYR DSQFTQRTNGTGYTSGLSYELRTPGSRDSFRVQVLSRAKSIRHGLNADNILPEEEDGD LSTVSEGEELRKSSEAETNTPVRDSGIQSPISSAVPPPPPPPPPPPPPPPPPPPLPFS QPPSITTTPFGSSPPPPPPPPPPNALGIGSTSMAGMSGMSGVLAGIKGGVSLKKTAGA ASPPPPPPPPNVSPNSLPTIARAPLGSISSLLYGSNDSRKDIGLIASKKMKQLQWEKV SKNQLAKTIWGQADDVEGELVDKMRAVNLWDEMEDEFKAKEIIYDAVKKRKETELQSV LAPDHRKRIEILMAGSTAKSFKDPERLSEAIANFSSELCTETFLRELQGVLPNDDDRG KLLTHSADTESELELLHPADRLMVRLIQLPHLNDRVKGMLFEVRFAQNMDLLEQSLIV LKGACHDLRHAERFQKLLNVILTMGNYLNGTNFAGGAFGFKIASINRLVDTKSSGGGN LLHFLEKTVSQHFPHLDGFLDELTKPAEASRVNYSDMQSTSKHMLDEIRKIRDSLRNN FEEGVDGYTKKMFRFSAVAEERLQDLRDGIISADKDLRDVETYYGEGEEMGRPLQSQD FFGIFRTFTSSYRFCRSQNRARAEEIAAKERRAAAKAALTPQTTGMSNSSRDLIDGTM QRLKIEGTPRVKRERRQHLPPPSPLPQTRDFSDFMIPLTNTGLENLDLDFGSLAQKMM LDENFFPGLNSQGFDKDGNGNQNQNEDENLLNPNNFGSPESPSPNSLSMNEQEDKQFL LPYKYFENQSGSGSGSGRISPIQSTIFLEKVTEQNEDEEDNDQI
I206_06788	MSFYLAIVSPLDSPLFELSFTSSKPSQGSSSTSSTSSFPSWSTF TATNGSDLGTDGLGTVNNNKVGGTLGLLSGESKTIPEKNRAICQMIAHKSLDSVEEIM ESTGNLYLKNIDRHNEWIVSAFIATNVKFILLHDIKNDEGIRLFFLDIWESYVKVLLN PFHTVNTPIRSSAFENKIRASAKRNL
I206_06789	MGYFAPGLLYSSTSIATAVGLYLLFTGQGESFNVGKFLAESSPY AWALTGIGLCIGLSVTGAAWGIFVTGASILGGGIRAPRISTKNLISIIFCEVVAIYGV IIAIIYSSRVNGDVENLYTANNYYTGFALFWGGLTVGICNLLCGISVGITGSTAALAD AADPQLFVKILIVEIFGSVLGLFGLIVGLLVSGKAEDFA
I206_06790	MSSKSSPSPASDKEGEEMQKWDTNGSTKDGNSSLKRVREGSLEP TQAESSIPDPIATKKNRIGGTSSKTSSGPNDIAEVDGEDHSSPAQDVGVDATAEDSPT KKEEKPVGEVRKKVEEMSYDEEKTHKASTDVAVKENGKEEKVEAEGAYDDENSPADSS WEKVEKDEVEGSNADHLKRKALNRSESSLVQGEEDLSSKRSKEAGTPLTEEVQPATTS TTKKPQTTFSSFASSASPFASIKSPTPPVNTPPSTGPIAPSSAPTSAKKPQATFGSFS GKSSPFASTVPSPAPTSSTPSTGTSSEKPVNETSAAPKKPQATFGSFSSSSSPFATTS TTKSTSTFGSAPIKGSAFGTYSSTSSAFSTKKSTPPKGESEAKSEAGPSSFGDILKEK GDDEDTEEKVEMQEQDVTTGEEDEETVFQTRTKLYTNEGSAGWKERGVGLLKLNVSRK DGSGARLVMRTDGVHRLILNSKLYSHLTLYPDGKMVRMTLPEDGKMTIVCFRMSNPKT VDDLCENIEENRPSSDTSDV
I206_06791	MPTPTHLKPLHSLHKINTNNENDKVQKEIQNNNENHPLSKLEPI SPIRKVQNYKSHSTYELVRTQHRYIGDENHSPEKLESQSNENENSPMLVGDEQNLNQL NQNNVESNFFTENDQIFNLSSQEENAITNDQIKSNSPKSSNSLFQKLLNTLLNEPTIS KTWEKKIYTTDDSFKVNPLVKVNNELNRKNQFKNSNSNSNLNINSKSDHYTSTQFNSR PISFYASPAELASFKPLPNIIDQQQ
I206_06792	MSLSNYPESGIEEPWSTPSTIDIPEPTVESALEKEKIIKDILQL RDGLRGLLIRITEIETDNEKLAKDNEMLSLYIDNLYVQRIFHFSFNSKCLS
I206_06793	MATIAMKTFELNNQVQSVDPTTAIFQYSREDEKSLEDAAPWSQD PHYFHTVKISAVALIKMVTHARSGGAYEIMGVMYGRVRDGVFWIMDAAALPVQGTETR VNAGNEAMEYMVSFQESSREAGKGELLRGWYHSHPGYGCWLSGIDVNTQQNQQKFNDP YLAVVIDPNRTVSAGKVEIGAFRTYPEGYKPPSSGASQYQSIPMDKIEDFGVHADAYY PLKVEIYKTKLDEELLDLLWNKYWVATLSSSLLTSNREYSTSQIKDLNAKLQGASAGL GSSSTNLKLKAPPPSQSGSKGKANSREYAGVEEEDTALAKAAKDSSRIATEAQNGMVA QLLKDRLFNTPLSGELTRDAARATVQGRQ
I206_06794	MGIRGLDVYIRERKLVQNCPLSAIANTRLGIDATHYLSQHLSDA ESREPLVAATGGLPLGIISKIETDLRALERLSIKPVFVFSGLPLASRPPQKGPDPQAE RETQIKNEAWSYYESGQVERSIMQLTAIRNGSWTDSKDLLRLIIRLFRHRFVEFVIAP YLEFAQLAYLLQHPKGYIHAVYSSTECLLWPIDRVITSSDWTNTFQFVEKNRLLVDLN LTSEQFLDMGILAGSSLSRTFPPIANDFAVKTVIDLMRQNKSGILVCQNWRDSQFKTQ NYIELFWKARLAVKCSLVLTTEGTCVPLPTIIAPEGQAFSLSDIPGELDEIFSPRIPD ELYFYICKGLISTQVVGWVTSGIIHENQPLADTTDYHRFIKDVITEGPTSPRCTTIAL LNNVLHPDWAKRRINAHYFFDPPYAAPQGANIPFTDGTTQSLVEKLKGWNVPMINIES ELRRQNSSTIDLKLCIGALVTEELAAQTRANHTGRILDKKDELVANVLWRLMELRGFI NANHTHTLIGKASYAANAVSRVNDKFQEPLYLFLELLRAGVVHGSKWGGETAETLSGG PSFGTEDEQKSILLIMRCISILPLIFRPQQWVGPLSRELLVFNSFVRALSKSLRHLCE AVSVHILLSGGARRNREDHQDFMLSLPFQSEVNTGFGILTKTYLDATTYHYEDTITEA DANTEKAIQAKKDALSFVEQSFSSVKLPLQEVERGFRFWDSIMAAIRSLDKEQGPTPS LAQKVVGKDVIEQFEKADKWLKPMRP
I206_06795	MPPMFDGFGSAYPMHMAEGSHSPGRRQRISMACQYCRHRKIRCC GGSPCRNCTRAKRDCEYAPVPEEVNRATREKKAIAKATKAVHHVSPITTSSPYFADQP VFNVPYVSGPGPVRPSHLGHRRTVSMPNGGIAPWVTPPSAPALASPPMFESPHWMYNG WTNSYSSAPLQTHIETTPASSFPSVLEETPMHQAYLNGHADQNGMFTNPTPDETSRSS SADHEYPPSHMMPPTLPTSWSTPSLPTQTYLRPAIQLSPGVPKVSHGSSPITPATTGY QTPFPTPPLFQVPFIMPTAVPSYHHPQLQQMSSQYPHYSQSPLGQSTTTSPTLAPELS LPQEKEYLVGLGIGVPEVQSEYYQTPTPTLSSDEYFSPPMHF
I206_06796	MASEGLTRRRGGAGASPAIGSASPIMSDSPSFPSSSAGPRRSGN AANAPTSSAGGSGGAGAMEGRGKIAYDPRDFENGGEAETMPRLTIMEEVLLLGLKDKA GYLSFWNDNISYALRGCILIELALRRRIAMVKDPSRRRLALADRLIEVIDDRQTGETI LDEALKMMKSSEKYGTGAWVDLMSGETWNVMKIGYQLKQVRERLAKGLVDKGVLRTEK RNFLLFDMATHPIADMNAKDDVMRRTLTLLTARTAAIPPQALHKEGVKYRHMRAVVLV CAAYASSVLENALQRLSYDSREAAFARCDDILAEFSTWPFGITTSNTAGPVSIGGGTR KSQGTSGGVGRESVQELVREVRKEMAQSTSGQAGAGGGQEEQEELCFEVVATVLEIFG RMDSLLTCDYNS
I206_06797	MSNLYVTEPATNGKVIIDTTSGEIEVELWGKECPKAVRNFLALS MEGYYDGVIFHRVVPGFIIQAGDPTGTGTGGESFYGEPFEDEIHPRLRFNRRGLLGMA NNSKRHTNTSQFFFTLDKAEELTNKHTLFGKIVGSTIYNVLNIGQLDIDAEERPVVPP KIKGIRIIENPFDDIVPRITASERKAQHQARVEAKKEIEFREKRAKAKKNTGLLSFGD SEEIPETEVKVKKKGMTRQDLLEPAETATAKPAQSYVDVPDSLKNLGSSSSKKELEKE KEKKAVVDLKAIREQHEREKAGSSASRQAEIKRMEEDLRKLKKRTGDVSDSDSDSDRG KRRKGPSMLEEELAKYSKNRGRAAKHGSKRGRKDEEDDLLKEMSKFSSKVAKAGPAER EDEAIRPNAADEVIGEEDLEVDDDVEWMKHSLKFVVDEKELTRRAEDEYSVIDPRAKA RQLADETRKEKDSHRRGMRTAADVGRRR
I206_06798	MSTSLYTQTNMQNTRPEDETLFFDENISPSTALFSSELLDPSSL LNIPQMGGSSSSHNQHSPSISASSDSQSMYHSSRLPSLSPSMQSMNLSNLSSNGHSPR STSSPSSHSNFSLSATDDFLFNGLGNNSFDSTELDVLFQSEDSKDFFFPRDNQNSNNN PSLMFSSDGIYNKPNVQQQLFFPNQQSNPFNLDGSNNDGSLDFLQNLFNGNSMINDDT FDMLNLDQDEKKSTINSQMQNSFPIDFDFAQQAKAIGTMTQSQNQSQWIKQNQVNPSS HDQNGWFSGNPNGPNNMPASNAHIIDQNQPSLAGSIPDVQQRAASSKSQDRPAKKAQT NAKSAPEPPVVGKHNKTERRYRQKVQAAQADLRDAIPALRVLYDTSSQEQRLTTDFRA PDGTVDGLGEVTRPNASAKATILIGARMYIELLQKRSAMLQRKVNALEAFRLAVAGQD DLTRWQNDFNCRESAIQAAADAAAALKVEEESNDDEDEEGDEEEEEQPKRKRAKTTSA PKQPRGKPGPKKKEQATSLAQNAASGGLRMFAAFAVSFSFLPSASDIIRTTSTQTTAN GQILPSEAIGQATTGQILSKLPFITAEHTSRLLARGLPQTIVPAPHTLVDWTWRLLFA VILAFSVGPIISRFTKRDEKEKVGNFSILVKDCAKLVMPSHKKSKQVDEDQAYWKSLA AAIIGGATEPSFIGRWHTVLHLHTSASDVYSLTLLALLQPDIPYIRSAQQIWQAAQAK INPSTPLTLVTVLRMPLQEALRCVDSLDQTSSPIAAIAEQITLVHIYDLYSRFFVKLV NASTRSALNGTSQTSIKNLLMDLESCDIGSSLRSSAFDKEVRTAIEGLPKGSAAHALG LVLVGLWGIFIKPTPAAQVALATALAAEEIQGAGKGLSSISAMLELLYPGSKDVLVVR GLDKSEMENKLPKNALAIDKLAITCIEYIKLLISSNEVNDPSLSRVQRLEASRRVQKA SAHLRLVLTQTKFIGFEDHLQSSIYDDLEYDESEAEEEMDEDLIHSDLENESKKLEIA KERLVKVLYTIGRRAAGRSNGRDEDSGLEGDLDEL
I206_06799	MVRTFTSEPSTWLHGKGEVCPGVKLHYVDIKPETNENGKTLILV HGFPQTWYCWRQVIQPFSELGFRVIAVDYRGAGDSDRPRNGFDKLTMSKDIHTLYKDK LGIDKAIIIGSDIGSMVASSLALQFQNDVEALITFEAPIPGTKSYDKATTDPKSTWPF LWHFFFHNQADLPELLIQGKEKEYIGHFYHRLCFDPSFLTDQDLEVYTKAFSAPGGIR CGLDTYRAFHGDVSDFKKTLEKNGKLSIPTLTLSGEDSPMKEFLEDQTKEYSNDVSFK LIPRSMHWVPEENPDDFVKAVESFLRNKKLLQ
I206_06800	MFTSSQSQLQPIFPLTNPSPYCDHNSPSSSTQNIYRASSMSQPF ATSSSASSSRCASPSTAWSASPTMSPSPTMSYRSRESTAESFEMSTPSTPRRRQGSGF WTSLMHHGKNASRELEQQEDEMMQVDTVADSFSFTAPPSRPICSLSASFQDSETSSLI PPSFYPGQSIPVVLTFELDRYSSLPHFLNPTLSMSMIGTLHLPGSAPRTIICVSVSLS EGLALWARDAQQTYMTNPPRHRECSIDPSYGLPGGTYSLPLTVQIPATPRLPPSFSVR SSSFAVTYALTVTLSCDDPALPNTGTRLVLTDMAKPFEMMPETLPTRAPRYIPQSFSV KTDLPIQSTFATLNPNHPLPVLSRQNAKWTIHPHIPTTTYSPTSTIPFSISLSPPSIA ELQNQLDLPEGYQLSQPTTQILIRIALVRREHCSLSIIEPLDSQGNGLVTEEELNSRW GWIESSSQDKIKLRNIVLPLMPKEVRTWNSGMSTMLNVGPSIHDQEQGVGVSSTFHLN VTLAFLSLTQGSPSLMDYLPAGFNDKTIEDIIIPKCGEFSEPSSPNQEGYFNISKFKK CFPGTVKTLPLPIVVGSVSEPRGAMHNVRWSDLHLSRNSSGREVGRMIHGETLSMENG WMVPPPSYNEAIKSAPYEFKIDTRQLDEDYEDMEITC
I206_06801	MKLKDISRTATFAWDQSSSSSPLLATGAVAGALDENFSNESQLE IWAPDFQDEESFRLGAEGKGAKASITINSRFNRLAWSAPTESHSKGLLAAGMETGEVN LFDPEKILAGSSADEARIFKNDKHTGPVRGLDFNQIQKNLILTGGINAELYIYDLNNP NNAPIPPGPTSTKLNEITSLQWNPTTARIFAASSSSGFTSVWDVKAGKEIASLQYGGG AAKGMEAIGGVAGLQMGKRRGMSDVCWHPESATRLITASEDDESPIIMLWDLRNTRAP EKILSGHHKGVLSVAWCKQDADLLLSCGKDNRTLCWNPQTGEIIGELPTSNDWSFQTS WCPRNPDLLATASFDGHIAIHSLQTTSLSSQPAEKFNEEASADDVFGALSREEPANDT SNVLSLTQTPKWLRRPVSATFGFGGLLATTSNLPGASGKHQSGVVHLRNITTEQGVID RATALDATAGEKEKLAEFCSDKASGDDAAWKALQTLFKANSRDEIVQLLGFSKEEVAR KVQDAIGNFPSVTVTEEPAESPAKPEVQDESELQTPTVEKTPEEATSVADDTASVKGS EAASTTGSTDKGLFDDDHTPGTPAAADADFFSSMTSGALKNPTLDHIVPHKNHEFIDS SVAATIGSRASSVRDEVMTKENTFQIYPQGESNIDKLITQALVMGDFKSAVDLCLASE RFADALLLAVRGGPDLLQSTQKAYFARQTASLPFLRVFQSIVTEDLIDIVQNADLSEW KVAFVVICTFAKESEFSNLAEQLGQRLQFRWRTLSGSDSPEAKTSAKIARQDATLCFL AARKLEKVISIWVDEMSEEEEAKETTRYAAHAQALQSFIEKVAVFTSATGYVDEDLLS PTESAVAAETGARTYKLAGLYDRYYEYADLLATQGLVDIAAKFVKMTPTDYKGTGAAG AELDKARDRLFHAAGVQDGSVSVNSALGAAKVQSQITTSYAPNTASSYASQNAGPYGS APTSAAPYTAVQPPTPYGQSQAAPYAAPTASAQNAYKPLAAPAPYQPAPSGGQYNLPQ QQQQQQSYGAFNDNNPYAPSTATGYQHSGYGANGYRPNEPQAQGYGAPQPPYGASQGV VPPPPRVGASNGPVSNTPPPIPAAQRRDIPGWNDAPSLAPKRPTSTVNKENKPSAITS PFPNMPNPFEAQSPAGFAPPPARQTPQPGVLPPPPKGGPRPPPARSVISPPPAQQVPP QQFQQPPPPRSASSSGPIGGPPPSIRPPPQGVRGGPPPGVMAGPPPQRALSPLGPGRV GSPSSLAGQAGQFRPPSQSRPPQALSPPTQHAQAPPPPPMGSRMAGPPPPGRSPSVQQ QQQQGVSLPQVINSPPQPAPQQTPPPPPPVAPVKSSHPAGDRSHIPPSSKPIFETLSN ELHAVKQKNFPPNVKKVVEDTERRLNILFDGLNNETVPKQAIDQLSNISKAVGARDWN SALAMHVELLTNSSGEMTTWVPGVKQLIRLSV
I206_06802	MPDPFFQSEKKRKRPNRAGPSRSNGGEGRPSPYGKGQKSKPTKR AEKDEDLSSDAEGENGGGDLDDMDFRAGREDVNYSDEELIDRNETAAEKRVRLAKGYL AKVRGEVEAANANTDYDAAEIDRELIASRLQKDVAEQSGKIHLYIAPHAESITTRFLP SSPHVPTSAALTPRYIFVSTKRGSIIRYATATLKKIGKPFGQAVGDSDGHKGEILCIA ASEDGKFVVTGGRDKVIGVWNVEGDEPVWVTGLRGHKDAVTSIAIPALNNPSHHILSA SLSRHLALHSLATLSVIDTFFGHQDSIPSVSSLKPTLAVTAGARDRTCRWWKVEEEVQ LVFRGGGKTKSDQIGLLPEEMKERLGGGWTEGVDPSANRKGKGKEFVEGSIDVVEMLD DQHFISGGDSGSISLWHIGKKKPIFTQAFAHGMSDLVESEEYSISGPRWITALAGLRG TNLFASGSYDGQIRFWALDPSLKNFSATSLTAPMKGFVNSIQLLTFHSETVQTACFPN VGENGERKSKTEIYLVAAVGQEPRLGRWMNDKSAKNGIAVGRVELNEEGRRLMI
I206_06803	MCCSEADWKREVVPDHKFDFVNVREFHKTDIWTRIKYIFKYVFL LKSIAVYGLDIFTASTMIVSNHWTNSIGQRCGDNCAIDVQFKIAKWVFVGCIIFSFLL LGYETWKAKQVIDSRDISYAFTNLMANDYYSFRAYDNFCLFCHIEGSTKKKDDFAFFI FFTFKGWKRLLLADGPRQSINALVLYSFAYANGFQTSDIPAYWDNSAVTAMLLFAMIA TVLIFAGSLLLLIVAAVFYVPLLCYIQGNLKEYVCHKVDKRISELIKKKQRQRIARNA ALEKKMAQGGLKNAKGEVLDTTMPQPTLPQIRLEDDDSGRLEKARRKAERSGSETPGL SQRGPYEYPPAAASYSTDYGSSTNLLGNAAPLGISYPPPALSQMTSNNYDRPFSPSFP TSRSNSDLTQPPSLPPSRSHSNLSQPSFPPSRSYSDLSSSQPLQNGRISPFNNDGYTR NSYGSGHSGGLPYDESYYSTANAGYDMSQNNHSHAPYTQGQNHGW
I206_06804	MSSPTPESIQSLILQTLSTSNTIPDSRELSIDGQTLNTSEDQNA IRGVLDSLQRKEMVEYKQITATSFTLTEEGQLINEKGSHEIRVWQVLPVKGQGEPITV PDLQKLVGADVAKIGQSNAFKKKWISKDGPGFVRAVEQPPKDETAEQLKEISSTGNLK IAGSENAIKELQKRKLILPKKHIHYSVTKGPQFSTEVKQLETDLTVEMLQSGAWKESS FKTYNFAAAGQPTDGGALHPLLKVREEFRNIFFDMGFTEMPTNQFVESAFWNFDAMFV PQQHPAREVQDTFYVKSPVKALQPDPEYYERVRKIHEEGGYGSIGYRAPFSREESEKL LLRTHTTAVSTAMLYELANQPGGFKPAKMYSIDRVFRNEAMDATHLAEFHQVEGVVAD YNITLGHLIAFMQEFFAKTGNHKLRFKPAYNPYTEPSMEVFSWHEGLGKWIEIANSGI FRPEMLEPMGLPKGVRVLGWGMSLERPTMIKYKIGDIRTLVGHKTDLDQVKKRAAVRL EKGDD
I206_06805	MFAMPHLNKRLMLRTGFISISIILLILLAPGLTSYDDNSESETA DRPSSSPYIDSGEVNGWNWDANQEKRFNSIGNHKTNTKSGWSSRTKTKLKDIINGRKW KDAQAALYGRDGYESVSDAVGKDGWVNLEKDLIVTRYEGGTPGYQVFSNLYLVNSVLT SVVPSKNRLVISDESMENEIQMDMEMQEHLSEETSHSRKFPDVKYIMSSEKRGVGAGE DRWKVVDQDVGREEIGRKGFRLGGVTYIFNDPPGPDGYLVYFRHFVLEAFLGATRVLA STLSGSTVIPTPKRVWFPRSTSEPSWRDDRGENAWFLAHALPAATIEDKNGWDDKSTA GLPILLEKVVIIDRWAAHSSGGEVGKWGKMNALIPSLPAVKTFWEPFRSNVIRSLGVD GHSEIGSRGLPVIVYVDRQKESPKLKQSDHNALVEGLMSLTNIAEVHVARIAAMSKAR QVELMGRAQVIVSLHGDELFTSLWMPQRENSLVIELFEEGGFVRDFELLASGLNHKYV AISGDQILIEEKWRETGSTKGPERDNGEISINTELIIRTIEETLNINSIADD
I206_06806	MSDPLQSALPGIKHKVIGLVSGGKDSCFNLMHCVANGHEIVALA TLTPEPGVDELDSHLYQSVGTNLIHLIAKSMDLPLYTRVIKGKAVSRGPEYGSRLKGG EGSGQEGDETEDLTKLLKDVMERHPEATALSSGAILSTYQRLRIEHVCSRLSLVSLAY LWQSQQLPLLDRMLSCDMDIVLMKVAGVGLGTDVVGKRLGEIMPLLRKLEARYGSHPA GEGGEYETFTLSTPLFSHKVKLNKCQTIITDPEPYPVAYLKIEDAELEAKAGWTKPSV KELRQMLGLDQDQRDEEGLDDKHKDLLGELGSHIGFDQLQISPQVRLHQQNHSMIMDP VGMNARQVRVSRKGRWFSASMSGTSIKGEDVAQELRRCLDAISQTLESNNLSLPLHTV HITLLLSSMALFLPANEVYKSYFGTSPPSRATVAVPLSGARVRVEIVGFDDAPFFDSK HTKEGEEAKFIGNRNALHVQGLSYWAPANIGPYSQAVMVNNRVHLAGQIPLLPPSLTL PLPPLRGSPYSHQAVLALQHVGRIADVLRSKNYTGGGWEGWIESCTAWWATPLLTNEM DSCTNGLKIVMKAWDLWIERNGDSRTPVIFVQAKELPKSALIEYQVNLHSGRKGVDPL SASAVLDDDEDEDLQPVYEAGQEHGIRWEAYHASGLNQGSRGGICVRDMNDLSSVSTI TSTSRLFDNAVTMRIYHLDGVELTTFLSMLKCNYTLVPVLSIHDKLGKAAVMGLEVFG I
I206_06807	MTNTTSTPSSSTQWHTISPHTRPLTLTVLELTPLALTLSLSLTP PAPHAHTAIPHLVHNNHHTHAQSPSHSKSKKRFNTTPRGKRPVGESEDEDEDHNLDYS ALVETSSFKDLLSHGVVVSVNGQAWSRIYAHVSEDEDEDAHHGDPELEWEDEDATNTA VVISEEGIEEGSITRRRPRKPHSASSAQSANNKELVLGNSNVGSRRKSGKEKMDKDRA VVVVYGLSPGKEYEVELRVVGLFSQEGGEGMVSTSVLIPPSPTPNSGLHPRSRANSLR SRSRPRSRSNSLTGASPGHSLNTGSLSHTRSSDAIATLDSLASNDTLSPESATIIPTP VLNAVDTQTAQLRHLIATAHAEKDHLQTQIKEARRTSQRQEAALKAEIENVKKAIEKA GSMDLRSKQKALATQEQVKQAWNGAESAEKEANDVEMGLDTLESKLEALRIEVDAFQH DWQAMQSREEELKDKDKKSRSDEDKKLNEILSKIDKLKLKKQKRESERSELEKRLEDL EQQKTEAESRNNEERNNRKNAASYYAAGYGQHDQHHHAQHGNLGVGTGQGGQRSLSAH PSLSNLNGHYAAGPAYRPRGNNIQGYQPRFPSAGSTLTGHHRPNPTQPSPTHPNNFYS IQLPTNQANSSTSPAFRPPVPHPKTVPSRSTSGSTPSGTSGQSGSGAGVNAAALPFHP TGFSPSPSLEHANTSTHHTTMMPPQLQHRIYLPNVRPRPTPNFHPPPSVLAEQAQAQA QKSNSSSGSGNSPALPIAQTGQPEGGRSPNTSPPAFPPLPASSNTSKPPVGTAATGPS LASIITRAVLSPTSHLAQQSQAANLGNVASSVLKHPSALSGEHVDLSGGVSRKNSTST STSTPPSSARASTNSATTGASTTGEFPPLSPTWPIRREGTPPVANIWGKDGQRERERD TSVGNAILRKPERSGSGYME
I206_06808	MHTKKIALITGCSDPTSLGAALALDLLARGWKVYAPAIDVETMS ALAKAGCSVLPLDVTNEDHIQGSARTIGTKLDLLINNAAVEGLGPLLDTDPSQLHEMY RINMFGPLRLIQVLSNALIGSRGCVVNIGSVGVYGLPFHGAYASILSDVLRRETAPLG LRVITVELAMVMTAMLRGENPYRADLPEAQRSPHFSRWYSTIAPRYRNDLVELSKKAM PASKAAKQIIDAIDNEGSLKIWIGTMAWIFRWMWPYLSTARQDKINRDLLHVNMLKTK AD
I206_06809	MADFASVFNAVPPVTRSLLIATAVVTFPCLLGIVSPAMVALVWP KVIGRFEIWRPITSFFFGGSGFPLLYDFFLIYRNSSAMERDVYRNNTAEYAWLHIMLG LFITIFNSLVGLPFLFRPLLHAQTYVWCRANPTLKVSIFGLLTIPTSLYPPALIVLDL LTGGPMKALGGVLGLLSGHLWWFIATYLPTFAPTHLRRPNPLAPPIWFCRLFGQPPAQ RTGYKSFTPEARSTATAATDPVAAVRHRWGGGQRLGGTSL
I206_06810	MIDVERPSEYERLDSEESEIPHEFRHLRNLRSPFACRAPSEGWE AMDGDDVTRSPVEYTLIFPVDLKQSQVLLGLKRRGMGYNLYNGFGGKVEKGETVWEGA IRELQEETGLIANDDDFYYKGCLYSSRPLSPPPSTETCIIKIHFFACVAWRGQAVTTE EMIPEWFPLPSLDSPELHRIPVDQMVSTLLTNPSRCTSY
I206_06811	MADDPSKAVTDDSTATAILRQKRSPNRLMVDESPQEDNSVAILH PNTMETLGLFRGDTIIVRGKRRRDTVLICLSQDDIEEGKIAMNKVARGNCAVKLADLV HVSPANDIKYGKRIHVLPFADSIEGLSGNLFDVYLRPYFLEAYRPVRKGDVFQVRGGM RTVDFKVVEVDPSPYCIVASDTVIHTEGDPIDREAEEANLNDVGYDDLGGCRKQLAQI RELVELPLRHPQLFKAIGIKPPRGILMFGPPGTGKTLMARAVANETGAFFFLINGPEI MSKMAGESESNLRKAFEEAEKNSPSIIFIDEIDSIAPKREKAQGEVERRVVSQLLTLM DGLKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIPDPTGRLEILRIHTKNMKL ADDVDLEQIAADTHGYVGADMASLCSEAAMQQIREKMDLIDLDEETIDAEVLDSLGVT MENFRFALGVNNPSALRETVVEIPTTTWDDIGGLDKVKRELQETVQYPVEHPEKFLKY GMSPSKGVLFYGPPGTGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVRDVFD KARAAAPCVMFFDELDSIAKSRGGSGGDGGGASDRVLNQILTEMDGMNAKKNVFIIGA TNRPDQIDSALLRPGRLDQLIYIPLPDETSRLSILKATLRKSPIDPGVDLNFLAKSTA GFSGADLTEICQRAAKLAIRASIEVDVRKERERRDKAEAEGGDVDLMDADNDEDEVPA ITVDHFEEAMRFARRSVSDADIRRYEMFSTTLQQSRSFGNNFKFPESGQAQEGGASFQ NEADDDE
I206_06812	MRVEALIPVIAFMAAQGASAAPVVQNQENLDKRWCLLGLIGTSC GSSNAVTSSAAVSSAVKTSTSVVASSSAKASIASSVTASSAKASVASSVSASKAPTTV ITTAAATSTASWSSCKDFDWTTWTGYSWGGFSVDYVKAWYLDTYGLHPPPNMDWNQVS SLVSKFGQKTTASGAKATSVYQHGCSNPWTATASQATSTAKVTTTAAASASTSKAASS APLSSASAAASKSSATSVVSSVASASASASKSSVASSAAVVSSSASASTAASSAPAAS SAAVSVAPVVSSASASASTAASSAPAASSASVSVAPVVSSASPSIASVVSSAVVSSSV SPMASPASSSVSSVASPAASSVASPAVSSAASAVASPASSSSVADSAASASASASSSA DAGTATAGPGTGSGSATATGSASPSATSSVSYNGFTAGGCVQEVSGRLLAKVQTSSSN MTIEQCTSLCGNYGYAVAGVEYGDECYCGTQDDIANALVSGQCVMPCAGDSTEICGGP NALNIFINPDLQPATINLPTGWTTYGVVAEGTTGRALTYTLWSDPSNTIESCANGCAA LGYTISGTEYSSECYCGNSFSNGGGALQDSSAAFMACSGNLAEMCGGPSLLSVVTSIS GTIPSS
I206_06813	MHPATLLVLSLVSSFSIAVRGAETVGCLDKVTVIEHDQGLGMSG NDDNAVTTLTQSLTRSECHANIAATLRRSCNLSNSDKGPGLSELEKRGGRSLRKKEPE AHSPHKVAISFTLCSMQSAVQQIPIECEHWQPTSAERKGRELDPSMHQDQQTLCLGPQ EWSAYNIYLTQLCHFLESRRKSDLAYKQYLDATKEQTELLRLMKERERAQLLRDQDWQ KHLQDQAAAQQKIKDDLEVSVEVREDLQSTLKKLEVERAAVWERIEADMKDRLFEADS RFEAITFDLRDAWKSDFSANLAEILKNYEVAVKGRANQLDLAMSDWGHRAEHHFEAIF SFTTEQISSLQELWGSMQQWTNSANQELEVLDKGFMQLRQALAATMDMSYNLSWVQAE ITENMYRAAGQAELLINKQDRLENSLSRSLDLVEKRFKLSAQWNPFGSLSLFSGPSTF SVTALGQSGSQILFWILQLIGQLIYSMISAACFLFIIFRTRFRKTMLQLSGGFWSAPV DEEEVIADVRPKARRIYPWADLASPHGDSVDKRFDRKMNRSVSVPI
I206_06814	MQDNCPHRVDEINGDHAPVDSFDGPSASNDRAYVKQSEPSAGKE RQAKQQRRKRSTPSKPDFWVSRKWAILIVAALACWSFYVVVGKMCTPMIRQQSTSGLG LSVGVGALVGFVIVWLLMIWTYLKMVITGPGMAPQHVPKSTPPGPSDYRLDNLSPPPI PSVPTTFAAEERDPSEFAPIGNLIHETLTTHRVSSDSGTEAPLKGSKVKDWRTIDRPL PPLPPPVRWCQYCEITKPPRTHHCRHCGVCILQFDHHCLWIGQCVGFANHKFFIIFTF WGAIFCIYTFVFLVVSSTRSSGTDGQVVAIIILSALFGLFTFAMCLSHVHLIVTGRTT VESFASRDQHEAESRILQQEYGYFFHNNERRKVQKKWKEEWGNTPVDARWVFGTKLEM WEQEMGKSRLGWILPIGKPLGDGIHYENNPRFGPNGEWLKKKDWPKDLQT
I206_06815	MGNFGFAEFESSRDAEDVIKDFNNRPFMGENLVVQEPRESRKRD TYEARPPRNSGPPRKGVRIAVIGIPGSTSWQDLKDYGRLGGNNIIYADVDRYNPGQGI IEYPTLEEAEEAVKRLAGVDINGSPVTLEINPAGADDARRGDSDRRPPPRDFDDRRSY NRGGYDDRRAPRDYDRRDDRRDDRRNDRDYGRRDDRGDRGYDRRDTRRDDRRDGGDSY APRDRSPRRDNDAPRREYDERAPLPDRE
I206_06816	MSTSPSAAAAFGGYTSLVNSSTDSRDKELVIREVTQDIVTFSLP FTRGGVVPIGGRSTAIRIARPSKPTVTETGIQPAPQTSDSAGSQVLVYASTPLTAATK EVLKALGDVKWLVTPDGEHTMFIEEYVEHYPAAQAIGVERCKEKKPNISWAGIFGQKD DGESKKYGFEPEVSLHQVSAHVNHELTAIHHPSGTFIQADLLFNLPPTEQFSRVGGVP TLFKWLGGGKSMSPGGIVHDLMANAISKDKVLLKKELQPILAAKWDRIIPCHGDVIET GGRVAWEKIWAKYE
I206_06817	MSGGTAAVPVHCRWDFCTETFLTFLEWETHFMVEHIAYARPIDL AGRRLVKRKEQGQWELVDTAPRPISILPLNPHPSQTTGDITTTTHTLSFPMPPSFHSL PDPPPTMPVALPSGNQGLLGIESNPSDKHAQDEENDKRLYQSFLRSPSPVPGQSRSIS QSGSTSGSMQPPPPGQRSKTPPWTPRHTHPSVTSPHILHSAPDFDTANPTPPVTQPTS SVPLFTSPFRPSQEYAQSHSTPDHSGSSASDHSLRQRADSAGSTAGTNIRFGAVLVGG ETSSLYGESPSRSGSKSGGGVGFDWAGGT
I206_06818	MGGNAFGVPAQRLSHAQYSILKTHVHEALSPLFDQILTPRNLSS KNDHGDLDVLAQYSQALPYGDEEYIPAPDPLLLEVINNLALKPALAPRTVLKELRGSN SGGEFKVWGTGKMDNVKDEDVDRVRNLCGQIRESIGATAWRRRGSEVSFKVPCYMIDR QHEGSDQFFQVDVIFVRPENIHFHYMMSSYSSTGLLLGRLVRHYSKNLTLHLTHLIIR HSPYPGISPIDVTLTSSPEEFCKWFGLDYQAWQDAGENGWAEIEDLWKWLTNVSDDSI AAQAIRKITYRRNRPSINDELAGKRKKRSEFADGLYDWLNLQPKWTSEVSEENQEAQI VCPEPSIPSPADQGVKEEDLSVSDQKVMISSTSESKSPAPAISDIQLGKLEMSRLHLD LRSTAALEYWGKQEKYDQVLDERKAAADLLAGRQKERVEKKEEDQKTEETQVNNE
I206_06819	MVTLVHYRLKVTLNDGRSLVGQMLAYDKHMNFVLAECEEFRTVK GKKAKGATKGEPAPSVQQKRTLGLVILRGETIVSVSVEGPPPVQKDEGALLAGPGKGL PAGRGMPLGAGAPGAMAARPMAYARPPPGFPPGMPGLPPGMPPGFPGGAPGLPPGMPP PAGMPPGFRPPGFPGAPPSGLPFPPGQMPPG
I206_06820	MSSTAMMSPQLNSSATILDPPPSTSRPQRSTRNSVNYSEKAPDY SSASPIISTPAAMSTRSRRSISSSLSAAERSPDKCAATSGRRRLPSPLFNDVNNVAAL GLVFGDEISTEEDPVNELPVPAIEEGEDEVENGILDEADDLSPEEEEEMEELEELEKN DSVWLAILNKSKSPEVDSNAQSNTRVTRQRTLSPEKKALEEPAKKSIIRLVFGKKRKA EEDSDQTEREETPIDPVTTSETPAPEENKPDDVTDDLKEDRGRRLPRKKRKWLKKGEV DPDDPVAVARQKERHRLIDEAIDDLNKQEEMLLDNAHPQLLMLWEELERRRGLQLAWL EARQHATAEDLGRLRDHERSVAQSDFRVKREDLATALVAENRHKIARTAAERTALKRL PGSMPSLRNGRGGGGWPVSTAGLLSLGEQRLLPVTRGADPFERREISRKLQPLTSAEA KADLEKLEAVKNKRQHRSSTPPNGQLRHLVHQPHQSRAHSAHQRQGTNAPRDERSQQA MSIPKRQQPSQTPAYASPGLWDPSAYRRPPTSSAAPRPRSPEHLSRHPERPLPGYGHP RHEIPSLAYDIRHKPVNEQHKMSSSSSSFNSLPAKHHLPTPQSLGPPPRINAYDPFGR RNLGSGFGFPTFSNSPRV
I206_06821	MVADPFSAASKPFVPPDSLPSRPLQFAATIDDPSSTLHKLPRPK LHKEQVPSSFPTPIYSHSRQASSASINKNGQLSASFDLLGFGSPSPNRAKEIGSDEWM TDMVAKCVDAAKGDLTITGLGLTSLSSKISELRDLVTLPNTSSPKPRLSHGPPRSPLN SPRYPADLSLSPGTNHLSANARSFTRSSSAPASSSFFSNFNQNPYIRTPSGLGTIVQN PTSPTATEVSEEAGHNASFRTPNGNSTRTVLLASPDVSPPLRSGLGSPLPSDHRKRSF GRSKTGAVNLSTSKAMDIAIYAGQNSLTSLPSALFEISNLTVLSLRGNKLTALPAAIG GLRHLKELNIGLNHLTELPSTILNLNLELFTASSNNFLKRPSSDERFSELQRHHEHSV PRLTNICMNKLISPRLPNDLPPLLDMFEWDNPQKGIPHPLLDAEAMHEIIPSHSIRDL GRILQALRSSSVHYKYRRATGGNRSIDSSVDPFPRSHSPSPPDDAALNPYYYPCPSPR HLEIDAATQHRPSRHLFLHAAEERIEWREICDTKDIPIRWKGCSPGCLHFLNDEEDEE EWTIDSD
I206_06822	MTTETGGIIYKAFAPTIKMMICIGLGVVLTKRCNFQPMNAKGVS ILSLNISLPLLIFGSMVSAFTSDNIKAFGPLIMVAIIYQFIGLLFALITRELFYVPKD FQHGILVMGMLSNWGNLPTAVVQTLAKGSPFDPDTDVELGVAYIAVFVLVMTVTLFPL GMHKMCAWDFREDNLLRPDPLPIKDRWVKRFQSLRSTSHRSKKKNDDEEQGEKRSESD ETLHAPKSVPGIDPGPGSISKRPTSSEAVDDTEESGDIVSPNLGYRARFAGGADMSRK KSRASSFHSMMESTRPIPPTAPLEASGIAEPCQDPSFSSNTLAPVCSHHGGETYNYHH LATPAPSIHTPKKPLKQRIWKWLEPFMTPFMAAIVFGIVCSVVKPIKALLVPVDGWSV SRIPNAPNDEPPLSFIMDTATFLGGVSIPGGLVLLGASFGRLKLPKKWSDIPFAAITA MTVFKMVITPLIGIFFIEGLRDHTTLYPREDKMRIFVAILLSGTPSSVNQLVITQLYN PNGTADTLSTFLALQYIMMPILSTALAAIALYVVK
I206_06823	MFTTHSDMHFKNPGTSPSLIRRPDNHQRDNARPVPKPKKGDFEE WFESKDNEINLDQFKRLNIDKNIKDKQPYEYIEPGWKQPRFKPSEAYDKALAKERMLA PQIGGRSKKAQLGSIEESWGNGPRVPWLGEDEERKGMFELDEDPPSRNVSPFIESEEN EDPMEASAVEEEDKNSQVEIERKRQELLVNARRGTTYEFSENMKDFFGGGGITYHERQ RQQMEDEEKYANDDITPHYNYPDERRRYHGEPVVPFLLQYSQQDDDLFSRWIKENRKM VLEQSTNKGQTGEPHHYFHRDCLSPKDSYKSSDNGNNASSEQHQRSAQKLLSPLAERR AAMSPVPGNRTKDIVAPLSAGHSPSFRSARASDQAEGPLQSKHNQDVKSSVQYRQSAE YKAWLRRADIERRRRK
I206_06824	MSALHSAITSITQNIPGFLRQPAEALIGEQCYDVLVYNFDITHV ECLKYALSKGLGLGIVLGGGIVKIPQILKIVGSGSARGLSLSAYILETTSYAINLAYA SRNRFPFSTYGENFFLTIQNVIITLLILFFTSPRGAAGGGLGGPLTGKQNSGNLGKVI TGLVITIVTGVVLWSENLCPPGMLALLQAATLPLSLISKAPQIISNHKHKSTGNLSAF AVFNALLGCLARLFTTKQEVDDPLIFWGFAGAALLNAVLALQMITYWKNTGGSGIGDD LQNAINRRHSAEERGTSLSSPEKYGISDNAAAPGKRWARKLD
I206_06825	MTTIKTEGFKVPGVDNGSNRPSMHRPFSDGSMTVETNLLTSLMS GSPHQMSMNMVSPYSFSSSLPAQTHTFDYSMNSPLSTSADRFHPAAIFNAIKFGVDNP EPPINFGNEDNQSQDERSRSQSSSRSSHIGKAPSSRSRTARKSMNDVRPPSGSIQPRG RTNVTGRAQSFSGAAQMGITNTIHEIKPMGLGIGLDTHVEGEQTDSISPPDFGLSGNF GMSIPHNENDNVSWGSGSVPSMVPGSLGSFGETVDDAVIDSPMTPAKPLHLLSDESYK KQRRRECHNQVEKRRREHINAKIEELSQLLPPAYNLPNDEEAIEDDDEEEGKPQLTAG GKKKKTKRTGSTTTKAALKDAVQCKGRILSQSVQYIRDLKHLTDLQSGRIAHLENMLL TYGVNTTFQPTPMANPQSSLFWMNDNNLPNTLNQQPTFDLSGAHNLEAMRPSPELERQ FSFDHMNLDARNWGNSMMNGNGQTHELAHDILMSFEPSPQNTTSSTSANNIRRSSESV SSSASFDRDNTEALELQSPLSMNMSISDMRGRQRERTVRKDSQAELQMSMSALLSQGR DESDGGMRW
I206_06826	MRSLVFLPFLPLILSSAIETRKKGDGGYPTNPSVTIHPYNSPYY EDGIVITGVQDEDNKVDKYYGIPYAEPPVGFLRWRRPIPYNYSDPEVDARHPAPSCLQ DISQGEAGPAGTSEDCLFLNVYKPSDHWDTDDPLPVIVYLHSGGWQYGSGIVHDPTGL VSYSQTLNKPVVFVTLNYRLGVLGWPNGPAFDHARAGNLGMRDVIRALEWVQENIRGF GGDRHKVTLHGHSAGAITISHLYFDTEQSLFNAAIMSSGAPSSVPIGLTEKTWLGPYE QLLNITKCDNVTFGTEVGCLRNVSAADIFAAQQIILSNPNYTSSFVYGPSVDSDLIPD QPWKLLEKGIIAPIPFIIGQTKDEATGATPVNITQATLVEDFDKLYPVSPPANFTTNL TTLYPPNPVRGAPFGTGNATFGLDPTYKQFAALLTDARHTAPRRHMLRQANEYFYNRT WTYTFDYVKGNASTDRYGAVHLSDLPYIFGDNNNNSWTQPEKDLSKLIQGYWLNFTYF ANPNGPNASNPFIYPDPYVNTTAPVVPPAPGTPVNTTYWTEHDLLIGRKDILKLIAGN ATLVQDNYREGSNSYLNGHPVELGY
I206_06827	MKGDRRIRRLRNLLFFLTLLSILYYTYSPFRHTVLAGVRCARLM RAVLMDVWDYKQVFAAEEKLGEPGRELNEEEKQIRRKARKDCHKRSANRLLEALKKNS GIYVKLGQHVAAVQVLPKEWTQTMTPLQDQCFPSPLEDIDKMLKEDLGLGINDLFEDF QPNPIGVASLAQVHRAIDKQTGRNIAVKVQHADLKEFAKIDMQTVNFAIHFVKYIFPE FEFSWLGEEMNHMLPLEMDFTNEALNSKRCKDEFKHLEGKTSLYLPEVLWAEKRCMVM EFIEGARVDDLVYLKNHGIDRNQVSQELSRIFSQMVYLNGNFHADPHHGNLLIRPKAK TSTSPFNFDVCLLDHGQYFDIPDDLRVNYAHFWLSLIKKSSKKTTEERRYYAKLVGNI DEDMYPFLESAITGQINMADENNDPSYGDGVRPTSLLDLGKGSMDDNQVGKLRAAMME RDDLLVSIFELLRTVPKRLLMILKLSDLQRSLDQSLATTHGQSRIFVIVARYCSKAVW QDEIHTIRQSLLQNGISFSIFRRLISSLWDYTYWNTTLGIVEYGMDMKARFVKISLWV HGLGKGGLKEAEDEAAGLRTKREYGKTALGLS
I206_06828	MPYLGLRGNKLPVAISLTAGMGWILFGLDDAILGAVITTTAFER EFNLSTSMQGTVTSLFELGCFVGALLVALFGERFPRKALLYIYTIPMIIGSVIQVAAQ NTGMLIAGRIIAGIGLGGITSTLPSWQNETSPAKLRGTVICTSLSFLIVGQLIAYWAG YGLLQQYPTQNITWRLLFSLQTISVSIMAFMVLFMPESPRWLIAHGKYDQARSVVSCL LDRPEDDSLVNEQIEDMTRAITFEQEHGATWTDLFTIRHNDDGPREKRRVFTLMSLPI AQPFSGSTVLSFYLTTIFEGSVGLSEHLSTLMSGFLQVWFLIASFLTWFLIERAGRRN CFIVTAICMGVTMVVLAAMVKVNTKASGYVATVCLFLYQSFFTWGFMAGKHEYSNEIL STTYRSKGGGLCVALQWLFDFVILQVTPIGIDQIGWGIFLVFAVFNFAYAPLVWLFCP ETAGVPLESIDAMYLPGVDPVKESKRIRKLMKAQDNIRYVNGAEGGKNERHELGRITS LMGENKPEERRIESFDQPNNNNNRMATLD
I206_06829	MTTSSRFSVERILAELGLTSLWTTGPDSWLVLMSRTTRMFAYGS NGIFIALYFSALGFSDFRIGAFMTLTLAGDVILTVILTLVADRIGRRNILLFGSIMMI LSGLIFIYFENYWILLLASVVGIISATGGDFGPFRAIEESILSTTTSKSSKTRSDVLS WYVVTSALGSAIGTELSGRVVNWLSHKEGWNNTRAYHTMFWLYVINGTIGAICIYLMS DKTEVSTKRGNSIEDGQAEEAEGLLEDDNNGNREGGSTVNQIPLSSIQTSSIPERPTS SNGVPPALPKSGWKSKLAQISHPTRKIMYKLWFLLAVDSLADGMVGYALTTYYLAQKF GLSNGTLGDITSISYFLAAISSIAAGPLANHLGLINTMVFTHIPSSAAVLLFPAPNSL VMTIILFFIRTGLNNMDQAPRAAFIAAVVPTDELTAVNGITSMLRTLASTTGPTITGW LAGGDRFWIAYVAAGSLRLAYDFGLWALFVGMKVNQHGETG
I206_06830	MVVVVEEVQDESAPEVSQNLGEGERESDYETDSEVSSTFSEDLE DDFNPDDETYYERLVALKDIVPPQTRSILYSKYQTTASWASWTIQSTGTLAWWISTSA LLVGLPLALAIEDETRVVQQEREMQMQSQGQQQLLGGPQQGQPQGVLPPGF
I206_06831	MLQHPSQSYLNQGSGGQGGQSNGNVPWGGPFPSLHLWPLQDTFV MKMIHLPDGQRIKIGRQTNTKTVPGERNAYFDSKVLSRLHAEIWEQGGKIFIKDVRSS NGTFINGERLSPESVESEPFELKNEDQVEFGIDIVSEDNRTIVHHKVAAKVYCVFGPE DAALIRRMPPQQNGHANNQLSQMGPSIMSSGGKSSGLSFDHVLTKLQNELRASKETGA ELQTLATTFTDIQDTLGGGLPPSQNGSATQYIPPQFRSASAEAQAALAGPHGQQAAAF IALQNQLTETQASLGSQLEKIRLLEGQLKEHESIQSEISTMKEQIEESKREVSLLLAS GGSILGGSHRGRQLTRRNEDDDDDDDDARSVMTMMDNEEAEQRVRDRRRIERDSPESR RDRDRTNGVDRPRTPEPTNVNGDRDSDEDQLSASSSSSSSYPNGINTISSTRETEIIE QNAELVGKIATLSAEIQEAVTLSQTLQSQHSEAMSAVRLLTERVGVLENGIASKVAEE VEKAESKWESWKVKFEEGWRKERESWDSERERLRGVVREWEEASRRAHEEEEERELNE SLSEDESEEEEDGNEDESNQILSLDGWKGGNDATSSNSSKLPGLNGNNPGSRKSRRRK SSQKAILAVQALKDLSDPISSSSSNDKDNNNGSSTPKSSTTHILPSVNGNSSSSGLNN GGGSIRIKNRKRGQSKRSHNLARSGLSRTIQLDEKEKEHSNSSESGRESVSTLKDSDE TDVAKTSNGKTKSKEIEGQVIRPVPVFTVLVVLVAAGVYWHKFHKD
I206_06832	MATAFDRRRIPAPEVSIPPIYEPLDEEPEAGPSKRVDRGNEEAR PIFLKTGLISQANGSGYIESGGVKIACSVYGPRPKAPPYTPQGTLNLEIKFAPFASDP RRAPLRDTEPIHLSQLLTQSLIPAIQLEQFPKSSIDIYLLILESDSTSNVISSGLTVA SSAIADAGIPMNGLSTGSIVISNKNGQILVDPEENEEKDQSGKLLIGVLPALGKLTNL YMEGELEIDQAIQMIEQAITASRDTHTVLAQSLLEGAQERGLSGEDD
I206_06833	MASTNTAGGYDSTMQGGYQIDFDAMLWQSLGSNPSKTTWWNVFA LVLQSLIVSSIVTKTFQYFEYFQKSDNSLYLGFVGLGCSVSIAALGLTCAQTYKLVYH AATEFHTIYRFLYMVDQTILLIGAFFNASAAIYYAHRAWSMANKKRWLLPPLAIVVIA PFISAFVVVIKGYKLPALLMENLPSLPGYFEDYIHSSRVWGALTLAMDATLCLSLTTL LLRSKDSIFHNETRLLHNLFALMYESMLPPVILLLILESADQVAGSPTSDWRKFVITC VPTLYFHSVLSALVGRQTIRGLLHNKLKKEGGEISLLSSGKSSGGISKAFTNHTYPST DLINPKLVEEGNGSYKEMKSDIENGSQVSMIGPMVKVRIEHNSNISEPDNYAINHPHL SPTGEYSTANRDSLQGRFP
I206_06834	MRPPPLPSPKADVGGGSSDGGAKPATGSVKQVNGTKAEKERTGR QSFSCAECRRLKLKCSREWPCSSCEKRGCAQICPNGEMRTGKGKRLILADTAELHQRI SLLEVALAQSHAKHSSTPHPLLKSPYLFSPRDSNTQIYPRPNIKVEGQLSPVGDELVE GAFGTLTIGEEGQAKFVGSFAGSEYLREEIESSEEGSSPSMVSNVLLQTPSNMEHNGG YQHPLITPPATANAESNRVQLGTDHHDDGLGLHPDLFAGGDNQAHSLDQLRMELPDYN NEGKLLIESYWENVNWQYQPIPKAMFDNDHLVTSYDTETSPNAHKMACVFLVMAIGAM FDLNRPPFHPRGEHLFRLGRSCINVIGLEHSSPATIQALHLMGTYILNDKRGNGAELF WPIIGTAVKIAQSLGLHRDGASFGLSPYEVEERRQVWWELVTYDRLQALCFGRPCATS NKWSDTKIPEGSDSMGDEDGFHRAKYTLISMMERVIDIQTQASLTSYQAIIQLDSELR EFKSTLPEQLLPTVAIQDLPLDSSVNPHLVIHRLGIRLQIAQMRLLLNRPLFAKALQE NPDDPSRSKLGQSFVALFESAQEIVQLVKILVIYHPSLVARWWFFWFHAFSSAVCLAA VVIQAPSCAFASPSFHCMSIVCDISHAAREGCRAKKGLPILLRLRRRAHEALSAATKS RKIAATNPAPEEDDLSHLAGSIKLRRIQGSSHKRSSSSPSHPGTGPNGETTPSPQSGS SVATSTTLAEPFMHEGIVPTFPGNVLIPSQPYPIHQPMHTPSTWVSDDPIHQYINSSV SPATSYNTNRNGFLVDISQAHQFPQMQGQEQSPLNNYVDQRASIGSGSVNGHSVIYGN GSSPECVDMDMSMALGMRYPLNGGIPLEMNTSIVINMNDQDLNHGQYQSSKGPEDFQL QNGYTNHSPDANPDGNGNQRLQGNGNVMFSNPNGNEGEMFGFNFEDFVNQMSG
I206_06835	MPNKRNADFSGGSKYFTRSTKESSASSNRNGSCQGNTSNLKKKD RRSKLNSEFSEDYNDSQEARPDESERETHQDETLESSYTSKGRKATKLSKSVIELIRP VPTRRSLRLVHQESMDITPPNYAESSSSEEDVEPECPDESDEEVQLNEEEVKLGLTER SSTSDLTEILSLSSHIAQQDNSNSAKSFKRNLAGHSTSKGRSTPASLPEGAEEASMSR NAVETISPTSSHPLAEAHLSESSRRNNVDDQMFSGGRTYLIAKNPKYKSRTHDENNEI SEEFDNLPSGTPSEIAMLQTTARQESQEDFKWADDGSDLTSLSGRSQHEDCQSGCCDH LSQVYGDERDDSERLRWPKMMKHDVPCDPGWLASDHEEETENCTHESLSEYESRDLAG TPPPFEDDVEEDVIEFGVYSQDHKTVSETQEISSETFEREEKNDNPPGLEKENGITPD VAHLRLDTLQLLEESVARVALPDDSLVPILVNQRDNSIQESNCEGDTTSIREAKERPV LPDEVTWDQGTGKHEVGPSLQDSDEMDVDQQLTVESELGNEVGTSRCMTSLEQLEEVT RTSHTGLLYSTEHPLRKPQEHEVKEIDFMRNQSSLIATPSQSTLHTEAQTVVLPAIQV TSPQGTTRVFPDIHDLSLQHNIPLPEVPKSDSENPILSTDSAFQAKAQDFPLINTENL DLLALVAFHEARQARIQPGDGPTYWSDIVGTTPYEPINRNFSFDQMGSTKYGPGSQRK ASCDTQIIELSSDSDCPSSYNNRGRDQQSKQAQNIRGGRGGRRGRARGIRGAARGTAR GQANSKKPVQEEQEEEEEEVQQRLYRREHEEHEEGEERSHRSQSY
I206_06836	MSPNPIPNRLNAPSSSHSSPKSYRSRPSPAGSPQSGQPTSPRAS PKAGSSGTIDIDAVLRANGGDVRKALEVVLSERNTLQAQNTQLWRLIEKQRSQCATLA SDNDRLRQDREKANDKLTNNGLEPIPSHKRIPNSSSAVGLGLKAEHPQIRRHNSDKDE TPTKASVSKQQSNNPETLAPNSPGRQQPTSGLLPSPIPDKKIRRESKMVFPPEVSTFM TLADSPRQETHTTPSVTPSNSFNTLSPASQYSASPSVNGKEEVGVVPPPSSRALANST QNPEGTRSNEYLASIPERPQPRFSSRSPVPDREITNSPLQSMSDAISTTMSLEEPVRS SFESSLHRKSHDTTPRPSLEPQAEASHSQSSLSDQSRPRMDPALLPYSRITIPNSTVF PNSSGRDVLCFIVAITARPPNAQPITWNVAKLFSAFLDLETKIKAKSGKRTKEWKQMV APLPEGRAWKDFAPSKIDQRKSALETYLQSLLVAPISDKSDFCNFLSSDHVRAKAETA RKEGYLTKKGKNFGGWKTRYFVLDGPVMEYYEQRGGSHLGSISITNAKIGRQNRPAES TDERNFRHAFLIIENTKRGATNQHVLCAESDSERDSWIELLVRYVGPETPANPAPQPP VSTSNSSLGSGLMRKRSQTRKGSKDVVVTAAQPMPSLGSDSKFGGAPSPSLINSMESQ KAIHSSQSTSSQTSNPSISTSSSGMPQTPTQDSRPSVSQPIKFSPNSSSSHGSAPMTM VTSPSSDMLSSSPPTMSTDPTPRANKRQSMMPGKPSYSPAYLTSLSNQGLNAPPGLIA EKERDRKAKSRGFWGFGKTPEKISRPVFAVPLTESIAIASVANLPAIVFRCIEWLEAK KAEQEEGIYRLSGSSAVIKGLKDRFDAEGDVNLVAVDEYWDPHAVAGLLKTFLRELPT SLLTRELHARFLAVMDLIESSARVLELSRLVSELPPPNYALLRALTAHLILIVKNATL NKMTLRNIGIVFSPTLGIPAGIFSELVSHFSAIFDDATSEELVDEAPAKGEADVEETI KRKRNSMLYQAGGADAMLGLIGRALDAANEDSASELSEDIDSDLQSIASSDNLSSQAH AQLTPRPGTTNNEDYPSAAAARKAKAASRGLAVDITNGHQSNEIGSHSSSGSGGRTPG LPVSPKPLKNVPSPRAERELSVL
I206_06837	MSFDYVRKIVSGKKARFIDPENAANLDLVYVTDRIIIMGYPAVG VAGLYRNRRRDVLKFINSRHGEKWWIWNLCPLYENAYSPESMHGRVSRYPFPDHHPPP LPLLPLAVREMTAWLEGDSERVAIIHCKAGKGRSGTLLCSYLLSLPDLPPPPQLDRSY THKDLQKRLEDRQKEKAESLSESVPTTTLQAEGEREGWVYVGSGKDITGVQMTDSEIP ETERSNTPAKSEKIDVQPVKRTKSTSSSTTTLSDSSSTSSVNIQIHTDPPYPIDIGDE AAPGSTGQIDRKDGRVDEVFQLHSSRRMKPTSSGRGVSIPSQRRWCRYIHLLFNNQAP PSYTSPKPSRVRLTSITLLLHPPTGWQKPLASLVVGSGGTGQGKAWASVARYDDEYVD ELKTRGGTGQGVAGQISWGGVGGEGTFDTHKMFRSCGKMVSVDGDIGESVIKSLPENH RNYVVHHLTPSHGSLILDRSREFRLKFHLASVPLGWTWLIPSFHLPEPPIKSFNETIN QLRTHTLNFPKSQIDFPLGPGQAIHQILVKLEEVPENEKEETAKLMSDEEERKEGVDE TKGDKVIEDGE
I206_06838	MGKVVHIVLWKLKGNQEIAKQAISALYTVPGAEVFKLGPPLLDG RAKGFNWGLYSVFSSPDALQKYAVSEAHVKVVENNVKPNVEDLIAYDFELDE
I206_06839	MVRTIREPNATTPHALRVSTSSATSSRQISGQSPSQPIFMRTKP SYNSSPHLTDGGTLKKRTVLGERVENVIINLPHLSTVKSKSKLQARSKPSLIPKATHT GLARFVAKSPLPTSNNMIPSSSPIYDSPNSSFLSKPGSTPYLPRHSIPLQNNEDDTLL LNMRPPDMDITDESDVEGPRGGVLGRGGLMTPANSQEVSFGSPQRVVQAKQPAASSGT MRYTGSRLPTPPSSQLGSCPTAHPPTPQAGPSKSRVRARPSPTPPRRISADPSQVMNL GDVTAEWDTPLRLGDDISPNPRRKKSPRISQPGQTPTKAKSRSPEVRSIVEVVIPLRA RSKTPSKTAESNTPATAKPKKGRVSTRTPNSAVTQLSGSIEKRSSSGGSTTRRSIDQS HKAQTPAPNRLARSSSKVPSTAPSKSSRRKSTISLGSKNPRKRSSPTSQSASSRRSSL PSDTYANTRTPAPKARGRKSLAALIQTPKDIALSGRKLGTLPRPIHGSPGEDPLLLKA TKSQRKIRGLRDGQGLALDLDDDSSSSSDTISKVAESHKDIPESSPLRIPENDLTLLA MSSNGYMDLGGAWSDDGSDMDVGDDTFIHVRQRKENSRSLSRLGESVLEESEEDFEDQ RGDAVTEVLHHSPLLPSRSAIRSSSPPSPPEQNEQTISNVEQAESVSLPEVTPPHVKP VASSTEVNTMEETGDETEDIQPEDWDISEESIAVPFDHTRDIHPGKFASEEAINSTQE LHEHQSTAPAYNTVVDRDSSLINQSDKTISRIAASQVKEHVSVEATKGLSAAVEVPQE VNDHSHIFTSSTGLTLDDYAETDEGDVTQEIVQEDWEVSQEDIQSSGETDFLAPNNLE EEESLSLVEPGLIESKILDDRTVHEGDDIQDNVVGDSEIVQHEKEIQEEPLPLSSPLP QADDEEENQNQLEPVTKTIQDIEPDLDARTTTQEDHHKNFASSLDDIPRVLPPLVPST CDEITHDAEAEGSSLQSLTYEIMTEYDSRSPSRTSSSHTPQLSESEEIGDITQDMDTL DWEVSEEDIQPQIEELDVNVRSLAQTEENVSSVGPLLPGQEREDSGVQPEQDARKVDP AQMKHSHPLESADNQSGMGLSYNSDEILDDTIEYTGDVENADIDTSVASPAQEDHEQR YHHEEDPTDSGKDDQVPPVDRSHGNSQQENDIGDAIEPEMSRSIENNESNDSTTPTIP SITLPVEGHNDNDDEITSQPSRSDRTPSPAPRIDSVGRSTTPLFSPPASTKKPFVLIH HRGDLTFTPQPSFSYTPVRSPSPLPPPTPVFTAEERGYKVLEEADRALRRLSKLRSLS PLPSTAESSAFSTFSVLPWISDRPAPEENVYHDTSASKETKGEYDASTSSNVNEEDDE PQRAEEEESTAEDVTVEVENSAWDITAEEYEVPLKLGADDNQDFGNDQDANLAESQHD MEEEEEDVQAEEQHDSPEPIEVPERIVLKLVEKGIIKLEPESDSEEVDTEGVPLETEQ STSIQDNPLAFDQASSSSPLKTHSPLPAVLAGPSTPSIYPTLPPAESSTPKIAPPPAL RYGPNEVSTSTSHRNLGSERLLHDPKYKSKLSKEVVPSSSPSDMPITSSPESSSTDQS IQSNNHHDLVDEEQDQSIIVRKPRRSLYDELTAVATEAEESFEGDQSFKSVVEVSSLD PKAAARAAAILKLNHAYIEHGQLSKSSSKVLAISSSSRRSVIEIEKEEEKRELLHEAE LEIVESHRRSRSRSRSMSRLPLPQSTPVSGLNRQREMSVMSFMTEDYPVPGAFVETPK SIKRKRMVSTHSQQGSSREEASDRNHTGKENWSVNEWKKLEKVYRQEKESWLKERDIK NLPGGLVGWARRSTFKNSTSKPVIDWDLKKVVEKFSEDESALGKSWDKDMLLLRVQAI EKRVSKLASSTATTLSSAEIQTPLSKRAKTNATPSAEFTTSLDTPGGNKTTSTIEPPS TIRRMISFVWGKPKNKEQNQTKGNFLNKLEKSNADTSKTTLRAISVENPKGKTKEILP ISHDMREISITQSRPLHHPASSQLTPIPPPPSRSITSNFIQPSSSKTKDKMSIMTSTS LPSNINDYKGKLYPPLNPPISQRSNALSKLFSTNPLSDSNFEKNSKDLQIQKKGNGSV KSLVENFENKGIIGTSTKK
I206_06840	MPSSFLPGTSPSSSSSSMPPFGRPPVNNPSNSAKPSSANGSAIT SPNIPTSQPSAGAAGARNGSTPASTGGTGLRPSYGVMSDRDRERERERLRELAKQPYS SYSTSLPRPTDSPHHPSTGSNPSSTNTTPREERPNPYASSSTAASSGQKRTDYMASGF GGGLNRLGAGYGLFGAGVIGLREHEMREKERQERLARERERERERERELARDRERDAS RPHSIETSPTNRNANPANPYSRPSLPPSPTASRNVAASGSVPAAGKPSISPQIAPAST GGNAASATGSTPRPNLPLPGFGSLGTRSLPSPFDRDGRERSNSTTAGGPSPNPNPAQE AANAAASGHRRTPSGSSTRGNEPLSGMTTTGPKSPVKTTTQTAATARSLYGAPPSLSE AARVREAQQRSPVSRIQTAAPPRDRDSGVPPSTTNAPKPSTSNTTGPNASNSAGAGGS QNTRAPYSSYGGFGGPSYPGTFGGFGLGGFGGYGAFGPRWGDRERERETLEQREKREE ERRKRDKEAAEARLKAEKEREERDARWMKERERARAGLGMGGVTAGGTGSTSYGVEAF QPPRSNTLSTSASNANASANGQRGPTVDPFGRRQPAPTIQASNASSADKANLTRHIEV IHQPEPRHPRDARDLTNSSNNAAKATGQNTINGAEESVMQQVAPSREPRPYGYKAEPR EYQYTPRDKRPRMDAAVEDAQNAHRRTSNAKASKRRKEEDKKVLNNDIGIPSNKERDW QALTNISSKKYPEVVSGQVEQWLKSIPDLNRIISHQVYSGNGWTAARTGKVAGNEGAL IIVRIGGGFLGADWKVRGESGWDDATSTPPKKVVCGGDDLKERKIWGTDVYTDDSDLG LILIHAGWLRWSHFLPSPKTIHSDGSAKKENPRDQDIINVTIRLIPKLIRYTATERNG VTTRSWGNGHDGSSIVVERVERVALNKTYIKSSKRKSRIYEWTKQRQITAPIPQEIPR NEEDITIINDIGVEESLMFCSKISNNDHFAQVGVVYDSEALKEWLCSPLEISRTLWTH GITLMEGFDVQYKLQLSDSSSILYPLFDLIELKENKKIFKDKSFEDLHLISEGIAIRS EGNKGMLIRPKIFNWFNRDDKLSENWIKDFEDDLQIDADEEDGEVEQDDEEEEIQSHI SVDSASRDDKDVKMEMIS
I206_06841	MSNFKPIYQSSGSSRYKPPPFLYLRSFLPIIALILLFSFGLISY SLLGQFKSPANKQHLGWQSWDIIEFTTSSNSENELDLGIGNDDNYTTLSASIPLDNWD PLALHTTGLTEIAVKPCYFPPYLFPSYCAPETTPELDKLKGKWVIVERDMNLRTGLWY LNLYYRRTRRLDVELITDLRILPEPLPEEMIELENEGWYKAQGDLHSGVWPTQSESRL WYKTKSQGWNDIWKKSKKKQIDEEISPSDDVIPEDSGETSSGGVTEIQNGESSKVEYG DYITEVDIVYGDDEPFFGFERIGGDKVMEGKKGRWESVDIAIRKGNPIAPRATVPKFH KDGTFKIMQIADLHYSVGEGECRDTDKSPCVGDSDTATWLEQALIEEKPDLVVFSGDQ LNGQSTSYDSRSVLAKFAKPVIDRQIPWAAIFGNHDSEIVDDRAEQMRALQHMPYSLA RAGPKGVDGVGNYYIKLHSADASNIHIFTLYFLDSHALQKNSLPWGKVDYDFIKTSQI EWYLNVSSSIKPISRPFQPDGAWDLGDIWSNKRNKPTRLSGSRADENSKTLAKPNAMM WFHIPLPEAFNPADKAGFGEDQEELDLGVNLDGQGNSKHNGGFFYNAVKASFEVDKEN ESYRTEVKVLGHGHNHNTDRCRRTDGIWMCFDGGSSFSGYGQLGFDRRVRIYNISQYG EKIETYKRLTSGEIIDKQILVGEGAPSGWGLDQ
I206_06842	MPAIYSSPLSNEMKRFERRDLTDLIWLFLQTDPKRLISFSALAI AIEGLVLGIILAQTTRYLINFRRSDPFWAIVSIIFGAITLFAQFGMNLWQTYRLIGKA ATELLTIVVGDIRCNMTVLVFIGILNFVAAGFFGRRAWLLSKKKIWLLIPLGLGIFSS LGLSLGVAIKGYMLPSLAMNPTAKDLEKYDSWRRTDNNLIVIWAAIALTQDVIVCALM TAMLLKEKTGLQETEHGLLKLLIKLTYETMAGPVVLNIINVIVIAKQGATFADYSRLV TWVLGPVYFSSILQSLNYRRDVQRILNIAPTLRSASNDHQSTLRRAESPVLPLTSTLS TRQTSHMRYDSLTSATATDRTPTSKKNYGGTLEHEQNIELGRRGRTGTFGSMTSESGT IILGEKIVS
I206_06843	MSITPNLPQLTTTPPPADLNPPRRRFGSFNSSNPNKPNGNNRNN RPSSSSSNHSNSSSTALVPPPISVQRTRSTSSASSTGSGGNTLTNSPFIPQQSHGQQG GPPAFDIGLAADKAQQWLSTWAPRGEGRSREFLANTLNGVANVASQVSNNLNRDGFGS RSNSFASNSGQAPVIIPPTESQGSNTSTSNSPEDTRYGSAFGLSVSPSPSPGPGNTFT LPQAPSINHTTSTPALVTKKILQPANLARLGHSNSTTSAPTTNSTSALTVGIGKMSNS PNSSSIPGGLPRTTSSNLASTSTTNLHGPSHLNPNASSSSVPSGHRRNSSTASTSHRR TSSFGIATLSRSSSITKTNTNMKSAGMPYKVGFQPQGVRHDRTEEFMQARKATGEDRE REEGRLGRRWAKLVDLHFNPTVPLPTSNVPTLTRSSSSTFSISSLTGSGNDKRRSLLS IDGALDALKPKEVWKGFKNSGPGGEEGKKRAAEQAIVKWEDDNEVKKCRICQSSFSLS NRKHHCRLCGKIVCSLPPTPPALLAVQIQLFAPANPDATTTTSQGGLPPGTRREKCSL LLVADWKTGRGEEVEEGFVGWMKMDDQTPGQEQQILKNNIDSRSSRIRKGRASTNSIS SINEYEEENGNGEKRGIPLPQQPKEVQVKGCRVCRECWAVVSRKQKMQDRQRVTGFAR LYSALRGLQGDIEELMPEFENQLADLTESDNPLEPSTETLQTHKALLTLLTQYEHLSK RIGNLHCDEGSSQAVVQSAVARSAAAFLAREMVKLQTLQKLQKRAANAKRKSMRIHEL SLSDSLNGSLDGSGSTTPTSELEREVEDIAVILQPLLEQEAQLETYISDANAQRKYED SKALNEALKEIKLEIERITQRTSTATIR
I206_06844	MSQQPGLYDISKPRFDLSSYGGRLAYFYSTTSPLTLLASSAQLE QAQKNVTHFESKIKESGRQGYWVNQQQKEAYDNAKQLVNSSIHPDTGKPVPLPFRMSA FVPTNLIICAGMLMPNPSLKSVIFWQWANQTLNVAVNFSNANKSIEMTPQEIGTAYLA ATFTSVFLAVSLSRLVPRLRVAPATKEILGKLVPFASVASAGVVNISCIRWKEMRDGV EVFKLTRDPVDGSEQKEVLGKSPKAGQMAVMQSAASRVFTNVPTLILPPMIMTILSRR GTFAGPKGNVISSVTQLTLIGLSLGLFLPPAIAYFPQKAETQPSKLENRFKEYEGPVY FNKGL
I206_06845	MNTKKRFIIPLILSIIINLIIIIIICLITYQIPQSSVSSLYLIK NWIKIQSIFLRIWTGLMIFQYIFLLITSNASKPIFNSLSSIFILSLLSLIKLSNYLNC SDQEGDIRLQNSSSVYYKSPPISKKGLIPFSDSYSTYTTSSASGSGTGTRSVKTGKDN SKDGSVRITIDKLVSESTYIPPPPSAYTYGGGKDRRTHTRHTSSPLSTPIYSDYPDIP LSIIAPLSQWIDYDSNDNDKIPYYDRNQIEDDRESYKSAVPSGKTLLRTKSERSKKDR GIVLDHEGWNVEVPLPIPTTFEIIEKSIDNGNL
I206_06846	MHRNNSTIPDDHPSSNSSRYPPPPPPPLSSLNGSTSSSTGRPSS SFYLPPPIPSANSPMSHSFGSSPGYGRDNHVQSPSGGLTDPQSWVSNGLDRPTSSRTK SSSGGWNSTNSGPSPRDTTGNRLPSLVDLQHSNPRSHPTSGWDVPSPGSYTMSNARGS GSTSLPSHFSHQQTSSYRDSPSKPAHLINPVTGNHAPHWVVDNREISPGMSRRESLVS QSTAPGRGGHFEDSPINEESIPLAAGSSGNHNKGKHAAPSSGKEDNDGGSQSQAQKKK KRRVALSCAECAKRKQKCNRETPCQHCVARRVPELCVPYSRPTTPPGGKNIKLENSSA KPSPSITTKKDGDISTITTSAQTMTSRPPSMLPTISVRVARLEAMVNAVVNRVEGVEG KALRDWRINHAPATSPPPLSAELPLDSEDEKKIENRPETASTLSRKERSVEWDGDNGP DSEDGVVGGLDRETGSRNPLPQSLHITGPVPLGLDYHGTPAEQLSKLFNDCGLNPAKM TKLLKDLPPRDLAEKITQWFFDKVNYVRYPIDEHLFRIAIESVYTRNSGAGAVLALPL VFIVFALSTRVAPDELVGNEQEKRTTSLRYYWNSKTAINIASAVKAENLHLVETRICT GLYLVLMHERRLAEGWAEFRYALTIGQALGLHRDGTKLGLDPYVTEYRRRLWSYLIHA DATYSCLLGRPTAIDINFADTLPPSNIDLSALRDNKKATPQPMSEPTFATYLILRGGL GKLVAKVTQHFQRLSGQSSSYKDVEALDAEFKQFVEDLPPTYKMLTPDKSYDKKLWFL PIHRYYIQTEILHFTIILHRPWLLRKLRSSRYALSRQACFDAAIKDYKLRQMFKIDCP DFFETLLGGSFREFNVAMIAGISIIIDPRASRAAEMKQIIQSFMEQHPHDPKMDDFSQ KEAAIIYTLHQRAQQMETQRQAKKAGPSHTNRLSVDNTSFERSTSKPPSGSSMGAPPN PTPPHSSDGSGGPRHVPLPAISPQSYVRASPYNTSLGPTPPGVGTSPEEDHPQRLLDH WLQSNTSFGPGTEAMNYGVGLYQHQPLQPSSTRNNSLTQMDSLPNSQNINFNVGGQLP QEGMTYPSDGGLDNSGAMGWMDPSLASGGSMMGTIPSDSYQNQSGLGPLNPQVNMMSM GFPVNYSMMPSTSNNGGSGIGQGLIAQGQGGNSSQYWNALIDGE
I206_06847	MKWTLILPLLPFVFSAPAPQEAEPSSAISSAASGIISAVGATAT GTATAASSTTTGSSISGNSTSVPSNTTTSTNPSVTIYPDTSNGNPIQITGLNYPQFNQ DAYLGIPFALPPTGDKRFEAPQSYIYNFSVTAQTPPPACLQAPTSTIQIQSEDCLFLN VIAPQGANAQTTWLPVMVWVYGGSFTSGSANLYNATLLEAFAEKTNRPFIFVALNYRL GTFGWPSGSGFAENGAANLGLKDIKKGLEWVQENIWAFGGNPDQVTVFGESAGAIAIS LLYLDENINTFKGAIMESGAQSTAPIGPTASTWEDAYQYLLQVTNCSGQTTAQSSSSA NSTSSSGNATTSSVPSTGSNSSSTALTGYECLKALPAEALLKGQLAVKQNILFSGFVY APTIDGDLIPDSPHTLLSQGKFAKIPFISGNNKDEGTAFVPSFIDSTALGIQLINILE PVDPDNTTISQLFTLYPDIASLGSPFDTGNETFGKSSAYKQISAIIGDSQFQANRRYF LEKSNDNGLNQIWTYQFEQLTNGVDPSLGIYHSSEIPFVFGATIPGLNLTLNSFGNNY TSEDEQFSNIIMNYWLNFAYYNNPNSPSGINTSNSTFSNITNWPTYDGNSKNILRLKS NNITVFKDDYRKEQMDFFINNPKQFNYKRNLIQV
I206_06848	MISAPLRSSVGSALRSAAASSKRATVSRSMATLVDEKRLPAKFG GKYTVTLVPGDGIGKEVADSVKEIFEALKVPVQWEQYDVSGETVGGDDLFQQAMESLK RNKVGLKGILYTPIDQTGHNSWNVAMRQQLDIYASVVVCKSLPGFPTRHDNVDFAIIR ENTEGEYSGLEHQSYPGVVESLKVSTRAKAERIARFAFDFAVKNNRKKVTCVHKANIM KLGDGLFLNTCRRVAEQEYGHTGIKFEAMIVDNTAMQLVSRPQQFDVMVMPNLYGTIC ANIGSALVGGPGITPGCNFGREYALFEPGCRHVGKDIMGTNKANPTALILSSTMMLRH LGLESQANLIAGATYDLVKEGKIRTADLGGSATTTDFTKGLIQRLL
I206_06849	MADLPPQPEASTSKSPSIIAPEPVSATKMRVADKWVKPNGPPVG STFGARLLDDEKDVFEHNAWDHVTLPEDFKIKAQEIMELHRNSPVAEALRDGYNSKPA HYWDKFYSLHEEGFFKDRQWLRLEFPELVACSEADAGPKIVLEVGCGAGNTVFPLLMR NENPDLHIFATDYSKKAVEVVKANKMYPKAEHGLGELHSSVWDITSKSSSNRNLKENL ENLKLESNYSLPEGIEPGTVDVISVIFVLSALHPKEWEQAIRNLYNVLKPGGLLLIRD YGRHDLAQLRIKKDKLLDPEIPNLYIRGDGTRVYFFEKEELENMLVAPLADEEEKKMF EVLQLGEDRRLLVNRKEKKQMYRIWLQVKAKKL
I206_06850	MGRSAKFTKRPSKDAKARSKIAQQNAKPLPPPRSPSPSTYVGGE QGDGQKGKKKRKLMRAKVDKKLSKA
I206_06851	MGSRQSQPVVVNDTSSQAPTNLGQSHVDPPRPTESPLNPTPASS GILSYFYNPTKPSVTHHHLHPSSIEIICVTKYLNHLGLPIELVPRVLDFAEYWTSCRR MNNKQILVDSIRHRGHLPGGEGPWSAGQTDEITTSSRVNRDGGLKCTRGNVWYLISSP IGCISPLKSNQEITPIKSNECNESNSIRKPQESYDNVWIRRCIIETLSKDQGWSTSST EHYGTYEQSYSWFEISLLRDDKEVEGSRHSIQHNIVAGQYYKSHVNILEFDHPTLKLA KPGDKIVLWVRAQYQGWQNWVKEGAITIFTSPYPPSS
I206_06853	MRRLINPKNIASSYTKYASQNARREISNIPPTKRKRVLNVLAEF QKPLSRVSLQEVIGGPSNKKNPSKNDEESFVTASTKPVLASIQEIPSTNEGTKIVNTL PAMKEIPLSKKSNQQIKNNEIIMKGIIIPPKPIPPGEEECCMSGCVNCVYTIYADDLE IYNLALESAKQALKNDNIPQNEWPIEIRDKTNSEDVKGQIEHDVDPVMNAFLALENKL KKK
I206_06854	MSSFVPRPVPVALIGLGGVGKAILSQLLSPPLNSRFNLILIANS KLSISLPLPNGQITPSNYLPILEQHGKPLDIPSILSLLTNNNDNSDIPGIFIDSTGSD LIPSIYPQILGMGINIVTPNKKSTSSSLNLYNDIQSKIYPNTKTLFYGESTVGAGLPI LSTLKDLIETGDEIQKIEGVFSGTLSYIFNEYSKVEGGDVKFSEVVKIAKDKGYTEPD PRDDLSGTDVARKLTILSRLVPTAPPLPEGYASVPTQSLVPDVLSNASTKEEYLERLA EGDEYFSKIRDEAKAEGKVVRYVGVIDLKEGKVECKLGKYPIDHAFATALKGSDNIIS FTTKRYSPRPLIIQGSGAGADVTAMGVTSDLIKIHERLTTRA
I206_06855	MSSPVPALAPEITPAETYQIDSDDYDSDQERDDLEDDEYDNLVE EAENGYNDEEMKRYTEQLKEHGLVNFLRDYLFDRDSGNMRSLRKLLLGFGIIPPIQFR NPSTPNIHLLPFTKIALSRILRRRTRLEQYSSIEDAVKLIKTSKKIIILSGAGISTSC GIPDFRSSTGLYANLQNEGKFDLDDPQQMFDISYFREKPEVFYSFAKQIYPSNFIPSP CHRWIKLLEDKGVLLRNYTQNIDTLESLAGVQNVLQCHGSFKTASCLRCKTRVPGQNI EPYIMSQQVPYCGICKAKRAEEMELQKKIKESLKKSKGKAKASWDDQDDSEEEEREWG GGEPGIIKPDITFFGQALDSEFDECLFKDREEVDLLIVIGTSLKVAPVSEVLTHIPHS VPQIYINLTPVHHVHPDICLLGDADSIVTYLSDRLGWNIPLPTAVPKPPSLDLNSKIN ESVIDNKDAVRLRRIPILPDEGHWLTGQDDTAHIHRYVKKSENVETDGILLNNNRILE KGERSDDDDLNIQKEEEEKDSTPNIKPIKVVEIEKIDDGYLSDESNNTEERPFKRKKG L
I206_06856	MTSNPPIRSIPLQRDESPGNRVSLFVKALKPHLKAHADQGQRFF ARVMGIGTDRRSSVYERDIPNPHHSPEKEVGPDIHFLQPNLKDIKYHQYPLKNPKENL NPYPDLEEQSRRKSISNSVQRAGHIDLDIVEALSPPPGRRTKRPFNHIPTPEILNRLH EIPVTEKDEETESAQRRLARKLKSRARAAIKKPRSTTPPLLNNSRSSRKRRNPTTQEG SEALDRHEIYSGISKKRRIIPDQQISDNYQTKNIHRPNERLTILPSQAQKGFLSAGKA SKPIHMSIRGLHPKNKPFDENRFLGLRNSGSVSSYDDEKPTIIWKGKKYLTRAVDKRR RPTGVRAPNVHKYEDQTRLYTHNGDEGSLSTYRLNSNLPPPGILAHNRDEGSLSTYRL NSNLPPPGRTNSPSWPTNSSQISRRNKKYEVPTSVRTLSQVNLEDRKEKQIRNVRQRD LQAMEAQYRKRSEYARKEMGERLRGEEQRSQRHRQEDERRRMEAETARMDNDWRHRLE MEKRAVRSQAGSENQAWRPSFDKWVGEQAIHQNREEFERYGKEIRDITGKYRWKMPDG HPLRATINHPADEDPKPSTSHRSGRPYHPYQRLAEQASSGHPKAQGGQNKESNHDTPI QQVSPRRHSSTASHPNRAPLLDHTINVLPIERTSQPFSYFAINDRVYPQYPPPDQQQR HQFAQLKEQMQPPPIPPRTYLQQSQRDPRKPRILHSLMPPPSLPIKESQFGSISNAQA NVDIANHCRAHNQLPPSSSTQRGKISHPALPIQALLQPVPENSQTTQISKDSMPPPSV PDKGLERVASTANPLDIARICRERHKLPPPTPQGGTFGRKIFVPPLAPRQPIF
I206_06857	MHSPNSSNNTRLSPTNSFSYNRARLSDTRGSDRSEEDKKSDRLN GDHKEGNNEQRWGSRFAPGWRVGFDSSNERGGVDEDAVADSPLSRGSLSERNSGSTSR QPSEERDELESENGERTNEGIDSPNPNGDKSKRKLTRSTARKIKEEYDDGPPSAKKRK PLAPAHASPLPSPPLTTARATHPPAGTCPGDGRCNGAGGKAGCEGCPTFNNSIASGLV SGASSGANEGIEKPAPRVSDRPALDRLNPWGLGFMGGIGMGARTLSTSSEHRGSPAGP SSTMTRTGSEGGSRAEGSPMSDDEGKDGEYPINGLAATPIGMTCRNCGTSTTPLWRRD EEGRPQCNACGLYHKLHGVPRPVAMKKSIIKRRKRVPAVGAQPGSRDGSAGTEIPSST PTTAMPNVVAPPPHVAPPPENEKSHPSPPFTHRAPPHSDHRFNNALDPYGLARRGLVK PQTATMTSGAPGERKKPWWIDGASREKEKEEKEREAREAREAKEREGVSFPLHFFHGC EVSSE
I206_06858	MSETIPLPARYHSASRPSSVPPKILELTERLPAHPFPHTHTIDR QSTTTYPQINNTLSTPSSRRYSAPPMPSPTSTNYENYLSYPPSNSRHRSAQQLAAEAL LGMAPSNRSPEKRPTEKTPLVPAPNAQSSSSNNASTSRNNAMDVDTPESEARGLKRKV VEDDPRLPSAVTLGLHGIDRERERAKESRYSHSPLAAAEARQPNPPANNTSRLGQSPQ SSILGASTANRYSVYGPTTRDPLGGSPWGLNPSRYSALGLRRDLSPSVSTSAAPKPAA LDPPARATPDAARDSRFYPGSVTGYGHYSMGRRELTEHREQLREGKRWLEAMLSKTEK MLHMVENKMALTGEMGAGAAGSGAAAANASASSTAAAAGLNKFSNAPGGVTGRLTDDW EFEERERLRQKEIQRLEREREMDRVEREKRGLERERELQRERDRDRLSATTEDRGRRD KSEAERNRDLLLASRRVTAVSPNPASSPASRPGQTNGSTSSTNSQAANTAAGNNNSAS ANSTPNNREKEREGKSSPWEAEPVLGGVALPRRDQSSLSRALGRGLWSFDVRG
I206_06859	MSINQISSTSFTVPSNQEISISTNTNINDKQINQNEFTNSNSNS ITTTPLRSIKGKNGFEYPAIWNFPPFFTLQPNTSTLLHQIEIWRKLIIKYSKYQRIFE IIIDSNELEEIFENKKIKRKLLNPSLKRILQEMSKNGEAAPDPPKQDNRYLIYWKKPD EWADLIYNWIIDNGLNSKFYELPIPILRKALENLVKRGKAQLLEGKGEIGEGVRFL
I206_06860	MRKLIIIWSFLNFCLFSAGILLITLSILLSKPDYLILSLISTKI NLKIIGITLGSTYIFSTIFSIISILFSSIENSNLLKILNIWLIIIAFLTLIFGSYIWI FSLNQISTFFEIWLNQSINIQERIQDKFQCCGYFNGTLEGGFKNQIGFCSNSIFASNQ IGCQSIITSAKSPGSDFTLENIFTSIYGFEIIIGLLFLITVCLINERQIKVRFKRIDE KRGGGGFV
I206_06862	MCGVGFICHIKGHAAHKIVSDARNILCNMTHRGATGADARDGDG AGVMTGIPHDFFVRESAHSFSSKLPAQGTYAVGNVFFSKEEFASQQASFEGIAQTLGL RVLGWREVPTDNSILGPASKSKEPKILQPFVVLEKHYGTGEESQEGPFDDRLFERQLY VLRKQATHKIALKTGFYICSLTPSNIIYKGQLSPVQVYNYYHDLNHALYASHFALVHS RFSTNTFPSWDRAQPMRWAAHNGEINTVRGNKNWMRAREGNLTSEKFGDELDLLYPIV EDGGSDSAAFDNVLELLVVNGILTLPEAVMMLVPEAWQNNDLMEPEKKAFYAWAGSLM EPWDGPALFTFSDGRYCGANLDRNGLRPCRFVVTSDDIMVCASEVGTISIEPEKIIQK GRLKPGRMLLVDTKEGRIVDDKELKMTTARRQPFGAWVESQVMRLPEVVRRVQRFQDI SVKIDETPLSTDPKLLAFGYTIEQLSMLMLPMVQDGHEALGSMGNDAALACVSTTPRT VYDYFRQLFAQVTNPPIDPIREAIVMSLETLVGTEGNMLEIKPTQLHKLHLKTPILTI QEMNALKHMKTSHSDWPSITIDITFDKGEGLPGYRNALNRVRQEALNAVNAGFRSIIL SDRATGSDRVALSAILAVGGVHHYLVQQKKRSKVAIMIETGEAREVHHMCVLVGYGAD AVCPWLIMEMIYKVSSEGLAKDGQTAEKLVENYMKAMAEGMLKVLSKMGVSTLASYKG AQLFEILGLHEEVVGECFIGTASRVQGATFELLAMDAFEFHERAWPSRETITVPGMPE SGEYHYRQGSEMRINDPVSIAQLQDAVRQKNQSAYDSYSKNSRESIKRATLRGLLEFN FDNGTSVPIEQVEPWNEIVRRCVTGAMSYGSISMEAHTTLAVAMNRLGGKSNTGEGGE DAERSLPIPGPGADADSQNYTHAMELKPVWDSRRSAIKQVASGRFGVTSNYLADSDEL QIKMAQGAKPGEGGELPGHKVSASIGRTRHSTPGVTLVSPPPHHDIYSIEDLKQLIYD LKASNPRARISVKLVSEVGVGIVASGVAKAKADHITISGHDGGTGAAKWTSIKYAGLP WELGLAETHQTLVLNNLRGRVTVQTDGQIRTGRDIAIATLLGAEEWGFATTPLIAMGC IMMKACHKNTCPVGIATQDPALRAKFAGQPEQVINFFYYVIEELRGIMAKLGFRTINE MVGRADMLMVDESLRTPKTAHLDLSPILKPSHLLRSDVATYKVRAQDHKLYVRLDNKF IDEAEPALQKGLPVTIDCDVVNTDRALGTTLSYHVSKRYGEAGLPRDTIHINMKGSAG QSLGAFLAPGITIEIEGDANDYVGKGLSGGRLIVYPPKTSPFKAEENIIIGNVCFFGA TSGQGFIRGIAAERFAVRNSGATLVVEGTGDHGCEYMTGGRVVVLGLTGRNFAAGMSG GIAYVLDMAHSFAPKVNNGTVELGPVSDPQEVAELRSLIEDHRHFTGSEIADRVLKNF HHFLPMFVRVMPLDYKRVLDEEAARAAEEKKRQSVIDLIPSTTASQVDLVATGFDPIL PRDANALTVSTSQLVSPTASPKPKPAEPSIVDVEDAMMDDSTSKEKLAKVDKTRGFMK YKRLNEAYRPPRKRVKDWKEISTRLNKGELKYQSARCMDCGIPFCQSDTGCPIANVIP KWNTMVFEGRWQDALNRLLKTNNFPEFTGRVCPAPCESACVLGINEQPVGIKSIECAI IDKGFEMGWMVPNPPQHRTGKKVAIIGSGPAGLAAADQLNRAGHTVTVYERQDRIGGL LMYGIPNMKLDKAIVQRRVDLMAAEGVNFVTNANVGMDPKFDPLDLKAAHDALILAVG ATAARDINLPGRDADGIHQAMSYLPPATKALQTWTGNPTQALGEDTPGYINAKGLDVV VIGGGDTGNDCLGTAIRQGAKSVTNFELLPEPPKTRAPDNPWPLFSRVKKQDYAHSET LAIWSKDPREYCISSLKFNKDEDGKLTGIDTVKVEWTNNSGKWSMEKVPGSEKTYPAQ LCLLSMGFLGPQKEIMLSLGVELDARGNAKTPNGSYATSVPGVYASGDCRRGQSLIVW GIREGRQVAEEVDRLLMTGTHLPVQGGMERRQWIAPPIVNKIAQEIVDASSRATSEFE VSSQGVDVENISVAASA
I206_06863	MTIPSNFLTATETIKLVSQGDLTVEQIALDHIKRYDERQTTIGA WVYMDRERVLSEARRLDSIPKEKRGPLHGTVLAVKDMMNMPTQHGSPIYKDSHPGVDA ACVAICRAAGALIFGKTHTTEFACSSIGPKGCGNPYDITRTPGGSSSGSGAAVRDFQC SLAFGTQTGGSTIRPASFNGIFGIKPTWGAISTWGLKPYAPTLDTVGLFARSIEDLKL FLSIFDIPSNIPNSISILQKKPLSNCKFAYIKTDQWVKPSFELSKTWEKSSILLKESG AEIIELKLPKEFEGISGGLAWIINKSEGKVSFQNEFQNQNQLLSSFIHNEIYDNSEEI SKKKITEAYDKVASLRPIIDEIASQYDAIITPSVESEAPLGLGWTGSPKFCAMWTSLH VPCVHIPGFAGDNGMPIGLTLVGPRYDEQRLLSVAEVVAQVWARADEDKLNKIPVPDG VRHLTV
I206_06864	MSLRSSIHSLRSVSAVPRTGARSLHNSSIALRKAQPSHVAAQAS EEVEEEDEDLFSSTPSSTSNYTLDKSAIRQSNIFTILKHSKLSSKEKKKDKVSLQALR QVVACSEAEEAEELKKIVRAWKVGGLTVSKATAREIVGRLVNLGKPQLASELVSNRTQ YGLPDLDQPTLIKLHQSLVSSALPPPQLAPTQPVSPTLALLRLNLASQAPGLSPEQIV SSVTSSPKGRQWKATKTVEGWAQEARDRLVAAGGPWADAAKKIQVA
I206_06865	MSQTNSDTYEDLGCSLVARNTNRYGQVFDIVSIHTPSGDLKSQI YTLVDRTGKEVGDNEESWRSYVLCQLSSAFDPKSDGKKVASGLNVWAAILEGKVRSLM TFTDLISSAEYISEAAEYGMQMAATASEEIKKFTDNELGPWDEVAAQRYLGKRKFPKA IVLNSLATAKSDRCRGYAKSLVSKLNDYSSQQKKAVFVVADQHSVSAMASHPFSFRVQ ADRSEAENL
I206_06866	MSNPTSAPTVDIHPTPTSIEPSHPLDPAVQQAPIQSTEVESLHS NPTILPTPKVHVKVLLISGQSKVLSFEPEITVGRTKELIWSSWPSDWTDPAQPPSPSY LRILHAGRILQDDSTLSSTNLPISDSPSLPTVVHISVRSFSIRAEDGTFPTKR
I206_06867	MSSNSEIGRQATRIPGPVETSIQQKIIESFQPILLRIYNDSSKH SHHSAMRASGGGNGETHFAIHLVSPEFKGKTSIARHRMINALLKDEFDNKGLHALSLR LKTPEEWEKEGGGEMR
I206_06868	MAPILDEEEAALDPYNILDVPREATEKDIQRSYRKKSLKCHPDR NPTPEAAIQFRQISLSLEILLDVVKRNYIDNKQDADRAKKERYAGMEKKRKDMVDALV AREEEAKRAKIELQKRRKVEAEEEAIKDAGRKMLEEAQRKAAAVSSNLSSQSQINQNT VTNGSSNLKSYKDVDEEKPEITSNALTLILSFPSYSILKDEEIQINLENKYGPIEHLI ITNPHSSSSLNGKKKKGKKAVIEFRKGNWSGCWACWKDHESDSSLNDNNNNNNINESN RKIEKGIKVKFVNGEIPKWINWAENKQNQNQNQNQNSIGSNRNGSINNIIPSFDSAPN FELPIIENENENENENENGKGKQERFSTMTELLSIHNKNKNNILNEKKKNDEFESMTL FKMRQLEREKLEAKIREEEGDD
I206_06869	MAGQGVKSAAIQIRAPTSNESKGRDSPIPTEKKRETPQRICRNV MIYGYCKFQDSGCVYYHPPPGADLNAASTPLTGSPIATIPTLLPKDTSTKPSFGLGAE HLSAPVFVPKTPIGETSSPRATTPSLIPANVTHSPLTPTATAPSTAGMTPSWPALGNQ GGLLSRQDNSMSFDDSLISMDPSQSSAMDGSMFMHQAIRQPLDQHLYVSPLPHLSNPP VNHHPLHSFFIPDDLRRTLQARNEAIYQGTQSGSSNGLPNELGIYHSLKLIQSLNQPH PQNQSQNAPSKVYVHPAPVYKAVSSVDGNVYCLRRIEGYKLVNESAFGAIDTWRRMRH PNIVGLREAFTTKAFNDNSLILVYDYHPLSTTIWDEHLIPNPAVAQNNNSPGRGRTGL PIQERVLWSYITQIANALKAVHSSGLAARNLDPSKILVTGKNRIRLNGCGVWDVLAYD PSTHVGHYQQEDLVSFGKLIISLCCDFFQPGQHPALPLEHIQRNYSPDVKSLVMFLIS KPSPMKSVDEAIKIMGPRILNELDAMQNYADTLESDLGAEMENGRIIRLLTKLGFINE RAEFELDPRWSDTGDRYILKLFRDYVFHSVGVDGKPILDLSHVLTCLNKLDAGLDERI MLVSRDDQSCLVVSYREIKHCIEAAFNELRNAGNPMRVR
I206_06870	MSTDNAHASVLMPSEALPENAVHVKGPDLSKPIDLQDLLRSYET IGFQATGLARAIQVVEEMRKQRSNPDEPLTLFLGYTSNLISSGLREILRFLAQHKLID CLVTTAGGVEEDFIKCLGSTVLGDFHLDGAGLRKKGLNRIGNLLVPNSNYCAFEDWVV PILDTLVKEQEEEGTKWSPSSVIRRLGKEIDNEESVYYWCYKNDIPVFCPALTDGSLG DMIYFHTYKSSPLQLNIDIVADIRRLNDMSVKSKKAGMIILGGGVCKHQIANAMLFRN GADYAVYINTGQEYDGSDSGARPDEAVSWGKIRAGAESVKVYADATLVFPLVVAATFG KAHWEAEAEAKAQKEASA
I206_06871	MSGNSRLPTFNRSNLFSSNGDSSSSRLNASTSSLGKRGAGEAGL EDHHKQMSSYTAKISTLEHINLSLQKKERYSASKIEEQRIEIERLKAERRTLYDGEKK ERKVGQEREKDFYEERQQYTIEISHLKSSNDQLLDELENLKSEHNILLGKHSSFTQNA NNELHLLNSRISELDNQVTDLKSWERRAKGLSIELEEERRRNEEKREKGELDKENRRV DETLQKEVKRQSLSLATIYRENESLKSEVVELRQKKKESDASERASKDVERSLKDEIR TLQEQLERARRDMDSLTQTFPSTSSSSPNTSSDETLRNRLSTISNLHNQATADLARKD AQLRELHDRLTNLAQSSRSSISELTKRSEEAERELRWAKEGRASAERREELAKKEVER VRYSKFAPSASGSGIQDQSAKVTELERLVELYKSELDSISRDSRETELRIAQGMGLVK SSDLQLAKDQISQLEQDIQSLESTITELTSANTRLDGEVNDLMRRVASGEYNPQIERV LELKDSPANRIMAVRQQTLVDLKNENDELLEKMRELDEIIANSSSTGSENASVASVPR SSFDRLKKEKEDLERSHEKRLMRLKEIFTSKSKEFLEAVYSLLGWRIKFDESGSDIRL TSMYAPKGKSGLTLKFASQEGHFGTMQMSGMMARGLEESRHFWIVERQSVPGFLAQVT TEMFEKTTIGRAAGYVGLE
I206_06872	MASSLDPPAGVTRPVVFFDVSIGDTPAGRIKIELFSDITPKTAE NFRQLCTGEHRVNSIPQGYKKATFHSIPSFMIQGGDFIRNDGTGSFSIYGAQFEDENF KVKHTGPGLLSMANSGPGTNGCQFFITCAPAEFLDGKHCVFGRVIDGLLTVRKIENVP TGANNRPKLVVRITECGEM
I206_06873	MAPKAKQRRILVKLVSTALTGFFYTTSRIRVSDKLAKIKYDPIV KRHVLFTETKIK
I206_06874	MSILTPGSKCTLCNLIDFLPFTCTLCKLIYCKEHIQSPLHKCLE STSEATTSSSSNLKPGKLNRGKIKCNLKECQNESIESLSGYIEELRSNNDIAKKINCE GCLKSFCIKHRSQISHSCSKPLIENSRYDSFLKRQLKAKEIINKQFPEFKNRIIPKPP PSKDIIKKELKSNKLPSTSSSSVVELDKLNSENTISNKIINNEISKTMIMTKTKTKTK DDKLWDINLKKLRMTSESLINKEIKIDNSLKITFFEWIIDIEQNKLNKLNFKNGKWLL ENNQSNLKFNKNWINSEIPIGKLFDLLIEKGKIKRSLNKDDPAQVSFRNNHK
I206_06875	MSPNIEAALAISNDNPSSDTSSKGQPRLKRLASKPLKMAASTFR SSRAPSPGPSDTASTLVNFDTGLPDRSKSSRFSRRKSRHAKIQTSGIGMTPAQIASAA RGPRKPLEGEEPAAYLRVRVVSAKGLVAKDRGGTSDPFLTLLMPPTSRFSTKVIKKSL DPTFPAETSTFDFPIYLSLTGVIGGRGIECVLWDKDLMRKEYMGELAIPVEKWFNEGD IHLWHENIPLLTQKLLSTRRKHTVTGSVSFQIGFISPKESSDPEDALKRVRRVYGSLV EQASIGRHSNGVLGVPAHKGIGTVKMRQEPVKPSNLARPTSVVASAMSGIVSSMRGGH KTVPVEGQAAPELEDVDEGEDDEESLSDDGMSSSSSDDEFEDALDEEESETPMGLNES PSIVESAIVGVSQQTAGLPSQRTKRDSSGLLAPASIKTPKAGNQGDYFAPSMEKNTSG ESTISTPGATTPGGTKTRRPLFKRGKSRTGSSTQSQLQSVEKKKSKRAFNFDANQGKE VLGIVILEIKAAEDLPKLKNALKFSFDMDPFVVISFGQKVFRTRVIRHSLNPTWDEKL LFHVRRHEHSYTMQFAVLDWDKVSGNDMVGTCTLPLSELIADAPKPDPETGLYDKNVD GKHEMKEFTLNLNTEKDMSWEARHSPRLTVRAKYEPYDALRQRFWRQYITQYDADDSG RMSYTELTAMLDSLGSTLTRRTIEGYFESCGKSAEKDELTMEEVIHCLEKEVTKSRSE KEKVSKDDFATSNGLGGATPAVSARPAQEGLDVTGPQGNIRQSAGVDPDELAEHIERS RPKNQDGAAGDGDQTAGNIQPISERDVPAVKVERTASVDGSTVPLNFNGNENEDDGGN LTPGSVTYSETEELDNDTDSPPEDDRERIINIKTCPLCHRPRLGKKSEQDIVTHLAVC ASADWSRVDRIVTANYVTSSQAQRKFLSKIVNKVAIGSYALGANSANILVQDRRTGQL QEEKMAVYVRLGIRVLYKGAKGQMHSARARKLLKSLSVKQGLKYDSPSSAVDIPGFIA FHNLDIEEILDPLDSFKNFNEFFYRKLKPGARPVEEPSNEGRLVSCADCRMMAFETVN EATSIWIKGREFTVDRLLGPNYKDVASRYEGGGLAIFRLAPQDYHRFHSPVKGKIGKM TLIDGEYYTVNPQAIRTSLDVYGENIRKVVPIQSEEFGLVMTVWIGAMMVGSILTTVK EGQEVDRAEELGYFAFGGSTIVCLFEKGSMKFDKDLLQNGRASIETLVRMGSGIGRSA KKIHNGSVSGVPSGDVSGIATPAEKA
I206_06876	MAPAPHPPLTPFGSALAGALGSVFANAAVYPLDTVKTRLQAEDD EDEDDEEDDEERDNEVDLPTTNTAKRIKRWGMFMMLLKILKKEGISGAFHGFGASMIG TFSQQFAYFFFHTLLRTSYLRRLSLKTTTSTSKTIINSPSISTSAELFIGALAGAFAQ IFTIPVQVIATRQQLWQPSISSSKDKKAVIAPSLFETANEIINENGITGLWTGLKPGL VLTINPAITYGVFERLKSYRLSKRLNGNHNIGKGGKLGVGESFILGMISKTLATVVTY PYIFAKVRLQAKKNKIDEEDIHLNKNENLTPSYASIASISPPKFGSSIINLPKNQFEN LPLNKKQNHKLHHHHKHHKHALSLLKSVYIENGFKGWYKGLTAQIIKAVLCQGILFVS KDQFESYVWILMIFLNNLKKRISSF
I206_06877	MTSPATQTANAIAAITNRSNIVIPEIDFTQHQLENGDVVSTTER VVKDVQAPAMYLPTEEQFFSKTDKSKPDIAFLKNHFYREGRLTEEQALYILEKGGEIL RNEPNLLEIDAPITVCGDIHGQYYDLMKLFEVGGNPAETRYLFLGDYVDRGYFSIECV LYLWSLKMWYPDTLFLLRGNHECRHLTDYFTFKLECKHKYSETVYNACMESFCNLPLA AVMNKQFLCIHGGLSPELHTLDDLRAINRFREPPTSGLMCDILWADPLEDFGSEKTND TFIHNHVRGCSYFFTYNAACQFLERNNLLSIIRAHEAQDAGYRMYRKTKTTGFPSVMT IFSAPNYLDVYSNKAAVLKYESNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFVGEKIT DMLIAILNCCTKEELEEEEEETPMAITPETPAEADVTAERRQIIKNKILAVGRMSRVF ALLREESERVSELKSISGSNTLPAGMLASGAEGIKEAIQGFEDARKSDIENERLPPDI IDPDEENPASPSGTTPHTPDESASPKLESPLAQFGSAIGSTPSPMSPQSPSTPGTPSS PGGGGGGMTWRRGHSRQTSLGTTKTSPSNRRRSLENTMHLIRDVVDGKDANGDGQLER LAEVISSPTSKGRD
I206_06878	MPTVEDYFDDDTDLPLPGSSGTASGSKPRALQGSGLQGALLEEI GDEDDDMDYDQLAEQSRGVFGENVIAPPPSSTTSGNGKGKLVERNTDNELRPQGSGTS GGPQMNPNSPMGGFMGDMMRLQAAEDERLERLKKQFGTANVAKDPSVYKKWNTVYPLY FDAKVSANEGRRVPRQTSIWWPQATHIAQACRVLGLPSVLEPDRCHPADWENPGRVKV QIERDGKFINPIVKNRTQLYKHISDQIRQRNPDLTYTKPTSSKKSSNKPSTTTKSKKD EKSKGKGKKQVEVKKKTIVKPTSTKPPIAPQPIPGLDDRLPLHSPVVPAGVAIAAIKR EKEQEKEAKKSGLSLGSPAEEEGGKKDKLPKMKKIVVRGKR
I206_06879	MTTLPTPAFLPKLNPNPNASPNPKYLINKTPNIHNIKINNWEIE TIKKPILNGKEIESVERLLNLPLPEMTFGNNSIKLTYTPSSSSSHLSSTTTDKVEIKF DSLEALADVATGEGWEERIGGGVKVSMADKWSTKTSPNTFSGDSPIPSKPVKPHDWTF STCYSGSVAGPSSFKSSPTHSIPIALLARQDPILDRILFYDDVPLYEDELHDNGESIL NVRIRVMPHSFFILSRLFLRVDDVLFRIRDVRIYHEFGSSEIIKEISGMEVSYDQVKK HLDRQSDLSQLTDPNWVNQKMTSISLTSTSLESNSKPWPGLGKQIEVLKLPKNDFETI KDELSKVTL
I206_06880	MGRERIQILDGGMGTTLEALGHSVNSELWGSELLYTNPKIMANI HEKYVQAGADLVETCTYQLTSENLTNYLNSNESSSDDPTTSDELFYKSIDLVDCAFKK NNINSINQGVVFSCGPFGSTLKPGAEYSGIYPFPFGPISNQQNSFNKEDKLLEKQSIE LLSKFHFEKLLKIALNKEIWKKIKWIGFETIPLIYEIKSIRLAIGKLNKILKNKYKEE KEEQNWFLKKFWITSPFPNSNFPQIDLNGNHITIKELINNLIDYNYNNEIETENDEYP IPNGIGINCTNPSYLFELSKQFTNILKEKNEIKQNSISFILYPDGGQIYDVITRSWSI PKNEFNSNSNEWSKGILKIIKKIENSKQDNGEYIWKSIIVGGCCKTSFNEIKSLKMNL DNMYGEVKSI
I206_06881	MASSPSGETSLSITDGLEIESLDSQSLFIALTNVEKAIPELLLC IKPILSHLISSSSSLDNQLNQSNEDEISGIQAREGVEKYMNLLDKIQFILRQTVYYLK VKKIQKSILNPTLINNLPNPFSNSISSSSLNNDSNQQVELGLYSNRIEEKILNDMINS IKNLKDEQQKGK
I206_06882	MHGNTNALVKRGMQTARKSTGGKAPRKQLATKAARKQAPSQVSG GVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGA LQEASEAYLVSLFEDTNLAAIHAKRVTIQPKDLQLARRLRGERS
I206_06883	MQAIKLGKKYPDLKQDEIFDLINKFKQIDVDDKGSVDKATVISA LQSNGEADYDSARETLKHVSIDSSGRVELEDWVQLHSLLRAPKNAPALETSKGRISVK GTAGTNAQHTINEDERRSFTDHINGVLAGDKDIGHLLPIPTETMQLFDEVKDGLILCK LINDSVPETIDERVLNKPSGGKGKPKPLNAFQITENNNIVITSAKGIGCSVVNIGAAD ISEGREHLILGLIWQVIRRGLLSKIDIRIHPELYRLLDDGETLEEFLRLPPDQILLRW FNYHLKAAGWHRKVENFSRDVSDGENYTVLLNQLKPDECSRSPLQTRDLHQRAEEVLQ NADKIDCRRFLTANSLVAGNPKLNLAFVANLFNTWPGLAPLEETEAPPPIEDFDAEGE REARVFTLWLNSLDVDPGVYNLFEDLKDGYVLLQGFDKVIPGSVIWRRVSKPKEGQEL SRFKAVENTNYGVDLAKSNGMHIVGIQGSDIVDGTKTLVLGLVWQLMRLSINQTLASI SKNGKGVTDQDIVKWSNEKVKSSGKSSTMRSFKDPSLSNAIFFLDLLNAVRPGIVDYS LVTDGRDEEEKRMNAKLAISIARKLGALIFLVPEDIVDVRPRLILTFVGALWSASLNQ 
I206_06884	MANHPQLHITTQAGPSTPTPAPPTRRPLGARKRGVVPGLYVANP DNSDEDETSPPKSSNPSQRSPSISTTYSSISNSGISPISITSNSSRNLTAGPSASTSS TSVSIPTQSQQPSSPSLSIKTQSHPYGHSQSHLPLPTIPAPQSSPLPTIPSFPHQNPS PKSQPPRPNPSPKSSSSSAVPLPRPTPSPAPGSLSRAENSPVPPQPPPQPERHLRRAF TTPVTAQAEQSTRPGHEPRTASGSKIPQHGQHHSPSRALPPLPSPPISTHSPQNRSPS SVSFHRALPSNVHQHFPQNRVSSPEDTLSPATPSEAGGSIMSVGMTNRDRSGSTHNHQ VRLQVTTDNEAFHLVDITGIHTAEGIREKVFSKLRIRDEEHPTLSMYRTEIGEPADDI PILPAALLHLCTSQGDSRATLKFLVKQTNVPTSSAASVIPPVAAQPDYTSYRSAADNR RAGMSPITTDLSHPLLNRPSSRHSKEGSLSSASGELVDRSALSTSDWSDIGPEAEEWG GKKTRRTTGTGSSRSPITEHTTSSPAIPTPSSRFGGPRLPPRDASSLSSPSSRHLDLN HPVSPSLVEPFPKPEIRHHAPTPMSGSRASSSQGHPDPFLEFGQAGPGPSSSSSNSRW ENAGLGLRIDDDVDPETRALLKMYEAEEIEARRIEAQREAQMAQTADDEEMARKQQAE EKDIWEMIVRMEEEDRQKQENQIAEDEARARQVDAEQRAEEEQRLQNAAIRVATHAEA QEERDSRFHTFDQDRRARQEYFRQQAQMGRPLDESATYHVPIPEERPGSKLDNRPVFR QTSQPVPNRQGSNTMYQSPPTQYSPEIPYPQSGPPRRPSGFAANPYQEASHAQERLHD PRLQQVTGRSTPATHQGQPGSLAARVERQRLPLPYGRDSSNDHLMTPPTVQNVRSMDN LRPLSQQGGAFRPPYANLPPRIAMTPQPGYPERRNVQPAAAGYRSPSIDRIQDGRYPE PARAGNSRIQSLDIAVNETGTIPFPLPHPNSANSSNWQNRPGYNTVPRSARGPSWDDS EPTLGATRPNTVHYDRSPPPPTSPQTATGNPRPSTYYDEFSPPNVGRDTGTYHGFSNV PSPNIWARPRSGSASLSHRPISPVSDAPRRVSVGTAYSDDRESQLPYNQPSHSPEQST WLSGNRYRRNDADTLSVAGTVSSDITVRAPKSEDTDSNDTARAGVWESHIRDMVQAAS QRSGDTDATVRPPSEEDEATLWFTAPNPINTSPRTLSRLSAVRPSPSKPNLMVNTAAL SGPDALGLGLHSATTPSDSATESEGTGEGSASESLTGVRRGKSFARPNDPNQWNFRPE PEQLYENLDRVFPKIDLDQPIVQASGSTPTTPAAESPSKLDAVQPISLPSTSSKLSNS ITPTPAAAEPNRPSGIGNIVRSKFNKLENRRSIRVVADHKRRTLQRQSRDLASLFSGK KSLPDIIGGDNEDGEKVLAAAAAAAANKVERRSSKMWDHKLVEVTPSKIAAGQMATPI PESPAEAENDSNKPSTVNWVKGELIGKGSYGRVYIALNVTTGDMMAVKQVELPVSETD RTDSRQLSMVKALRDEIGLLRDLEHRNIVAYLGYETSEEFLSIFLEYVPGGTIASIYR TPNQARFEPQLVRFFTEQILEGLAYLHSKNIWHRDLKGDNILVDAQGICKISDFGISK QTSDAYDSYGAATNMKGSVFWMAPEVIHSYAGRTYSGKVDIWSLGCVVLEMWTGKRPW GDMEQVAAMYELFNKRARPPLPSDIHLSEIQLDFMNTKCLATHPEDRPMAKDLLQHPF ILDKDRNWTFKDSKIGKAVAKRGAKRVQA
I206_06885	MATTAMITTSSLDPSPPGAYIFSTYLNDDDLTTIKSETPLSSTP SGNQTQIVSHSDLIINTPFQQEQTSFSTSTQLPVQSVTSYTVSQSTYRVSRLPPASTI YQAGPSKSPQRYLFFAVRKGHKAGVYTVWHEAERQVIDYPQPIFRTFSTKLAAEAFVA GWDGAGRHSLPSSTPRPLREHLAMSFPGSVNVSTSPTKRQPYHSRLLPPPASGSNDFS PLPIDTSRPSLHPRHSYHRHSMVKVSSPLRCQVDEEEELIEAARSRLPPLRKAASFIG AGGLLSPPQSPEKAQGKTLSIMDRDRPTKSRNGEGAPWSSRNHRDFGPSPQNSLGFVA RPPSPPHNPADDKRANTSGGSPSRPSPSRTPSGLWADTIPKPTQSSPLSPNGSNQPEF TDPTAPKFSRSGLKKSGVVMPVAAKRASSSQSLRSKPTLSNLRSNSTNSSSSSVNSWS DDKRRSSTSSFGSFGSQDRLSKLAETSKKELQLNEEGLLALTSLSPPRAAFMSNTMRR SSSNSSLVSNDSTCSMSSLTSASSSTQTSSLESCEPIQEENDDDNIEILTNDEDRDIV ISCTKSDGDADGSVGDKESLKSNGTMKKSKKSGNGGGMFKRLSKALKFDKKSEKESVR RGSL
I206_06886	MSSTWSHLTCPPQPPFPIPISDDVFLPISLHTPIRTSISEPSLN FQLKGLNFLNKDEGGKEIVKVQEIKMKRRNTLLMTPGEVHRLGTIIISAPNRFQSTSK LASNELNTFKPLPKTPKPNRRVASDISTPSSTNSKFEDDSENDDVLCSSSSKGMNDMI DGLPSRKGMDNPIKVWRSPITTTRHHDTSSPNPMINEQDDRKVKRRRVTQIEWEGMPC PEALISPGTRQRFEELSHGFSWTSLD
I206_06887	MSFSFKQVPSTDKRIKYISRPVRLDAEQIESVLVNGMASYRSTC TGYMLQRSEQPKSACRDMYLHFLCSAYDTELFPSNDHNVRDFPSDPLRVAETRFVDPR CEVFVTCTFNGIQSVIAFDTPGTQEMSRLWREWKGGDPDYRGRSLEKLHSTRRDWANN TYFPSLDKIKSRMETEHGLTSDNSIYANFLVTSPWAQGKGLESQLLQKLEETADNTQS TVWLIEDNQDNIELYTGRGYGKQFEEFIPFNAEGEQGEGTNHTVMTYKPPPHERPRRT YRRSKTAECMAYCLPL
I206_06888	MSNRSGTPPKRRISEANNSSQPAKSSKMSASAPLFIPSNSKPNT ITNTNTIKSFHDISKTLNNNNNNENLGDGAEDVAGNIPIGETSDRITKPLPSSSSSSS KNQQQRADFVPKPAVVPKTHADKENTRRLIVVLSQACLEAYRVSSGSGGKNTSGKEAK YALLNCDDHQGILAKTGRDIADARPDITHQCLLTLLDSPLNKAGLLQVYIHTARGVLI EVNPSVRIPRTFKRFSGLMVQLLHKLSIRGVNGSEKLLRVIKNPITDHLPTNTIKLTL SADAPTIRLSQFLPTLPETHSVCVFVGAMARGADNFADQFVDQKISISDYSLSASVAC GKFCCAMVRFKISLFKLF
I206_06889	MAARPTVTVWSATGESSGSVPLPAVFTAPIRLDVVQQVHKSIAK NRRQPYAVAENAGHQTSAESWGTGRAVARIPRVGGGGTQRSGQAAFGNMCRGGRMFAP TKTWRKWHVKVNQNQRRYAVASALAASALPSLVLARGHRIEQIQEVPLVISSSVESVT KTKEAVELLKATAAYADIAKVSNSRKLRAGKGKLRNRRYRQRRGPLVVYAKDEGLTRA FKNVPGVETCPVESLNLLQLAPGGHVGRFIIWTESAIAALDSVYEKKSGFNIPTAKIS SSDVTRLINSDEIQSVLRPAGAPTQKRPFTQKKNPLRNKAILFRLNPYAKTLRRQELL RQEKKSKGSVKKSAPAAAGKEFLEILHSA
I206_06890	MKRSFSPSNISTSTSSNSASASASTTLRRHLHNSSSSQQLHNGD FQIVENDLSDPKPWEFNRNIKSYGSSRDTFNQNNYLDKLDSPINSGSSDLPLYSHSHS YDDMETRQRKVNNNNGIGSGEKEISLADSYDEKVELLDDQGKWEKGHGAGPGIGGKRG LPPRQRIGGWRGIMVEHEELIWTGIYTLLSMITRYWRIGAANYVVWDEAHFGKFGTHY INRDFYFDVHPPLGKMLVGLAGLLSGYGGGFEFKSGVEYPADVPYTSMRVILASFGVA LVPLAWWTAGEMGWSRWTRHWVTICVLCDIGWLCISRFILLDSMLLFFTFTTTLGLVK FHNQRHDAFGDDWWIWLVFTGWSIGCVCSVKWVGMFITALVGLYTIEDLWDKFGDLSM PIRTYIQHWIARIACLMVLPFIVYASCFKLHFMVLNRSGPGDAQMSSLFQAHLKGNDF AESPLEIAYGSKLTLKNYGYGGGLLHSHVQTFPVGSQQQQVTCYHYKDDNNNWIVTPV WNDDPVDPDGPIRYLQAGDTIRLLHAATGRNLHSHPIAAPVTKENWEIAGYGNETIGD ENDLWVVEVVDDTSRGTFKPGTENRIHALTTRMRFRHQQLNCYLRAANAVLPQWGFKQ VEVSCIKENNKKDKHTYWNVESHWNERLPPGNAKLYKSPFWRDFVHLNVAMWTSNNAL VPDPDKEDILASKPFDWPFLHLGLRMCGWGDNQIKFYLLGTPIIWYFSTISLGLALLL FGYYLARNQRQYKDWKSNEQWEQWLYVGKIAFGGWALHFFPFLIMGRVTYLHHYLPTL YFAVLMAGHVLEHLFFGGNRRTTFKKSIWFGVWAGSVIFSFWWFKDLALGIHGPVNDH WGWLWRLSWNVSYYFFVRERE
I206_06891	MLLNLNRLGKMSTSNSKPSITAIVAATQSNGIGLDGGLPWKLPG EMKYFARVTTGEEPSSDLDQQNIVIMGRKTWESIPSKFRPLKNRKNLIISNKGIDVKE SINTKSFNSIESTFEFLSNSNTNLNSSNKIFLIGGSQLYKTFLITKPPLINRILLTRI ISEFKCDSFLEDFKSHKSLKNNLPIWKKSNHLELENWLGFKIDEENEEKGVKYKYEMW TLNEESE
I206_06892	MPAYHSIFNHDTSSRQVGNMALLPINTKVRGPAPLAADPSQPDI IEESLDLFRANCLFRNFEIKGPADRLMIYLILFISECLTKLSPTPGKPSPGYQEALKL LQTQAVDTFALPGDAGFPLNSLYHPPANRMDADALRSYLTQTRTELAIRLVDRLYPFE QELGSDGQPTGQSGARSNKPSKWWMSFQKRRFMGRSLGA
I206_06893	MGVKGLESFVRENRTSLTKTIILPSVDQSGTSIDGTNRIPIIVD AWGIIFKLYLDSLPWTSGGEYLRYYKLVKKLVKGWRKVGLEPTFVFDGAGPPEKHLTT LSRAAEKLLACQLFYTTSVASRSSPSFARGGKVVLPFFASQAFTFALHRLGVKTHFVP GGEADAICVSMAEKVGGYVFGRDSDFIILIGRTERVRGYVPIDMMNWIEGPQSPNPSN GTGKYVPPAFRNGQPSETFQPVHNGRRQASQPYKNTNRQSSMIPSSTLQNPSLVLTFI PPQALRHRLRIPSTHLPLFASLCGTDYTPPHIIQRFFEPGLTMFQRIEKAARILREQL FSPTSSSSSKIGYVTNPADQVVELVKRVIKKLIIYPFDTEQDLDDTVNIIIEAALQYQ LPSVEECCSTYPFCGELDPMLGCQTPISLGDARLPNHTKERMTGKEAYSAAQRDGLVG TIVHGWLYPDRMYQWQVLEDVSGPCLKASNGAREIRRKAWEIADQGLGGLRFPMRDIV DVEDDKNPELEEHDINQEEKELQDLLGIPKTEVEAQNEVTSLVQAEQPLTRTEPIREM IEYLRQGSTAKIISSPLILPPIPPLTEEKLPICQTPLEDRLRIYLQALHSDFPSIRSL PVSLQPLVSLIRFCVIDSLERSGKYGQNKWKRDEVYAVLRAGVGTYTMWKRELLSENN QNISQNLNGEEEGGGEGKENLYPMLENRNCNLIAQLKSSLSDIHILAQSLLLLPNISK SNSNQIIDKEGEIGLTHIIPFFFFNGINLHKLLLKQEPDFKSGWKWKEEEEEKLFKIC WNSLIDNLDEKFIVGLNYITLPLIADSIKSKSENDVIDLIEEDLNGNLKNNNKKKKNK NKRKSSFILNQPSGRFGVLEGLID
I206_06894	MEKMRDVGKGLIDPKYCCCAVPLVNAGIYIVLSEQALLSLAIGI VVFATPDVVGASFPAIGGIIFAILCFVIAAVQPIGFIGVLREKTSTFKVYSLINALAI LAALICAAALIITSALQHNKAVSKCETKFFSDSSSTSSTANETLASEGQALCSAFAWA DVGIMGALWVILLVVQGYFIYLTRTYSTSQVKDHKLYHSVYSENPEAFTMSILRSTRY NPGSVYNSMPPSTGPNADAWDARPSMDSLRDEQAGAAVQGAGGYEYGHQREYSNATVR PVDEGRYDDATHGYEYEQHYQNQQPGGGYEYQEQQQHLQNGQNQYPFSEPGGGYVDHP AEAYHDQNREGITPTMNQYHDGQGVGYSEGGLRRPEEIQSHPGGR
I206_06895	MEILKLSSKGSKSTPSSLALPSKRIASIKPGPAFHPDPAVHEGK TVEGCEIWVIVMNEIVQSVMLLFTPGQSKRHSREKIGYEKEMMSNLSEEKRKWYSTGR KGSGTILVHKLISLLTPENPHIWLTSTHDEAIRFYRKVGFVIPFEDNIDFGERVGRPL IIIIKGFPGVGKLTIAKSQTFPNGRLFDNHLLIDPASSILEREDEAYYSLRKAFRAAL FRTLTEYPASIPPVLIFTECQSTDDWGQAVMNEYMDFAKTVNAKLISIILECSTQDNE KRLMAQDRMMERGTKLRDISVLNQMRRSYEIHRFGELADKEWVIDTTCRTAQDTADDL ISKILSSQ
I206_06898	MSRNLVIYLCALSSLSSTFALRQGHNQLRDLYAYPKYEVQFLND LPLSHSDAERVKSLGVENENDWLRAKVEHEGGKRLSDGSEDLSTKNNLEIIPMNFAHP SEESGTPYPYLCLMPSKNITDTQTAMIDSLDQIVESEDELDPLQGWQAMSHLDGKCLF MKQGWFTYSYCHNSHIRQFHAAAHPHPHPPGGLVPVEDTKYDAYTLGQVSPGARQRFA AGQHKVGGGVDLKQIKGELNDGNRKSNSDALSTANKKSNQNQPTISFGSNQSSSRYLI QRWSYGTKCDKTGKPREVEVQIHCSMTTGDMIYMIKEISICQYVIIIHSPYLCSLPGF KNHQENLVKKIENAPIRCRQIVNDEEFTKWENEQVLKEPNQGIQLGGEVLGLDGVELD KSHSPYSKRPTGADVPPSEDIKHHFGIKGPEKMFPTEADGAGEEEIAIVFEGSDVEDE SLRHMLKQALDLLGKKTLSKGKTESSESDSKDNEDSEGEQVIFYSWEEGDEGPVLLDA DLVVLDEEEQTEGEEDMTSKEKARVGLGKKEKNVLQQVVRDFLSQKGKSGRSDDDKSG KKKEKRKKDEL
I206_06899	MSFGRPGFTDNFKVSPPQRGSFPLDHDGDCKEAMLSYLKCLKSN ANDNGKCRLQSKKYLECRMDNGLMTRDDFQNLGLGDVVDPSLPKLEATTSPTSNPASS SISTPTTTTTTTQESATVPQERI
I206_06900	MSFTLSPTSISSFSPSPSVPSRFSSPVPSPSNAQTKEALLIPTD RPLEELEEKWISKLGRLKVEKEVTLRGYALYSLRSWFLSRTHFSHTIVTQTGKPTEQI SAYLLVPLPSLSHHEAAVEVANAIQFLASEFQSSPRKTEYGTLLVTTPSAFGQEVNPV PGGDFRVAKPFTTVNTGLRRLGCGGRAVIGLESPIPALRRKFHELYKIPQPTHPIIPL SRNSSPSTSPKDAKTFSPSPLADNTQADITSDPFTFLVIELVKLIQASLTLWGLFCSP SNNLEIDGLFCDETKAGIFQWRRIMGMEHEESLKLEKETSGGCIDPKTLSALLSSVTS VHYEMDALGVEKLPKDPFSNYRRLLKTWTGFQVSNSSLQQPIQYLTVSSIRSLTQHYL ADRTRHHGDALKVHRLLFNGVAQATSSISANLKGGSTEDTPIRKREHHLRFRGEDEDD QGVGLIIPEGEVGPVAPPDVITTDLEAYIKGILRSREKDWDIMGARRVAELWNGTVAE SDTGRRRKLNGRMGSMNSSTGNIRDSNRGVLRKKTQSRDFAIGQSAHLGIKEDDEGDG DFKGAFKEISGRAGQAIKGGFGLVSRKGTNYETSDSETGTAAPSSSKSSIMRKRQSIV PLVIEPDLEVVDEQFDRDQSASPSPSASHRLLDRSFNNSSRPSFLTAGSRAQSKRPSI VTQPSGNDSDIWSKTSLGNGLSPAEEKSDMEFTANGYSPGGHSRTPSARGIPYIEGRP AHTRTPSDRAIAWRERGRATTMLRTASDGADVVIEETGMEWEVTNPHGTGKRDGEIVG QRILELTRRMFDSISFDRYLHSEHLQIDVEMCAVVLDLREREKQLSKRAKDVKLLEES VFQSLSQFVASAKNRRAHVEDLRIASEGIRKQIESLDVDDEHDNSPADRFHYYLSEET HRPELLDDLGRLKEMWEGIRRSQEEKRKVVDGEIGLDGGTKGKWWNWW
I206_06901	MRSAALIAILPLLATTLARPTYDDVPVVRERKSLSFGPVHKHHS YQIIDESPLAPSLLDDPVDIKDVARRFIEERFGLEGESFYIREDSHTDASTGLTRIFA KQLINGLEVSDGDLNVNIDSNGRVASWGNSFHPGDSPDLHDALEGSSGETARTCKVLQ ETYDAHKAHLAELNGDEGAWGLVKSAAQVILGGSYTSKEVDEGAIKKVHKSMRHIKHH QKALCELPTKESSGILSPVEGLVSLLPRIHASNENFQGISAIDLSSTPKNSFGPKDAP AEPPTEIISGPGLEQSGVISDVPARLMYTQVTEGAPRLVWKYTVEMKDSWYEAYVDVK TGELLRIVDWATDFDFEPYHKGKKEVEVKKGGHQKPLPKPPKYDEHSYLVFPWGVNDP TTGNLSVVTKPWDNVASPLGWHKFPSDSNPYLTPIDGTHVHQNYTTFKTTIGNNVYAH EDWEGRNNFLQNYRPIANDSVFVYEYGEPDGLRPKEYIDMVITQLFYTSNMYHDLLHR LGFDELSGNFQVYNFDKGGKGGDAVITNAQDGSGYNNANFMTPPDGEAPRMRMYIWDT ATPYRDGDLEAGIVIHEYSHGLSTRLTGGPANSGCLGWGEAGGMGEGWGDFAATLIRQ IEEHKENGSDVYPMGAWAANTAGGIRHFKYSTDKELNPSTYKFLNKGNYWGVHAIGEV WAQTLFVASQRLVEKHGFGETLFPPEDVTKPNDYYTKTSLESVDALGKPVPLIPKHGN TLLLQLVIDGMKLQPCRPSFFDARDAIIQADQIRTGGDNYCVLWDAFAEGGLGSDAEL NGNTPWGGGIRVNGFKVPKKCRK
I206_06902	MVKNRKAGTNESLQKKDKETIRTNESNVAQYEFTQTQTSYSAIK PKSKKKKKVVNRKIPKYIMVGILSITVLGLAAYIQSVKGGTSHIKRWDNALPKYAQII RPSSFAVLDSVPSPTEHNFTTLFYPPGTSAESLKEKPFLIYDDAFYDIIGTNPTLTLV ADGGSNPLFHEATVWYPPTDEVFFVQNAGAPAAGTGLDKSAIIQKISLSQAANIASQG NGTGFVDVITVNTTSEVTNPNGATNFRGKIVFTGEGQGDNVPPALYWVDPNEPYNTTV ILNNYYGRQFSSLNDVAVNPRNKQLYFTDVTYGYLQDFRPAPVLPNQVYKFNVDTGAL GVVADGFNLPNGLTFSPDGQFAYVADTGANAGFWGFNYTKPATLYRFNVNDDGTFGNR QTFAYIDAGVPDGVHCDNKGNVYAGVGDGIHVWDPTGLLLGKIWLGETSANFQFAGKG RLVICAETKLYYVTLEAEGADITSSSYSG
I206_06903	MCHESPSAQILGALATILGDIFVLWHLWKYDKFRCMLYTKRNAF RFMLSAPLQWMFIISSALFTAWNVILVWVKYTEYYAVVPISQTESEIMPVPFQLWSDR KRDLVRFSYQLLGVAWGIVLAIHSEETLYWAYLINAIRKRDSKNWFRSIWFKIWVFIS ITVITVIPAVGNMETTNLIKMEANIFMAGSVLATLLFFMSLWLYIVFPGFVAESGRQG ANAEVMTRLEYFKELNGIRTLFRFLYAFCILTLSIDGQTKHKHVNTTPFWLDLFFVCG LFFVFTSNGLSLMILLPRNNSSQDTSRSPNLFIRPPRSTHRRDMQILPSTSTINSHKY DENDGNGYHRDIERAQRRSNPWGALGERLNIEKTRLSGLDEMESGGVVAIALESDMHR DRNRTMESLNSRGDAPFAPTGGERMLRPGEGVDDSPEAFDNFISPGDIPRRVRPTEVN IVVRTEQVIEKA
I206_06904	MSFRTAFLPSLRSNLAGPSRQVIRGRSQFGSKGFSTASHAHGGR GHDHAHAEYIPKQRTGPGAFRRWATRFALALAFPAVYVAGAAFPPQLVLFIFPRYAPP PPHKDSPRGKSHMSDMEQCIHELDIVEEMRKKVGEGKWYETRPYQNYDPNKVHNSLTA GSLRGPGMLAIAPVLFAKTDESEAIAVIHLGRALCGHDGIIHGGLLATVFDETLARNA LLNLPSNIGVTANLNINYRSPCMADQFVIVKTKLDTVKGRKSVVTGYMETTSGERIAD ATGIFIEPKWAQFLASSGVTEAMGTRKIPDPSKSPILLDDQTEHII
I206_06905	MSDPIIMTPRSRKSQKSTSRKNRNITPPSGDSDADASDHTAHAG KKSNLKMMYMDESENEENGKRLSATSTLSSRTISFGQAV
I206_06906	MVGLNLSLGRTSGKSIRAEDSYNRSHHPAVPKKPTHFPQTPYLQ SKIPLEDNLSIKRDPFARNDGLQHSAIYNSFTSTSPIFNGYTERQSSERDKEHRHKRQ DSEFSLDRQVVDILADYIKPDKTEQSSTRLRTEYKNNPDLLNQPLSPTPTVSFERPIP SRASSFADQSAPPSPLIPPSILSQESNVTTSYASKIPSKHLPSMTRNSALGSNIRRGE SKTSEKLKHNVSPGMLEKMEELDELKGLRNDSVPHILSGFNQTFNQSTTSVGSRAKAD KVLGIDSNAKLASLYLVSGLGKSASQWSFADCDSSRGVQPLEDSIGLFWRPEMLGSSF SGEKIEDTTRSRKDSKSSTFTNGLSKDIRGKYTGGKANILVFMCSVEVINSTLSPPTT CHAFKFTIPRHDTLAAIARTRLDSAVSGNINTYSMLSAIDEQGNLDPSLHLQARGNPN VRNTASATELTFYGVTLTVWTHADRDRAVQLKSIKMRAERAKLGQGSLNSINPLVQQR RNGSTAPSESGKKGTKRGSLHYMMGKNQSEGDVTGTSETETGMSDSDLEGDRLSTVDS VPEDAAAAYDEASDIFWMPYAITMVSRFPIYDFLQDYLRLSWARFSKNAKIHMIQINQ LLNFDPPRPGESFKLAVREKAEDEVIIEGHMPGGLMNFDQGLMKVDFQLWPLFQAVDL DHIVTAAEVALSNSGRIIFCSKHPAMLNAAVSTLKYVVELRGWDGISMPMIHARDTTF IIEDPGPYIIGMPTECRYLLAPPPEVVIIDIDTNSLSCKSPPFGVITPRPKREKIKQK ILAALGHSYPMDRSIPMEFKVSYPKGNFRNLNKFTYKGDRPHYLGERLKPPSWWRHEA IISVFDKILADKHKKPTLIQRLMKSGIARPQAQLSVGEQIAKAMMRRRALHYVETRDD LELKVAKINRRLLKLIQEGDHWKKQFEIFEKYADRLTVEANELKTKIDRERREARRLS NLANEQTKQNADLEEKLKNTEDARAEAMRQLSDMHQSIQDLEREREEIMNSLEDQING ALAGLPSSIHPVNGSDTSSRPGTPGDTASIRSRVSIRSRPTIANSMISSRSKPLSVLG QVRSESRLNKRASLVTGTTNETERGVGLGLPGGSTSGGDAIAQRIASIQAKLELALNV VSAQRSASVMTSSSIPTTDSEVETEAQSELSGDSDTEGNNTAIIKNYTSDQTNITKGG LETVKGDGNIATPTASRRPSHADMVIDSKLEAVLPIPSSHAKCNGNSRNSGGSEEFLS ADEHLSPSKNQPLNLKILDHHLK
I206_06907	MVNATYVESSQDLLYYADDGTPYIYNLGDMAWVLASTALVWLMV PGVGLFYSGLLRRKNALSMIFLSVAGVAVGSFQWFFWGYSLAFSDTGSKFIGDLRYFC LKGVLADPSAGSSRIPAILFCVYQCMFCVITGVLAVGGFAERSKIGPVMVFLFAWLTL VYCPIANWTWNPNGWSFIMGGLDFAGGTPVHISSGTASMAIAIYLGKRRGYGTERLAY KPHNTAFIILGTVFLWFGWFGFNGGSALSANLRAVQACIVTNLAASVGGITWMLLDWR LERKYSAVGFCSGAISGLVGITPAAGFVGSPAAVAIGFVTAFACNFATKIKFLLRVDE TLDIFASHAIGGMVGNILTGLFAQASVAGFDGITEIPGGWFDHHYIQLGYQVADLSAG FAYSLVMTTIICWIMHFIPGLRLRASEEAEIVGIDDAYLGEFAYDYVGTDPELRLHRV ESKPIMSSGDVIAESPMSPGSQDGDSSQEKVPHGAKGNAAVGGGRVDV
I206_06908	MSMADERPGRAIYSTGRGGAGNLMKSPTRGQDLEAQPGVERGRE LSPHPVGELVTHSGRGGAGNIHRSASRSRTREQINKDEKEAAEEAILQEKLVAERRGR QAVEGGFSTGRGGAGNIERSKSRSRSAIRNPLGGSVAGKDDYSSLAPTVSHTPTSNTQ HTIGHSGRGGFGNIQEERGDSIDLEKEQARQRYEREVIAKHQAEEANQPFTSGMGGAG NLHTHDTNHPDFANLSLEEREAHAKVHAHDGEHYVNTGRGGAGNMKPPSKEHSPAPDV DRGRGRSSDGHKGGVFGNVLRSISRATGREKSVDGRRND
I206_06909	MGPAPRMLFKITVLGDGGVGKTALTVQFTMSSFVETYDPTIEDC YRKQWVVDDQPCLLEVLDTAGQEEYTALRDQWIRDGEGFLIVYSITSRATFERVERIV ERVIRVKDENSLNNNNNNSTYGIYQNHYNYSSSQSSTINPTRSLNKIPIVIVGNKKDQ YHSREVSTEEGIQLSKSLNCHFFETSAKTNNNVENSFKSLVRQIKVSKRLPSQNLNNN QDYPHAVYGGIAKKKKQKCIIL
I206_06910	MSRTTSFKLVLLGESAVGKSSLVLRFVRNEFSDFRESTIAAFLT QTVSLDDSTSIKFEIWDTAGQERYKSLAPIYFRNSNAAVIVYDITQASFEKARSWVRE LQRQADPSIVIMLVGNKLDLESQRKTPREMGERFAQEEGLLFAEASAKSGDGVEGLFM DIAKKLPLAPPPTRSTQTGPRGVKVANEQEESTTPSACTC
I206_06911	MSHIPPPPPFLPAPPTFNAFSDHGGSSASGGYDPNQSAPSNDGP PRDMYADRSNNDRRGRGGGGGRGRGSDRGGRGGRRDGPGRDRERSPSE
I206_06912	MKERKLFDDSRGGQSRRENRSVEDRIQAERVCRTLFVRNVSYEA DSAALQNSFSTYGEVKTWYDRIKERGIIFVTYFDLRAAQKARDAMHGLKAGDRSIDVH YSLPRDKDLIGDCDREKNQGSILIFVHPPRVVSEYELGRTCEQFGDVKSIKPGREPAE KIVEYYDSRGSALFFDRMSNQPFQGGTLELKFIWDEKEDALPPPPLLERAKTQGHRSG EGPGYGEVRGSRNSGPPPPPPFNGPGGYGGSGSRDPRARSPVRGDRRGSYNSNPPSQG RYGSVPPSIPPGEDRLEQARKVQQLLANLGGPPTHSAAAPPPNSAHALPPRNMPPPHN LNGPPPTLSGPPSSMYPPRDTGYNPGPAPGPPSNYRSSVPPPAGNFPPYPPASNTGPP PPAPPTQGYNPASNYPPPGNSYNTPTPNSYGGAPSQGGYNPPQSSQGGYIPPQSYNAP PPNQSPYNPSTPAPIQPPPQSYPLYPPAAGGGPPPPSSSYMPPPQGYGQSANSGGYGY PPQPSQGNTNQGNNNNNSRTGQAKDVGSLLAMLSNR
I206_06913	MRFALENSSDDESISSLDYSISSDDEVSLTPSRNPRSTLGRNIL TAYDTSDESALDSEDEVNLGLDDSWDVVKTKSSGGSSHREKKDSPSKIDIKGKGKAKL VSSLAYRFIVPRKAISAKAEHTLDERENQFDAWIKSSDQEAWRDGQKQATQRRGELRN IASNARARLQSQEDLRLEREANELHKMLEGMTMKNEQEQKELERKFKEREQKLWSDIE SAIKDVERREAENAAKIVAAAQQLKAEEAAEEAAADKVAQQAADEKKAQVEHWEREKA EKDRKIAQQAEEAVRREAAKRAEEDATAKAEQDKDQSGSEWKKWVDKQKWMKEHVINP VKADRPTKTALRGGMRLMTRGLGQVVNTKEGIIRVTNDLHAILCEQLPSPPSPSSPVT LNNDIPKTYAYLLSHLAKALIKQAENEVSAKPDAAFPLARIVLGLLFRGHSALGEIVY TRFVKKCPWVVPYYPTRQTNQSRDEYEKSTGRGPDESIAEYISRMAGICTLYFAILQT PLSSIVPTIADSPPTPSQLESLIIPALRFPASWTWMALALKEPVPSYPPTAHLLSSWI DIVAHEAVRVFGRGQMSKIWEVIEREGLGEGLIKGDSEAARQKLRLTLEKWRQGQFEV PKGRVWE
I206_06914	MSEAYPITPSIDTTAPSSFSISPQPHTSQHIYRQPIDHHMFAMS HTTSLSRNRSTRHSELRSESTMSEDPEIPRPPRPESPDPPEDNAPPPEEEVTWLRLGA HYGGLVLASMLGCLIRLGLNGLGTYDGAVIYPLAWSQGVGSGIMGLSLARKNEIISIY PPIYTFLTTGIAGSVTTFSSWMLEGYLSFSNFDKYNRKGLHDTVDGVVYSLSTFAIAI ASLRFGEHFSTLLPSLTLLRRVYHTPRQDRIPSKLPNGNQRGESSSNSSDQNDNPNQP NHNSDHEKVKQNSNLNNNKSLIRLSQTPILDLIWISTAFLSYLIILLLYFLGPTNWKH NIIFPMLLSPPGTIIRFYLSKLNTKSIFLNKFPLGTFLANIFSTIIISITFSQQRKFN SINSSSLICNGLYSIQQGFCGCLSTVSTFVVEARTIKGKFKWIYLGSSIVLGHLIVLA IVGGTGWGSGGYQNVCTG
I206_06915	MQQRPLVQSDWQVQQDDTSQFTNWYPSEYSSDEWTHFQPQQLDA SRYDQPSGSSNPVYQQDFGSTIHEPRRMSGVPSLGEKESVSPEAGGSDTSSMNRFALL NAPTSSSSSSGDLNGMMSEGSQQAGQGHSYQEQGSSYSGPSGIASIDHIAPSGTSLRR GMACKFCRRRKLRCSGERPACSSCVKYKQECEYQPPAKAQKVQALESRVAELSDIINQ GSVPNIPPAFSSQPLTYDENALQQPVYGGSYHNDSQDQTPYLNYTLPLTGDSSNSSTF TPNVNMNGQAFNAYADAATSQTAINMAFPQPGPHNQYAYPLIPENVQFATNTSQANTL QEIAVPPSYPIYNSHPSSSPLPKATFNSGNQDGSIPINYSYPQTHSMPSLPSQNLPLS TSHAQYPARPAALLTHQLSITSESSTSNGQTANTPSGSASTSGSIHAPPGPQTIYAEA ASLASLRYTAELADSGDPVDKITERLGEFLFSPTDTSGQLGKDDFDDAVDTTKKRRTG KVQSGQWAKSSSTRPDGPNRTSLFRSRIETDGLRDEDRDKLLEVFFGHSRLFFEMSIP RFRYRMSFNDRTRPSLALLNAMYLWATRMTASPNLSAMEAHFFAEACRHLDSAGPNSD RLIDAVKAAMLLCAYSYTNGRHHEGWLIAGLAVRLVSSTGLHQIPSLTFRPAHADNPF LRNRVHLLPPPEDAVELAERVHAFWCVYAIEKCGAFATGFPSSLRDEDIATPFGRPLD QIASQSVTLQDDVTIRDLYRGTAFPHPDGDSPYIRWIKAVTILERASKLAFLTPTDDS EYTQAWTAYASALGTSSSTGSPPPKLPPGWLNQPKYRNSKDYDDCSRALTHYIDSLGV EGISPVERRKMAEQEGSVEPVIKSHTILLHHETIAAEMLMHDINSLDTENEVAVSAGR RSAELIRALPPIPPQEVDAQVILVWCMIIKLLIKELRRCTKLGDQSASKSIEDDVDIL IKEMSRIGVIMHIARTQSKAMDDFKKAALAA
I206_06916	MISSLPSSHQTRWALDILVITLCVVSIAVSAPPSMSKEDMYTGM PGDVRGLIVAQASAGLIGTCVMCLLRLFTMILPHIWAHYLDRLGFTFVALMWICWTSA TMSFTLYTIDSSLCQYSTTDFEFDLPTCPILTFDLTFLHILSTITFCQVLNYLSIALT SHEDEDKINKNGSSGDGFIMWELAINSNPSSPTLQPRTLRRHDVEPLSSSSMGYGSTA TTSTANPAIIQVEEHIPESDLSSSSHDENESLVQARDKFSRGRLWTYIPLNLCSLLVA CASFASIRVGEFTSSGIFVMVVSFFGIFLLITHFAQKKAELDMEHTTYRDHGRLRKDR VLEVGLAAMLFIVWPISAILYTLSPPTPYQPCSNPSSSAMPAPGEDYTVDPFPLCQLG ITVVTLSWVGSWLLLSRIMGLIFPITAVKIILPRQGSAGISGHLEENRALLNTPSPIK PNKPKDRKEKKQKGWQRVTAGEEFELGSDDDE
I206_06917	MGADEGPSNALEDITFGSVAGMVAKVFEHPFDLVKVRLQSQPTD RPATFKGPWDCFSQTRQKEGFFGLYRGLTAPLVGAACENATLFLCYNKWKELILSVRP ELPGSDTRKGKGKARELTTAELAIAGGGAGFMASFVLTPIELIKCRMQVQRLAIEGTV SSSISPLKASPEIGSHPFATTPHIASGSAAIASSRKLQGPITLVGDVIKRHGFKGLWL GQTGTLFRETGGSAAWFATFEYSARIFIAKHQLKQDKIDSDKKITKGDLSKIELMISG AMAGIAYTVSLFPADSIKSAIQTQAELNPNKISPSFAQMAKIIYKSRGIKGLYAGCGT TIAKSAPSSAMIFAIYETLESNFGGFLG
I206_06918	MSRPRRAVATQPSVQEVSDSNVDPEFLALSAKKRNRIDKVFERG IAIYEGRSNKRRKIERTSRGKDKESKQNDNRTYSENQGGLSGDDEGDLEEGGFLNNDS GAEGGFMLDDEEGGGFLQEDDGVGGGFLTDDAEVGGGFLPSDEDESSRQPSRAVSIVS SSSKRLPLRLLPSLLTSLGLPSDEDVLAVFRASASGWEDEEQPASRKKRGQEEEDIGG VELKDFRAVCAALMGDDGPNDDEEEEDDDLEDTFELPSEEESESSSLSGSEYGQEPSA SMTRKGKNRTSDVKSKDFAETDSSTPAPSRRRGKKNLDIDSSGKVRLNSRQKELAKDT WEMLKPPSSGSQSSKRGDWVGILGRDEVKKWVRELGEMWNEDEIKEMVTLFSTQHEQR GLSFEDFGGIMLRAGLV
I206_06919	MTQWRDAPYLHFPNSTYLDSLSNNTDPYTIYYGQAAVVSSTQLT GYAILNIIGIISLSFLVGTILLSGCNLIPNFIRNRLPLSKETKSGKLRKNLIRRRIIL GTKIQRDPCLINAFIVIILVNILNLLYWMANNGKISSEEIIYLPHPKLCRAQAILQAG SQSAQMSVVLSVVLRLWLKTITLTTPRFDRFRGRTTLLIYRIGVVMLTISSQSNIVNY GQQGALAYPYALLLLIARLEHASPSFRVIAIITLIMELWVIFLITKHFRQTSRTSNLA NASMTSKHGIKINLTKPQRILKRSFYIRVSLFVFWTIGMIMATLYQAFDKTITDSNSD FVFGSMGLIAFICFASQSDILRSWNIPSNSEELIFFLNKHFKFILKKKNHKQNENYLP KNDNDIKRDNNIINNNNNNKRREILPTTSLPQSVINNQQQLEFNLYDFLGDSSPGRKE EEIEDEIEIQNFINTNTTTSRITGTSGSNISSSSKRPEIFSSQGDLKEEDEYYEEKLP IENFDISTSSNTTTTGLGFNMDLDPPSLFGSRGMIVTLQEGMSVEEDLNLVVSLNKNG TGENGGIGQRTMDTRDMV
I206_06920	MSKPHWLPLIEQQLKENPKSTTYAFSTSSEEGKPKVRYVIHRGI TSNALLLTTTDTRMAKPQHIAHSPTIEIAWWIEPTNVQFRITGKAVTIHPSQDLKKAF ETLGTEGKEEEGNEEWWENKRKDLWKSVSGHLRAGFGRPTPGKELDKVENSENWPETI PAESDKPDEQKVIKNAYDHFAIIAIAPEAVEFLELKPIPNRRTQYKRQSNGEWNETKV AP
I206_06921	MTKLKVGWHREHFLSPLLQFASQDKNETFELVECPGGTGEMQVK LKSGEIDLCIALTDALIAGIANGQTSYKLVGRYIASPLRWAIITGKESKYNSVDDLKG TTFGISRLGSGSQVMASVLSLNQGWTENEQPKFKVNGQFKPLRDSVNSGETSVFLWEW FTTKPYVDSGEVRFIGSVYTPWPCWHIAASPTASSEIIKKFLSSLQPYVQHFNSAEAR EKEDINFVHSYFGHDEDDVKEWLKSVKWEDQLLEVKEDVVRETLRVLAQAGVVKPETE TLDISTFVNTDVASIV
I206_06922	MDIFVEYIKDSSLDQSRQAKRTVDQSTFKRFVEVGRVVLVNDGP SAGNLAVIVEIIDHNRALIDGPTTSVPRQQFPYRNLILTPYTLASLPRGAGNGAVKKA FEKAGVLEKWQSSGWAKKLAARQQRKNASDFDRFQIQLSKKARREEVRKAYVKEKKAS A
I206_06923	MFPDLPEHLQALNALPGNTPPSAAMNPEQEEAFWGFLHADELFR NFGNAPSPSEQNGLLQEDKSTYNHNQQIIAPAPTPIANTPTQSQQENKPGAPTLESFI AAYIGQSSSHGANTSSAHPHALPPNYLIPIPTPYTQNSIDTPQMDTPAGINTSDVLPG SASIFGDSLVESPEDRISGAKRLKQLGAPQVEIEEDKRRRNTEASARFRAKKKEREQA LERRAKDLEAQLAALQSENTSLENENRLLKAIVLNGPSGQSLPASITSSSNGNPPSLQ AALASLTQKRKRED
I206_06924	MILGGKIGEDSLAGRLTKNACEPEFEFKPDQPYAELWMGTHPTN PAYLYSDKSILLSKFLEENSKYLGSSKSNFQTPFTGKKGSGSENQIKGHIPFLFKVLT CKQALPLQIHPNKELAKKLHEEDPEKFPDINHKPEIAVCLSSSFLGFAHFREYNQIVQ FLTKIPEIKSLSLEIQNTIENFINQPTSDNLQKVWINFSKLSDNENIVKEFSNRIEKE GIKAFKDFKGEGFNEKEKENLVKAILNSKKYYNGDSGLFSTLFFLNLVELKKDEGIYV GADGPHAWLEGEIVELMAISDNVLNVGFTPDEEKDDINLVSKIVTYKSKSPSVLKLIS KEFKSKSKSLNQNTKVYKVPFEEFSILKINSNDELNFFNGPAIAIIIKGNWIINENQN EISKEGNCYFIGAGTETIWKKQNDNEQGEIWIAFYDADAPKEEVGQK
I206_06925	MFVLVGVRDTVPVAPKTFDIPPAITIQDALNKKYANKLVPDKGL ALSLFDILTAEDGKVTWGNGLMYYKVSFRLMLFAPFVGEVIVGRVLSTTKSYIRISLG FFQDIYILPSLLPPNSAYDPTQKKFFWVSPDDEGTLLTPEQLANSIVADRLYIDEGEP IRFRVDSIEWQDVRPTPQSLIAEQDPDAPKIEKDPIERAGFKILATIAESGLGVTSWW SQGEYQEEEEAPQGEEYEGGIAKEEEY
I206_06926	MADDGIELNFAVPPSGVAVRQVAAKKGGRWTDRVKAKRDARDSY KNLKQNSTSLPPPTPAPAASKTTSQPPLSSFVAPVDRPKPSQGPQPRSVSLSSNAEAG PSRLQPFQRSTTTSAPKARSSLPHQSARPTASSRPEAGPSRPTAASTSSAPQPKTAQF ISSLFSADPLPKASAPSTPVQPLGAPSNAPLVSDTSTFEGLGMDPLLVRHLKTKMHVE NPTGIQRSCLPYMLSSPLDPDQTEDTSAPLRDVLIQAQTGSGKTLSYLLPIVQTLLPL SKLSYIDRSIGTLAIILAPTRELAQQISKVVEQLISMSLSLDEEDDRQFTRWLVSGLL TGGTTRTHEKARLRKGVPILVSTPGRLLDHLQNTSSFQCAKTMFLVLDEADRLMDLGF EETIKGILKALEGRRKNEINVEKELDEEGGGSMRWGFWGRGRTNILCSATVDAKVEKL SGMALRDPILFRAEKEITESQDKDKDKGDEVKNAIEEAGAIVIPKESEDKFTPPSQLS QKYVVTPTKLRLVALVALLRSLIASATKIDTEKGTKIIVFLSSTDSVDFHWKLLGGVQ MGETPDGQEDDEEEDENGIESADDESGSTSKVKKTKTKKAKKTSESEMITLTSPLFPN TTLHRLHGSLPLRTRMASLKSFATQSSQPSVLFATSVASRGLDLPLVRAVIQYDLPTE GGANEYVHRVGRTARAGKGGEAWAFIAQNEIEWVPWVENKMGNAEGSKSGVKLSQVGV EDVLRKGFGGKGWEFEGRATEVQLGFERWVLDGEQNAALARRAFSSFIRAYSTHPLEE KKFFHIKSIHLGHLAKSFALREAPGQLTNTTNSLSSSNNKTRVTSSATAPANKKRKHI EGDSDNDENEKLTGGKELTARNETERRMYEAVRKQGRMIKSGGKLGEFNGTNKRRQSN LGSSGGAGGGEFQVYGTSELERMVSGKR
I206_06927	MNQSQHCLFSFQQQSATALSNLSIPHFPAIPISPPAPHRKAPRP PVYSPRRIRPRHINMKEPAQLGLFTVLETEEDSYDQCFTPCSSESDMESSPSLSGGKN MYNLPSAVASSEIFPSPSCSPSPLSSSSSCAHRSSPINVPRDINEDIEMDEKLSRLSW SSKGSLASNATAFLTEGETESEFLLATPSMENDDPFGWSTTITFPDEFEPDVEMAEDT IGGNLYTPSHLTKKPTLAESIRSSVKRPPPLKLSTGFLTPIATPTSAKLFSAISNAST AESDLILTPQTATSPSTDLLLPSLPIISSIEWESRRYASPIPGFISPSTPTRSRRTST KVLKRKSPDDPIDLAMALEDLLNSCGENLDNPSSCSESEFSGPASVTASSSTSSDSEL EFESKPLRFPLPPNRLPLKTPSPIKKSFRPLTPYAPKKDRRSFSRIADCGVSPTSFKG DHSFLISLSMGKESVGSSSVSSCGSKSSKKSLPSRRGLPTEWIKF
I206_06928	MSQTTDQELQMKVSQPDRTPSLTTHAATTSGEGVDDTPTRDERS STNHSWNTRCPICNSYLDSESARVPASDRSNSFIGGIHDTIINYCTHILSKIKRESST DNQDVSNQTQSDNLQSSSEVSEIQTQDKVKKKAVSPNFPEFRRRPADVTPDE
I206_06929	MNSFATYENDIPPPQHNNDHNIMSTSIDLTGDDSSEDEKDVQAT SINQRGDMNNEVGIASSNNNALTSNMDHHTFTQHTTDHSHGPNSSIFINQNENSPFDS SSYLSRHPHQSHKDNDNDTNGIVFTGLNMKSPTPSTSTSASAIAGPSHLGFNQLAPSA SNSNSYQPNLSRHNSNSTEWNPYRSSQYPYSSHQINTNAMHHTLPSTGKDASSAIDLT SRNIPSPPPPPVNDKKPICIGSLWSQAIMLYPCPAVVVGSHPPPESKERYEVVQFRNG ELLRVKLKHRAAGTPARKGEPNNLLLRDTIQVMTPSLMTFVGDLDAGLADPLSGLMQR GLVRLEGFVQRVQPEVHHFAVKINVLLFTLPSNVQYIANLLATLSQYLVDPIPPYDPS RHSEQPRYENAHGGGAFAAQMAAMAQRKAAMASGGYGMVFADKERDKATQVEVQRKQV DEVFKSLDNGGELEQSDPGPLIKTNLFPHQRRALTFFLQREQDSLSLKQAKKNAKKVL KKSKVDSSGESLWEGIRDEKGKIRSWKNKITGEETRARKGEKPDDSKGTILADDMGLG KTLSVVSLIAATRSSAHDWAKSKIENPTPTPSEPEKDDTDLKSSFQTKIFGMPDLEVN GVDSKGKKRKRDVDLNKALSSRRARIAVKSKGTLLVCPMSTISNWEDQIKEHWNGPVE IVGGAAGVMPPKVVERKWKPPKADGADSSEDELDNFDVLKVYIYHGPSRRPDPHFISD FDVVITSYNTLANEFSKQTGFETETNTPSETAENSGDEIDVTGDTSLNPRPTKPEVEA EIKAMEVAALMKSKKKSKGKSKVAITETSPLQAIDWFRVVLDEAHYIKTASTVASQAA CALEADRRVALSGTPIQNKIEDVWALFKFLRVSPVDDKDVFTQYISSPCKYGEQIGVA RLQLVMRCCTLRRTKDSTAEDGKRILNLPPRKEVQLWLELREDERNAYNERASAAKDR VGQMKEKNELSKNYANVLQEVLRLRQICNHVDLAMLGSVEEDYDGTVMDYQVAVKGIE ANGLNQARAVAVCCFLKEGAGALCTGCNYDYGEYFPDIGLGGVEDFAEKTKPKKLNNK PVLTKCLHLYCPACFKNQVYSDYNRRGKSNVARACGTCNVMLRLPSDIIEVTPPECEA NGEVIAEQPKRAARKKYVRPPGEKPNLSTKMQYLHDELLTLSKKNPNSIHYDPFAISD DGVEELDSDGKPLVTKSIVFSQWTTMLDRISDMLDEAQIQYARLDGTMTREERSRAID ALKFKKSTEVLLVSTRAGGVGLNLTVASRCYLVDPYWNPSVESQAIDRIHRMGQTRPV LAVKLMIKDSIEEKLDKIQKKKANLAQLSLKNMSRKELMAQKVCSGSRRDA
I206_06930	MRTYAHDTALPGGKYEEGDIDAEGTARREAYEEIGLPIDHEKVK KLCELEKFITGNSLIVTPVIFIITDHTLTPLLNPEEVKRLFSMPLNSFLFSRPSKIPS FHYNISHRISSIPNWVIDSIPPPPIVNYSLDKGQVGGKEGRFYGFRDIIWGNTLSSSN SSINNYLSFENTELKDNESQGQGKVRMHRFLTGREGQGVKPVYGLTAAILIQTASIGY DQFPDFPFHAPGQKSMTERILYEIRHVEGPLRRAVEVEGLWDDWKSEEGHDDQQKKAK L
I206_06931	MTVDSFWRSSNTSSEDDRGMESSRDEHEDENRVALKDFYDRQCS SESSSDQEEMMSSSSSSSSSILSSNETDESENEDYLYLAKGEKEEEEEEEEDRTPRRI IRKRTQLFQAIQCVLLVISRYRRDDVIDKELARMANQLENHQSSTKLKNNNNRFLKNP SRNKRHQLQVKGKGALDSITSAPWTILVDNIERINVDLNNNDNSGLNKACLDIFFHLI HRHVSPLSHDKEYIGSLNGVESRSIRLFKGILPTLPATEELDHDLVDLLEQTPLILIL RLIRYALRADHTKLDILDLSNLPYKNVNPFLEDLDFDNLLQMVGKDVLEVKYVDLSNN HMMNTSLECNHFPKFLPDALPFPNIQIIDLRNISTLKTLPLSFIRLSNLQNILTKGTK LNKSKSIKSQNYIFKFEKSINSNSNSNSNSNSMSKKSIIELNNKFKKSSLIFYCILSL KLLIFKLNSKNEINKYEKMIKKNIPLKYLNLYKNSYFCEICLKFQFNYYFIKPLNHNW IIKSNFKDKIGFRKNINVFGRYCDFCKLEMDKQHKRLGNNISQ
I206_06932	MGRFTEYSVIGRTLPTEATPEPKLYRMRIFAPNEVVAKSRYWYY LRQLKKAKRANGEIVAINVIHEKKPLKVKNFAIWLRYDSRSGTHNMVKEFRALSRAEA VEAMYQDMAARHRARFRSVQILRVAEIEKKEDIRRPYIKQLLEPGLKFPLPHRRTKSK AWYAANRPSTWA
I206_06933	MCLLSPLSFALLNAISSTLNISSPERKSDAEFQKILDTFVGDKE TVLTGNLPDLLVGYEADHNTKILDEADFGGVRSLCDQYPDLELGPTDLFAFLQAVLNR DTSSRSDSPPSPSPIPHSTSMPPSSFKAAQATPDQPISRRRRHSDRIKSPSDSSSSSS GDEADVRPRGHRQTSAPPRTFPSSSNAAPAPPNGWQPIRKKTLSDPNRSDGSVNSPLS SRVRAAPPSAYGGFARPSPASRRRRGSSGGPDQYDDDRKSPEPNNMPRSVSSASMATT SPPAWQARYDSRPTSPSSQADEVDNTSFHSRAKSPGTEGDDEPDHAEPNTFIPAGQHE DEEDIYEELDEVDMDIMGQGVRSDTLNPRLSRISTESTNSLRTSHDTVARLRKENTEL LRKLKETEKTLAVQGAENERLYEDLQARLEEAQSEIAQRRKDEKDMKGKDRAQLIQIS GFEADIMSLQRSLENAKSNHASMQKMYNAQCDEAQRLRDMLRDRDEEIRTLEDSLQGQ AADEEKFNREVHALESEVKRLETDLSVARQAESHLDVQKQENLALKETIDRMRFDLDE ARAQAANAAGSSGHRAQASGSSGGGTLSRNLGDELSRRLMDAQKLQEESDEDEVVETV VTTQRTRKKGTRNVAQSGTTAEEPIFRIEEGIREYTDASQQTDFVAVHETQTDQPEAG PSRTRTETHEHPPAYSAEPEPINKAELISQIHPRRPGDAVESDEDVDDEYALLTNALG MRCTVIEEHIDAQKIERQKRGLPTSPRSRNRSYWSDQRKQYKSGIVNYIFYNTDNSVR DQVGKVAMCVVAAFAIGLVTGSHIYSTPTGIHARDYQLFAQMNTLAGAAGVGEGFLPM GVLGVVEQGARMIAGNGRIPT
I206_06934	MLEPIISLPSLASISNTLNNRNNLAADLGKIALASQVTRSDDDV WVSIVNVVILCFTILGSGMILVSMFYLEVFRARPGTTRTRIVQALIVSDFLLGIIGLV SSCLLLQGNGERMAHGSVSCDGLGLLLTTILWTEHGWTLILAVATFMILIYPLHWFTL WMEQRWYYLWAVVWVISIAVGVMGYELYGFYPSAGTCFYGANAGLYSELMQFIPRCVV CIVITVLYARLYIFLKRPDKIRLPGSNSASGPYETVSMPTQRRKSSIPFRGRLGSLIP FKKKRGSSGEVLSPSPAEQEQQDSELSTSASASNEPMQTEAKLPSGAILSTNNEKENL SSRKPSEIGRSLTPAKDIPPWEKVELPAFQVDGERFGGPSANNNTQQSSIWSGWRGMG SSSGRKRSSTTTANSGNTSAVTTNIHSPSRLNSISNSIHNQKNQNSIEDSSNPTSTNL RVSPPGLYVPRMPSIPSEDHVIAPTSAVIRHQRNSTDDTMISSGFLEDKKRKKSVQLP PPLSLSPKSNASSSPEIGFSPKIDNRSRPSVTISDDIPVTIIESTPTMDENIPFPSQE YGRRKDTPTMILPPRSANPWAGKSPSQPNTPGAGSGIAQQNFQLNFTSTTSNQGKSSD QSQLANDEEFNDNNEEEEDEDEWDLARMLAQPPPNSHLPDDRFLPNQSRNSRGETYEL VPESMSSYLNRKTALLMLWFPLGYLFLFSVSLIRIIYDFAGQPPTSLRAISKWMILAQ GILDAIIYGIVEWHTKRVVRKKVKKGTFSSSQPGTSNSNQITSGIRNKSKNFTNGFLK NLGNSTTTSKNGIKSNQQKEEGEIGINSINSINSNKINNNNNNNNNKQGGGGNGNSSS SSFFIPEKNQSQINSLTEWNQNFSIGSIQEDIPFQIINSNNNNNKGSFFINSYSNGNE KNRKGSESPLGGVVVDNNNNNNKEINSNTPKI
I206_06935	MTLPISDTPLSIPNGNLKSHEDDFAKIPEECLRGLSKESITCLR NLTTFQAPPITDLGLVKQAAVLVALYQKAGDDKLHVLLTTRAKTMRRHPSQTALPGGK VDPEDLDVIHTARREAFEEVGLPLDHPSIHYLTTLEQVMTILPLNSHMKNHIVVTPVV CFISDPSLLDDLRPNPDEVDAIFTHPLKGCLTGKLDAKDSAELVDIGGEWWPHEEKYH STNDRIGTIGEYRMHRFRTTGSPIKGLTSDV
I206_06936	MFRPSTRHNIPSSSSNPALEVKLNKRQSGIGSSGNGGPSSRYPY RLNFYERPPTLDITLEEFETFAIARLRVLSQIESLSHRSLPFAQLQSSITNYTKQHLL LSSNTARNANLDEERRKDEIGHWVLRLSFCRSPDLRARFVRSELALFRNRFETDDVNE RAQFLRSLEFDWQIVDENEKMRYEKELRNCMWEKKDDAFRSESWFKVPWYTVPDLVGS RRVFIRAGMAYVPQSLQISLVLQAFASRLEKALELTAKNLPRLDEDDRLGPVIDHLAS SFLSGVASSDYQSSDSEGAQGVVTAEMVDDVARKHFPPCMRNLYDRLKRDHHLKHFGR LQLGLFLKGVGLPLDEAVVFWRRMYGATMSDDKFNKEYKYNIRHSYGQEGKRTNYPPK SCQQILTQNQPGTQDSHGCPFRHFSPENLSSFLSNTYPQIDRSSLEMKDILDSVKNTH YHVACTRVFELTHGIKKGDGLGDGESVAHPNKWVDKSRELEKDIIDRIKNKEDSDEMM IDS
I206_06937	MSPYPLSQGEDSVPSTTPESTYMDTFSPPTPLLETPSFENPPQT EYDYEDEHENIEEILEPSSPTSEVGIINENLSLTLSDVNKDPILSDLAHKQSFSTLTS EPRNLTGPTFKARPAPVTSDVVRPRMTKSSALRAGLNWDDIKPKSTVAEGEEVSNTPG YRRVGLGISIPSLASPSITPRPTRSSQLRSRVDAPASPSIKSPISQSTGPNLQRALSV PLISCLGSPAILPRQNRTSALRAAGEKGNAGYRDFEKLQQEKAAQKVKEAIAIDNKAK SRKEREERRKTIGAGLSCFEKPSMEVKQNKTSALRAAGEKGNAGYRNFERIQAEKEAQ MLKAQSSLDNREKSKKEREERRKTLGLNVIALNEPEIPVKHNKASALRAAGEKGNNGY RNYEKLQAEKEAAKSMAEIAKENKERAKKEREERRKTFSITPGKPLITPRLNKTSLLR TNRSKSISSISSLKSPVSAHPRSRPSTSHTLIRTTSAFNVSSADDVSIDKKIQASVKS LGKPSITPRLNRTAILRTPKTLGGSNTDTRPLLPSPASATPVQRRINIPTFLRSVASS SSVTQLPMSPGQEPRPTKASLLRAELGNRAISGS
I206_06938	MSSSSRKFSIYTLPTAQTNNGNKSNIPNLSIKEQIEFGLKGTNE IIYPGNEEEDKKWGFKRSVPTLVLYDEEGLRLYDKITSSAPEYYPFPDELNLLKENGL EISKSMGFIPKNKNKTKMKKSPKNEKMNQDEIPEKPWKPAKWGDVALGKYNNGVNGEQ GLGGKEGNRERDFKDGWDIVELGAGALRKTAHLLLALSSAVTPSEDGIAPIRYHPLDL SEPELHRVLGEMEDGYGDQLRGKVTCIGLHGDYDAGLELIRQGKLSSLDAQDSSRESA GLGLEDLPESSFREHHNLKSNGSIEIPSKREEPREDSPILSPELVKIITPQSVISLLP SEITDSQPSCTTNSDNSGTWSPISSDSETRYHQQPNAEKIKDSFLHTTTTTEETKTRP LHMVFLGSSLGNFDRESAIPFLKSLPLKAGDTLLLGLDGRPTPGHEGKRKIEVAYNDP AGHTKRFEEHGWDVVKAELGLDESNEVEFVGRYNEVLGRHEAYFRSIDKQTIHLPCSN EDITLEEGELLNIEWSYKYSLSEALNLFSKANLRVINTWKAPDSEYRLWLLERPQVIF PTPASIALKDDRMVLERVEAAGDQVARAVGVPKWNDWLDLWQFWDHITLQMIPKEMLH KKPIDLRHICLFYLGHIPTFLDIHLTRLTKGSHTEPEYFKTIFERGIDPDVDDPTKCH DHSEVPMSEEDWPSLPEILSFRDRVRLRLKGIYDSLSTGQKQFTRHTGRVLFMTYEHE AMHAETLLYMLAQSDLTRPPTAVSTPQWEILAKEWNSNNQENKLLTFEGGQIELGHRD LESEDENHLTGETWENHEFGWDNEHPNIIKKVKSFKIDSLTITNQDYLNYLKSIDKYS NLTKDLAPASWVLNEQTNEWEIRSLYGSLSFDIAGKWPLMASKIEIENYANWKGGRLP KEEELKFLWTSEIGPKTIGEGINTGIKNWHPIPPTNTFKDNSGNLIYGNNGGVWEWTN TPFDELNKNENGFIPSILYPGYSKDFFDEKHFIVLGGSFVTIPSISSRKSFRNWYQSN YKFSFIGGRVAYDF
I206_06939	MASQQDPWRGGRMPTPQPTPNPSQGDGDSGYSTILLIFIPVMVV VLTVLLGLVVFLVAVLYMRRRKGIRLTEDGGPLDLSKSDGVIGEGGVEGVESRWIETL DADVKEAYKRAKDWQLQYPPASVPTDITLSQFLSIQEKGVSAWSFEPDYEDNLSLYVQ SRTEITFLADGPGMHAREGGGNSVMANLPLPKLNEVYYWEVKMYDKPATTEIAIGLAT KPYPSFRLPGWNKYSIAYFASDGFKSHNYPFTSSSYGPSLNEGDVLGVGYKPRTGTVF FTRNGRKLDDAYTGLQRLNLFPTVGANGPCTIHVNLGQAGFVFIEANVKKWGLAPMVG TLAPPPAYGSERGSILLDAGYGTPHSNQQTPGLSGVNALLEAARSRHSNAHISNGSSS GRRNRTSRRSRQTTSNSVLASSIPAHPSPLRAASANEAGPSRPRRTFGQIAAHGTGDD EASSVNQSRNPSSELDESASEEDIGSEDDSQDKIGERESSPIEHNPPTPNLLDISMHS LRNNGQYFGNRYSHQEDVEGEGSGSGSVNGVGRSDTSPPPPGYAPLDPHVYAAGLPGD LPEEMVNQAIAAMSGDSSITPAQIQAAAQAVAAQAQAQAQANGSSSTSNSNGNNSNQN IFTWFRSRI
I206_06940	MSFLFYTSTPSYASEQLSSHLSSLSTLPITTPPSELSTSSALPI STASKPNHKLESALRDLSSTKHVNREKLIEVLQSLNESHNDLTTTEKNELDQEVEVEI LSRSITIVWKEVLQSLLDDALRLDEERSWWEGSLNSRRGVGLYLLQTMPHRIYAALPP RSKLSKPEFRSFKFPPRELLFKPLRTRTSTAITSITSPYNLTRREMLLSKKELISKRD ELANKIGILASQGPGWSSKSISTAQTGVAELRDETSRILNVLCETLDIPSANSTKISI QNTPSTLLNLLQKQLPKEGESINKVLAIHSRPSPLTRLWLPALFLPPALYVLTSAIVR NKAWMKEQVRNARETVKGFIVQWVWEPLEGIGKTLRGGGEGLGVAPTTVKSDQESLER MVLDLGRDYYHLSGPDLDALSVKIKTGDMEEVLRVYEKEMQSPVKNALMGSLVRTLLI QVQKTKTDLSLSLLSLDHLLRSQQLTFAFVGLSPSLLILYGLGGWLRGVWRGEKRGKG RKKQYFDGLRSIERLLITSPKDVQEMTNKDRGLVIISVSSLRTWATGLPGNSRESFMD DLRMIEDPSLRRGDKLRVVERIWRCWGVEGKRKV
I206_06941	MASPSTASPTSFLTGSKSTPIPSSDPSSSTPPDVVSPPEQKKRK LVGWQHSAAGSVGGMAGAIVTSPFDVVKTRLQSDLFRHSASEPIKKAINANQADAART GIRGTLYQFVDTVYLIRRIGVEEGWKALYKGLGPSLVGIIPARAINFYFYPTSKAYLA KQFPNAPVEKAGQTAEDSPVIHLSAAVIAGIMTATGTNPIWVVKTRLQLSAKRKGIAS PSTTAAPAPTAASTLPGPIAKSAAALAQSTSTSTAAAAAAPAARSSMPPAFTMTMDII RKEGITGLYRGLSASYLGVSEGVIQWVLYERFKRLTSSTTFDSSNQSVISYMGSIVGA SGGAKAVASLITYPHEVIRTRLRQPAINGIVRYTGLLQTLKLVIKEEGAASLYGGLTA HLFRVVPNAACMFLIYELVAGRLGA
I206_06942	MTSNIKVESNPKVINQIIDYKEQPCKLFSITQFQCTPLGGRVTC WPIERIFRQCGENKPSIEVTNRLISKNSNEDFIVDPKFIENPPKAKGWGDYHGR
I206_06943	MSNFLKTGRKIVAIGRNYADHAKELGNAVPKEPFFFLKPTSSYI SPGEGPVEIPKGVDMHHEVELGVVIGKNGRNISQKDAEEYIAGYTLAIDLTARNVQNA VKAKGLPWSSAKGFDTFCPVGPFIPKSKIGDITQVGLQFKVNDIIKQKGTPEDMIFPL PKLIEFVSGIMKLEEGDLILTGTPAGVGPIKGGEKFSAKLTYPGLEGEVLSEYEFDVI DREGGYEFKG
I206_06944	MSDRPNNNNHSVVSAGQSCQSSEENQQPEIRSWRKMSRQELLTH ITDPTLNDLVQRDNFPSGLTPVEHLEACKRATSGGSDQREAYYRLVRLAGNTDSINRS DTQRWSFKSDSEAGSYTVTGPPLTPSNIGKGMGQLLMSSGSRMAGWARSGSGSQNAEE ERGEDSAE
I206_06945	MAEYKSDKNEKTSKSSSKQTPDNDRLVGPDQASLDPIDLTSKVE RYIELRDRILHSQCSSEDEEEFFKLTQNAEVMTSYEERQESYLTHIPKYNHAEKPFYS PPSEGTVFSWTKTPPTSTNAQ
I206_06946	MKALPDSLRIGTPSMNLSNDIYQKYSANSGGSHRPYRMNPPQSS FTPSLSLSPSSSDFNENEILDSESRKKSANMGSIWDILNKDRSKKEVRTSNNATTTHC SDPSEIEQARSEYSPSDINRISHGRTPSIASTASARFKRLEDHLKGLFDKLTSSFTRS HLQQSSFLTLDFDNNQNRTG
I206_06947	MSVQKPSYPFWLGGAAASMAACCTHPLDVMRVRMQTSTTKTTFS GAVRGVLTHDGIRGLYTGLTASIFRQMTYSVTRLGAYDMMKSQMSKGGKKKLTTGDLV ICASTAGALGGLAGNPADIILVRMVADPTKAPEHRYGYSNALQGTYRMVRDEGLPSLA RGLLPNTIRAILMNASQLVSYDFFKEMLLSQDLMSNGMPCHFVSSALAGTVATTICAP ADVVKSRIMNMKHGQGGPGPVGLIMESLKHEGPRFLFKGWLPAWIRLTPNTICMFVFL EQLRNAVDMFRSKTGGTSIPI
I206_06948	MTSLTSLHNSLSPLLTPAHPSFPFAPIDVIGAMRLSSVINWIAT GVFDPPSTPSNKGKRSVATKKARASIWQELAGLMIVVFGGETFLSLCTGSTPSWLVTP NITLLFCVVHIIQTRTSFSRLLPSKPSLLFELFMAIPDSIGRTLLLTRFSIIPLLYPT SSKSLPVTPASLILVPFILAVPFASIAFSTFNFFQPSLNLTTPAELKPKGWMLVDTWC PLLISPLFLTLIGPVEGWNFGLGWEENEGVLACMIVLWICFTGRAIYNFGYEKEHLIE LFGKSQYGKKKIE
I206_06949	MPADRTKTPSTPTKVKTSSNSAESSTTSSPSKAWSNGDKSLLFE HVRLNGEKDWDRAVPGKTSQQSREQWKKTLLPQIRKQCGFIG
I206_06950	MDLGEGTALPTVEATSTGPLPSYNSPLSLDIRHQLTLLLGDLGC TPTTPSPVTIPRIIFEYTSNIDSSSPVSELLDALSFILAFEGLSEFVISRFQPILIDL LARWVQDPAPTDFSLLERRLSVLTSVADVYPDLWTVISTFILKSPFASSPISALADGD ILQTPIERLHALLLSQLRLLSADPDIARRSNWPLEPLHLLRTEHVDRGVRLLAIQVIA KQRSWSEEKRISMEREWVGEVDKVDANIAYNVEVIRLPEGGFDLKKVIADGWMLPILE HQRIRRGEKKNTARISGFTDASPAAQSLTLDVSYNATSTISPIDLSSHVSLVADTLLF CANPSSSSTPFLHVRTTPTDTALRAIASFVTIGSPILLTSLPSSGKTHILQYLSSVLF PSQQPTNRILSIPLADTSIDVKSLIGTYVSSPTNPGTFEWMEGALAKAIRAGRWVIFE DVDRGSTEMLVTLNGIARSLQAGRPGRRARLPIPGRDDIEAGDGFALFVTRTTRTDHF PPTFFGHHIFNEVLLASPSDADILAILSARFQRLPQSLLSTLVQIWHNLRPFDKLSGQ VKARDIGLRDLEKWCARVARNLPVSATSQTMEQQGSNVLSNPIYQDEIYLEALDIFVA SLDGKGVSLQKKAQMLDVIAQGLGMDDDRMSAMDGRKPNFEISPTSRQLHIGRTIMEV AGSSRRDATSSSRPFALTRPSLILLERIAVSVALGEPTLLVGETGTGKTTAVQHIASI VKKPLTVLNLSMQTESSDLLGGFKPLDASISARNLQIRWQKLFCETFSMGKAQNGAYV EAASKALTSRKWGRCADLWSSSARRAIDKLGKGESENFPPIEGGPQKRRKITRATKVA IQWQSLLVDITDFDLHHVKMNSKLVFSFVEGPLVKAMQSGEWILLDEVNLASQETLEA ITTILEGPTASLVLTERGDVEPISRHPQFRLFACMNPATDVGKKDLPPNLRARFTELY VPPPDDDREALIAIVHQYLGDAAAGDRSVILDVVELYTTIKKLSAAKEIVDGSNAAPH YSMRTLARALTFAVESAPIFGLRRGIWEGYLMAFTMSLDDNSAKIAHEVGEKYILNPM KNARAVLAQIPSLPASMDPDDFVRFGPFWLQRGPMPPVSESRYIITPSVQAKLSDLAR VILTKRYPVLIQGPTSAGKTSAVEFLARQTGHRFVRINNHEHTDIQEYLGTYVTDPHS GNLVFQEGLLVTAVRQGHWIVLDELNLAPTDVLEALNRLLDDNRELVIPETQEIIKPH PNFILFATQNPPGLYAGRKVLSRAFRNRFLEVHFDDVPKDELETILCQRCQIAPSYAK KIVQVFEELRHRRQASRVFESKSSFATLRDLFRWAERGAVGYQQLAEDGYMLLAERAR QDEDKIVIKQVIDSIMKVTVNEDMYSLFDKSSSVLPRMPITTIPPTKMVWTKAMQRLF TLVAAALAHNEPVLLVGETGCGKTSVCEVIAQMFNQQLVGVNCHQNMETADLLGSQRP VRNRLERRSRIISTLSQFDSFSGATTDDELMAACNELAKRDDIDQKTIQECKREIKQS SALFEWSDGPLVHAMTNGDLLLLDEVSLADDSVLERLNSVLEPGRTLVLAEKGGTDID EATIVADEHFHVVATMNPGGDFGKKELSPALRNRFTEIWVPALNDRGDMLQIIGQGWN SEDLKECTPYILDYFLWFGEKLGDLSGLGLRDILAWVSFTNDMFIKGLSEAQSFHHGG QMVLIDGLESLPQIAGMSIQSIAALRIACLRQLDDFASRLSGGIDLHNDIDLTVQLKP ESVAIGDFAIPRGPIVSESSTFRFEAPTTALNALRTLRGCQLPKAILLEGSPGVGKTS LVSALAAVAGHRLQRINLSDQTDLIDLFGSDLPVEGGQPGEFQWRDAAFLDAMQKGDW VLLDEMNLASQTVLEGLNAVLDHRGTVFIPELGKSFNRHSDFRVFAAQNPLQQGGGRK GLPKSFLNRFTKVYLQEHTSEDLMIICKDLHPLPQSVIEMMIRFNEEMREQTMISRTI GRQGSPWEFNLRDLFRWFNLLASPNGLETTHHPAEYFWMIYRQRFRSERDREAVTEIF ERVFNMKIRDTRPSPSITPSFLQIGHSLIERRGRSTIDVQLKHQHLHIAQSVLKGIEL GWLTILAGECGVGKRSLVRGLAEAAGRELGEFAMHPGVDTSEILGSFEQQDVGRLLDA VTKEIIAIVEVISDTHPALTSRVTDIKAARQRCGNPADTNAMSSFAALCQEVLTQLTS HIDTSSARRALENLIKVGPNAVGFAWIDGQLIHAIKNGGWFLISEANLCSASVLDRLN SLCEANGVLVLSEKGSSTGSPEVLKPHKDFRLFMTYDPRHGELSRAMRNRGVELYVDR SEVQPVATGQGDSALADHSLLRTTSLLVDRFSNDEERSSSCVASLVADSVSNQSKHII PYLPRFGAVVPQMEKASYATSAQILGSESLVDSILTQANEAGLPHEIPQKLIHALPID ITYNGNIHTARQSAVFVILQALLRNEARRSEVQAWLSDSNNAKTVLAISAASIRSGPS RNKAQAGHEIYPFTNYFRELVRQQLPLLIAEHNDNDHLERLDRICVFINLIEDHAKQP TFDYSSTKLLAGWLHDEVQGLSLFSPVLEKLSSLVKTVQLTSGMGQTQIWMLFRDVIG DHEARTEVIRLTQLANGLQDLQLRRTILQALASMTDIAPSPESIAELESLIAGTQVDS LKAFESRSGWTNWPVLSATELAVLRGVSDPAPLYFPLTCPQNARDALTVMLEDKHINI SSMVQLQRTIGTSSTPAITFLALSNFLERMWEHSNSNDETLDGPADLFKPVRLASALR FSNTEIVKMVEIPQQDDALRFSVEALTWRTKVAEDRRKDTLYLALNAICMLCAAFGVP YTPHDDPLMSIQRINQNLAENHKDTGTTYLRDALINHIMPSINQLTKHPEDLKSVGLI WLAASRFLIDLYITNIPIDPGVRRSLLGEILHDRLSLVHEELAAIRSGEVTVKGIADS DRVTAIQAKIEVLQHEHESLGPSLERSSDAVRLSYLFNEVHSFLDDPYSKTRTDELVN ALSHGHPQGFTRENDFQLASAAFVQRLSSNYIDMPDLVQPIMTAILFGKFGMRTLARD AELRLAKPLSTVLNAVEFPLAAGVRRFHRVDDASVDMSSRISTQLLAACAHSQLLNTK QQREVHLPGLFLRLDQLYQTWSHIRLKEQQEAQDSESLYRVRKTEVEVLSDQEQEEKE FLELFPQYENAGAEDSIVSKPREKDEPKEDKRFAIEQVVSFHRLVLDIFGHKQSETTS LLKGMIEDTLHHAFNLSAYDEQLDNSSIAYQVALLHRRQVEIKTSPIQPNFYLSPNEP EVRKAHSILVRLVGRLNHLIAEWPEQMVLQHVKDRVERILLLHARSPVALVLSAMEQL LVHTEDWEAYANRENSLSAFRDEISGLIIDWRKLELASWMRLLDDQAAQYIAQDNEWT MRLYGALIHGAVSSTNIAKHIKEVLPMVSTYISSSTFGTISARLGLLSAFQRMATDLS GQVPALASVATVLHNVLANARLFEPRLVDSMHSQRSVIDKAIKDFVRLASWKDVNVFA LKASAQKSHKHLHRSIRKFRDLLRQPVSPILGELTGILSQEAAVPSDIISVHMLETTT LPSSAIEARRQANLIVPESLIRLDETLNRFTQVHTTVRSSLKCQGAVHMDDMAVDIIE TAAALAKATPTSLTKENTKIINNLASRKRKAFSDLLKALRASGFSHAVRADQLARQQS TIWLAERPSITTESLPAEFDLTSVKKVESYHYRMDVMMSALRAAFNGHNDDIASQDLE RGIGFTESVYALALTERDRTVSEIHSLSQLSSIVGRLRQCSQSPSVSGSQGLFDAFTE AESYTSQIHAALREVEEGIRHLRELQGVQVESDDLTDLHALRQESALLVQALSLSLNS AKDSGCSLFTQGEVALLDTFGTIQSKIIDSFTLQAIASPELRHLLDPVVEMARSMKRT TAPPATPSLPSDIWKKSDELIQALLVVAQQMKAPSSTGVKEDESPHIPTELKDQRIFN TSLRTVEIIQRISTFLLGLVNNKIASTTAPECLARILPFIEIFTESYAQSVTVHIQTV KAAYKLSYVVARLMLDLAQKGFCKPSEQSEDSSGGDGEMVEGSGMGSGTGDKNVSNEI KEESQVEGLQGEEEEEEQDEQQKGKDDEDDDAFSMDEDFEGKLDDGKEPDENEDDSGE EEEEEDHDQHVGDVDPLDPGAVDEKFWGDEEKEDQNKDQSDELMDQKTQEQEGESEMA AKEKEGKESKDKKEEKEQGEQAQEEAKEAEDEKKESGGDDQGEELDEETMEEHEQGED EQPEGEDQGIQDQDQVAMPEGDKLDLPEDLNFDEDGEEGDEQKDDDAFDDDMKMSDAG EEDERENDRGSDVDMGNEEEGEDVEEAPPATGVGEDETEEEAQTEQNVDVSASNEMAQ ESELGQGVSGGIEGESKEEKEGEEKEKGEKEELDADAQGQDGPAPQSQQQSGPSNDQE QADGPVDSSGAPLPAQDNSQPQASRSLGDILKDIRRRRDEILSQREQEQPQPIDDVQA SEQAPGQVEYIQEDQAKEDDMQALGRAGEEERQKLEDLNIIDEEEGEGELGGMEDQDD NEDDQVDNPEFQERELTHRSAAPIDEDERQREEKALTQADIGTGPKGQSADDTMDLDF DPTDEDGLECKDIKPDLEDDNEEVNLNIHDSSITIKEDTAAEDLWRKYASETSDLSYA LCEQLRLILEPTLATRLQGDFRTGKRLNMRKIIPYIASEYTKDKIWLRRTKPSKREYQ VLLSLDDSKSMFENNSIELAYKTLALVSQSMTKLEVGQVSIAKFGESIDILHPFDTPF TDSEGSKIIRNFGFNQNKTDVAKLLEKSLNYLSESRSTINSGGTDLWQLEIIISDGIC QDHNKLRKLIRKAIEERVMIVFIIIDSLNKSSTTTTSNDQNLNGKGQTKTSILSMQTV EYNMINGEMKLDMKRYLDTFPFEFYVVLRDVEALPGVLADTLRQWMTRVSQSQE
I206_06951	MSSGFLGLPAAEAFVRAGHIVYGTTRSTRSAVDVLAAREIVPIV VDPFDENGKKQWGKIAAEVDVVIDALSATGAKAALDTFNNYLEYIERPKGSPKSTYVY TSGLWINARGPGGLDKWTDERQPVSKYNKAVQFRPEIEIPVLENDKVNGIVIRPAIVY GRSGSVVASYVFDEALKGQKAEDGIWETIYTDESRYTSIHTDDAADLYLRVAERATIL GGQVFLAANQATERLTDVLDAVVRVSGTKGYKSKPPAGDFDQAYLSSSLLKPSLGYAL TGWTPRKMSLVDGMDIYWSAYLASKGELKI
I206_06952	MSDRPLSQSEKSIGSQKYLIENRSMESSVTSAASDPDGRSMYLT NEDLGSDGSSSSSAWRPPGCEDHHSTQEEPDNSGLSGSWSIIVSSNGSS
I206_06953	MLYHLANLSATSIADFAVALTRSSLWMESPTCHSEVWWKQNPPT TRPLLSFGDQDPPQMYQTISPFDTQQGLSAAVNPRHDGSRGLPHDIRSLFADDESLES PRFDDTRYYPSQPQSLLYPDDTTILTIPESLGVYDGPLRELPLKRHQGVLPHFVLVDE KAWPTPETSSLVSDLDENPWSFEEWVNDELKDIARVSYKDTLISAEESGSKEESTVSD NAQIVNKSSIAHSLWTNFLSMWTSQWDKVSTVVKDYAKRLTSDNRLNYDETIRDPYKW EKELIAQMNQASPPHGDLLHDTENPPTDDHLQPPTIVRETESTIRQTDTALVAQPKIN PILKA
I206_06954	MSQFDPHVEGAYPKDHVSEDYTFNNQHRHSASVKDQLATWHANR GDRSFVRCMIPSIRGTPPGELPTTKNPIKLFRMVSPFAWLMFFSGWLAWTVDGYDFFC VSLTLDSLADQFKVKPAKITTAITLTLLFRSLGAVIFGILSDRYGRKWPLVCCLLLIM CFELGSGFVNTYTQFLAVRSLFGVTMGDKSDSANSALENVPADARGLLSGIMQQGYAV GYLLAAVINLTIVQYSKPHWRTIYFFGAGFSFLAACIRASLPESRQFLLAREEAKARG LTAKETTKNFIQELGTMFRTNCQYLLYVWIAHSAVYIASHGSQDLYPTYLKTTKKLSP KLASKATIISNCGAIVGGTIAGYSSQYVGRRFAILICAFWTAAFLPLWILPKSFGGLA AGGFFVQAGVQGAWGVVPIYLGEVSPPAFRALFAGLSYQLGNMASSGAAQIEATAGNS LKLAGTNIPDYAAITGILLGAVIAWGIICVICGPEADGALFEQAKVAYQRGGGEADPT ELFNHDKPDHSHIEKTMAIEHNENELK
I206_06955	MPPPPTGFRPSTAKPVAKPSKIRYFKGKAPDAPASDSDSDEDEE EQKQQVKKKSQSAKIDRSYVAGGAGRVIPSGGAVKMELGSVKVGGKGPLGSNGGVKEE SSEEETDEESEEEAKAQKQGQEESSEYETDSEEEESEPEPPKPVFRPVFKLKNARVST ADKAAQEAEEAAKREEELREEKKLASKELAGETIRRELAEREAQTVEQTVDDTDDLDP TTEFDAWRARELARLLRDKQAQAARDEEQAEIERRRAMPEEQRMAEDMEFANQTRAKE KGQMGFLQKYYHKGAFHQDDEILHRDYTGATEHSVDMSMLPKVMQVRDYGKASRSKYT HLADQDTSQGGWGNTAKMGAAGALTAQNGCWNCGGPHQRKDCPNNNIIDPLAQSGQSS SYGTSANTAQLGSGSKWGNGSGHNDRDRDDRGGKYRDEGKDRRFDEERMREKDDRDYG RDRDRDRDHGRDRHRDDRKRERSYSPSSRKRDERDDRHRDERSRDKERDRYRERERDR DRDRTRDRDRRH
I206_06956	MQGPVGRRLTTHITSSITTSFRPPLCSKCLRPIHVRNYATKFNS ARRAKRTTEFSSRGRDQPQAGPSILPSTLSSTDVLLRDAFSAVFDSSKSTSPPFSFSS SDSRRTIEITYPLIFRRQLRALLDPYLEQISSTISSLQGQCTPDELRQAITILGHCWT RATYLKYPNSKIQELERNYADFILSRMSSTDPDGLPTLLQLFESSLERQSKTEYLVGK ELIAVWAMLRGDIRRNDKPAFTVKTNVTAQDVREYLSMTTAKSGEDAKSAVHHVEGLL VELTSDGLSDFEGQLHLLRRKADYEGIINLWETFKTQYKLSPITDNPRKSSANDVRDE VISIFLKSFKRAKSPASSFATQFNDVLEHLPRPIPRSIAHTLLALRAGPAESKARVGE EVLSLDHEDTDRSAAGDPTDYLRSTWDQTEEKDLKMYMIYLDGLGRSGDLPSLKETWN ALIKDEKAKAMYLKEENLSPSTSFPPTQALNQMISSCLLVPDGPSVALDLFSQAIAPS SSIPINLITINTVLRHHARQADLPSMSGLFTLAEKMSLKPDIVTYTTLVQGLLKGGRI DLAKKVLEDMVGQGIPPNERMCSMLISDLSKIGTQKALSHAEELLNLMIKRKMNINEV TWTGLISGYIKNGWEKNGYDTIARMEKSGLRLNRIGYNILLKQFSSIDSSPVIGGQSS QGIMKLWSRMLKDGITPNSDSYLLILNPLVTGGRWAEADQVINEMQKRVFRVEKGSLK RLVEKVKNRR
I206_06957	MPIPFPSQSKPTPHSPASSSSSLPSYPSSIDSESDDSSQADTEA EMEAMIQEEWEESLRQLEVVLSIVVMPTIGKWFGRIWSYWAWARYQRLGSLGRPFFGL SP
I206_06958	MPPHSRYPPGVNPYAPSTSSKTPISNSASSSRVVRPTLSGRSNV LAPKVLLDDVDIEDFHPPEWRTVLNSRVDLGYPDFYPSRPGFDQPEDVLTEENVKNGF SGRNFIAVGAEVFSMHGPVHSHLSSGGLNLLSNLGKELIEKRQEMMPKIAERAFRIPV RVTYNDTKRLQFLNDLSNPNVPLYKLMRNPVPHGFKGIELLDSITHSEPIPLERALWF IRVIGSNEVSAHRSRTQPTQPQAPNTPIAAAPKFTNTFISWLRIQLGQLALPISNKSV VKPGVPAPKASAGVLGDEQLRARWLKKWDYSTQLMRELHRCQLLSSRLLSGWMADFLG LSNLAQLGFVAQLIGEYIADMVKHLCNARHCVRNACAKLQEIRSSAASNTSGKVENML ITIVKSLCESNQEFLLSPTTWKSHSDLLSTILPGVESAVKRRNEALWFKIDVDERSSG PRRQQMAEIQRLDSICEDTNMVELTRSFFDGPSSATNTFPDMSRFEEKMFVLLNWAMG LFQLGSHRPYAVYTILKHWHDQHEEHQSKQPKSCVIDLFPLLYKWLDISAAAKKEENI QAIGITIGECTRQGMFSYGRYLQMLIAKGQTARNQASGRPPSHHLALLRAMPIFVIAK DLIQQRRIALCGDDAPLRIEQDAEEASLMEQFKEEVMEYIPEAFGLKHYRNSEALKNV IDHQIPCSSRMTRYLYVQARFWLAPAAGKRLKGDDLTPPMNASTFARVLQIFRTCRGH ATIADFIIRAIQDSDDAQTLDVIIDTIHRDADVWTAMDGWSRLGDKLLDRYHVLQTRG KSHRRTLELLEYLVKKGRLSPDEEDEVKLIQEDLPKPPQTIPAKLDFKESAYSLQQVL SAAKEDNATALAPKLFVRHGSFNNWSHQWWRAIVEVLQHPSSHLSHDATLRTVISHIA VVMQETPDSLEPIIIAWLDTLAPVALIDTLGKRSGSLITHVLLELVIARQLRTLTLLE RIVYPIWKHTSNIVLTPRRRLSSKQTQAVGNTINIVAQLLVSPPLIPFLPPFDPTNSL IALAYRQAVFCGFHVQNLIRHLPFLVVLEKSANLSDNSNKTISSTLRCLAMTAEFKTA AFRNLGILKDAFLSTEWSKPSSCHELEAGMEDTLKLIMSEKPPNVSTPASKSRLTTFD NTARLSAWKWTRIVLEMRSDFKGLANRVSNTENTPIDVVEARQILNKQILATLDRAVT ADDTDLLCESFRGMDTVVTQEILVVGIDRLSNLLSQAIGSENQHHLEESIRSIEQILR ILHSTYNTPQCIADAAILNARHRLLDLLTVALQSIDRGLSDTELEIHQDISPPQPGDL LRIVMTLLRFVLGLSVIDNGSPTAPKPNFPNLAIWFFKVMLTCHNILDLDSASMMSDM LAYIIDSTPPQSRLACQTALLGETNSTTVQHILALCPTLAKSLPHLSPIRRNMALLAP ENETDTVNGIDSALHMEDRKWELFEQMNPLPKQPLHQDLYLVNKPIKDNSSISLSLFN PKITRDALPNVGTDWAIEKESGIGDSPESLAENEVEIGNPRSEVDSEMDRPWENWASE YDLSNGFNSSSYLKQKVTSLFNGKEEIDLDLDDSTVDQIKDESFISSSPRKRRMSTRS HNSPNKSITLLKGTTNKDPIAIDESSSSSSEDEEPLALTNLPSSNKKPRTSSNSTSKS QKNQTSTIASKAPRKSIGGKVPAKTTGRKPSTSGKSVRGGGISGKAPRGRRKSTQND
I206_06959	MDFDAETAGNNPEIEMQFAVKTVEHLEAYEKLICGIPPNKIKFT PIDEELYDNMIEIFPEFKFEDNLKILDEDSMKSSNGKEKWRKFIMPYEKRVTDYNFGT LIRRRSDELYSEENSVLVTRVQFYAIEIARNKAGLNDKVYNQAQAAKNKA
I206_06960	MPSTGTLFPSSTSPPVLPVPRQPSPLSFTMLSEDRQPSPPTPAS SFLGTGESVSSRSSYPASASSPNLTPTFPNEPLMFSPSVISSSDSPSSSAGPSSRLQS LLLPVEPSPDSLLLRSTFSALEHSTSTLKRLSKSVLNTASVVAALAEQLERAEDDLFN ALGDLGRWLENGYGIQSDESVWDGDSGIKKISKQRRRKEREDREIWVENGLKAIKGEL KRQGLAGGGAQSKFENTAKQFYHQTSVYLSPQNATASTMSGPSSQTYVPSGTTTASCP ASAASHNPSAPSDMAQAFRHAQWDLARYNHHSTLLYAVPPSSIDCLDLLVGLYGWVGG LLDETPGRKDVDEESISGIRRRASTAPINHKVAAIESSQRSSSIYNCEYLKTTLTTSL SHLSRTRNELLKTWAERDRQTQMLEEQIVQRQIDLGINSTAESTWSRLSDGVSSNALT PVSSAGIEHKKGKKMHKIHRSVGGRLMDFLTPSGSSNNLASLSVGSAERLSRVSLDGN FANGATSRPAPVRRRTEGSTTPTSQAVEKLPTHPELPIPSSSSQSNSSAGTTPPLPPK DAGAVRPRLSSRHSVQMPTGNNYVSPFIASDAYSVPPIPYEDSPDLKSLSDKVSSKSR QSLDGGRPSFGGVGGLGAGGDEDERREEAGRKKEGVLWGLGSWEGLNKATGGKGKWEK FWVVLDHSRIYEYRDNKTGVPESAHAVIDLKFASVREVFEIVTPAQGKRLYQATCETE MKLWLYAICNAIESCINGTSSVRTFDASKLRTVSGSLDDHALPARGKLGLGFNSRAIG LGFPVPPNGRRSMPPTPTDNYHSTPESVERRTRKTSLKKVLKQSGERLSNVVSGNSGY RNSFGGGLDLPRPSYLKAGSRTSLPLSTPEMNKFDINHMPPPPIPTSTRPKTNQVEEV ATPQKGSWVDGEIEKKVLEMAGLGLGLGDSPVERLENGNGESPNTVKRRVKSEAVRKP THPSRSGYMNHSEGGGGETMGRSKSNDGTPDEGGQFDMKELKRIADLDGNRKCADCGK GMRSSRWATISIRETPMVLFICIRCCGIHRSLGTHISKPRSVDLDLWTPEMISSAREW GNERGNALWEESWQGGRVSDERITDFIKAKYIEGRWLTNENKLKFKIINEPVAVVGRA I
I206_06961	MAGATKRSRQDDNDTNSNNDTFIPSSSSSATSVEDAPTPTPTPT LAPPVTRNNSVHPRRPATTSSSSSSSNTPNAIRQPLTRSTTTQPPARNNGLGRSNSLY NITTRRSTFTPSTPSSSSSSGTFAVPSGSGSGSRVGMLTRTQSTPHLTSPTSLKNAAI SGGGNGGSSGKGGPEDNDIGGPSRRFGKGKENIPPKKNEDIDAENAAQEGSSRKRPRV SSRASMSGRSGRTRSGSVVSVRSETSGRHSSLAPSSSASSICSWSARLPSPAPSQVSL APSLDSITSVDPLDLIHDEEEFDVDKTPTKARPFTRSTVLPPTPPPSSPSLREVEIKS TAERVSLMRVHSDKTETDIEMNGFENTTEKERKLNPYKQLKAFLRLSSSTSDSVDQTI IGREQEKKILRSYLANKNDVDVGMYISGPPGTGKTALVTALGRELSEQGWRVVELGCM GLKVTDIWRRLGEELSCGKTEKDVKETITCEDAKVFIILDEVDSLMPPPPSIAPPATS HLLTKLFSLPLLSPTIKLISISNTLDLTLRARLVLPDSAQPAILPFRAYGSTEMAPIV SARINNANVEGIKLDPTAVMLLSKKVEAQNGDLRMCLGVLSSAVSLAEAEWQRKVSAN IEPEKVIPLTKIAVTHILKAVTSYAQQLKAAAGSSNGSGNATKKKICSVQLQGKMVLV SILIYLSRVKVGLNGCPSISSSPINGQITPPSTPSRSNSSSNTSQEMTIGNLYATYSY ILLHNSSPFPPSTESDYRDLLSNLETLGLINVSGASGGGGMTRSSSYSSGLKSKSPGG GKIELIIREEELKEGLGLLDGQQKGVAEEEVKRIWEREEHRIKRIKEKSKAVNLADGT NELC
I206_06962	MTDPVLESNGIPIHEREDPDHEEYQYLDLISKIMLKGQSRPDRT GTGTLSLFAPPSFRFSLENGILPLLTTKRVFLKGVIIELLWFISGSTNSNLLKEKGVG IWDLNGSKDFLEKNGLGFRKQGDLGPVYGFQWRHFGEEYLNCDTDYKGKGFDQLKDVI WKIKNNPTDRRIILSAWNPKDLHLMALPPCHMFCQFYVTLPDSNIPNDKPKLSCLMYQ RSCDLGLGIPFNIASYSLLTYMIAYITDTIPFEFILQMGDSHIYKDHLEPLKIQLNRQ PKSFPNFKFKRTKEEIGDIDGFILDDFIIENYKPHGKIEMKMSVSLKKFIKTKKREI
I206_06963	MAMISDVDFMLQAIRLSSLRITDDPLTPRIISLDPSFALNPYIT ASGLSDIDRWPEIKRALDSPPLEPSYLSSQHGVPKRGLRDRTGSGGGLNYTQTIMGGK SGGAGMRVSGRNTQPAEGRRSGQANTSKNYRANSTSLITDNPLSPGQERTPTTTITKT VDKTPIMDNGFFSPSGRPRADSAPVPNLLGVPSGGVTSTSILNGSNMLNSGRGLGMTA NPGLLEQALSTSEASNDDLANRINATPGVIGMEAEESSLGQGEGGASQLAVTGITTGG VDSMVGVLVDEGSDVDEDEAAEAENQRTHDHGNHTDSRRVSVDTMEGEQLDFTPQLLP SASTSPPQRTSALSALLNKHVPHLISKPSELSVSSVDEPAFMPNPFTSLYARVAAPPS APSLSLEMYFPHSDKPTDPIVAKVRKDATVEEVTGFGLYKYWEDGRLPFLSDQEDEVR WSAVGWGLRIVEDDGEVDEDFPPLDRESQISKFSYGQFAIVEATEDQIRQNAAKAPTI QRRPSRILAAPTPRPSRPPTQPPTRGNTLTVPSNMQTASTSSSFSSNEQTPLGSIAGP GLSTTATAMKGSVGLSSTSSEIVRLKIRVTASADVHFTTTINVPSDMYIADLTEVLCK KKRLQMPATDWVLCLADLTLAIPLDRTVASLEGRTDLALVKRQWATEHGLRINDRRGG DPSASIFKRQSEPAPMQRYGPGLADFTQTYKKYTVQRKIAIGRHERVLAIDGDYIHIM PSESRAFFDSMKTTSFHITLVASCKLTGRAGGFKINVWREGAQKRYEFEAENQRQATD IVSTIRQLMKSYSSDRNSILPPPRPASRR
I206_06964	MSGTLISSLVWVPRGKSSAEPKRYNLDDTELERVGKLGGPGVLE KLRQEMEGMDVAEGEDWEDVDEDGEEGSDSASEDGVEANGAEEDGDVDMDDEPKDSSK PSDPNDLSAFKMDEYDNEESKGVAMGAFANVKGLSFYRDNNQDPYITLKEDDDEIERE ELSLLPTDNIIITARTTSDLSSLDFHVYADSDENLYAHHDLMLPTFPLCVEWLDFPSG GSSSSNESPQSGSYVAVGSFDPSIEIWDADLVDGLYPQAILGPSPSLEKPEAKPLGTG KKKKKQMVQPAATDEYHTQPVLGLSWTPNHRNLLLSGSADGTIKLWDLTRESPMPALK SWGSIHGGEKVQGVEWNKSTSSGLDKAVLSAGWDRIVKVWDSRAAQDAIGVKVASDVE CIRWDPWEPTSFFVSLENGLILAYDSRTLSSSKDGKNLSNAQPKYTLSAHDGAASALD INPHIRGCIATGGMDKIVKVWNILDEETEGVKGRKREISLATSRDLGLGKIFTARWSP DPESPLALAAAGSKATLQVWDVASNPGARKAFGDRLRKHGRELGEIKKGGGVVAVEGG EDEESDEE
I206_06965	MSNDNTHHGEQDVLSEYFEKRRERIDVPDLTSKLKSSRDARERA VGRWLDFYSGNIETARAKLEDVQAIRRRHNSDINRTQSYTAGEWRLVYNHQKIALQES QDEKPWPDPDHYFVDAYPAGTRFTLPPSFKDYPPIPGGSTTISQHRETQRAQSHTLAE VGIDVMRKAINDIWNNISSGTSQ
I206_06966	MAKNASDPNIYNFDPTLHSSKEPMSSIGEFGNGRITEFEQPLSR FSDSSTDSSTQLGETEYDGVDIAGPEDHNEHITNSMSFGDNHFISSNLNSIEGCEPPS DEPIPTSYCDTSSYDYSPPPATHRENRSNSLPYGWQNPGSIYTDPDTYGPDYWRTSIP QPIIKYAQMNHSGGPSIASTESETSIKQGSHNTQRPYTASKTHFSPRAFANKIFGLSK SSSPSPDTSDRSTAASTKTQGRRKRAKELFKKFKGRLSFTPGNTGLTHISNAERDLYG CSESEKNKRQARFDRSLDAEGMLRTSNAPSYGLSLGDISIKSGGMSEEGSIFEGITYE DISLEGEEQSQKFTWEELKDRLGERESSLYAF
I206_06967	MVEDKYIGLGLALGGTFLIGSSFIITKKGLNDAARKDMTEYSHP HQRQSGPRNASEDLSYLQNPIWWAGMVTMIVGEVANFAAYTFAPAILVTPLGAMSVII GAILASFLLDEKLGRLGVCGCASCIIGSVIIVLHAPSDKEVETVDEILTYAAKPAFLF YITFVAVFSVYMIYRVVPTHGTRNPMVYLSICSLVGSVSVMAIKGFGVALKLTFAGNN QLTHISTYVFGVVVVGCIMIQMNYFNKALDTFSTNVVNPIYYVFFTTATIIASAILFS GFNTPGGVNTISLICGFLIIFMGVYLLNISREPDTPHHASSLEAGLMNPRMSMSGRMS MDSNNPALWNYGAVPGGATYAPDGSLNSAGHGRRSSIYRAQNSTLFNAFEEEGMALTQ LPEEDESDSEDGRRLNQGPGRNLVGKKSRDEVAGGRHPAYSESG
I206_06968	MSASHKHISPSADSGNGNTHYNSSFPARVKDTPVTKESVASADP PLDRGMAVQLERRKSRDELMQSTAETTTSSLDDNVDAHTSDCNETEVTSGDLQQITKT TEITISSSARTPGAPTRSALPWEDSADLDLADLTEIG
I206_06969	MLLFTALFNFALLGLASASTFTNPLRASGPDPFIVYDHDTSSYL FMQTSGNGLRVTKSSTLDGIRDTANEKLVFQNQDMKDRPTVWAGEIHKVDGNWYIYYS HADAVWVVSGGTDPVGDYNNPVRLYDRGWSLDNTVLMVNGKNYLVFSCHSSDVSNNTI GGSSICISPLTSPTAIDQSQVTVISRPEEAWEQSGGNTNEGPQPLYWAGQTYITYSAS FCSTPDYSLGLIHLTGDDPMDAASWTKKTDGPVFHSGNGEYGPGHNGMFISPDGTELW NVYHATWHPEGQCGDDRSTFAMKVDTTNGLNFGSPVTSGEYTAPSGEDVSPVTAKSEM IALTEPTTTIQLAELTSQPVEAAQATLTSSSEARETTHRTRGSKSRLVTGYDY
I206_06970	MSSEDSDCEAESLLEEYLKSREDSIDVANLTRALFHSQNREDGW LTRWLGRYGGDARTACDEIEKTKLTVTRYYKDPEHKERYTAEEWTLVFDHQVLLEETV MESWRCKNPECNNRTQRIRSRTQPEIAGNGY
I206_06971	MSSQNKPDSTASAFSFSSDDTSSQRGGDRSPPFTYEEFMSNSAT NNSATADNRNSLDPPNRRHSHLGEVTQERTEGSRPPSYASRASPQRSNGSPEAPSHGA MNFEGSGSSSTASSDQSTRSAPEADGHYGSLDEQVYSIYDDRLRMDHEAYLQSRASTT RRAPPAQGSSSRLTRQGSPRL
I206_06972	MSDQRATDTSGENSANDRPDSPPPSYDEATRTGIDPVYRVYESS CPGGPPQAYRPTGAPPSDTSTPDGSTRSDASGN
I206_06973	MPAIRNKQPHSLVEPNDRPQSPTKGWTKDQKRQLFYHVIKNGEK DWKIAVEGKTGHQKNAFTSN
I206_06974	MSDSPVLKYISAGAWSLVEPLISQRAKTLLSTLIEFMENDVLPS EKLFHAQIPTDSPEARWASVPPILEELKDKAKQKGLWNLWLSGGDFQGMAGGQGGGLS NLEYAVMAETMGHSAILAPQATNCSAPDTGNMEVLARYGTSKQKDKYLVPLLKGEIRS SFAMTEYGVASSDATNIRNTTALPSSNSGKLIVKGHKWWITGAGDPRNAIHIVLAMTD PQNPSPHKRHSLVLVEPRLQGVKVVRPLTVFGYDDAPEGHCEVVYDGVEIDVESGVVG GRAGLGKGFEVTYVNNVYSPGRLHHCMRTIGIASRALDILLQRVSDPNRKTFGKYLRE HGTVIADIAHSRAEIDSARLLVLAAARRIDIAGAKGAMQDIGIAKFTVPSMALKVIDR AMQVHGAEGISQDTPLAYYYASLRTLRYADGPDEVHIQQIGKNELKRVEKLNQKSAKI NKESDKLLRENGKVKAKL
I206_06975	MSGQLNDEERALLEYRNSTNFRSVKRADPHVSEISDTSVYSVIY NYDEAGGSGQGKWEKQKQEGPLFVVHRDKSPEWSLYMLNRQGLKNPAIPLIPGEMKLT VIDQGMLQVARRGDKQRIGVWFSEGPEAVERFRQSILRICGEPSKRPDIPNVISSPAV SAVLPVQSEDGLSRLFAGLMKSPPVQSPPVQPAVPTPPTQNTSITTSTTNQPILTADT VQNPSAPAPDPASSDSATQTRLPTSPPPPPPRLASPPGQTADDLLMSILGLAPPPIPP PQQRQQQQQQQVSHPVEKGQPSPFSMPTAPDPPVPEIHQQAIHQFPPQHYQPYPPPTQ GSHNIHHLATPPPHQQYVSPPPEGQFNVQQDHQQRYHKIGNASFAQTANMPSPIPTNA AIRSMSTSPAVSFHTPGTNSPSNGYKSNSESRGIMAEAIVDGIQRKEDQGVKIIGHAM GPEERKNEFKRRVTDLILVGHSASLVVQNFAD
I206_06976	MSLPLHLRSYREKSKDEYTRDFFNVPTQSSLPSSSSDTPSVVKI RDKGYRNYENDQLQGMDINAVISVEGKEEVYAGRLSLLPPFLCFISLDRKSCRCTIPL YTIRRVERLNSRAGVFALSLATWHGMRIILQLTSLLPTAEHFAILLRDALKSQLAAMK QLKPFLPSLYSEYLLSSPLATSTGQQQTDHLLLGDLRGPGGEGKGIYQRGLGETFGFP GDARKMRERSKMKLWREYFMIHGRNLTLLRYPPFQRLLQVGLPSRLRGELWEVMSGSV YLRFANPETYGLLLSQNAGKSSQSTDEIEKDLNRSLPEYKAYQSEEGLARLRRVLVAY SFRNPELGYCQALNIVVAGLLIYMSEEQAFWLLEVLCDRILPGYYSPSMEGTLLDQRV FESLVHRCLPMIQEHFVSVDVQISVASLPWFLSLYINSMPLIFAFRIVDCVLAMGVKV LFQIGLAVLKINGEALLEITDDGMFINLMRSYFSTIGNSAHPDHPDPRVRAITNFQEL LVVAFREFNVITDETITAERKRLRAIISDEIEKFSKRAAVRNLKNIGKFHKDQIGIIY DHYFSAICSPEAGPSTYTGNSLPGDQFDQPRIQVDAQGRVETRIDHRTFRVFLSQIAT WAREETVTTNAFIQRTDRRVADHELIDRLYFAWDSQNQGTLSLQDVVLGLNRVMTAGL MESIEWFFELHDKDKDGFLTKDEVIQLSESLLFIFRNEPGDIYLAAVSKFILNAYEFG DATAPAGSVDEKVPINGDGETASGARERSDSSAGPHNLPYLNLATFRMVVLADELLEN FFANDLTASFQLEQTEDEDYHQAHQRSEGLLGGLMNLVVTNENKNRLHRLADGFGAAL GKHAEWRKPSLGKSSGTDPTLSSSSSTDLKARESLLTPAQQAGQFQRPSISPGSSRTR SSSAVSQSSTKTTDTTGTTTPDDKRSLADVEMRYREESEMVKAAQEAVMHRPNFAIDA IGDSDDEGGEGDDEGVMDEVEAFLKAHGADDEGLKGEQKKVAEGED
I206_06977	MSPILKRDLSEQYRQAKRIASLTLGNSLNEDLYDNSQCSKEIVQ GEGSNKNDLRGYSNSSSARQGIGVEKLEDESNFPIWKGNTITNPWANSVNSKGKGKQK EEEEGEIRNLEQYELELSSNISEDLDEIDKLNIKRSDSIDPHVHLRGRLDFAEPLSDS NLEMNKWKDLRSLLLESTPSLLLSLVGLVFTGELLEHLARWRVFQRVDELFILVPMIG NLKGNLEMCLSARLGTSANIGELNSKKIRKSMLFSNLILLELQALLISFLAALISFIL GWLTIHRLGDSSNSKIPIEPEKPGLEVAGDEWHQGYTKPGFKQLFMVLATGMGAACIS SAILGSFMGNLIILSKWWGIDPDNITPPVAACLGDLLTLFILALLGTALVGTMDTIIP ILAVIIMSIAAGWFTKRVLRNEWIMQVARGAWIPLIGAMLISSGTGMVLAKGVGKYRG FALLAISMTGLTGSIGAIHANRLSTSLHTLLHPKPNSDFVNITSSSPKLHYTGLTPFQ SALTLYLVAFPCQAAFLFFVSWAGWIDLNLGWIGWCCFALTTGISLILAHNMTLFFWS KDLDPDSYTLPIHSALVDFLGQLLLMLAYEICIWQGKDVILKPET
I206_06978	MFDPDFSQKQHGINLSTNQSTNSRALLTNIRNERNLREQRKREE IAALAIQKTWRGRKANRKFRDDLIQQLRVSSDVELLGSGLAVLLRNGWGRDRPTTQTI VVRFCESASRKQGDMYAFIAPLSTHRDWPATLGSIIYRILELVDLDPTSVSVPTYLSL LEAIFDARNYASLTLERQENARSTIVDVIQNRPWVETIVQCMEKFIAKNLPKKKHPTL IPLTRLLTAPFALLPISTESALIPPLINHLLAIPSLPSSLPIPALTHLSVNLNLFTIL LPFASRYSNVLNEGRLNTENGKTYFLGNLATFGITGGMLAKFGVEGISHWMNVIGKVL SGVEEGWGKWVDGLNDDGDELMPVMAESDDDDDNDGPTSLVPTRQAVKPRRQILPANI STKLIILATPQHLSTLSSQIISPSSKAPPTMVSDFATFCVGLLSAFRGSPKWEGILDA LLEGDRGKGLTKRIWRESVRGKWPNSCNSDVWEFLNTNPSTPPLVLLTYMYNHYLLLT PDDEFFSTSSNALSTDEILELAGIWRDLAFWGYMNGISDPANKFTSVVEIENVNKGNE EVRGLFTRGVTRVVERNARRQFADPDFWVMRSQLDLRGFVEAAVYEDAELTSSVDSNT EMTDSTTLPRWAIARQKYTKRQLAYISPRLGLLNNLPMSVPFQTRLEVFRKFIESDQQ RLGINYHSRRQRNYAKIRRTHISQDGFDELGELGPALKSRIEITFVDQYGLTEAGIDG GGLFKEFLTNLSKEVFDTNRGLWLATDQNELYPNPHSYATEPHQLAWYRFIGQILGKA MYEGILVDVSFADFFLAKWLGRQSYLDDLSSLDKELYKGLIILKNYPKPEELALNFAI TEDDLGVKRTIDLVPNGSEIAVTSENRHEYIQLVCKYKLDRQISAQSRAFFNGLSDLI DAKWLRMFDQQELQQLIGGEETLIDIDDLRAHCSVDGFPNDVTPRLFWKVVKGFTHEQ RRALLRFVTSCSRPPLLGFGYLYPKFGVKYNGGNTDRLPSASACFNLLKLPGYTTEQA LRTKLLQAITSGAGFDMS
I206_06979	MSTAAPQTPPAQLRERRPSPVDKLDPAFEPTTPEKEESTLNKLA GVHNIQPTFEPSDRHIPDNYVSYTISKQKYLPPVTWKTLLSNIQWISFLALTITPAVA VYGIFTTSWNTKTAIWSVIYYFITGLGITAGYHRLWAHRAYNASVPLQFALSLAGAGA VEGSIKWWSRGHRAHHRYTDTKLDPYSAHEGFWHAHVGWMLIKPRGKIGVADVSDLSR NKVVRWQHKNYVPLIFLMGFIVPTAVAGLGWGDWWGGFFFAGAARLVFVHHSTFCVNS LAHWLGEQPFDNKHTPRDHFITALCTVGEGYHNFHHQFPMDFRNAIKWYQYDPTKWFI WTMSQFKLASHLKRFPDNEIKKGQYTMKLQELQEQAAHLEWPKSSNDLPVISWDDFKA ESKERALVAVHGFIHDCSSFIEDHPGGAHLIKRAIGTDATTAFFGGVYDHSNAAHNML AMMRVGILDGGMEVEHLKKRPASVASSVSSSPISSAASSASASSVDIQSLADQDEDDR LHQTQLNSQGPAPKAPFGQPQAMIADRWTLSVPPSEKWRIVQAVPEIRPGLLGRTHSL EKITKADSRGETAELIGEKA
I206_06980	MSSSKSFEPFCTAILRTLRRSLNPTNLPKPFPPHTHFPASQGET TSKDNKDVVKESAILIPLMNIRDEPHILMQVRNKNMRVHAGEISFPGGKADKSDESLI HTALRETQEELSIPPSNIEILGMLEPAYSLGNKSRVWPFVGFIHSDSQPFPSIPQTLP SLPIEKIIPNKEEVSSIVLLPLSSFNDFNKLSIHYFRLNLNKPYYRINAKNYILPKSN KKIISKNSIKNKEILQEEEEELRKNRIIGLEENGEFSQDLEIWGLSGWFLNKLAERAG WLNPPPKGNPVD
I206_06981	MARDPLLLNTFADVVRRDKSYWKATDVVTVLRSLGSKSSNRDRG VVALCNYIEGKRNKGKNDEEIRKKIDTLQSMVPTDLKEVFWDASRQTGLALKTDRSLP SAPTPRPQPRPPFGTSSTLNSACCSSKPYLPRRSMTTDIYSTPQSDDSDLEPLSTTST ASFSPTSTISSLPSAEDSSSLSSRASSSSSFFSSPCSSSSWASSPYTPESPHTPCTNE DVSLGLTSYIGRAPSMLSPLEIGGWGRQWEKTYFDRSTPLTSKAQLTPEELSLKRFPI PPDYLPVTSYTDSLKDLPISEEDIRNRIFRTEDQTLCPKSPVFDEGNILPTKSTLKSL DDSWLSFSDDKLSSISGAGLSYSLIPHPDEDADVFEENRQHDEIIRARMAPGMDDGEE TITGIESSRWSAIFPRRNESKNANVEEHQRGPTEASLIRDAYLRMILPQPSTNCKMSS IPGPEQGDSHTILMAYA
I206_06982	MPPKRSLKRRGEDEDRRQSKKVGKNKREITYDTYDEALDGGVEM EEKGERYKDGDKSQRFYERAVELYEKALGFQETYDAAYNQARALYTLSTSFLLPPSCV PTLRRSIALYTQATNLTNSPLLRMDVGFNLSQSYSSLADILEDLDSDTHLEEIRKLRI QARDILQEVMDGQEEYLRATSEDNDADETEEVVDEIETGADAPEESMEVDPDDQDGEE ETFETHLPTPSTYIDTVITLIDIHLSLWTATVEPQTPIQEDQLAVRNILDRAAVFASP GRQAELDLAEVKVLLSMDSIIWEIYKGQAQPTMGLEKSLEGAIAALTAISSSLDITPP EEPTVKPEILTILADTHVVIANRMIFLNKHYPPGPSPLAQQAWFHLSQATVHLNTALE LPTSAFTPKEFKPSVLLSLAKASLSRAKLSPSHDTAKRNATQLIDNANTYAARAGEGL GWKFLRFDGSAPASTGLTLSIGGSNGKDELPWQAGWESELLARNIALQQIRNCLYATK SEIIPQEDKNKYATGLKKVLNKLNAQQGERAISQKDVERFLAEIEDDEGLIEETEKGW WKEVFA
I206_06983	MTLGNSIQLDPDEEEDEVINYWDQNNTADRLYSEGRRLPLQIMM PTSAINTESSEYKRVRSLNNAGYYGWRTQSWDEVSDTDTEETPFADSDYDNPNDQIIP IVPKTPVFKTDDSNDIKTDANATLETPQELPPDTTPQTTLTPSTTSEGSWTELPCIVT RSNSSIPSR
I206_06984	MIFRPRRIRTKVLNYLFNSSKTTSLESIPNSPLYLAIPSSPTSS ISSLDSSSSKSTMSEGESFDMTRRESLPDSIASSSSTASTSRLYPEKIYEVEEEEYEE FDSSESSGLLGFLPNWKKVETTLPFTHNRKQRRRLRKDKWSLALIIGLLCSGVTVSIG LYCVYRHIKDKSIYIAKSDPPQIHSEEIIETFPQWVLNVQEIPNDPTHILIPPHESPK IDLLQPIHDRLPYEVLESYFSTGFIPESFNSDSPHAKQLPLDLVYLFVNASSEYLQEN KAKAEQKEGIEIRGGKRHWRDNGELRGAVRSGIKHMNEHAGKVHVISADWELTNQDVS KLGYSDDDLSEKMNGWRIGQIPEWLNWESQKDQENSKLKWHFHNDIFKLPTKSNGQVI QKPFEVEARSEVETEVEEISKSKAEQVRSEDDLDEDSEYPRIEPPTPVKVYWNNENEW KNLSTPNFNSFAIESRLSWLSGVSENFVAFNDDMFLLRDLSQSDFRHPLLGNILRMDS GLLVAPEMNPMQLTDPGEWGALQHANEIISRRFPSRRRMYLHHLPKTQSKAILNEALT MFGEDLSEATTRTFRESKRGKGDVEMAWLGTNLRIERWREALLWSWIVAKIGHKNEGW NNESRLDLINLLKITDEHIMGNQKLLIQRREDRKTLSDSSEFDNQVNWQGPKATTYQF SSLDGHLNLLSDEPNRRCLFSLEQCLPQNFFIDPKVSYTSEEIFKQMTFAEPSCGDCL IHALINESGERGIEAFLPSPEQIFKPTNNQINHEWTSLEPILPLTNSWEKSNFSIENN VLENQDIWSGSLKRKDGNIELRRWVIKLLSRYNYVFGSTPSMFSPIHNYGQLDFVLGT VERSNDLAMFCINDDQYDGSNEKVKRLFGTWMKDYFGDEIEGVNYEKPNVKWEELLPE DTAQNTTPPTIREYWVPNPDYIEDDVNNEEDSVGQPIGEAD
I206_06985	MAPFQTIIQRQDSTNSTAGDTTSGVPQCVVTCIATAPTAGCSGS DDWTCLCANTDFINSVGACWTSTCSSTDAQYGQAYANQACAFYGVPIGGNGTSTSSPT STETQATGTAVLTPPVVVTPVFIRVQAIMSSISSLLMVIAIILGVLSCRARYKRDQMA SQNRTWNGVTGLTTMDSKAPNTSKNKSRFFNKSTHSSAFGNSRGGINTYQTESFGLNS SNFGGSTTLAGSRSGSSPNHIKSFDNSISPNLTYPITAITSNGGGGGRFTNRLTLNEI ENKSEEWELNNVKLDNKKDNENFVIDNLSPTSFESKMESELESNFTPTENGMDSTIAL NVLPKEGSGNGKTHAF
I206_06986	MSRILRRAFSAFPARAGPSTISSTTIFPSAPSVASTSPKAVRRR RTESPPHKSISLSPLSDADLYDLDTNKKFPFELEKDYQSLKSSSIFENESLTESEQRS LFLKGTAEWRSRVRGYAPRGKKSRHDFILSIMGGKRINAEEEQEPLNEESEEGEERID PSKQIVGQRIYLPNIQIRLMRNHVPEGESYDPYIATFRIPPSMTKNDLRSYLSAVYNL DVTFIRTSNYVGTVGRLRTGEVVKKGGSNQNYKKAVVGLKEPFHYPDDVEELYAQGLK NGQGDALGLAREEWLENQYSINISKEMRNRAMFKYYKGARWRSRTHANLGNTMREIMK RREEREGKVSEEVQRRWSTIAEEALGSKSAEAQPQAA
I206_06987	MQNIDTRLASFEAIVKPKSKAKPAFPLDSKTHPHLTADSLARAG FYHTPGTSEDSWDNCKCFLCNVELGGWDEEDDPFEEHSRRGNCAWADMICTVKVEKRK RDASEGAYTTSYITQATLPQSKESATVREQTYKKWWPHKQKSGWLPTVKNLSQAGFVY TPSTDSKDSVMCPYCEYAVEGWEATDDPWHIHQSKVPDCHFFRAQLDGGGPSQEKLVS CLNPVPRCSKLTCMKAKSAAKGKKSEVTKRSKRATTAAQPIESEVEEDPTQGVDLTSE AESVVASQSTTTTKKASKPRATTTRKTAARGKKKGTTVEPEEVPEIIEIADDTITSIS QTQLSSTLPEEPRPEPEEKRGIVKKTSKAKPRATAAKSKSKKKTDETQSEAEVVQAES EMDDVTENEGQVEEEVELIPQNRLRQSSKPSSSSVAPKSPYSKSNKSSSSSKPLPPLP ASRTPSPQHQPLSQLDRFSNIPPSSPIPNPDLLSPKPKNLLRSSQPQRSSPRRNLPRE VLDSSLIRGAVEAGKVMEGLISSPIVVTPSATKTDRDTQTGWDKEEEKDSVIALTEEQ RSMTLEDLVRLEMKKRYQQMQKEGEDMIQRWEERAKSERKRIEAI
I206_06988	MSTTTASNNTTQDIKTAQSQCSVESTASSNQNELPQRFAHIMNF DSDCENDPLSSDDEYDWLSGEKTEDNRYEVATNAPLDPKHEPRKLLRRKIRPLKVKFH SNGGSEVRSMESIPEKE
I206_06989	MTTVANSFLGDMFSNFSPVSSLNLSLDSPDSNTATVNWGRISNV KTGTTVVTESRGRSASRQSQAASEVDSGIGSSLDDDYMSRRSSLNGTFEQRNCGSVTL PQFDSSEDTPKNRRTSSPIYAASSRCSTEAGLTAEELRQFASIPQHPASSEDSARMIT DFWSRSGTPATEVSGMDSPPSSIESFPPYGDQSPVSPLSMEYGTSYAATSQTYSTYNQ SSIIPSTVARSQCPIKRGGTSFSGIRPSTRGSNSERVWELRERENSIEGKVKRGELDP LKAPTSEFWLSESSVSCGVRDHMDGGDKSSTKPFPKFKRGFKSALLGWHNNTSQIRER KKPDESASDYSTNNPSLESISEERDTSVFDEAAQFWKNGPSKEELKALNMSNLSFIRI CLGSTITNDF
I206_06990	MSAQEVLITGLNGFTAPHIAHHFLTNGWNVRGTVRSNSKKEAVI ALPYLKKWAQEGKLEVVIVEDFLTSDWTEALQEVDAVVLAASPFDMTLTTYEEFAHPA IQGTTRILEAASNVSSIKAIAYVSSTTAVLDYFQPLPSYGGAIYSEKDWLPWTEEDAK TKDGFAAPQWYCISKKYAELAAREIKERTGASWALSTYCPPGIYGPVQQLNRLEEFKT GFGSDLSTTQLYGLLCTGEDKPISPDYNAQYVDVRDLATAIYSGITKKVEGRYVIAGD EITFQRFVNIARKIRPDLTKYIVKGDPYAPEAVVEGAYRFDTTASQNQLGIKC
I206_06991	MSSARDEADLPPSDQRVEELPDSPPPTTGGNGSSSSSNTRPSMT NRSADESSSHVSSSDKPRKRPSFFSSSESSRSKPKTSHPPPPTVEDEYDEYRNTEGSS SSSSDSERGRGRARSRSADHGQGHPDSMDTSPPDAYKPHTHRDQTPFPTNRKATNKGG GSDDDIYMSGAIQSHSDDSPSDSSRRNRLRKKKKGRSTGFSKIFEPWTHANMAYQPYQ PYQPPSVGDTAFPSFNGPNSMYSGYGGMPSTSISPWGPSTNNSYTGMPYSNGSVPFNQ GLPTREMFAGWNPDDAVRAISSFQADWEAQNEPLVFRLTRLNVVRKAKEAAGPEQAPW LKTTTSTANPDPIASPFAQLGSGANSIWNPSMMGTNQSSATPFERKIPFGGTEITVPA GWTPEQAIQQTLSSYGLSSPSPFNQTSPFSQASPSSQANPFSQASPFSQASPFSQTTS ANDDRFGWERKIRITDPLTGRTGIATIPAGVSVQEVLSRVKF
I206_06992	MSPMPNLAFGVVESTLGLSGITPKQPSKVNSFNKNASHLDVNKP LPKDPFEADIKRKGLTLPKTLPIYLRKRWVRMKPEERASIEEEEKEVVNNTLANLNSD KAYREQQVLQLLEQCAIRRDSVESYHTRSSDLPQTSVEEATTPALSNSTSSSLPNSPL LQTPCTIPKGLPSMLYDEEFDMPLLLERLTSGLDPELDELHLYQAMLLSYSGDEDLVV LNDEEGLQRGVRLKIEEDGARKWEVWKEL
I206_06993	MAVATQKMPKTPSSVPGGSIKTYYQNKIEAAELDISKKTQNLRR LEAQRNALNTRVRLLREELQVLQEPGSYVGEVVKVMGKKKVLVKVQPEGKYVVDFSSD IPISSLTPNIRVALRADSYLLHSILPNKIDPLVSLMMVEKVPDSTYEMVGGLDKQIKE IKEVIELPVKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCRFIRVSGSE LVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSSRGGEGGGGGDSEVQRTMME LLNQLDGFEPTKNIKVIMATNRIDILDSALLRPGRIDRKIEFPPPNPEARITILKIHS RKMSLQRGINFRSLAEKMGHCSGAEVRGICTEAGMYALRERRQYVGQEDFEMAVAKVL KKSAESNMSVNRLFS
I206_06994	MQNENQTQAQAGPSREGWHKTTIRIPFYTSQHAQIAKQALEVDK EQNGSFVHRELLTEGDILIANYETTTVRLLRLSTNSFLSSLDLVIRTMTSFASDPNDK FISDEELEKNKEEANQSAGGSKEGIELKGDGRGAGSGEEVKSES
I206_06995	MTAAQLRVQKDLTELELPSTMTTNFPDPTDVLNFTLTITPDEGI YKGGVFRFTFNISGGYPHEPPKVRCTQKIYHPNLDLEGNVCLNILREDWKPVLNLSSV MIGIQYLFLEPNPDDPLNKEAAEDLRRNREGFIQNVKSSMRGGTVKGETFDRVLKA
I206_06996	MALQLSKPLSLALRSSSSLALVSSSSRTKLPFTLSPLINITSNA QLRSRNRSQAVHIRINVRNLVSDAQKPKGLPSDQQASYNQLRPLIDTFEAPIDWAVAY GSGVMKQAQTKPGDSPSLVDLLLSTSSPIDFHTINLRQNPSHYPLHARLIGAKGISHI QENWGANVWYVTNVKIGNTSVKYGIISNSSLIQDLNEWKTFYLSGRLQKPTLPLILSN SSLNELNQAIKKNLKSALCFGLLLCPKEFKEDYLWEKITGLSYSGDPRMSIPGGENPE KIKNIVRGLGAREGFRNMYEPFLKELGIGFLEDKDKKEDKFKNGWKGEGESLLYQPNS TEYQIQLFNSLPLSLRHSVLSHFPNVSNQQSEKEELLTKIKDPKFIPTISSELRNIIH KPALRQSIKGLFTAGFTKSFWYALAKIQKWFKGRAKK
I206_06997	MSFGFGTSSAAKPAFAGFSTSNPQPSTSGTGTSLFGAAPSQPST SLFGSTQPQQQQQQSATGGGLFGSTINQPSGTAGGSSLFGSTSQQPQQQQTGGGLFGS TQAQPQQQGGGLFGSSSTPAGGSTTGGGLFGSTNTNQQQNATGGSSLFGASKPAGSGL FGSTTGGNQTQQPTNGGSLFGQNTQSGNGGTGLFGSTTGNQQQGGTSLFGGGEGMFGQ QSQSQTAKPAGSLFGGGASNTTQPLQQFSTFNTGLNNPSQQQSQQQQNVLGQSTLMIN MNASTSANPFGVKQEPDIETRIKQIQGAWDGNSPDCRFKYFFYNVVEEGTTSRYGRPA GANDDAKWVKALRDNPDPNSMVPVLATGWADVKKREQQQENLASVHQQRVKELQAALS HTRQTSLSASIRLSNLQAQQTQLLHRLIHVVSQTPQYVPIIQSTAFKQEEADMQKQLE NVKSELEGKGKNSIKPSSSSHGLNHHNKTSKGRLLGQVNELWGQLEEIRRKRKNSRQN DWLNNGEDNWLLDEKALSEVAEILSTQQMALQKLSGLVHEGLEDTEVIRQALGMVSNQ ER
I206_06998	MPVRPSSYASHVLSIIQSTGYPPVPSVNELENIRETLLEHAHAI RIPIASGSGSGINKKDDKKKRKERGDDEDRERAALEANEKAGMRLEALERARVEHQRS KIASPGSVRVKRERTSLSPAPSNTSSASFRPGQSHGTPITYGGQIKKKKMKRVVDSDD EAASLSRDRSVPHLSPPHHHAYPHQSSTSGLKLKLSQTHPLAQSKHSRPPTESSPTPP ITGPSSHIDFSLPSIPVRPLIPPRPGVQRPMKPRPKKQSEVDEDFSNAKAPSQVAFPT FWSGVEPYLRDVREDDLAMLGFKADAPESYEMPPRGRHYTEIWDEEDGNPPGTTSRMA VPNLRQQQILSQTNGVQPLSHFIPTSELRDEVLVEEQKGLGSLTERVVAAVVGRLGFG EKKPTIENRQDLLVLEAEMMDREPAKVDVVDLEERMKKELKAVMLLGEHDEYDPTNRE DDEITSALRQSQRLLAQQTSLNEARKSRLTEIAKQRLAYNEYQSLLEGIEKSIESGWS KRIKKYGLTPKKNSSTGGNSIKPPIPDNLKKLVQTRKQWLDGIGQLMKELPRGKVVGL PIKSIYEGIGGEDEEKDEKSVEDQIGLAPDEIEVDETEA
I206_06999	MLPQKTIDSTLNDTALNPTHVSEQQIGNMSPIEKEQEQEQEQNE NIQTKDTEGISEGLLDKAENIKIPIIAEKNNSKSSSSSSITRNKRQKNVAVLTSGGDS AGMNAAVRAVVRQSIARGCQAYIIREGWEGLVRGNTSEPTPSPTPKRTPSTSVNQSPN LQPLSTSSSTTTTNNKNVSFSSLPPSKQIELEKASNALNNTQTESEEDTQEDRVHFTD PSGVAPLSNAPLSFGFGELLKDGAGEGDIEEMAAHGLQGLVIADEEDEQGRSLKGRYI VRVGWDDVRGWLGEGGTLIGSSRCPSFREREGRLKAAHNLIKYGIDCLAVCGGDGSLT GADKLRGEWPSLMDELLATEKIDAEQRETFRHLNIVGLVGSIDNDMSMTDLTIGAPTA LHRICESIDSIASTASSHSRAFVIEVMGRHCGWLALLAGVAMGADFIFIPESPPSTDD WEGEMCNLLQSHRKVGKRKSIVIVAEGALDRNLKPIKPDYVKDILVDRLGLDTRVTTL GHTQRGGRPCAFDRILPTLQGVQAVQALLEATPETPSYMIGIQENKITKVPLLEAVAQ TQAVAEAIENQDFAKAMTYRDSEFREMLQAFQISSSLAVDEEAPKEKRLRVGIIHVGA PAGGMNAATRQAVRFCHNRGHTPVAIYNGFEGLLDDNVSELSWLRVDTWTTRGGSELG TNRTLPNVDLGNVAAGFQRHALDALLVIGGFEAFNSVLILEQNRSNYPSFQIPMVHLP ATISNNVPMTDFSLGSDTSLNALVDACDAIRQSASASRNRVFVVETQGGMSGYLATMG ALAVGAVLVYTPEDGISLKLLQEDVEFLTKRYSLDAKGKSEGRLVIKSEKSSNIYTTE VLTKIFKEEGKELFDARSASLGHTLQGGTPSPMDRTRAARLSLRCMQFLEKHAIPNAQ SSHRGSKGVHTKRTYSTETATMIAIRGSSIVYATMEEVLKHTDMKLRRGKDEWWSDVK KLAEIMGGRQGLISS
I206_07000	MSVEGKTSQTAVVCHGGVDLRTETREIPQPKDGEVQIQVAVTGL CGSDLHYYLHGANGIFKIREPLVLGHEAGGIITSIGSNVDKSFGLKIGDKVAMEVGVS CKSCKNCRKGRYNLCKNMKFASSAKTFPHLDGTLREFMTWPAELVYKLPEGLDLSLAA LAEPLSVVLHAWRRANLQPGSKVLIIGTGAVGLLACALARASGSTTVIAIDIEQGKLD FSKSQNWSTGIFCLPKGPRVSGLESLEIAEQNWKLLKESNVIQEIEGLEDGFDAVFEC TGVETCMQLAPMAAAIGSKVLYVGMGTKVLSLPCGPSLLSEVDLIGVFRYANTYPDAL SLLASGKLGNVGAMASHYYSLENAEQAFNDLRRGKDDNGKTVIKPMVGNLSLIGK
I206_07001	MSWRIGKKIKDSGFLGTKHHHSNTSSTITPSDISRSTTPTPGNP HPDEVARPPVAKSGMLKIRVTAAKGLNLPQGVPVPAPVQAALQSPTLSSPRVNSHLRG GSNARDSLQRKQVWWLPYVVLEFDKNEVLVDALGGDLSSPVWMYSATFDVSRISELSV TAYLRTTQPHLEGQEPKNNGNGEEGDDMGNSDLCLGGIRFTPDLDANKTTDEWINVSG GSGAFHVQVSFKPAQGQPLTIDSFELLKVIGKGSFGKVMQVRKRDTLRIYALKTIRKA HIVSRSEVTHTLAERTVLAQVNCPFIVPLKFSFQSTEKLYLVLAFVNGGELFHHLQRE GKFNETRSRFYAAELLLALEHLHGFNVIYRDLKPENILLDYSGNIALCDFGLCKLNMS ASDTTNTFCGTPEYLAPELLSGHGYTKCVDWWTLGVLLYEMLTGLPPFYDENTNEMYR KILSDPLRFPDSMGSEARSLLTQLLNRDPARRLGVNGAQEIKDHPFFSKHIKFSKLWA KQIQPPFKPAVASAIDTSNFDEEFTSEVPLDSVVDDSHLSQTVQQQFEGFSWSVSPLG ESVGRY
I206_07002	MPARATFKDKTPLKTLAKASKSCSSQSLAYGKCIGKSYQEVSKS MCEEEFRAFKDCVQKAFGRKW
I206_07003	MDIDSPPSPFNPDNTQNSPHKHLELPGFSSSFPLPFRVLFLIGL AQLLWAINLHILSSLGLDISWILDLRDDPEFDSEESLNTSEIVEEGEAGTIELSTPTS TLLIPKHRIGETISLDDDISIEPDTPIRPNTSTRIIRPLSNKLHGPVYKLCLLYTAWC GSGWFIFRLLSNGIEEDMEKWRIIPGFLWVGIIAGILIPWRGIGERERAGLRRAIKRI FLPSFNSPIFFCDVILADILTSFAKVLGDMWISACQIWLGEITHGRVGRAGWAGWVTL GMVSLPYLLRFRQCLLEYYQSSWTSPRPLANALKYFSAFPVILLSAAQKTVLVEVATQ KGVSVQELGELHDRWFGEHRLFRLWLLAVCVNSMFSFYWDVEMDWGLALCEVDTWLGP KGGRKEVALGLLGSPGLGGGNRRRISGGGDGLFDRLKKLWSKPHTINHQRSPCPTPGP TFSSSSTNSSPETTTRSPILGSSKKSIFAFGLRPILLLPDPIVYHLFTVIDLVLRFTW SLKLSSHLHTISEIESGVFMMEALELIRRWMWVFIRIEWENVKMSEMARFGRSNGISS GSNTLWENKDDDP
I206_07004	MLVGRSFPTQNSNSESQTIEAAVKAQVKQVTDGMLEEFMHLKNK LNDLEKKYEHSQQINISNIDNLQTELEFLTTSNFHLSDRNNSDSPNDDPDRSESPDVN HQLNALSSKVKSIQALCERFDAKLDRLDAKVDRNDIRSLNLENEVVNHEVNVNNSAHM VNDLKAQVQELEQKTEDIAKKVKVLEDNQMLTNDLPSDQKQNIRDEVKVIRERLEYAI EQSHVREANRVLRALGKWAHPVPTLNGRRSPEGIKAFAKGIWALNLPRMTKFLSKEEV DKWLTAYEIKDYEFMQHLNDGEKKAFLDHFIGGNTAIDYFC
I206_07005	MSSEATNEWRLLCDQALSGGWNGVSSPEHKEIQEFKQLILKGFA ASLPNQSNIEADILKEIIPKLQSLTNLVHTLQIDNRTLSRDVRQLEDSFKNMRAGSGQ VNAQSAKSFDQAIEALTSHARTSASQLSTFSETLTKLQKDMRELELKN
I206_07006	MPRSPSPRRSRSRSFTRSKSRSPVRREKDGTPEEESLSPFLIRI FVSKGKHVPLVEFDQGNIPHRDEFQVYGWKTSTPSSIIRSLISAFPPPYRSPLARYSF RHIYVDASARGLYRSKDLTSFTGRDLFNSSLKSGGGKEEEEKQNKMDIDLDEIQDNHN GLGKKKIDEKTLNEYGFITGDLLSVSLYIPEPKIPSSSKISSGPSTVPIGSNGIRSFG WDDKSNISGIPKGEEEHWHRGQPLPPQEFGNRGRGGLSIRGGGINRGGRIDRDRNGGD HIGGGNWRGGPPLGPASIGIRGGGGGGGGRGNSRRSPDYERDHRDRDGESRRNGRSRS PVNRDRRESWSSRR
I206_07007	MSEDRKSRWDDPSSSTPPNAAADASDAAARAAAIAAKIAASLRP GAQGNELVKQEKKDEGDFVKDIEVNDLRNRYVLTKGSTQKQIEEETGASVVTKGVWVP DRTKMPVGESPLYLHIVATSQSILDAAVSKVQELIDQELGPLLDQRTIVARNRALGLP PPENAPTGGRQKWPEEKLYISLESLRNFNVRAKTVGPGGMFVKYIQAETGARVQIKGQ GSGFMENDTGRESDDPMHINIAAPTQDQIDRAKVLCNDLLEVLRQEWSKARDAMAAQQ QQHHGQGGVYQQGYGSYAAPQGQQGGQDAYAAYYAQQNGQNGTPTSAPSATPAAGGAA GGATPAEGTDAWAQYAAYWAAYGYDVNDPQFQAWQASQYGQQGQAPQAGAATPAP
I206_07008	MKSLAIIALFPLAYAINVPQTYINTAIARTIELGGLTTQINTQY NIKSTDSSPGEYYLALAGENDQIPAWWEITLGGKKLDVKLLDESPPTVLVDLGKTKKD ETITLSLTQVLSHTSKPLPAEIEQRDPQYLIWKTNSTYVDSWYKTDVERVKIRSPHPS ILSYSSIPETYTRDNTITKSGGTLTLGPFHSLPPTLSSSTKTVEQKPFSVHYETKDPI IGIRTLKRSAEVSHWGSNLNIQDELDLVNEGPKLKGHFSRLAHQQSKFHASVPAQILT ELTLKVPPTAHSPYYYDTIGNVSTSHFRQGSTPASTAAEQKGKKYRTSPRLVEGNLEI KPRYPLLGGWNYSFTVGYDIPLEDVLKSDKEGKRILAIPFLTGLKDVVVDQAELVVIL PEGASDVQVHTPFAVDSIEHSTHKTYLDTTGRYAITLKKGQLTENHAQNVYVTYHYPM SAQFQKPLTIASLVGSLFLLGMGLRRKLSCSFTLDVEGLGYLEGGTDNNIHQHSKVEL PFWLAQTLSLNEFTTFPLPPPYSNRVKSALNASAQSVKLSNLVGNNGWWYRWGRRIAD VLDDEPQADLLNMLLKAFTNRLPALQDLSAHHASADHTLPEGSTSTGELFRDGMEGDE RELFAIGQESGKMAKGWYDSANSRKG
I206_07009	MGHNPLTRAMTLVIPILMILHWPHLALAQSSTTARNPLSTSTTL SPSTTIYNGIPTLVFGSVPTMTACASGLILFNLYNEDPSKVNITLYAINEGIDQSIPS PATTSAIVQSSRPASSVVASTQIAIASSPLPTSAIQSLSSARPIATSAVGAIQPARRD PERRTILDLNITLVTQGANHGWSFNPVRLPEGRYYISGLINDGRQTTNKSNVFSVVEN EDTSCLSAFESLSASATASRTGAAGGGMTGKTTATATASDGALGGGSESATEGTEKKG LNGGAVGGIVIGILGGLAILALLLFCCRRRRGYSHSREHGSEEGGFMGTGIRHQSHHQ KMPSENTTPSDQGHQGVSRIKEPIAMLPVSLRTEESHRSDKTDEKGESSNSINEDGIE SLPNTFLPTPISAAYTTSSTRLSSEDNHNVNEFGKGQSESNGIDPFITPTLSEIPIMS PIYANEEIRQLGHSSIQTPQPVILANVKRSSAEENVKPAIGLGIGGTTLKSSNSLMTQ QSRSDPGKKRTSLNSLGVRSPTQISPDPNSFGIPDNRNDDKKSGMGLGRSTSSRRKPV PSLGPELRTELARQNSLKDLKGNKTNENDNVEESGRRRSYKLMPDPPIIQE
I206_07010	MSFGITRITRSIGYNIPLKSFSNTPSLFNFSTSSLQNQNKYQNQ STSSSSIKDPSHPNLYYHSSKNNPTHLILSFLPNLPINKSKTILGYLPLKNATFNDFK QESRFLDTLHDAIKLGLENQVSNSIKYEAETRPTDGWIHITDERAIPPAGRIGETEDL IGSVYVQNGKIISSTYSPLPTYRLVTPNGVLTLPRGLDTHLINVLKKIDEEERK
I206_07011	MTIRHRSSVCFTSLLIVVTLLLSSLLVSARIPISNENEKRNIYI ARSNGIQEERSHTSLFKRQKNTKKPEYVAHPAGDLICRPFGECESCPKDELDQPFCFP FGNRRLLHCIPSSSVEDNTNGNHLGEVPAWEACGKVIKKERQDFWEFVTANLLFLIVA LTILWARTSALATEQYRQLAARIGIPSGGWV
I206_07012	MSFISIIPRIVSRQPSQIYRISINQFSSSSSSSAASSSSSSTQP KPKKSSKVISAAPAGTKLTGLSILKDKPDPIALEDDQYPTWLWNLLEDTSKAHKIAEN QIELHGEGEKGFDPIKEKRKLKNL
I206_07013	MVEADSSSITSIPCHLSGVTRVCFSPDGSTIFTGGSDCLVRIHK ADQPDSEPGFHDNHTDAVTSLTCSKTNLITASEDNIARIFSYPENEFTGFLTRSSGVP IRWVSVDNSGERVAVCSDDLLSDQQHGIQITASCDGKLKVYDTTSDTPICLKIMEGVI GSSESESSVSCYAAWHPAGNYFAVPLRTNDIGIISREGWSKQTTFTHDGPKTSIGELA WSPNGKYLASSSNTTIYIWATDSRQVIAKYSNSEGAISGLCFSPKSNLIAYTSLDGSF HRWSNPIPDNLPNPYSTAREEAKNLDKLLDDEFGDDEVDMEDKGEDLDNDENDLFGDD GWIVDDEDGVKGAYGKDDDERKWSNGRTEVVNVTKAQASFTPGSTEFKSKKRYLAFNM IGVIDVTDQETHNVVNVEFHDKSTRRGYHFQDHNKYTMASLGEQGVVYASKAESNSPS SVYYRPYDSWASQSDWSITLPPGEDAIAVATGGGASAEGGLGSVIVATSNGYVRFLTA SGLQRYIWRLGEDVVSMAAGRDKVIVVHREGGTSLDGCQNLRYSLMELDTFEILQEGR VPLPKKVTLKWLGFTSDGVPAIYDSAGLLSTLDRYRRPGQARWIPLLDTVSLRKEGKQ EGYWPVGVTSTHFSCIILKGSEKEPWFPRPLIQEVEMHMPLLNMDNQQGKLEESLVRG HLVLSTLTDSVDPDIDYQIKEKEVSLDKEYLQLVQIACKADNLQRALDVARLMHNPGT IEAAAKVAAFYHLPGLQERIVAVKTEKERRKREKKNRPRAAEYTPSSLPIPPSTNGSA STSRGFTSDFAPRPGGSRRSFGGVNRDATPVNSAPKETYIPETPGEDDLNTPAPEDYV EEEQSVSPVAKRRKLDDDEFAVPQVKQKDDFPFPNSAPKNPFAKKAMGSNPFAKSSGG SKPLDQVKSTSFFDRVDDINLSGAPKVSAKPRNPVKVKGKDTNGLGKQTTLFGAGVTK QRPPPAPLPKSTTDSFVSTETEGDYEVTQLEEDEETVESRPGILEESNVQETLSPGEM EVE
I206_07014	MANPDTAAREAITIVGVIGTFLLFSIFTWAFKRNKKSKGKGNGK GDEVKKDGKSSKGDDDGQNGKNRNDEDSKDRKKDRDDDKKSKDKDGNEDDKSNKEKDK KQIPDTPESTNFDPNKPSDDDSDDDGIPMIPSTIKDPVTSMLNSTFQLAPDFKLGIQN NDETPFKVNFHDTPTTAETPNTSNTQPNGGGWYGGRGGGGGGNSPSGGGGIGGIQTIP QTASIPIEYYNNRQSAGYIPPFIPYDIHTPITPNYNIPTSGIPFLNKTKQPITPIPIK RYSSFVPSLINSESSLSEDEIISPLTPSPIQIRNNELISDLIDPYFFTSAKPTKTSFD SNDLHYDDINLSKNKTNSIDLKTRRLSDLIGIPGLGESLYPSQGDKYNPTMFGDLKNR KGNKIPISPIKVPTNMSPIDLNPVHLTEKDDQEEEKVQTLSPLQPGLSPTKTGEKAKR REKERTKNGRTWDEIKGRYLSPRAVDLPTPLAEETKEARKRRKEIEQDDHERAKGRTS KEREKIPISPERARNKVKKMKKQQVKVVDKKTRRVKTEEILVTDVSDAERERLPERAK GRTKISIRDDVSEGEELYTKDGKKKNREKLRKKIRVDSEDEYISVDEDGRRLKKRNKK KLELSDEEEDERIRRKRKDKLRSGGYYSEEDIENRRKLKPNREKLRHERNRGAISDEE EEELYERYTDKRGQPKLRKLALDDPYVDTYRKRQRVRAGSDQVGGIEEDYEMDKFAQG GQGRLKRRQQVGYQDDIPVQSLPNQQQTYQQQPQLVQADPILAQRKVVEDEILRENPG MSRSEREELAQLPLKKMDNEENNFARKGRKLGANIQPDENDLEENVIEKRKKNRKPAE TEVDNDGEVVAFKPTRIRAQRRQSKLKVEDDFLAEEQSQRTRRDVSGGVNDEIMLNAE GEEQVKLKHSVRAEKPAERATRKRDTMVCGKVTDPDFPQGPEEHLQQLHTRRIRKGSS DPLVAADTRSATQEVFIEQSATRHDTENGIDGSSPTLGVKEETATERKAHVLRDDGHV DHEDHDELRHQSRADMPEREGIDIASPKRETKVRRKHKAIEAPISLNNQRRDSLEPIP SPTKLEQGSKERQIGKAEVLDRARGEPEYQRWNRVIEREIASYKIRRNDQVILQEKSM RRWVKRKDSLMQGRNSSEEEDVLRDVVRGIVMKYENKIREDEIELEQLQDASHENSQS QVRQEGKTKPRRERINSDKYAKHMPEQQARDDSYRKARPESVDANREIVDVSELNDEE IAVFEKTGKLPSKHINRDDEPVREKPIRRKQRLALDDKPHRDHEEDDHDRSNQLISIR GDPVPDGDVDFGAPDATMRSKVGKTVPLVLSDGPSIDEDIDERRPLTPTSGKAHIGKF DDDEELYGVKTSSKPKQPVNLTNDSEALPKPRREVVINEKSATRQRRRDSGYESEGGE LIVGDKNRPTQSHESLDPKSSQKVSTKQKIGHSQRKDSTDKDLFEHTDGVVPARYRDD RSNDERRVQRRSEGNTSHSGTRADSEQSTSINGTTKDDLDEYDEILSPKYSEDHNRLN PKSPLQHDVNSINYRKNHTIRSEKPSQIRLEREFDVNPKDEITKTREWPLRGENPIRE LQRDLQVHTAFVPETRGDNRMIKEIVDDDSEESPKHTKPLVIPSGRKRSVPPKEIRRE EGTPLEIGDELEGSDLRTMGHVRRDEKDKRRDQKGKPDVSDEKEDALDIQKDKGISRR KRNKKPASPISPLSPKAHRDILQNLEKNEAIRLKRVQEGKRAFDVSDESEVEEPVVKS SKSRNLKNGNILGSRTKDKDKQIGFEHSSRGKKESEGTDGTGTRSARNGNKKIIDKSS EDEDEDVPAAKRDRKKQDASLQRQARLEDTKDSDHERGLRDDKPRKGQDERKLRHAKT EVENGSQVKSKGGRQGAQRRNLEELKGENPQEDELSSDEDSQEERDGLMRHEARKARQ TEKAEKTGRTSDGEGSRQGPRSPTQTLRRTRRDDQGVQDDIITDEEDEDFTEGRNGRH EEQKSRDHTEETDKTEKKSDQEKTGQSSEETFKATNSRKNNSRKVITENDSEYGDNEF REYHVDRISWKPKIHLKASWKILKNSPIWSTFSIIGFFLYVEITRQLFSLLAISSDSL VQSTSSELAKRDATVDDLGFDIKLTNTLFSNIIGTDDFESTSFFVFISLWNIICIPIL GYLIYITLESASNPRDKKHSKSWLLWKLRRICQSSIKVILLDKRINGPRKLIKRCTLN TLSRISIFIFQLIGSILVFRQTMSLVYMAGTGSETTFSILPDVAATQKSLLEFAKSLT NGQIFAIVNFISIILLLNLACGWYVLLNSQAKTPLGNARSIFKWLLMSITTASFIICL FYFQDIANYLIKTHSGNTQDGGTIVLLGANIVFLTLVPAMGFVVYHLSKIWDRKFPGG IMKSIEGLMARFRTN
I206_07015	MSTPPVVILDNGAYDIKAGISGVDWEPRIFPNSIARSRNEKRVY VSDEIDQCKDLSGIVYRRPFERGMLVNWDAEKIIWDRVFSPSGLNVNPSESSLLVTEP YFNLPNIAESYDQMVFEEWEFQSYFRCTPAALIPYGGLFDDETGISPECTIVIDVGYS FTHVIPLRDGQIIWEHVKRIDVGGKLLTNHLKHLISFRQWNMIDQTHVVNDVREACGY VSMDWRRDLEICKQNPKKNPIVQEYVLPDFSSRSTSHQGNGHKKPIVEDEEQILVMGN ERFAGPELLFNPSDIGLKQSGLPETIAHVISCMPEELRGMYWAHIGIFGGLGNIEALG ERLERDLQVLCPVHYEIGIFEAFDTASPPYVAATSLTTSEIYLSTYPVTRAEYQEHGS SICRRRFGGPAYNVNPPGFTSGEMGGEIDEDERERRYAMGLESKKGKGKRKKEEEEVI SGNWGGRRRRAGGML
I206_07016	MSQRIHLNPNAQRQQPMMGMQGGGYTPDPISRPSNSTSYGNGSS DDLLGKVQAYTSKIEDLIEAYTQPIRPYVPAMARFLIVVTFLEDALRILTQWSDQLWY LQKHRHFPWGLSHLFLLINVFAMLGGSLGVIGKKFPDYSVFCLLGVVVAQGLGYGLLF DLSFFLRNLSVIGGLLMVLSDSLQNKKKLFAGLPSLSETDRRKYFQLAGRILLIFLFI GFIFQGSWSFARVIVSIVGLGACIMVAVGFKAKWSATFLVVLLSVFNVFINNWWSVHS AHPQRDFLKYDFFQTLSIVGGLLLLVNIGPGGFSVDEKKKVY
I206_07017	MILALSPYMMNIPRSSSPLNPNLNTSSDPLSSPTPSSSRNYQSF SLHSNKNSSPSVPLLSTRYIRPSSSSKKSSSSSSSRRASSSNETNSDLFSEGTTPTEG LMWREKFTRRLEERERRKKSRELNLDKKRGLQQKREFNLEEEEEADRKAQQDDEEIFR RLVILQRKKNQHATLQSHELETGGSDPLLPDLEDELLQLEKEEKELINRLDQIQEIDH NHNLYSSPIPVKQNFKFNSHHQNIIEDDKYEEEWEKEAALAEEQERDQEDIILVEQAE LQQFAQIRHSQNHNSMDIDMDLDEQVDWEAFDSMDIEQCQ
I206_07018	MTRASQTQSLAKLDFSEPLIQSGKRENTEALLKRIKTLHQKLST LEQDAVDIKSLDPIRKPLIKDVILHHKDRGVKAYAACCLADLLRLYAPDAPYSESQLR DIFNFFLVQLTSNLKLTTSSTQPLQISRNKSNIINQPSQPSQTTGQRVTDIPYYSEYY HLVESLATIKSVVLVCDVPDSDMLMEGFFNGFMEIVRPDMNKTLIRYLRDILVALIEE ASQLPQGVMDCLISQFENYASRPEVLSFQLTVDVCNQVADKLKRPIYAHFSEIQANHG RDPSPDDIKALTESHALLITIYKFCPDLLLNVIPLLEDNLKVSDELIIRQLSVKTLGR LFAQRAGSEDPSKKYPSTWRAWLGRRVDKAFNVRLTWVEGTKGVLTNHPEVREELQSS LRDRIHDSDERIRAAICKIVGSLDYETALHHISLDTLKEIAGRMSDKKASVRSESTSA LAKLWNLAYSEIESSNPDAIKQFAWIPEAMLLATCNSIVTLEMRMQLTSIFKTTILPL PKETDDEQAWVDRLLFVTSRLNDDAFVALRKIIGLAEYAKGNSPFMAFVLFCEDYNGG VIADGSQDAKLKLNFVIDAIARSYFGDAEKAKKDLNAFAAANEARLFKLYKTCIDIQS SLASIVKAKNELLRKVHQSHDDLLETITSLVNISTWNIVNQSSIPPLLKRISKPESEA SSTPALRVLGILAKEGAPLFKHHAAQLVVAMMDRKNDHLVELALQAMGAVCKIYPEVA PAEHRPIERAINIALEGTPRQAKFAARFLARSKEPDACESLVTEIVKEFKKEDQKHLL THLRALTELALIKPRVVEAQSEEIMDFIMAQVINSPSPSTDVGEDDKWVEEDSLEPLD RAKISSLKFLTHRTLGFARDPEADTILRPVLKLLSDVLFNDGKINENTQEGGAVRCHL RLKSSVCLLKLANVRTFDKAIAEQCQFDLVVGAVQDPCYMVRHLYLRKLGKVVPTQRL SPQWNIAPILIAMDPEDENKQAVSVDHLELLGWLSNRHRTLRMEPDKIERTEMPLARL LHFLAHQPDNNLELTHVFRIRFIEMYLDCVAHRDNVGLLFAIAQGLKGLRDRSAESSV PLWILSELAVIIIRNRADKNAWPIPIYPGKIRFPKDIFHHADNHEEKSKVQHTQYLTQ EVREWAKTLGRRNVNVASAPTAQKKAQSGNNHTRSPPTSAKRKAATSGNKKSSKKIRI EESDDDEEDDNEEDSDDEDNGDDEDESEEGEEEGDDDEGVLGRGGKRGAKTKAKRAVS GKKAKKVKVKKSDKSVDDGDKSEESDLTDLSDED
I206_07019	MSTILPLTGGGNGNGSGSGGGGSGNSIYSSYNSRKRSEINWNSI NSIQGGNNNSRNNSNGLYLMLKRLTKFRSMDFELAFWQLTYLVVAPRRVYKQTYHHKQ TKNQWARDDPAMLLLISGCLIVSGIAWSLLNQYTFLQTILTIFLFIFRDFLLFSIIIS FLLYLISNKLLLSIPLSINNNNNDDDNKVEFSYSFDVSVNSFFPFFLTIYIGLLPLYN LVIRDNWICLWVGNTLFLIASVQYVYVTYLGYVALPFIARSELLLSPLLPIFGGYLLS LLGFNISKFILQVYFGK
I206_07020	MSSVFSTLLTPYGYSSGTCGYCSPSGERSKGKTSSKYGMITSQM TPEFYQLLINKGWRRSGDYIYHPDMARTCCPQYTIRLNTKTFKANKKHRQVINRFNRY LETGQKPGESSTSSITDPQGGKQSTSYEEKILKGKGKGKGKNNTNSIGIIDELHKFEI GYVAEEEEKELSHRFTTQLVPAKSTKETFELYKLYQIVIHKDKPEKITRTGFDRFLCG KTLIQTPINYKMKVDQEIQDGKLPKTYGQYHLLYKIDGILIGLSVIDILPCCVSSVYF IWHPDWAWASLGKFSALYEISLSERMREKGIEEMQWVYMGYWIPDCQKMKYKSEYSPS ELLDPGTNTFHLLDIKLESFLIANPSGYFPFSGIISSSNSHTSLNDSTDLAKVKTKGY ELSIDSGSESEDEAPSSWPVPTPPGFEDPSNISDEQLEQVILLMGRNKFTGQGGSLIP IIVRLFSFLFVLSIIDAT
I206_07021	MSETIEFGQYVVERLKQAGVKQVFGVPGDFNLEWLDFVENDPDI EWVGNANELNAAYAADGYARVKGGLAVIVTTFGVGELSALCGIAGALAERVPVLHIVG APSTKLQSKDSLLHHTLNLPGSFTTFSTMSAPLSCSQALLNEIAPKTPTTWTEAFDKT LTDVLEQCRPGYVEIPTDAFHHKVSAAGLKEKLPHPHSAPPPESVAASISPQDAQASA TTSLAAATTVAPTRAPSDEVTAYVVEEIAQRFKKAKKPVILVDACAGRFGMAGEVRNL VEGCGIRFFETPMGKSLLDEHHPLFGGCYAGANSLPAVREEVEAADFVLYVGALKSDF NSGSFSVNIDPKITIELHSFTTNIGYAAYPTTDIRHVLPDLLPAFKEVIQSRAASPQA GESAEQKVQAGRVESTVPEPKSNEIKHEWLWPRVGKWFADTDIIITETGTSSFGLTNV LLPSHSTYIAQILWGAIGWSVGACLGAAMAAQEDDRNRRTVLFVGDGSLQLTLQEIGT MLRRGVHPYLFVLNNDGYEIERQIHGETAKYNDIQLYDHQLLLPFLAGKKCQTPYQSY VVKTPSELNKLLDDEEFNKADRLRLIEVYMPRGDAPEGLIRQAKLTAEANAQA
I206_07022	MSISPPSFLLPYLEKYPIQAGALLTTIYDLTLSVGWIDTRIMEL GGWVVLIGHKNKSDPLRAIIPIPIHTTCLKPSSLKSIFNQLKITLINDLPKPFENLSP TINDLKNQIIQKNSSQSNLIKNDDDNISEKQNQKEEEEDEIILDKETIYTSIVTPDST VVYYKISKGIKKPSDIPDE
I206_07023	MAEEEVDWDDDWRVGGPSQPTETVENGNGNGNGNEKQSEDDVIS LDGGDVDNEEVATADKNAQDGQTQTDQTEKDNQAS
I206_07024	MSKSHNRHFFYHKETNTTVWDKPSSNEKEKEEQDIRPASPPLAQ TTSQSTQRRSNQDTDLSGKPLATGKVPPTGPSERKEHVRGVETKPHYDKYWAQRDVSY QAQTQAQHQERMAEKEKRRAREPSPIKTGQEYKRFRGDNDGNESRRQPELKPLQDYKP AQPSPRPSDTSSVTTDKPKDDPRTRPYNGAYVPPVVRHHNPHYTKPSPRSPTGSRGSA TPSYQPNSGPKYQKDFSRPPPSADYRKDDYSRPPPSARHDSATYTSRGPPISRGEVDE AEIERAKIRDEARKAQEKLEFLKQAEARLEREMSEKRRPGPPTEKDNYSRSSRPSYDR PGPPSDIKRSYPPRERDYPPSQHHNPRYDKGSDSRYEGGRGGYQPEATRGGGYDNRSS GPPRGYDNRPPPRGGYDDRSYQSRPPPFRPNSPPPPSSRYADAPREGRRSPPPFGSRS GPRPDSPPRRGDRDLASRLGGGRGRSELAAEPMSAQAIDRERDRRSPPPSYRDRPRSG PGPSRPLAERMSFDDRRGPR
I206_07025	MKSLIIFIPLFLVKVLGETSKPNTGDQIQSFLFGFTESNLILPI ISSCPTPISLSTINPIINSKTSDPKAPYTMITLIHEQLLDDNHIQYERLYSASLNVGD MSKIKEIQHPWMNGTQFIACIWGSNGASGGCQDLITVVPSEQTFDAYATPNSTCRNRD VLESWVTPVNETIDVEVLGISGDVSWNAWPSACSDLQINPKNGTPPYTLLVAPAAHPP VNITTSELPINYTIRLTHGQAFMLALYDSSGNSWAFGPLHAGESEDLSCLAVATGQEM PAKETRIGLSVLAGSVAGAFVVGCLGAALIMWCFMGRGKSIRKSSSEQDLYSHPRPAS YSTPTNSIIGKQLTPSSNIVTPYTDSPRLEFDFGTPATLYDPHVTGPNPQSYPRPPLV GSSRSHSGGYPANDNYSDNISMREFRDPVEPQLSPPLNIPPRGQRQTSASSLGTPSNS NNVQRHPPSPRPGSFGSANNSGNNQNDEPSSPTSLRGMMQRNVYVVHADGGNGDLHIQ LPDSNSRVIELPPNYRPGPGPEPNSNESILINGQQPPLPSHRLPSPPTNHAMDGQVLG LQPIRSNNMTEDELRARAQARMREKVRHEPMTST
I206_07026	MSNHHFRLFVSRRNVFILFFSIVILICQFTITKISINLVPISIS SVFLPTYDNLYEDLAISTTSAGDHGTFVETSPFDLPRLIKSKSNQRGFKQIFSSPEEV QEVTINGEDLNKSDQLRIAVLEHAGFHEEVVGAVLKTLTDLEVNFTLYRDNFRWGYEK VLENGMNYTINPTPYSDGTFVNSINNNEIDIILHISCDRGFWNWPRNLKSYESMKLNK NLEIICMLHELENLNEEERKSWEIAVNQNRLTYLTLSKHVKNYLKHEILKWSHILNQP NWGKIKVEEFIPIFPVDTNKLPDSEKVKVSIFYENRDERIPSRLAIIGNIQPWRRNYN PILADLHKSLQADPGFWGYLPLSSEPNATYIPANDHQKPPVTLHFIGSINPKVQLEIP ESMRDMVNIHADLSYSDFYRLLGSMDLVLPAFVGWTYLEKKLSSAIPAAIVSRVPILG SELLLNAYQFLRNPAIELHSLGLREIEAIELLRKGIDPYTNLSKYQNDVSSNTIEQSS IEPQPLLPKINHDSRFGGRTILQGKKEMSDDFFTTDNSVNYGNTDWEVYHQNIYKANQ EMMSDLFIRLAKRIHNRKMSSNQP
I206_07027	MPSIPFYIPDTSPLFIYTPSNSWLGAYRPEGDGWDQTFHTTASG DAIVGINVTASSIRFQLSSSSTTSSLSSKRDESTSCSIEYRINGSDWSDACLPTNNKY LRTDLPFGIHLIELKGKNDNGMEFMGIQGELPIYNTGSAINQTIDDSSSSFGYTNPDQ WTSLSSSSNNENYANFTLKTMYEQTGLNGFYGESLSGTTVQGASVDLTFQGEAIYVYG LSGLNCGTAQITLDGQVQHDIDMRNNWETHGSLLYMSGGFNPNDTHTLQILNNQQGEQ LIIDYAMVTVPNEEKESHIPLIAGISGGISFFLFCVGICWLLIIRRNKSQNIRSRQQK AQYAFMQGTGKDGLNSAKSSGRSNSSLFSPLTPNSGQSSFVATQGEYVWGTGRPAGLD LTITSNSKSPNPNTPGNGINTATWNVTGLPRSPPPDYPDYIPYKPTTPDTPNGLSSKS AYRPVIPRSSTTPNPMPISSSSPTPLLRSATSASNNGWTHTASPSLKSRSPLLERMNS LTLLSAKGHKPEYDDIALDNYQKPTPITPPPIPAEYGDYTPTTGSNQAHSPFTKSPST MMTTSPASSIGVQRIATRSSIMSNMARYFPAREAIHSIHRANSDDAISALNNGTAALN SQYSAEVADHGRIIPNGMYYNLPTTTTSQTDNTPKTPKTGISSPRSEVGLTRGLSVKT IDTVKSWLPDFIFATSSTSRLNQNLSSLPSVPSTPNQAARPDSGIFPLALNNNSIRSL PLTNRGTGTGTPNSTQMMPSTATRSFFGNAFTGSGGLINSPSTAKTLGSIGENYTTPK TGNSSYNSSPIVMSGIRGNPAPSRSLTESSGYSYGFSGSGASAGREINGNQMFIELNP NSPIGDSRPGSEFTHVG
I206_07028	MSEFFVKFHGPAETPFAKGVWKIHVELPEQFPYKSPSIGFMNKI FHPNIDELSGSVCLDVINQTWSPMFELINIFEIFLPQLLRYPNPADPLNGEAAALLMR DPKAYAKKVESYVDRYATPEDADQAGEDDEDDSDEEVTNSPLRKKVVGNGHTNGNGNG NGNGVTKASNGNSNGNGKINGDADGQQEEDDEDDTMSDMGELSDEDGIMGEMDD
I206_07029	MASSSHPLLPPLSGKAYFIPSPHEEHNFHPHPSLQLATRVTLQS AGVGLLVSAVQNALDKHNSGALGIVTRTGGTIGFFAAMGFSFSLTQAITANIRETDDA LNGAAGGCAAGFLAGVRAKSLPMAFGACAGMGTLIGTFNAAGNALTGTNRKLLPRPER EELRQAFFKQPKHVDEAA
I206_07030	MSTKSVSRSLSPSSPPFIFPQSPPSRADSTSTETLASTYVNTGS SSSESERQLVTSKAVGAEREKAKTLASSIPTATAKSFGAGWKSSSSLKSHDSPLSQGG GIQAFLPIPANGGTFGTTIGSRSRSPSLPILPSSTSVYRGNPSLAAQGPTLAPINTQS LPHDFELHTPCSPSSGGGYFASHPISPNEDTPHTHPLRHHPSMSSIEIELDDHSLPYS QTDIYGSISPINHHTSVPNLPFVQNAMYRKPSGLRFEYEDDDTPIQYDSELGMKRGLR RMPAFNFGQMGARSKLMSTKSMPVIPMMGEGRNVFIHRVNEDLSEDGLKAYASDFGDV ISVKIPPRTTRPYLTLHDRFKRPEQAQNFIAHLKMRNIECEFGKEDYQVQNKALEDPN SANMYISGLPTTLTFDELSDLLAPNKICSWKPLVDEAGNRRGPIMARLQTRVQANDVI HKINGKYYQGMSERIQARIADSDEQKQFKRHQSFSRDRPIPVEPIIRSEFPDEDANDI ELLQTREFLASQLEAINNKLSRTQTRPTKDSSLPNRNGYETDIIGPSPVSVGQVPSWA TGHRHTSSNYSIDPFGSWPDWTGTWGHQAQSATVYDNHVQPRQQSLSLSDQGHPNFKA GTFPPNTLHLVKSSPELGGNYDSTTKLWWKDNA
I206_07031	MSEQNQVTDKVDEQIDEALGSESSQTNAGGEAETIQPIKADEDE PNINTGGSDEKAEEGDELVEKDIRKKREKPSPIILPKDLLESQEVDKLHEPESPTTSL INSLRSQLTLISDQSILLNQKLISSISKSADLEDELNELINENKKLNLKTNELKKEKE NWEESMKTGLLVERSQIKDEMQKLAQGLVEEERKRGNAEEKRREVENEVDDLTAKLFD QANAMVATERMSRVEAESRLKLTEENLAAAESAVRDMQLHLQNAHQSSSSNLNGSSSQ KSTEITTITITRKYLSSHLPYTEFIGFITHLRSLRPLKETSKNTFPPPLITNLLTQPF LNRSIAEDNDPTLRLDVAPDLSWLSRRSVSQAILSGDLIIEPVSTSTFLNNSSLAIQD IGCSLCGKSIFPHHVPQSPGGSQFGPPPAHPQRGISSTGSRFSLKPFFNSTSTTSSSS STPVPTSIPNVPSPSHSPAASPAIGSGGNVSSVYVFRIAKSQIQTGSSTEKGDSKLYP LCRTGWCLERMRATCEFWHFVRTGIIHVVWHGDDGIQSKENNRLSGSSGPANETTTIE QPIPITGVKNDEPPTPTQSTLVNTEESKVRPPPLPQRKKSSWALGFKLSDKSTGSWTR GWKSGSGPTSPGSGPERRESVGSLGAEKGDNASNGGLGLGEAIDIDEKKSFIEVEGES EEKDVPVIQEPLSLDEPEERKQNELGEDQEQRPGLSRAGSNISIPLSTNTDETGFHTP KGGQNDLPSEDGHEQGSSEEEEIKRDEQATPHPPLPIDTTSRKEDEDSESTVKLNSPS SATDSPTKAPPPIPRRAAARNRLSQLSANGSGANSPIIDSPNNSTPPTPVKEKAGGED AEEGDDLSILRELRDELDQRKAEDEQDDENGIQVVEQEKNDISIKDVNESKDNDIIPT EQENESKTVSETRGQEEEEEEEQPFTPINLDEKFPLSPLQQQVFPINPSSSSSSSSRP NSQPPPLPPALPPRHPKTPTLQSNSNSNSNTIIDGKRYFNIKSKIDDLDNQWEEKTWE KIVKLKEEMFKARIGVIDE
I206_07032	MSTKAIREFDAKQLVSYWLNRSPTPIPTKTESSLSAAQVAQVEW DPVTKQLSPPIKPGQGLPEWVFSSKLVGKPDQLIKRRGKAGLLCLNKGWEETGAWIEE KAGKPVTVESTTGTLNTFIIEPFCPHPADTEYYVCINSTREGDWILFTHEGGVDVGDV DAKALKLLLPVGEEFPSREHLISTLLAHVAPAKQDVLADFLIRLYAVYVDLHFAYLEI NPLVVLDPTAEGKPSEIHYLDMAAKLDQTADFLCGPKWAVARDTASPSAGTSIKADRG PPMVWPAPFGRDLTKEEAYIQKLDASTGASLKLTVLNQTGRVWTMVAGGGASVVYSDA IAAAGFAHELANYGEYSGAPTEGQTYEYAKTIIDLIVRGEPHPEGKVLIIGGGAANFS DVAATFKGIIRALKQFKEGLLRHKVSIWVRRAGPNYQEGLKAMRLCGESIGVPMKVYG PESPITAIVPMALGIQRPASAATRDVTPIASSPASPAANGTAPLPGSAAQEPVGSVKA DGSREQPNDQIIRFETEKLGSRPWFRPFDEHTRSFVFGLQPRAIQGMLDFDFSCGRKK PSVAAMIYPFGGHHIQKFYWGTKETLLPVYTSVGEAIKKHPDVDVVVNFSSSRSVYAS TLDILTYPQIKSIGIIAEGVPERHARELLHLAVKKEVIIIGPATVGGIKPGCFRIGNT GGMMDNLIACKLYRPGSVGYVSKSGGMSNELNNILSYTTNGVYEGVAIGGDRYPGTSF IDHLLRYEADPECKLLLLLGEVGGTEEYRVIEAVNKGIIKKPIVAWAIGTCAKMFTSE VQFGHAGSMANSDLETADAKNRAMKAAGFIVPDTFEDLPEVLKATYQKLVSAGTITPR AEIEPPAIPMDYQWASKLGLIRKPAAFISTISDERGQELMYAGMRISDVFKEELGIGG VISLLWFKRRLPAFACKFIEMVLQLTADHGPAVSGAMNTIITARAGKDLISSLVAGLL TIGDRFGGALDGAAAEFSRGVSSGLTPREFVDQNRKANKLIPGIGHKIKSKTNPDLRV TLVVDYVKKHFPSHKTLDFALAVEDVTTQKANTLILNVDGAIAASFCDLLSGSGAFTE EEAAEYLKSGTLNGLFVLGRSIGFVGHYLDQKLLKQPLYRHPADDIFINMQERVVFQP GSA
I206_07033	MTGPSLISSAEQSMADIPIDPSLLAEDAAAEQQFEAEQDDAQSE DEAQSELNEYIYSDVENDFEDQPEAGPSQSDDVDDAVFDRLAGYVSRAQISGETGDLG GRDFQREIALADAEELPSSSRRKLPKPKRIHKPSHEVTRLMGQANFQFVEEQYQEAIE LYLEVLRHDPYMSAAWASLATCYDDTGDREKARQMRFLGAHIDNDESAWRELAYEFKE TGEKEDQFVYCLRKALKFNPSATDLLFELGIIYIAQRQKTRASNVFRQLIKNETFAHD FDVIMEFHQIMIEMNQRSYIVQTMREAFDWHLKVFNPPIFESNLGICTMSIERIIEMV DDFLVLDDLDQALEIARKGQRWLQGRKAQRNWDNFDKDDREYDPPGTSRLNSETKEME ENEGFEMNVQLRHRLALVRLRLGDIEEAMIHVNEILNLDVLQYHPLFVALAEALMKCE LWEKALDCYASIQECEELPDSTEEVYNVGICHHHLKDLHQAEEALKWVVDNSPDNINA RLRLANVLEDMGRKAEALELVSDIIRTRAHREKDRYSMRRPSEYPLEEEEEEQPTPSD SYTKAEKAAKKKLTKRILEDQMRSQMQNLWKDVQDAEKGIEEGDIGALDRFISAAGTM IENYRMNRGNFSKSRGVVRIIKSRKQRRNDVDTQAREMQDRLERMLGCEFDQSSDCNK VLTMFEIVEDDAPAEGPTHVTFRRTEFYGLNYEEWLTLTVKYCCVLMVKSEEDIAMDI LEHVIWSGLFHNRRCEIALRLTMIACGMRLRAYDKITENCKRLSQMQQFDPSPILLML NTISTGGIKALNAWGTASVQHFISREMRTYHEVITDLTTLSISKDTQESNNNGESSTS VSRIHYNAPNERWAINKNTKFDEDNELDENLNEEEEELGEGDEKKYKPDLPKCDSPYW YTLHGQEMVTNKSYQSALFYLFRAYEIDQYNPFICLLIAQSFFGRAMNRQSDNRNYQI AQGMAFLTRYRKLSSPDPIIQEEVEYNYGRSFHGIGINHLAINHYEKVLNSIEKRMNQ SMNSNIIRERSLAFQSAHNLILLYSTSGNFNLVKEKSKWLSI
I206_07034	MSYDSNKKKSKLSNLTSKLPIPGSGVDRFRRFSKESNLLNPNSP TSSNSFSYSNHNNNNTRSGSPTLSEGMSSSNLHGNLNEQQQQYVNYRNDDDLIPPIAP FIPDKDSPLSSRRSSNSFLPTNNNNEKLDSNGKNRQSSLSLNYVPAKFTKLHSPGNRL NNNNNNNNHKKAKQGGGRDAFAKNAQRMGTLGTVDDDEGVVFQIGKGGLKKKKPKLRW NRFKWVLFVANTILIAYGLTALISAILVWLNVFYQSDVIRVGNRTELIISTVAAAMIV LTSLIGYAGILLNNRAFLAVYTLLLWICLALMVTPGYMTYKQKTFNLEGKINSQWSRN LGSQGRLRIQDALRCCGYFSPFVEATVSPLCYSRSNLPGCKAKYLHLERHVLSIWVAC SFGLVPAHILIIVASLLCSNHITYRFGKGLTPKRYRLDLGSMAVIMDEYASQIAAQYG PNVAAAALNRSSIYLPNEYDSTSGGSRRGSSINLSTGNSTGLAPPITNPTSRLSTTNS TGYNSNTGLVSRSRSGSATNVSTRGISLYDPNNPRTSFDHIRSGSENSLNPRFFENDN SSIRNNLGGGTSTYSNYNQSGLGNQLGNESVLSFSSDDHRQQGRK
I206_07035	MSVVASPLPHQPFSDTELYIPSGSVSAHAELPPPLPSPTLGLES PSRVVPFPVFQYRHTSPYPTPHSFSALGPPPPTDMASHLLGSISAPPAPKGPPISLPA MTPDLIPQIQAPLPPTPDASPPSSKLLSPTMMIKLAPPADDDRQYEISIMENHPRLHV LNSDYGFVSSSDQDSVTTPRRVPLISVDSSSSTVSAVETPPARIRSKSGSEGVPQTAP AKVAGENRSSTSRPKSMGPPPRPRRSQTAYPAPQSVRMSESRSADSRAKLRNHIPPIP RNTSHGPIGSPALSRHPTDASAVNPSRTGFISLSASNSEMAPPDLGGPDGLEAKVVLL GSQGVGKTSLILRYTTRNFSKTPAPATIGSSLHTRKLVHDGARVKLQIWDTAGQERFR SMAPIYYRGAHVCVLVYDIADRRSFEDVRSWLDELGRTVPKETVIFVVGAKIDLSRDR VVTLEEARSTIETWLKPPPAPEPTILLSPPPRSLFRSSTSTSRDISLYGHANNTPASD PPSRSHSHGALSSLNQSTPPVPPPRSVDTHRQPVPFPTSRPAANKPTLAKVKTSSSPP SVRFLSPTSPNKLAFPTLQSPTKPTSATFTDPVRPSITPAAVMGHRSSRSSRFSISGA LGLNRTTSLSGAASSLAQLAEAPTSPRLSTDNSAARSVHSSTDSPHNRTRIESTPLFS SFENGVARADRRRSEDWSSKSWKMGQGPGAAETLGEFGEGIKKKQSGELLTPLSASTG SDFRRTSNGTGMRSRGGSLGRDPRLYGDEPIRNGTHTLDESEDGLWGVEVEGIRLGEC SALSGQGVEALFISITSLLVQKKDKIERERVLRKKNSVMLTDPKDGKADLEKAKNGYG CCA
I206_07036	MSSTRRIAVIIGAGPGLATSIAKQLSSTHSLVLLSRSLPNSLSK LNLPSTIPKENILALSSDGSATSLKKAFEDLKKHWPNGKVDIGIYNVNQSFGLRDFLD SDESQLRAGLESGVVGGWNFSQSIIPLFLSNEPDEITGARGVLLFTGATMSLRGGAKF SSLAPGMFARRSLSQSLAREFGPKGIHVGHIILDGIIETDRVKEMMGEDKDHSRLNPD HIAQTYLSMINQPRTAWTQELDIR
I206_07037	MSTFNPLPLLSPHLPTLLISTIFFYCIQIASHKITPKIFKKYEE FDKRTKIGWASHTVSMVHAILVIPLSIKCLSSTLLKEDPIFGYDSFVGHVFAFSSGYF LWDTLDSIFNSTIGFVIHGAACLAVFIFSFRPFLAGFGAPFLLWELSTPLLNIHWFMD KAGLSTKYPTFFLINALMFMLTFFLARIVYGGANSLIFFKTMWIERNRIPLHLHIIYC SGNLALNALNWLWFSKMLQKMLLRLQGDKKPIKPILQVKKGEGEKEPLLEKSNDNNAI NEEEEEEEGSLTLPANPPSPIIEKRDHEEL
I206_07038	MRFELASALLLPLALATPITTSQDGTLAPLSENGEHIDDAYIVV FKKGVDATQIALHMTGIEQHHANDPLYTFSADGEVETGGVKHVYQPPTNDNGFFGYAG KFSTNTLNTIRSSPEVDYVERDQIMRTQDIAQGVDDWLDSASAPNFVDEIASDSVTTE KGAPWGLARISHRNELRLSTFTEYHYDAHGGDGVTAYIVDTGINVDHVEFEGRAKWGK TIPKNDVDKDGNGHGTHCAGTVASRKYGVAKNAKLVAVKVLGSNGSGSMSDVVAGVLW AAEAAAAEAADAAKELAATGKTKHKGSVANMSLGGGKAKSLDDAVNAAVEAGLHFAVA AGNDNKDACNYSPAAAEKAVTVGASTLGDERAYFSNHGKCVDIFAPGLNIFSTWIGGN QTTNTISGTSMASPHICGLLAYLVSIHGTETFNLLAADVGAASLATGIYGKAYSLLPK LAQAVLPTPEAAAVAPVPSKDNTLTPAKLKKALVGLASAGKLTDLPTGSPNLLAYNNA TTHK
I206_07039	MPERPRLHLDFNSPCTPPPIYSPHFSTSPGSPTSPPSSSYSSIK TPTRRSSTSSTSSTESSSSYSSPKTPSTPKIIMGAMVFTPEPRSKVGINGEDTEDEEC DVGEMSLEVPGIVLTEPPRQPTPRLPSPPPKQVVLLAPCSLPIPSSSSFCETKEIKLS YSSKINYGYDHDYGNNEKPKFLNTILKFLGSYNNNTFPSSNQLQQLQQQQQQQFQKRN KRSQIKMILLLCILILGSWHIWSNLGLTLGLVEETSLIL
I206_07040	MACQGEGPKQPQPQLIIVICKRKEPGLYQDIKRIATNELEKPVV TQIMLSSKSGNEKGLSMYLSNVAMKIHSKLGGVTHEVAIPLSINKTTMLVGADVTHPP PPSKSRPLQPSIAVSTAAINGDNSMFVPCIRLQTGRREMIEDLTNMMKDHIRLSEKKT GNRPQKILFFRDGVSEGQYDQCATIELDRIKQAFRELDTKYRPKVTMIICAKRASHQM RFFASNAKKDTDQKTGNLLAGTCVDSGVTHPYAFDLYLQAHAGLQGTAKPTHYVVLSD ENDFSADQMQDLCNKLCYSYARCTKAVSLIPVVYYSDLIAWKCRDFVYPSDDSSEVES IRTTSTGGVEVAFDPNQLYRRLEQSPDFNSVMWYM
I206_07041	MASNNDNQQTSGIEEVEGINTTLSNLALTDSRDDLANCMARPNF GVEGRKITVHANVFPITVTRKSMIIYQYDILIEKAVKSKGELKAGRELSWKIWKQLCA KSPGHIKRDLEAASFDRQSNFYTPYKLKLSNPVVTIRIELPDTTATTEKQKQANTFKC TIKLVREVDLHSLVTYCQGGVYDEATSAMVAAAKAAINVLLRQDLYDRYLQKGGKGRR FFTLENLNEMSDGGRILNGFIQSVIPTQSGQAALQMNAAYGPFFKPNILINILEEIVS GRGGGLRGRGGYRAGDRGNRGEGGAAGNTQGNSQLLVDGIWKQKKQFEILLNRTRFNL THRQSNQPLQIDGLTDKNANELLFKMANPDGGQDTMISVTDFYKKVHNITLSYPCLPL VRTAHKGTPKQKIKDNNNGQNKDKNKDNNKYSFYPMELVKLTDFNGIPFTAVSSSQTA EMIKVTAKRPEERKASIMKWRQELDYSSLPRLKDWCLEISPFMMEVNARILDPPEVRY KGSFASPNYGTWGLNRHKFVKANKDLRA
I206_07042	MPPKETGTATAGDLVSGMQDLSIATRRGMQETLDGCPPRPGYGT AGRAIEVNANMFLSKFKSQGNTIIHYDIDISPVVKVANQKKPRALLQAVWDQMVLEAK GDIRKSLDAAAYDQVKSFYTPVPLPDTSTEIIVSLKEDGKDPKDDKRRFKVIIQSVNT IDLSIIEEYCRGTKQSEQTREMMLTAIQAMNILFRQDPAKQFVMSGAAGRRFFTEEGS EPLSNGGILYRGFQQSFRWTSSEHPALQIDTAYSAFIEPGMLPDVCARLLGMSGGGGF GGGRGGRGFDRGGRGGGRGGPPPRQGNGGVPAIQDLQPMQIRKVNEYLKTAKFRVTHR NSDRIFSINKITTQPAEALKFTLSGKDGKPDRQIGIIQYYKEIYNKQVTRPRLPCVQY GKNNYIPMEFVKLEPFHKIPMLKLTSDQTAEISLLFWNALTHAAKPPPQRQAAINAWR QRLNYSNLPKIKAWGVQVNDQMMKIPARVLNPPNVLYGGNKSMRANFGSWNLKNVKFT KPGKPLKSWSVVSFDERCGEADLRELTVPFIYDPADPIFIGCVVENRQPDCFRWNPNQ AGNGTGIKVGLQEAARKAYMKSKANPQLIIVIMPRKDTQMYKTIKAQAGELVSIPVVT QCLQSQKIKSDRGLDQYCGNVAMKIHVKLGGVTHQVAHGIDNKTMMIGADVTHPPPQG GLIQPSIAVTVCAVNGENNKFAPAIRLQEGRVEIIQDLENMMYDHIIAFEKNTKAKPE KILFFRDGVSEGQYGQCVEYEVEAVKRAARKFAKYMPKITFVICAKRHAMRFFAVGES DKDRTGNLPAGTIVDSKVTSPMIHDFYLQAHAGLQGTARPTHYVVVADENKYSPDKLQ KLINDLCYTYSRASRSVSLVPVAYYSDIVAEKVRDWVYNEDLTETASTAPSSGSGGQT AVATFDPLRLKRRIENDPDFNSVAWVSTLSEPGSTVQVTRLPR
I206_07043	MSETDYYIGFDVGTGSGRACLVDSNGKLIAEHSEATLTHRSPTD HRIFEQSTTNIWSSLSKCCKKILAESGIDPKQVKGIGFDATCSLAVVSKDGKPISVSR TGSTEEDERDENLGKDGEWNVILWADHRAEEEAEKINATGEGVLGFVGKTMSLEMEIP KTLWLSKHMNEEKFKNSMLFDLPDWLTYNATTSLARSACSLTCKCSFVPPGAKMVHEC DGGKEEISQDGWSARFFNKIGLEQMVENDFEQLGGIPGKNGLVLTAGQPVGKGLSKAA AESLGLVEGTAVGSGVIDAYAGWIGTVAAAAGADQPKPTLEDASSRLAAIAGTSTCHI AQSKEGILVPGVWGPYRDAVFPGLWMNEGGQSSTGQLIDFMMQTHPAYPKLVELSKKT GKSTFELLGDRLDEMLKERNALTLTHLTKDLHFYPDLVSNRSPLADPRMKGSIVGLTL DDSLSDLAAKFNVTLEAIALQTRHIVDEMNAKGHKIDSIYMSGSQAKNGPLMRLLSTV LQMPVIIPPQPSAAVVLGAAMLGKYAHSISVELQGKEIQSQEEAKKARENDKDRLWDV MVSMTFPGKRVDPRSDEHGNAERKLLDVKYKIFREAVEVQRRWRGMIAEAA
I206_07044	MLIPLLLLSTIPLSLAHPQPKPIPAEPTPLVASKRGITASLSHG AGTVRRAKGYANAKRDTVPPQRLKHRQKRHSSSVSKLEIRGDDEGLPPSWLLWAGARV DSKYNAGAGGFSAAYAKALTRRASNGDVQLTNHNLDTSYSATIDVGTPSQSLDIVLDT GSSDLWVASQDCTDGACIGMTTYDTASSTTNVNLTSAFSIEYGSGTAAGSLVQDLVTL GGYSVASQTFASCDEVSSGLLSSGVSGIMGLSWQALAYSKATPWWLTLAKSSSWSDPL FAFHLARYRNVAGASSIETDGGVATFGFLDSSLYSGDVTYVSVSGSAQYWQIPMASMK MQGETVSLGSSNMAAIDTGTTLIGGPESLIQAIYANIPGSERMTGSYAPYFAYPCSTT VDFEITFGGFTIKITDQDFNLGRYTSDTTMCTGAAYVQSLASTSPVQWIVGDAALKNT YTVFRYSPAAVGFANLAGAVTSSEAAQSTTIPDASAIAASTAPVTTSGSVASSSKATL TSTAAAMTSQTASAEAPYVVTATSTVEASESEGSQSASASSKTSNASKKLNIINIPSI SLILLGMGIVFL
I206_07045	MAPSKKKVKIPSSTRPRHSRSMQAETSPKTPTQFINQSNTTAGA HISHLPYEIIDHIFSYLVTDIRNLLNCSTVSRTWYQVSSPRIWHHLTLSPLSSGKDDN GQAFSSGFMKDHCRIATPIKGTQYTFDAVKKLTIKHHDPQWCKGQKGKPSSFRLPNLQ VLELVCDSTWKTPEFHTSHPISRTRYGGEDCRLLRNLYPTKIVFRGCHVQVNPLLIPH LPVSLFRHVEELIFISSDFEYVKPGSKMRIGSPKMLLNSLRSITWIFSPKNENKPCVP SFVEAMKFNAQALFPLVFLLDQTKHIPITVVNPAQEGIQPCGTRESVHSIKNHFFKKA AKLGLSDENIRDRWNEVNLISMENWVDDHSKWNGILDRAEVMNWKINIGILSMFHIQG 
I206_07046	MEPEVGVALHRINSPAHIQGKKSFLSKLRRASSSNSPYDQSSHA ASTSALPSGSNIRQTSWISSAGSSTVKKNQVGVPIMMGVNRSEEIFGTSTSIKHGFCI EDELEWERVKTLPRVRLVPPPEEESEPIMLYPPPHMTRPSTPPPILPHPFLSPPSSPP TSPPRRPGSPPQSPPKRPTSIYSQSPTWAGMADGFVLPPPKFSRNSVGWTSTAFGGNN IVMPKRRAEVAEDRRKRMSGINIAGSPPTSPPAIVVSGMMRSLESQEEIAANKRMRIE EAVKVDVKATQEGTMDRVLVETKDIVTSPVDMDVDRIPSPPLLPHEVVISKTSNTLSS SETNTSISQMPVDPPAPIPVRRSRALSLSAALSSSSLKNAAVPPLPDLPRPPIRKQRS LKKFFFSSSTPPEAASTSSCAPPVPPLPLRERTAEIQNIDSQVEQDKILKSKKVMKRA RSKPSLKIDVKGSKSSSSAEVSPVTPALSTDKTDISAIMDTPSAPAPLRGLSKRFSLS NMSQAFKKRSHSTPVSATSSTSPIPLVPDIPEAYKKEKYATGSSAKQPVPPSPRKAET IDTNKNQESILSTSVPRRSESLKAIQSLTFLSDEIDKPSDSATEETSYSTMIVDEPQS LLPAFEVPETSITTIDSPTDGRVSTSERSIISISTVDIDDIDGDIEDEHEVIHAQLMH ISPRTRRDPTQSLSLQEFLASPPGKPANVVIVPTHGLRRSVEAVFVLDNPMLLNLQSP PSNDPHQEIDEDESSTVQSVDTRRQSKVIERRGSETESEEEISTPLEAGIEIFPNQQE EEDEEPTPKASSYRISQNQIASIRRTSLENGEQESLLRLDSLPKALLKRLHSTRKQHQ STPYHSKKNSKSSDKNSTVLSKEIPLPPLPIDEMEFSSLKREMQLRSLKFESLGLNFQ DWNQAQLEELLAGA
I206_07047	MKVSIVIANLALATTALATSPRLMARQSYGDCRDTTDSCPGGSC VIGDSSNPNSGYICSFPAASSSSDSAATTTSSSDSSSTSSSSGSYGDCRDSANSCPGG SCVIGDSGNPNSGYICSFSSASSTATSAAAASTSAKATTSAAAAATAPTTLKAASTTA SATSSKVAATTALASNASTSSSTSIPSASATSAAGLTSKISYAVIVGSAYALLSLAVS L
I206_07048	MSTTMFSPTLTASTNNDDIDMTLSLSQDKGMDNFEALFNFDEYG SSGEASSNTHSPTTASPNDTPSPLPVPIQEPFTFNPDSFGFLTNDASMDFPLDFSFGN ELKPGPVVPEEVIQVKQEPIEYNFGEMSNSQSPVTQSRPMPIPQPLLQQDNTVNSNDF AGLPVDQQTALQQLMTNLINYQQAYGLDIPAPRTTTTAPTPVVPAAPSTIQPSMIFGS TTSPSTSTSSQAPAASSQVSPIKQSTTASTSPAEDDEPLRSVEEHEMAAASAGPSRSV RQESVASSGFEDLDTKIDRLVPLNTIFSAGKGKGGKKGGGMSSVVRNDDEELDDDESW RPSPEEYKKLSSKEKRQLRNKLSARAFRTRRKDYIGTLESHIQDRDVVIDEMRSELVN FRSENQDLRRELAALKASTMSILHPESANKSSVSPAMINALTMSPSLPSLSPSANAVA GPGPNTIRRSATPLNTYNPRKDLPASVKSQWGSNDNMFGGGPTICHTMFTPDLVLPPS STPAPLRSLADLPRVNINPHLNDESVTRGHMLAGVGNGQDTSKTFSNWSEETPFSLRS MDSYRMQMWSRLAREAAADKANLTGDIRPKFFVEVKDEPQSLPLTPPPGVAALAASAA STHITSKLANSFFSAFQGTGSSLDNDKLAAVVTGQANLRVVPTESIPEFTYSSTSADV SYNEDCLSMLMGGLKLQSGPGSRSPSVGARENPLGALCGFLIRATPTRA
I206_07049	MVEITSTETDKRGLETLPVELLIQIIENLPQDRSSLLPICRTSK LLREVASTYLWEHLTFNPGFRNKKTKQLPSILKTHNLHPENKFLLNSVETLSVHPHQC QWCNTLETDWDIELPNLKTLDINLLGYNTKFIGDPTDCWSVGQPCRLLRNLRPEHIIL RVEGKGECDFHMNKMPPILQQMWGTVKTITLVSCYSNAIFDRFSEFFTSTIAPKAKNL DALTFVFDPLYLKALTDVDDGLDVNILDTSVNPTFDKYHLTFVAKLSRRYFKYSSETQ LYMENLIRYAMESILLGKGWNKDDVKKRQQMIEIMPLQQWVHLEDGWKDKFSSTEVVK WKAMAEDAKRSHSRFRLKWNDYKNLDDGWAHAPGYSGGYM
I206_07050	MTKPILISGAGLSSLLLAQSLLRSKIPFRIFERDASLSFRGQGY RLRLSNEGLDAIEDVLGPEDFARFYEICGKTGGSGFKGFDAISGEILEEKPQPKNQNE KASEDKSITNSLHSRNSLIVGIARGEMRKFFFEGLENYTEFNKKSIDYIETSNGSGVK LIFHDGSESEEGSMIIAGEGIKSKISKKISNGKLKHFDTGVRGIHGQAPTTSFKGLGE GVFRFKDSRGLFVITNVRSKDMDDPNLQFGWTMIGKPELIKVPNDDYTIIGKTVSDLA KSLTSNWNGKFKPIFEDMIENEAAFWKVTCSTPSGVPIWENHPRITVIGDAAHSMTPA GGLGANTAVRDSALLGKLLANAGGYKEGLTKEYEEKMRVYGSEAVAQSFGMASKEFGV EIDEETSPTV
I206_07051	MVGIVKLITTLPVGVSVVLALGEKKVINFPSHQSSDSFLNADDQ TIFSSKNQRNNEFTIANEKSNYAAPLLLSSKDDIAIHIAAQSFAHDVYRVTGIKPHIY NDSLPHHVDRAIVIGTPKNSIIEHLRDNDYLDDLVGKWESYDVRVSEKPLHNVDQALV IVGSDRRGAIYALYTLTEQMGVSPFHFWSDVPIRPHSAISYSSDEILSHGEPTVKYRG LFINDEHPALWSWAAQRYKVRWGEPAFLPDMYEMWFDMMLRLKANYFWPAMYASMFDI DGLNVTNGFPKEPTAGPNQVLAQNMGIVMGTSHHEPMASNKPEWDREGQGKWDWTNDD YLIDWWTYGAERAVGKETLFTLGMRGDGDMPLTGASNELVENITSVQQGILAKTHNKD INEIPQMWCMYKEVAEYYLKGLKVPDNVITLFADDNWGNIMTVQPPGKDHKAGSGIYY HVDYVGMPRAYKWINTINMVKTWEQMTIAAAFNTTSIWILNIGSLKPLELPAEHFLHL AYDLDAWPINSVERYLELWAEREFGSAVKEETADIMFKYGMYASRRKAELVNTTTWSL NNYEEAERVIDEWQSITDRAAKVYESLPKDTKPAFYQQVYMLCLMQTNLNKLYVAAAR SNLYAAQGRNAANQHAKEAIDAFFHDAELTDTFHEMLDGKWDHMLDQAHIGWNTWLEP IKDIMPPVSFNNPSVPAREGIPIPELGYGVITNIRVTFENSKGSWPGNTAFNCPEGEH CHTPELLPMDPFGVKTRWVDVGSAGPKSTAWKVDHDDWLVVEPRSGKTKKDGSLDTRI RVSVNWDKAPEQGKGTFYLKASDGSEVTILVPINKYSAPSNEFEGHVQGDGYVAIEAG HYSTISTADEYAFHELKGYGRTLSGIEMFPRTTQNFTIGEGPKLTYDFWTHEPGDVEI DVQIGPALNFLGKNSTLAFGLQVDDQDPRIINPIPVKQLGMVASQPWNKPVAIGAVPY DWIEIVKNEIRSVKSEWNLEKSGKHTITIWGMTTGLVFERILVDFGGIQQRGYSYLGP PESAKV
I206_07052	MPVTRSGKSSTPKKPKHLIKPSTYVFDPSRITLPNEIIIRVLSI LADDRKQKSLAKCCQVSKQFYAMSSPLLWNHLRLTPWKTDEGGKLIEDPSKYRCGALK TQQKNGKALKNDVNTFSLYHHSMSWCKSDTKSTLQLPNAQTLHLYPKRITAMHSDPWY ATPPCRLLKNVQPKELILHNVSIVHQDLMVDINCQKLFETVEVLVLITNALYSDWHWE SPKPPWVPHLRQVYYVFEPIPNPYPYDLEEEENLRYLINDIMWNLKHQLRRATVTFVN LEALEYASRGSLAAGEPRLKTKFERLLFAMIDDFPSSSRFSTKEEREERKRTVRIITL QEFYQEDGWWRYVDRQDMDEWVKIMQSNTQEAVEEREQQLRH
I206_07053	MKKKTINQIIPLPNQFPNEIILRIIQNVKDQKTLSSCCQVSKTF YNLSSPLLWRNLKLSPWTYQQGYGSFPSIARQDIGKMSNRQRKITKMRNEVITFTLED HPTHWCKNDMETRLDLPNLEVLKLTLSSIRSLHTDDDPPCTALPAQSHGCRLINNLKP KTLIVLNPTQEVIMNIHTTPSINDNVWSHVETFIWVIAADKTLTSSKHQIGVWDLPGN PAYDQMPKLKRILCIFRPTIRLLGHRYKLYSYSTWLREARLSWDPSSCFNLTQALQAP PHVDVVYINSGATITSLHPSIRASFRRGFIWGGHPIKLSNVATAFERQLRGIINSHLD HWMFEQFLNDEDGKKRRENISFLSLNEWLTQEKDWPKWIDGDEINEWKNLMEKKKV
I206_07054	MAQEKLQGMGDNDNDNQQQGYSNQQQGYGQQQGYGQQQEGYGQQ QGYGQQEGYGQQERYNNQQEGYGQQQGNYEQQGSYGGNEGGYAGDNQNFGQTGGAQYN APHGEGGASYGSGSGAPIINQNAAIDAANQHASNGSENSSLFSTAMGFLGNMNKNDDD VDEQKVQEQHQQAYSQGNASGMSANAIGSAAAMQALKQFTSGNSNAADKSSGGDMQSK IIGMAMSEAAKLFDQSGGAAQGDKQDAVTSAGQTIMKLMLKSQFSGMTGGGNSGGLGS LMGMASKFM
I206_07055	MSAGQSYYEFYRGSSIGTALTDALDELITQGDIPPQLAMRVLQQ FDKSLTECLQKGVKNKTTVKGHLATYRLCDDVWTFVIKDPQFKMEGVGAGAETVTAPK IKIVACKSGDAPEGKKSGAKSNDFN
I206_07056	MIIPAQSASQGQSSIYRLKRGTKLSDIPPLPPNPPVPDLSSLNG PFQLAEFLSLKVRHDPHDISNLVEVPTGDQSMGGKAPDRNVWIYEHLRRIPIDLTPLL TALLPICTRETCPDMKAHEWLYLCSAHGGGAESCSAIDYILHTLDSTTALLNSSQNFP SRMQIPPSSVSHFPSLFRRLSRIFSHAYFHHREVFQLSEGETSLYARFVGLCEKYELV GSSLLPIPRDVIGSNLNHQEESSEEEEEDHDEIDEEEDEDEEEDGRGRKDDSSQQKEK RTQSLDRSLPPSTSPSKSTKLERPTSIPERETITASPVKPPPPQRNDTLKPDTIGDLR DQPISPIKFGVGKGKGTLSRGKQPRATMHWGGEDIPGLPTQSSALDTTSKSQESLGED QNKSPIGKFRDRSESIESAIHIPEGLGIEPPDELKEENLENIPTPEPTSEEPSIEQTD DLNGGIAENQEEIVPKDEIELLEEKGELKPETTVAPLSPPSSIISNTESKSDSDLDSN SLSNQEPQAKENPSTSSESAVEVENKLEGDEDNLEEIDLSNNENTKDKSITNLTKLES DSSTSNSISISSPNLKSKKKFDKSLINNNTNSNNNSPIPSPIRGKGKLVPAEISSPSS SSSSKSNFNENLEDKKDELKKEISEK
I206_07057	MKLQQYINSFVDPLQYEDPKSLVRLLSCHNKTSRGLADTVGNID ERRLNNPGHSLPEPWDGIAIRHCACVHALYSKQNYQEAYAHQNALLSLFYRWFQDQSA WVLPVLYILLQDLRDLAEQADSITYSSTGKMPSLEECTRTVSKAFSMCATDRTFKGSE SRRNGVYHIACLSLKCYFKVGKPNLCKNIIRAVTSDAKTPPINEAPLNDQVTWHFYIG MLAFLNGEDKKAGDELEWALLNCPADAKRNQELILTYLIPLHLLHGSLPSRRLLSLHP RLEELFLPFINAIKSGDVREYDERLDWAQQRLVGMSTYLVMERAREGGLRMLFKKAWI ASDKSTRIPIITFQIALKIHGVDVDSDEVECMVANMIYRGYMKGYISHEKQMVVLAKT NPFPNLSSIIR
I206_07058	MAVRTQFENSTDIGVFSKLTNAYCLTALASSTNFYSVFESELSD VIPIVHTTIGGTRIVGRLTAGNRHGLLVPSTTTDQELQHLRNSLPPSVAIQRIEERLS ALGNVIACNDYVALVHPDIDRETEEIIADTLKVEVFRQTVASNVLVGSYCALSNQGGL VHPKTSRSELDELSSLLQVPLVAGTVNRGSEVIGAGLVVNDWCAFTGLDTTATEISVI EATFRLQGQTSAAVINEMRDSLIDHYA
I206_07059	MLLETFSQGKCARRNQDNAGSHLPNEILSQVLSDISSEDSGTLA AFCMVSKRFNSIGHTKLWRKVKLGPTSTGAGNQDRNADSMTRWNEDQRKTIKHLSVIH HTNDWCKLSHTRHLDLPNIEILEIKMQDGFGNFHHVTENSSNTLCPLMKQLRPKTMII RNATWLIVNLQCKGLAPRAWSEVETLIFVAPYVNTGFYERKYPYCHPTMPKLRRVFWI FDPTIEANIEDTEFRDSIQYHLLSVLTLRYPNIPITIVNVGPPNLYPVYSRFFGGRTF DGSEQIFRYWMDYFYQHEDDQPAGIEKKKQKLVRFISLNRFLEEERWKEWFEDKEIRI WKNSLAIQENHYEKIQSANLDRRRRPRLTLRRTHFARYLSET
I206_07060	MAHQSHHQDATDFLPADPITAIPAAEDFSKDLEAGKGTTEHVEN ASINEKGGNERDIQYDNAEDAKPRTGLRKLLRRNPSMDFMREVVEANEVELDPYEVKR VERKIYWLIVPALFIDYIFYYIDKTTLSYAALFDFKTDLHLKGADYNNLSSIFYIGWL VWAIPGNLLLAKFPLAKYLSINIALWGVFLMAQASAKDYGDMVAFRFISGMFEAVADP CFVAITGMWFTRRQQPTVIGYWYAGNGVGIALGGLIGYGIGHIGGSLASWRYEFLIIG AVCTLWAIVMGIVIPDAPHTARWLSRREAVVTMSRKRHDYHTVEKRQLKWDQVWETIK DIKTYLYFFLGFFANVPNGATSNFGTLVIKGFGFSTLNVTLLQIPYGTFIAVMILAAI YVNHKTHHLNIRTLLMAGVTCLTVLGFALMAWTKGIAPRLIGYYLTGSSNAVFVLALS LVSGNVGGTTKKVLASAAIFLGVAVGNIVGPYSFLTSEAPTYHTGIIVCMCSRAAEIF VILGLRFCFTIPNKKRDKRFAEGDESCNPDVQVFVDLTDKQNLHFRYVA
I206_07061	MPAPTFNAKSMTELITLRAEQQGDVPAVHTGAAEFGEKLMTLTF SDIARAVDRLAAHYAKLNIQPSVSSGEVPPERIIAVLTSTAIDETLLEIALAKLGLTA LLLSVNNSTAAVAHLCKATKSTHLIYGSKFQQTAKDAQATLEKEGIQLEVIPETRYPL WGPGGVRDAKIQPFPALLTPEQEARRTCVVLHSSGSTGFPKPVFISHYGLIANAAQSL PKTGFSALPLFHGFGHFSIFRCFYHGKTFTLMPPNLPLTSANICRIIRSSPTPPVQHF AVPYVLKLLAETDEGVEALAGFDAVSFAGAAVPDDLGDRLVKAGVNLISFYGTTETGA LMTSRRADYANDKAWNWLRAEGPIQDYLELIPQGSDTYEVVVRDGWPAKIMSNREDGA YCTKDLVLQHPEHKTWFKYIGRLDDTLTQTLGEKTNPVPIELAIRGNSPLVAECIVFG DARPQTGALILPSEQGKDLAQDKKAYIDAIWSVVAEANANAPTHSRILPEMIDILPYG TEIPVATKMSILRPACYKKFGDLINAIYDRFEHGTGQPKRDISDKPEMEAFLTDTILT ALGEKATTGLTPTTDLFAYGVDSLQATRVRNVITKSLELGENVNLGQNIVYEHPSVSA LADYLLSVKSGQDASDSPEVAHKTMLGMVDRYASQFVKEDASSSGPADAQINGHTGEV VVLTGATGSLGAHILDQLVRQPNVAKVICLSRAKSHEDSLKRVQDSLSQRLRKLSPEA QSKIISFASDVNKPDLGLSPEQYDLLRLQSTAVIHNAWPVNFVLSINSFDEHIGGAVN LLNLTLKSPKKVKPAFYFSSSVGTRQGRPDPVVTEDFPDSPITAGGMGYGRSKWVVEK IMERASKETQARVGVLRIGQLAGDTENGVWNETEAWPLMFRSANTTGTLPILEEKPSW LPVDQAGQTIAEIVLNNSQTLPPSSGNVYHVLNAHLASWNDILEGLKKGGLKFEAVDR YKWLENLAKSDSDVTSNPTYKLLNFYQNRIGNKTERPHMEFEVKKVEASSPTMKQNVK AVDSDIVALWVKQWKASGFLQ
I206_07062	MPTKKSKISITSPPLPLPLELISLILTHLYEVDRASLATACQVS KAMFQVAAPILYRQIHVSPLIWRDSRNDHSPDRFTPTGKEKTNTRKKKILKNAEIVII DSHHATWCGNKSFKYPNLKTLVLNLTVNGFGPVLHGNDILEKQCSLVKCLQPKKLVLF GMTLTSITTYEMFGVPPKIFENIEQLTLVAPMEPIKPRSIWGGFSDMPKLKKLVWIFH TAHPALKWSSGSQALLGEGGAPKKTDLNALGHFLREVSDIPTYVVNSGSLHPNYVGKV AGSKVEIKGNVVDYLENLMSEGEIGPWFSSGWKEGISDTEKQEKKEKFRKRFDNIRFI SMKEYLENHDWTGEILPDEAKLWIA
I206_07063	MSILPHELLTYIFDYLEFTDRKALTKCLRVSKLFYNLSAPVLYK DINLTPSGRFDVAAYFSPNALTILERKDPRTRKRKLMSHSAVVRVDAHDSRQCKGKEW RYPRATILHVTAFPNLRSLHHDTVLYGVAPRLCPIIKELKP
I206_07064	MGRPSFDVAQHEYMLTGIKHLIYICTAAKRFHGPPCFSYFHPRK TIEQITLIFQDGNPPRREIEERREQKKKFNISTLAYHLCGIPSVPTMLVNFEAFTQHN DSGYDKVHRSLLQQLKSATIVQHDFLRCYSRPFAKPKEEQMADYDRFNFMTMEEYIDS GKWEGVLGYQDIQPWIKR
I206_07065	MSGPKIEDKPEISHVESGDEHMKQQGVTHTSDDVQHGDHALKMM GDERVEVTEEDNARIRRKTDKRILSILMWVYFLQILDKTVLGYGNTFGMSKDTGLING QYSLLGSINAIVQLGWQPFSSYLLVKVPARILMPVMIFGWGASQACMAAAHNFGGLMV CRALLGLFEAGCLPLFSIMTAQWYRRSEQPIRVAAWYSTNGIATIVAALLSFGLGHIH SERIAGWQVIFMVCGIITVLSAPLVYYSIDSDVSTARFFTEREKAQCVERLRANQTGI GSNEFKWSHALELVYDVKSWMFLAMTLLLNVGASVTTYFGPSLLGQFGFDKYITALLN MPFGFLQFLAILSGCYAAQKCRIKSAVIAVYVIPVIVGLVLLYVEGTASVFKTAPAMV GYYLLSFLFGGNPIIVSWMVANTAGQTKKALIMSIYNAGSAAGNIIGPLLFKDADKPH YIPGIKAVLGIFCALLAVIGFEVIILYFLNKQRQNQRVTNGKPKFINDTSMSTKYQAY GHDDPDGILGQNALLDMTDFKNDEFVYVY
I206_07066	MSTMSDTNTNVVCILSATALTYTLYHAYKFDGCRCLIPRKKHWF RSLLTWMLVICELGMLIWGAGWAYVKYHLGWMYIPRKGTMPVPTQLYDDHYRSFNTPL TIWVCISFSLQVSLNAEEGLYWYHLMRALRRPRTGKSWFRSPFFFTWLGISMISPVVI IGTGWIGYESMDSQMGRMFVAGGAIEALVCLAASIVLFKFPKFLNDVKLSGAGPEVRS RLHFYHQANKVRTFFRALFTTCILILGIDALTDEKKINLNHIASDLLHQISFGSYFFA NIISVMVYLPRDYNPTSQPCQNVMVGQPPLQSPFAYPVSPGQRTSHTLMSLLREGGQW DGDDDLRIALTKGTERSPLRSLDHDSRLENAEGDISSLGVEERRIRRLTPVGYTLSSA YRRCESY
I206_07067	MSETKTQMQYVNLGKSGLKVSRLILGCMQYGSGQDWMIPDHDEG IKQLKYAYDKGINTFDTANVYSGGESEVILGEFLKQHEIPRESVVILTKVSGTDGKLE EKGPAGWTNQKGLSRKRIFASVQSSLKRLQLDYVDLLQVHRWDPETPFEETMEALHDV VKNGWVRYIGMSSCWAWQFQLMQQYAIHNRLTPFISMQNQHSAMYREEEREMMPMLKH FGIGVIPWGPLAAGLLCRPFEEMNSTIRGANKPPDGRGEQPSDKLIIEKIENLSKKYN CTMAEIAINWSCNSKWVTAPIVGVRSTERLDELIKGMNMELEENELKEISDLYQSVAI RGHA
I206_07068	MSSSVPIPKDFNSCLYQPLAEADPEVHKLIEQETWRQFSGLELI ASENLTSLAVMEANGSMFTNKYSEGLPGARYYGGNEYTDILENITRERALKAFNLDPK VWGVNVQPYSGSTANFAAFTALINPQDRIMGLGLPDGGHLTHGYYTAKKKITASSVYF QSFPYRVDPKTGIIDYPQLETNANLFKPRLLVCGGSAYPRDWDYQRLRKIADGQGAYL LSDMAHISGLVAAAEQNSPFEYCDVVTTTTHKTLRGPRAGLIFFRKDKEADLEARVNA AVFPACQGGPHNNTIAGIAVALKQAAEPEFKQYAKQVRANAAAMAAVLFKHGYRLQTD GTENHLILWDLRPIGLTGSKVEKICDAAHITLNKNAVAGDTSALVPGGVRIGTSALTS RSMTEKDVEQVAEFLHRVVQIALKTQEEAGSKLLKDFVKAYEGQGEASKLISELKKDV MKFATSFPLPGVPDTSKILRPEGVDL
I206_07069	MKVLCVAEKPSIAKSITEILSGGRWDTRNSPHQYIRNYDFDYNL PPPLGRGRSTPFTVTAVLGHLMSIDFGEDHRKWHSCDPFSLFDAPILTSVSKDLKKVE QNLINEARHSEILMIWTDCDREGEHIGSEVASVCRKVNRNIIVKRARFSAIIAAQIHQ AARQANDLDQRQADAVEARMALDLRIGAVFTRFMTMGLQVRIPELAEQLVSYGPCQFP TLGFVVDQYNRVQSFVPETFWYIYVGIERTDEEGQPRTVEFKWRRNHLFDMDIAAILY EQCAINPDAMVLKVETKPTTKWYPLPLTTVELQQSGSRLLRMAPKRVLDIAEKLYQKG ILSYPRTETDQYDKAFDFNSLIQKQTHDNQWGQYAQKLVDGAYQRPRNGKKNDKAHPP IHPTAHAGNLDGDERRVFELVTRRFLASCSKNAEGKTTTVEINIADELFHTSGLVITQ RNYLEVYPYDKWSDSAVPDFQEGEMFTPTIIELKEGSTSKPNLLTEADLVGLMDRNGI GTDATIAEHIAKIIERQYVTEKQEQKVKYLLPSQLGVGLIEGYNKIDFDRSLSKPHLR RETEHRMQLVCDGLKVKREILNQTIDEYKEVFIKAKRDFEIVIESVNEYLHGRGEAQE ALRAATQARRGRAGAAGAARGGRGGRGGGAGVARRGRPDNDNDDDDDDDSNPGLPRGG GRTRASVTSTRGTSTRGRGARGGAANAGVAGTARKRNYDNGEGSVTGVKTCDCDLPAV SRTVQKADSPHVGRQFWTCSKPQNEQCGYFEWANEGESISHPGNSAGQSAERGLPPSK RQRPSSRNETRGNDEDVRCKCDLEAKLVTVNKEGPNKGKQFWACPNNPKASCKFFQWA EEGNPGTQAGPKCFKCGQVGHWSNSCPNDSAGGGGAGGSGTGEQSGECFKCGQSGHWS NSCPNDGLGGSAAPNGRGRSGSRARGKSRGRARGR
I206_07070	MLPRPARIIHQYHLAPRVVRQQQRKFTSTSHVRGPAAQAQVVRD HVPSPSIDESFKELIQEDDMAMGMGYKRSIKSSITKGKGRMPDLDVVHQASSNASSGN HGFGLMLHNDDIGSQLGRSEQEDDDYIHERREERRSPAAVLGSKRLGVVVLPQVMKDG IQRQIDQLDNPRTLRQSYLDLPATASSRQRDEKVDHRSSKPRKTIESELAKAAGILPG EYGVVRNIFEEMNRRLGKGWLESAMPAEEVKDIVEFSGGLGAGLWSAISGLEGSSTNA KKRIQLVHSSRHGLDLAKKIIEDASQQDTEIIFNRKHHYYGSTPSLVLSTFLLSTLPT QPSQNSHLLQLLSLDSPYIILVDRSSPAGWEAISRARSFLLDQSTSENPLHVVAPCPH DGICPLTLTDDKCSFSQRLQRPSFVRKTKHSSRGEEDTGYCYVVVARGTRPALVDQSP QGLATGRIGGVGKEEAEKIRLKNDGRSVLREIEGHEGVLEVVNLPEYENPESLLNLPI DQADRQQLELNLRKESFSWPRLVAPPMKRSGHVTMDACCSDGNIQRLTFSKSHSKQGY HDARKSTWGDIFPHTPKSTPVIRTRGVRRLTKPVKDDQVLSELIAAQAEEVSDVDQAA LLDELKELERLGIKVPKAEIIEEVEGELPGSTVRGGSFGAEGQRRSFSTVSNRPKLHN VGLSYRIQTRSMSARPPPRAKVTLATLKKLAKANIPITVLTAYDYPTALLSESTGVDM TLIGDSLSQVCLGHTSTTEITLDEMIHHAKAVARGARTPFVFADLPFGSFEISLEDAV RNVIKLIKESGIDGVKIEGGLEIVPLVKRLSEIGIPVMPHLGLQPQRATSLSGYLVQG RSAQGAYDILNTARAMQNAGAFAVLIEAVPDKVAKRITEELDIITIGIGAGNGTNGQV LVITDVLGTYAEDPEVDLQESIMNDGMEPAAKLALDHMDKPIPTQPSSTKVISNNQIV STTNTVIRSSIEVEPIRKPVNAPKFVRHFGNNGIQSRRAIREYLNAVRDGSFPNQNES YGMKKEQWEEFLRLLETEKQ
I206_07072	MAYPPVTDQIDDDDDWLEPPTQGGASDPLVNQEFTRLSNKFSDA GYREGITDGKLSTLQKGFDQGFASSVPLSRRVGILRGKSAALLAITSSSSSKSSSPSA SSTNLSSKPTHSTTDLGESLRDLIRELGQLRRDDILPEDKERIEHEKVEHPDDENKFE LDQSDKRDLENLEKSLELMGDYANGNFGKEKEDGEVVIQRLEHKSEELAKAVLRR
I206_07073	MAGALTVRALDTLPLPLLVVVFLSCLALLQWPVSPSPSLLPTFT PPSIEKDRLSPLPPFEYSSKSLFSFSPLPTAPLTAQLQIYSPELDSSLPTVQSQSSVK QPNPPIGRGVRRGSKQLREWRLALGVVEEEENEQACIQVV
I206_07074	MFGQELLAWAIFVAYFLLILLTFGLVFRSILAGTQPSKLFGGRA FFYLRTGVGALLCTWYYMIKFLHWSYKYHSKLNQSSSIGNWLVHTPLFEQAWTIVCTG RANWWWSSWICTWTVLFTAIVWSESGRRGIKYPYAYMLLGQLVAMSVATALFLTAISL HPRKYSSSRSMPVFIALPLLLAFIPIYQLPRYVNTDKFMNSLLWLHGALLLPLTSSST SSIAQNAKAKIPFSLFYQMLLATGISIHYPATKLLLQSLPKGQSLFVKLYQTAFAHPA QSSISLDVIWVGIILFSWFLLSGPLVFRITKITATGVAAGVAIAGLTGINWGLIASVI PILVLLGLGLSVIGLQKIRAKNLIRRKELLDKFGMPENSVIPGTTNAAPSMSGDKIVV GFWHPYCNASGGGERVLWSAVRHLQKTEKNALVLVYSGDYPAASKEDILGRAKDRFSI EIDASRLHFVPLPSRFLVSGNYWKRFTLLNQSLGSIYLVYEGLCGKDGLWGDVFIDSM GHGFTFPTVRFLTGPQTVIGAYIHYPTVSTDMVKRVRARSEGVENAGASKSWIKTQIK LIYYQIFTNLYSISLLFPEHIMTNSSWTQAHVQSLLAKARKSFWASVLLKDEMTIQKR EERGEIKKEDRARCEVVYPPCDTKEFVKLGSLDKRKSEIVSLAQFRPEKEHSKQLYAL AALFERYPQYKTGAQSVKLIMMGGSRDAGDEARVDALRALAKKLDLHGHVEIVVNAPY PGVVQRLGEASIGLNTMQDEHFGINVVEFMAAGLIPIVHASAGPLMDIVVPFKGQKTG FHATDAESFAEAIHEALRLSSKQSLTMRKAAREAAVQKFSEKEFEKHWQDGWIRLKSL AEKKRREQ
I206_07075	MPKATTSTFRTAPTSAASRPKKNGESSSSGAGGAAGGARNHLFD TARFGQHILTNPLVAQGIVDKANLKPTDIVLEVGPGTGNLTVRILAACRKVVAVEMDP RMAAEVQKRVLGKPEQKKLEVMIGDFVKADLPYFDVCISNTPYQISSPLVFKLLSHRP IPRCAVLMFQREFALRLVAPAGTKLWGRLAANVQLYARVEHIMKVGKGNFRPPPQVES SVVRIMPRDPPPPVKFEEFDGLNRVIFSRMNKTVRANFKAKGVAELAERNYKTWCAEQ GIIIEDGFDIREKIDNILLEAGYADDRAAKMDVDDLLKLLAAFNVAGM
I206_07076	MVAITTFFAVFPLIMRTAHAAISEHWWNISYATANPDGLYERRV IGVNGTWPPPPITVTQGDTIRIHAYNGLGDPAIGTALHSHGMMFNNSNWYDGAVGITQ CSIPMSHTLDYEIDTSIQTGTYWFHGHYLGQYVDGLRSPLIIEPKNGTGRSDDLEWDE EFTLVVSDWYHRQHLDLLTNDFLTWHNPTGAEPVPDSALIYLVKDGQYYPSAEAITNG EGTNDNLSIPFEAGKKYKMRVINMSALAMFLIAIDQHDIQIIEVDGVEVEPYPIDALT ISVAQRYSVIIQAKETSDKNYAMAVMQSEEMYDYLPDELVMNNTIQITYNPEAPPASE AVYDEISDLDDTVLVPLLKREMAPADIEFEIHANFDTYDDGTNRGNFNNVTFQEPKTP SMFTALTMGNNSFRSDVYGKMTNAIAYPHMANIQLTVYNWDAGPHPFHFHGHEFQIVQ KSMDVTSDDPEDNPPLVEGQSNPMRRDTVTIPSTGKVVLRWRADNPGAWFFHCHVDWH LSSGLAVVFIEAPEKLQENHDVPQPLIDQCKYWGLPTSGNVVGLNSTTDFIGQPWGPF PMVIGWTPKAILSIVACIITALIGAFTVIWYGKDELGSKDIEEEIKQKLELKRNKKGL VKRITGRS
I206_07077	MPPSRGNSMRNKNGTITPLSLSKTTSRMSSPSTGQGQNYDVADP IIPIAHIKSNLSANCQTRQAEIELATSRKNQNNNVLEEDEKNLCGRSSSATSPINQLS SYTLPAGCSYGPTITPTYLEETNIVLEPELVSQIHSGKSNECKLLWVDFPPLSPQNPF NFSKGRKFGITCVATLFTLQTSMNVGAFSIGMESMTRDLNCTRDQAAIGLGIFNFGFA TMPLLLAPLSEEFGRRWSYVIAVVLYGLFLVMMALSKNLTTMLLARVLQGVSGSVAST LVGGTIADIYIPADRGLPSAVFAFTAIAGSGLGPFFFCWVESNENLEWRWIWWIQAIL IGALIVPIFVLMRETRESIILRRRAIKLRKERGLSDGGRYTARSEVGKVNFVQAMKSS SLRAITFLLVEPILLFFSVWMGLGWGVLYTMVTGLSYNFKKVYGFTTNQVGCAYISIT IGTLFGFGFNFVQDAIYKRKVEKRGIEARLYAPMAAGLTFAIGCFIFSFTATPSVHYI VPCLGIVIIIAAVFTIYISAFVYISECYGSYASSAIAAQSFLRNGFGGAFSFFTLQMY DALTPRWTTFTWGCIALLLSAVPFIAFYFGPKIRSKSKYSKILMKEEQERILREKQVL DGMG
I206_07078	MGTQDREYSISDSRTSMNENEVQEINDTTRGIVTPEVPIINDQD DQDEKNELTRTKSLVIPFDELKKLPEGCIYGPIIDSTFLSQNQTSLKSDNTKIEDKII WVDFPIGSNENPFNFYSSRKSGIIIVATLCTWLTGVNIGSFTIGYDSMMKELNSTRFQ ASLGNGVYNLGFGCAPLLIAPLSEEFGRRWTYVIAVVVYLLMNIMLALVDATLVGGNI ADIFIPADRGLPTAVFSFAANSISECYGSYASLAIAAQRWVRNTVTAAFVFFILSMST RLQIGSFTRVGLINRSGLLPDV
I206_07079	MPSHRDMQTRRTARRVDENAPPAGGAIATRSRGTTSSNGTLAAK AIASTTSIPVLKRGISASSTTTLKGTTAREAGNGKIDLAAKRRAALGEVTNGGKDGEG KKGVAAERRPLATTQTSSQTLPTRRTARSTTSVAPVKEDKVSVGGKRKANVVPSSKIP PRSRSATAASNSTSTTNPETKPLKERKPNIDEEPSRKRRKTSTPPPFAEQEVEHEKGL LDDGHYDHDGKEILLSSGSKQAVGLRSPKRKAKDAGWTDLDAEDEGNPSMVSEYVVDA FNYMLSIEDQTMPDSDYMDKQAELQWKMRAILMDWLIEVHAKFRLLPETLFIATNLVD RFLSKRVISLVKFQLVGLTALFIASKYEEVICPSIEHFLHMTDGGYDVDEILKAERYM LSTLSFDLSYPNPLHFLRRISKADGYDIQTRTVAKFLVEISCVDHDLLPYKPSLLAAA AMWLARLCLDRGDWTPNLVHYSNYSEKEIVDCAQAMLNHVLDPDFNDTSSFYKKYASK KHMKASVYFRDWAISQWPETADGSNEQAGRELDIFLNSEI
I206_07080	MLFVAAFVFIYYTIWALLLPFISTNSSIHSIFPSREWAIKLPLL LLLLGITAIGLFFSKVLLSEARKKSIKGGKKV
I206_07081	MSSSVKVIIVGGGLSGLSAAHTVLERGGNVILLDKNSFMGGNST KATSGINGAGTQTLGIPDSAQKFFDDTKKSARELARDDLIKVLTYKSGDAVNWLVEKF NLDLSKVSRLGGHSEKRTHRGGQQFPGMTITYALMEKLEDLAESSPDRVKVLKKAKVT KLLNEGDKVVGVEYEKDGQRFTEQGPVILATGGYAADFTGDSLLKKHRPEYYDLPTTN GDHCTGDGHKMAMAIGAKGIDLEKVQVHPTGLVDPKEPDAKVKFLAAEALRGVGGLLI DNTGSRFVDELQHRDFVTGKMWENNKFPIRLILNGQSSKEIEWHCKHYVGRGLMKKFN SGEELAKEIGIKPEALKQTYMLRTREPTHSARRQFFSGGDFKMNDIYHVALMTPVLHY TMGGLEIGTDSAVHDSSSKPIPGLYACGELAGGVHGANRLGGSSLLGCVVFGRVAGDA VSSYLLSTMSNERAANRLANVAGHLLETKIRVDPNSKNVNLEFSWADGQSTGGQSYSA GGAGGQQTEVHGTRESQGAQSVPADSKPAKEGDKTLAQVEQEKLPAPKEKEAGKTKGE YTVEEVGKHNKEDDCWVIIDGEVLDVTNFLADHPGGAKAILLYAGRDATEEFAMIHPP NAISKYAPDTVIGKIKA
I206_07082	MGHNSDKMYVTHSEHASGSHTASTSGKKNENGKSEFLRLPFDCC ALSLQPFKNPVAVLNEVEIKNNNNNNNNEIPRADVFDLLNIVPYIRKYKTNPVSGKPL DTSQLIKLNFFRNSEGNLHDPITYKVFSPHIHIVFLKNTGNVFDMASLQLLAIKPKTW RDLVNDEKFKREDIITIQDPQNLQARDLREYDYVKKDKKINDDDMEDPLRGINVDAAG GASKVLKMLAEKTRAEQSPSGTPPPTKTKITNGEEKKEGIIAKRKVEQLAYNASNFSS GRAAASLTSTSLTPQVKSERAMFDEEEFMFEEMSRPTKDKERLKSKAYVTILTNFGGL NLELHGDKAPKTVYNFVQLAKKGYYDNVVFHRLIPGFMIQGGDPTGTGRGGQSFWGEP FRDEYNEKGAYKHDGRGVLSMANSGPRTNTSQFFITFRETEHLNGKHTVFGKLVGGED VLDKIERVAVRPGGDKPVKDIIILGVNVLQDPFEAYQEKLKARLARQDQSDEAVKKRK EMKEEREKDRTTWLGTNLGEKGESNLQKDKRKLQEISSGVGKYLGGGSESKNKSTNGK TSLIVSDVMDFGSEKKKKKSGGFGDFSGW
I206_07083	MRQLKHHEQKLLKKVDFLNWKQDASLREVKVMRKYHIQDREDYH KYNKLCGSLRSLIHKLSLLPAKDPYREQRETEMLNKLYDMGILDVGAKPSDIENKVTV SSIARRRLAVVVARLKMAETVSDAVQTIEQGHIRVGPSPVTDPAMLITRHMEDFVTWV NTSARKRTIMKYNDELDDFDLL
I206_07084	MPSSQQVPDGQQQPSAFNALGSYADRIKDANGNPTKIAQVNDPK SAASSSLTSNGNTPKSNNRSPSATPSSSSSTSKPRHNKSISASQSQSQKESQEEDGSW ETVRSNRQRAKQQEEKEKEKHGSNSKNWRDRSGQKQKSSGDDSEKKSGHGKSSKKQTL NNASSTAATSETTPKPASTISAPSKPAWGALAQTAKPATSVALTNDLSKDSRRDSGQQ QQSQTVTVPSSPSLNGTTITANSISVPPSIGSPNLSSETASTSTAPASVLSKAVDKLE GEEEGSWRAKQPQQLEEAPQAQVQPAQPRQAAPPPAVNAWDIRKKSILLSTPITTSQA KPATSLSSRETTQKAIPNGNAKVEAAAKPKKKTAAKATSSALPPPINDINLWPDVKQA AKAEDKKEKVKSQATNEEASAAEESTNSTTTGKKQKWTAIPAAELLAAVDQAKEDTRR QHKAEAHARKRASVSKAEANEASGSTANKAGKPRKNSSQVAEGKKNHGAEGAVNKEAS AMEGSTQEQVEKVEKSNGDVNNGSEFSRQLSNTSKPGSPKKGDHQALTSPVDNETSPQ VRIGNEPSAPQSKPMVASNTAPLPQQGFNNHALPRVPRGRDPRQSYNGGGNRGRGGFR SNSAFVHKPHSHFGSPPLGGSSNLPNDGLSNGFPRGHGQFGGFQTFYPVQGFGQPNPS VYDPMQAQYGAGAVYRAGLPPPPMPQTVVPNLDATRFYVLGQIEYYFSMQNLAMDFFL RQQMDSEGWIDIAMIASFNRVKSLTPDISIVKECMVMSSLLEVREESVRLAGQDTSRW VLPDAKASKFPSDQSPTSMSGSPSQHTEESRDLSLNANDTSMTSEEGSVLPPIGQRIG GIAAEVENALMKNSSAAPVSTVDVVEEKKQDDANVIKETSDSTESMVKNEEEEVKA
I206_07085	MSNSVPLPKSKFDSSQNQTGIKESTKSNVKPKTKSNLPKDEISN LKSYTYPFNSVFSLSKFPELIKLSSIFEHQSREDIGNKNSFTSNDNDTDKDENKEEEE EDKNEINIQIGNSNSKEFCLITIPSIISIIEQENNEKEKEIIINPFILNNNYNNNENK QNLNSNFLSNLLLENNNNKKIFSLKLLKDQFNLNYNLFKNNSNSNSDFKFEFLNFFKD FNIKNNEKEKNLINCDEIIFKKLINSKFKIISNSPFEEENQFKFNQKRSITKICKMLI GYKFHIDTECNNSTTATLKKEKEMTEKYE
I206_07086	MSDSDRPTFDIAVPSKDPEKKDEDKPKSNGDLKGKGKDESKEED INDMSEEDLQLKAELEMLVQRLKESDINLYLPALESLRTLIRTSTSSMTSVPKPLKFL RPFYEELGQIRDSWDAELKEQRSLLASILSVLAMTYSDTGKRETLFFRLLSESTEAPG LWGHEYVRHLAAELGEEYNSNYAAEIDQDIEKPEGLKYTTEQLKALALELVSFFLKHN AEADAVDILLELENVHEIVELTDDKNFERVCRYMVSCVPLLVPPDDSAFLETASKIYA KYDRYPEAIALAVRLNSPALIRQYFQAPKNPVMKKQLAYFLARAQIPLHWVHTAEGAE SDEEEPAATQEEDVLECLGNVKLSTHFRNFGKAVGVEEPRALEDIYKSHLEPNRTTQT ADSARQNLASTFVNAFVNAGFGNEKLMVNAAEGQSWIYKNKDHGIMSATASVGLSLLW DTESGIDHIDKYTYSPEEHIKAGALLAIGVFHSGIRTETDIAYALLEEHVDSKSLSLK LSAINGLGIAYAGSGRKDIAEKLLPHVADETNTMEVAAMAALSLGFVFVGSGDGEIAS AILQTMMEREEAQLASEWTVFICLGLGLIFLATQEDSEPTVATLKVIEHPIASIAETI VDVCAYAGTGNVLKIQQMLHLCAEHAEKPKKDTPNGETAPVAAEGEGPAAAAVADAEG DVNMSGENTAQPITAPVGEATATSTAAPTGDSEGEDAEEKEKVDSLKYQAFATIGIAL IAMGEDVGAEMALRQFQHLMTYGDPVIRKSVPLALGLISASNPQLSILDTLSKYSHDS DLDVAINAIFAMGLVGAGTNNARLAQMLRGLATYYAKEPDCFFMVRIAQGLVHMGKGT IGINPYFSDGQVMSRTAVAGLLATIVSFTDARKFVLDKHHWQLYWLVTAMYPQFLITL DEELEEKPVTVRVGQAVNTIGLAGTRHGISGFQTHQSPVRIATGERAELGTNEFFPYQ SVLEGLVILKKNEQYNAEDQN
I206_07087	MSLETPNKPSKSGAMTPGSIATEFSKMDFPAPSGLKLSIPTKEK EIQNPQQQEVGNTSSSGGIGGGLLSAISSVFSPTSSNGNEDTGDISGPEPEALTAARR KFRSESISEQLSTELSAMKLPQPERIFGPNEGESNAAKEGNNGVSAEDWDKIKLEDEI PEAVKEPKRMTHSRHTSRADAALPRTPTIPEAPEPSSSKSQAVAKEQKVTPFDVEGEV DAEGKDLGIDYDKLTKRFGASIISQELLDRFERLTGQKPHPLLRRGTFYSHRDFNLIL DRYEKGQPFYLYTGRGPSSDSMHMGHLIPFMFTAYLQRVFNVPLVIQVTDDEKYLLER DVKKQAEHYKKMGQDNIKDIIACGFIPEKTFIFSDLANVGGAFYENVVLMAKTITQSQ SRNVFGFSDSDNIGMFHFAAVQATPSFSNSFPQIFGTRDDIPALIPCAIDQDPYFLLT RDAADRLQYKKPALLHSKFLPALQGAGTKMSASKENTAIFMTDDAKKIAKKIKSHAFS GGGATQEEHKANGGNPDVDIAYQYLSFFEDSDEKMERLDKEYRAGTLSTSEMKAACIE KLQEVVAEFQKNRAEVTDEKLAYFQDPSRKIDPRPKPKETDAPAPLA
I206_07088	MFSNEIARLKTLGVQGLLFQALNLLTVISSGLMMWKGLCLFTNS ESPIVVVLSGSMEPAFYRGDILFLTNPADVPYEIGDITVYKIPGADIPIVHRVIESHI SNTTQLLLTKGDNNPGDDITLYNGIEWIERKHIIGKVRGFLPYVGYVTIAMNDFPQLK YALLGCVGLVMLIQQET
I206_07089	MPSASDQTLENQNEEELNSLHSKIKSLRSVTIDILDDANRQNDQ LDRTTNSFTSFASSLFSTSRHHNRTMASTSTLRQYRTIAYIVGAIVVLWLILKIWRSG PSVTPVHGGLEPEY
I206_07090	MGQKQNHDQEIQKENNHKSSTSSFHLNKVNDSLLKDIKEVQDIS DQSNYKMGKSKSFSNLAEVFGFNYNYSRSSSRNNEILSRPKSIVNLERTSSHTKRHVI PEHLPTQIIIRILTYCCNDTLYNCLTVSRLLFNLSGRILYNHIIFDSPKDMFEKLKDS TILYENITGKITVGRKKFKDRLLKHTEEVTLHSHGDDNCNEEEEEEKNEKLSLFLENK NHQRSSSSSCSNSFNYKSKSRTNTLIEIPINCPNVSLNEIMPRLKILRIILADEFDYH LLFCPKFNSPCPLLKNLKIEKLIIIGARSPLVVLPNSFPSTITFTTPNSPPIQLNSNK KIKSTGGLPIGLKELTIILPTGRSYDLKDYEGFENIFNNNNNHSLNSIKKISIIFLTN NKRNLWQIAFYNSRDIKYLNSFSSLIEDLIKIIISLPLNSSNLNLNLNIIGIENFDGE LFNMGIGIMKKGKENLKNFIIEKIKNQLYIKLVKKNKQNQINEIFNKINFINLDDWLE NDGNKELGDNGFKELAKEGWREFR
I206_07091	MPKLITLTITPSYLGRTMQLCRGKRGYRHCELLEAITPRRLIIR NADVLRFGLPETVPPKIFEDVEELIFISPTEIPNGAGIPQLPKMAKLKRFTRIFWTDK PSDDWRSTPYNDRRWKILSVAYRTVRNLASAISSLRNGVQVLIVNSGPLQELCRDSDP GKSLREQTEEHIRTSLAFQAWGIYIQPGTRDSREDVLRAKAKFISDNIKYLTMEQYLN QGDWQDFLTSKEVDGWIDKEKFRPDNHY
I206_07092	MSDYDDPIALTIASALHKLDYTKLKGVNVTGINRKENVHFVKGL LNSFGLNDVPVVEGTEEYDPNDWDKERLEITNKRRDVMMSNSENTNWQRYHQLLTKLD TSKIGDTERRDHLQLCSDIFDQAKESGKKVIRVITTGLQTLDTYLQDSNRANKFKENT LKIINQGGIKYENNSLVPNENARNMYYNINSSKKVLKYIKENKIPINCWTKNSAIACS MNGSFLIELSKESNKGIKIINELRESVDAHQFWNTLNPKGTFSKSFFTTEMFLKYKTT LPDEKISEIANEFKKKYGEKSNDQVTMDDYEEYFKDYKKVLGNKSNLIIYDALTILDI LDQNLKDKLQLSVPYEMDQDPELEKYCKVFGKTPSNPGLNVKNTQDVIIALTKYAVHS SSNERSKI
I206_07093	MTINSQDKPNPSDEMIQVGKRDVKEFVNRIKYPKKGDFLLSAHR GIRWEGIPENSRSSIQKAVEQNVICVEIDIRLTSDNVPVLFHDPTLGRTTNIAEHLQK SEIYSPFTGKGYSPLIEQTPWKGCIEHLILKEEHGTICEEGVLDFASMLDFIEEQKLD IVIFMDIKVKEVMPFLREIMKDRRNASGVPALEWCVWKVFVHMYGYPKELEEQPWWKE ATKIGNPIYIPVYEPWPTRQVDNPLESIKAWSHHPNVIALEIGLRAPGGYMQDLLEYA TSSECPRKSIGFFASLGDLWVYDNKEFQFDIGDFKVPWKLENQFSHYLFKIDKPPQTH DAILLEGDSLDGHDYRADLDLYKKLGFTWTITDRGQELKSKGLITM
I206_07094	MSSESYLVVGGCGFLGRHIVEQLLARGETQVSVFDIVQRHFDSN VTFFIGDLSKPEDVQNALYKSKASVVIHTASPAHGMGRAIYEKVNVEGTRTLLDACQQ PESTVDKLVYTSSGGVIYSGIEDITDVDERVDYPATPLDAYNETKVAAEKMVLEANGV GGLLTCAIRPAGIFGPGDRQMINGFYGVIKNGQTKWQIGDNLNLGDFTYVGNVAYAHL LAADKLDSIYPYSLLRDPISSIDISLGKHKIPTSSSKPIGPNPNPTEEDLKLAEKFEK GQVDESDLRPVLRNKMDQFSNESSKDENGEEGQGISIAGQAYFITNGEPIYFWDFART IWKQLGHIPPYTIVLPTIIGLLLATLAEMFSQFTGKEPGFTRFRVSQATQQRFYDIEK ARRLLGYHPIVGLDEGMKRWTEWYSEELKKQKLAIESEKTK
I206_07095	MMCIKHVLLYFFLLPIPAASPIFILLFTAAAFIAIKPCGYCLSL LAILFLSSSPHSPFLHPSLDSQASSSSRINSTSTVISSANLPLNAPLPNRTWMNLNGG RYSSPNLVGYEEMNKAISATYSNSTRQNALWKKIFGWDLGQSFYTTSNRVITGNTILD KIVEPYLPPSPESSAIISIETNKWRKRELPKNYFDMSWKGIGFVVDFGLKRSEDGIKW EIEEVIGKEWVRSIPDAQTIEDMSIGIDEEKIQSPLQNQEADQTRKGNFWDKVPILSS YW
I206_07096	MARSVHSPLLFECAWEVANKVGGIYTVIKTKVPVTTKEYGDRAC LIGPLSYKTAPMEVESEEPEPGTPFALTLKSMQERGVKLIYGRWLIEGNPRVLLFDTG SCYDRMDEWKTDLWNLAGIPSPPNDHETNETIVFGYMVAWFLGEFSSRETSTAIIAHF HEWQAGLAIPLCRKRHIDVTTIFTTHATLLGRYLCAGSVDFYNNLQYFDVDHEAGKRG IYHRYCIERSAAHCADVFTTVSHITAFESEHLLKRKPDGVLPNGLNVVKFAAMHEFQN MHMQSKEKINDFIRGHFYGHYDFDLENTVYMFTAGRYEFRNKGVDMFIESLARLNHRL KEMGSKTTVVAFIIMPAATNSYTIEALKGQAVTAQLKECVKQITGRIEKRIFEHAARY SGEHGTEVPNPEDLLSKEDAVALKRRVFALKRNSLPPIVTHNMADDANDPILNQFRRV SLFNQSSDRVKVIFHPEFLNSNNPILGLDYEEFVRGCHLGVFPSYYEPFGYTPAECTV MGIPNVTTNLSGFGCFMEDLLETPEDYGCYIVDRRGQGVDDSVNQLTNQLLSFTTKSR RQRINQRNRTERLSELLDWKSLGLEYVKARQLALRRAYPDSFDDDEPDFTGVQRVGAP LSAPGSPKMRTGMMTPGDFATLTEEMEHLGTQDYMGGKSWRGINDDDDENHYPFPLVM KPRNRSDSLASAISGTATPSGGRKLSEKDLEKADAVLSSMGQNGLNGNGNGH
I206_07097	MTTNNSPQPPSPSTHTVSQTPTMTEPIPTLKTNLGPSSPEDQPF KYGQGNDNSNNNEKLSRNHSRGTNINSDNNKNNNGFSPLRVYESRDANNKKMPESQTT NNLTPAKSIVASIIKPEQGGKEKKMEKFKYSFFSPEMAMFRKLALKLLIGTVVITVLV MWLTLPFYWGSLWKSNKYTDKLTVRIIDRDGGEIGQAVSSALLQQKNLRYFITSPSEF PDISAVENDIVQEGAWASIVINSGATETLNQARLIGNSSYNPSEAIQVFYAQARMETA VNSYLIPYIQQALTAILFQFNGRSVAEFLQANANNATALTTLAAAPASISTPVWYSLV NLRPYDQPVATAITLVGLIYMLIFSFIITMSNNAVREIIAPFLTTKHYLIYRICAPIS LYSVISFFFAMINLPFKVHFGAHYTYGGGFFLWWFTVFLGMASVGLSTEFMITILGPK FISFFLLPLIIANVSVVSFPHELQPWIYRYGVAMPFYNASRVVRTIIFNTKNDIAKNL GILLAWIVVNIITISVATWLFRRKSVNEHNKEMGENELDKVEQP
I206_07098	MIPQSSLARASLALGNVISRRSVLASRSIIRPSILTSIQSSKSQ SLQAILSSRGYSAAAQPKSEDEFFVSDNTPSSSSFASRSSNPSTTEDGFFAPSLTESP SSSPTISSEGSDRTGPVRDIVPFESLKGKINHDTLKALTFKPFQLKAMSEVQKRVLGL MPSLGGGQLRGQAKEDAEAVGQVEEFKEREDLLVKAKTGTGKTIAFLVPAIDARINKV NELVKTPYPDGSIPDRAAQGRNERAITRSHVGTLIISPTRELATQIANEALKLCTWHK EMQVRLLVGGESRHRQLKDWKRGRKDIVVATPGRLKDLLSEEEVKTAIEFADQLILDE ADTLLDMGFSQDLNHIISHLPKERQTFLFSATVSKEIAAIARKSLKQGHKVIDCVPKN ESNVHLHIPQHYTIVPSASEQIPHILRLIAHDQFLNPHSKVIVFLNTTKLTMLTATLV RELKDSLPKDINVYEIHSRLDQNQRSRASERYRRDTKPSVLITSDVSARGVDYPGVTR VIQVGMPASAEQYIHRVGRTGRGGKEGGRGDLVLLPFEEGFVNRLNKIPIKPVPTSEL ERELLEIASSEDSKYIEKLNGIKEAITQLMPSLDSEAIEEVFTSMIGYYMGKSDQLNV NSHEILQGLKDWSVEAAGLPEPPYLSPGFLQKLGLGGGGGNRRSGGFGRSSGGGNRSG GGGGGFGVNKRSGGGGFGGGDRDREPRVRSGSFSYGGDRDRSSGFSNRDRGDRGDRGD RGDRGDRGDRGDRGDRGDRGNKRW
I206_07099	MATSTFTPFQRHLTFFAVQPDRTRITFYSAFRAALTLGLNVPFS IVLALTMRFYWAQNPFRPVNISSIPRSAGPTHLQHTQLTQSKYTPDELVKIYEDSSFR SVSWWQRTVLDRPHIQSFANWSKGADGMVSRDQVEEFQTGSWQQRVVIKRREKKNPVP FIYGGPLLVGPHSWAVKHLFGVHVYDNLTHLKDIINPAPDPPHNLSTAIEHPPEAYGA VIPGIRRIDEDAALLLGHRAD
I206_07100	MHLSTVLVAAVACVSTVNAHVALWDKGMFGLNYPYQADDPQNQN YNNNEPVIPLKQADGRTTAQWFGHGLLGYPPKSGDFMNLPSGGTYNGEVSCNRAQTAL GSPYDSTPKYQYACRPDGGQYSGVGALHVMNTYNGTVDNKWFGGSALAIAYTSDVSSL QPNDMTVISVNQNSVWEREISYEIPAGLPPCPSGGCLCSWNWIHQGGHGEGYPYEIYN VVYRCQVTGSTNSANKVQKGAVPNKCDGNPSGCVKGPKVPMYLWQADGNNLPNLDTPP NYRDNWGFADGAQNDIFTPAATPAGSSAPAATATALPSGWSSVGCMTDDVNLRALPGS SYSDPANNTIQTCVASCAKQGYNFAGVEYGQECWCSNTATLNTAPATDCNMVCPGDIW SDCGASGRINVYKSAQAPTTGEPTFLPDSDVPAGWSSLGCIVDDQSNRALNDGSTSSD TNTVEECIATCGSRGLPYAGVEYGKECWCGSTARLVSAASGCDKPCQGDPAHICGGSD RLNVYFNQALNTTSTSTSASSRSVSASATSAVSSSEVPITSISIAPSSSNSLSSSIAS SATSSVVSVLATSVSPSSSVSPSSSASPSSSVSSSSSASSSSPSSSVVSSSGSQSSTS SSVSSSTSSSTIPSSSSSSSSAKATLSALSSAAASSSASSSIAKSSTASSSSTSMSSA KPSTTSSTTSTVTSTSTSAAPAATTSLPAGWVSRGCYVDSSSSRILVSSIFENKADMT YAQCIATCTKKGFSIAGVEYGTQCFCASSLTNPVPAVASTCDRPCAGDKSTMCGGYNR ISILQNTAVKRRSWGNKGRPHSEMIKRRQEVEQ
I206_07101	MSAAPQDPPSGEANNQRTSPRSPRPATIPIGQPLPPELLQPQPP PRPNITSMLFLTAFFFFMSGNNQPINSGIEIGPDGEFRTRISELDHAKTIRNEWEGIV HGNITLRGNFTEPSIPLALPSSLLPPEYTYNPAHHQFFTNITGFFRSSTLHPISFDPN TTAQLTDDGYWQHHPDLNLNSTGAWNATLASELKGTWQWDKTTKWDMNLKERNISSIA PDLEDAYKANGTDWDRYQDWNWIKGSLTLSTLSSPSHTDDNGDKSELGGASINYDFFG LHYLPNGTYNLYGLPEGMRIDIRKIPSLWYGQQQQNVTKEIILRELEMEVRNIDGNLM IGDLRDDDVSDQTTCPLLIHLTLPPLPSGVTKEEIDFFNSEVQNPTGIKGAIPRPPSY WEVGRGLGGVIVADQCGWVMGIEGGKGVGIDEFWSRSIEYAAYAIISQLTVLLLLVRQ MEQTRTPSSLSKVSVYTIVIMSITDSWVFSAHVVVGIMSDNKASLPMLVPGFLCLCTA VVFGPRYAVLLHRIQAPERSAAPTPARINNGQAGTGQITNTDASTALSGVELAEDGTV RRISVVASIKAFFSEHPMLRWFAVLGLLFCLLQFAFLPSVVPFFLFGLYSFWIPQIWR NARRGTSRALDAWFVLGTTVGRLALPLYAFAYTENVFFIDKASWIWGILWWQLAQVTL LFAQERFGPSFFLPKSLSPPESYNYHPILPSPTSDPEAAASFPLLSTSETTCSICMEE VDLSQSINQNHSHSTGGLGHKRKNYALAPCGHLFHTNCLSQWMAVKTICPLCKRSLPP L
I206_07102	MSSSVDTITPTPREDLLKSLEKHNSTFTTLLSLIPAQYYIAPDP DVVDSKWMKNKKRKTGEEIKEHKRKVKQNKLDPSNHQSLDQLQSTDTAAEEVESESIL PEAGPSSLQPLPPTASISELRSKLQNRLDSFKRQRGVNPEDENAGSRNALEEERRRKR GEMRDKRRNLRKEERRKEGEKGNVAKTQLIVPQPREDPSSSLSFPSVSLPSSSSASAS LAKKTKLGLKQLSNPSQALEYLEKHKEHLQSLPEDKRKEAEERERWAKAEERASGAKV ADNEQVLKKAVKRKEKAKTKSSQAWSERKRDLEKSAATAVKKRNDNIAKRVDDKRNKR LGIKDKGTGAKKGKSRPGFEGKKGKGGGKK
I206_07103	MMNGNAVSSASSKGRIPEVEIITNRSQTWRDGSLEPSDDSPNGG VRLRKNPPRSARPSGANETLHTLPTIPDPQTEEDDSALDTSSIKQDDTFPSHPPPPAP STQTGTGDKPVVTRKRRSSSVKRKPSPGVTPTKAVDWEIPRKTLHSSIGFLTLFLYYM NPPSLKPLITVLSSALLSVTITDFFRLRFPVFAEIWESYLGFLMRESERNKINGVVWY LVGVIWVLGLYPRDVAVVSILTLSWSDTTASTIGRLWGKYTKPLPANVPGVKALKFAP RKSLAGFLAASVTGFLIGITFWWNGSGGRWIVLDVEDWGHGYWGLWVTAGVVGVGGAV VEALDLGVDDNLTLPILSGALIWAWLAVTNFLLK
I206_07104	MAATKADQPAAQAFESSAGPSSTPASAEVFKKLHPSQYLSRFLN KGYRPDGRKIRDWRDVSINVGSITTAHGSSLIRMGDTTMVCGIKAEVAEPTAQSLNEG YVVPNIDLPALCSPNFKPGPPGDEAQTYSNWLNDLIVSSRTLPPSSLVISHGKAVWVI YIDVVCINYDGNAFDAAVLAVMAALQNTRLPKARYDEESGRTICSRTEMYPLQLGSIP LSCSFGIFDSTHLLPDPTSYESPLLPTTISIALDEKNQACLIRQEGLGGTQGKSGERV LGETWSLAEERIKELREILQDSI
I206_07105	MISPIPLTPRWAILYGVLIISSATIVSLKFSEGGFSSLSQIHDS LSIPQNPFFSSTLSSKCSQTCSRDPFSQRGILTFSSAERYNETRWIPLPAIGSAHQTG QFEPIKLSDIDYTGSISLEIQEGLAEPLTAKLNREVETEDEELEWMKGRMVLFLGSSY PTLTVCFADDYLTPVDFLELDDRNNVEQLCGEIHGESKSWGGHLGGYCHVERIDLTIV WWFLYGLVDDENLDEWRKLEARPITFENRIKDVFLPAMATAGLDRTPDLVVVSSLFWD EGFIRDYPLLYPPNTPLPSNHRHRPGFLLGQIQWHQIRLSQLFTYLRTIYHEDLPLMF RTRHIRSNMKYGGGLKIVQLDQGAREVCEKMNVREFRWGDLLEGISEYYDNDQHFPLG PNTYLFGDMTFFYLRKAVTQGC
I206_07106	MSLRPHTYITSSLTPPTYSQSFPSRPRLPSIAEDRHHAQLATYK KTSSRSANSIRQANLLSVWASNPHMKKSEDELEDGDEQSKLKRKRWILLSGIGLVKSV SHHRRWLLYAIGLFLVYLTCLRPLLTNQHDNNQIQNFHPEQRSSPSPISIKRSSSRSA LPRAPLPPAILAKRSLEHKVAEDGLLKVNPKSTVHPIHQLIRDAREGWDKKVNRQSKT LLEATQEYQRRYARKPPKGFDLWWNYVVDNDIPLPDEYDQIHKDLLPFQALSSKDLNN RIKQASQLPDTYTLRIKRGSIRTNIFYSSDIHGADERLEQQTELLKPIAKFLPDLQAI WSVHDTPRTIISWDYRRELAEHVEDGEWFDEEEEIDLTLSGWSSACPPRSPIKSIDSL SSISNWMPNTSLSHKAFISSHSKSMDLCSHPDMIPIHGAVAGKSPRANHLTPIFTLSK TALHADILGVPVEQWTKGPSIDIPFEDKKIDRLLWRGSNTGTEYNVETPWRNSHRTRL ISLTNFADQEDTEDNLGIDYIPPPKAMRMKTPLEKLVKKGNLGDWNDNTMDLAFTGGP IQCNVDDGTCDDLMADFAWADQMTHEDEGNYKYIIDVDGNAWSARFKRLLTSGSLVLK ATIMPEWWNDRIQPWVHYVPIQMDYSDLYDVMAFFQGLPSMPGESALARDIANAGKNW SLTHWRKEDMIAYMFRLYLEWGRLVADQRISMNFEYDEDMERRRDREAVDQ
I206_07107	MFDMPWPHLDTVWLPIPSTKAYSARCCGALPSQSPLNVTGCTGI ASAEISAAENDAIRYQINKEYLFALLIFGKSHNLIEKTDVASRVIVDDKPVTHAERQA YLKEQEQISGKNTTWQQLKAFFA
I206_07108	MRIVFTGGSGKAGKHAIPYLLSQGHKVLNLDLVPLDNPDVYTLK TDLTDSGQVFNAFTSHFNMSEYSLPHPPPLPDAVVHFAAYARNLLVPDSEMFASNVKQ TYNVIEAACKLGIKKVIIASSETVYGVCFTQGESDYHSFPLEEDYDCDPEDSYATSKL CGERIARSFARRFKGTDIFAFRIGNVIEPHEYALNFPKYLADPPSRKRNAWSYIDARD LGKLCHCAFNTNGLGFQVFNATNNTITLREKTKDFLQKESPNVKITRDLEDYEAPLTN IKARKLLGFEEEHNWRDYVKED
I206_07109	MTRINGYTEDDMLIASRRRLTAMNRLHRGETIYGTFMMLSSAWT SRVVAQAGWDYVIVDCEHGNIGDSEMHDSVNAVADCGVSPIVRVRGIDPTSIKRALDT GAHGLMLPMINTAEQARQVVRASKFPPMGVRGQGSPFSASAHGLSTPQYLKYANKNLL TIIQIESQEGLANVEEICQVPGVDAIFIGPNDLSMSLQFYAPPKWDEPVFLAALNKIH STCKKHGVPVGILYPNGPSAVAKQKLQHFDIVAVGGDVKALNGWMVDQLTIAKAPPSQ FNYDTYNEIELSIPDTMFALKARYDADKNGSKVNLAPGTYRDQDGNPWVLPSVRKATQ LVMNSPSYNHEYLGIQGHQEFLKAAAQLILGKWSPDIASLHTSGGTGACHMGAVLLKR LFRNSPNPPSIYISDPSWENHHSVFRHAGHQTSSYPIYNTQAKSLDFKAMRNFVAQAD PNSIFVLHACAHNPTGCDPSPEQWDELAQLFKEKSHIAFFDCAYQGYASGDLQEDVYS IATFSRQGVQMLVAQSFSKNAGMYGERLGALHIPCDSADAAAKVVDLLKYLNRREVST APRFASDIMTKILTSNDLYEDWKVDVATMADRMADMRKQLVDLLIRMKTPGDWNHITA QKGMFSYTGLSAKQCEYLTEDCHIYLPPSGRLSLTGLTTHNLEYVAKSIDFAVRNF
I206_07110	MSAADNILSSSSTAASQNKRQSSPLRVSPVASTSSHRIRQDSTS SPPESSSGPKQRTRIQRACDACRNKRLKCEYERGSSTCIRCQKSSVLCQAIRPAPTDD RPRAKRHRSQKVSMERRDTRSPTPEDDDSSDESPYSSQGLEPRFLGSTSLSGLTALTL SLLPNSTRSDLNNLDDRYAHFRVALRSDDEAVLVGRGDMEDDRTRVKKKQRLNETVNV VENTGQRLGASVLKEGVIQSLIHSYRLNILPLSPILSLAELCSIEPSLGYALQSEHIG PPPPNPLPKSLTRLAVCTLAALSRQVPRHIYHSLFAQLTATLNGAEGSRLMRTSSLGN VQVLLLLSSSAEMHSSNTDQGGGLSWLRSGLAIRMAQDIGLHREIAQDTIPMQQINRR RRVWAACIISDRWYAISFGRPMAINLFDCDAAGPSIYADDIGHGVRAKEAPYQVHAEM AKVSFPGSGRDLAFDAY
I206_07111	MTANLKNEVIDQKHFDSEAANERTVEVARFENGDIPALDPVEEG KLLRKLDTYVISILGILYLLSFLDRSNIGNANVAGMSVDLGLVGNQFGTCVSIVYATY VTFEPVYANLLKIITPKILLSISTFFWGCLTIATAWATNYHGLVAIRILLGATEAGLF PCVNMYLLMTYRREEIGRRLSFIYVCAALSGAFGGLLAYGLTQIHSSKLAGWQILYIV EGCVSTAFAPVAYFLVPNRVDESWFLNAKEKDMCRTRLQINRRFYNPDEHFDWKQVKR ALADWKTWCHGVNQFLIDITLYAFTTFMPTIIKGLGYSSYYAQLMTVPVYVVAAISFL IFGHFSDKYKMRSPFIVLACLFIIVGYVILLAVPKVGARYFGLYLVAVGLYPTTALNL AWCSGNAAGHFKRATASGTMQLIGNCAGAAIGYIFNSQTAPRYFKGLYVAVGATVLSI ILTIVQALMIKRENERRAKIVAAGAQDLPELGDDNVHWTYFL
I206_07112	MPQLLSVDPSNYKDAPTECIRRILEKVTGRAIPKSEKLKLDQVE SIRMGTTVSTNALLERQGERCALITTKGYRDVMKIGMQARPSIFDLSIKKLAFLYEEV VEVDERVTIETFAQDPNPQPIDVEADTALTRGVTGDIIRVIRKPDLEVVKKQLEELWD KGFRSLAIALVHSYTFTKHEELIASLAKDRGFSVSISHQLQPMIKIVSRANSATADAY LTPVTRRYLESFGEGFEGGIDAFGNKLLFMQSDGGLCTWNNFSGLRAILSGPAGGVIG FTRTCYDETEGVPLIGCDIGGTSTDVSRYNGKLEHVFETAVAQVIVQAPQLDINTVAA GGGSRLFYRNGMFIVGPESAGAHPGPACYKKGGPLAITDANLVLGRLLPEHFPAIFGP NEDEPLDVEASRKLFTEMAEKINSARGVTQDKLSIEQIAAGFLQVASEAVCRPIRTLT EARGHESSKHHLVMFGGAGGQLGTGIASSLGISRIIIPRFSSILSAYGMSLADVVSEI QEPTSLNLGHDVSSAIKDRFAQLENTGAGRLSAQGFSSKSIRFERYLNCRYQGSATQL MIAQPEDQDFTAAFIAEHQQQFGFTLQDRAIMCDDIRVRAIGVSGISDINSPYADFKK ASLTPFAGSQYPAKKVFFEGPGYLDTAVVRLDSIVSGNQVLGPAILFDTTQTILVEPG YIATVLSEHVLIDLHTPPAPPAALDPEHVDPVKLAVMGHRFMSIAEQMGSILRQISIS TNIKERLDFSCALFSPDGGLVANAPHIPCHLGAMSHAVRFQANLHGDTLEPGDCLLSN HPSAGGSHLPDCTVIVPAFHQGKIVFWTAARAHHADIGGMAAGSMPPFSKEIWQEGAQ IKSFKIVKKGVFDEAGVKFHFYDEPGKYPGCAGTRTLADNISDLKAQIASCHRGAMLI ESLVQQDSLEVVQFYMAAIQRTAELAVRDMLRTIYKKHEGKPLQAMDSMDCGAEIHLK IDINPETGGATFDFTSTSPQVYGNLNAPTAILYSGVIYVLRSLLSVDIPLNQGCLLPI EIILPKGTILSPSEDAATVGGNVDTSQRVTDTILRAFQACGASQGTCNNLTFGYGGQK VDGKQIEGFGYYETIAGGAGAGPSWQGQDCVHVHMTNTAIGDVEIIERQYPVIIHEFS QRVGSGGAGKFRGGDGCVRDIEFTRKVDVAILSQRRAIAPYGMCGGEPGAKGVNQWIR KTEDGVVRLINLGGNNECVMNAGDHIIINTPGGGGYGLPDDVSDVGEKYASPQGLLSR ANGFLASFYNQQNTN
I206_07113	MSSFLKPSKTCDQCRVRKIKCVGSDRERSSSCEGCDKLSVDCTY DYIRKKPGRKNSLGLNVKRRQSSESQSIQNEASIQSGAQRPRIYSQLPVQHNSNVSRA WLTLNDRTSIAAPHLPQAASPATVLLTPPTQSLMAATPDSPFKTSMDPSKSWLDSLLD GTGFPPVSTSTELVVNSGDNMPHNTDLNMSFASDQAQLLSLESLVGMNAFSNSPGKVE TMSNLGGRREPQLEDLTNWTNITHFIALFLKYLYPILPLVHRPTFSEYLATRRDLIDT DFRALLLSIVAYVISQLPTSRLINDQFDIEGLKRLQRRCHRTCKALQRTYYGPTNSTQ ISTIIFDTFYLLSIGLGHTAGARLGQAIQLAYSMGMHSDAKTEALGLDPIEIQLRRRV FWQLYAADKTRAISGLPMMINDFQGVCSLPEPVDDEFITSQGSFAQPASRPSPIAGFV ACSKLFRIMSECFFHHRCIISNMRTVDTAWTVTVEDRLHQLLQDFLDVTQDHSVHGND TIKHMLAVQRANILITAAICKFALSDLRIALQVDKDQMGKEREVIAREIHSSLMNIPV EDLASNGESVRSKIFHIVCALCDQNPASAVDQGLIWDWYNMFSAISFVQMPPPPPEAA LDSRANSPPPASMQQQQQSQYPLPGPLTGSSSGITMNPGSI
I206_07114	MEHAVHEPNASNADLEEIMKNRDTRWYRGYLGKLNLICLLCIIT SMNNGYDGSMMNGLQSLNTWKDYFGTPTGSTLGIFNAIQSIGGIAGLPFAPFLNDRFG RRWTMFIGAAIQCVGVALQTGAQSVGMFIGCRFLIGFGLAFSCLAAPTLLTELAFPTH RGPITSLYNSTWYLGSIIAAWTTYGTFRIPNTWGWRIPSLLQGLPSIVQLALLFFIPE SPRWLVDHGKDEQAIRVLTKYHCGGNTEDPLIAFEYNEIREALRLEKAANKSSTYLSL FRGRGNLKRMRVIIAIAFFSQWSGNGIVSYYLNLALDGIGIRSSGQQLIINGVLQVYN LATAYLGALLVDKLGRRPLWLISVAGMTASYTLWTICNGIYAKSATNLDADGNPIGAN LAAGHGVIAAIFLYYASYNLAMSPLLVSYTVEILPFRIRSKGLMVMQMSVNASLVFNQ YVNPIALGKLGWKLYIVYTCWLAFEFVYLYFTVIETKGKNGPLPLEEIAALFDGTEAK DEVQAATHNQAIVQPDHGLGSDYDEKAMQSKDIPVHQEHQELQTAPALSRDY
I206_07115	MSDSSQSDYLVVNGEDITLHGKPILLKGAGLGGWMNMENFITGY PGHEFQARAAIKKVIGQEKYEFFFDKFLEYFFGEEDAKFFASLGLNCIRLPVNYRHFE DDMNPRVFKKEGLKHLDRVIDLCAKYGIYTVIDLHAAPGGQNIDWHADAGGHQALFWD HKDFQDRTILIWEHLARHYKGNTWVAGYNPLNEPTDIEHTRLLDFYCRVEKAIRDIDP HHILFLDGNTFGADFSHFGEPLPNAVYACHDYSNYGFPNPPADFTGSPDQIASLERSF NRKVEYMKKIGGPIWNGEFGPVYQNANDGLSDWQEINERRYNVLESQLDIYSKSKASW SIWLYKDIGFQGMVYVDEDTPYMQRLKPFLEKKKKLAADEWGCDDIPVREVFDPLEKW FLDAVPSLSQRYPRTWKPATHIGRLVRNILLSEELCHEFGQYFEGLGFDELEALAKSF SFESCKQRTKLNQILTEDSKRGIQK
I206_07116	MSASSLFLVLGATGGTGKHLVKRALQDGHRVRVLVRSPQKLGDQ AADLDVRQGSIEDVDEIDTDKLVEGVDYVVCMLGDKEAQRDKKINYLFMQKFVPSMRK HGIKRFLYQAGGLSKPYQGSLSWTLWLIRNTIASGFNGQHLDNEAVMEYLETQAKDLD WIAHRAGIISDGESKGTLERSISKFSMAPHRDCADYSYRLMLDPSKDAIHTSDFSCYV 
I206_07117	MTASHKPRKGDKSTSTSNSDSSTLRRWGFRAIFLALFIGFCQFA NTINDRFYVLTPAELNETVQHSLKLAAALNPNSTNNSEIIISTLIDQLVEKHPEISWQ TDFRNKKDWVFNNAGGAMGSMYLLHASITEYIIIFGSAVGTEGHSGRHTADDYFHILT GQQTAYEAGDLTREIYNPGDVHHMKRGVVKQYTAAPETWALEYARGWIPLMLPFGFAD TFFSTLDLITLYHTVRVTGKEMIKNLLMGKI
I206_07118	MARANSRVYGSYAAAAVAVYLLLGPLGLGHIPFLPDGISSGWGA SGGGNTRDNGIRSTSTETDKLLGFKLSKIVNHAPGYTVVENLYWHNYSYVFVTDQPWS IPKLDHIANKHVDTRIPLQGHEEVKIYSIRLQPVSPEVKEANQIGHTISLDEAIALFG RAEELQSPMIINNDDNFVSHYYHWIGETFLGAWRTWSNYAWRTGQTLPNIKVVAFPKQ YNKAEAPPGSNGANWWEDTPGANRWFTTKFFPGVTYETKPIWEERAATKEFYRIPLGL IADRRGGHNGPSNAWKPWGDALRLPVSLDWLVNLRDRVLKDYNGPVNIKRGTKPHVMY LERQGSGRELVPEDHEDLVNAVLQLEEDGLAKVTVKGFSSSIPFQDQVAEISTVDILI SVHGNGLTHTLWMNPGGSVFELQPAECTVTDYSPLAIAAGVQHYLVHETSFCVPEECP GRGCPGPRAINRDDIRVTAHVVTDQVRRIIRRLNNRSLE
I206_07119	MTTTLSRPAQPPLIHSGYDDFVSLVASTPSKLGTDLASNPRLYN APQVRGRYSNLGTRLPDGLPRADSYVKLIAEQSSANSRVIPPSGRGGRARRYARGGGR IGANGDREEWKAVELKKRKVDKKMISNPTDFRHIFHASTFEEATELLLRWSIEGLGDK LGDPGWAYPVKLLVKARAREQQARAVAAVVEATARTRELRDIDENEMKPPGTLRVING LPSSIYSSTNTLLKVTGKARPTVFTQSHDQLQSSNKSRSTGQTSISPLLTGGSTPLAI ANFKGYFEDPSSSSSCAAAAAVDGGQTPTYSLNNPRASPIIDGISEGKTRPLKIKKKT KSASPIPIEGQPTTKSEEVIIEGQVSEEVITPSTPPTRRKDMRPKAQEIFTRLPFRII KPSLETLEKSMSIALFFEQYYHSLLKSPPIHINGYTPTNGSNEVNKPSHPGNYVLNRA RRLANLESTFNLPENKYMSEDEKELRRDELIKEENRILRERRKKVDVKGFELGRVIGH GAFGVVRIAREKESGRLVAMKQLRKADMLRKSQEGHVRAEKDILAAAASRSLSSTISG ETNKPSWIVELHYAFQDIDHLYLVLEFMGGGDLLNLLVEKDTFSEEMTKFYIAEMILS LEETHSLGFIHRDIKPDNFLFSKNGHIKISDFGLATDLHWSHDTSYYEFQRLAILKKH GVDLEYPSFKTKRMKKNDIEKIMGKEWLDQGKGLLTWREGKRRNLAYSVCGTNSYMAP EVIRGQGYGFSCDWWSLGIIMYECLYGYPPFVSSSRHVTRQKILNWKTTLKFPPKPRL SPECLDLMTSLLCESEDRLGTTPTEKASIITTSTKGSLNHTLNGRHANLGKGLGNDGA DKIKSHRWFANIDWDNLHKETPPYHPDLYAEDDTRHFDDDIPDEPLAPANGAAANATK DPLLRDKTHGAHLLEIRKSLAFKGWTFKSPSSVENRYRHLQDLSHMKDPSDSSEETIC DGSSSKWDVVGTQSRMTTGTVRHRALSI
I206_07120	MGKVHGSLARAGKVRSQAPKVEKQEKKKTPKGRAKKRLQYNRRF VNVTVAPGGKRRMNQQPAGKSG
I206_07121	MTAQTTTNGNGEPSTKRPRIEGDNVKSHKMAYLGPPGTYGQMAA AAFQSCYDAPIELIPCPSISAKCVRVAIWETSATFHVLPLENTIHGGVTETLDCLLSN LNFSSHSSTSNLVREKKHRRIIADLALPISHVLVVRKGVKKEDIKWIRSHEQALGQSS KFIKTHYSTARLKTYPSTAGAAISLLNPTSSDLEEGEGAALCSKAAAKLYEDQLDVLY EGTQGISNNFTRFILLSSSSAISPPAPIRHVCSPSQTAFYTLPSAKEIIPFFQSSRIR NIHSRPSPISSDPIPQNGKTTQDVWVNREERFPTLYLVEVDIAIEGNDIDQTQSSIGE EKGWYLGKAEWRVTDEQITAL
I206_07122	MTEPNLPYGRSRYFPFAENPINVILEDQINSHQTSRHNNVAQSA QGIIDYSSSTQPYQDMGCQGQQYPLGPAIDFGSANHPTPSSSNDQTIQSQQVNQIPIF SSLPYSHNTTSHKATSKANFQNYTKDRNIVNNGDDEDEEGEEIPLEIELSEKSSYSPR NDRSKTTVISLKEFTKSPSSTIEMPLYANTPKIANIPPKRPHTSKFNTDSSSSSLDHE YIVRCDEPISTNGRYPTRKSTIRANEKVQAIRNCTERFSGSEDERIEAKKDKSLRKGQ GKAKVGAYGGRRIPAGEGIYNDGTINRKAQKIPAGAFLFQLMEYLAYDKYPGYVIMKN QVAFIPNTEAFAKFVYSRDIKDHEKSKAITRPWESFQRNLNNYIASWPFHRLAVPGTK LKSQIIDIPTLNELAERWKEYGLDENVLERERNRIEQWAEITVPVKPYILSAKEKKTL KLVQFKRRFGKSSKLIDLDIAFKSTDKKERKYKIDEIDQNDEDEYYGPKKKRSRTTLI GESSGYYNQKEEKSLSVTIDDEEENNELYSEEDTEMMAPDLTETRASSPEIPLFNRIQ ANRMGTPEKMQLRLSIGGKSISIPSTPEKAIINSDKRSFASINSNSPDKGQHDPTYHE IIYTPSPTFSNPIVTPQTANTDVHSSSRPNSIMKIYCEL
I206_07123	MAPPPTSPRDDRDRDWDRSRSTYYDSRQPPSNSGWSSSRPEYNP SNMSTINPRDLYGGSSSSSSHMPYSPNPHAGNPRRSRSPESRNRQTSVGGSRATYNNF FPDTEPGQIVSPNPGYSTTVPSYPPTQPRDPRAPQTSTYPNRPASISSYRPPDRPRVG SGDRPLEGGRSPLAGPSTAGSRDTLASSNGNVMAALENFSKTMHSALITTSQHALTVK HFARLQESPQVNRQTPTFEEAERRVGKAQKLVDEQMLILNASFIELMRRTIGITNNNN SSEAISALELDGLKERMRKIEDLAPSLRNSDGRLPEPTSTIPPPPPAPPPPLPAEGEN SEQPTDAERKKQKIGAILDRIIERVEKVESMKAEFENRIDDVETTLMTQDNADIDREI RIEQKRKYEDWDDLENRRDPSGSLRGVKRKERDIIDATSDSRQDVDITDNDGHIVNKL RKEVARLSGRVRSLQDASSTTMSDSANGGAGVQEVRMQERQSPNTVQEGSSGEIQIQI INAQLKDVRMEVSKLSEQINNTQTLSNDHTSTLTLSTNGLAGQSELNNLRFSMNAISK TIDRLSGDVNTLMNDKNSRIGVFEQISSGVQTLAEKIQQCKVDYTNLVETQRASTATI TSLTENFRTIQNGISAIYVQINEIKQNGANGSFNSTVNQSKDQEIQDLKNSLSSLQNE LKEMKDGREAWTKGVMAACLEVIREENEKRKEDYAKIAKQEISKTIKDYMTKRTSTTP SISQAGRSNSEPNDNMNLSSTTSARNQANDTILAQQSSYPQIPIYQTRTPRSALHDVV TREYTIHLHKRVHDLSFKKKAPKAIKSIVDFAQKSMGVNDVRISPGLNQAVWARGIRS PPRRIRVRLERKRNDDEGAKEKLYVLASVVEGVTSFKGLQTVVVEGDE
I206_07124	MLSNTYRAIIIGAGPGGLAAVKSLLDAGITKICWIDRKFGGGRL NEMYREISSNTKVGIYLDAVESSPTCQRIIDSTPKPNAITAFEKYDRDETCQLSIAGD ICKMLEKGLLEIEGVDKLVDTVEEARLEGSTWKVGLSGSSTTLLTSRLFLCTGSHPII PSFHKSYNPDLEILDLDKCMIKSNLHSLFPKNKSSIVGVIGNSHSGILVIKNLFEINK ERKRNLKIINFKRNEIKYAIYKKDGGIINDNTGLKGDTLNWSKKNMENQNNNNNDKEE KLIIEQIDISKNEKEIYEKKLKECTHLIYAIGYESNNYPKIYIDNNDNEIKQNELNFN QETTEFWLNEQKVKGLFGLGIAHPEKTKDPSGQIENAVGLAKFFAFSDKNKDRWVKDI 
I206_07125	MLSEITNLPPLPPSPCSSSSRRRDSSIRLSFHPENQVQSSPNSS KIGTKSNIKKMPSSMRLFQEQHLNEFAHLHLNEQEPQNSEEREKLLAVERDKLEEARR IGRAKRQTMAHHSSSYSDDKENIDSHAGWRPLSLLARRQPSNKPTTLLVNPTIRPLNQ NQRQRRYSNRTDINTPTEIDTPDLSSHLPSEIDINSPDLALITPNQLGLALGCESPPP LPVKSKARQEVQPAKLDENNSVQSSTYSWASSFSGETVELRTAAHYVPSISEEQYTPE TGEEVEEILDSPEKVKRRRKRIVAIAHTVRQLEGIGSRDVEDPNFYHQLVKAWNERPG KVQPQEAIWSPSTIYTSPPPIPPRPNQTGLVDPYLAPPAWLAPPLLSPVDTNQQASNS PVPSSNLEHRTPNPEDGGSYDEHSNESYASSNPFRYSYASSIHDLAFAEALQHGNKLM SEKAWLKSPLFDQGTWFDANTPSAPIPVGQFSMAPRIPSPMPNESPSKSSENDAEFAC VPSYSHDQKTLRRQNNNINRMKAQDQQFGAPVAIDDAPQAGLSSAPTNWGLGFLGNWL KDELKDDPQAQEGFHNGEEGLKYHRELPEYKVNTRQNSKISSFLAEGDIAGVSNSDDH IYHQQKQSLQSPKQQEITTEMDEMISMESIPLSTNSNLNLVLPPPLPTPEIEIIAQQT GQTKEMISPKQPEECIVIAKEYQLSNPYPRQNYSTPSDILHLQAPAQIQSQQYQHLDL QSTMNLNDRTLHQLQNLQSLGNGDLEVEVEVNPLDLQVQNQDLVSKSKADQEESWDSS EISSYQYQYIHRTSPLKIQHHDKISPPFQPQSQQERTTPVTLTMNIPRLQDLPPLPLS PTITTTDTPPRTPISLQRPNLPPLPPTPKYRRATPPLLINSSSSKRDQEILKSIKGSD KVGVGCHQDQPLVPLPNQLNRHLNYVHSSYLPYTGRDIASMKMGMGTIEVLREDHPSY PAASASTENSQSADASTSTIAEIDTNLRNHAIDQNDIEKALPQGSESKKQSRTGHTMF IMGFICPILWFIGGWGITRIPNSNLSSSEMEKTTTRRRFGIFDHPDSMIRKCRYAAII SIPIILVVVAIIIVLILVL
I206_07126	MAQRVTLRKRQPYNTTSNRRRVVKTPGGKLVVHHLKKIASAPKC GDCGLALPGIPVLRPRQYATLSKRQKTVNRAYGGSVCAPCVKSRITRAFLIEEATIVK RVLKAKAASSKK
I206_07127	MPIAINRLTAFLLAGLCITVFLTHTVVQQTTGQSTIQHISKLSK FLPSKTVNRWADLYVSEDDFPETTHMSGVAGFNYFHNLYSANGTFLIVTSNPESLPSY GISGILSALSDPLDKYHNHAPAGEDRIMIVSPQEAKERMLLGKAAIRKSGVSLMFADV KEGTLSSFLNHYYHFIGEMFLGLWRVVTAAGEIELPSRLIYRAESADWRDRAGITTWF QQAVLPEAEIEEATIYEDRKKSGVTFLFDKIAIADRWAAHRIGQEVKYWNKANADLPL LDVPLTWMDPLRDQIKRLALAEGCDVKRKRSKVPVVTYINRQLTSRRLIDEDDQELIE EMEKLDDEGVIEFHNAFMEKLPRVDQFCLVMKTDVMFGVHGNGLSHQLWMKPKSAVME IMPVNGFARDYAILGEMMNHEYYAIHYNETFPPEKWRKENGYGVDQGPDFHSPRYTID GKWMAGMVRELVSIRINAIEPPLPW
I206_07128	MATTIDTISVARITVFARQERCKRLFDRTGQREVDVGRKRSEEG GEVIPSGRRFGGKNSDLKQRFFGSRNIMRSGDMNVFSKKHGPAPERIGTYATAPGSVS STLSRSVWFL
I206_07129	MSRPRSKFLPSRRLLPFIFISTLFILFKSLPNEISQKAIPDSIL SNYLNFEESPNEYYPHPSLKEVENKITTKSAGEHGTFLETSAYDLPKLIKSKNRKLRF KRNSSAEEQQEEDLKEENFDENDMSNEENRGYEGLRIAVLEHAGFHEEVVGAVIKTLG DVGANFTLYRDHFRWGYDQILESGMNYTIKPTPYSDGSFSKAVDSNEIDIVIHISCDN GFWNWPRNNKAYESMKSNKNLEIICMLHELENLNENERKSWEIAAYQERLTYLTLSKH VKNYLKNEVLKWSHSLNQLSWGKVDVEEFVPIFPVDASILPDSEFVKVAEFFPKRVER IPSRLAILGNIQPWRRNYNPILGDLHKAIQADPASWGYLPLSSEVNSTYISANDDSKP PVTLHFIGSLAPTAKLEIPESMKDMVFIHSNLEYIDFYRLLGSMDLILPAFIGWTYLE KKLSSAIPAGVVSKVPILGSELLLNSYQFLRDPSIILHAPGLKEIEAIEMLRKNIDPY TNQPISKIGGVESITTTKTKDLKNIKPLLPKYDLGKKIHSRSFGKQLPLGMKKIKDNN NNNNNKILNKQILESNFSDSEENWKEYHNNLYKENKEMFIDLFERLSKKIQNRKNNIL SKE
I206_07130	MNYQDQNTHHQTLATQRTPPQLIIDTSTSPQNITYPSTESRSVE VSLTSTNKRGRKYPSPPSSIYDNSLPSPSLSKNKSSTFDKWLPSTMLRISRVNAKTFL NLSIAFNILLLIAIFLPSEQAHDYLGDETWNKIGQYGLINNRWNNDLALSGGQAVLQV PKTCSMCEVNPEFCEEFGEENMIKSLGYTGTGNRLRRALAKMRSGKPFSVGVIGGSVS KGHGLDAPDGDNPHTPRNLNRIIFDHLNERFPNPNGISLGKSGLAEGKNTFVNGAQGG MGSDYFSLCFSEHIPDEVDLVLIELGINDEALIRNMNTYELLVRGLFDMPNKPAVLNL QVFALMFQYISNGGDLHDGIAQFYDIPTVSIRNPLLPQVFKNTTEVRHLFHNRVKDLA WKDPLEEIDLRHLSWQGHEIMGKLAAAYIDTQLCEMDKIEAKLGNPESIDYDKLYPVE PLPRAQIMKKYDPNSNLPKLAPQCYSANALKHPLKPSSQEGWRHWNWKEKHYLIADKP GSKISFPVSTTLGQVQLHYLRSYQYNLGSTRCWIDDEKDKGIKLDGYWKEPYNIGRAA TIRDDLTPGSHTLHCELLNETADPKGGKEFRIISVMR
I206_07131	MSSEAPIALLSVYDKTDLLPFAKGLKELGFRLLGSGGTAKLIRE NGLDIEDVSSITKAPEMLGGRVKTLHPAVHGGILSRDIPSDLSDLSANSISPITLVVC NLYPFVLQTSKPDCTLAGAIEEIDIGGVTLLRAAAKNHGRVSIISSPSDYQTILDEIK SNGKVSEETRRGLAIKAFEDTKSYDEAISDYFRKVYATPGVEDNMKASAGVGYQRLGL RYGANPHQKPAQAFVEKGEMPIKTLSGSPGYINLLDALNSWALVKELSAALDLPAAAS FKHVSPAGAAVGLPLDERTAKVFGVDDLKDLSPLACAYARARGADRMSSFGDWVALSH TVDVPTAKIISREVSDGVIAPGYEPEALEILSKKKGGKYCVLQMDPKYEPAEIETRQV YGISLQQRRNDCKIDESLFSNIVTENKDLPKSAVIDLIVATLALKYTQSNSVCYALNG TVIGLGAGQQSRIHCTRLAGDKADNWWLRHHPKVLNLPFKKGTKRADKANAIDLYVTG TAFEAEGGERQQWESLFDNVPEPITKEEKKEHLASLKGVACSSDAFFPFPDNVHRAKR SGATYLCAPSGSIMDKECIKAADENNLVFVHHGLRLFHH
I206_07132	MPPVETSVKNVKRKKPLSCAECRRLKLKCELIFPCNHCVKRGLA SICPEGELVNGSRRTKILASTEDLHKRIASLEEALKVATSSRHPLLENSLYANRKEVK SRSPPPPNRESTSRESPDTLPSISHLQLGDDPHTSRYYGAASSVYFSKHYLPPSSNHS SSPAGSSTIYDFSSPSDFSDLFPPYSRVPRLEMKEIMATFLPPPEVALPIAVTYYQTF GWFTNIVQRHVWDEYLFPHIYRDPSAIEPGPVKPQWLALSLLLLAAGALMDLSRPPHN ELARQCFNGARACLLLDSSHSMTYVQCIFLYGLYLMNGGTDVSGGDTFWPLLRMGMGI CEAIGLHRDGSHWNLNTSLERRIVFWEIHGMDVLQSVSLGRGQCINDSSIDVEIPIST ESDLNGFHAKTYELTKIWSQINERQARVKPWIYSEVREIDQMIITFQDGLPYHLSPVV PPSSDDLIDPIRHKEAFQRNMLLLYINEARLTLHRGWFIRTLKESPIEPLSSPLKQSY LSCLEACRAIVSLVRNMIVLQGQLIHRRWHFFFHLFGACVCLAAAVIRAPTSSLARTV LAELESGVALFRMTEREELETVERLREKATRAIQHSGSTTPSKYHEGGSSEDLDFDLL GEGTTLTRATSSIPRAGAGLPSRSMEYPNHNIDEQEEREEEDEETNISYLYNQPTTYP TLPLIDNVGSLDSFGPTNKVDNDEGHGIIDMNSDPFSGPLSTSVCSFA
I206_07133	MTTSSVPPSFKFPEPNEEECLKALQGLVKIKSYSKTDGEKEATE YMVKLMKEIGIESEIKKFDNGKRQNALGIWKGNGQGKSLLFNGHLDTNPVTEGWTVDP WGGIIKDDCIFGIGVSNMKAGCAAYFCAVKTLIESGWKPKGDVVLTHVVGELQGGPGT VALIEQGYCNVDYFVNCEPTDLKAITMHAESHIFRIELTGITRHMSKREDATDALLAA SYLIPKLDELTFANAKNDDVKACNRCHVGVVKAGLGKEMADWRPPQVADFAIIRGAAR FGPGQTSNDVENSLEICCIELQLKFPFIKYEIFHERSDSMPSFEVSKNSYIVKTLNKI YEKVRLGEKQPTGALSPQCFFGSDAGHLYKKLGLQGIVCGPGGKFNTMPDERVEIVDY MDCIKIFIRLIVEISG
I206_07134	MEYQDDKEKITNAPIATFAVEGKVDDGYEIYAKNVDTQGDRTPE EQEIWEKKSKKIATKFDIHLLAMMCFLVGVNYIDKAALAWAVLFDLKKDLGLVGNQYS WVSSMFYFGYLAGQIPAFYCTARLPLAKVISATCILWGVLMLGTMGCRNFSDMMAVRF LLGMAEAPLVPSLVSYTALFYTKKENVSRTLIWGAMQGTFYLIFTLVAYGLGHIVNHG LKQWAWIYLVLGLISILLGVGWLFLPDTPMKARFLTEDEKVIALERVAENMTGTKGTS VQIHQVIDALKDPMYYLSLLYTFFAMVPNGLSSFNTLVISSFGFNQFNTLLAGLPSSI VSAGSLILWSILARKYGGLRTFGMVLPLIPAIAGIAAVYATTHGNHPKWGRAVAYWLI NSYAVCWPFFQAHLGVNFAGHTKRSFIYGSVLIMFSAANIVGPFIFPSGSSNYAKALA IILVFFCIQALIAVTIRIYMIINNNKRDKKFGLVDRRMETEGALEGLSDKTDRENTAF RYVL
I206_07135	MSDPKDTTVPNEHHVHTVGHWKSQDKRHHHKFLNDTVEHVDKNP KPLHPVLEDFKKVVENDTRLSMLFDLMFEQVPKNKEYLKDPTGESQVQDFEHLLKLMN HVISTAPAWTDAGHKVGMVGVPVNALLDWPMGTAAGFVVFQDPTVNEHLKKILNVWGE YLSSPASAEVLGEGKTGWFGKTGKASLEEVANKAGGTNLTFEELFQSDPKAKHHGYKS WDDFFTRHFKWENRPVAEPTNDDVIVNACESKVYKVARDVHARDKFWVKGQPYSVLDM LNFDKDYAQQFVGGTIYQAFLSALSYHRWHSPVSGTIKKSFIVQGTYYSEPLFVDFQT NQAADQHGETTSQEYLSCTATRAVIFIESDNPKIGLMAFIGIGMTEVSTCDTTVKVGQ HVNKGEELGMFHFGGSTHCLLFRKGVKLSGFPEPSDHNVPIRSKLCVVE
I206_07136	MSSTQTNSSALSDTSINVNGESSSLPPKSQSKVSNFLEVESDQD DIIDSEEFNSTQNRKRVKTNDIVNLESSNKKRKSINGNNDPSKKLGGGAESRQKEALR LFEKRKELPFYQGRKEILEEIMNNETTIILGETGCGKSTQLPQLLRSNFISTSNYKGK SPKIVITQPRRLPAISLANRVAIESGCLVGEEVGYSVRFEEMVSRDTGIRYCTEGVLM RELANPAQNIGTSSSSSHQGLNLLLKYDIIIIDEAHERTLNTDFLCGTLKKVQNIRKE MVKSQQKQFDGNGKGKEKKGSEIKELKLIIMSATLDPGKFQKFFATGREALLVKGRMY DVSTQHSLNPVDDFIEAAARQVMIIHNNQQSEGDILVFMPGSDEIENCVDLLRRLGKQ LSDKSKQIQVLPLYAALPPTAQAKIFTPPPEKTRRVIVATNIAETSMTIPGVSFVIDT GFKKEKEYIFRQSGSIEQLKKKGISQAAAWQRTGRAGRERNGFCYRLFTKEIFSKMPE FDIPEIQRCNLSSAVLQLIAMGQNPFEFEYIDNPGRDAIAAAFQTLAGLQALESPTKV TSLGVDMLKYPLDPPHARILIASFENGCTSEIIDILSIINAGGTVFVDRPNDREEASN SKMKFIHRDGDHMTSLAVFKDFLTLKSSLAKERSSSKGSGVSLVGWCKDNYVNFKTLN QAIKIRDQLRELVIRNGKQWETSTCNTSDSSPIMKSLLHGLFMNTAVIQADGSYKQTT GSLTVKIHPSSVLMSKKVPAILYDELTITSAFYARNVSTFEQHWLTEIPIFAKAGKSV AVSVGKGHL
I206_07137	MLSSSPAIPLSSISFGEGGQRRLSLVTANGQELFTARDGLPSPE HLTPITGATTPVNNSEPPSAPLSRRQSGETTKTSSTSLYHDEPQDVPLHQEPEYEKYK ELDTTSLRKVPSRGGIWHEVEEPRPPYVSQDSSRASSRSASPPVVKSDVEQWHAAHRR PPIPSNLSFTPATPDAPSPAPSLPAGQHDNHLTADNNKSAQTSKTAPSSPHGSPTATR HPKHHAGPLHDLRRFLNHHIGHHDNKHKHQPAENGSIAAQAIHSHMNETPGPSTPGSP TTGGAATPGIQRRGSGFNGLAAAGAAPSGTATGTTTPATSRYGDHYGEKHGAHSSHLM GFMRHHHRDNEGEKSHSSLASFFGHHNDKHKEKKSRKDSKTPTDSAVPSAAPSRTTTA TLQMTEADHGPSLPSSRGVSPPDTPDVHTPKNASEYPGVPHPVVALTHPSLHEATHAH LSKKYGKWGKVLGSGAGGTVRLVKANSKNGGSTYAVKEFRPRRQGESEKEYQRKVTAE FCVGVTLRHINVIETVDIVNDHGHFYEVMEFAPFDLFSVVMSGKMARPEIYCVFRQII DGVNYLHSMGLAHRDLKLDNCVMTHDNIVKLIDFGTATVFHYPGKHQIPASGVVGSDP YLAPEVLNKDQYDPRLTDVWSVAIIFMCMILRRFPWKIPDYKTDTSYRLYVNTHPEMC TKPPVPTPAPSIANGHEGIDDKVGGTHTLLNDGTSSLPFRSTSVNSTATASTAVEPKA SPDSPQQSHRQNSACSSDDGGVPINQLNLHDDGEERKDRSDLNFPRRSDSVVSVPASR YATSSSLATNPTNPPKRQGTLPNTVSPRMTDNLSVPEDSHRSIGRNRAVSSPVSPPIT PGVNETHRNPFGGHQTQQAPVSRQEQQAKRERAASISSTKTFQTGGAESIFRLLPRES RSAIMRMLAVEPSIRCTLSDLLMGRGKDEMMCSCGSPECSGSILPPPKEITGMSNDEL DDGDEWVKNIECCSHHPGRPSGHSHIKVIPEEKPKKKLFH
I206_07138	MISRNVKAGYLSVSMTTAVQCGNSTVTWSGDTGPYHLLLTPTQF KEHGYNVWIESIPDGVNSYELPIRQPEGIQYMLTVWGSSGIQYAATTDVMTVQPSPSS TTNCFLSDEAILNLYTFSFNLTSNSGSYPPQCSNMSLTWPSSLESNVTSDYVPVNGTL DTTSVSGRKRQDSTYQPTAIDLKIFDERDASSSEHNGNTTHPPTMFGIIPLGNSFSIP ITYSKTSKYAKYLPESSLSDNPTTYTSQGVTHLNWTVDMAKGTRFILVAGIGSQEKWA SGGSSSMFTVGQGSSGCIGSEQDGGGAPSVTATTGESTSSAQPDPARASNVGLKRTVV ACVLSIVGTLIIVGILFMCRRARNRRRAAAANDTSGIGKKNRRSQRNSKILAAEQLDA ESETPLDLIASRNGGSANAQSPPRLSPLILGEDTYNSHGQAQSQSTPISPLNPFDDTP SKQPFLPGPSRSTTLDGGTDTWSSLPVSPVRGRGNPMTRQSSQDALLPLTPMDGFAPR IDSTVTNGTGTWQTTQSPINTGTYLSSRSGGPLQLHEGYQHEDDEDVSDLKRDTIAYL GGSPSNQQQQSQRRANTPTTALGSSGPNRRRRQQREEQEMEFRIHRDAGRVQPDEHTN QNITELPPRYDEVNWEEERAREREG
I206_07139	MTQQPQPYLNGHSHSLKRVVVTGGLGYIGSHVVVSLLLTGQYQP IVIDNCHNCYPEALNRCAEIARDELGPDAPQPILHNVDLRNAEAVEDVFSQYDTKGGI WAVIHLAALKAVGESGEIPLSYYRVNVGGSISLFESMARHNVQNLVFSSSATVYGTPE VIPILETSPLLPASCYGRTKAMVEEIIQDLCKVQNKDGQGSLRAVSVRYFNPAGAHPS GKLGEEPRGKPGNLLPLLAQIAIGREKSQLKIFGTDFPTPDGTCVRDYLHILDLAHGH VLALDALAVPTSEKNIFSNCDAESGSFRSFNLGKGKGMSVLNMIDAMRKATGYDYQYE IVGRRRGDVPDLTADTTLAEKELGFVATRNLEEMCRDLWNFQTKHANGYGTA
I206_07140	MSSSPFPQLLRRANISTYDPLITRIYTSTPSSKSQYNDWGLKFN LPIKKGPRYIKFNSLDAGPGINCDWRSGEREARFVQAWGTGKIRWQNDNEIMNYSLRT EKIYDNENNKHSDDYLTEQIENENSSDNQGNKEEEELIISNKEIWLKDIESMSLKEFE NYLDLIRNKRKEFLNKRLNDLPNKIKDTLILPEDKTLIHLASTGKTTSQSIINLQTSL TCKELSSKNSNSKLHSKLHRTFGLNYSKKPTSTNDFLPNELIQKGRILNKVSRYDDSH SRAINNTRNSGGGNNLPWVVSLGGITGKTIQNKNNKITEINKNYTSLIEETDYKRLNN LSGIGNFKINRAEMSNQPPIILGLKDSNKLLLSSLNNRMGGKWRQSTANQPSSLDTFK FDIDLTINSIESNPETIPKEIGSKEWVGDESKLSKLNDWSFKYNFGGPKNDRKKGEAL ERLRIKEDREQTMERLNRLLGKYKIGGEKKTEQSSEQ
I206_07141	MSSRSQNHSKSKLFTSVVSKLSRKSFQSEGNQNTEQQDQSVESK SPNTVDMNSKVSVERDENGYIIIDPKEVSPINAESTQINEESTQKHEESNDGSRDDQF GSKQSDNTIIDRFRQDVENYRKKLGLGYSENCDFGDASNSE
I206_07142	MTGDTILTARRRLSSTSSTFSKLKNASLSFTSILTNFKRKFIKS TIDSKLSSQTQHSQSLQREEEFSINHSRFGSDIEFPVEEEREMIFHNKSPFSNTSIED ESSTSTLRSLQRNSVK
I206_07143	MVAISDLTPPRSRSTSVSTTNSDAALPSFESLAPPRPPTTKAAE ETHVLVDGLKDVVKLKVDAGPGCGGIAWPAGEVLSRYIAYRHQINPSYLRNKKILELG SGTGLVGIVAGMLEPSAEVWATDQSILLDLMEVNAELNLPTSTTQRRNVHIAEYNWGE QVPSTIPIENIDVVLAADCVYFEPAFPLLVKTLCDLAPIGKEIEILFCWKKRRKADKR FFIMLKKYFNQYIIEDDKSGEKEIYNRQGVNLVKLVRKKQ
I206_07144	MVEPTNYHPSSPSSISVPPLSPRQSRNRNTSSPRNNVRSPKIQS SPILLQPLPATSSLNKESIYASELELDKQTKQLNREKQLSEALKDQLYSIEREKIKIE QGTWSKNNSKFEYKDKDDKIIYSSENSKTKPFNNPPQAFELYKAIDDHNFEFIMRIRD YQFDTLLQKNGNEFPILYATRLGNQWRDVVILLVGALSRYVNHLEPEDFEKKETLRTL KALRANLKLAIDHTLINLPPGHSTNLLSSYLQVLIMSEGDSFLLKSMNEISLIIRSKI NSSNSNSNSNSNSKLNSEIIKPVFQSENIIRKFCTKELRGIKEGIIDVEEYISNATLD LLILSCWNLVSNQLNIENLPTHTFARDLRTYSLFIEAYENNLTNLNKLNPKLKKILNI LIDLAGDSKKSVKGRLEDVRNVLDT
I206_07145	MLNRFTRSAFKPLKGVQAQQKRNLSIHEYQSVQLLNSYGIPTPK ALPAFSAEEAESVAKSFGKDELVIKAQVLAGGRGKGHFDSGFQGGVQMVDSPAQAKEY ASKMLGHKLITKQTGAGGRICNAVMLAERMPPQKEYYAAVLNDRARGSPVLVTSNQGG MNIEDVAHDTPEAIITTTLDFENGISSEKALELAKQLGFKEESQKNAADTFQNLYKIF KDKDATQIEINPLAELSDGQVLCMDAKFGFDDNADFRQKDVFKLRDTTQEDAQEVEAA EYGLNFIKLDGDIGCLVNGAGLAMATMDVLNLHGGSPANFLDVGGGATADAVKKAFEL LLTSKNVKSIFVNIFGGIMRCDVIAEGIIKATKELELSIPLVVRLQGTKEAEAKKMIN ESGLKIFPFDGLDEAASKAVEAARSA
I206_07146	MLRTRSNPTGNNPETPNTKHNEPDTPMSHYTSRINSEDDPSLSP NHPEYFNGNGNSGGGDGSNTPNAHAGTYNSGSEIKSTAAEYNDTTNQHRRLSSGSSKS NNSGNEKQSGGLQSRRSKNGLTLNLSALVGGGSGGKRGKNFGFTGNKFGLSQKGWISV TGLVGLILLLKLIFSGSSEDPHHHVLDQAHLVPRDYLNNSIADPAPFEFCPVFGPGDA IAARRGQLELLKSRLHTGTNARVQRVLQKAMSGSSITLSVLGGSVSACTGAGDDPVNE KCYPHKFFDWWNTVFPHPANELTNGATKKTDSAYYAYCNSHHLPDKTDLVILEFDAAD PNDPEWLQHFELLVRSILVRPEMPAIIILGHFSPQVQAQNGFAGPELLHNVVAQFYDV PHISAKGVLYEQYLQTPDQARSAFYADPNHANQNGHDLIADVLISYIMSQICAGWSAI NGHAFEVPNLGTEGDNSASGPSLLGGVGLRKGMPGQEPGDGDSAGSSLAERYQGLRVP QMRLKDRPHDVQQFREIEPFCVAASDLINPLPPSLFYGSGWHTYHPPKGAVYEDRHYW YAEQPTARLRVPLKLGAGDVGIYFLQSPPDKPLGTVKCWVDDNVAGGKELQGTAEVDD VIATLVMIDRGVSRGSHFVECQLQGEAGGTSPPFKILGIFST
I206_07147	MTNAIAHPTVTIDLSSIDGQIPSRIDMFKKSKPAPKPSRSTGTS GTQEWFIRELDRKIIDNAYQENAFNTAYEEMKKQGNPNDPGLASILNETKKSRDLYVR RRKWLYALRKLCKSGHSEQDVTRFFDTIVLAKRSRPTMSQEEHQDVFGSPEERKSRIL P
I206_07148	MRSQSSRSALLLDGAVLVFLRSEAEGTEPRSSIAQSSAYWDGQL SANATLLAAADRNVEYISGQPDMISNGFLAVALAVQKKYLKKRTRLRACKALNDADYS EPEVTWFMRSMFLAKEMRTTFDPSFHRRLFGQSVDENIETMATDYLKKYVPDHASDHT PIPDRNDTDTWNAPYRDILDEFETQRDCRNKFSSMASVIAGPSNSG
I206_07149	MSSGRAYATASRELAADKLVRQLESGEKTPSSAFQLKNAKVWQY LEYRAQRARSSLKTNYDPDTLVNSAYALSALDAVRIYNIETTGWTDNDYDARVERVWR SHGHGRQVPTKQQWGDFTKTEFDRLGGIDPTDELMRNIVQAFDEITPSNRSWAEYFTS WLGFEQGTSTIRTPKEAFAAYFLPDNPSDWDKWTKKLESRLAWNTLVLSDVSKH
I206_07150	MTTSSLLRPSLGIGNAPNDHSAGPSRSSSSFLPPATASTSRSVT PIGLPHSLASSNQNLHGQDAPHSHHHSGLSRLKHMFDNQKYALFETTVIIHELANVPQ LSGEFDIKWKFRGKKPRGKELIELTKNGHKPLPKPSLPNLKLQSQNMQASSSTISIGT TSTASSGAYPPTPRSLLNPSSSTIPPRPPKSLSLPPPKDSFDKPEKKGSEPTPLKHIV TPDTAESDYGPVDSPEQLLVEEPEVLEHADDQGDEPRSRSTTESSQNSMNSKTGIPPL INIHRSSMTSRTGPSSSGTSTPTDRLAIPNARSIPVPVRGGTTPSYSTLIDPTIQRDE PSRPGSLTNRTTSFANPNTASSSTASSSSNLTQKRPFPLSRTRSASGPGSTFRSSYHS GDGEHPDYSSEMRKGTTPSSSLKSHSAKWDYELHHTIRIPLGKPLQVPTTPNTGSSGG IPHVRQKSTGPPLPGLGDGPLSESGLRLLIEQLPFPSHNKAPPHHASAFHHDNSAGAA LANAYAEGKESVSEVVRKESKDRTFFGIVDIDLAPFAGKGRTTRRFLLKGSRTNATVK LTVDMRWIGGEERWAAPPMQEGHHVAGAGNFIADTQDAMRSDLVLAKTPSNSSSGSSL GLDLQRTRTTYSSSSNYPTRNASFTELGRSITSHSYQSYENHLAPSRRNQINDSPKKD VKPLSSIAHSNVPSGRQSPQSTPIIDPSISEGSGLLKKLGPSPVIMNISKANDHHKHH THHLRRHHGHGHGHKHNYSGGINDLPPEVIIEAIFNPHPANVDGPFTYIPKNQIYNEI ELENEKQVLEKVIKQASESSSNSNSHSNENTNNNTGGNTPDQIIDLNEQDGQIINGNV NVNGKHKLGWRGMRARAKAEREQKEKKEKDQKNRNRNNPSGL
I206_07151	MSRRDVDEAALLKLYGISSLEPQVWESINHEIEGPLAGTITGED GKLIAEEIDPLGLQGKLVGASELDLKTRTSTSISSKSFDPKMFLSAHHPDASFQDLQK GIYNLEKAIESRSEAVRILVEENFDRFVGVKASSDVVYRDMKEGFLADDTDHGTRELR EIFKVTGHRADQVFLPVLENAVKASKLRSTLGVVEKSKFLFNLPNQLMESINAGKYDQ ALRDYKKGTFLQSSKQLIPGVNASKEQQKRIFDKVWKSVEDIMIDMRNRLDAGLKDPT KGVEEQERTIEILIELDQSDEPAWTYLEYQHKHILNNMKAIYSKSQERIKASKQLCAS EASSSNSEIELLRRQLASNEYQLNTINREFIHISYSTTIDAAWITIQTFVKQYSEYVI RSLPGFWKIAKACMDGKYRKRDSSGAIPASKRPASVCRTMGLEIIKMYIKDLSQFFTL SDISAFSSKSSTESEGEGNIPPFVPFGTSVITACHFAEKLVEEINECANELLGADIGS EVKSGLATFLDSLRWRFVEVICSTWARDSKLLHQLDDSFTSSSLSITGSTSKTVSRYL NIIEEFEIRIITSCKKLLPSSSTIGSNNNIKSSDNFKKKIKDSFVDTLCFCFDGMIDF SNNNNNNNNEGEGLRRPSRITINKGGDDHETRLLLTLAQFDLLKRSYLFSICNKVSKL LDVDMKKDEQLLLEVIENMNEMIFQDLISKRSEGLINLIEEGILNSGIDWLNTSKPTE VRPYMHKAILLLVETHSRINQISINLIQNIIEALVNKITQVAFISFQKIHKFGTGGML TATLEIEFLHQSVNNFISIKSNETLSKIYDTISQSYKRQKSGNNNDNENDNDFNKELE GLKKILNISRKNTGMETLCFRTSSSSSSSVG
I206_07152	METAQTVVHNVHPLPDSVPESEDLFPPPPRLRGQEGRPKPHIGP NYQSYLNEWKKTVGPNSDKWWFEKANECLDWYTPFKTVRAGGFENGDVQWFPEGTLNA SYNCLDRHFYKNPNKTAIIYEADEPSESREISYAELMRETCRVANVLKSWGVKKGDAV SVYLPMTWQAAAAFLACARVGAVHSAVFAGFSAESLRDRVNDCECKVLITTDEGRRGG KSIATKAIVDAALQQCPLVEHVLVLRRTGNKVPFTEGRDKWWDEECAKVPTYCPCEPM ASEDPLFILYTSGSTGKPKGVVHCTAGYLLGAYLTVKYVFDVHADDKFACMADVGWIT GHTYIVYGPLANGVTTTVFESTPVYPTASRYWDFVDKWKATHLYTAPTAIRLLRRMGE EHVKNHDLSSLRVLGSVGEPINPEAWHWYNDYAGKKNCAIVDTYWMTETGSIVVTPLP GAISTKPGSATFPFFGMDVDIIDPQSGQVLQGNDVEGVLVAKAPWPSLARTVFKDHKR YLETYMKPYPGYFFFGDGAARDYDGYIWIKGRVDDVINVSGHRLSTAEVESALILHKG VAETAVVGSHDDITGQAVYAFVTMKPEFDLKSTKEADLNKELAIQVRKVIGPFAAPKK IYLVTDLPKTRSGKIMRRILRKIVAGEGDQLGDLSSIADPSIVDEIKEKVGAAVSK
I206_07153	MVQPKTDTILPIPEVDQPAGHVKDHKPKLYILDYGAGNVRSLAN SIKKLGYEFEWIQDESDFDKAEKLIFPGVGSFEQAMNSLRSSGRYEQLQRYIKSGKPY FGICIGMQVLFESSEESKSTKGLGVVPFPIKKFSTEDLKGKKTVPHMGWNSTWRSFIL EKEEEEEKLILDEDYYFVHSYAALLSENSDTDTDTKKELMQDYAYTLSRYGSETFISS IKKDNIFACQFHPEKSGPAGLDLLKKWLISSTDELSKSNFISINNGKKWQIENPNLKK RNNGNGLTNRIIACLDVRSNDKGDLVVTKGDQYDVRDSNSKEKEVRNLGKPVELAKRY YLEGADEIAFLNITSFRSSALFDQPMLDVIKKSAETVFVPLTIGGGIKDTIDPDGTFR SALEVAGLYFRSGADKISIGSEAVLSVEELLKNNNIPNGKSPIETISKGYGNQAVVVS IDPKRVYINTKENPNWKEDLKIKKHLDCLIYGDNSISKTSNEEKGKIWWYQCTISGGR DSRDLDVIQLSKGVEKLGAGEILLNSVDRDGSKKGFDLDLINLVKKNVNIPVVSSSGA GSTEDFLQVFTKTKTEAALAAGIFHRKEVEIDQVKLTLENNNLPVRRCGLDVI
I206_07154	MSSSSTSSSSTSRRTSSDHSVLSNSSTVFSPTIVNPQTFKNHSR NVSKTFGNLTNAFIPHDQDSEHLELNEKGLENQDVLLPQYLPRSPSLEPFSSFTNLST KLTHPHIGLRRRRLLSQVLAILGIIALLGWWVNTNGYMGNAEKFDIWGNDGKLMFLEK ACITIGLIQLYRGLNNTYSYLDISEGGETKLPGIIIGSDGKDLLKETKLELSHAEKMA ELANIKPQEWGLKLSIEHFLGGLRKFPENQPIKSALSILGTFADEIYLNGPTNKRDYF YQMREFSKEIFPKKISENLINGLINYIGHPDQSNFDEIKSEENSKKDSWDFNKHIWQT DKNSQKKGSKEISSWKDGKAFDEGWEWDLLTDFDADQYVTKRLAGSRLKEMWDNLPSG ILRSDTLRYLLILLEGGIYTDTDTTLLKSPSEWGHDPKLFKGGDGWLTENQLERAKSG ESIDDIIGKPSVIVGLEADVGDREDWFDWWPRPIQIVQWTISSAPNHPIALNALLRIY HSTVKAIEWSPVGVMAWTGPGVWTDAVLSYLRVKYGLVWTDLKDLTTPMRIGDVVILP ITGFSPGVGNFGAQMSNHPQAMVEHKFAGSWKEKDKSD
I206_07155	MSRSTIHQSTFPLPNHTTTTTSSIHTNFVDQNLEDKLPSTFTPP CPFMKLAKMTMEARHKRMLEEVLKLPGNDNCADCHASAPRWASVNLGIFLCVGCASVH RKMGTHKSRVKSVTLDTWTREQIIHMKEVGNTISNSIFNPNEKLHPPPPSYGHDERDS EIERYIRKKYEMGIFKVGSKPSSSSLIYEPTSLNRARERNGKLPFNSLSQNQNQNSND IISFNKKEYNSNYRDRDLPELPNSNSNPSNQIENRNRNVPAPWATPSTNSGTPPPPPL PSSSTNRQPQQQQQINSTRDENLIDFSIAQTQNATLPLQINMSSSSSIPQQQQTSQQN GYLSTSLNNQQQQQQQQQFGYNNNISNSPSQGFISNGFGNGNSQLIGTGMGIQNNNNN NGYFQQFGSNLSPQSNYNNNNNGFINQIPLTPNSTPSPAFSNSPLFSNLSTQPINQFQ YQQYQISPSFNINNNNQQQQQQQQSNFIQNQNHSQVQQQQQQQSSQFINQQSYLPQQQ QGQNQNQFGNQQNNDYINIQNGITMQSIGMRY
I206_07156	MSTPNQGNSASPAPQNLGYPFIANQQAGGMNYLPPNQQQQFMPN MDMSTSSPIPGASGGQGDPGPSSMAQQQQGQFRPQFQQQGGITPQQMAMLQQFSQAAQ SQGGQQRQLTPQQVQMAMTAMQAQQQGGGSMNPQALMAAMRATQQNQGMQGQMSQQGQ MNMQGQQQQPRPPNQQMNNFGGNQTQQPGQQTPNSAQMAYQQQQRIQQMMQARPNPGS PIRPHQQQQPNSMPPPPVPNSSQQPQQQQQQQSGNYIQQHNPGPSPQIASSPQGGFHL SQEQRDFLTQQRNNLYANPQFLAMPPQQQQAIMVNQQQQLIRTMTAGQIPQQSQQSNQ QQQRQSQQGIPQPPTPSGRPSSSHGTPGPSQSPIIPRQPTPQQMGTPQAQQVHTPQSS HIQTPQQPLSSLPQHMAPPRPASAASQRAPSPHHGVSGIPNSPVVMQPTNTPPPSNGM FPSGNQQMGNASSPTPSNVSHHSQHQTPAPHHIQPPPHSVSPVHGRSQTPIQPQQVNT PQSAQSMNQVGMNGQYQPNQQFQMQPHQQVMATPQQGPQSFSQEYSGQARSSASPAQQ GSYPVQPIVPNLQNMTAAQQQQMASAMSFMSQAAQAQQGSAPNTAQQQQQPQPQQQVR PLQQQGQARPPSMQMPNINTSDFPFDPRLLPLIQHVSDEKWRTAMMAQNPQMVVAAQQ AAQILPTLRHDVIQRMQNVLYHTAKLQAAQGIRPQMPGQIQSDQSIPAFSPTNNQQIS TPGAIPPNQQQRIWQAQQAAQGSPVSVNGASPAMRPPPPHLPPVSNMPGSPSTQRNAL DRRSSQSNNKDVSVKSEKTPQQASMPPPAFIPSHTAPSTAGPSKAPDTSVPPSQPGSV ALATKATPQSLPVKEWQNALRLDLPITNISALPINEIEEGEDPTFNGSLPPLSEWEKS NIQGWLEKDKKFVGYERENQAKRILKMKKWAEENDKATPWWMLRKGEARTKPTQRLRI LWPTDKEKDRANRTHRGRKQIKFTPAQFKSMAEVEDQLVPVRLDLEHDNFRLKDTFMW NCSDKVVTPELFAQSLCDDFQVPPQHFFSRIVATIQERVKEYQDQVLPIIQRHPREEQ RGKLDPEGDANQRAMYEIFRKIREGSGIGQEEEEIKTDPGEINDDVRIVSFDQNGPGS GFEDGAEETVPVEPLNDSEGRKPEIIDDEQVIKPEIVEEDRPMTVEEVMQLLPKEQTE ELRILIKIDIIIGTQNLSDSFEWDLNSTVTPEEFSASYVTELGLSKEFATALAHDIHE QILVHNRSLFLVGHAFGSGLILDDEVRLAFLPPVTTSLRKEDLAMSSYTPIFNELAED QLALVEAQREKESKRKKRTGRARRGVILPDRDPVKTQRSLLNPLGANGLPVFSAPELI AKDSLGGLTSRRRGAAIAAEANMALIAQDLPITGPSSPAHNHTHHHGPTISARGKRIG RPPKNAQRDLPRAGASPANFPGYDSQPGAGGLLTPQLHHGIKRSFREDSMDDLSTATG SPAISRKRANHSRIPDSPSQEPDTPVKQDFATLSHNGVTHIPYQNELTAIKEEKKPWH CRNCGVPEHLSGGPRKDKNGQKVLCGNCSRYLVRTGKDRPCDYTTDEAYHLEISRRLS LSQSQSQIRADSTTPTLVSPNKQPDQKLLNEPSSESSESSSSDDDDDDEDESDSTFAS SGKSKKGPGRGRSRKSQSTITSSQVQVQAQQPQETPRNVSSTSQHSPNIAISPTSGKK VHVEMPVWASRALAEMRSKYPRDDFTVLQKPRPADIVGPIEWRAKCMDCPGRIYSLGP GETLLNFEVHLKNRAHIQNRLNREGKTH
I206_07157	MSRPDEEELVDYDEAAEETFAPAATATNGDKADGDKKGSYVGIH STGFRDFLLKPELLRAISDLGFEHPSEVQQECIPQAILGTDVLCQAKSGMGKTAVFVL ACLQQIEPVDGEVGIVILCHTRELAYQIRNEFARFSKFMTNVRTGVFYGGTPISADQE ILASKEKCPHIVVGTPGRTMALVRDKKLNASKVKHFVLDECDKMLETLDMRRDVQEIF RATPHHKQVMMFSATLSKDIRTTCKKFMQSPLEIYVDDETKLTLHGLQQYFLKLEEKE KNRKLNDLLDNLEFNQVCIFVKSVARATQLDALLQECNFPSICIHSALPQQERISRFQ QFKAFEKRILVATDIFGRGIDVERVNVVINYDAPTDADSYLHRVGRAGRFGTKGLAIS FVSSDGDSEVLQKIQERFTVAIPTLPETIDPATYMTS
I206_07158	MATLADENGLLVNSQFSEGEEGEDLNELEDYEKQRIANIKQRDT LLASLGLSNSSNQIFGSGSGSRTKLNSNEIRRKKELKIKEAAFKKQFNEPTRRSGRIA AKEVEYKPLVDDDDLTPPPTLKPQPLIPKGKPISLAPGPSYSDEEDEETFKSAPRPTR GDDGRLIFEGRWKGVFTPNLTPEEMFKGGAFGGGFFADTYSNILKTPLNSIDDLHSLP FTLSNSEELLINQSPDGENNRWKVRAGQSLQEWEKAGWIWAEDPRGWAQWYTRFWDGR RCRDDDRQVRRWLKVAGSTGRFKRALLKKLMQSGGKSSIADEDIGAVLRQCLWQWAYE LNEKEFDKAMNGD
I206_07159	MIATARSASTEIPAPLIPLLSSLTALIYTIENLFNRLPGSPIIL RYIKSSYQDDPWRSVLELFLLAFALRTLFKGRTRGEGEGKSFIKLTEKEIDELVEDFN PLPLADEPTPADSMTLETVPTIYGPNGIKVKTSPNGKTLLNLATPNWCGFVENDKMKE VAIETLKRYGVGTCGPSGFYGTIDIHQKAEADIASFLGTEASIIYSQAFALVSSAIPA FAKRGDIIVADRGVNFGIHKGLQISRSNIKWYAHGDMKDLERVLQNVERERKRKGGKL TKTFIVAEGIFENDGMILDLPKVIELKKKYKYRLILDECQSFGMMGAHGRGITEHFGV PASEVDMILGSMANGLATGGGFCAGSQVVCQHQRINSSASVFSASLPAMLATCASAAI DILKSQPQLMNSLQVNIQVFRQQLSKLEPFIPTTSASGENGLMPPPNGIPNKDALISI PSHANSGLIHIFLLNPPDNLEDEEIILQQIVDEVQQSSNVLITRSRRLRSQEIFEPEP SLKISISGFMSKKDVEAAGKGLRHAIVKVCGSEF
I206_07160	MSDSFEEREHWRSVIKAFDGYMRYHLSANHARRMSFLSLPKESK ELYDSIGYREKLDAVDEGIRRNSEFIDEMIASPVFAEMVESEESAHSYNRHHHHHSHD HADGGHQIHSDGHENEQDGRQVPTIHHKRQQESDSAQDKIRSTLRSFVRDWAIEGIKE RKACYDPCLEALERYYPLPISEKRDRGSIRVLVPGCGLGRLAMEIAARGFASQGNEFS SYMLIASDWVLNHTTKRNSHTIYPYLHSFSNHLSTMHNLLRRVQIPDICPSDVLGNNQ GGAFSLVAGDFEEIYGPSSWDIPPPKTEEGWDEIPEDGQICQKRRWGAVVTCFFIDCA RNVLNYLKIIHTILADDGVWINVGPLLWHFENSPKKSPTGEGSIELSLDEVKELARKV GFDIKEEKMISSTYTGIPDGMLKHEYNAAFWVATKRKKDN
I206_07161	MTSLPMQEKKEDVIQLEHTDQVELSRNHEDDLVATKVKLDQGHA DIYYEALERYPNDSMINIDDEKKLKRKLDRRILPLLGICYFFYYVDKTTLSYAAIFGI KTDLKLHGTQYSWLSSIFYFGWLAWAIPSNLIMQRSPPAYYLAGNIFMWGTLLMLQAV SKNFATIAVLRILSGAAEAIADPAFMLITSMYYTRAEQPSRISFWYAFNGVGVAGGGL IGYGIGQINGALASWRYEFIIVGAFCATWAIALGLFLPNSPATFRGFTHEEKLLMIAR MRRNQTGVENRRIKWHQIREAATDYKTYMYLFLGMICNIPNGGISNFSTLVIAGLGFD KLHTALLGIPQGVLVVIWIASGAFLNERLPKNSRTLVSAIFMIPTIAGGLGFLLAPSN AYVGRLICFYLTGSYQASFVIFLSVLTSNTAGQSKKMIVSGVIWFGVSLYRQYHLTFF YLTKQAPKYKLGIGSLLAANIIELSMFFIFRFVFIYENKKKKKYLENMINSNGHENQE EFDPNTTAFADLTDKENPYFEYVY
I206_07162	MRVAVIGSGLAGLTTAYLLREEGVEVWLIEKSSKLGFHSQSVEI PLIPSNDPEKSTRGRSRSRNAKEEGSPEEKWVVDVPMRGFQGGYYPLLLALYNHLGIP LKTANYKFSFSSSQSTYFIHSGSSGYSIPSLPSRAWLNIFTLVGDLLTFIGVAICYSI MVLLGLFAWHDLLPRCISRPPDLTLREFTSTMSSFLSCPLTVPIPFRPYPIWTPLGDI FEFFISRIVLPLFSAVGTMTDADVWSLPVRIILEYMHLTLGTNHYHPAEGFSAADIAE KLAKPVCEQGEDHLKLSTEIIGLEYISSHEGIRLTMKDQTGLDGGRNEELIVDKIILA TQASVAKRLLEGLEDSLRSAGRDKEKRKISEMRAALGKVRYRETIVVTHGDTSILPSL KDRRDLNFFLPDDQITLPSIQPAPLTHRSAEYPVPYFTPIEDQIYTQTTQIIYPPSRL KRKYGQDRPVFQTTNPCVPIDAAKVIGISKLERALPLKEPCKVLPFLQNTSRSYLPPS TIVHIVGSYAYPGIPLLEGCVGSAKMAAEFILMEVRPERNHPDGKPMDVSEMRKVVGG VDWTTGKGGIWGRLWRWRLIDTPWGQFC
I206_07163	MSPNSSLSSKSLLSSFKGNSTTSLMNMSDDMDGRTRNAKAQKRH REKQKARVKALEESVQVLTAQLEDARRQLGQLPYPPGPSRMPIGTHSPEFAQLQNENG YLRDENSDLRRQLYTLRVTYGQENPAGGQMPSPPPRHGSGQGRSNTNPSGTNAGSDTN NNDPYRNSGGNGNRSRVLSASSAPTASPYVSSSSFPADLRAHSLSNNNNSSNSNNNSG SNSRPQQIESNYPVRYEGHMYPPTAPPPHALPRSQMYNVEGMQYGGRGGGEGGENMPW GPESGPPPFAGGMGYPPVNFHENNSSNAVNEPWRQEH
I206_07164	MPTNDTNTSSSNQPGDTAVPSFTPWKSEEQWQRELAAIEAEFRR MNYLEPALLGTLDTLRKTDCENRIRQHEVSRIYERSGVTKEDSEWHLKSAFVRLEMGQ EGVSEEKLTSTLGPSLPCEITVLSKDLWLAGLNYLAGIGRLGSASSELDIAPFKSEVK DDALDLNGNQSDVDRFKRISTQLNASTKPCEV
I206_07165	MSSNQAQELGDVVDLAQQPRPDSPSNPAHQRAELTRAESVRHLE TLADNEKVTLGQGATTIPGGLFMPSTNGNISVPPVIPLSNESSTQSQTNTQIENEKTM TKRPSTSSSPSNSTTSNEKMTITKKKGFSFGKNRKSKDIQEKKSKEKEEEATLLPAVG FFRLFRFSTPLEIVGMLFGLILAIAAGAAQPLMTLIFGRLTTSFTNYAIVVQAIAGSS GSLTQTQLDQLQAAKDDLKTQSGHNALYLMALGIGIFITTWVYMFIWNVTGELNSKRV REKYLRAVLRQEIAYFDDLGAGEVATRIQTDCHLVQEGTSEKIALIAQYLGAFVTGFA LAFARSWRLALACSSILPVILIAGAIMMTAMSKFGTGALEHVAKAGSLAEEVIGSIRT VQAFGKQKVLGHKFNEHIELSRKAGRKASFIEAAGLSVMFFSIYSAYALAFFYGAILV TQGRANSGIVINVFMSVLIGAFSMAMAAPEFAAVAKARSAAAKLFATIDRVPSIDSAS TEGLKLDKVHGEITLENVKFHYPSRPNVPILKGLTTKFEAGKTFALVGASGSGKSTVV SLVERFYDPIQGTIKLDGKEIKGLNLKWLRQQIGLVSQEPTLFGTTVRGNVEHGLIGS RWEHSTNEEKFELVKKACIDANAHDFIMKLPNGYDTMVGERGMLLSGGQKQRVAIARA IVSDPRILLLDEATSALDTQSEGIVQDALDKAAKGRTTITIAHRLSTIRDADRILVMG GGEILEQGTHNELLANENGSYAQLVFNQKLAQEAVEDVLNVVPPPEDQLLTSISAVSR PADSPIREKEFPDLKRATTGRSLASAALDDVQARREAAALDEDRLPSSLKMYARLLKL NGAQKTLYIFAAIGAVCSGLVYPSLAILFGYALADFQIQGFPGWESAIKHALNQKALF YFVTAICAFIATYVQIVGFSSTGWDLNAKLRKESFKAVLRHDIGWFDEDANSTGAVTS NLAENPQKVQGLFGVTLGTIVQSIATLIGGCVIGLIYGPLLALIGIACIPLLISGGYI RLKVVVLKDQKMKKLHAASAHLASEAAGAVRTVASLTREDDVDRIYSEALKEPMKVNF QTSIKSQALYAASQGISFLIIALIFYIGALWIIDGKYTTNKFFTVLTAVIFATLQAGN VFTFVPDASKANSSAASIFRLMDNEPQVDPDSPDGKKLAHDQVQGHIRIEDVHFRYPT RPGVRVLRELSIDVPAGSYVALVGPSGCGKSTTIQLLERFYDPLIGRITLDGVDIKDL NVANFRDQVALVSQEPTLYAGTVRFNVLLGANKPMDQVTEKEIENACRDANIYDFIMS LPDGFETEVGGKGSQLSGGQKQRIAIARALIRNPKVLLLDEATSALDSQSEKVVQEAL DKASKGRTTIAIAHRLSSIQHSDQIYYFSEGKVAEHGTHQELLSKKGGYYELVQMQNL SKQ
I206_07166	MSTSTSNNTVSIVNKPYPFWLGGVAASIAASITHPLDLTKVRLQ TSGDKGMIKSIRKTIHNNGARGLLDGLTGTLLRQMTYSMTRFAAYDWAKGEVHKGPGQ PPAWKMALAGSMAGGIAGVIGTPFETLMVRMQADKAKPIEQRYNYRNSIQGFYRMTSE EGIGSWARGMGPNTLRSILMNMSQLASYDWFKHELMRAKMLEDGPVLHFIASLGAGTV ATTVCSPADVIKSRIMTAHGQGTSSTLGVIRQSLAKEGPMFMFKGWVPAWTRLQPTTI LIFLTLEQLKKGVDAYRASGGKLL
I206_07167	MFIGSILMELSTALLVYSGSFKIVIAARVIEGISIGFLLLGYQI YAVEIAAKEDRGFVSSFSLMTGNFFGLLAAGLVYGVSYSTSNSGWRAALGMTFIPATL LLCVLPWVPESPRYLFEKGKEDECRRVLAKLHGSHDYQGEMILSEAAETEYEAMRAAI SWDQAHGQDKWAALWNSKAARYRSFVAISSQSLWAWNGGSIFGYYYTIIFSAAGITDP HLQFGISAIQNATWCIGGIVGGYLLDIWGRRTNYIIGLGQASLMLIIQGSITIGIFDK GIVNKAAGAGFVSVYLIQWFLWVTFFSPVVNMLPAEIYSAGLRARGYAIANVFSMGVG FATQYSALPMYRHMHGWVWIFFAGCMLAATLMVYFTYPETKGMTLEEVEVVFGTGAGQ RVKAALGRTAHVDPRTPLHFHPNDIVEDEADKSMENNNPRQKVTTSVV
I206_07168	MVWSDNLKNWDKNKIYRYVILLGLSLSGDGWSYEASVIASIIQM PSWITHLGYDPSIGIPE
I206_07169	MSLSSPPPEQEEDQEPRRGGRVRKQVEKFEVPHVPGAPKGKKKQ VEQNDDDSDLTEEDSGSEDEHQPTPTKGKKRKSTGVQTSARKNKANGSSRKPRRKSAA NGDGAQEGPKNDSPLFNALLAPDIALQPLIDEWVETYQQAGGDDVSEQVSIHELITLF IRCCGINLDIEQAEATDQDGITGAVERIQDESVRVASATYPLTSRGKQSNAFRKNIDY FLHNLVESLSLTSILFDEGNDKDSHVNLLMPLVLNWLNCMTTSPLRPIRHTSTYMALK INSSLCDVASNVSKDVSLKQRQREAEIKKGGTSNAAQKRLKELEEKVKEAQARKEKIE EYLLEIFDAMFVHRVRDSDPAIRADCLRELGVWTKRYPEYYVSTSYLTYFTKGCNDVF AHARLETVRALANLYTKDSFINNARTVTLRLVPRLIEMALRDVDHAVRVTAIHVITAI DKTGILADEDESDREKVARLIFDQDPRVRKAVGGFVINLWEEQKENLKTEWSGARAAK KKRANKVWEDDMASNLDWKALASLLVETSQSLDAPIEPSSSSQQPILPASTTNVLTRA SAAVESICSSYELWQDWQGLTNYLLLDHSTSDQDMWLLSEDEETFMLQVLIALIQRED QVSYSTQVDEEREDRSKKLMQIIPRLFAKHQSDVARIGGILSMLSHINLELYLDLRQT KAYETLWDDVNKQFLHHTDSAVLESAIRAINHLSTNTSMSAANDTKLSEMQESLFASL RDEIGSEDVALMPLEEESIAKLGAILLRILLLEKSRDITDILEDEENQSSVWSIICAF ADRGSFGYKEESKMIECAVQIVLYHMTWTFRRFTEEDAKDDEKVSLLKDKRDKAVGIF QELTLGDNSNTVDLVRRQAFISYLTLHLLFAVPAKAESVSPAAKSVPLTVEDEIQHRL GGAFVAAVEKYAGEREAHLESGDGEGQSNLLMIVTCVAPEDITFLQLTSVLVAAIRKG VLEVEHAKEPLAHFGRLGSTYDAIVKKLVDALRDEGIYNKESETVQHVAGSALQQSFN LFLDSDSQDPVAPIALARLLSTAFTIHGNHFAILRQLHPSDVCDFHLEALDYIAKRVG NYVKQEVASKNKEQKSRLQQKRFVALSFFKVLILLLQPVTSSDALKIKSHLDDAIESI GVEVTVNKGWEPYKAYEKRLIGIASKDANVKSLAKKKVLQTEDTDLDVEADEDIEVET PSKKRSSANALSNGIGNGHRASSPLSPPPEDDQEEVEEEEEDITTPTKNNKRAREDFN DEEDDMMNSEVSPSAQINDSFSLDLPANENDEDLDLTLDGVVPPQRERSQSTEAEPAM KRRKTVKRY
I206_07170	MPRATVRKSRQQPRRSLRYASNTQVESQSQPENKSDVSNEASWL DSQTQGTQTQVENSFLSISTASLPSQYSINIALSKNVKLSTNDERGYQVKGEEALSDA WYKPSDNIGHLKDIRQSDERRIKLPNDALDTQKRSKGHSETSPLWYSAGMKITCVCSL PLLRNSYSFCSSAPVSTSLYPLSSAEEQVATDVTEIPEWYVGDEMIDLDPSMDAIPDF EYTWNIEQHQTQSSNPDTTVCENSQEVYPDKDKNRLRKGKYKAIEKLSFPVQTYNPNS IEVDRDPIRVDVVLPPSQEGSDLNNQEKNAWQEEAKRRKAYWRNLMKKDAGYGKLWEI LPLPYSHPSRYLPHSKKRKKTIIPPYQLPRTYTSLPHPFHPDFLQYIKSQSSARVYWL IPIHGPVYIPLLNHPLTKSIYEGQVIPSEGIFLDSINVTKQQDKSNMKLIQWTSELLL NFLESFLHPLYMNENRPFGIIGYSFSGAKPDPYIDLPVPSPLAFHAGYKVSKQDQNQV DISLENITVEANKSEINVKEYKEVIRPEVGDHLRIYLSLKFALQLRTWLHNIKIPITS SSEIVNKDNPEGENTININAIEDSNIRMFYKTRLTLIGERGEVLIVA
I206_07171	MSTAAKRRLIRDFKRLASDPPIGISGSPNPDNIMIWNAVIFGPP DTPFEDGSFRLTLTFSDSYPNKPPTVRFVSKMFHPNIYANGELCLDILQNRWSPTYDV AAILTSVQSLLNDPNPASPANVDAAQLFKENLKEYERRVKQTVEQSWLDNPDEIEIEH STNVPVQAVTA
I206_07172	MSIPFYRPIPALQGIYGDQILPEGKASLLSRIFFHWVTPIMRAG YSRPLEAEDLWVLTNDLECKNVADKLQQHLNEQNSSTTREASNEQSEKGHENRPIKQN EFRYSRDSIAVLDTVDGYSVQNPSIKLESTHPANTHRSELTNIHGGPNSTLSSSTSDI HHESQAAEINNRPSLLKAMYMTIWWEWWKAVIFKGLAAALQITAPLITKLLIDQLILS HNFHQDPSKESPKSIGYIIGLAFGLFIMVQSASLFSYQALQRGSVIGFKMRAALIDLI GRKSLKLSSRSKVEFTSGKLTTMVSADASFLDFSAPMTLDLIVQPMQIAVGLGLLIWT LGYSALVGLAVLTLAGPLQAYMFKRMIYTRQHQLKYVDSRVRVLSETITSIRSVKLFS YVNFFSEKVTDLRKNELIYLRQNGFNRATMNATMAVIPTLAAVLTLVTYGLSGHELNP AVIFSGLQYFNVLKTPISFLPMCFTAVSDALVGIRRIGALLQSEEIPPKLNIVDKSRY AIDVVGDFQHESLPVINASMQSMPPAERNEEKRSTSNSEPFALRGIDLKIPRGSLVCI IGRVGSGKSALVSSMIGDMRQLFGHTIFGGSVSNVPQQAWIHSGSIRDNITFSATSRE IDYERLRIVINACALKNEVEEMANGELSNVGEKGLLLSGGQRQRLSLARAAYSQSSIV IMDDPLSAVDANVSHHILKECILGGPLVGRTRIIVTHQMDILPHADLVLVMDCDASGQ GKIVQKGTYQYLKNQEGPFKSFISGSLHNPSSPSIKPVKAKATYEKVDKEKNQVNQVT DGMDEDRQIGSIPWKVYTTYFKAMGTTFWPIAFGIMLLLTQAATVGNSLWLGWWSANK FDLGQGGYMGIYAALGVSIGLFTWGASYTMFIAGLKASYRLFERAWEHVMRAPVKWHD QTPSGRIINRLSKDVEMLDDRMAFSWETLLVNALAAVGTFGLILYTYPYLGIAFIPLV LFYWLAGSYYRQTSREIKRIDSITRSQIHSSFGEQLSGLSVIRAFGKQETFEDRLQKA INIEGVTLLTPVARWLGVRLDLSSNLLVLLIAIFGILFRNTVDAASFGVVFSYALSAA QLFSNLVSLYAQVELEMNNAERILHYTTLPSEPSAYLPKDPPENSWPIKGEMKFRNLS LRYSEFSPWVLKGLNFNIEAGEKVGVIGRTGAGKSSLINAIMRMTDIKGQVIVDEKNL NEIGIDTVRERIGIIPQDAFLFQGTIRNNLDPTGIHSDEYLNSLLNLIQSDSLLPSSK SIKEKFKLDSQVNFEGSNYSAGEKQLLAFIRALARGTKILLLDEATSSVDPETDALIQ RIIQNHLQGVTLISIAHRLQTVAYYDRILVLDQGKVAEYDTPLTLYDMRDSIFRQLCD RVHLRRIDLLRLRHDALYALQSSRDDTSLFNHFTITEAWAKNGGVKVSE
I206_07173	MPTLPSPASTSSTETSIPTPDQSYSSRRSSVVSPYLYSFSMSED QEAGDVFSPTSSGPLLSLNGEDTPKGNGKATVAPVSINHGLLPNGIASPTPFSRRRSN TGNRAPAPPPIFLRRATGVENADQDEIVPVPGSASTTSSMPDSAKGLNISGMMIPSLS SPTEIEQENSHGGEEGDALPELMASPRPRLNFNMAEGAPASPAWQSIEATPSLTGLNA STSWGRRTSLEVPSPLGPRNNERRFDPTPQHGIHARNLSLFFPQPGNPIPPRPDGSPA LMESPVQLNDSMIPSVGGDRNVFGGTGNWSFGQASASQDENGMQTPDVKRSKRRGHHH KHSLSHNFFSFLDPTQTNPSLAASPSPKPPSTPGPEATPAPVPMPSLGVSALSATSPT LSPLPPSKSDPRKQFYLSFAVLEFLIGAALWVEGQMSGWRCLAGVGYLVVFDAIGIAV EMVARNEGSGYNTIRRPYGPSRYMSLLYFTQSLFLVFAAVYIAKEAIEQVILGAGAHD HSGGGHGHGESAIEGDESRDFPHFLLACAAIASTFAGGALGNHGKLVDAVGTLFLTSN YLSMPIVSQFAPLLANPFTLTIAGSSAGIVVSSLLVHPSALHSLDSFISLILTILTCA LSYPPTIAFAHVLLQTAPSASQTQMLSLRKALKEIKEDRRVIGLGTMRVWAITAGKGS FEDRYPPTPSHSQTNSLTSSPNFDSGKFFSPPTTPSRKQSFDVPNHMNYPSPYGNLST KQIGDDGAPLVVTLTVHVHPDSSDKDILNITKFSYLKIENAISSNPGGLGIAKRDGEV SIAIKRGWEGLEDG
I206_07174	MSITQNRSEKDREGIAEDPSLSADNKADAYLSNAYDIEVGVNAV DGDRAPDTIYDVIPEGVNPALVREERVHRGLKQRHIQMIALAGAIGTGLFLGSGKSIR RAGPVGTLIGYGIVGTLVMSVMGCLAELSALAPISGAFVRQSEFFFDPALAFAIGWCC FYGSVVSVPAEWSAVAVIMTYWTDLSPAIWIAICIFITFMTNLFLIRIYGEVELICAM LKILLILGLILFGLIYDLGGIPGTDRIGFAYWKDPGVFGEGYYYTGTAGGRFCGFWLT LINAVYAFSGVESLAIAAAETKNPRRNVPKAAKHVFIRVFVFYLVTLFIVGLIVPYND KNLLQSTGTAASSPFVIAAQRAGVKVLPSIINAVIITSAWSSGNHGMLVGSRSLYALA LDGKAPKIFTRVSRYGIPYLAVLFQGAFQLLAFMSLNNGAATVFNWMTNINSSSTLCI WIVIGFINLRVRQGMKAQGIAQTKLPWSAPLQPYISHYTIWGSFIVLITGGFYSFLPG NWDVSDFFSSYFSVIFMIVFYFGYKLVKKTKIVPLTEIPVGQFIAIADANPEEEAKPA KGPWSWFAKFWWD
I206_07175	MSLQPKKEYESSQRPKIPWYYYLWDSFGKEPAERRLLVRLDLSL LVFSTLGLIMRYIDQTNLSTAFVSGMKEELSMFGLEYNYCNTAWSVGYVIGQIPGNIL LNKVSPHYIVFGLEIGWSIMTLCTTWVKNWHQLCFIRFMVGLFESAYYPGLLFLIGSW YTKDELGKRSNIFQAATAGGTLISGVMQAGIYKTLNGKHGMAGWRWIFTIDAIISIPI AISAFFLIPDLPWDIKPNWIFKQKDIDLARKRLTIAKRQGPQKGGLNKKLIWNIISTW HIWVFTFVYSCYIFSQNPQQSMSFWLKYSKDPKYSVEQINYYPCGIWSTQIVSALGFA WISDNLLKGRRWPPLLLVALWHCIDCALLAGLPVYPDNRAGRWVLYYLSGIVNCTPGL LYAWCSEIIGESSEKRGIVMGTFNSVAFSFNAWLPLLLFKQTEQPTVHKGNIAASVAT ALQFLGILGILYLSTRDFNRQVQEESFDEGLQTPGEIEDVDRKERSV
I206_07176	MSPTAIHEPVDVRETTIQSLKAQVQDEGRLAIPPWVKPPLTKEE LPWAELEEIDLQLLDSTDPAVRERLIAAAKKALTVDGFLFVTGTGVSNESLERNLAIA QYAINGISYEDKLPFAAKLEEGSYAGYKLRGIWKRDGGVPDNIEHYNLESSSFENPSQ NHPEKLLPLIPEIRSFAEHTYFYVVYRILKLVSLALELPEDYLWELHDHNGTLGHACQ RFMGYFPRNQQDEKATEGIWSKGHTDYNSISLLYSQPISALQILTPQNEWRWVKHVEG AVVVNTADALEFLTGGVFKATRHRVIRPPSDQADIIRYILIHFARVKRDLELNPIWES PLVKEKGKNAFQDRIENGGKAPTQDEWLRERIRRTGHELYDNNKKTAQGRVEEEVLGR KVEYYV
I206_07177	MNDLPEPIPASNPYYNLGTLTRRITTSSSDTQEWFDRGLKWAYG FNHAAAAYCFEQAIAHDQQCQAAYWGLAYSLGPNYNKPWEVFDEEDIKRTVEKCHSVI EEGLKLHNGTDVERAMLESISTRFPIERTSRTHKEWDVNYANTMVNLHRQFPDDIDIA ALTADALMNLTPWALWDITTGTPAKNSRTLEVKEILETAINTVEGYEHIGILHLFIHY IEMSPTPELGLIPGDRLRKLSPEGGHLTHMPSHIDILVGDYRRAISSNWDAVIADEKY RKESGDLGLYTFYRVHDYHTIIYSAMFNGQYNQAMKAVNGMEDSLSYDILKVKSPPMA DWLEYFLTVRPHILVRFGKWQEILSFKFPEDKEIYCNLIATLHYARGIAFAATGRITE AEEEQELYQEAIKKVPESRMIYPNSCKSVLSVGEPMLEGEIQYRKGNFDLAFRDLREA VKRYDNLIYAEPWGWMQPVRHALAALLLEQERTEEAAEVYEEDLGISGTLPRACQHPN NVWSLYGYYQCLIKLERKREAKIWEKQLDIALAVAEVPIKSSCYCAKDEVSSV
I206_07178	MSDSPLNLGAPIGTHSGSAAPTTTTTTTILPTPTSNDSHHNDHS ADVSSSGNTLAEEPISEKHAEGSETEKTGQSTYKPKRTNDSSEDVVADLEAHHVSIHR GKEEFHALERKYSTISQRSQRSQGTELHRPTTRRSIQSAFSNRPERQISHFSAADAEK AKAEEEEFNLAEVLRSRRESYDSAGIKRKDVGVIWEDLEVIGAGGMRINIRNFSSAIM EQFMLPVISLLGVFGYNPFAPKPKPILFKNSGVLKPGEMCLVLGRPGSGCSTFLKSIT NQRSGYLEVNGNVEYAGVQWKEMRKTYAGEVVYNQEDDDHLPTLTVAQTIMFALSLKT PKKKVPGVSATQFKEDMLNLLLSMLNIKHTANTIVGNAYVRGVSGGERKRVSIAEMFC SGATVCSWDNSTRGLDASTALDYAKSLRMLTDIMGQTTFVSLYQAGEGIYEQFDKVLV LNDSHVVYFGPANEARQYMMGLGYRDLPRQTSADYLSGCTDPNERRFAEGRTEDDVPS TPEAMEKAYMESEICARMAKDREEYKAFVSANSGVAEEFKEAVIEQKHKGVGAKSPYT VGFMSQALTIARRQLLLKFQDKFGIYTGYSTSIIIALIVGSVYFRLPETASGAFTRGG LLFLGLLFNALTSFSELPSQMMGRSVLYRQNEYRFYRPAAFALAAVGADVPYSASNIF IFSLILYFMGGLYSSGGAFFMFFLFIFTTFMVMSAFFRTLGVATTDYNTAARLASVLI SLMVTYTGYMIPVQRMKRWLFWIFYLNPLSYGYEAIFANEFSRINLTCDTNYIIPHNI PAAGITGYPDEVGPNQLCSLTGSTPGSGSVSGSAYMSAGYSYTKSHIWRNYGILLGFF VFFLALQMFFIEYLQQGAKHFSINVFKKEDKDLKEKNGRLAERREGFRAGKLDQDLSG LKMRPEPFTWEGLNYTVPVPGGQRQLLNNIYGYVKPGSLTALMGASGAGKTTLLDVLA ARKNIGVIGGEVLMNGRPIGRDFQRGCAYAEQQDTHEWTTTVREALQYSAYLRQPQEV PKAEKDAYCEDIIELLELQDLADAMIGFPGYGLSVEARKRVTIGVELAAKPDLLLFLD EPTSGLDGQSAYNIVRFLKKLCAAGQKILCTIHQPNALLFQSFDRLLLLQRGGECVYF GDIGPDSRVLIDYLERNGAKVPGNANPAEFMLEAIGAGSRKRIGGDWGQKWRESPEFE NVKQEIAQLKADALAKPVEEGGKHTEYATSFMFQLKTMLNRTNVALWRNADYQWTRLF AHIAIGLVVTLTFLQLDNSLASLQYRVFAVFFATILPALILAQIEPQYIMSRMTFNRE ASSKMYSSTIFALTQLLAEMPYSLMCSVAFFLLLYFGVGFPNASSRAGYFFLMVLVTE IYAVTLGQAVAALSPSILVAALFNPFLLVLFSLFCGVTAPPGTLPYFWRSWMYQLDPF TRLISGLVSSVLQDVPVVCKEEEYNIFSPPSGQTCMEYAGAYAQAVGGYLNNGDATSD CQFCQYSVGQSFFAPLEISFSTRWRDFGIFICYVVFNIIVLLIAARFLKWQRR
I206_07179	MSMSPDGRKRPRASDVEERDVKRLRNEDSHQVDGNNSVTDDPQS NEILLDKMGKMEKLYKEILIQSALIFQHQSFSKRLGLKQQMVPTHMMHRLETTWRSYE GVRKQIEWFTAQSGKNLPSSSQASGSRPSSTLASIMRLASNVTPPKPLDLPTPVNLYI AGQYTPLESPAPPEKDEVVEVITQEPPAEVISQVPPSGQARTDNQESLHQPLDNTSSA QPAQAIQTGLVEPPPPVENPMQLQNQILVENDLSSAMNYSTLGLDELEALVNGNAFEN VSSTLDMTMGGNSQNPALNNVNVNVATNQSQQTSNEILASLGLETGTTQLQPPQSTIN VDQSQQQTQQSNPQPQQQDQSADFEFSQALSNGTAGLANDMDFSALAGLFSNEQPPST IPQPSTSAGQTLDNGSKADKIDGLDDTLSAGNGPTSKNNEIAVAKPGAISDSGQIIDT ASKQNQSQNQLQESTANQDNDMTSLLSFEPASQPLSLPQTTPITAPVQPVLSNAVQTA VDSQSVPPPENTQQSESSHTDQSQQQNVQLTQPGFDNIASQPFPDFGAFQPDLQVQSR GQNQTGDLQIGQDQNNEFGQIDMSDFNFTDAGIENMGMGGDEFERLMAEFE
I206_07180	MSRRHQSTSELMFPSRKVMRFCVLSIFIIAGVWVFTMKADLTSP NQGQRLMKRGLSETSNEGEVDLIDDFVNTFPKIRTWQETGVPSPTAKYVNRNEQQLII GHEDEMEVVSSKREPFDWPRSRKLFVFGDSFSTRDKDYRKDGIDGGHFQELHGKATDM KWADYLYAVFHDFHILQYWNLAKVGATIHHSVLPPGLESYGTFETQINDFQDLFTPLP GPNQVNWNSNDTLFIIVFGINDNGRLNRDDLHEGGTLDVESTTKALVNSLMEQSKRLH GLGARNFLFMTLPPLHLSPKYKLPSQVGHDIVNRVEGSVNHFNTFLRSGVKQFERDMD DSNVMLFDLNKFWKLLLEYPELFGITDISRFRITIDGRLPNFGRMGLA
I206_07181	MSSNLTELPTDGLKAEIPMDESKVDEIEHVVNEIDPAIEKRVLW KIDRVIMPLAILVYFCQYLDKRGLAFAAIFGLKKDLHLQGQDYSWASSIFYFGTLVSQ IISVKVLHMFSIKLYVGVTVVLWGGVMMAQAAPQKAADLLAVRFLLGLMEGSAYPAFV LMISFWYRKAEHPSRFALLAAADIFAQGLGGLFLYGLSSIKSSIQGWRIAMLITGSIS ICIGLLFTYFIPSKMENAWFLTKEEKQIAHDRVAREHASSHERHFQWNQFWETFKDPK FYLVFFWAFLLCACTVLNFGTLILNGLGYSSFITTVLQLPACAMQATCLILAVLSCKK FPNHRGVIQAICALVPLVGSILLQTLPYENKWPLAGSLWLTTCNYHILSVNLSIISSN IKGHTRKTLFSTAYYIGYATGCIVGPQLFRDKEAPLYKSAMRAISSMYGIYILFMLAF MVLCKMENARRDKLAAEGNEEAVPRPAANYDNKTDMQDLSFRYVL
I206_07182	MGNVLSPKYTYEECTADLGKLGQLKGTLIDGRVKRYVNVPYALP PTGENRFKKPRPLPIDYSYSQDGLPRNAVDFGLPCPQPTYTSLPVSELAEDDGSAKEY PYDEDCLKMNIWLPSGPPPPEGYSVYAYMHGGWLQIGDPSIDPKMDPTELISTGGLNC IFISLGYRISALGFLACKELQEESLDGSVGNYGLWDQRVALEWIHDHIGALGGNPKRV VVGGRSAGAYSAHAQLVHELLQAEPTEGGLFHRIILISNAIPCLPKTVEETQDQFESL LTALDIPLTLSGPERLKALRAVPAETLTRKIMTIDQFTFRTVQDGVFFPKSQTWLSDG KLADEFKKRDMAAIIGEVQDEETLYRDGFRVTDEISLYKAVNQYYSSRSFADILYYAS SPDPNPNIDSYTKVLGDIISDGQVRAPSRSLTRQLRDAGVPLDSVHRYIVAWVPNFVM KFAPKEFGITHAMDRPIHNFSIFHGPDAHEDKLMTEWIKDYANFINWKSVNFGTETWT QVKVLSPKDHGSIRIEDDTKWSYLEKVSEVIEGRSTS
I206_07183	MAVDLDLSTLRSDYDQRRNRDRQRIWLALYAADKRFNYCGQTAK PSMMPEDNLIRSSENFIYSPICIPVDYRLASNVALRRVLSVSIEAIDKDNESGPGSYD LDLRSVYHTFEKDSEAWVKTQTERDPEIAIHANLSALHAKVIISHRWVQRSFRNLNSS GDPGIEENQERERREALAYCINGSLACLATMCELQDDLLRFTCGASLLQRAAVWMAHG NSADSKHLYFAYASFFLFKVFDTNIATTILDQQSLSYIFGLFQRSADKLERLAISTTH TIAFHAAFLRRLSRFDTGNPSNFGQSAQNPPVLDHGASIPQSIYNDVKAPPVINATSL VAEQSQTLQALSQPELGDPTFEFSSFDPLPELGNWLLENSTDESLGANINWNDWWPFD DDISWNFTNRNQDGIGLDNTHDTEWQGLVHSL
I206_07184	MTSTSAQPQSVWVERKVQTVRAVWMSASSVKLFRIKEVERLEQG ELRTFCTPTPNYALRKKEIPDNYFVFRLSDSVRARLKRKRHKEAEERKAKRQITLISN DDHRQQVRVNGSSNNHIDPSISSTYPTTSTNRSQDPSSSYSVSRHTIRPTSSARVTSH QANPGLASVDARHTDYSDIGPSVRRTSSIARIFSDVDKDKERNSNSNSALSLWREDPI TCGYINDDTAKDLFNLFMSKIAPNVYIFDQALHTYDYVQKTSSFLFCVILATAAKFSS NINGYTHKKCLALAKDQILRVFADDIKSEQTIQALFVLTEYKEAEDENAFLLLGMAW
I206_07185	MFTQLALIASTLLPFALGAPTVSTQQLAKKSTDLNCVQFQYGGP FTSAGFDGFIHLYSNITDFDSETQTTSFNESRLGTSEDGTIQPCGDCRTTELFGFEVC QTSDRKGFNGLGNSPRTFYGHLTYYNVGDFKCLTASSSPYENSTLTLEDCQYDYEGAA SSGQYFE
I206_07186	MPPPSIIPQNRRRKSGPQPIAPGPAPNSLKSFTAQRNIQQSSPS ASVSSLSVGQEDDDEDYNPKNGGPSSLISRKSTSFNAVNTTSVPTNGKRKQAPTAASS QKSNKMTREALRKANHSLIERRRREKINAALGELRRMVPGLGEDGGKAGEFKLEVLER TVSHMKDLKSHILYLESIIAEHGIHVNPIDDSSNQKNGDQDEDEQDRQQLAVDGIYQA EISRLDESAQPPTKPHHGSNDQYQSDYHTYSTSTAYSYPMSKTHTINHSMSPYPSPGP ENEALGEADPNETEPETNLPPPLTKATRVVSRHVSPPVATPSVACLLAQAAHSNSPTP PPPVSRPAPPPQSSNPIFLPFPAPSPTSPFLTGAPAAMSSVSSSSSSMIDTSRSYISD PSPFLAPISGMSLFGGALNLEQPSPAENMKLFIDKTSPPSLALPPSNTKEKLGNMPPE EAANLLLAFSSPDTMRPQTHVDISPYPGMSRDRRMTLDNDDFKLDTFTLKTNSVSSND EKDVNSRRYNSLSRENSKGMTRGKSAMDFLKMSNTAR
I206_07187	MSSRMSPTTSSSGTSTPTRPHPLAPYHPPADTATYRDLLLFEER LKSNAEMLKKRRQRYNVFLYTFIIALAFMAYKLFITPPNSNLKIRALQASMAVVSVTL VLFFASGMYEEKIKYAHSYINHSNKALRPLNMHLNMRRSKPSLLSYIPYIRHFRSASP PVSSTPPLQSNPQTTTKPFLGGKGGVVNHGAGRKVSSSTNVMATIPPSTNPRGELIFS SRVDRTFREGYERYRSAFERRREEKQKEESKINLNSTQWLSWTTSSHKKGSGKSGRRN GSPSPSPIPSNGGYTPNPTPPISRKQTPPPGVGLGVETGMMGGRRRSPSPGASGLRNS LNLEKEGRERSESYSFVLDQNQGRQRMATAG
I206_07188	MLSRFISLFALLATAVFVSAQDDAWHLDYIYVLANEELDPIVSP NSQASHMHKIIGGSRMAAFYNSDYYTGASCSSLRQQADKSNYWMPNLYVVDSTAGKYV PVPAHVRFYYFLARNSQYEYVSPFPKGLRILTGNPSNKSPTNVATFTCQVNSDFSNSL VATDFNFNRDCPWGMKTELFFPPCWDGVNLYKSDGSHMNWPDNGVRSGSCPWSHPVRL PAIQLEYTWATSNYNPGQSLAGNLAWANGDTTGYGIHGDFVEGWDQAVLAKALNATGC VGQYSAITMDSCPVLNAYMDDAKAAACKPERGELQESFGNVDNVPVSRLPGCNPLWGA SGAKPGCNPAIAGLDVSSFTGTDGAYVASAADNKQFKLPTTPGWSNIACLKDITSVTG GVSYTDSAMTVESCQQSCLAAGYQYAGTGQVGAWNCVCGTGIRSTASVYPGMCSTPCP GNSKEQCGGSYIFNIWYAANGTTQNSTQVFSDGSQYIGCYNNPSTASQGLIGSSTYNF QSGSMTTETCLAACHNLKTNWALTTSAKWCYCGNDWNFGTGSIVPSSYCTVACTGNST EKCGDYYRSSVYNITDADIAKSTVYHPAGWQGCYQDLSGRLALTANSWSGTITPQTCI NGCSELGYSYAGVENGKSCYCGNSPQLTQRLPTSQCSTACTGNSTAVCGGANAMDLYT TNSSTTTPAQVAAKKPAGYLGCFQDSGSNIAFPNYYSYTVNPMSVEICKQSCLELGYS YAGVENGNQCRCGNNVPKTTQYVASTTCNKVCSGNSTETCGAGGFLEAYTLDNSTMTP IMPGTSSSSYVSCYENSNRGLTNYTYYDNSMTVEKCRTTCTEFGYSLASVYLSKYCGC GNAWAGATQKYPSSSCQYYKCGGDSTEYCGGQTQAAVYSTADVTVTVNKPDGWVSCWT DSGSARTLQDYSYSASPMSAKACRVACANQGYAYAGTESGNQCFCGNKIASGAKAPTS SCSSICAGGTNETCGASGFMDLYNATGAAANNGIQGYLGCFTDDSAFNGQSYVSDYMS VDVCNQWCYARGSAYAGIRNGNQCKCSSQAPTLVTTTASCKNPCSGNANQNCGTATTI ATYQISATGLKNGDYATSSNSTGYVGCYHEGTSRVLPTYYFSSSSMSNDLCISNCKSL GYAYAGSEYGSQCYCAAKLDPASAGYRVAESQCSMACASKTGICGNGNILSIYSTANA TTAAPTVEGLKGCYSSGTFAQAAPLSYSGGYMTADLCRRSCRSSGFSIAGLTNGNTCV CGNSATYGASVAPATCNAPCGGNSTQTCGATFSSAWSIFDTTGAGSATPAGFPANYVG CVNDGSPRVLPNYSLTNGGMSSDACRKICVAAGYNNYGTENGNQCYCGTNKPIVSLLP DTQCTSKCPGAPTEACGAGGKLSLYEIAGASSGNSTVSASASASASTSKAASSSVSVS ASSSKAASSSAAVSSSTSKAASSSSSASKAAASSSAAAATSTSKAATSTSKAASSITA AVTSTSKAASSAAASKPASSSVSSSKASIPGGGAIATGGTLSVTTTVTSSAAKTSSVA SSVVTKPVTQTSSKAATSTAAASSAKASSATTSTTVVKSSSTTSTTAALPTGTSLGCF TGSNTAFSSAIMTGHDNLTPSMCQIWCNANYYTYSGISGGNTCGCSNTVTGLTSTASS SCSNKCTGDQTQLCGGTLNATYSVYRAQAAVKPVKRFDSSSATHRKPRDLNNRRSERR IVHSGAKNDQAMKKRSGRGVFANW
I206_07189	MSAACLLRLSANRGMALGLSSSPVTPMIASSSTASLRHLRTFLG LAPGFHTPASRPKRVNINAAKVNRRHNGTKSSKIPSTHAQDHDHGHGHDHSHSGIFHT HVHDHSEGAEQIMQAISKGKLDRGTKITLLGLGSNIALTLSKGAAGLWMNSASLLAEA GHSLSDLLGDLVTLATWRISRRLPTDNFPWGYGKFETFGTLSVSVILVGGAIGIGLHS YHLLLQTLLPYLETFPPGTFLNSLTADAALTAHSHSHDHSAHLHEAAPGAILNPHAAW FALGSVVIKEWLYRVTNKVAAQEHSPVLKANALHHRADALTSLVALTSILGSSFGGYH FLDPLGGIAVSFFILQQGLSLSRTAFFELLDAGVDASTKERYFSIADDLVDGKDLLEI RNVRGVKSGGE
I206_07190	MTASLTLPLKEKRLTVVGDEDHDGLSYKIEIVAKLTLTIAVSAG QTVLDLTITVPPTMSVHNSHAVEQRVREAIMNVRREVREVKIHVHGLEENEMIGQAGK SVEGTSNVPKSDFGREGC
I206_07191	MAASSSTGNVAHSPDSDYKHSLPQTPASITGAAGADYIEHLNNG SLEYVAEYGGNGATATYQEASGAPVEQTSPLGYEVSYFTVMFLTINMMIGTGIFSTPS SMLRNCGSVGLALFYWPIGLLITACGQSVIFELASYFPSRSGGEAVYLEQAYTRPKYF LPVTFAVQSAVLSFSSSNAIVMAQYIYRITDATPTDMQLKGTALACWTLACLIIILST KGSLILGNVLGVIKLATLVFMTIVGFIVLSGKTKISEPTANFHNSFEGTHNTGAYELA NALVNITFSYGGFNNAFNLVNEVKNPIKTIKRTAPLALVIVATLYTLVNIAYFAAIPK AEIKASKQIIASLFFQKVFGAKAAKGLTILPVLSAFGNILSSLIGSSRMVREVGRQGV LPYPKFWVSTRPFGTPIGPVVFKWVMTSIMILGPPSGDAFNFIVALQNYPESVFIFLM TLGVFFIRKQRKLLGLGRSEFKAWDAAVILYLASKVFLLIMPWVPPRAGIYGGAFSFF YATATLTGLAILAVCGIYYVIWIYVLPKLGGYQIRQTVLEFDRGAVSHKLVKVKNEDV VEWDSTHDPAGRALLATPSTVPILDEKNL
I206_07192	MSPAPILPESIDLSHHLAKRIRTVQPSAMKALGALVGNRKLLTL GGGTPHPSLFPMSHATFTLPKLSTLNGDVQDWQIGQAVTEEIHLKKTGPGTENDEGGI LDLNDILQYGLSNGYPELINQLEELNGLLHGKTTSDQSVYISLGNTDGVSKVFQLLVE PDVDTVLTEEYSFASSLNSARAKGAKLYPVKVDGQGLIPEDLEKVLSTWDEKVQGRKP HLLYTIPCGQNPTGTTQPQERYDEIYRICHEHDVIIMEDDPYYPLQFAEYEPDQQKRE AQLEEARAKLPPAPENAKDDDAQAVAKVFNEYAGVRSYLSRDVDGRVIRIDTFSKVFG PGVRLGWITANSTFIERILRIGETTTQVPNGLSQSVLASYLSDKHWGIGGFIRWMWGV RLEYQLKRDFFLDKLNEYVPKDLVTTVPCGAGMFQWLKVEVSSHPRYRKTLIGNSADA AVNLALDTAGQEPEVAPGLRLRQNIKAEEKYSTNTGELIDELWNHLIEHGKVVLLPAK VFHTETPDVDSSDRLNFFRATFAGDLENIDAALKAFGDSIKEWFAKG
I206_07193	MSQFNNIKDTEDSSSNSQSIHLNDRKSDKLGQGQIQSTHPVDIE NPQPPPQDGKIHDAVWGTIDEDGPNYRNLGWIRASVLELKTQIGLGVLGLPAVLDTLG FIPGVIVIIVLAIIITWSDLVVGYFKLNHPEVYTVADAAHIMFGPWGREIIGFAFWLE VVAIAGASFLSISVAFNTITEHATCTVVWVVVGAIIVAVFASIQTLGKISWLGWVGLV SILSAVITLMIAAGLTDRPSLAPPGDFEIITKVAATPSFVDAINAVSIVVFAYAGTPN FFNIVGEMKDPSQYSKSVVTAQTIITMIYLIVGCVTYHFVGQYIASPALGSAGFVVKK VTYGLALPGLIVGGTLYAHTAAKYVFVRVLRKSRHLSKNTPVHYIIWFSVVIFTCAIG FVIAEAIPFFNDLLSLIGALLGTLICILMEAYMWMWDNWRAPNRGSRKWNLMMAMNII FFAIGTFLMIAGTYAAVVVINDNLNSGDSGSPFSCADNSGN
I206_07194	MCELVTPQVAKGGVKTAYVMPNLVPPLTSTEAVLSYKSELERID PSVQWLMTLYLHPDVTPEEIRKAAKAGISGVKSYPRGVTTNSNSGIEDYGVYYPVFKA MEEEGMVLNLHGEVPSDPEKNISILNAEIHFLTHLRKLAKDFPNLRIVLEHATTSNAI ETVKELPNNVGCTITAHHLYLTIDEVAPQPHHFCKPLAKEPKDRKSLQDIIKSGNSKF FLGSDSAPHPISSKIPNLLNNDKEIGLSISACAAGVYTSPILIPLVATLLESFNALDQ LENFVSNNGRNFYNIPAKKGDELKLRRIGENENKGIVKNTFKSEQVEGLEVVPFWLGK KLNWEIV
I206_07195	MSPIAIDTEFENMFPKSISKTILNGSRTRLLSTRASKILSSLDL PIDTNKTIPGVFDGSNWLGSGEELISECPATGEVLGRIKGGTVAETQAAIKASFEASK LVRKMPAPKRGEIVRQIREALLSKVDELGDLVSLEMGKIKSEGRGEVVEFIDVCDYAT GLSRSMKGNVLPSERPEHVIYENPNPLGVVGILSAFNFPVAVYGWNLTIALVTGNATI WKPAPSTPLSSIAITKLIQPVFEKNGLPAAAAALVCGGVDVGKTLVGSEEVPLISFTG SEKVGKEVGKAVQDRFGKAILELGGNNAVIVDEDADLGLALQGVLFAAVGTAGQRCTS TRRLLLHKSISAEFLSRLLKMYDSTQPGNQLLVGDPLNPSTLIGPLHNQAAVEKYEST LAGITSRGGEILTARSGRIKGGDFGVEEKGNWVWPVVARPKKDDPCWKEETFAPILYV QEFDTLEEAIQINNGVPQGLSSSLFTSNVQSMGKWLGPDGSDCGIVNINVGTSGAEIG AGFGGNKSTGWGRESGGDAWKQYVRWSAATVNYSSKLPLAQGVNFGIQG
I206_07196	MSPITYATTFFALLSLLPSVLSTPTDGTTYQIESNHFSGMCMGV EGDYNGAKVVLKDCDDDDTTWEWTGSHLENTATNKCIDIPDGGWWNGNKPQIWDCFSY NTNQQYSVVNNNIKWGNWFCLDITDGNSASGTMIQLWQCFDGNTNQQWSFTEVEEVDD SDDCESATVTATATATSIIGGGLYAGAPTSSSSSAVANETASASTTADWWATSAVTAS GTASVTASGTASVTASVTASVTASVTASNNSTSTASATSSASTSVTTGSVSSGYLQTS GSKIVDSNGNEVVLRGTNIGGWLVWEDWMCGITDSTNPDRFPLNTLESRFGTDQANTL WEAWINNWLTEDDFNNIADIGFNVIRLPFGFRNLYDASGNWRSDAFTHLDWAVAQAKQ RGIYVILDFHIWAGQQASYSAISENTSDGQSQRDAAGEIWKKVATHYLGESIIAGFDI INEPTGSWGNNLQQDLYDAVRSVDANRIIIHESISTDPSQYGWTNVVYSFHEYLMMGS DYSSNVQQYAQSVQAYIDQWTGYGIPSMLGEFMADGETLSYILNQANKYNLSWLSWAH STVNMGRWGLWNHQAFYVDVSSDSYDSILNQWSNMPSKQQSTIIYDQFKAGATGSTSV SQRKRDEITPVLTRSEKVEGTKRLTARHGGRSRRSVAGQHGIQGISF
I206_07197	MPDPFGSFSWICSHTVLPQCNLFFSQLFNGDSPSFTTLFPDSSE FFTNYNVTGTNVREDPVIQIARSDAGTGIGSNCENARVGHKGSPGDIALIILSVLSFL LALALSFQASKRKAAVGRIELRFFLFLYGLHSALQVITMSSLLEQGTIVLSVLSSIHV AVIATIFWVLLGNAIIATQVVEDGTAAAIAPLSMFAILFFVPTLYISLDTSLGWTSVF RNTGENASNVKNIALFILTMIWPAVAAVIYTILMIYITLGALRETKPAMLYLCAFILF GIGQVLFFLVSQPLCDASNGKVNSAFLATLLDSSAVVLVYLAWKSITEDDWGEEAYGA YY
I206_07198	MSIDQSLNSNTNINLNSDSPSSSTSTLHSSNQIHYNNNNKNIEK MLNSSSSNEHDIKSKDYGINDKVEELNTKLPIEVEIEIEEEFSKPPSFISIQNNLILN SEREIQLNSNEKNIDSSNLTITKEKEIELIDGRPKDVYDRFTKSQKNRILAIVSYSAI IAPMTSSIFLPSIPQMAEQLNTTAEIINYTVAVFIIIIGIAPVFWSPFAGFYGRRPVY LASMPIMVISSIGVALSKNVGSLTGTRIIQGIGSSCFLSVGAGSIGDIFRPTERSRGM SMFYMVALLGPAISPALAGIFTEYTSAGWRSCQYFLGGMGALSVFAVFFFLPETSHPP LPHDLLKKEQNKKFVWYFCNPLSSLGLLRWPNILLATFISSVAMIDTYVILVPLSSVF KERYKLHNLAIVGSLYLSSGAGNILGSKLIGPWADKVVIKQIAKRGYRRPEDRLKTGY LGAFILMPLSALGYGWLVEYGAGGIAPPLVMVFLNGLSLMFVVAPLNTYLVDAMQSRS AEVIAVNNCIRYIFSAAASACVLPIANSIGWGLTLTICTILTWISAIALMLLYKYGDK WREKSNLKYCINVNVKKEEKEEEETIEIKGKDEESNISSNNQNNQIIRKSNEDEINDE HPIELEKIKNNTTTRPSHIKRQNSNNKNKKELPNVEQVLKRTISLSGASVHGGG
I206_07199	MSSYETVNHKKVDYPQRTDQARYKKLGSTHGRLDQFIGGHYADY NLSSLLFAHRLDDPNHVKIEVWSAPNRSKPSFEEAKRQNYRLAHKGEEFGPSWSNHWF RITLHIPPEYSEYERIQFEFDNSGEALIYTVEGDPIHGLTGGFNGDRRVEFIIPQSDR DAGVSHFYIEGSCNGMFGIDDMDPPNPNRYYRLNSADLVVPNMEAWRLLWDFNAIHQV YNTLPDDSPLSKHSMYVANEIMNTFKRGSLESVTKARKLAQEILGKEWEKEIAKDSKN AVEQKGTLWGVGHCHIDTAWLWPFSVTQQKSARSWSTQCDLIDRYPEHRFSATQAQQF KWTEELYPTLFARIKSKVAEGSFQPLGATWVEMDTNMPSGEALVRQFLYGQRYYQSRF GIKAKTFVLPDTFGYSSQLPQISRQAGAPNFFTQKLSWNSINNFPHTTFNWVGLDGSQ VLTHMTPVDNYNSQCDIGEIRRGMTGHKNLDVTEQSLLLFGNGDGGGGPTPPMLEKLR RARAIGKRSDAGGQLPLVKMGGSFDEFYDSVRKETDNATKLPYWRGELYFELHRGTYT SHASIKKGNRKSEILMREAEYAATIASLIDPDYEYPKKRIDSAWEDLLLCQFHDVLPG SGIAMIYEDAEKRYASIQSSIHAVLNEAYSVIYHSSVPISAEEPAKTSGPIFAVNTIP DYARQEVIEIPLEAHVQIQSRAAQISKDGTIGYLLLSTKNSTPSPNQNCGRLTPNEHQ GFMLCNPRGLYANPKGLFIMANDKISMRIENGRITSIYDKALDKELIPAGQTGGLVIM EDHPNYWEDVDEFHLEKQTHLDFESVHIKEYGPLRAIIGASLQIGQSRIEVNISMDAI AASLKDDARSMIRFDATIDWREKHQFLKFELPLDIYSDFATYDTQFGTVARPTHRNTT WDAAKFEVCGHKFADLSEYGYGVAILNDCKYGYATQGNIMRLSLLRAPTQPDADCDMG THRFSFAIYPHKGTFVESDVAKVAYAFNAPMNLRYSKEPIDIALEPLALSCPFRLENA PNVMLETIKRGEDDDFSGEAKTIILRLYEHLGGHARAALKVHGLDVIKAEVTNILEEH VEHLKIHKSSDFIQDSDDVEEDEKKPKKDESVSVKLSFRGYEIKTVKLTLGNDHKKKG DKKRRESECSWVKV
I206_07200	MPKHLPTFKHLLHSSQPTQKKENKEKSVNDLLSSSRNARPVIPS NRDLPPHITSERIWTPSNTSSSGGLVEVEQGGIHPAEILRRSNIAQRQASRYIAGPAP PPSWRSNNLNASSSSSSSTKVNSFVNEIDQPVTTSQLESSSKLLSFSNTSKQSQIISQ KNSLVENCFKTILKHLKDDQIIYISEDEKEIYTLSKLLKEQIPFLSIHLKSYLLNISS NLPSNHPYRLNDDSFLAILNNPIINDKKNLNNNHSNLLNEIDWDNNPLISEPIINHLS ITLNSLPFNLLFKINNNYNNYNYNLNSIISLNLSYSILPFNSEKFINILPFGLKELSL VGIKIDYKNNHKNNDNLNEDNIRRNFNLLGKRLIVLQILDLSFPRFNLTNNCLEALLS PPKSKLPSLRKLGLRGLTDSEDQTHYSQDKSELDKGECYGKDEPGSGIRISKELVNNL IKTTRRNRYVEVVWQ
I206_07201	MATPARKKVLLMGKSGSGKTSMRSVIFSNYTARDTRRLGATIDV EQSAVRFLGGLVLNLWDCGGQNAFVDNYLSSQKDTIFSNVAVLIYVFDITSTEWEGDL RYFEDILFALRENSPEAGIWVLINKMDLVDKEDPKRLKFEQRKNDLLNVDNQVRKTLK DEGKIDNIREVLRCFPSSIWDESLYKAWSSIIHTLIPNISLITSHLTYLRDLCLAVEV VLFEADTFLVIAKSGSPLDCDPSDLDQIELKNGAKELDQQRFEKISEIVKGFKKTCSR NHEQYHGFEAKFDECTVILEPLTKNTYIMIISVDPRAETGALLYNVHQSSAHMAEFAS KHTLEDHKCGICRQNRELKYSVQGDDDDSIPAWDDPRP
I206_07202	MPAQLRSKRAWLLLTASITLHIFLLTNTRIIGDRTSLPPTKRLL RRLNISESVPSESLFEIPYQEWYEQLPQAFKPFYIIFLLVILAFLFSFIGISASDFFC PNLSTIASYLGLNESTAGVTFLAFGNGSPDVFSTFSAMKNDTVGLAIGELLGAASFIV SIVVGSIAFIRPFHVPRHAFLRDVLFFTSAVCLLVLVLRDGFLSLHEAGSMVILYIGY VCVVVGGNWLARRRRRKLSHDHLAQRNNHKSPLLDESQQSRRGSTYSSPHLSPIPEPL NIDDDDDEHTLHQTPSEITPVRPHLGRIRSHTSASYLNGHTPHRAHLHHHDSIDTPRA NFSLLGAIEFRDVVNSLRKESNSRSNSPGRSPGEREREPNDYFGAVSTVGHRRSSSYG FNAAIASSGTLPRRSDSSKGRRRASTHSELGESATGTPRSVSAPYQPLQTQQSTSTSK LAASPEPNPWEDQEGNPPTPVLAAPSSLVVPPTIPKPCRPKVVIPNSEQRVHLSKPSV PSISVIDPTGHRDIPTTNTPPIETPPLAFVKKNESRFAVRRRSGMILRVMFPSLQSFR HKSWIGMILAITSVPAILALTLTLPVVDDGCREEGGVALPTTEDEGLNDPEADCVEHG EYQDEGTETEMEDVMEEESDRLLNPEIGEELHHLVDHGFSPLHSPLGRISHGSIRRLN SHLESGDLTLGNTEHTNEEGHTKEEDLYEEMNEERGLEFNRWLTAVQCVLGPTFCIFI VFNDQSYFYWVLLGSVIVGLLAAIATLYLATDGSSYTWRLVRCFCGFICSMVWIAAIA DEVVNVLDTVGEILGLSDAIIGLTIFAVGNSLADLVANVTVAQFAPAMAYAACFGGPM LNLLLGVGGSGTYQILLKQSNQPIKIHFSPTLWVSSAGLIFILISTFIIVPLNNYLID RRWATCLIFAYIILMCLNIAVEIKTGRK
I206_07203	MTASPTSISIPPPPALEPPEEPTNTLALLLPNQALFEPSILALL KSHYGLFGEIIHWAPVKGFGRVIIAFATNEDAERTKREGDWLKLDLTPNILSGDNGVE EQNQEVNMMQDTTNEGEKSFKEGVQSEYFTPKSTRKRRSQILKSNELILRLYNLPPTP INPDPSSFHLAPPTLPHNFLISPPGSPPEGWEPILEEGPNTSILAEDLQRALESLQLN GINRKKGGKEIILSEGPITVQVEDTTKLIEQGDDDQEEEEEEEDYSSDKWEIKEKLDN NINKEIWNIPNQGNFSSIQSIDKQIDLGGLNGFISGSNTPSGKIKIAPTARPPM
I206_07204	MSKTAFLIIDVQYDFLPPSGSLAVPDGEAILPVIHDLLDQSKWD WSVIVASQDYHPQNHISFASNHSPHKPFEKMTLTDARGTSYEQALWPDHCIQDTRGTQ LEAKLVEDLKTWGDKVKLARKGTHEKLEAYSAFEGYITILPKQSPLTIYLREKGIEKV VIVGLATDFCVLQTALSAISASFDTLVLGPGIRGISPEDTHKAMQRIESLGGTVVDKG KENWTDIVKQWMK
I206_07205	MQNTHSAGIPIIQVNSGYAPHQHNKYNPVPSARDASQTPSSDVA DDAQSLHNIPWRGESSDRLMSSETLLYNTSAGYQSHDAVPSASNYLLRVPRCDESRMG YDGFSSVDEDDSSYSQSKKVGYVDCQPAIHQTSQVSPSEDPNSRRYDIKKRRRSKSRL PGSENKLETRKRLTSQQEDLHRKIEAQRTSLLNLIKEQPIEVQEQVTRAMTNVEVSTE LMEMDEEPPGERKGKEFNKMENS
I206_07206	MPGTSEDLPKPPEPLAQPIRLIVALASLSAISNPASQSDKFNPE WYRSVSSKWLSSICKLISFDQNRLPPSISPEDVKESANDQRDEWSSEEITRIAGVLIE ASLASENNITNQGSHKKGEQGEGVLRYSPISRNLSYRTLKLLGLNAKELIPKAEKNLS STLFHALKAAAEKENQEKLEKAKQAQSQGWGGSLGRHLATGAGVVAGGVLLGVTGGLA APAIAAVLAPLGVGSILSAGAAPVVLGTLFGVGGGGLAGKRVRERWRGVEEFSFIEIG DGHKATKEELDDLKEVKARRDKQKLEEDKKSKAQESEKAKPQPGADKKDDEITDPQGQ VSEQDAQAMVEQGRLDIEARLLKLSLESGTRKSISISPDSAHPPPPYSQSPTNSPRAS LDQGKEEKALTEVKKPPSLVATIVVPGLLTVSRTEGITAWRAICSSATATVQSLPSEK TDATTTISGLNDGRDVYLLRFESAAMLKTGRDVDLWVTSKLKGLVKKEIIKRTVLSAY FAAVSLPLQVLSVYSMATMTLDNTWMHAVDRAKKAGKLLGEVIEKRVQGERPVVLIGS SVGALTILHALLYLASLPPPAGRGSIPAYVESAYLISLPAAPNDEEWQKARSVTSRRV VNAYSDADLVLAGVVRLHEVVSRAAVMSNGIKVSGLGPVEQPGIEDIDISSVLRGHME LQAKMPEILKIIDIDA
I206_07207	MSAATTATNAQSGVSPSSDTAEGGFSRSPTFVSEDDVSPSPQAD DAGATLTDLTASNGNKEKALSTHTTPGSEDEHLPAQSTMGKVEADLEKSGKAHPHHHQ NALSSLSPKRKGVLLLCFCLSMFIDAAGVSATFLMTAPIAEDLGIKTGDQAWILGTYS LAFAATLLFAGRLADLYPPHRVYTIGFIGIAVFYLIISFMTNEYAFFVLRAISGLLAV LTIPSSINMIIQMYPDPAEQAKKLALFGMAGALANTIALVLAGIFLLANWRWYFRFIT IIIAPFAVLAWFLMPRTEAVAEDLPGAAKLKRMDLGGVLILIACLVLFILGFTQAAVD GWNTAIFIAPLVISIVLLGVFLVWERFMPRGYSLLPHDMWKYPNIFPLILQASAIFMW FACAQLRIATYFQEALGNSAILTAVKLLPMGITALFVGSSTQALPWLITKPRFVQPVA SALCFAGSMLFAFSKGGSGKDYWRFMFPGQIIGTAGGMIVFIGMNTSIIQAFPIEFAG VGGSFANIIFQVGGVIGISIQAGLLNTGNGTIQDWTGSKNSYFFTGAYILFTGVVFLA WYRQHKMPKYEGPVVAA
I206_07208	MNKNHGRGDPLYPDYIISKEIEKQGDDNTAFGDKSPGVRRIEAI ATCFTTWHKWVLFLSVFLVAYSYGLDGTVRYTYQATALSDLKTSSQLSTVTVVRSVVA AAAQPGFAKISDYFGRVSILFISVIFYAIGTVVQATAKGLGAFAGGAVIYQFGYTGIM LLVEVLIADVTSLRSRLFFSYIPAMPFIINAWVSGNIASAVLGATTWGWGIGMWAIIF PVMTIPLFGSLLEAEWRANRRGLLKDIPSPIKTLLQPSLWVEIFWQIDLIGLILLAAV FGLILVPFTLAGGVSNTWKAAHIIAPLVIGFVVALPAFIIWETKIARHPAVPFRLFKD TRMVLAPICIAMLLNTAWYTQGDYLYYTLTLAFDRDITSATRVQNIYSFCSVVIGVAL GLVIRRVRRMKWFIVAGTLLFVLAFGLLIRFRGGFSAHDFAGLVGAEVVLGIAGGLFP YPTQVMIQSAVQHERTATVTSLYLASYSIGSALGNTIAAAIWNNSLPKHLLTSFTRYG VSDPATMAASTFLDPLTLVATYPPGTPEREAASEAYREVQRYLTITGICISTLLVIAS LCLRNPRLGDQQSLPDAEGFNVPVLPSTEKETRHGNEHSPTRTAVDDEQDQYHPAPLT EGYDPGSMEANNSKSNKIMANRV
I206_07209	MSILRASSTARFMALRAPAPMFVRNYSPDTRAEGATASSTGFKN REQASESKYIKEHEAERLKQAKEKLAKAQAEVDAQQKIVDKST
I206_07210	MNSGRTGATTGRRKDDPLESRGNTPAGGGRAKARKKPKVSPSPT PRSGPIPTPYLALAPTPISSLSSSTIDQLAATASSSNLILPSSFIRSSQHKRNKSTPN LREEGGNEEAGSSSNVNRNTSDVVYNRPMSGQGQTTRPQKYVTSLLSPPMIIPGGTSA TPRIQGSGNTPQLPPNTRRRSSEQREQRELRERAANRRRSQERLRDLVNGNPSLTSLG QLGQILESGQLPEDNEDEHGLSRAQQDVQQAQRRRRRIVRGEGAGVARRLTVSSREEG RAIGLARGASMRRTNVWDDIPEAGEPPPPFPFPTSSSSRLPPSFATPTVIPSVPSQGA SERPRSPPPTFEQAIGLSLSPAPVPDVRSDSTPSTPRASTSSRPTLSVSTSVPQVVIA EAENSPSSTHYASAPSSPTHTVLGFDDFRAAERLKSSERDDRRAWNEDLLAGYSLEER VRREIERQLGKDLHVRQPSQDVDAESETSTPQASPVEDVVDLAGPAEGHQDLAKEIAA TVKATPSPTVDKLNVADQGKSEVSDLSIANTSQTSVEYEGLSAPTPESREVDESVVHF EADRDEVPPRRSIDEITTELMAEPARSPTPSQTPVAASPEEASHDQSEEQEAALETSK ANEQATETLSNRTMPAGAPEEHQPVSTTVPPPEVEQGIPASPTVTTNIRKTPQRIKPT RSSTAESDHSHKPTLSPYIKRLSVPEFSPFKPVLQRPASLNNEPLFARQKQDGDSEVA HSPVLGANSALATDPIHQQTAMRNGDLDIKAKEGENLPPSREAALRRRNLAIAKTTVA TQSALELSRPKTKVSFFPRDHKPVSGPLINFDTPTPSPPESALSVVENRKVMTTSNDI SVLAASSAELLTLLELQDSPKLSEGSEPVAESSAQGAARMAKRPPPPPPPPNRKVKDE ISRRVPPLLSPAKPSYQVDNHVSAENAAVKIAEGSPTHLDARSTEKPTLPPRRAPPPP PPVPRLNLIPKVPPPLPPRPTTTSPTPPTPPPQDAQSPKLTPRLSLSGQGSQNRPKGP RPPPPPPRARQTNWFSNFARKKPATSATITSVEADEAISPVRPNNERAQTDFPPLTQQ SDDREEERDRIIERSSSAANVRTVNDSNSRSSESSTLRSPHSQQATLPLSDVGQSQTQ IQTQSGLEIQSNGREEENRGREWTDLDLLVSRIDPIGGGGGGGERRQEGLMEIDSFEG YQRISDFLGPSKSQAASLNALSNLLTGLIQIDSRRTTPQGKIKLKLSLLGLRVSKCGI CLSQFKLNERAIMLPMCNHSGHESCARRWFREKGNCWVCRELLPEE
I206_07211	MIYREANLSFESSVFISNLIFFRYTEYREAFALFDKKGTGQVPK ESLGELLRSLGQNPTQAEVQQLEQNIGSNSFSYDQFLNVLNRPDGWKPAGTADEFIKG FQVFDKSGNGFIGAGELRYVLTQLGEKMSDEEVDELLKGFPVQDGQINYHSFVRSILA Q
I206_07212	MTTGPVNGLGGLEAQFQGGMKLNPERPAYVPPHMRNARGPAPPQ FSAPAPAPAGPGYHQSPTGLPTPATTPPQSRGSYAPPAARGAAFPPAAAAGRADDGGW GAAPRRAPEPRSGGFGGGQPGFGSWKNGEHITGARNPRMEKELFGEEGDTVHQHTGIN FDKYADIPVEATGTGVPEPVTEFTNPPIDPVLLENIKYARYTTPTPVQKYSLPIVAGG RDLMACAQTGSGKTGGFLFPILSAMFTYGPMAPPPDNNYGGGYNRTRKAYPTALILAP TRELVSQIHDEARKFAYRSWARPAVVYGGADIGQQMRALDRGCDMLSATPGRLVDLIE RGKISLANVKYLVLDEADRMLDMGFEPQIRQIVEGEDMPGVHDRQTLMFSATFPKEIQ MLARSFLKDYIFLSVGRVGSTSENITQRIEYVDDADKRSLLLDLLLAEQSGGLVLVFV ETKRMADTLCDFLCAQRHIATSIHGDRTQREREAALQAFRTGRAPILVATAVAARGLD IPNVTHVILYDLPTDVAEYTHRIGRTGRAGNTGNSTAFFNRQNLNISRELIDLLKEAN QVVPQWLVDVSTERSFGGGYGGRGGRGRGGGNRSGGRDVRTQQGGGGFSGGASRGGSG YGGGGGYGGGFGSYGGGGAGGFPPPAASGGASWW
I206_07213	MSADQQTAIFLKDKILAKAMTSDLTNVEGNWYFKENSLIDRSRF EESDTHTTCGWKGEASYFNYKTDEGKIIKDIAWFYPKPKDGAVDKVGGRVAFYIGKID GLRVGSPSI
I206_07214	MSSTNNRHSSHSSNHSHSLYPPLTPIISRPRPIPPTNPPEPPIT LLHSTTSPIIPFQNNNSFSSSTHQQNKRKEKWYKRIKFGYGMYNDIKNRIPWYISDWT DSWNYRVIPSTWFIFFANVLPGLAFSLDLIETTGQYGVQEVLLASFMAAFVASFLGGQ PLLISGVTGPITVFNKTIYDIFEKKANGPNYLHFIGWTYLWAAIFHWIAAAFNAVQGL KYVTRFSCDTFGFYVAAVYVQYGIQVVTRQFGQTSTPSAFLGIILAVLTLVLPHYFNS LASSGYINKHFRRFCADYGMPITIIAMTGLAYWGRFDQYVLEDGMTLPTTPNSFQPAS DRPWLVRFWQLEGKWVGISLPFGLVLFILFYFDANVSSLIAQGSEYPLRKPPGFHWDF FLLGITTFIAGLLGIPAPNGLIPQAPLHTASLVVMGYEDTSSSSSTTAIDDPNEQSAE DRNRHGERVVQLTEMENGENEVLRRTKSGQAHKNTLNRRISNAASELRRRNKTSEDSE IMHTLEDRREVPVAVVEQRVSNLAQGCLCLILMTKPFEHVLGLIPKGVLAGLFWYMGS DALLTSGVTAKILYLIRDKKATSPSEELHKVRKSRILWFTIIELIGFGATFAITQTIA AIGFPVIIMLLVPLRVLIVPHLGFTEEELGILDGAVASPFTMESVGGSI
I206_07215	MESDKQHSNPGVNNVIDDEWADRWLNEAFQEMNDESASGQNGNG GWTANYGGHQQAQVEDTFHDTNPNFDPNQLTIYPAYSGEQANDPLYNSYLGYRSSANS FDFPPYVPPFSVDDANMSSARNSANGLPSGVEASNFTQRVSNDQASFIDQGHDGNQGH DDPQDSANTPHALIQETPDSSGNDNDVSTAEYGVSTTAGRISSKRIEEVLRSIRRNEK QVEELRSKIATKEKISEDDSSITFLAKDPTTNIKLPTAPDRLYYPEDKAEEDRLRALA RDSRACADRPIPADDNLDYASERERTVYCACVSKELTLKRLRKELKVRLNE
I206_07216	MDYRASRSNAASARDPSQRPASSAHQTQVMVDSDPMSTSWYSRS NSQKTYDGTMPIEDIGSGSRDKSKFPEADRNLASLIERESSRESVLTVSDDETIRVSA QSKLRTDQRRERNKIHQRAFRARRKDELNSLVASNKNLERELAESKDTIRERDQKIVD LETARLELQRRLEQLETQNSQLQTWNPASVIEYPFFHDHYISNSGPNDPNFQSQDF
I206_07217	MSSEQHHEEQDHEQDDAQLGEEDIVEVVEDEGDEPMDDDDDNEQ YDGEIVIGGPGPGEEDMVMTEDGEMREDNSWTASTLHANQQSVFTVSLHPSFPNPPLA VSGGEDDAGFLYCPIPNDEASGSSSSFNSDNFPPTKLTGHTDSVVATGWNFDGEMVAT GGMDGKVRVWRRVKKGTQDEQTVEGWKNWEFLTSLETGSEITWLQWHPKGNVLAAGCE DATVWMWNLPSGNTLAVLSSHTLSSTAGIFPPPAGKSLLTCSLDSSLILWDPRTSVPI WKTSVFTAANSPELDPSEHGITSLAISPNGQIAAVGSSSGQVKLINLLNGSTLNTLIG HAEGESIEALVFVDLLNGAAGGGKGVVVVSGATDGKGFVWDVHTGRVRAELQHDEPIT SIAAHPSPFLHQVTTASADSTLKTWDIRTGALLATHKGHAGVVNGVAVAPVEGGQAII SAGDEGVSLVWKL
I206_07218	MSFSLPSSPKIKSKFKSSSSSFTSNSSNEKQFQLSSTPIIPLPN SHPLIAELTSLRQQLSQYQKAAHQASIQLQGVRLELTLSQEKEIRWERERDSLKKEVE ILRTNPEPPIPLPTSNALTELSLAHRRLSTKLDLTESELNSTKLDLAKANSEIERLTK EREGDRSTINELRRIEDDREEELEWEKGERRKLEEQKKLCDLALAEYSTLVHSLDPTA VPPSNPTKSTSSIFNTPSSQRSSLDGDSSIHSELDTPPLTSTSTAITSPSPSAGEVIS NLLIGQKGVQQLFTDFTSFLTSKDKRIHELETKVEELEHSTQTLTEQLRVAIEIRVEA ENIKDRVLRDDESASKVIERYMIFTQKTHQTIHLHLNNLRARSTATTNSLRNELADYK KDLIIEREKNSTLLNSYNDLLENYLNESTGRRREISLRLKMISNQEKRGKSIENWLNK VKILRESIEGPVLEPDVLENLLDEGIEVISNDYSIVSNRRQDEGQNDKFKSWRKMGNL IKSNKSQKVDDGDNQINSNYEEESLARILLAEELVNTLVNDLQIETEKRMELERQRVE WLAKDAVDGVRVASNKDGIAEDGHVMFDIDDHDPDPIRGTLTPNGSSTKEPILIDTAH VHNAAPSSGANALEENDQNEEGESVPAQAQKDSDDTIPAPRSSTDESSPLVDQLSELF EPINTRYEPLQKTLHDLSHSLTNLKNDLPILESSNPSTPSTSKPNKKSHFLIISKLPS SSFKPSHDPTLLAILDELHEVIEDARVDVEIALADHERVYRGFEALLNVGKKKQSKKE EIMNEIKEYVKSKKESLSWEKLKIRIENIEFDLMLIKRSIHEIDGMEINILKELSNEK QLESNSNSNLNQRRKNVWENLNLKTISTTNIPNNNQIKTFFVGGISPTPISSSPLNSP FIDEYGFSITSNNNINNFQNDSIKKPSNVFSTVGNVSRSFSNSIIGTPRRVSDLATGL YRPNNRKSEEENSLINDKVNEEDDVE
I206_07219	MSDKLTRVAIVSDDKCKPKKCRQECKRSCPVVKMGKLCIEVNPT DKKAFISEELCIGCGICVKKCPFEAIQILNLPTNLQSHVTHRYNANAFKLHRLPTPRP GQVLGLVGTNGIGKSTALKVLSGKLKPNLGRYDDPPEWQEILKYFRGSELQNFFTKVL EDDIKAVTKPQYVDQIPRSIKVPNMTVGKMFDRQSELPNRKQLEQDLELTHLQEREVG QLSGGELQRFAIAIAGVRAADIYMFDEPSSYLDIRQRIAAAKVIRGLVTPTNYVIVVE HDLATLDYLSDFICVLYGVPGTYGVVTMPYSVREGINIFLDGMIPTENLRFRDESLTF KIAETVDETIAPKMKSYHYPDMTKTLGGFKLTVKKGSFSDSEIVVLLGENGMGKTTLV QLLGGKMDPDDIKDKIELRVSMKPQTISPKFPGSVRMLLLKRIKAMFMHPQFNSDVIK PMNLEPIMDQDVQTLSGGELQRVAICLVLGVPADVLLIDEPSAYLDSEQRILASKVIK KFVMGSKRTAFIVEHDFIMATYLADRVILFEGTPGKESVANKPEGLLTGMNKFLKSLD ITFRRDPTNFRPRINKMDSLKDKEQKSAGSYFFVDQD
I206_07220	MATLVQTPASVSGLSRLKSLPFTLDLSCPLSLPSKPLNAGTDWP YEIAGPSTLPREFNDEFIQRRYYETLYLSETLSPLSGLTADLFRLLNSDLSPLGDSKG SVGVYGIVQLVKPLLLSLPQIEKRHRKLILPLLSSSQAASTIDEISQIERNVIGFALD LRVGSRQAVEDGIIPSPKKLSDELEKREILIQIILLLLYTTFTTETEASNKKRKRSKS SRREAEQDQPTIVNPAEDPKVAMELLMDRLSVWQAVAELGLPLGDPTDVRNIKGKGKV PENVIANMLGRFWKSVILPYFMTKQPDLCSIFHQKVFGHPIPPKLVPASLHTQNQNQT KKPRKPKLTHPILSKSSDPLLPPPPISRDRPRSVSGESVERRQISGTGSRAPSETGST FSRNSPPRDDINFSTKRNLTRTSTTNDAINPFRRSRSKSIDPNPSNGDKFSRTASSSL ALNNKKGLTRNQSSGKDLFKGREVGMIRRTASKKLEREDSLSRTQSGRFGLLGRKTSG GKENSRRGSMEESQKQNTLILATPSKPRSQSQFFRPSHSHSQPSWIPPTPIREEPSST PRPSYIAETPMTNRIAHTDTLPLGGIGTRDEDDEMESDDPLGELWELTDDDDEGDDPV IGKTMIPETPMK
I206_07221	MPTSYPVPTQMVQQVWGKFVNWLMRSYPLKDYPLNIRPGIIFAT AIWIIILGILGMAPLPELPLNDKALHFFGLGFATFLLYFIIEVPEGVGRRIWYIRRAP LLFTLFTAFFIGGIISEFIQSLLPANLLGSILFLYLANLINKRNILKLELLNLYQPLN NENVSSYRDSQGRIHQFNLNSDNNNQIESTTSSNQNQNQNQNQELNSSINNRNRQVSE IWDDEDSDFSRSSQDTARGYNDNSSIRIEGSNNIFELGDEESDHK
I206_07222	MSRSTSLTSVPLTDLQSMASYDEDIQSRSPKEWFDRAKHEADLA ILAERSNRKEEMFLAYTRSCQAYSYCKMHPGLKDLKKSDSHWNERVKDFKETYEAFLG KAKDIKEQLKKRDVETNGASSSRPGPSRSESGPDIRGGGSIADRLKALGGQGMDVGNP TKRFGKDLPSPTVSSNRPSAAPANHIHVSATSAQPSSTGASAGGNLGSSAPSAKPPTI QESGSAATVRPSATGSSTRSRRNTNPTEGDRPLPVAPINNNSQTSLNHPPPAASTSKP PLPPIPSTLTSRKLVAQSQPNNEADTSSGTLKDFEKAFPSLSEFGKQFQDDDEDLSGQ PNGSVNGLAKFTQHNPTIEENGEHSPEIHLPDVPSFPTLPSAPIERPGRSSSPLPPPP QRPDSINALERIESGHGDVKKALSPPMPDAGAGLKRPASTPNPNNLPNGVVKDNAPNG VTRDTAPTAGLRDLVSPTLSFPVATPTPPQNSPHTRHSLPHPPSMTPRPPTSQPNGLT HSQDFKKPKFPFSNSVTPDELRSYFLNPAVDILLLDVRAEEEYKRGYVGREYEPRGAK ITVVWMDPTVLMRDGINSQKLEDSLSLSPPAQQKAFASRHTYDLLVVYDSKSTNWPTK EQKATPASRLWESIYQYEFTAKKLERTPVMLVGGYEAWREFIKMRASKHQQAYAAQLA QSHGNGNGHGHSQSVQVNGQSRPYNPKTNGYTHSSSTSRLDRVTSPSSSVDLSKRANR DMPVYQSAPYAKNITDSVSSNMSPSDVMTIAHHQSCWIQFGHAPQSMTGEPSSYISGQ PSRSYAPSPISHTRSPSNYSSSATPITAPPQASIHPGPGARRRSDYLDQHGQAYSGSA LTTAPSPRPAVDYPQAHALSSANLAQPPPAAINPMDRYDTRPAVVRSGSIRGLDLVAR EGDEVRYWNDVVLGLTGLKNLGNTCYMNSTLQCLSATYPFTSIFLDGSYKRSINMNNK LGTQGNLANAFAELLKALWKEDYTFLSPVTFRKNIITFAAQFSGTDQHDSQEFLSFVL DGLHEDLNRVKIKPPPVDMSPEREAALETLPPAVASEKEWQIYKMRNDSFIVDLFQGQ YRNRLECLTCHKTSTTYDTFMYMSLPVPSGKSKVVIQELIDEFVKAEVMEKEDAWNCP RCKVPRKATKTLTISRLPPVLLIQLKRFTTQNGVFWDKSETPVIFPVKSLDLTRYVPI RQPTGNEDLDDPRCQIGPFKYDLYGVSNHMGTLSSGHYTAYVKSSKGWMYCEDSKISK AHEKDVVSRPAYIL
I206_07223	MDAGLAHLVSWSESTKGNVPPPLTGPSITISPLSAPHPPTIFLF GGKSVKTRRLTSEMWAMNLRTRVWERVDAGEGPGPRYFHSMDVWEDKLVCFGGMSDSD PMSVHNDIWFFDCLSRRWLPQPHAPSSVIGIGISSPSSSTSTSQQDPTLLPSARYAHL SSVSRGKLVISGGQHSDNSWIYEINVYNLKKQVWESKTVQPEAGGLHSKGAYRSVATS SKRRVVVPHTGGELKATSSHSYSIDEEGEGGDVWCYSNYDFAKVRRELDILSPNLDIP STSSDKHVSPPSYNLRDDSDAMRGQHQPPGLRFPTGGIVGNHFILCGLYLASSSAAFS IWALNLDNMVWRHLEPNVLFAGSWNRAIVWPETAKILVFGNTELDLASDYSRRAVNLD HMAVISLEAFGIYQPPKLEIPAKVQQAGLTMLDEKLASDFEVICDDGRRIKCSRQILS ERWPWFAEQEKALLDKTSRVLSAAPTVDINDTLLGSFTPARLAPTNLTVPEPFPVCVA LVQYFYTLSLSTALQNRAPVLSALLFLAKQYNIPRLNRLVVHALHERLEPGIAVGIYE IATLASEQCLQVRALNMIHMAKNAAARSHRQGPSGSQASDSGSSTGQPHITPSGTSQP HDFSNTSTGPRGGPPSDDVPHRRARADSFTVPLDVMTAPALDVSVNEEVENATAEQDG QVDTLLAALSINSINDREVSFTPSYGSISVRSPPSYPIPPPPRNPLRLPIPQISTSSL RPSSGLSTPDFSQTRFRRSSSPTNSDVTSNFPSTPADSLRESWIIPPRDLSSSLMDSR SSSGSGLPPLPEGHHLIHETLQMRRNQSKHREGLIDPLADGKRLNAATLEAAGLLPPA TPPPQSLSAQQARAIESMGSHHSVASYYFEAAASPSNLSRTTSSGSINSLPKNKNKHL SVMTDSSSSTGQSIRLGSGATAPFDSSTIEFSDTTSLIRTNTGLASTYDGVSISSGST GTSSKKAAKAELQAIRNAEKVAKKAEAQARFEALRAQQAKRMAVSRAEAQRQQEIKEK EKQVAASIKEKENKDKPKSKWGKLGKGFTDAVLFPSGGANSTMI
I206_07224	MVMFLTISTTKLTLNTLVIFALINYHTYTTARSTDPDITHTDPT LTIERSSISVTTDSSLPTSQDSLAATQPKPSILTPPQQQFKQIPIQPPFIVNNNPQPQ PTETQVIYLQTFNHSLPQGASPFLHPLAVILILCSILGFISVLLTLPFNLPGMSSSSS SSKQPSSSSSPKPSSSSSSSSKPSSSSSSSSSSSRPSSSSSSGSPMASPPQPIPTISA NAWMSFFLFCCLVLIILQGPLGLTGNSILPGFPSTFIGGYKSIPNVLPNIGNTLPGCI GIVSGYVPWCQQEQQPQIQVQQLIQNPSINYDGNRVLYGSGIPQGLNMGGQGGFSSSN GGGNWNWYSNGGGGGNIVRQPIYHSPQQQQRSVQYQRPGLQIQINQGRNPVSQQGIND NVNVQSQYINQPPPSQNIPIQQPISINNPPSIIPTSTIASINNLENAFYPLLVGAIAL LVVFDYAS
I206_07225	MPPSPTASAPTNLEELKELLKNDNKVKVAGVDVDGVLRGKIMSK DKFLSSVKGDGFGFCSTIYGWDIHDLAYGKELLVANWSNGYRDLWATIDLSTYRRLTW EKNIPFFLCSFIVPETNKPLVVDPRSLLRTVLEDGAGQELGFQAMAGAEFEYFQYKET AQSLADKNFHNLKSLTPGMHGYSVLRPTLNQDYFHDLYDMAVDFGIEVEGHHTETGPG VFETALAYTDAARMADNACLFKLVAKSVGMKYDIMPTFMAKPWGDLPGCSGHIHVSLR DKNGKNIFAVSEEEEKSGGRKDAEYDDVKYLSKEAEWFLAGLLEGMPDVMPMFCPTIN SYKRLQGGQAMWAPDTASYGYDSRAASVRILSGPGVKGYATRFEVRVPGADMNPYYAL SAIFALGFRGMKNKTKLPYGPLNSPGVTRDTVKHLPTSLEGAVSSFMRKDSVAREVLG DYFVDHYGGTREHELDVWKKAVTDWEVARYFELV
I206_07226	MPPQAKSISSYFKPTNVAATANGAVTSTLSTPEKQKKSTLSEAA KRAIVEGAAAAKEESETTGEPSAKKQKIDSRPAGSIQLEVADIFSRPTTSPSKLPIVR SKSREELKARIAEKPEWISKLSLEVDTMGEDWLLALQDEFTKSYFLNLKEFVTNEQKT KKVFPPAEDIYSWSRFCPLKDVRVVIIGQDPYHDDGQAHGLAFSVRKGVRIPPSLRNM YKEMHDEIPEFIIPKHGDLTEWAKHGVLLLNTSLTVRAHEAGSHANKGWDQFTAAVLK VVTSRLSPGPSIASTTTSEKVPGGKGVVFMAWGAHAAKMCAGVDNKTHLILKSAHPSP LSASRGFLGNNHFKKANEWLQVKYGPEGGIDWKALGAGEDGLSSDQKISF
I206_07227	MPAKHDINKIGVESSDFPILCETCLGPNPYVRMSKQEFGNECKI CNRPFTVFRWNPGAGARYKKTEVCNTCAKIKGVCQTCLLDLEYGLPVQVRDAALGRKA QAPTSDINKQYYIQNLEAQMADSPDGQTFDSEVANRAGREMLKGLARSDPFYKRNRPH ICSFFVKGDCKRGGECPFRHEIPKEGELSKQNMVDRYYGKNDPVAKKMLRESAESKGM KAPDDKSITTLLFLGLPQTTESEVRAALVAYCPFVKPIEIKSITIVEASHCAFINFKL RSLAERVAEALSAQGGIEVGGKKAKVVWGRSRPQKGKPATTAITDSSEASGSGTVAIT SESA
I206_07228	MLKSTTIFLTLISLASSVSAGGFGGFSRGSSFNFGCGSSIKQKA THEQKDWCSSSGSFFTDYKGSPLCCSDHTRTPPSNGITCPFGWSQHFKAGCCIPPQEV SPCDCGEGYTYDKNTHKCVKNTGKCHGGQWWHDRSVTCCDDSWQHNPPKGDCPSGIKC PSGWFWHKNDKKCRPNGPRSPEPDCDDWDEHNHCCGGHTGPSQGPGKGNNGGNKWGWK RNDIQQQQTLSFPQTDLDKMYCPGSLHACTVPTAGGGEWAYECVDFATELEACGGCVG SGGQDCTQIPHSLAVGCEIGTCAVYTCKQGFQANGTSCVAV
I206_07229	MAGLLGAYSTFLSRRPLIGGMASSAVLFATGDVVAQQLIEKKGS KHDFVRTARIVVWGGGIFAPAVTVWFRALERLPIKSKWPATFARVGLDQFVFAPFVLT GFFHAMTLMEGKTLADARAKWQEAFVPTLKANWMLFVPFQTLNMFIPFQYRLLAINAV NIPWNAFLSLQNAKPKQVEKAEKDLEA
I206_07230	MDYDSSSQAGPSTYNATPFSASSDALYSVDHSSALPTIGESVTY SAAGSANGYLHTNDIPTSGRGSRGRGRGRGRGRGSRGGRGSRGSRGGGGGGGGMRSST RISERTVAEGERASQIKTKLKLSFNKLSKGNTGESSNGRFNSFLGEYDRELDENPDDP INFEEHFILRVPKDIAEGNAKHGIPIGLKEMIKGKGKDLENVEFKFLDSRRAAFKFNN NIYSAKLVDLPNIIESQKTFDNRHLFKIGDISQMLVIDPSAKPLKDENEIISIPLKID EYIWPHGITPPMRHVRKRRFRKRLSRRAIEVVEEQVEDLLKKDAESEEHNYDLIDMHP DPEIADQYYIDYDPNATQWYNQESGSEFGYGEGAYDDPGSVTASQMEDWEGDYGTDMG EEGEGDDGEDDGEDGEEDGTLDQELAAALMEEMEGSDGSEHSVDEDRSGSEDDDEDDG DKSEEDDETIEKRAKIKQFTNEIKALEAAIEKKRAGFVGGNPIMMKRFEETISGLQAD VQAKIASRQILQDDLGKNEDTLQFSNGTAAGEGGINKDVDMENHDADAEVEEDEVDNE GDGEGSTPMAKRTDERGSSIPYSDEDDLFGDDDDDVDEDNQQDGEGDVDEEGQEDMNE NDENDLEQVEVDEMEDEMSRLLAAELDTLDQNTLNQIPKTPDAQAAELEYDQNTQDLL NNQVASNALNDLSDSNFDQDQDQIDNNNNDNDDFTQFNFDLNNNQNQNQPQPNGGFIE GGVGRRRLASGIGQDEVSSDDSSDESDD
I206_07231	MGGTQELERKSRGESRDRTQNSRRGEDRHHLRTDHRDRDYDRRD RDRDGGRNREHDRSREQRTSRRDSYSRSRSRSRSPSRRHHKDGKDREDKKSKHRRRRS RSSSSTSSSSESHSETESEDERRRRRRKEKERRREKEDKDERRRRKEKKRAKKDKKRR GTASSTAQWGQYGLISEIDLPKKDSEFRAWLVEERKINPETVSKERTKKEFAIFVEDY NTATLPHDKYYDMAKFERKMNMIRSGQTLPDESGEYDPLADMKAHTSSLRTSAPKEKD TYLSKEKIAELRRVEAERTEIAKRRQMGLDVNKNLGVRMEDII
I206_07232	MTSHNQFDSTIKSTCRPKISKRSYPCMDDQTPQYSPFSHIQPLP SPLNPAQEPLRPYHGEGSTMIPSRRSSGYDLAPFTSQPLSYPPPGAILTHPSFAQPQP QVISYSQTPQREFSFIFETGRKEPKSKKIKVPKDTKVPTSRQRPVLANKCFKDSNVAL TRKAKPPSLNLKDSAKAFMMELDQTTYMPSPPPTAGLLRSRELPVVSDLPPYQTFGQP QNPYVSPRLTLSHRGGPEQPSSSYNYFTTSPLHDYRHESYDHQDSPPLPINAPVPRAP MQAYTPFFEDDLSFPDDDLDGEYEMEIEYNHQSNNAQEGVCHGLGLNLGEKKIEMMDF VPSKPSLALMMSSENDHQSTISRISETNSNLMGLGLSN
I206_07233	MTSSLSNQDSSRGMMKDECWGDFTSNFSQYELGPAIGFGASSTV YEAIFTPSQAIHSTDAYSTIVTPTTGGKIRPNLSITLPENNKVDIGERICAIKVSSSH PDVELLSKEIRLLGLCRHPNVLRILSTFTLPPDHQRICLVTPLIPGGSLAGILKWRSR LVTSPKHHRLPFRRGPKKRQDAEDEGNEQKGRLDEEEIKCIAKQVLDGLGYLHQNGFL HRDIKAGNLLIDEDGTILLADFGVGFVGGDDLRKRKSFVGTPNWMAPEVVSGQKYDQK ADIWSLGITLLELAHGTVPGARYQTSKALSHIISDPSPTLDRSLGGFSRSMKEFIDIC LYKDPALRPTAQMLMEHHWLRNAKKPSFLAQSLLTEVPPLTQRQELRRVPTMSSLLSH TSSWDFPNTPNPSLPSSPVKSVLGIPSARSPSIASHLEYFSSVGRTHSRNSSFSIQPP SPRISLKQWADDTYAYNHNLNSSLSLSLRAGGSERGRKRNSLMASDLLRRGKSVNFDN SKINQVKASNSLEVIPQSTVVTQESHEEKKESLNGQSTLGLMSPVMEITRSKNSNVEH LDLNGLGISNARRTILEGGHTSRSAEAQNQDITTGQTQMNVSALSESPEDVFDLGRPI EITPVIRHQKIMGVEQENVADESQLRKPKIQEGRTIDVAIRDGTANMKQSLVDENVNT SLERTNLLDGTEEDEVDITKENATHNRKSDNDRKIWSMNGKNTLKRIDSKNSNKNDVT LKDPVKSHHWHGVLSRVTSKMHKR
I206_07234	MDEAANSGISEPLDLVKLSLGERVFIKLRGDRTITGILHAYDAH MNVVLSQVEETIHLVDVTEDGNPLPPRVERRNVEMLFVRGDGVILVRN
I206_07235	MSRRREAAELAFALSLSTQEAGRKSVNTPYKFDTSSGNTTQDSK DMELRPYLPPDGTMNDVHTGIGYGHGLPPSIGTVRPEDIMKAPTVSTNSNSHSGSSKA MESINDNSSNPSGPNLSSAYNPSIQSFPSPSVNNPQTVNGLTGSPALNQPSSSSGNSS NPPIPTSFPHLKQPTSSTTIPSPAWSSPLPPTRSLHLSSTEASSNRPLFSSTEGSGIP PPITPDTRPPVRTTYGKTSKITPSPEKLPTRVELSSSPTSEPHHLSARLRSKSPIKKR QNTILSDEEEEEEPIEVRSSAPIVNGPISKSRVEIVLPKSRPPSASRTKSEEEQTKKY RHHSPDPLDSLEGSGDTPAKNYQPSSSAITSSTIEGGSSRRASSRVADNKAKEVAEKE ERRRKRREEKERQKASEEARPNASIKEKSPVKLDSPSKAKSPIKGKGTLDEPIDIDDS LMPQNLPSAEAIPALPPAPAPAPKGRKRKSDVALLGDDDADQDFDPKSTAKAKAKKAK KEKPPVGKKGKNAQKAVKPPVPELDLESKSVIEDFGEPNEEENEKMEEDEVEQVKETV VEEEIMAEVTVPIKSPTPPPPAKPASPIRPPLRATSSITSTPSAPSLSHRPSPGPFAR DGTPSASGGIKWKAPRNDLSSVLAKFGGTKRSGLTTKVKIIPLHQKIGPAVKAPPPAP KKPQKKKIDSDEEEEDENGSEDEDGSKRAARDAKKTMEWLMMED
I206_07236	MNPSSTPSLSFTPHTQRLAIRGALAFFGFLLLRALFFSSSPPAE EIQAHGVLERVIGNDKYLDVSKYPFLQSRQGRDDRPDMFDTEVSAGLLDFWTRFQKPF ITGKDTAHLDTQVMRTVVDDLLQFNGWVASACPTLVRPFGQNNRDDHYEDLALKDHLY YIAIVVHSADHFLVDQLAIIVQLARRLGTRNIFVSMLDQASTDSTPTLADLCEAVMTI LGIAFRIRRVPPMTVDPAATYYPLEEAEARNLALEPLHELWNRRSIKFHKVLWLKGFT CPNDVLESLRVSEANNAAMVCGMDWAEHNGFFIFSDRWRTRDIEGNLFRQAKSNSKPE AGPPRDKTGTERFTHHLPFQTFCCESGTHVVDPEQSYYRDISYRASPLSHNLSTTQEQ PNWDPEMPCMDSTQMWFCRDLWTDAARGGLKGGKKGHQKLSGSHKRDLSDYFSPQDDL EPESTIQKIEKRNPEGDSEVKERADSDEDSGTDVDAMAEDASNPAPEPLKPQELPASA FLIPNSAFTPARILVNPRCITTYGGVSHTQLALDLFGGPHGEDPAHNAGNYVLEDWAG PPDSFVCQEMRTTGGRTAPKSQRRVGFLLQNEVSFHFFLVLILALMGY
I206_07237	MTLLPPTDDPYAGSLTGFASHSIASGSDTLPNYQGSSTISEHSN DYSEASQFVPRTSDEAQVDKKKGNTQDEYSMASRTPSTRERRLAQSTTRERRLAQNRA AQKTFREKKEKEQRDLQNSITELKSRNAELEGTLERKHRYVQYLESLLKSQNISFEEQ DMSAARTDVSPSRSSLSE
I206_07238	MIIVSRFEAQQRLDYLSWIVAGPALTFLEEYTRSFGTIETVPSS DFAGGSSATSGEGPAEIIEPTEDVRGRESKRQAEKAKSQKRFYLKRKNQSKQLEEANS QLEKVNSQLEEANTQLKCENTKLTTDRNELADENESQKETIRELQRQVEEWQSLSAVL WILVER
I206_07239	MSYSPSPLKPYLQHTVSSSSRTPNPNTLVAQQSGERNLASSSAS SSQIGTGQVSRADQVLYRFYLKTVGVLVDGRLTHYAGKQAGEKKYDKWFNLILPELDV HKADLGIYRSISSYQPYTEAGSTLSPESCTIPPLLIAFILDTKDIPNGQALLWHKDGA KIPIDIGLAGKGKGKEKENRSGIILERWTFTAQLPSPDAPSTSQLAPHTAYRLGIIHF RALFSLIRLLPAYRLFRRLRRSNNGLRMGLKLWGAEGYPNSVEGLEEAWEVMERGLVG LDTGLDQLSAKDTARPEEIERYEFPKLDLFGNEYTLTTDFRPEVDFSVEDMEAVLSEK FVDMDEDWFTPTVSRRNTQDNSPTIKPETSRKSSMPTPIQSNASPIPQRQAALPAGSY SSSNRPAASRVVSSQEKRPATSQWGALAEGLPFAGSSSTSQRDMTGHEPPSPSMATPA AIVAARRLSGHSIQPFASASPSTSLLRSTPPQAYVGSPVPTSSSARPVMGGSRPSSIG RTSSFLSQSGRSFTHAQLANMYAGSASPPVTGAMSGVSVQIPTSSPPPGQSPISPSSL SFTKQPVPRSISGRPYYMTPSASSPFIPESLERESSLSSHTGPPGIIKRYSSSLSQRS GRAISGSGGATGTAVGSQGSSVGEGTSPGQGLLRRTSTRESGLRHSLEGPSASVIASA RLPPPDEDDIQAFLKTLDALPQPPSLAAQAIQASRSYLPSTSSSLSAPSIPLTPSPLL TSSGSPGNSGGAAYGGRVPMTKQHIDEELRRMAGSFSLNTPPTFTGGATSGSAIDSGV SSPARASSGSHPSSIGLLSASRPSSATRRPIPSNLSEYDASGNSRPMYRRQTSGEKSP LGPGSASASSNGLAYKAISPATAKPMTSLKSADEITKHAHPTSSSAVTGAARSLPGRG VSRDVVVHDEEPMPIRSVGGVLSPQTTGETSTITSRNTDSARSRRGPVLLRGGFGDPS CRPSTSRSSPSHSPVRDVTLGKGVGLGIKESSSPSGRMIDEVEQQIGNNYRSPYATRR LSAVSNFTSNSIGTTGGSANLSSTNGQGISGYPGLSTTNSNSNRAIRAQRTAPSSLGR NNDEIIHASVGQVGGAIGGRRHRVGSESGITRLSEEDIRSATDEQLDELEEKLTGMGL SRKK
I206_07240	MAFVDNKASSSKSAQGSKGKGGKGKPAQPRLKSNQAKRLRIDEE LKELQAKVDSWVPPAEITVFNELPLSARTLKGLKSSHFLNPTPIQQLAIPPALRGQDL LGSAKTGSGKTLAFLIPMLERLYLDKWGPMDGLGAVVISPTRELAVQTFNQLRDIGKY HNFSAGLVIGGKPLKEEQERLGRMNILIATPGRLLQHLDSTVGFESSGVKVLVLDEAD RLLDLGFLPALRAIIGHFSSGTQLSNTKPNRQTLLFSATQTKDLASLAKLSLYQPEYI NCNKAGEEGVVPSNLEQFYAVVGLEKKLDTLWGFVKSHLKMKGIVFVTSGKQVRFIFE TFRRLHPGLPLMHLHGKQKQPTRLSIFQKYSTSKHALLICTDVAARGLDFPAVDWVIQ LDCPDDVDTYIHRIGRTARYQAGGHALTLLCPSEEEGMLNRWKEKMIEVKKIKIKESK MGNLKQQMQNFAFREPEIKYLGQRAFISYMKSVHIQKDKSIFKFSDLPAEAFAESMGL PGAPQIKFAEQKAAKVRGGAKKDMPQEEEEAKAIEEVEERAVVGSDEESDDEDDEVEV DEEESEDEEEAEETEAENSHKSEASESDSESSSVEKPKSAPAVRTKYDRMFERKNQSI LTPHYTALISHKDGEDDDEEEEENDDVFTLARRDHALSGDEISDNDNDNSDIEELSKV IKGKSKIQIEEPLISLEDLSKRKLKSLSSKKNKFKNGPLGEKLIFDEKTGESRNFYET GKEFENTFANEEKRKEFLEIQREKMKLANEIDKAIAKEKKIELKRKRKEREKEARQEF ISDDEGGDGPVAYLGGVEYSDEERSLINSPEPEPEVRNKKKRKNKFKSNDDSDNELVG GALEDDEALALKLLQGS
I206_07241	MVKAKTTPTQPKARASPRISAASAKSTPTRSSKQASTSTPLKSS ISSTPTKGKWIEKSAKTSPYFPKSKTTSKPAQKSKGKAKILAKDEDEEREHSPSGLTE SEQSSTDDEGSEDDFIAFGESELDEPIEEDSDEDGSVDSDFIDEGNSKKRKSTSNSRN KSSVKKAKITKLTNGKQSTTRIEGYEDEDEDDDEEIELEEGQEIAGRIYPAPKSGQVP PGMISQNTLNFLKNLQIPERNDREWFRSHEPAFRQAENEWKAFVGIVQMKFHEADDEI PILPSKDIIHRIYRDVRFSSDKTPYKRNFSMSTSRGGRKGIWAAYHLSISPNNKSLLA CGIWQPFKNELSLIRQNLLKNPKKFRKCISDIEFIKLFGEPKEDKKTGKRQNVFGNDD ALKVAPKGIEKDHKDIDLLKLRSIAVVHYFKDEQVIAKDFQEQLYDVLVVMRPFVRLL NEYVTLPPDNDNNEEDADEDEHEDQGEED
I206_07242	MEATEGIEKPSIPKASSSTNKPRKRFVGSSKPSSSRTPLRKVAN QVPDEILNDPNLNAAIKGLPGNYNFEIHKTIHHIRRDGVKSVALQMPEGLMMYGCAIA DIIETFTGALPMLLADVTYGACCIDDYTAKEMGAEMIVHYGHSCLIPVSQTTLKTLYV FVEIAIDTTHLCLSVRRNFPSSRESFKRLVLGAGEAEPGAAVPITLEESDQRQQNGAI RQEEVEEKDLPTRLALVSTIQFVASIQSLRDDLDKAMPPLENDDTGAEEKDGALLKVK KGEIGVWRGKYEVTIPQVRPLSPGEVLGCTAPKLKDVDGLIYVGDGRFHLESIMIANP TVPAFRYDPYSKKFTRETYEHKEMRGIRGEAVKTARKGLVEKGSGSWAVLLGTLGRQG SLSVLKSITSNLPSDSIPPLLILLSELSPIKLSLFSHDEISTFIQTSCPRLSIDWGYA FSRPLLSPYEASVASGRIRGWEGLDLAHAVPSKIRDGINKVEDKGQGDYPMDFYSDNS LGPWTPRYKVKA
I206_07243	MDNNLAANSAARKERLIALRRRKEGKDVNGNGESSHFAFKQRNY DPETRTLRKRGQEDENEDTVEKAVEGLAEQIIKEDEEKRKEELDLFNIQPKRANWDLK RDMNNRMLKLDRKTNESIATLFRQRLQSMKKEQKGEIDLLASMNAQEHERDDFVENDD ESDDE
I206_07244	MPQLTSLLRDRITPFHTFEFFPPRTEAGLVNLLDRIQRLASAPL PSPLAVSVTWGAGGSTADKSLELAEQVVKLGLEVILHLTCTNMPKEKVDQALERCKSL GVRNILALRGDAPRSEEYSTEPNPQPDYFQHADDLVRYIRNNYNDYFCIGVAGYPTPH PDSESSDKDLEYLKIKCDAGADFIITQLFYDVEGFLDWVRICREKGITQPIIPGIMPI QNFASFRRLVNLTKCPVPEAISSDLIPISSDDSAVKRYGAELATKMVKQVLESKLVPG IHFCTLNLEKSVRTILENLKWTSTSAPSIEKSPLVRHNRLIEDDQPQTNGTIAINGRT TVNGSGLGHQISELSVSPSEASQLAQWGLQHNSLPPVPKKGGIQGGATSNSGQGAEDS WDEYPNGRFTDVRSPAYGEIDGWGSGLKITAAQALKEWGTPTTVQELSAFFTSYLRSS PSTPTTPFCDLPLSPESLTILPHLLELNSEKMQCWTVGSQPCVDAVKSEDPIHGWGPR GGYVFQKAFVEFFVKEEEVEKLKEKVELKSQGKISFYAGNKKGKFKTNTEEDTVNAVT WGVFPGQEIVQSTIIETESFLAWKEEAFDIWTEWSLLYPRNSISRKLLEKISSEWWLV SLIHHDYKDKEALWKFLIEE
I206_07245	MDWLNRLRLLAIPTLCAFLSFVFVVIRPWSKIAGQWAFLVYTSN LIFFFPRGRISLQLEATVIGTIGGILGITWSTATLAIAAWCGRKYGSDSAESRAILGL GLALLALIVGFIRNKYRRLNAFSKIAIFFPIFMLTSQQSITHLTPAHFLQQLYVIIFS AVFALIPTLILAPHQSSDQLGLHINNTVETICSLLPLSISSLLEIDHQNPQATQGIPP NLKNELEGQPQLSEASHQDRLAKQLKNEVANLHISSSSYVRESKLLERQSASLLSVIK ALQCLQRNPLLGQTSHAPGERIQAALQKSFPPSRPSSAAGTPKHRRSLSSIRRQSRLS ISADREYPLNDLGHRLRHLTSDYGRPSDSNRSIPLHTKPDLKIASQHLVEAIVVSLQI VNLTLMEKFHWSAGPQGAKPEKNREDLFDAKVTLEDVLSDVQRALGTLLSGSTKGLET DHSQTASLSATLISHTHPHIAFSENTDVTDLLQNKDRFRLAFYMTALLDLARDVHGIT NTVIGMGEREITPFSWMAIFRLGWMRHDKDEDNAEPEGKAVNETDLVMEQEQPKDETR EYQDMDFVTATLHHRRSPVVEHGDMRDGLARAWRRIWDNHRIVKSRILLSRFLHQTKH SRHVLFSLKMGLGISLLSIPAFLPPDHAGRKWYDSSRGGWMVVSYMFVLEDTTGAILK VGFLRGLGCLIGAVVGYVCAIIARENPYALVVLATACTIPISWHILFNTSTPGLGVSI GITLPPLLFITYLNESHGQSYFTLTWNRFVDIMIGTAAAVLFGTFVWPVHARVQYFRA VGGTMDRITEFFDWNFRDLVRSSLVYRVDDKQYDDLEAKIRREFALSRTLISIQRQEI SLLPRPVKLYSEIIDASERLLETLVEIRLLRFSVPRKATVLDVLPIRRELISTVLINL WACAHSFHSRSPLPQFLPTPRSPLSELMEVTDQHARDIRCFKDTYSNEERNRGRNRSI SPNIAMSRSGSQQKIDYQAEMAILYAMAENEALGEVCNILEEIVASAKTLFGTQTFLD TI
I206_07246	MSSITLSRSVSPTPSFGGGSGSGSSSGSGSGSSSGSGSSRQKSY SPTASPAPFLTPNPFESKNFSFSNPNSTSRPLPRRLSSLQSNINISSNGSLTRSSPYQ IPSPISPISPISSSSSSNGIQRSSPKRPNLNHRSHSFCASTSNSTYALSSTTHSNFSP DKLILIAPPLERTISSIGLKGNHSPSNQQMQRPFTSNHITTIKNNNILGEKDINQSFN DIPTIQIHPSLTPPRPCLSTINRNDFSSRSSSYNTNILTPTTPHDLLFNNLYEEEEEE KENNNQDVEEEVVNLIISQRVNHLII
I206_07247	MSTSQEPPTLDTLNLKDKENDKSNNNTPEVKSLDFSPRPPSPIG DNEEPTAPTTSHPPPTENAKQVNGNVAASSRSPSSLPRPPPPQSITSAPAVPGAATAS RPSGAMAGARAGVAGRGGMPMPMGMRGAAGRGGGQMQTKMLPSLQAKMDKIAASRQGP PGAQSPNGGLGPDPNATSMGALLRSQALRPQQQQQQQGSSSATPAGPNSGPFGLAARR AAGGGAGPPRPNLGMMGMGASSPAGLGRGAGVAGRRMGPPGGLTLSGMKGAPKEENSK FSDFKTIMDPSGSLRFSSKAVLTAKGVDFEDGASFKINMDEIEVLGELGKGNYGSVHK VFHRPTNVEMAMKEIRLELDESKLNGIIMELDILHRAVAPEIVEFFGAFTIESCVYYC MEYMDAGSLDTLTGAQERVPEDVLRRITAGMIRGLKFLKDELQIMHRDVKPTNVLINK KGEVKLCDFGVSGQLEKSLAKTNIGCQSYMAPERIKSETVNQNPTYTVSSDVWSVGLS IIELAKGCYPYPPETYSNVFAQLQAIVNGAAPTLPPGYSNDANDFVAKCLMKDPNQRP TYAQLLQHPFLIADKEAEVDMVGWVNAALERRAARGIATLNPIQA
I206_07248	MTTPLKSYLGRSIDLLRRNTARIVQSEPTPRAHPTTIFSFDSTN PPVDKIDQFGLGSDIEVGGLSTCSLSLIPSLASSSSNAHPKNETVEDGEDKEQYSHMS FYGYLSTKIPQAKIGQIRTGYAGFRNTSKPTLFGQDTWDLELYSHLKIVVGYRGWEGW RNRWVVNIGIDGRPKSDVFQHRLELPPSDNSTSSKIPLDPFNFQTNPQSFSTLYLPLS SFVLIKKGVISPSSIPLPKSSIRTIGFALLGRDRGDDGPSKPSPLLSSEGSKGILGNF NLGGWGKSTLSKEEIENDQELRSLIEKDSPNQVSEPIRRSSASSPSTGGYHRVGATEA SPSTNTSGESTSTLNSVSQGQDDREGYFELDIKSVEAVKWDPEMDGIEGEA
I206_07249	MSTIPLSNTIINSSTSTSSSSTIGHSRNASTYISAEAGKPTSST SISTSTSNLEPNRNLIQRSPSPSPTKSTFNFNNNTNNNNGSINIEKSLSNQQFEQQIN SGVGVGVGGWKVKLTPKRIGKAIGARFMKAVKRGNLPFLLVFFSCTIVFFSALAGVGY HEPTIDLTIPTTSVETTNPEFRVGGPVFDDHKGLERKIAEQRALEESWAKKKRPKDGA WMRKQRDDKAIRRKPNTIISSNSQETIAS
I206_07250	MSHESDRELARLWRVSRTVHEMVRDRGYLVADYEVDVSFDQFKN DFGATGSVDRGPMSFSVKHAEDEGTLYVYFCAEKNVSKAAMKTFITSMDKIGAKRGII IWSEKMSPAAKKTLQELASEYHLEDFQESDLLVNITRHFLVPKHQIMRKDEKDQLIKR YRLKETQLPRIMITDPVARYYGMKRGQVMRIERASETAGRLVKDKIHSFE
I206_07251	MNAEANFQAFSPLIISSIRTISSLSILILVFIVSLPPFKPIRRF FHSLLSDLVPLESLVPPEPPTERQRHEAIKRRPAIWKQIILSLISIAEIGVWTGITGW QIMGLAHRDGHKVTDILLSAGMIVVWLYFLLQFTIRPLYTPSYTTILILSILLVLSVL SLGNAWYLKTINNEFPSWATKTRNGLEIGDIAGISIILIVLFGLKITSPEVLSRLPEV SLDDDVTLYSWLSFNWVNEFIAFGASKELDPEDLPKLSLKQQTAIVFDRFNQLKTSSL LKQIFLANKLDLGSDAALTLLAVVFNYAGPYFLKKILDGIDNKSARAMSQAYIFAFLA FLASTLKALVDLLHLWHGRRAALRIKAELTAAIFDKALRRKDASGIVTTKEDEEKEAK EGGKAEKKSNADSGKVVNLMAGDTNRIGNTVSGGYFIYGAPFEIIVASVFLYNILGWS AFAGVVVLVVATPLNSFVSKRSIAITQDLLKARDKRIGVMNELIGAIQFIKFFAWIEQ WKNRAAEARAKEMKQMVRSLINGIWFSLLWSLAPIFVTLVSFFCYIVIAKRELTVSVA FTAISLFSMLRMPLNVIPTFAVILLQAHVSVKRIEDFLAEDEVPDWVSSLKRSVDPKD ASPNEIGFANASFRWNTGKQSEQNASASTEAAKKPKTIVEPPSPSQSTSTTAVDSSSS PQDDRNEDGEESFFTLTDLNVSFPVGKLTIITGPTGSGKTAILTALLGEMELLNGKSY LPKNTTQINSDGLRNSIAYAAQTPWLQQKSIKDNILFGEAFDEARYEMVLDACALNPD LDMLEDGDQTEIGAKGVAWTDQRSLHCSGGQKARVALARAVYSYTQHVLLDDPLAAVD SHTAKHLTDKCLNGSILKNRTIILVSHHVELLLPSSDYLVRILDGRIDAQGTPDELRS AGELDGLVALEEAEVTKSEAIVAKEEVEEEVEVVDSAEKKVKKKGPGKKLIQDEERAV GNVKWETYKLYIVAATYVTWVWTLLVLLLNQGLTVGERWWLKVWGEAYNTQFTSHTTL FAVFRPAIVDHAQHYHHTDLHQHVLHHTSSAMVSNMTVGATAIKGLRSYFPPAQTNPG FYLSVYTGIVLGAALFGVGSSAVGSWSSYRAAIHLHDRLLERVMRSTVRFFSVTPVGR IINRFSRDVETIDSSLNGALRTVIIYIASLIGAIVVVAAIVPWFLLPAAIISYLYYQY SIVYLRVGRSLRRLEATLKSPIFSGFAELLDGVISVRAFSVEARFMTQLCEQVDKTHQ AYYYYWMMNRWLLIRFDVLGAISVFLTTLFALSGAVPAGSAGMAIVSAQSFVLACYWV SRFWGQLEMDFNSVERVQEYLSLPQEPPAVIPRNRPPAYWPSTNASTKDQFLSVRELE IKYAPDLPSVFKGSFDIRAGEKIGLIGRTGSGKSTLAMSLLRFAEPYGGSIWLDGIDI TKIGVDDLRSRITYIPQDAVLFSGTVRENLDPFNEHTDEELLDALSRVNLGPADTPPS SRVPSRVPSSKRLAALAAEDAKRLASPAPSGSTGLGGKSIITLTTEVSAGGSNFSQGQ RQLVAMARALLRKSNLIIMDEATASVDFATDEAIQAAIRSEFKSSTLLTIAHRLSSVI DYDRLLVLSDGRVAEFDTPINLLRKDDSLFKSLCEKSGKYKDLYKAAEKKEKDDGEKD D
I206_07252	MISPLGPTTSSPRPIDISPPRNSDHSIDLRKTHYYSPPEEKSMT ASLPINIPRMQPSNPSEIPSSSSSIPIRESYERPLHSYSFSTREREKEGRGESPSSGR SPTISHSYNRSHPYPRRTSSTSQPEKERERDRDREGLPKIRLPPPSSIASFKLSDSSS NHQLSPIERDFPSLSTNQHESSWRGTISDRPQIQRIPSNGSTGKSGGVHLPPLHSISG SPKLPPPSLGMNSLSSHGVDSASGPSGRSSPRLYPPNARPGYSPYDSLHSQRRSPSNG PSKLRDLEHERERDYVQHQHQHQHQHQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQHHHHHQQRQEEEIARERHRQKQERYHEPSREEIYDMH RRSSTVPMPNHSSSVLPRHHSQYHAQYPQQHTAHGYDMPSPPLRALPPSAMPPMAYGV PYGQRGDAGSARSRSHSTASGFARPNGIEGDEVSGTVVPTPGQSRRLAHLMSEQKRRE SINSGFQALRQALPSSLPTDSKAIILRKAVSHIAHLEHIIRRSGITYSNSPPGQMVDN WSNEDSRGEIADDDDGPSRVKWEEDR
I206_07253	MDRGAARSKKRASKPTIPAQVSPSTEPQAGPSFSKSRNIKSQVS QDESEKPKPKRKERFNRDSQSKDPSLYVPKAIRTSAALIYSQSPFSIPPTPEILASVR RVDLNGSGVTDVRWLKGTGVTWLSLNGCKIEHGWEEVGDLQELTVLNINECGLKTLPK SLGRLAKVKAIVAMNNDWTELDEEVVSGWKDLNSLIISHSPNLISLPNTLSELYHLSK LTFSHCPKLTSNSLPDLTNLPLLRDVKMNNLPQLTNLPKHIITWGKGNLNLAGKGTED NVKYGDGLEVLDLGNCSLPFDIFSSIFGLNSNQQISGKSKKNGILWKQLRSLSIHSNP LTTTNPDYVDLLQLNNEILPNLQIIDAKRVVERKRKGEIQESKIDRRRREKKERKMKP SGTNESGKNVKMRTWGNKEENTSDKNSAEKMEDEDVDMKDADVDAKKSEKQQVKVKDD KTNKKRKHQEEKGEKTKKRKHNDQPPNTIITSSTSASKDRSTTKSKEERDHKKHRKAS PPPSKTQDIIVQSNDVTDSKRISDLSGEKELKSRDRDKSGKHRDAVVGLIEINEKGQE VEMNSKKKKKLKAKLKKNDEVKGGIDLREVFGKTTVNDTDAVKEGPTSDREGDDELGL GLGGW
I206_07254	MYASSSRVLVQTSLRTSRSFSSSVPSAARRETFVELLQDVPGLG RTFDRLFVAPGRARNDLVPTRKARFVPFKNNGQRQIYRATDADRSSSSLLIEEQSSST GIQPNSNLTEDSNTSQELLNSLHLLPNILTFKRRTISPDYPTLHGSLTLSDIQSKLEN EFGLPSSEIELNWLNRESGSRIKELISTPLKVSFKKGGKENTEVLIEVTRLQEGETEE 
I206_07255	MAYLVDAVRFLFPAAPIGRHASPEVPLYTRNESPVKRFKSLQKE DDEHGRVKISLKTVIASYAPDWIFTLLLWGLLAILNRSGGHKREFSLNEITIQHSFAV HERVPPYLLAFISVGIPLLVLVPISLFVSRNGWDVHNSVLGLVMSYTMTGVVTQVVKM SVGRPRPDLIARCLPAPGSVDHPVFGLSTVDICTNTNLLILNDGFKSFPSGHSSLSFA GLGFLSFYLAGKMHLGDVRGHRTRAWFALSPLLGGTMVAISRTEDNRHHWQDVLVGSI LGLSIAWVSYRTYYPRLSHKQCHLPLAPRCDPDPETEDDLDNVEEGRRRQDGVRLLET DDERRESEEEVAWRR
I206_07256	MALRQLVRARPLLARPAIAPRSAFLAPRLSASFTSTPKRLDVPP QAYQIPPSEGPIPPKNQKPKPSFSARASKFAKTFGRVTLVVLLTATGAFIYVTQSQNS PPDQLPVDTKKPTLVVLGSGWGATSFLKSLDTEEFNVVVISPRNYFLFTPLLPSVTVG TLEPRSIIQPTRYITRHKKRKVDVYEAEAQEVDPVKKTVTFQDLSDVRGAAGSVTIPY DYLVYAVGCENQTFGIKGVNEHACFLKELSDADKIRTKLLDCIETAAFRDQPQDEVDR LMHMVVVGGGPTGVEYAGELHDFLIDDLKKWYPEVAERLRITLIEALPNVLPAFSKQL IQYTESTFAENKIDVLTRTMVKDVKESAVVVQDANKQIREIPYGLLVWATGNTSREIT RDLMSKLSSVQTQRRGLLVDDYLNLLGAEGVYAVGDCTATSYAPTAQVASQQGIYLAG IFQKLGQKAKFERQLSELRATAAAPEEIEATVKKLNRAAKLTPFHYSHQGSLAYIGSE KAIADLPLFNGNVASGGGAAMLFWRSAYVSTLYSVRNRTLVLADWLKVKIFGR
I206_07257	MSSLTPTNLSNKPTYSHEETDPSHYTAGSKDVSSSDQGYNPSMN PSEPDSPDSMRADKEERRKMSNRASAAKYRTTKKAESKERDDRIDTLTRRIDEKDATI ASQATNSIDTKVGMIG
I206_07258	MSSFTPINRRASEDDTCRQTTSSEGRTTTYQQLPHDENTTQEQL PQDEDTTYRQSPRDDKISPTASSGPTSRGWTLPPRGGTQRRGDSNVSQRDTAAVSRSE DSKLTQCRSASKYADDIAIYFPVSCTKLSALEAKAKGKVEKA
I206_07259	MTDWVREFQESGLIAFVYGADAIPDSYCSPSTVPATDKITVDSN FDPSSANSQCLVPSTSSSTDPSQSSTRGPASREYSLVSIQDPTESVINSKREAAKRAK SYRDRKKSQIGELESTLEKLAEDNRILSEQNRRLESENSTLRDLIIRLSSQSEELSQR SGQY
I206_07260	MSFVDRTDWNVRYPEHCDKSESIASSDCPNYTLPHQSHTVTDHN NWVTHEELYALQYTDQEKVISYSDDEKAWRQWCEELGSENKSLERQVDILKQKVAELE KLSSENQSLKTQVNTLEKRVAELEGFRYVGQWYQKRHLGEPLN
I206_07261	MPWIFTPITVYKPGKVAVVLSGRQAGKKVVVIKQSDEGTKERPY PHAVVAGIERYPLKVTKNMGKKRIARRSKVKPFIKVINYSHLLPTRYQLELESLKGSV SNETFKEPTQREDAKKAIKKAFEERYAKGNNRWFFSKLRF
I206_07262	MDHNLLVSELQALIIETKRRNPDVKDASEAALELLKGGPLPRDK LYDNADTLLEPITRGCKTKVAKVIGISIAALQRLVSLGGVPTSKLPDVLQTLASVANQ AVDIQLKLLQTLLSILTHNTDVHEEVLGNALLLCFKLQDSRVSVVSSTAAATLRQAVM LVFDRLAAPEADSPTRAFNLPTNPSTTLHITPSALDAYNLFSDLCLLTASTGSGWGKS STENKPTLLKLQSLHKTSGLELIESILSGYEESVKKQPELLFLLQHSLDPLLLKLLSE RPNFPIALRVCRLIFLLIRSFMDQLPEQVRIYLLTLIKLGMGEFDNSDSHHSWLRVLA LEIIRGICGDTTLLQNIYSHYDSIDNSPKLFSKVVFSLSRLLNEKPALLGIGTQMHGL GVPHMDPTSPSINPHASYLDLGIGIVSSAASVGVNTVNAMMGGQGGGLSNASGVKLRL IEQHEKAEAPLVPDTYIYLLALQSLNAIANGIYTVVAGSTTPPETIKSLASSAWPALL AALSYSIGTNLSDILFAEVLTALQDFTIACGLLELNTPRDAFLSSLGKYAVPPAVVSA MQSYMENPNPPRNPSVISADALGFSSLGVGGSLGPPSLSDRNLACLRSTVNTARVLGP SLGKAWHDVLEILQNANYLLATRKPSIGRRPTVPDGSPRGPMVPATPKASHEQTEHTP EILQDLDTESIQLLVNALFDSSRDLPDEAFTTFVTALCQLSSEMIGMNASNPSLTDFS DYNSAPPTPNLALSPGQDSQRRRTSGINVSHSIKSGERSYSLGKLRIVSVLNLDRLVR NEPEVGWSVVTQHLLAIARHTTAPATIRTQASDALGELLLSSVRIGKEDRIQHQVFDV LVKQVDVHPISNTISADYEVRSAGYQTLNQILESSGHSLSVGWSTIFGMLDSICQDKG EGPLSVSMGNTQSSGETPFSPGSSLDLRRPSTMLSKGNANLVRIAFPSLQLICTDFLT SLDLQAMKSCITCLGHFGRQIEDVNITLAAIGLLWTVSDAVQQSQAKGDSQETWLYLL TELMALGRDSRLEVRKSAMQTLFRCIEIYGSGLTSKLWSDVFNHVIYPLLEATERGGD ESSVLALTSIGNIFGYFLIQISKLESFADVYQRFLASVNKAWTDEPGNCATAGLKALE RTLSFLDTNSANKELETKKILIVDTTWETFKRMGQEIMERPSYTQDNLVNLVKIASQL HGQIPPSDDKKLNDLSSILKSIMTYTKSSDYRPDIDTLSPLQSSISTLIADSQKFSTS QKLNDLSEFTSLAYFASGDNSTAGSSIGTGVKLTYVGLSKWSMPKMVDVFRKDRKGKG KAKEIYEDGVVENVLGAYSIPIKLKYDCPPSNRFGNDPPLWKTAMTTFVAVLEIVLST LDEEDISKERFEAIWCQVIEVLSGILLADSSEDASSDDEAFVINHLTQLRSMMISRLG DSRIPDRLIIQLSETLCKASALYHYDVRVNGGTTAPAIPESQEDLRYWALDVLVSLSV RKEGDSDKERRIASLAAPALIKRFDQTLRRWLDDQKLRGSLPFGRVREEEILFVLRHL ATMQIWEGSLNIENKGTETLSAIYKNSTKSHLFHFYPLLLNFSFLPYTPSMWIFPSEH AHLFGLDPSIELNDNSVLITTENEERETNGKDEDDDDQHINAGDGRDLIEVNASELAK RCLELIGEELGLT
I206_07263	MVSTRSGSSKLPDGAERDDNRIIVVVTGANSGFGLGICQQLLYN LSFPPGTPIPISTPQLTALPPSLRSEFDAESAQKPTEQIPNPPPTLTLILACRSRSKA ELAREILLEKHEKDLIERRKRGEKERSGWKEGLKIVWEGVDLDNPGGGNGVLGFCESL KSKYPHITTLYLNAGMGAFSGLDWIDFFKQNILEGLPNAQSRPTYNLEIKGAMSADGE RGLVWGTNVLAPYIMVRELISLLKRSPEKLPFSPRIIYTSSLTSDFSKLSSNPLDDYQ LINYEKSYSASKIMGDLIMTQFDKEYGNSQQEGERIVRVLTVDPGCVCTNFFAGGMGM ILWWAKVKFFFYWISFYICRLLGSTHHPVYADQGALPILYAASISDKNLLPAAKNPAQ RFAVISKRWGNTQVGYEEVDNWEQGEELGKGFVEACEVYRKEWRRREGLE
I206_07264	MFKFGDPEWYESYVQPYKEILWPPYESTHQPPRTHTDVKAELAR MKAERISKKTGKSINQITQDEINNEVGGVGLNISSSSSSSSFSSSQSINQIPPKSTST SFNWPNNNSQQQEGNERLV
I206_07265	MDLKGDLLRGVYAYGFERPSAIQQRAIMPIITGRDCIAQAQSGT GKTATFSISILQRIDTTVKKTQALILAPTRELAQQIQKVVIALGDYLNVDCHACVGGT AVREDMAKLAEGPHVVVGTPGRVFDMINRGALKSDAVKMFCLDEADEMLSTGFKDSIY DIFQLLPAETQVVLLSATMPPEVLDVTKKFMRDPIRILVKKDELTLEGIRQFYIAVEK EEWKLDTLCDLYETVTITQAVIFCSTRRKVDWLTQKLHEREFTVSAMHGDMDQSQREV IMKEFRSGSSRVLIATDLLARGIDVQQVSLVINYDLPASKENYIHRIGRGGRFGRKGV AINFVTQDDVKMLREIETYYNTQVDEMPLNVADLI
I206_07266	MELRTTRNTREQAIVTFDRGQINLQTHLLCPMSERREHRIGGKR NISGAEAQYIVKNETEGGLEMNGDLIESNWNQVVD
I206_07267	MPYNSQFNIQPNDDKAFDQPSIRKKWIQNLINLLHTCLLNGEIT RAKRAWSILVRCREVNWKSRWYWGLLILSHDISFTLNEVNEATQPIAFSQNYGDKSKD IERWLNSLRVFAKEEDKPSLLHALILHLIKSGQYRQAYDQLETWLSSYPYLLSGPLHT YAGLLSFYLAQPPSARIENQISNDDRSSSTSPSPSFAQQVDIGGLRQARGWFAKALEI DETDQIAIQFISIIDNPSQGKHYSEDESDLEDSKNHPSSDSDSELDEKLEEYDSSDSD LEIENVDYKESDEELISDEQEKADNSDHQSISGQSWSDDYSDSYGN
I206_07268	MVKITFKTLFTVDAEGSETVGDLKKKIQETQTFPAENQKLIYSG KILNDAATVESLKIKEKDFLVVMVSKPKAPPASAASTSTPAPAAPASSAPSTETPAAP AEPASAPTTEAPAAAPASTESSTAVESGLGGSFVTGAALQAATDGMVEMGFERDLVVR ALRASFNNPDRAVEYLMSGNIPQVEGTGPAVSDHNPSAPAPAAAPSEPAQAAQPVSAP AAAQPPAPQQTGSAGSAENLFAAAEAAMNRDRGVPAGAGAGAGGLGGAPGGAGGPGAG GLANAPHLQQIREMVQQNPALIQPLLQQIAASNPQLAQLINENPQALYELLGAGGEGD EEDEGFGGPQVMQVNLTQEEAAAVERLEALGFDRQMVLQAYMLCDKNEELAANFLFDQ GEDD
I206_07269	MASSSSKIRAFELQSKSKQDLLTQLNELKTELASLRVQKIAGGS ASKLTKINTVRKSIARVLTVINHKQRDNLREFYNKSKYLPLDLRYKKTRAIRRRLTHK ESHAITEKQHKKNIHFPARKFALKA
I206_07270	MGKKTVDPLGDVITFTKKDNGTFDIFESAIKLDKYCNDTKPNRR LLSISFDKKDEPNKFGYSPIDGSDDSVWPRDIEKSDEYRAGTIWYLWENVDNDPNLRS PYDPDQFYTCRKGSNKKYRPDSISATQNRFAALSI
I206_07271	MIASIAVGAAALLAGVAQAQVTATGTMGPTNPAQATLGTAVNQT SMARLVSLNAIDDFCLFAPPEANSVIGETEAEEVAWCVQARNNARQIPDGTFTSVHFV KTPLYWQIQGLGDLTKLNIASGDEGGELDPHGATGLGNPVGGNVTTNATGTDVHYEEW MNYMSYQQFCLRICIAENSTYSAALMCQHTLDEMGCNWVMPGDYTDNSFTECDGDSAY PPGLYPLSNGSTSTFQQRYTGTYTDGSGSVGMWTQGQTVTPQTAYSTPATSNCVTYST IGNGLAAVATGSSNSSSSSSSASASGSASSAAASGSAKAASGSGGSAAAATGSKTGSN TAASASASASSSKSGALPLAAGNNLFAGVFSLLAVFASAGAFLL
I206_07272	MFKRKSNSHVVSPLEPPKLSPASTDVNQFQFSNQQHQEGHGSFG RGQSFGLPSEVERTITRGSTGTASSTVKEKRRSGFFGLGGKKDKEKEKEKEKEKEKER EEYRPRQSFTVDRPTPQTRGSGSFPRPGPNGHSMIPVEDNFHQQLNQPPYNTNMRPFD RPQQGVNGSTPPPGQSQQPLSPPPHLQQQQQQRAVSQPGQQNQDFLPPQSGNVPKSQS MGFARPQSQSFNPQQQQQRQGSQPLDSSSPNQRIPKSSSMPLDQHVSSPAPPAPPGKT ISPRDSPGGSDTGKPLGGVLKRFERIVDLISHQPQKTYVTSPPELEMILARTSAGGQP KQGQPGTVDNDWDAVWLQLSGISLSMWSMKETRLAASKGEKVPPTYFNITDSSLELLA PLPPPPHRPNSHPHHFVFSLNTAGSNRLLFSCPSEKDLAKWAIGLRLAAWERSRLEEI YTGHLIQGGGREPPNDLVRGRMEGWVRVRVMGGTEWKRLYAVLSLPRSDETAKDEEKK GRRRSFFGMGDKEKDQPVQEPNTGVAMATFYNEPRTAKNKTSVTPVLTITNVTQAYAV FPERLEVMTQSNLFKVVGRVSGDMVTVEGRLRDSGWALLMPESLDSPAGEKSHHTQPG NTSPLANMMKWVTGFHDVFKLYGRPEKYNWDPRNPSGLFFAYPKDEDKTRLFLTIDEA MMSDFRIPQLPNVRANFASLAQRRMDGQLLLANGPIDEKGEDAAEEEEHTPRPAGDYR LPPLSFGEHGSNPGSDTNLPRSLTPITERTDIATRENSMRTVKSQFTAIGMGAPNSGA SATGDRKASGGSSKQGSQGSTRQTASSVGGDLAPIADTSTEGFGQLTEEPESRAAVSD GQISPTPYTATTETAQTMWSQDTGVTPTPQSATQSASNHHPFVGVVPLSMKDTTPSLP HSQGTSEETTRDNRGSPKPVSPPPALAQPITETHVLSPTPRKVPVGDKVDTNGGIHEE PAALYLMNMVEDQPSAPLPVPKDARHGKSPSPERVRPTINTDLDSMTKQPRSEVGRKP SGARAVPPRRNSGSRVLEAVLDDSPVPNEQSVPAPKEGGHGKFPTSSTQADLGEEVSA FMDYAEAPSPVKSKAPTVAAASIPPREEFRSSFAPSKASADRRAKAEQAVVDQQNART LPGGGRRRVAAKSESSESESDEDEEESPAERRHPHGLPIPPTSPPVQSTRSKGSDPPV QRSTSRALPPVPQAPELRESVTNGGYSHDRDSYYHSQIQQSLDHPRPRSRSPIGAGNS SVYSSIPAPPPSNIRNVSTSQPPPPATRQTMWNANFSADHGMPQENKSGKFVELEEPS VQLTKAFAPHGLLQAGMQDKEDRSAKKQEEVARETGSSLINVPSKPPPPQMGLLGAVA AHEKERKNAGGIGATLTDREREKRLAEDRQREIEKLQKQQMDHMRQFGGDMYGQQQQF PYGMQSPVGMGMGGMGMGMMGMPPMGYPSYQNQGFQGGFNPYAQQQAMMAAQMAYQQA LMMSNAGSQAGDHQQDRSGSATPGVLPNNMDMNRASSPAGSTRSNNFSTPPPSMPQFY PNNQSFYGSPMMGMGIGMGMGSPMIPPWMMQSPSPGPGQVQGQGQMWNSPSPSPGLGS GSGPGPGGFGQNQNQNQNRFDWLSPNQQSQSQGQSQSGSEVGGGQRSRLNSNNTADER YQGAS
I206_07273	MSPSSALPSGDIELIAISGQNPILQSDFQSVGRSTEDENPFQSP SSSRVNSTYSINQNINLSQNDHSNETQQRISSPSPNRDRTEEGHNPTEILPPVDGGSK AWLFLVAATYIEIIIWGLPFSIGVLHVYWTNTLFNGQGESTITLAATLQTGLSYMTIA CFGPIFTAYPRMTKTLQIGGLTVASLAMIASAFVTKPWHLIVTMGIFYPLASATYFPC ATLLFEWFHAKRGFASGVMYSGTGLGGFIFPFLMQGLLSRFGYKAAMISLGIGYAITG NIALLAIQRRIPLSRYSQDNSSKRRRRPRIDWTFLRRSALYLAIFTIALTSMGNFIPS LWLPSFVNEVGLNKPNGTVLIAILNASSVPGNALLGYLSDKLPLKWTVCISCVGSALS CAFLWGFGTNSAVLVAFAITFGLLGLSFTTLWTQMVGTISKDDPVVTGLTYSIFAFMR GVGNLSSGPISDKLLKIGVLRGATGAYGFHNYGILLIYTAVTILSGGITGLMFK
I206_07274	MDGMIRLFDRGDYYSAHGKDAIHIANEIFRTTTVLKYLGSGKPS TSTSQASRGLASVTISPSLTKQFLRDALTIKQMRIEIYVPEERVPGRKDNTRWVSNKV ASPGNLIQLEDLLFNDQSLSSSSVIAALRIQVKESQRIVGVAYIDNNERLLGVGEFVE DESFGNTESLLIQLGVKECIMQLDEKETNHDLTKLKTLIERCQIIVTPRRHADFQARN VEQDLNRLLDETNAGVALPEFDMKAAMSAMSALLIYLNLLADTTMHGQYRLHKHDLSQ YMKLDASALKALNLMPQPNETGGSKNMSLYGLLDRCKTSQGKRLLARWLKQPLVNKHE IVQRQTIVEAFVDDTTARRSIQDEILKVMPDFHRISKKFHRRVAGLEDVVRVYQAVNK LPDLNRILEGVEPLNAIAKDLIEEVYLKPLREHADNLANYTQMVEDTIDLEEMNRHNF VLLPTFDDNLERLKKELLEVRDQLDDEHMRVGRELNLDTDKKLHLENHQVYKYSFRVT KAEAGSLRGKKGYIDLATQKSGTIFTTPHLKQLSENYFDLQAQYDKSQRHLVKEVVGI AASYTPILEILDNLIAAIDVTVSFAHVSANAPIPYVKPTLTEKGTGDIILQNARHPVL EIQDDVTFIPNNHDMRKDESEFIVLTGPNMGGKSTYIRQIGAIALLAQIGCFVPADEA QLPIFDCILARVGAGDSQLKGVSTFMAEMLETATILKSATKDSLIIIDELGRGTSTYD GFGLAWAISEHIASKIRCFCLFATHFHELTSLDQSLSHVKNLHVEALVSDKRDANGKQ DRNITLLYQVKEGVCDQSFGIHVAELANFPESVVKLAKRKAEELEDFGDGDPDESLTK YTKEETDEGTALIQQFLNTWKSRTTTPMNGDGQGDEDMDMSEEDMLAELRRTVEEYKE RFEGNEWIKGVVNTL
I206_07275	MISSDIPEGVPSTLQYPGLIFVLDTARAPALELPYLRSGNLTYA STSRPDGTIQWTPIHFLEIHLGTYDLNCHRTDLARSWVIGLNAVRTYHIISQTYLLAF EILDDALAELHRREQYAHPPKQLDMDTLFDLTSLRDRTAYQTKKNCPDYMNLTFALIA DVFLKLDDLTLANEVARIWRVLGLTPVKVPLCRVQGVHLGYDIDHASSDGTPESKNSD STLAETPTQKPKASKASSVVEGVKMSEQPFVSTPPAK
I206_07276	MDFLPTQQLPSAPRQGSSINPHSRHFHSFRHPLFIKHPSSITHI HFNPTKPHRYAVTSSTRVLIYAPKTGKVVKTISRFKDTARSGEFRKDGKLLVAGDDEG QIQVFDINSRAVLRTMKEHNQPVRVTHFSPHSPQIVSGSDDTTVKLWDLSTQTCLNTL SSHTDYVRSVKFHPSNPSLLLSGSYDSTIRLHDVRLPEDTSNVITMRHGGSPVEDIGI FDSGGIGISVGGPILRLWDLTMSNKCLRALSNHQKTITCLSFDGEKKRVLTGSLDTMV KIYDIEDWKVVHTMRYPAPILSLAVSPDDTHIAAGLTDGTLSVRRRDQKASEFKDDIE GQKSLSIVGGSYEYFADIESIFGKGHVKAKRNEMGLAKGNVDEFRVETRRKRKLREFD KFLKSFKYQAALDASLKKTVRPTSTFALIQELIYQDALRIALSGRDDVTLEPLLQFLV RHAIDPRFGEMAAEVAGVVIDLYTPILGQSPILDEMLSKLQGRIERELSFQRELMKLK GGLDMTLSQAAMSRLVDAA
I206_07277	MSNSVPVPGRRTSVSASDPPNFIPIPTNTRGLPISSYGAQSPPA TSHMASLGTSPRAAYSTSPSTSSHLRAGSGSLTSAFQGMARQLTAFLPKTYPLEEEPE KRQGTTKVLLLENINLDAAEFLKNQGFEVDHVTKAWSEEELIAKLPNYHAIGIRSKTK ITQKVIDANPQLLVIGCFCIGTNQVDLEHAARRGIAVFNSPYANSRSVAELVISEIIA LSRQIVDRTQEMRAGIWNKISKNCWEIRGKTIGIVGYGHIGSQLSVLAESFGMQVVYY DVIPIMPLGTARQVDTLEELLGKADFVTLHVPEIQDTINMIGEAQFAQMKSGAFFINN ARGKVVDLNALASALESKHLAGAAVDVFPKEPGSNGPGFNETLGEFIPRLRNVSNLIL TPHIGGSTEEAQRAIGSEVSNAVYRYLTFGCSLGSVNFPEVDLRSITIKDDRHIRVCH VHRNEPGVLKQINNILADHNIEKQFTDSKGDIAYLMADISGVGQEEVEGIYSAIKNTR ANILTRLLCELPFDNILLQ
I206_07278	MPPRRSSRTSISPITGADDVSSLTLAEVRDRLNRNNILLESPLF GGSPNKNQISPSIGMNIGIGEPGPSSMSFSPSPNKIQNYQINQNIDDPVRNKLLLARE SLLAREQELMMNNLNMSPKIEIDEQHINGNGEESGKISFMENQNQQQSQGRSGKARVL NRIREDEHNLARNGLILPIDQTLHLGQRDYQNATAQQLSYLSINQTRSSSPKPRQLKQ FNNNPNDLFNEGGDDDEMIRANRLARLNAFMSYKQSNDSEEEEEYDEDEDEINDEDRK MLENLKNNHNSLRNGNGNNEEEEEDERNFTLSGISSGGYDGNGIPERMIDSIGEEIDE FGEDDEIFAEGNDEYDNGLGQGSLAAGPGR
I206_07279	MEDLTPLTWYEPDTMMISHLEMMNEQAGHTPGVSDYYWLENQRP DGWQNPGQLDSAYLTASRGTCSGDTVSSGREDSINQRLDDFRAQWQNNAPGHIDSEGY ETTFPDTNPEIYGSGIGTQPTSPASEINPASTSRSRRSASHSSKGQTEGTRSSASRVK KPGRVYDTSEPVELPQTQKNNISAVEKLESIPVQERSPYQRAEIRKYKKRINRARSRG RQTKYVEELEKSLEEEILEKREILPSKTMSMSSRLIESPKTGRCEIHSDNQTGQEEYK TFEQSTQESFPESLGSRTRLPDNTTSEGSTNARLLETAPRKLENREHVYRHGDTPSNE WIRQLALRSEASRRAEKDQVFIDQKLLYEIEKRKARERYSQVAVDRLSNSDYLAGAWS EGVYRQYWQDIKDIEQEEQIQGSPNSAGYLDRGLEPKYQ
I206_07280	MSRSQSPASSLDFFESEPSSGEEEYVPRPRRKVGPAAGGSKKVL GGPKAGGPKIKINLRALQSARDVGAAHPADGPIEEDDIGEAEEDDEGYFDGMIGKRGI DLSNQPLKADHGLRPLWVDDKGNIIVEAFAPFAKQAQDFLVAIAEPVSRPSLVHEYRI TKPSLHSAMSIGLETKVIIEVLSRLSKTPLSMKLTARIEEWTASFGKVRLVLKDNRYF LETSVPEFLQTLMTDEVIRDCRVTREVEAGPTVFGAEQTARPRRDYAIPGTEEARRAE RGEIEADAIGQQNRDNDALLGAVIGIGEADEMDDEDDAVHSFEVSGERMEEVRRRCKD IDLPALEEYDFRNDTVNPNLDIQLKPMTVIRPYQEMSLAKMFGNGRARSGVIVLPCGA GKTLVGITAACTIKKSALVLCTSAVSVAQWKQQFLHFSNISERQICAFTQGEKEMFTT PAGIVISTYSMIAKTGKRAHDAEKMMQFLRSREWGFLLLDEVHVAPADMFRKCINNFK VHAKLGLTATLVREDDRIGDLGYLIGPKLYEANWMDLAKNGHIATVQCAEVWCPMTPE FYREYLRNPSRKRILLHAMNPNKIQAAQFLINYHESRGDKVIVFSDNVFALEAYAKKL GKSFIHGGTPEGERLRILSRFQHDPQLNTIFLSKVGDTSIDLPEATCLIQISSHFGSR RQEAQRLGRILRAKRRNDEGFNAFFYSLVSKDTQEMYYSSKRQGFLIDQGYAFKVITE LHGLESMPNLVFPTKAQQISLLEEVLNTGDAAAETADHYMRLNNGKHMKRPAGTQPAL SNSGAMPAVQRFTAPLEHLSGGQNLSYREQNKSVNKEYMREDRQNKRAGVSGKDQHSL FKKRKQEMDAIKKQRGTG
I206_07281	MAADIPRPAPKANRRSRKRRRRQASESSSSSDDSSSSDEDEEVA PAKSIQKIDVDQASSSSASSSSSSSSSESSSSSSESDSEDSESESEIDQSKAGPSKSN NLSLKQNKIRTRYPTNSPSPPPSNLPSFLTSKMKGKSIDTEEEERRNKFKTVYMDKLV EGFGGDLEKMREADPTMGPQRLQLLIDSLAAGIDIYGDPHKQQNGTKKDEVDEVGLLT GRN
I206_07282	MPLLRSSTRPVAVQCFFCLSPSLLPPHPSTPDRKGKGKIAEVGT KWNWKCDRCGCWNIKDETGEMVSDLPAMHDTAYNERSFSLRAKPSSSHIPSSLLSTSS PFCHSCLANQTLIMNMLANYLPDDDDPSYPSLFAELPIYLAKLQSRYPPVCRNCQPAV DEALQKSDHRAQVQAWSSALDRGSRTAGPSSGKSALNRVGQVDIIVWRVRGLLWWMSA VLSMATTSSSRLQTILNNELSNITLKPFWLLGFHLLSVLWIAWDPYWLRRMRNRDQIK VEGKNIWVRNMLLITVLRVIGSLSSLGIKTDDSSRVPLTLLRVAFALELIIHSLTSIR ISQPVKIKLVRPVSLTSTPMNSTTTTTGYSIPNPPSSPTNHLSSLSLSNTISHRQITA NPIFGQASLHQPIPDIPVDGEPMDWEPTQQSNFANTLFSPTDEDELIFDKKENWDKFG INKQRMFHNQNETGLENLLAGWGINNSNPNLDSNSTVRSLQMKKSKYLRNNQKELRSI AYHAVKQVTIALITIRIIGIGISTFSADISIGFQAMNQHLLVIESINTILQVIVYQQY CTYTPASLSIKVFWTIFDIIIRLSIIRFGEGSIPWAKTLTSITNHHKIFLEYLIWGTM DVVGLFIG
I206_07283	MSSPTWEKIEYPISPFKIQKSQNHSNSCNIINPTSFQSSEFTQQ ISDSLSNKNSTSKQITSSTITPSPILEIPKFNIHVYDKSIKKLETSNKFIKYLSPKIE ESFIGPILPTFKESINLIKSQCNSLSNIPLNEISLNLKFDDDDLEFKDIQFKILPNCW PESINSNLSLIIININKTNKIEINDINEPFKDKSDVKKTSSSNNQINGKDNDNDELRW DDLKENQQTLGIEGYKEIQKLKNESKIQVQKELRDINDDNKQKKFDPKFDFDFNKYSF DGLL
I206_07284	MSSELAATYAALILADEGIEITGDKIVSLASAAKVEVEPIWATL LAKALDGKDVKDLLTNVGGGGAPAAAAPGAGAAAGGAAAEEAPAEEKKEEAKEESDDD MGFGLFD
I206_07285	MAPSTSVPSAEQIAVPETLLKKRRTNEATREAKLAAAAEARKAQ KAKRKVIFKRADEYVKEYVQQEKEEIRLKREARKTGDFYVAAQPKVYFVVRIKGISKI APKPKKILQLLRLLQINNGVFIRVTKATTQMLNLVNPYVTYGEVNLKAIRELVYKRGY AKVDGSRIPITDNSIIEKQLGKYGIICIEDLVHEIATCGPNFKQATSSLWPFKLSNPN GGWRPRKFTTYIEGGDTGAREAAMSKLVHQMV
I206_07286	MSTTMNEIIPSSSSSSSCLPEQLQPAKNESSSSSTSLKLNDNIN EIEDKPKSSIFSRNEESPIHNVPMKIIRRPLPSELDENKVLKFMKEMKDGDTFTPIEI IKVKSTLKSNPNGPKENFYFSMGGCHRFEATKRLNFETIRAKIIEVPPSQMRIYLGAG SPF
I206_07287	MSVQFDLSPRERMFMAKMALFESEKEDNLRHKLARKESRKLSMA ASLNSDNTKEEPMFPSSSSHSESIVKPSSILRKPSLLPPSSYQASGSPKAFQLPAIPS SPAPSLPLPPAPKVEQDSDFGPSISLPSPTASSSTNALRRRSSRVRFSDQQLQPIPNP HQITRSTSLKSLRRESSIDGLRADLIQASFSLNSRKSSAQSQSLGRRLSAASIGSSFK RDSFASSVGSDPFGWATSSHGSDLDLNLRKESLAAIQFTDLDDEVLLKMIEEKDKGFK PQILPYPKISRASFSSNPRSSVESTTSSVWLTQPQDDCSDRSDLHSVYSAIDSPSSDF VGDGYMSRQSSQFSLEAKLRTSLSDSATSAATSRKSSYQPSLAQTPDSLRSSNSDNEF RVQCDSKNSATLMNIQLPTRAYSSLLPSRRRRSSLLSISIVPDEHLPHLNRGEWDDPE LGLSPRSGPVRSLGSLTNSSRRGTKLSEEIIVQTSPQVDQNSDNEELEVDVVIPVKVE LRSSQDSIDLLPALPSPVRTSFNRIDEVELGSVSKGHTRSESSLSAISSFPIPPDRVM ESMSSQLLDQESLEDQASKDTNDNISSPLLDEYDDTPTIEKIMEINFKPEVEDTSSLR TPRMLNEAVQPKEISLNSPFIEHDSSQPMQIESPLPEMIEISSDPVEIEIHRPPSPEI QDILRTVRRPSRTRRVSVGDEHSSETEESDLDVVSPLIKVALDSNIVSPRSRLLSPPS RPTSLRSISTNSINTLSSLRSGLGLTSGRGWSGSESEEEEWISTVRQIKERRSVSKSP KTPQPIKSKKSNTLTVQIDLELEETPTPKKTKRFSSSSFTSSSSSSSIIKNNEKENSN RYSQLSEISIITSEENSIPLTPHTLEILTPSIIGLSRSNSNISSNSSNNTQPGNFPWG LSSQPNSPIKGLFSNNLINTKKELITSFRTNSNNNNNKNKNLPKPKIEINEECWGEPE ILIDQYNSNLNLLDYDELNENENLKSSISLKHSNSNTSLKSDISIYVENELQFRRSTL KSLQHLRSVSPDLWIKDESENNLMNEEMPEM
I206_07288	MSMLPPPVPASRNRSSSSESPSTSSTSTKGDVIDENRIEDTFKE FEDYKFTEDVAFNAGLPTVFSAIRGKKMSPALIDKTIAEAQWFYFTTRIKKNPLPFSV YTQYVDKQTSSNIPLRFDTPDTPSTKESKPEIEHPDSNARMEHLTEAMRMMGTLGNEA ETDLTFDKLVQLIQEGRVEELRGKDIPDELNTSPPSKSTLEARPKPWQQNMRSSDSSL LAASPSNGSIFSSLSHGTLPRGSLLSSSNLPNQTITLLAQTPLDSQSQTDIPLTDEQL DELIGACISRTGEPTIPILLSPIQAAQIQDRMLAQDLEMTNYIKQCQQNIQAQNLDGV NTGLAPGNSVQSPFTPEFDYINWSGEDITLPSQDPSSSTISNPSRKAGQPSIDMDVDE VERESRITP
I206_07289	MSEEIKKVFANKKEQDQPAFVTFLTAGFPTKDATVPLMLSLEAG GADIIELGVPFSDPIADGPTIQKANTVAIENNVHYSDCLEYVRQARSQGLKAPVIFMG YYNPLIAYGEDKAVKDAREAGANGYILVDLPPTEAIKFREICQEHTMSYIPLIAPSTS IDRVKFLTSIADSFIYVVSKMGVTGSSAGEAISASLPELVARIRAFTPVPLAVGFGVD NRTHFEFVTSAGSDAVVVGSKIIKLVFDNATNGKATTELTKFCKEITLHGQNPPPLGR LKSQKTNGESNLKPEPVLPIPQGEIAKPELQVNKPGKLPSRFGLFGGAYVPESLVDCL NEIEAAHAEAIQDPAFWKEFEDMFGYINRPSQLYLAERLTEEMGGARIWLKREDLNHT GSHKINNAVGQILLARRLGKKRIIAETGAGQHGVATATVCARFGLQCDIYMGAEDVRR QELNVFRIKMLGGNVIPVTSGSQTLKDAVNEAMREWVTRLEDTHYLIGSAIGPHPFPT IVRDFQRVIGREIKSQMQAQSGKLPDAVVACVGGGSNAIGTFYDFIEDPNVRLIGVEA GGHGVDTDLHSATLTKGVIGVVHGASSYIIQSKEGQLVPTHSISAGLDYNSVGPEHSH LKYTGRAEYIVADDTQALKAFKMVTQLEGIIPALESSHGLWGGMQLAKTLPKDHDIVI CLSGNGSKDVAEVLLTLKDPKWADKLDWHVAQ
I206_07290	MSTSIQSHNSTSSYQIQSPITPTKSQNHAPITLSDPIQITPIRN NTLPPSYKSLPNSSIKSFNPTINRQEEKQALRDARTQIEEEIENNRGNLPSGQIEPES NNSSSGSASGSGGNAVPSSGIDNNDNGKIDGNINNHNQPNGSSLDEENNQNDASQEEN NLEIGYRGYLPPGLDVRDALAKCEDPTLGWSLQFWVTIADPLTQHVFFACPASGQCSW DPPVGAFVVPRSPDGEWWELADSTRGNRSYYYNTLTGKTQWTRPGGNAFVIPLGLIQA AALPTRPQPQSPSNPSSSRIVSTTPSRSNRQNRSSGLFSPNSLQTPNSAGRSNSYPQL LHSPLKSIPRPIHSPSKSIRSSSLTPSSSVETNFGNANEPSQTLEAIVMGHFASPSSY TSNINGNENQSHPLIREGISGGSRESEQTHSTSNSMSSNPATSSHLSVVEEGSGNETD MSDFTATGSAGGGWWEKRKSQVLTVKTGFKSPGRKFKGLSLSDNGSPIDGMNSSAARG SPLKKSRTAITGPIYESNESTAESLVSETPTELTVLNGINGTSGCFTPGLTRNNMPEG PIYVDNVGTVKTKRLSTGLHPLLPFEISSEILAIQTDDFARKYFATKRSGIMRQKVPV EVIINHQKSPINQPLLVLSKNLNKDAIITFKVIQHVMGEREKPVEGAKPFMSSSSHLN LASLALGGRKGDLGHPDRNGKLANGFGNLSGNGNGNSENGQSYDGNISDKNWSGNGNG GEKKSDKIQVLEEIRWLIQLCVASSEMRDEVYCQLIKQLTKNPNHDAVVLGFQLFCVL VNAFGPSKNFEPFVKNFLRSTSDEKADGIGIMSKYCIGKLDVLTAKGGRGKALTIGEI EHASDAAFYPSVYGESLDRIMELQKRAYPDLKVPVILPFLADGILALGGLESEGIFRV PGDADSINELKSRMDRGHYQLKGINDPHVASSLFKLWLRELEDPIIPFSLYNDALQAS KSIENSINFLNKLPLYNKRVLLFVINFLQLFINKEIIKKTKMTSGNLALVMAPNILRT TSNSLITVFTNSNFETKFILQLLENLNPKLIDEEYIPEHGKPIGKI
I206_07291	MKILLSNNTSINSINDITFGLYSKNSLNETFRRLAILIYGQELY YPRPNQIIAYKNFKKGYLNQIDKILFFKYEKLKENFKNEIKNSSLIFFEEYEKIDKQK IERNYKMGKTILF
I206_07292	MTSTNVVKSNTRLEYITEGTFGKIYTYPSEPVVYKVVKEDNHGI KLEEEYQLYIEISQCLDRPDNESKEGFKVPKPIAYFRNEKSSKILKIHDLKHSNLSSI LELENEFNFPVFSIEKINSLNIKIINEFKNLFFSNELKLFLQEKEKEKEENQIRLFRL YFGREDIPIKIENLKEGIIKTDLSLDLKKFKILDKILKEKFNFNLPCFEFKNL
I206_07293	MTGVPQFLIDHLPTASATVERPHITLTWAQSLDSKIAGPGGSRV ILSGPESMLMTHWMRSMHDSILIGVNTLILDNPRLQANLLPPSLNLPPPQPLILDPKL RFPINSRILNEWNTKPDQRGKTLRQPWIICGDNVSSNRISEVENAGARVIPVKLDKYG YISPSSLPSILYNLKLKSVMIEGGSKVLSTFLHTQSRDDGSKLIDSMIVTVAPMFIGE GIGFIPQNEDIGLPKLKTVHTEIMGKDAVMICQVDLK
I206_07294	MSVHVLPTAFDTQSRKSSLRNRKSDTDNLSTSTTSSPTLSSYEP SENGLSSSSDTEAVIISVEKLKQIEKMESNPDFLWMTTEEPHRSRRMAILKAHPEVRK LMGPTLLTVPIVFLVLSIQITAAYLLKSTHPFSWQFIITAYIIGGTANQNIFLAIHEI THNLVFKSIKLNKILSIIANFSIGIPYAMAFKGYHIEHHKFLGEDGIDTDLPSKFEAI ILNNVFGKTFFATFQILFYALRPGFIRSQKPTIWHGINLLSIFIFDFILIKFIGSWNS LIYLIMSSFFAGSLHPCAAHFIAEHYLMNGPLPTKEEQMKSGKFENEQDWLIRGLAQE TTSYYGWLNVLCYNVGYHNEHHDFPSVPWTRLPELHQIAKEYYDPLPSHSSWPYVTWK FITDSNIGMFSRAKRTERGEKIEHNLWSSAINSSTTSITKEEEEEALATDDEDEIAYM SDTNTTSKSKSKSK
I206_07295	MFRPYIARKVLRFRSVRLRRSLHNYETESSNPLPILTHLSPSSE KSSKSFPLYQNPIKNSEYIPLNKETLNSLPSDENIQRELKLRLTKKTIENLPELTEND LKNFYSDLIKTGISNNNEFIDKLKITNDSSNEENLYLPLNKKEKNEILLNLENRLLSQ GQFENENENENEIHNIDSLNSIKKSINEIPRHYKIFSILAQLVIPDEGPSGTSKSPAG AVQPISHSGMNIPLGLVSKREWNVLFDEFIQKADARGAEALLDVMTLHGIPVEEDKIG QIISVDATAGRVDEVGRLTSELAQSGMQINDNHKDLYILSLLQQNPSQPEIAISQITS AEIASQPYPQSSYKVILKHLTQPSPIFQPNSHSRALAWDLFTNMRLVAHPTPSRELYT TMIKTCADSNQPEPERARDLWIEMTESEKIQPTREEYSAIIRALGSTKKDYLEAFDLL RQMLAKHHDITYTPFAEGLEDIPKFSEYVPTLETFVGLLEGTKRAGDMNRARWILTET VKLARTGQILKSKEWKDGIDADLLSGVFMTYASWKPLVRRGVVKLRDQDEQMTETQVE QTPDIAHSGQVSVENQEEEWLDVNVMEELVESSSHNSQAVEEHPNSQPDLPLTPQSSA DALREATALFQRLLDDIASPSSSTDYLPFKNVVLGPKLINSYISVHLAHSSSLASTKQ VYEDVWQTVLKLTNGEVKPNGWTYMQILEKCAHGNRGGINDSDRSVAFEWGKLIWEEY LKWFEINSKKLEEIIEPSIKERKKWLMGLGNRQIERIWKSIIKIYALHGKNNFDIKKS FEIFENFYKIYSPEEIIKSYKPFKIINEFKIKSSSTTNLLEINVPPYLIFNDIKLLYE KLLKNDDNDDENIKIKNKLIFMIKKYEFNLNKRRKFRFKGIGQNRELNKIKFLQRENN RRLISNEEENYDQNINDINHVD
I206_07296	MPSPPLSPTPRRWRSSPDQPHPDFAALLSSFEPTSTSSPNSPKP KSENDSLPDWATPKGISSEEEKEEEDEVEFLTATGSTSGPNPEIRTISPSPQRPTVTS RAVELGLESPLLPPNRGQLFSEDYTRTDSQLGPRGIFANEPAPLNPTSDIPLPILTQT TGQSTTTIDAAYDGVLSQLDALANPSTNRIPTVSASSNPFLPPTTFHPMPIRQPLVGL GINMNPSNTSQPISPGLGNLNLSRLSTVTERSEKSSSPTSDRSQVHNLSPTFPGAYKE GSSTYKSPSASASTIAGKYPLPPSPSKITSASGTPQGTPKKASDLIKMFENKADSPNI PPQPKFIPSSPTKATVSDKPPTSAVSRTPALPTSSVSNADAMSNTPSAPPSSYRTPLT SAFEVNAPAPPPKSPSPLSQVRGMIASWRARSGSPSQRVIGPVAGSGPGLFRSGAGDK GWNVSIRRRRKDEKDLAEQAEESTQDEKKAPSHHSDEERKSEKSSIHDDKAGEEAPSR SGNLRSDRKSSEPKQLTGEPIKTGALYYLNVHDVDERPNFKWVQADGRLFNEGLELTW VSTKGRATVTLDLEFCDEVASTYSPNNPMAGDDIGAAAARRQGQLADSLYPFKLVYDD GVERLACDSARDRVRWVNAVWTVLERTRAAPSASLRVNRSSSDHGSEYGGSASTHFTP AEGSQPLPSSPLPSSTGSHHLYTTDDAVIETTGGLHTPIVHRGSRKLAAGGLERTRSL RRVASEADLKEVSAPPLPEKDIPTPPVTTGVLDIPLTAQNAERPKSRDFTFAHGIRPP TLKSENTPSEAFQTPATGFQSLDGTSSRYGTATPNTVTQPLSSPSKFTNARSEIVGSQ SSYQYPQQNYTVARAEVGQSFSSRTAQSGQATTSDEYQSAKEPSSGRSMYTAPTPTLT AQPLSERVLTARTGSSTPTGTTRGIPSSPSMYTALPGSAAAVGSARDASNSATMYTAV SQTTTTRPAFPSPAVTAQDKTSTGSLFTPQVSSGQPFATAQHWSTTSSSPHTARQPST EQATVEGFSPTASNYTARPGTQAHTPAATVREMSTPSSHTAMQGDSISAPMGTAQPNS SISDHTAQLLPTSSTPMGTAQQFSTDFSGPTAKTPAATAQPWTSSMTAYTPRGPSPSS RATHTSDVVPQVAVTGPPPSGHLSGSHVSSYEATRQTGPANLSEPKPGTSFKTPPPSD RASSRLSKSSGASSYQTAPPPVPPGASHYSSSSSDKAGSEALSSIHNPTRYSLHDAPV PSYVDSVRSPSVYPTATQKDTYFTAPHMTSHTDTGTANLGEEPSSYHDRPPTTRYSTA NQDLRTGSLGTAPTSPSGSRRSSEYETVLPPPISRSASYEEYQSTSDRITDGLSSRRG SRAWTQVSHPDSDDELLADLERRSSNGSSISRRAKSKYANTTYSTAWAPSRTMHTTQT FDRSSRTPLGTARENTMYTGARESAYDTATGWQTLPSNYATAPHNQSWYTARTSQTAP PIRGEIPAPAPSIPPTERMDEQPQSVSTASTVPSRRIPRVPPPPMQLPPPSPSFPSSV PGSSESDTTTMSSSENASRDLVTGTDVNRLLNYLQGQDQARQGQTTRVGNQLDRIERR VIQIADNQSALAERDGPPPVPAKDDDDDSPPSSPSSTSTARPVTPPPLIIPEVINQQF DDLRNLLGTLIGRQEDILGRQDEMAQEINRRRSYDIELPDRGPGLARLEDLLRRVLQR VGDTEFADDFMPTPKEKKTYRMPPMATPQSEGTKEGSMYGGGDSVYDSEFGARGRGAP ANSVASSFDRRRRRPMSEISSSLLDGEIPEPEFDEDFALSGLPPDTPPEEFVSRQPQF PRHLIGQKQSRAAPTPRPQYAQPQQMPHPEPIQEYPAEEEYYDQEEEEHEPEDDREPS PESVYEPQPEPEQLATEYEPTPPPAQAREITPDQPPVPYRTHDDYQDDNDQYEDDGMD RGPYRPGPPPQPVDLPTPVNSPRDMPPYSGQQTGMRPGFAPAGMPAPPIPGPGMMDMP RPSLPRIAGVRDPISTTYYRRGFPPGPMGPMGPMGPMGMFPGPMGIPGPEMGPFMPGL RPGMPGFGGPIGPNVNPSLRRNGFFPPGVNSTTGDYGLPAAARYGNSGLPPSGPTVMG HPRPPMGSGNTTTADTGLGNTTTDSTVTTPSVTSMATEEIVTPVAPTTALHEPIHMPT GNLPPIPLSASHVGTDDSFRRALDNTQALAAAQGGQQNEMSRYLHGMSDQIADGTLAT QNQLAEILGDIAALRQQIKPKHIHAHVLPDGTVMLDNGDIVDGIRGAPAPVTPGAPPP PPPPASASHVEGHILPDGTVMVGGKIVDGIKGAPSLAPGTPMMELDEKIEETVKNKEQ DARLADLQNKIEELMAKTALQPPPTAHPAERVFEEEEIISMRAESGTEAPAMTPAPIP TTLGDITPSPTPAPALTSLGIAPTAIGPNTDIRREKQVIKEREVIREGPVGGRHKEVS ITDEIEKDMVTTVPPGSLPPGSVVPPGTILAEEENVAVTAVPTAPGSGGAAAASGTSY TLPLISPLSIAVPTAVSTAAPPGTASALPPSTRLNPRTGKLLTIPPSLSAHSASPIHT DYDPNQPSTNHLIREEHEEIIQHPASGGLPVHTHTTTRTYTQAPAGTGPAGSLPPITV MGDQPVTAAPPTVGPSASVHPSASGMPDMPVIASGAHTSDHQATVQQAPPNIPTAKSA HESEIVAPGPVPIDSKNASTSHTIHPGVTVADPSVVAPSALGSGTPAPPPQTANNVPS GASKPLWDTNHPKSPKPSQPASLANVPSAPQPEVEPAPASADNVPTAAPGAGAAAPAP VSAGDAPAATPAASSGKGNNVHWDPLMPTKEVATIPPKSPKSSKPNPPTVGDAPPASA ANIPSGTPASEIHNVPSMPQGGVVPTHPIPSGSEVPMAQPASTETKVEDTHKTPSSLG GILKKPKFNESVKTTTTTPAGSHPPTLADLAMEGRDVTVHPPGQTIHVPSHPTASTGS NKLRKSPPDFIQGSTADPANLANVPMKTGTPAASIKGPSPVPMTMEEFVLPDGRTAYI PSRPASQAAAASTPPPPSGDKPSSGKKGKSSTDEDKAGGPPHKDTTHTGIPAESEVGR GHCSVCCPNGPRAVGGVPIEPCEHQDGILGQAAKQHSAPTGPRTNKSASQKLSKTPPN QPGSLGLPEAENIGGPGQEVDMDDVVGPPTGPKPSSKGKSQKSDPEGALEDARKLAAK QKAAAEQAALEAAERDAKLKDKEAKAKIAEERHRQNVEALANLQKALDLMAADGKASK TANDEKAKAQDKRRTEKSARDKKITEALDKIVADREEEKKKAVANDKKPGTQAILDAL KNKGDAESAFLRKLSTEIMEQNSNQHTLTQQAVKAAAREQVGFNLAGYLDDFSKALSG EVRVLLKEVGDLRESRRALYMELAELLLMKGRQSAGDLMAVLPYPAAPPKNPANQPKP AEKKDGGGGQPQGQGKGKAPAGVPAWATWHPMMPPVMGRPLPQPGGPPPMAMNMSAGM VPPPPMSGKPLPQV
I206_07297	MISRSLDDHLPSSSTAPHPLQRNLAPHLHPFQKPREYARAMTAV KIDRMFAKPFVDALGGHQDGVYCLGKDSRRVGVVAGGGGDGEVIVHSLTLRRPLLKIP NAHKGMVGGICWTSERQDGRRSMITAGKLDGNLKLWKSSAFTPGQKSNFNGSEFASGS GIQENLDSTGAIGENGLNFDEDDNEEIDEEEGGGLNIDSKVRDELGEKLEPNMIWTNK NGFNGIDHHRTDGVFATASNTVQIWDENRSAPLSNLQFGSSLETVNKVKFNQSETSVL ASVGNDRTMCLYDIRTGKAERRIVMQFVSNAISWCPTLPTMMLLTSEDHNLYTFDIRN LNSPNQIYKGHVGGVMGCDWSPTGEEFVSGSYDRTVRLWNRETGKSRDVYHTKRMQRV FDVTYTPTADFVLSASDDGNVRIWKSNASKKLGPVSTKERQAIEHRQKLIERYSTEKG VKSIKDRRHVPQSIHNATKLKRDMIESRKVKEDRRRDHSRAGREKPKAERKSEFPNEH DYY
I206_07298	MGGDTKSHHHWQGVLNSALDAVGHTPLIKLDRIAKAEGLKCNLL GKCEFFSAGGSVKDRIAKRMVEHAEKEGVLIPGQSIVIEPTKSSTGIGLALACAIKGY QCIITLPAKMSLEKEVMLRALGAEIVRTPTEAAWDSPESHIGVARKLQKSIPGGVILD QYSNPNNPLAHYYTTHEEIMYALKTSDLPRKDISLLVAGAGTGGTITGLGRAIRDYES SLVNGAASSHSRTTILAVDPEGSILGGGEPGNYQVEGIGYDFFPEVLDPNPPVVDQWI KTNDEEAFAATKRLIREEGLFVGGSSGSAVSGTLRYLHSAEGKVISEDEKANVVIILP DGVRNYMSKPWFLETSKKAINENVGEKEDIKVTIKGILGRDLNDVSKVVHDAKENGKK LENGE
I206_07299	MATMHVPRRKAQSGKHKRQKLLDKRALKRGELTIEEHSNGLYQL NEKYGRVRTLPSKSGGVNPNSSSIKLKSRFYGLSSDYLDKTRNLSFEYILERPLSFES SIFPLEILTERDIEGKLECPIRPKFKIGQTKKEVERNEEGYFKKWLINTNEIMREYID SQEEDEIWPRGPTWYETNLEVWRQLWRVTESSNILLLLLDSRCPLLHCPPSLRSYLQN LKSQKEIILILTKSDLVDPAALEGWRDWVRSWWGQEGVQVVSVRAYNVELLNGSGKVR HRPDIPQDSLEELIIALQTAHDRLLQPPEWAKSNPEKLKDWKPSVRASVDWSSLIHEE YPFDIATSQANQENTSDGQTEIDAPSSSTVRDPAQEPLTIGLIGQPNVGKSSLLNALL GEQKVKASRTPGKTKHFQTMFWGSKKEVRFVDCPGLVCPSLVGLEIQALAATIPISQI ASLPACITFCAQHLPLETIFKIPYEVVEGDFDPYAGKRTYRDSKLAEAERMKVEEEKK KEKWTAGKIMEGRAHDRGYLTAQSGRPDINRAANGIMRLLADGKIKWGFNPPGMKGKE GKGIWLENDNTSIYEDEKVEEHNEDHEDNTISEDEFDDNDVSEDLSQDDQEEDEHELS EDEEEEEEGQEKPMKNDKIVSKVGGFFDALGIDDDDDNDDDEEEEEEDEKEKEEKEKD EVKFSDENKK
I206_07300	MIVTTLIAAIMLARSIQAATYVGCLPSASIPSASTSESGVSLDE CFTFCDSSTYAFYEAGSSQCTCGSSAGSSTNYVTSQDSSGACAADEVSTWLLHTAFSF SGCYTSTGSETTMRTTFPNSPEACFTACASYGGAAFSPMGGMYICQCAIDITGTDPRT CPSGAGEAGFYLYSQAIEPEPTAGNARRALRDRRKRAQMMKHQYCPAGLTACVVGSDQ ESFECVDVQSDLESCGGCMNGLYGSRTSNSSSTGTECSALPNVAMGGVTCTRGQCEIS ACKYGYTLVDNQCVRMF
I206_07301	MSLSERTPLLASLTAEAGPSHVVKSPTTKVEPDLTLEEEANQLI SHLKSSSSTTSTSTTSACGQAELAIHLYALYLLNPLKDIKRISIRSRIADSEIGRRVR ERLNDKIEDLLDHGCGYESHENEQVQENDDEDLQVTFWKRWKVKKGDDKWLNAVDLLV PPYITHTPSSFLSHPTVRYVLNNTWSYGSATTSTNNSLTASRWFRKIGRIVAPSRLHL LHLLSFLILYGLSLSIALFPKGKISPYDLENSGPKFSFKEVWWLICAGSSLLNSFRPS TPALQRVLLLPIYLTFLLSLFPSFHQISYSMLLLSIPTLTFSLVLPRAPSIPILVKGL LPLSILLRRILIRTIKSAGLIMPLVLGLFLLFSWSMNGDIFRGFYHFSQILLSSTSLP TTTDNVDRSSNWTFVEDISIEEEPIEVGISPFQARLMIFITLSILFIFSIILSAARAT MIPRENWDEEIAKRWKGAIKEGDYWEKEYGLVVARQARQAFTSAVKQYKWETLTNDDR NRSSDSHAEEADGQLLENSGLEDYDLLKGPRLPSPLNLITLPLDLLVLITSQSFQYKV RYTIYLINISVAGLLCLPFHVVSGLVDRSFSV
I206_07302	MAEQTQSAFQKQPIFQNAKSRGGKRVNAKTKRWYKDVGLGFKTP TEDLCRSYLSLIIRARYQSRHAMVECIANCYLENFAEAINGTYIDKKCPFTGDVSIRG RILTGTVHSTKMTNTIIIRREYLHFIPKYRRYEKRHKNLAAHCSPAFRVEQGDQVTVG QCRPLSKTVRFNVLRVSKNKAAKGFAKF
I206_07303	MSKRLNKRQQRELEELEALKAATPIAPAENESEEEQDEEVEEKG GSAPVNAFAALEGDEDDVEDEEEEEEDTPLAPAKKSKKKKNKKKKTAASALKEESSTP ATPDTGTPSKGGKKKKKKSDPFAGMDEVDRALAELKLQYGENQLSEAGPSRAVDSTET RSAMAFRNLLSVDPKNLDADAELRRFFGSKVIASSATSGSNRHRPGVSQKLRYTISKP KPTYPPATSLAGLVMREMLDNEVEELYERRGRERIDKGEKWFTFEHTGPWREIQRQFM GAVKSHDPNELMALLQVYPWHVDTLLQMSEVYRLQSDIGAASDFAERALYAFDRCLMP SFSVSTGASRLDFDRVENRPMFTALHRIISYLGRRGCWVTTFNFAKLLYALDPEGDPH GAVFWLDFLSVKSNNGPWLLSMLEQGDTSPAAANWYAYPGMAYAKALALRQEEESSKS KDHTRSDGALQEAITDFPQVVTLLADKIGASLPEGARSNPLLQVEAGYSDTPTNVIHL LAHIYVSRSEALWKDEKRIKWFSKQVAEAIPKLNETSAKEARDDALALIQSPRDPLDE EIDVPIYICRHILCSESTNFLGFLPTSISSKNFNSFDPLPPTTSTSIYDNSYFSGLRS TNSTTRNGNGNGNGRDGGLMMDLINQVFNIAQRNPENYRERIEDLWRNTIGRIENRNY PRDQLDHVLQGLLQMAGDVVNGGGVGGVAQNEGHEDGGMPGGFPE
I206_07304	MSSAYGDAYAVKMTSGVLVTCDSAAKQILLHLDSSREGLYKFMI RDVDETHLIIKREYVDEIKELLQDELEKNTYIQDPNL
I206_07305	MTTSSPSPSSASLGLFIQPACLQHKYIRHSNSSHIFERPERLRA VLLGVAAAVARLESLDSSPFNEISITPPSGSTADDLSGLLSSLSIASSSSSNITTHLD IVPPPPIPSLPGSILLHHPAVQLAHSPAPEAPFPYLGTGSSSAITGEIPSSDYLKNLV KWASEAIDKIKETGCEIPPDLGLNPGDLYLGPGSIVAIEGAIQTVCQAVDHVVSSRTT PSITPPSNPQIPSTPPTQTNHNERYFSTPPQVSTSFSPSPSFSKAFCAIRPPGHHCGE DLPSGFCYVNNVVVGAMHAYLQHDIDRAIIIDFDLHHGNGTQALVMPLNAAAHAEELQ VKAGKPQLMIGKDGRKRRGWKGFYGSVHDIYSYPCEDGDVDLIKDASISLAAHGQYIE NIHLQPYEDEADFYARIYPLYLALLNKARVYMEETQAEPSRTIVFISAGFDACEHEHQ GMQRHDRRVPTSFYSRYTKDIAAFADRHTEGKIVSVLEGGYSDRALTSAAMGHIIGMK GNLPEGCDTWWTEQELVNIEKATKKRRTGKLAPFPTEFSSHPHLQRTHTLLGHFEGGS NGIDSAVPSVQSTPLPSARMILRDRRKPEDIALPPSADNTPVAPRLRAVRGRGGGPSN VNTPTRVQKDTSLPYTSTTPTQISKTMKATTPGARNKSLKVEDVPLSTSRSEGSIDRI LKSDTGVQDVLRGLESVKIEDAPSIPNSATIKYQNHDPISPNLKPAEHFTTQTETVSS LASLEFGSDHTRPPLPTQNSIPKIILRIPRLPQAPTSTTSGQSHSSSQNTNIQKLPDS HAHQSSDLVNSIYPALPSTTPTQIRTDDRSSYRESSTSTEGESTEGEYHTATSGGESP FPVKKEP
I206_07306	MSSETVETSRRSSRRDAPSSSSAIKAESPSKGNASEDGMTTEKI RKKRLDVNPALILNVEGGRSKRRRTTSQEPEHNPHPIVEDKDSSKDPVKAKELGYVIY RKIMESKSSDGENIAQPFIKLPNKRALPDYYETIKHPMSLEIVHQKLDASEYQSLKDV CADLGQIFNNAKRYNVKDSLIFQYAKKLHVREGDAEGEDEEVDAEGEVDPEGDIEMED ITTTGNTSGVPTKIKRVRRRGAYMKDGPSVYKLIKPVLRAIKEAKARDGSGREIAGIF SKLPSRKDFPDYYTTIRHPISLEEIESKQVGRRYESFQEFADDIELMVKNGMQYNEDG SEVYRDAQQIRTRPPRPSSTAPVPTRIHHISTPTYSHPQPAYSHSPVGGPMPLPFPIP TSAPSPGLTPYSAGPSRSPQPPIPGIQGHRAYLPALPPGVVTEEIVATLERYPPYERQ AWVQSLSPLAVNMYRTMLATNEARKRGQVPGLPPTPQPHTPYSPAQHPQQQPSPIPSQ QILQQTSMSSPAQIHIHQTPHANHQTPSQSHVQIQNQTQNKPIKVEKPKPPIPTIKHI DFTFSSASNISSRQTIRLKNSRGLVTHAIILKSNTSEIELIAYIDDSPISSHSENEGK TISNGNGNDNHNDNEDSTIGPVPVPVLTPELSLRINGNQGSLPRFIYNNNNNNNESIG VKQGEKPKGMKWTLHISTSKIESKIEIVSTKPGTLAETTTIFVNRQF
I206_07307	MCESIPSVTLYGTTQLTTTLTTSTIITTQLSQQIKLLTSQIITK EIITSIIPQKTLYYPCSETEIIKPSSTSKQLLQTTLSSNLNENSIDLNATGQFTGSLP TQIKFTSKSSSFTSSLVKSSVVTSKSSSQLTTSTSTLTQLPLITSSRSDNVTNVNSSA EESNTTTKSNAGTIAGGVIGGIFALIIFIILLMCCQKRRARRRIKGGSWRDSSITPFH GGQSGNDYWEKRFREIESANSSRGNELGTNRINETEKGDWDSQTSKKLRLTLDLGSKD LPSRPPSRLSMISSFFGGRMTPTPNITSSFHHSRNGSTPTLRSTMPKTSKNRFSFNPF KRNNNTNNNYYYYNKNQFNNHNQDFNKSQISLPKQNHQHNRSISSPWIQEDSKSFKSG SGTNSNGIKSAGYSNSIKSPKARPSLEDNLNINRNTSVKLNNNNNNNNNNVDKRRSQN TFGKKLSSFDLPITKEEEKELSRNSENNVVEINRNEMINVNNWNYQTQNNNLQLPRQA AMAVNSINSANGSGLNPIREGKVIESPTEEEIEERRNMEWIRHSNFQSDHGEGTETLD LTNEEKLKELNKQLGLDDLNELSDNSIINYNFQNLDNSNLNGLQTQPKTYLGFNQVND FIGNTPPQLGVIGTYTSLSSNSHLSNISSITSLPPTKKNYNSGISSSSFIPFVPLPKL GQTINNNNNNNHLSGISSNGSNNLPFIPPIRGGHGSISGSGISNNIPSTILPRGYLSG LSSAYSNSYSNSYNNNQKNLKPRSPDSISIPSDIIIDSPPKMYQTGVNLQNNKNNNDS NCLNRYNSQSTISSYSNHPVSPYSSQPSFGVSRPNSQLSDTNITGNRNTRILTIYKVE KERNSRKAFIYSSPSKRRSSLNNLKRTYSGKLINTRDSLPPPLPNQNRKSAFDDADEE ALGLSFNNNNRIPISNHTLKNSSPPPNSFVFNNDNLIRRSLKNQRESKGKYVPTIRLS QRALTPSFWIDEELLARFANNDDDDDDNGNDNTFVTSSTDGGSTIKPLKRKSKSLRSL EENHEAMNLDNSQEYEIEHNKNVQLERQSIATIRHQPSMTVTRINKEEKSSLPAYSTT PRRNSHASMSISSKDEYSSSTMIYQNENNLSRSDSNIKLKANKDEASISYSPIPNITP PNSSSVQPDNLNLNPSSLTPKPEPKKQERKQEKGKGKQKEIEIEKEIQDENENEILSP NTPTNKLNLLNPCFPKLPISPTNTSSSSSLISDCSSSKLSNNWEFQNNNNINQNFRFS IKQRKKKS
I206_07308	MHQPDLLTQQQQSGPPQWSSNINYPFGAIVWYAGTFWRCEAGHI SRNEPDGAPTNNLHLWTPVGSSGGFPLQSKQQSYYSQQQRPPYPQYQQQSYPQQGYNG QPPSYSPQPPSSGWAQTGSYHNGAPSGSSSGLYAQSSYRPYSPSENREKDDSPSSNET ITDSKDEKAKGFGNSGVSSATSFFTEKANESLRYMTIRKEASDAKEKDELKHELKGKR VWRTGGIGIWSYSEDQKEEELKKKLWSDWSNDHNASDWIKIAKERREFYDKGKSKGVK PLFMWKLVEKGQRLPNDALPIGHEQDGAVLYAARAWWEGGIHLGKAGHHLISGASISY GGAEMVLDTYEVLCGPINEPYLVKWMTYRHGEVAAVQGWQPIEGGREKDGTALLLAKG DYENGQHPGKCLINDDHACVGYGGGELWVRPFSLLAYANSDRR
I206_07309	MSGQNPRSSLQGAARQNAHPRLHALSKPYSRPSSFSGLSSSATM TDLANVSSSLGSPSKSPTKSSTTRPGLSGDSRSSSFSSLPRSGSDSSLFSGIKSIFSR PLQWLATPTRDQITTANKRDSFSSFGHDLEDPDSPSETRNQKRIRRSSPSPEKQKSKS NGVSKGHYEPEPEFQVQGRAVSGFMLPPLSPNVTLKPKSHLPQHMTTQNPTNFSRPLG SSSGLSSSKSMSYLDPPSDVLGSSSPRKGGMLTRSKRVELSSLVDDDDRSMDGLEKGK GKEEEKTWSPWKSRYSTAGGAASSAANVRPTTPSRKTPNRLDSRDFALPSLSPFKPPP SPLHRQSPHPPNLGGSTSGLTRSATTANIRRAASVASDVSMSGGLTRSGSVKGLRQSG SMLFGSVNGDREGGRDDDRMSVDGEQRQREGSVLDWFMKDRWDGRATSPASVAASRRL GSAGPSSSHPPIRKGQLVWHEEEKAFVRESDLRLSQAPPAVHKSEAERILHTLESMRK TPLTDARKGDVPPLIGQSSRTMRKTINVPLATAAAGDSAKQRRDKDRLGGDDRVSVMI SPYGRRKVADQQVRDDRRSKMAEDRDYRPSPTPTASDARSRSHSRTSDVEMDRPSSSQ KSLSPAPAPTPRRSSRLKKPSAAEEIPTPKANRRTKRGASKQPETMYEEEHTQRTPKG RKSRKQTVDRGTSPDPAPPVPTITATAPSPGGPANADSSTQTVSNSNYQPLADGERSR GGSSLRARSDVSKRTHVGAASYSRSVTPSSGRFSAREEDLPDMDELEQAKIPLPSFSG ISFAGLNANPPASNGDAAQNASQSSAPAAAQPSSSLGVPSQRKPAGPLARVGLSSTRP RASSPLAGGSIVAQPESPPTAAPPARSTEPAQPPANGFFTLNGSTPTVPSSTTGSTTA LSKPAASSFFSGPSTNGDTNKEKTFSFGLGQPPANPAAAEPEKSSSGGIPDFFGKKSV PPSGTTTPTSIPAFDFGLPKKSTDEPKAPPAELPSSTFSFGKPAEAPKATASGTSSTG GFSFGAPASKQPEKTAAAVPSFSFGSFKPAEPAKSTFNFGASSASSSKPAESARPTFS FGTPSSTAAAEKPAEATKPAFSFGTSSIAPAKTAEPAKPAFSFGNTSASAPAAKVAEP AKPAFSFGTPTSNATTSAPASSGFSFGKPAEKKDTAASNPFGPGASSAATSTPSFNFG ASSGTKTFAPFGSSQPNGDVSKSNPFGASPITTPAAASASSGFNFGASAPSAQPNGAS SGFGSTAPAAQASNPFGSANTSPALGSAPNPFGQTQPSSPALASAAAPSFSFGQSSST PTTGFGSSAPAATTGVSNPFGQTSSTTPAATPASAGFNFSFGAGSTSNPAPAPNASGF GGFGQQANTTPSTPNTNSSFAFGAAPQPSTPTTSQSFAFGASTTPSIAPSSGFSFGAP TNNAQQQAPRFGSPAPADGGGFSLGANDGGAPGSPGGRKVKGLPRRGAVKR
I206_07310	MDSTTSASTSSHSTSRITTWPLETLSTGASSSSSRPFHLNIPSR NTSITTSIASRGVKRQITGDRERLKSISPKRKRVNRELTPIDDSTPTLAENGEGISSF QFLNASGPASNYPTTAIAGSSSYAQEQDQIEEASENDESKSVLFSEQAGNGKNRYAEE IADNEGELDEKEEEGDETILVPEEQFVEEVFMLEEDNQEETRDVQEEILVEQMDDFDP HDGLLNMNEDVLTKSRPVTVNLRNVMPPPKDVRSHTKSKSTSSTSASGSGPNTIMKTN NLKSRPDTMTQVIEGSSSKKRQTQKQSFVELNQAAHSDNIEYDEDGLRGEEEEEDSIS PESYHSGDSDNYEMEHRPILERTAIKKDIRNFTESLTVLRDGVDGYLPFKVVDRLGEG TFSSVYLAHDCLNRTYANEYWSGIPDEEDQDLEQAQPEVKVALKKILATSSPARIENE LAILEALRGCRNVSQLISAFREEDQVIIVLPYHRSDDFRHFYRHMDPPHIRSYLQSLF RALKDIHKRGIVHRDVKPANFLYDYETGQGVLVDFGLAERYTPPRRPTCQHAPGTLVS LQGSKVKTSETSIVEQAVYDARKRSRQGEGRIGFPHEDKRPAIKTNRAGTRGFRAPEV LLKCPDQTVALDSWSVGVMLLSILTHKFPVFNSSDDIEALMEIAAIFGRAAMERCALL HNRTIMTNVPTVDSHPSSLASLVVNLNPHIYTPHMTNPTTEDARRHIEAIDQAIDLCQ KLLRLDATKRLSAAQALRHPFLAAHDGEEDEEEGRDEVLEPKEGKCGELHEIVDGRHR TYLHPDMRDLAFGQGIPPTRDSLCPEHEHYQERFQINPLVTSRWVNQAAEDDSQADQE EDEMEDGEGDFIVEQPTINLRRYSNSYGHGDRLLKERDMNALTTQNGHNLIGKRRGGI A
I206_07311	MDLYTTSFTYHRPSPRSIRPSSSPLPSSLTLPGSGNLPTIPLPN VTSATTPPGKSSLTTNLTTASQVEKDWNDVQKISLDITTREGCLVTVTKESIGVDLSN SVNDSNGTSQLDPNAPIPSTTTWNFHLSGGYQSVMSARGAILRETPKDNKTILKVSRT EILESPLSNISPLKVDVKRRLDEIALDSKAHIAVLNIEIPGAGVGGTVLATADGQSPT QNQQGLDNENIPNTTTTDSQREGSSGPESVNGANTSGDIQQSALASNESTTTAQTFPA SAPNAPVTYGLETERMCELVITGPLESVEVAKVRLLVMLDELSGLHSEVCDIDYKLHN IIASRKRGVIQSIQEETATNIYYPTPLVGVLNSPQPGQQQGLGYRGSTNQIWITGEFF GVQRARDMLLNVAVQKSKLVISRDTAILPRKLDWLLTERLEEVKGIMNDNGTYIQVPS VGSQASLITVFGDHRVNIERTIRSIMGLACQFYVASFWLLPISFDVLMPQATLNPAQM QPILKRIAHATGAEVVFKSNCFEMHGLEQEVRAAVMMVLELEAIHNFHHEVRFQIELA NEHREFISGKKNGKINKIMKMAGVKIKFETFNDYNFLMDISGSDLGSLQGLSMLQEEL PAEVSFHVPESYHKRIIGVGGKNIQRIMKLYGVYVKFSNAEEFAALGGYIDNEDNVVA RTPAKNAINLESLKQSVMELVNPKDKDYTVESVSIPRRYHRTLLGEKSIFIHDIEQKT NSVVRFPYKESASDIVTIFGPESQVHIAAAMLLDHVPFEADLHVPPNPELTRLVTSSE FILFTERVKRDHQIAIVPSAKFGQGDEAIFKFRCQRSNIDFLGTARDALEEWLGQHNI QVYPSNATKRVDSFADAFTHFNSKLLATGANNNVSEAEGESLVERRQRPVTVSTDVRA LFNGPGGSYRSVEEGALGFAGPLSYQNPQRSSDLWPGSTQTTRTESDHSKRDSDPIIQ DRVRQASTGHSNTTVHPSHPHPHSQSRINPTMRHQSLDISHMKFSRSSSNSGGNNTFG PMPPSPTAANSSPNTATGPYFPHVGPHPIRANVTGRGAYSGMSGAASTASDQGVESIT QCKSVIN
I206_07312	MPLEQRITRSRTITQKPSHVPPSSTPGPSSKPVRHDRFWRRSNP NHYNPPNSPSVGNRVPSSPHVTPDINANPENSSELSVLSSFADQSASGSSAPTPAAIP SKAPNSARSDTHAKKKRKTSLPPTTISTPIESNFHSKAPSTSISNRAKAPRVSVTGHN APTRSHKALLIRDSTATSRAGSQHVVEDDAPLPPPPPRTGMGEGSFPVPQQSNVREEH EEMRKEAQYIIQQSELKRQEKEKQREREHVNGFRPSVGKRNRKSINYNENDEDEDENV DMSDGENQDRSGVWEESRGGPGPSTSNSRNRRRDSGVNYENGNGERRNSTGYIHHSMK DQTFEEQFDRLQRYQRNRISAEKANENTFPKNAGAAIILAHEHTNGFAQRFVPRDRIE RGYQQGMSGLSNEMEIDAQGFVDNVKDNLRAILKYYFPDHSPRRDAFCERIGRGLAQL GWELTDNADAALLP
I206_07313	MSTSSKGRQSLPPMSNHAFTTPIRPNTSNNSGGGNYSGGNMILS STRIKQQPQSIGITTSRSMGNLRGSSEGASSINTPVNGASKPKKKNKKGMKGWAWVVE DENGNIIDAPEGTKEEQPIQSAQFGRLDSIEVDEKTRNVKDNIIPSSTSSIHRLINHD NHNDLMNNSVARQFDGDHVNNGNQIDGDIYASSSLPSRGE
I206_07314	MKNQPLKEYEYLKGYTIEERLKLYSKFSENTKRYDLSITFNEVE KLKKIIKDLLVNDNDDYRNMFLSSQDILLPISENLNNTFDEILKIAKIIRKEIVNLRT NSYICLPWLTNISYYLEKSSKENLGQFVVPDESGLIRVIELIQIR
I206_07315	MSLSYEEQRIQNIKDNEALLLSLGLGAPIIPKAPKKLSISKKKN DKNDDDTFKLETTPRPARIQKPIIREIKSNTPDSVNGLRRSGRSSVGGSTPNYKGNSS LWREDAIIRSKSLKRSKREVDSEEEESEDDSRQRKAQKLGFRTADPKTFGHISGVEVG KCWASSRMDCSTDAIHAPTVAGISGNPIDGAWSVALSGGYPDDVDLGYAFTYTGSGGR DLKGTRQNPKNLRTAEQSYDQSFDNRFNAALKKSSETHKPVRVIRGFKLPSIYAPVEG YRYDGLYTVEKAWMAKGLTKGLKVCRFAFKRVEGQPPLLLRSDEDEEEAEVKAEEEVK VEKADVDAESVVGEAKVEEEEDQKHKEDNHAILEQDKNEESDNGEDADGNIKQSANFT LKKAVRTLRKVIEVVIPSRSASADKENLLNVDLSANNAQPSDSRRSSLRRAAVNG
I206_07316	MSNQPICQFSDRLTTALNAAQNDNQTHSHSHSQDGNGNGNDNSR PLSYTSSTVDSVPTTTFQHTHDGSSQLHSHGEGGIWTPEGTNEHGHTHEHLEHAGKFS ERDMPDYSGRDWEERAFTIGIGGPVGSGKTALLLALCRALRDRYNIAAVTNDIFTRED QEFLIRNEALPAERIRAIETGGCPHAAIREDISANMGALEELQVDFGCEMLFVESGGD NLAANYSRELADYIIYVIDVSGGDKIPRKGGPGISQSDLLIVNKIDLAPHVGASLEVM RRDAAKMRENGPTLFTSVRNNDGVDTVIEAIVSAWKASGAAGKDGKGKSKAVQSQA
I206_07317	MAELGISPTDTDKSIEINDALFCEHGLEVCQQCEFDAREDNDSM MGLDPKPRGPLELPAHFKNSKDNTFMCKSHGNANCKSCFNWKKQMTKLHKEGKKEASK KKNEKTTNLY
I206_07318	MSQSNRKPLAQSQTVAGFPMLGLPELMECLTALGIPAQMEDLTK PTAGTTQGIYAGLIEALMGAPVEAIEAPKKALLGMMEYKELYSDALQFTMFFRHVRDL ANLCGITNFSLADLTRPEAIRFKTVLSGIMNFAKFRDERAHFQAQLQAKLQEQSDKTE SLRREIDQVENQISEITARNAAEKPQSEQAHKRNEGLRAELLELRSQQIKLSGEVEEL KKERQGLMDQAAHNAHLNTQIQQQIISAKSRLVQSPDRIKRQISEMSSTLTHEKSKLS SFQLKARELSNRLEVIQSLEIDLKGLIDLQKGIEEQKQKVEESKRNESILKGRQEGKE IELRGLKSKFEQLQRQLTNAQDKLNRQQEMQNDMQERGYKKIEELKAEYKIRTKERGG FQKQKDIIIAEQKELESEMTNFINTHESEINDLLQEYWTMRRQAEDYMNTMTVKLGLQ LNA
I206_07319	MVQASTKQRQRALTPSFLSDTLPTLLPPIFEQVQHTTANHRKNL VSLHKIQTQCSTITEESIKGIKLVGERAFNNLFIDLVNRVLPIKKGVSVADRVVKFVS SYVAYSTEQDVANRPEGEEEEDIETFSSRFVFKLLKHLLMGMEAKDKNVRFRVTLLTV SMINGLGEMDDDLYVLLRESLLNRARDKEVPVRVQAALGLAKLQSGEDADDLEEGQES LGEVLLELLKYDPAAEVRRAALYNLPRDPTTLPHILARTRDVDPILRRTVYAGSLSAT ALPDPRVLSIAQREEIVRNGLGDREGTVRKAAAGMLGGWVDHVEGDMIEFLSRFDVVS SQVAEEALISVFVTRPEILDTIEFSEEYWKSLTPEKAFLSRVFVDHCINNKDDSRVEE VLPVVTALAFTIQDEYNKLVGSTNDGDQDVTDRTFIVGELLKLAVNLDYADEIGRRKM FQLAREMISQANLPEPLIPKCLDILSKIANGERDLIRVVVEVVTELREGGEDADEDEA PMDSQMSSTSDRSIIRRRSVATNRINSEDPEERREAALIDLRCLLICISLLERVNTNL QDNSVFHGLLPDLIIPAVRNKEEPALRDQGLICLGLCCMIDAKMAANSFGLFVQQLTT ADDELQVKVCQVVFDLLMVHDINDLVSKTMPADKVVELVRHALSQDAPEVQAVACEGV AKLMLAGMINDETVLQSLVLLYFSPETADNQPLRQCLTYFLPVYCYSSPDNQRRMLSI FSDTFGLLAQLSEEAEEEEMPPLAQMGLMMVDWLDPQKTVERDGVVIDTAIPLDLAEQ ILRSLLTETSKEMRKGLVSFLSKLNLPEVEDRSADPIKLKSILALIHTIRAKRPLGDA SSKNALVKFEGILLKRYPELTEFDEDVFRGEGGDNERVEELWGFVDDVEEKDLDAQSD AGSRRGKKTSSNKRGGSKTRESSIMSDDTSTQFGSDDEETPKKIKSKKMQVVEEESEP EEDTEVESLLDDEEEDEDDESEDELAL
I206_07320	MSNIDTPSFPPTHLEASIINIRGRHFVDQYERVLGLRGVNVGGS SKVPNNQPKLQINEHASVSYVNRPFPLEEADIHWRRLKSWGLTFIRITVTWDAVEHQG PGIYDEEYLEYLKKLLESLKKYGLVAYIAIHQDVWSRYSGGSGAPGWTLAAAGFDLSN DGENLALSGAAFLDGIKGGRLSGERGLWPTGYQKLAAATMNTLFWGGETFAPSFTVPY KTSDGKQKRANIQTYLQDAFLRMFERLVDAVGDVESVMGFELMNEPHPGYINVPSIHE WNYNTDLHLGQFPSPLQSFAMGAGHSTSIPVYKRSFPFPTKISRYTTANSTGVSAWSK KTCIWEKEGIWRWSDKKNAAIALQEDYFTKDRKGRKIDFYQDFYFPFVQKWNKIVSTK GNENGRLMRMVETIPNEYCPEWDEEIRPNNMVYAPHWYDLNALFKKQFGFITVDVQGL SRGMFIGKALYFGARGAKVNYSKQIKTLVLEARLKLGAVPVVFGECGVPMDLNNEHAL KTGDWKWQERMMDSLISAMESANVAFNLWTYNPANRDDIGDDWNAENFSWYSDHNRSL AMKKAEDSDDLDVGGRLLDVIVRPYAVATAGTPLSSSYDHETAIFTHRYTSPIRFSEV TPTVEEVTEIFLPKRVYSEKSISFALSVGGKMLFDWDNQRCWVWFVDPPNHRTLDYGP RGTEDSKIRRIDIWVPDKVKTPSWTPSQIFAIILFVIIAILGVWLAQETEWRKEDSAL RYYGGGKRAWFEM
I206_07321	MSAQRTEEPVVPTLGENPAATASTTTVPLAQDDEYSREDDKSSN EEGIDAKDILNLGSKSKGVIEMESLKARINNKWRFVIYLFFMFVSYSLSLDQSTATPY LNYAVSKGFKLHSLQASVAVVTSIFSAMAPTPIAKFADYFGRVYAEIGCLVLYTVGQA VMASAKGIVQFSAGSSIHTLGISGMFILQNIIIADISSLRNRYWWLVAPSVPQVFNSF LGANVAASMLGRGDPNNSWRWGIAMFCLLIPPITTPIILTLWRGTRPSKSVKAQLKQI KRERVSQTTFGQRLWANTKDIFWKLDVIGLVLFIAGIGLFLVTLTLANSRYNRWSDAH TIAQLIVGFAITCGFVVWERWFAPIPLLPFALIKRRTVVGCCLLALWHPLAGRCVSTY LYTYLQVAADQSQISATRITTFPTIGGWVTAVIGALVARRFRVLKPIIIFGMFIETLA TGLMLKYRTSGSSQAELAIIQILRGASNGFLSYPIQALIQAAAPHEHLALVTTGWTAI YYVAFGIGAAISGAMWTNLVPDKLNIYLQGNQTLIAAAYSDPLTYATQWPVGTWQRDG VARAQDEAQRVMVIVGTVVSFVGMLTAIFVLENLKLTDNQSLEESEEYITSAEKSQKK ALTISAVRDGPVAAQ
I206_07322	MFSSRRTIISSPGKVLLAGGYLVLEPAFSGLVVATSSRFYSSVS HLSSASSSTSSSSAATITVRAGQFPREQSEWVYSLSVNDNAIHLEQTNGDKVGSNKFI HITLLQTLRVSYERIVAETGRSGHEAAQELIIRMTNGGQSRGLDIVVLADNDFYSQRE QLSALSLPAKIASLSSIQPFTSLPRPIAQTNKTGLGSSAALVTSLVASLLSHLDVVSL PTGNPAASTTSNLGLVHSVAQFIHCLAQGKVGSGFDVSSAVYGTHVYTRFSPSILTPL MQNAIDQIPISGDATLLPVLDPSKWDQDNRSFRLPKGLRLILADVDAGTDTPSFVGKV LKWRKENPQVSDDLWKGLDQANMRLESLLTDMVGREGEGDYDETIRCIGERTIKDVSE APSEPFSKRILSFTQLYSQTIRGFQRKMSELSGVLIEPPEQTKLIDACCELPGVVGGG VPGAGGYDALFLLIVDSPSVVARVDDLWSNWQEMSVCPLLAKQSDGGLRVEDVNAVKG LEQALTR
I206_07323	MSSPNGGVPIPSMPVSSPQSNNSRKRSKILQSSLELAFSYARHQ QLRYGGSAPSFIESSSSKSFTAPRTSDAEDQENSPFEQEDRDVSGRWADIEAAAGRDR KSSEFSTRSVPQEPEIVFEEEEEEVAEDDPITQDQYRRASDEPSFFHLDPIQAEQSDT QSEPPSTSTASPVKPTPSGQSLLRFPTFSPRVTSSTLGSSLGTRSNGSQTPRPGRDRR ILEQVDIEARDLEGRENNEYTSLVARDGMNYGTRLSEEDVVIIQSKVKAGGQSTDGQT LFNATAVLVGIGLLSMPLAFAYAGWLGGVVMLLGFGWLTCHTAKLLARLIRADPKLMG YTDIGVRALGIWAGGGIHILFCLELFALGVALVVLFGDTLNALYPYVTSNHWKIIGFF IILPTTLLPLRLLSLPSLLSSVSSLLLVLILLVDGFIRKSAPGSLRDPMSTSVWPEWT GANWLGGVGLVLAGFGGHAVMPSLAKDMKYPENFEKVVNKAFTIATIISFIAGAAGYL MIGQGVSDEITRDLMQERYHYPRALNLIALWMIVINPLTKFGLSSRPLNLTLEDLIGI SPYIPSSVTVKDSAFSSHDDVDALDSATKSDRDERHSFSSSSPNSPSQRSGRASRGFG ESDWSYRTTERRPSLNGRNLEPISLAKERRKAVLRVISRTIVTALCVLVAVLLPGFGR VMAFLGSFSAFLICIILPLVFYLRLAPRLKSGVNTRRTGSDKWSAFGHWFLVVICTIL MIAGTIWAFLPGSGHGELDL
I206_07324	MVKSIFKLSPGVQSYDWGKKGSASLAAQFGKSSVEGFEVDEEKT YAELWMGTHPTLPSKLNDSTLLSDYIKSDNSLVGEKVIKKFEGSEQGNLPFLFKVLSI GTALSIQAHPDKKLARKLFDERPEVYKDPNHKPEMAIALSPFLAFLNFLPLPVLLLNL LVVPELQPIIPSDLVTKLGASLTLPTTPSPDPFLYQPIVTPPTDEQKAILKQIFNALM SADKDTFTAAIRSLVKRYKEGQDIHESERGLVDLTLMLNDQYPDDIGILCVFFLNVVE MKKGEAAFLEANSPHAYIKGDIIECMATSDNVVRAGLTPKLRDVPTLIEMLTYESGPG NKQLLKPITFGDKDDASKLYDPPIAEFSVIKVELSSGGKTSHRKIEGPSIAIITQGKG SVSLQDDKVDFSRGEVIFIGADHEVTWEATEDLEIFRAYVEAS
I206_07325	MTTRKRKTEVSNAENGPAEISPAAAWVAPTDEEFKSLKRYKSFL LPPNNSYASGQFVWLAHEAPKPTPSRARTSSALPPEKKPRTSVIGPDGLPTPEPSPPG PLERIHAEVDSDPHWNAGLWIGKIIEIRAKDTSYVWMRIRWMCRSFGELKDAGVQTGM PRSKAGVKEVFMLGEEHDMLQPVGAVEGAAPVIVFDERNPLQAPFGSRKIFLRSEART PTETEIQTLSLKRFPGIVEPRSKKRSRVSTEGDISKHPGHLFPLRPPTCYCGDPYRPE VEREEPMAMCAHKDCLKWFHFGCLDWKNHHRRTATPSAIENVLTSGVDLMTLLPDYGL TTPAGTIPFGKDPSTLFATPAKDALQNEGKTDELSTSIIDDTNGQVPQYSQELLDRYT ALDEKLPNSVLRFAESPITRGTIDTGIVGNARLILRARQIVLENRKMRNNPKIDALQM AVVNEMIEEWKNTWEIDSSLDEKDNAAQQYNSSKSRSVIWLCPSCRRAM
I206_07326	MTFAAVTMLRSAGSYHSICSFSTAGPSKIAARYIPRKRLCSPER PKRPFSTLSKKQVNDLVPSLDQLGIQSGDNVTVAVSGGVDSATTLRILCELPINLDVI FMRNWDPILSETNFSSSPTSFSLSYTGTKANSLTSTCQWEKDWNDVQAITNSIGISKE KVKLVDLSKEYWSRVFEPSINVWENGGTPNPDVDCNREIKFGALMEYLPKSPRHFLAT GHYGRVDHTPSLPIKATLLRAKDQSKDQTYYLSQMNEYQLGRTILPLGGMTKTTVRQL ANYWNLPNANKEESMGVCFIGERGKFGDFISQYTSPPSSQGHLISPSGEVLGHHKGLW YYTIGQRAKIPNQLQPMFVAKKGVGENKQDILVVPGHDHPLLQCSGVITNKFHWIHGS YPSDLIDQANDKINIQVRHRMKPVPARVRKAENGGGVFIEFKEPLSGVSPGQVAAIWY DDWCLGSGVIRDTICLG
I206_07327	MTSMAAALAASLPAAITRTATPQQSTSSTQQSKQFPQRLEGVVD VEAAREVESVSLNSLVFLKIMKHSTDILPPPPANTLQQDRNAPPPTALSSHTDCLGVL LGLDLDGVMEVEDSFALPGGETSLGANSYSDRLLTHLREVQTPDSPVGVYLSTHNGGF ATRVSIELLSAVEKVSGGRGKAVLIVHDASKANGGDLSVKAYRLGEGAREAAKLGKWD EKTLTENGITSSTLLSSLPLNVTSPALISAFLSTLTASAEQVQPSLSSPSVPLPPTFS PLVNPTSTSLTSYLQNTLDSLTLHSHEANNIAFLTRQIAREKVKHEQTIKDREEENAR RRKQGLSEFPTISAELKNGTKEPSRLEMICLGGTVEGLAKGMGAEAGKGLVRNYL
I206_07328	MTLTIPARLLRHRGLSVKIHSIRYNHSQSGIDPIEWLPLPRSLA YRKALMLLSLPIPPKHWPSHLEMNSSLLAASSAHLKSKGIAVNAIYDGTGTETTFQKE ESCKARIFWPDGRIKTYDQYSMNSISSDELLLDLEYTPISLNVGSKQDIKEILVCTHG SRDCRCSDRGGPLVQALKEEVERRGVGDKVKINEIAHVGGHKYAANAILLPSLDMMSN LTVEHAPSLISHLLHPKNDSKMWNHWRGRYGLTEDQQAEIWSTFDASRSKDPTTNKSD VVPDQETVELRFKTYEGELRVVNARLGSNLLEVGKENDLPSLEGVCGGNLECATCHLY IPSKPSIPPIGEASEEEFDMLGYALGYRDGESRLGCQVRVTKELAEWSKKGGVIGLPR F
I206_07329	MVNESQGTSRPNTGFVGSSSTTRSRRHAIPEEDATKLQFGEFAE GEALTLTEVATLLVAARAAPNVPPAPDNKVYKSTAEYVNEFQNASMEVSESMRTALLA RPGFLNKFEIAQIMYLRPERVEVAVALIPSLERYSQGDETESQLQQLLDDVRGMARYG IRP
I206_07330	MTTPPGPSRSPRSTPPISTYRRSSSVSEAIQQFESKSRLEPNSP MEKKSPINKRSGIPSRIGSSIKSSPVSPRKEVNSVTSTAITGSEAGSSRSSSMIGIRR ESDSRDGGLGIGAPGGNKALEFRRATESKMRTTRPTLSPSSSVSSIPRLSTPRAAGSS SRVLSKTASSPHTNSKPFPFTSTSLPRSTSDSFYTSSSRGSTPPSSIAGPSRVISPKR TNAAVTVSQLSPSPKQRSPPLRRKSPKADLTKPRSSAETRRPSHQSLNSTSSQASISN TSAFFHPVSNTTSLAGSKTYLGEFGERETQPTPIALEAGSTHMIRGGPNRSSRSSQGA SPRINSTSSSMMGSPKSPQHMTVTIPKRTSSNPDSPKTPKSAGPRLPISPRTSSRRLS SPTRDYPPTISPRMASRHVMLEPTIESGRTSLDRRPSNRRGSSQSSVSRLSEVAPTIR EPIKRPTSAAIGPIGHSRALPGSRSPPRISQSTSPSPKGSPSRLAARRLSASPRKSPN LTIQTPPSLLNSRLSSFSSIASNAQPTPPVPAKSPLRSLSRENSEPNTSISSVRQSTS RSDSGESNMAQVGTPTSLRTRLGKLTESDPEKPKGLMGPPDIPKSAERTPPIEQTNSS SSQIVATPNEFRFTNFTVPSMYSQDSAPTTGNSWAWSETAARSSADGTAMRRQSRLGS VEWGKQKEMPPSSQRSSFDLGREGHRRSSSFPRMSMVSDKDLPFVPTEIPSMAATYGS HEPFDPASPVIVDHREPAKSPSLPILREGKVAHHTFTPDSPLSGTPSPALLASPGQFT VLRPAETPLRPLLPTSPSIVMSKRTHLIREIASSERAYAKDLALIRDAYMFRFLRPAS QYSTNGDSSISPSEVSRRSSIYTYQTAETKRSSGYDSTNWMFSNTSTSTPLPKSPSDG YNLGHMPGSAEGSSSSSSNVTPQPSLKHHKRSSSSIVAMAPPIGKPLSPADLKTVFLN LDQLASAAEDLASAFEQAMGEEDHSMGAIGREGEAGTDKLGQAFVAMIPRIRPLYNFY CARQSPASLRLMELQTDVAHNAHLKECWLSIKGRTHAWNLDSMLIKPVQRITKYPLLF EDLLNCTTPVHPDYFSIRTAAQMSKAIAMEIDEAKRRKDVVSNAINSKKAPATNTSPK ENKAPASKLLGLKRFRKDKAASSTTSLALSKSASSTELNLPAVIPEHSLSSFKDLVVK VEEIDQCVRRVGKEVILWTAAAKEVLVTEDGVMRTWLRVVQLEPSDATDRRMLEFRKV IDGTITEVWKDLNDEVRQQIMPIFAKLLESTSNPRKVIHKRDAKYMDYTKFHALKASK KNIERSVIQSAAEFVALHSQLVDELPAFLEGCLRIFDIALVGFARAQAKYHIGIKDRL AAYEEAWMVLPLSPNVQQSPINTSTSRGIVKAWHESWAPYAEAMDHFHCTRPARIAAT RIATFNAKSGGRPLSRSGSPMLSPGLRHSASVNSPTSLNGSRPSSPAPAKSGRFRSSS LRSQTTPSSITVTSPKDSNSSMFNLIRRSNSKHNVPKAGESPIRPAMHTRNSSGGGLK PSSASIISEASSRLSWGLPRISADPSQPIFEGLGLSPTKPNIELIRSNSKRATSYPVY TTSPSSNNLFTVDLNSSQVSLASTNTNVELSSVTRANGSGDGVGLGLGDVSNLALSRP EDSKNTRHPFATLPRSPSIIQTQRQRTDEIDAAEGWRNEQVIYQCACVADFDPADLGD RRYRGLKFLAMISGDLVDVFHEVGRIDELPSFPYPEVGVDNDGVLVARSENGQIGLVI CSFLEPLRD
I206_07331	MKFTTTAFFAILGLATYVQADSYANFFDDEDCNENGSIGFDMHN DGCFVQAGRKSVYIPNTGLISDQFCLVSTGPDGSCSCQSQAYDFTATGFCHTLDPTVG SYRFIHQSCGSDNCP
I206_07332	MRCFNLTFVFIALFVQLVAADNYANFFSDTECNEDGSIGFDMTN PGCFAQAGRRSVYIPNDGFADQYCLVITYDTQVCNCQNRGYVFTATGFCAVLEEGIQS YRFISGSCASNNC
I206_07333	MKFTIAVITFITLGLQVKADKYANFFTDEDCNEDGSIGFNLDNP GCFNQANMKSVYIPNNPLDWNQHYALVMSWDGKDCPCQNDCYEFQATGFCHKLDGKAQ ATDLSVEGAMSITVRSGLTALQFCFG
I206_07334	MPTLAGTYHHAESLSIFPYILPHRSVNDDAATSIVLVHGAFASH HEYEGVIASNILNEYHLLLPDLHSDGLDTSKGIDPFELSRVADLIFHLIKHRGKNGKA HLVGVSLGAHAVIATAAMHPEIVEGHSIFISGYNRFQPPRYIKPAAPYIFFGLSKLES LWPNSSRVDQVIDDGSQPPRGICTMKLVREIDGAIFGHSNSGESLIGQEGIHARTCVV VATHFLSGDRIVHAQNLLAKMHGGRQVEDRSILVQNRSMKHPWHVFEPNLFATAVRAW LENRDLPEGFVGC
I206_07335	MTIAPSIPLPGLSRVAVLGAGGASGLAAVAQLLDKGARPDQIVG YEARSTAGGVWNYDGDAGECQAIWSEDGTLLLKTEKEIYDAGRNGPTAVYDELRTNLP AECMTFRNAPHPDGTPIFPTHRGIASYLQNYAKDRELLPLIQFSTLVRQVFHTSGNND NSKRWTVVAEREDENGQIIHDSQYFIVTNGHFNVPFIPSVPGLNTWRGKLLHSRWWRD AKSVRGRNIVVIGSHASGTDIARDIALDDEASQGSLRKIYQSAREKQKARPNEDQEEQ TLYPNTKWKDHVEIVPVIEKVEGDKIYLKGGRILEDVDTLLFATGYLYSKSTPEERAL SAGPANRPINLDVTDTFYAPDPTLSFIGLHRFVNPFPLFERSARLIAHCYTRGEIPSL PPLRRDSDIPGDLNIGHPQEFENQDDWLRAIGEVSANVDLPTADFIGR
I206_07336	MRTLSEIEREDIIGTYTAPTGLYELVKTTPFLGSVSCSETELVA GSWHELRLTYTVGSSGLADGAWIKATFKFYSDWALFQTSDPKAANYISAEYEACPLLP GQLPSTVQALNVRFDQKGHERPFQKSIIVDIVDGFLNVGDKIHIRLGDRRMGGAGTRI QTFVEKDFRIRFYVDPVGTSRFAAVPGDVILQILSGPPASLVVLAPRLVKTSDKQIKV VIRAEDAWGNTVNNHTLSVTLSLINQSNGKVVQRRETCLPQQGWSTRTEMLSLGEEGS YRIQAVAQEYPSLSGSTLFTVKTDAPSVYYADLHVHSDDTVGTNDSLYNFSYAKECAG LDVVGYTANDFNITKENWNATVQLIDQLNTDNEFVIYPGTEWCGNTAVGGDHNVVFLD ERHREFPYDRHGNVARSFEWNDQMQTDTIEPGTWPIDELYATYAHAPESHLLIPHIGG RRANLGWHHPQLERLIEVGSAWGHFEWFLRDAVARGYKLGVSANSDEHRGRCGGGVPG TAVFGTRGGLTGIIANRLDRPSIASALRARHTFATTGQRLVGLTWIDDNFAKGQGDEI VARSSKDLTQVGYRFFGQEAGFESIEAFGWNGTIMKRDLQEEVGYSEAHIRVSWGGAR IKDRYREATWKGSVTVTNGIIRGVKRISVADTHPEETQWVNTDGSVGFTSSTSGDVDG IILDISWFGLESGEIHVQGTIEGYVKVGNALDPPPFKHCPTFSITGQRSGLYSSKPQL RKNLGGVDMFVAIERVSRPGQLPVEIDGVVDIAPKNGPHGFSPVCFVATQADGAKVWT SPIFVQFQ
I206_07337	MSTLNRIGQVGEIDDKSLELVTPPSGRVNPPLASRVRPYDTGAG PVTDAILNFLRIKGKHRDSEALDDIATQPSVYDGQHAEYYKPREDWENISAFDPSFRW TWREEQKAIRKVDWKIFTWILLMFLALDIDRANITNATADNLLKDLKLTQADYNLGNT LQNLGFLLSELPSQLISKRLGPDRWIPIQIIIFSVISGCQFWLKGRASFLACRFLIAA FQGGFIPDVILYLSYWYNKNDLPVRLAFFWSINYIADLLTAFLAVGLLKMRGIGGYSG WQWMFLLEGIATLVIGVASFWFMPQAPTRTKSKLAPDGYITDREAKIIVNRVIRDDPG KGGMHNRQALSFGMIFSSIMDWHMWPLYLIGLTYNLPSFPVKNYLQLSFKDLGFSTVN ANLLTIPTTVISVFNIIIISVISELLNNRSFVAMTEQIWFLPCIIALECLATISGWQY FAIATILLGFPYVHAIHVSWCSRNSGTIRTRTVSASLYNMFVQVSKIIGANIYQASDK PRYHKGNRALLGIICYNLIILYPGTWLFYRFINKRRDAVWNKMTTEERAEYLATTKDE GNKRLDFRFAK
I206_07338	MSAPYFLGLDASTQSLKASLLSVNLDVVSECSIHFDSDLPHYGT KGGVIFGQDGEVYSPVLMIVEAMDKLFEKIKSRGWDVKEIRGVAAAGQQHASVYWSKS SSKILASSDPASPLLPQFSNAFSRSIVPNWQDSSTTRECNALEDAVGGAKALAHITGS KAHERFTGAQIMRFRRIDSAGYEATDRISLVSSAITTLLCLDGEVKGIDESDVCGMNL WTMNKRERGWSQVLLEAIAGSEGAAELARKLGRVETDGGRPVGHVGSWYVQRYGFSPE CLVFPGTGDNPATFLSLTLRESEGLISLGTSDVVLVSTHKYHPHPDFHAFYHPAQIAP LSVQDTDKREGAEPLRYFNMLVYKNGSLTREHVRDLYFNKSWDAFNAAVEALRPVSKD DLPYQAAFWWLLPDIVPHGAHGVYKYVTDPSAGPFFEAATAKRVQDFSDPRKEAISIL ESQLLNYRSRSSSILDDQTDLSIPSTANIDISIPRLTRVYATGGASANRTILSMIADV LNTQVCKNVEYLDGKWQDAQWNSCSVGVAYKARWGWERTRNESRKWVGFDQVIKECRL ARRKLRGGEGERSDLAEEGIRIIASPTEGARAFERRVEWWRELEKKALNDQRSQAV
I206_07339	MAPKILLMGKLYWASQDAEQLLGGIADVVCMESTSRAELFEDFS SGGRYSDVVGIYHEHISDRTVGDPDEEFINALPSSCKWFAHKGAGYDSVDVRAAKARG IGVSNTPGAVDEATATTAVFLLIATMRRFSQCEANLRAGGFNPPGVEASARDLSGKTI GILGMGEIGLQMANYIRPFGCILLYHNRKPNALAPSDVEYIADLYELLGKVDVLMVSI PLSDKTRGFIGEKEIRAMKKGSIIVNTARGPIIDEEAMIEALQDGHLGSVGLDVFVKE PEVDQRLRDMPHVTLLPHVGTENQDARRKMEVTALTNLKEFLLNGVGPNLVPECK
I206_07340	MTITKPPTYFKLPSGDKFPSVGLGCWQSEPGALSKAVAYAIKVG YRHIDGATIYGNEKALGEGLRRSGVDRKDIWVTTKLWNDDHRPEDVMKACKRSLELLG LDYIDLWLMHYPAATDTNPKDPMDIRVLDISYLDTWKAMEECLDAGLVRNIGISNYSK IEVGNLLNNCRIKPAVHQIERHPYLPQNAFMKYHEEIGLHVTAYSPLGNTNPSFSERD SLPPIQKNPAVQALASKYNISTANVLISLQLSEGCSVLPKSVTPSRIEENLRVVQLTA EDIDTIKSTTQGQRARYCDFSDIIGYKYYVGLDDTD
I206_07341	MSTKAKILARLEVPQREEEAEVWQQSRWLNRDNVPLPPSRRLWG TWSYVGFWCATGINISGWTGGSSLLSLGLSVREAMAVAVIGQCLVAGACVLTGLFGAE WHVGFPLWNRTTWGMKASFFPLLNRIALSFTWSATQAWFGGQVLKTLLGSIFPSIYHM HNPFPASTAMDGSDFLCFCLFLIISLPLLYIPPEHFRIPFLVTAMISTVACVSLFIWS LARGHGPGPLVGSDAMKLVGVEKARGSALAWAMFYGISSQMGQICAGILNNSDYTRFA VHPRAQIMSQVFVIPIQGVLTCLIGIICTSVAAQYYPDEGLLWQPYSLLTAVQNNGGA GARAGVFFASLAFLFAQFGINIAGNAVSGGIDLASLFPKYINIRRGAYFTTLMALPMC PWALVSGATVYISVMSGYATFLAPMTGLMVFDYMFVRKRQVKLSNLYDCSPASIYYYY KGVNYRAAVAWVCGVAPCFPGFLASVSTVKVSLGATHVYYLCWPLGFTISGVVFVVLS KIWPPAGLGEIDEYDYFGTFGEPDGPSHSDLTKAAGIIESSSGKDPIDDVHTVPVSV
I206_07342	MTVAHKTLRVGVDVGGTNTDAVILDLTPGTEHPVLASFKSPTTP DVTVGIQKAISGCLDKSSTDKSRIEAISIGTTSFVNSLVERDPRKLERVGVVRLCGPH SRLCPPFVSFPFELRAVLEGPVWMVEGGLQVDGKEISSVDINEIRGVAAELKRQGTKT VAVSGIYSPIDHDLKQEETVREILQAEIPDIKVTISKEVANIGLLERENATILNASLL AFAKVAVSGFRESLKSLELDIPLFLTSNDGTLMTCDQAEHFPIKTFSSGPTNSMRGAN FLAGLASGGARKETALVIDVGGTTTEVGVLLPTGFPRQAGARHELCGVPLNFSMPHVH SIGLGGGSRVRIEDDGKVTVGPDSVGYRIDQSKAFGGDTLTATDIVVAEGRAKDVGDA SLVQDISADTMAAATARMKVMIELALESMKTSTQDVPVYLVGGGAILVPDALNGVSKV HRFPFFDAANAVGAACAQVSGVIDTFEDTSRLPLAEVRKAVEQRAIEKAVAAGSERSK TTVVESEIIPIAYTTGRARFYVKAAGPWTGAPVTHVKADKTVLASKASQANGTNRQIE PIPAANVRSKPLPKSDIQVTAQTLLVYTPTISDKGEWLLSEIDADWIATGCYILGCGG GGSPYSKALALREIIRAGGSISIIDMKMLEDDGLVIWGGGIGSPEVSQERLVNEEYNE AVSELMKFMRIDKCAALAALEIGGGNGMINMITGATTYLNIPVLDGDFMGRAYPTGWQ TTPNVYDYSGRGADSLLPSSMASGDGTVTFMTKAKTDRDVDSVQRAACVEMGTHAGSA QRPLTKAQCEQAMIKNTVSQAWRLGRAVALANKQSNVGNIGKVLVEALGGSRCAKVLF SGKITEVDRKMYKGHTVGELVIQALKADEEEEEDPENPKEKFEGIMRIPFKNENLMCQ HEVNGVTRITAGVPDLISVIDSQTGQALGTPDYKYGLRVIVVGVTAAPQWTDTARGLE IGGLGAFGYDGIPYEPIGEYVKPISVIDEYGSSEN
I206_07343	MSRRTSIHSTIINEQFKSLSEDEIITFLDDLDHNNDGNIQYSEV EAKLDQVNDELTPNPKTYNINHPSKEDAQRHAFLRSILGNDDVISRERFAERVRGWGI PSLKQELKEENAERKYMKTIGTWRRFRSWWAIKGPEIVFLALVIGSMIGFGLWQCLKY ALESRYTAAFGWGVVMAKTCAGALYPTLFFLILSMSRYFSTFLRKWYLISRFINWDLS QSFHIKMSLAAIILATLHAIGHLSGSFVFGSSPNRQQAVAVILSPELVPRPYIEYVRS LPGFSGLTALGLFYLILILSLPQVRRWNYEVFQLGHLLMYPIIGLLMAHGTARLLQGP MLGYFLAFPTLLILVERLIRVFIGFRGIPATIRTLDSETIQIVASISSYILTIYRPGQ YVFLQIPRISTFQWHPFTVSTCINDQLHLHIKTDGNWTRKLRDLIPTDEKEVKIKIGL NGPFGAPAERFYDYTHTVLIGSGIGVTPFSGILADLQAKHNGINGESVSVTKEGNTIG DDNATRVNSSSSNPDYEKRRSSRTLTEALSRTVSRRRSQSRRNSGTSPFPEDYKRVDF HWMVRDKNHLTWFSNLLNTISRSQEWHRSNNTNTFPHLDIRLQTHVTAKRKSITTHVY RWLLELHRTDEHPESPLTGLINPSHYGRPDFVKILDKHYDDMVEYKRLVGETDGEKLK VGVFFCGAPIIGKILADRCRILNKRGAEEGTGIQYHLMIEVFG
I206_07344	MSKPAFIWLVGLLFLLLGVDAQQLQGDCLRLLGSVVCPAYQYAY INPSNLSLAYPFFADVNSVASFDSAALSYFSNPFQYTNTKFGTSELGCSNASDATIRW EKTVLCSQWVNEQWSLGCTALYTTSMKMVCQQTCLQFSASENSIVNSTEYCPGTDLTG GTRETTLNKDFVDCTNWTTYSTNNTETCIRGEDNESNCGYGTSISQLCGFCNNDSPDD CCYSGSTDMSVCGFTLPVRVNGTSTSIPSNTVTGPSQSITSTNTATNTVSPNGTSAND TAGTTSKLRGGRLAGVIVGSVLGGILLLLLLLFLLLCLRRKRNNQKNQRDSVSSFAAS QARPNSTANGNSGGIFGLFSPKQNDNSQNGQPRNTSEKGLLSRNSNSLSQSNIMNNSS TPTMGGDTFYSPSTHTGNGNNFKSSEGLPLSTTAIASGAGGLAGLGGLGGPGNRNSIG TILPRVKDENQIGEIWIEPGMEVSVLWPYTATLPDELDLRPGMKLRVVRLFDDAWGTA EVISTNSDEGSKIGKQGAFPIVCVSEGSSLGSSNSHSSNSSH
I206_07345	MFALLPLFALLPSFASAQVTATFPNGATNPDKPEYYPIGSYVDS TSESRLITLNGVDDFCLWGPPDQSGAPENEIGNVEPIVVAYCTKPRNGARLIPDGAIT AAHFIKTPLYVQIHGFWDGTKVGIVAGDAGGELDPHGAENLGNPIGGNVTSNVGGSDV FYEEWMSFISYDQFCLRVCTAESGNVTAALQCEHELDIMGCQFVMAIEDFYQSNNSFT SCDGEPAAPPGLYPQSGGGYSTFRQRYTGTYSNEGGVGYFTVGQTVTPSSVAFYPATS NCQTYSTISNGVNTGDWAVTATPSILAAGSTQAVSVGSTSQSRPSGVSAAASTSGASS GSASASASASRSGSGSAAAASGSASASASASKSAAGANSGARASIGGSSNFVVGAGVA VVGVLVGAVTLL
I206_07346	MSNLPMLRSSIASSSRTTLIHRSTNIPKLVSTRLTSSTLPRHAI SSTILRSTRTPFQPVIFRSFTSTTLKRNDPSTSTTTSSPNSQSQSNSHSLTSDSEESP EDSKFSITQRLKILFKKYGWYALGIYTILSTIDCSLSFLAVHTLGAERIKPIFDNVIQ FYRLKRYGKEEAENLRLEDEEKRIKELKESESKSITTIKEEKNQWFSKTFWAELALAY AIHKTLLLPVRAGLTVAWTPKIVNWLASRGWVGKGGLTRAATHAQGKVKNASERVKDR VKKP
I206_07347	MNNSNSNPNSNSNSNSRPSSLERIDNKFDQFDELFLDVYDQVES GRTEINRTPGGEADALFYRYPDKYLSVLKSKADQYQKEAQSIGPTPTLMPNTPAAFST TQSSSILTPVSFTSPGKSAIATVHTTNIDLLTASLKDHMTSSEMIGIQQQPNDKNVEK EQSKQQTEGVLRKALMVSVDKRVCTGRLWDVYRGSLRLNHDYDSGNEVDVIVKIMRPS YFPEDYPSDVEDPLGKDKGYHIQSNASQCAFYEDRIYRKYLQPNKELQKLGIVPRYYG MFCYYSEDDEEDGDEVINKTPELFVMILEDVGAEFESARGGSFLWEWDEQ
I206_07348	MPVASVTTSALGSLTLLEDEDKDIRVYALNHLLSIVGQFWAEMS DKLSYLELLADPMSKELPIESRPQAALLISKIYFYMGYLEEAVEFALKAGSSFEKEKE GEFRETIISGCLDRAIDQTSRGEKIDPALRVLQVKMVNSLVRSCDLNDVLSCADYMIQ AMGLALSLRRLDLVEMIYLTSRASSQPSTSSQASSSRPTHDESLLRYLFNLLLRLFNF NPNPDWNSITTIWAQNFDVDACGDALVKLVKDGNHLDAYQIAFDLNEVAPQAFIDGTR SKLAERGLAPPEENPDESDPQVILDNILVGVTSAELFLNFLNKNNKTDMSILKVTKDT LEDRYSIYHSAITFTNAFAHCGTTSDKFLRENLDWLGRASNWAKFSTTAALGLIHKGS WVNGVKVVQPYLPGGSAPNKFSEGGALFALGLIYNGRKELAEVELKKGLGETMDPIIQ HGAALGLGVSAIATADEDIYDQIRTVLFTDNATAGEAAGYAMGLVMLGTASEKALDEM LSYARETQHEKIIRSLAMGIAFVMYAQREKADGIIRILTEEKDAILRYGGMFTIALAY AGTGNNKAVKKLLHVAVSDVNDDVRRAAVTALGFVLFRNHTQVPRVVQLLAESYNPHV RHGATLALGISCAGTGLETAVELLEPMTKDPVDFVRQGAYLSLAMILIQQSEAQSPKS ASIRELFSKVVADKHEDPMARFGASLAQGIIDAGGRNCTLNLSTRAGTLNMNTIVGLT LFVQFWYWFPLAHGLGLAFTPTALIAVDDKLKLPKIDITCNAKQSLFAYPSTEKKVEE KKKDKAKTAVLSTTAKAKARDRQKKVEAGEAMDTDEKSETAGTSDKPSTSVPAQKKKP SEPSSYTLSNLSRVTPNQLQYISFPSEGRYAPIRPSFEKPDGINASGSIVVVKDNTPE KDGEYVELDKSLWPGQSPEQDAQNEVPQARSSAEVGAVSTTGAEEEDEEIEPPQSFEY PFED
I206_07349	MTEVASLPQKTSSKRRTESSEKGEIWWTNGIFFVLIHIYAVIGI FYLSPITSIDYRTAIVCFISWQLASFGITIGRSLSSYTKHLPRYHRLWSHRAFTASTP LKIVLACMGSMGFQGSIQWWVLRHRLHHRFTDSNAHDPYSASKGLWFSHCGWIFRKPS YPRMKLIDREDLLADPVVQFQHKNFVPIAFFTGWILPAMIAGFGWNDWIGGLVWGGAI ARLLIWHTTFCINSLAHWTGVQPYTEEVTARGNYLLAMLTSGEGNHNFHHAFPKDFRN GPHVADWDPSKWIIWILHKYTSLVPTIAQTPESAIRKARARIYMAQANRITDALPPHE VVKSKEQLPVWSRAEVRKRHGEYVKDDHIIRRRVLILLEGCVVDVGGYLNDHPGGEEL LLSHCVLPLSREEEDTISSQDIDSGYASSEISSPHSKSSFKLPGTKMEEEDNYEDEED QIIILKDATRAFFGGMNNHSIAAKEWMRCLRVARLEK
I206_07350	MSELQQVLELLKKLDTKQEELAAQIETIKQNQSTFQPNPSTYPL INSNSTPSTPIIPAGTEIIPCSSIPSSPRTNSGGVEQLRLTRGSFSSILQPSSSSSLL SFSSSPPNTNTNTNTNGNGNGNTTPYGNLSLEAITHGSGAPGRLSPPSGTEKEKDGGK KKGYPSRVVLTTYPSQAGINPIPINWGAGPTARERGPVVCSRIKSNLLIRNSIGAHSG SYSIYRALSIAMGQLRTDWRPDLTNTHPPFVLPPTEGWFGNKIVSFDPWGAMSQEIWA KEYAEGLDVRPTISQTKAHIKIEELDALARKGEFPVDGDIVIKSPELAAFPGVDQGVE VNTYKAAVDPVWYLPGVADRLGIDESILRRALFEDTGGMYPELLTRPDIKIFLPPIGG MTIYIIGDPSKLKDPSTEITCRPHDSCSGSDVFGSDICTCRPYLIFGISEAIKCAQRG GLGIIIYFQKEGRALGEVTKYMVYNRRKRQGDSASEYFNNTEIVAGIKDARHQNLMPD ILHFLGIKIIHNLISMSNMKYDAIIKSGIKVINRYEIPEELIPADGRVEIDAKIQAGY FSKKEMTEDKVKLTKGRDWGELSIEFEYN
I206_07351	MSVRLNMLRPTTSIIRNSLAVRGISTSTPKRASHDDSHHGHGEG AEDAYTTESFFTPFWRNTLILTTISILAYPYLPSTSTNTTSPSLDPETWSKSTKDNSL PVVTRLLAQITPKAEVWTQRNDKHLELSKEAAETKLLFQEAERPKVLRMRYPSSFEQA SPHNIAVGSQADLTDLKVQL
I206_07352	MCIRQADTDLRSGVVVGDGAVGKTCLLISYTTNAFPGEYVPTVF DNYSASVLVDGKPVSLGLWDTAGQEDYDRLRPLSYPQTDVFLVCFSVVSPPSFENIRT WIPEIAHHAPGVPIILVGTKLDLREDPVTLQRLKERRFSPITYQMGAQCARDVGAVRY LEASSKTQKGLKNVFDEAIRAVLQPSIGKSGPGASKKKKKQCVIL
I206_07353	MEPFEEPSLSNSNNDINTIENDKLDGCAPLFRKRGIPVKTFIDR KKKVYEIGWLKHHGQESTDGKIQYAYGTIEARINGDVTNSNHSKTIGDYTAFDKYGKI YTSLSIRNSSGKVGRLVSYFKVEDWQGRKCDWVKDTLLNLQKTSVFYPDSTIEKYSDL KSDDPSFKSTGFDQDNIKEQVRESLGFIWDTIGRPWDSCVVGDLPPEFLN
I206_07354	MTSREGSSMHLNNNDEDTNDGPTIDGFTFKNPGEIAYEERDRSR KLLAQGQAFKNGQFQYMYGIIQTVDSINRRLEHLGDWSISGDGNDIYTSISISNKSGK IGKLVSYFNIDDLISRNDNTKEVWMNETLRNSQKNSVVFYPDSSVERYSNLVFDDPAF EDLTGFDKKTIEEKFRAPCEIIWNVVGKHSYYDESKDQSVISQS
I206_07355	MSSTSAKKPVRLVLFDVFDTLCTPKLPIHEQYYDEAIKGGLSPG TITPLSVRSAFKPAFRAVNADWPLYGKHTHPALTPEEWWTKIIYQTLLEAGASSSELN EKIGMIGPALMSRFESDKGYKNFPETIDCLKELRRLEIKTSIISNADPRILKTLDSLD ILPLLTYPPTLSWDVEHAKPSPEIYNAACAACEASPGEGVIMVGDELKADYRGSVTAG LEGRLIRREGEWSDGAVRVDGEDLSGVRVIKSLNDVLAEIQARRST
I206_07356	MPEANKALQEEELESLRAIYSGEWHDVPPKKTAWGTELDGGWWE VTVKSMEDERVSVILRGKMISAYPQQVPPLSVKSPEYLTAQHVQNLHNLIQEKAKSRV GEAMIFELIDTVRDFITDNHAPLPSPGDVNLMEEKARREEAQRAAEEASRITEEENKQ REEAKTNRYLHDQIQLKTIQKKETVDQVKQREEERRRQESLATLDVGDLESRYLELDQ SISMEGYEGRWKRWILFGGKREVIWTNYSAEPENYTLNGRTEDTDDNKNTFVKAASPC LNVQIVDFAASYYLTPQGVKRIDQCTVEALRVKEIRSDHVLKVYAVKRCKSPKGWERL ILVTEATSEGGRLRSWIPREGFGELLAREYISQILLGLSEIHSRNATQRQIDLDFTLV SNGNNGDKIVKLAGTGYTRRIIDLHRSNNFLRSKIEVIPEEWISPDERDSPHSYSKQR DLWHVGLLLIQLTYGPEALRNYHNLTSLLHHGVELSDSMTDVLSGLLNQNPKKRLTAD DALIKLKNTDEETTRRGFRRTNSGHVPHNSLSGGPADPTQSFLGISPVNRGLFGYMPT TPQSLAPRLSRYRTDFEEVEFLGKGGFGEVVKARNKLDGRSYAIKKVKLRLEDDEAKV YREVNNLSRVNHQHIVRYYSCWLEDAKPPQHTPTADDSTPGPAMTSNTGSTSEEDIFA LNFDDASFSRRDQSRSASFPRIRFANEDDDEDEDEDSDDGSSSSESDSDGDSDNSTAA DPSEMRERGRSANVPIPPKPSQSITDATTDDGTVERVLYIQMEFVDNQTLREAINRDL TEDEAWRLLRQVLSALAHMASLGIVHRDLKPTNILIDGDGNVKIADFGLSTTDKNPIE PSHGTELLANEVDRTSNIGTGLYIAPEVLISKSYNEKADMYSLGIIFFEMCYAFKTSM ERAHILNAVRQPLITFPPGWPTTHKPNERAIVTRLLSHDPSKRFSATELLRSPLLPSP EKRKEDWDAAIIELTDPKSSQYQPLLNALFDKTSHSIADIDHRLVDYTYDNDSDDQLQ VWLTVVIQRLVDLLQRHGAVESYLPLLMPETTLLHTFPGLDPVRLIERSGQIVQLPSS DLLAMARSATRRQIERIKRYHVGRKYSNDQVGGQPLVSGELSFDILSPLRSGAAEAEL LEVVDKVISEFRGMRGSSSVEYDFHISHETVLGIILGIIPDRPDKPRRKVLHEFKRLG ASHPVTATTHSRSILGNVPGLLKATMDELEQCCIAVDEFELVKGKLESLFPSSKRKLA VAFDDMSTVIKLARAFGVTRRIVFRPTLARHSEFFRGGFMFECVRRGKQKEVVAYGGR YDSLLEHFKQPAMHSQSRRVFGVGMTIAVDQLARIVSKYESSLSRRLMEKPNEDERSF GYWSPARCDVYVAAFPHVDLSVRLSVIGELWRAGIRADLQYDDERSVEDVALECQEQN ILYLVIPKASKTVVKVRSILRKSEEEAISDQRRIDASYASAEGSMPSAQAAAMSVEPK QAEVDIKLLLPPEPMSAKGTKGRPVRKFRHGTKSVYYEKASEFALQTRSNLPILGVDL PLSMLCQMTFDTSWVNDDEAWRALLTREGISTGDRRYAETVRDAVKDRKLGGSANGST GSSLNGSGDWCWLFSVREAKGFLLQIKLETGK
I206_07357	MPPKPTNTLPLPYAQVQQPARREQRQPMRTSKLGTKLKVLPTQP ETPTIPEEDEDDDNGRGLSERDEGEGVEFYTPLSQIPKGTARRDAQRLTKSEKAKLPR VTAYCTAATYNLPAMQAYLSARPVSYRTHPRIFDTECLHTPYLPPPTSSSSNFRSPHL KPVQSTNQVPEADLLNLSNNTNNNENHPKRPSSPSRNNSGEVKRRTGFTKRPGPSNVR RKSLKDVNDDGYEGIVNGKENGGNGNDSERDDDDDFEEEEWVPDVFLFEYGTVVIWGM TEKEEKRFLSSIKKFEIERLSTEDVEMEDLNFYYADYSRIYNDVITLRKGSSYMTKLS LSHALSQSVKISLFEELITSTIEQTKDIPKSLSETGKIGLPRSEIMKQIGNLFILRIN INLVGSILDSPEFFWTFPDLEPLYGAARSYLEIGQRVDSLNARVDVLQDMLKLLKESV NSSHGERLEGIVIILIGIEIVLGIITILVDLSLT
I206_07358	MNKRGPSGLPTKPYIQNNGPGPAPPLPAGPPPQMQQPYPQGQVD QAAHAAAWAAYYQAQGVNPGTASYSAAAQPATPAPVVQGTANPYANYGYGGGAQHTGY QPVQGQQVGPSQPFRPPPNTQAYATTNTQPSLGYQQPPSGYPQQPQAYSPNPTAGYST PQPQPQPIQGQGRPPFVQQQQNYNWGAQQTQALPQQPQQGYGRPPPVQQQAYTPQAGP AYPQQPQQPSQPFAGGNQPYRPAAPAQHSPYRPPINQHRPPRPPISTPVGGGFPPAKR PRFDGPGIGGVRPPNAPMQMQNSPTPSIRPPSAPAAFNAGGVNGGQPGFGNTSVASRG GLSGSVGRGGAPIPPSRPPIHLGGGGPPPFGIGLGRGGSLRGGRGGRGGSVGVVGAPR GPSVMRVGRGSLPPAPIKKDTSTHNNTPKKEKRKEELRTTMTDFRIIGIEVKGLDWSW GKINGEEEVEEEISKTEAVAKVEDPLISDDLIKAESVEATKAEQLEDKAEIKAESEDT GDDRIDSKEQDTEEVKAEATEINGNEEASAEVAESEVLEEKEKRGEKRKAKSPDSEEE TAAKKRNSSYLLTHNKPNHPNTVIEPSSTNIFQSNQNRFRIYFDSPPELDRIPKSARR KRGRESSSVAPSRAEEDVEVENETEAVVEQSKDAKVEEEQAQAEVAPVNEQEEENGES GNAEVTINEQAPAELSENIVDPPQVEEADGQVASEAEKAILPETSPEQLASAEQPEQV EEVADIAITSDLGGATASEDAVVPLESLDVDNTTESAPAQEFEEIPVPNPAETEIAGV PNVPDLTAETEPKVEDSEPAETSTNGLLSETVEGEVVASVQNVDPPSQLQVENSATSR SRRQSEASTEYQSTVIGDAPPPPAVSNPTKAVPSTNRLSILYEKSSRRICIDSDVVEE VKIWRKEGKIEVHLKELQPEGEMGLPKGILVESYDSTDQRYSTLNSTNIPSFFEEPDY TIPNEEGKSIPPFHRFMNLSSSSSSKKIENEMDNQQNIQSETKEKGKLILIVYLNKKN PLSEPKWCRNNSADNWLYEQFGSIRKIEKDEKKSNRENESLGWKNKLKIIDPDPLPNL KQILENWSLNSITFGTKLKREKFINFLLNSPFETIEILLKLIRSNNNNDRNSTYSSNP YSFSFFSNNYYNIIKKESPFLNYQNHISFAVLAMYKLTTDLIEKMDLSQNNNENENEN ENELKKLKEIEKLKLNENLNEIIASLPNNMIFKSLDGLWKEWNNHKQG
I206_07359	MVILSSTRASLFRNIISKRGLATPSTLPIKDCTSITPPYPRLLE TLDKVRDVLPKGSKLTLAEKILYSHLRNPEESLGGNGKIRGERYLKLRPDRVAMQDAS AQMALLQFMTCKLPSCAVPASIHCDHLIQAQTGAASDLTRSIETNKEVFDFLESAAKK YGIEFWKPGSGIIHQIVLENYAAPGLLMLGTDSHTPNAGGLGMLAIGVGGADAVDALT DTPWELKAPLVTGVKLTGQLQGWATPKDLILHLAGKLTVRGGTGRIIEYFGPGVPAQS CTGLATIANMGAEVGATTSTFPYSDNMRQYLHATGRGPVAEAADAAAKRGFLSADEGA EYDEVIEINLSELEPHLNGPFTPDLATPLSSFSSFLNTNKYPTNLSSALIGSCTNSSY EDMSRVASIAEQAKAAGLKSKVPFLVTPGSELIRATVEKDGLQSTLEAVGATVLANAC GPCIGQWKRDEHKGEDNAILTSFNRNFKARNDGNLKTMNFLASPEIVTAMAFSGDLNF NPTTDSIPTPNGPFKFTPPSGDRLPPTGYSAGDLSYAPSPSPVPQPNTDIAISPSSTR LEILEPFGTNFKDGQGELPPMTCLMRVKGKCTTDHISAAGAWLKYKGHLSNISENTLM TAVNDELDSINKAIDLDGKEDTIPNTMKSYKSKNEPWMLVVDDNYGEGSAREHAALQP RFYGGSMIVARSFARIHETNLKKQGILPLWFIDKSDYSKISSHDKISTKGLEKIMKGD TSSEIITLEITKPSGETLEIRTRHTLSKDQIEWLRFGSALNYIGAMAKQSGKV
I206_07360	MPFSASSIKLTFLTQGINAQDITKLKAAGIVTVLGVAQTTRRHL MKIKGLSEDVVAKLLPPPFQSGTEVAERRQSVVYITTGSKSVDAMLGGGIPTQSITEV FGEYRTGKTQLCHTLCVSTQLPEDQFGASGKVAYIDTEGTFRPDRVKSVADRFGVDAT MALDNVLCARAWSSEQQCDLLVELAVRFVEDRTYKLIIVDSIMNLFRQDYSGRGELSE RQQAVDQKLNQFLARLQKLAEEFNVAVVLTNQVQSDPGVSDTCRSALADSHSLAHILL RSAVRMQLRKGRGDERIAKLQDSPDMPEGEATYVLKSGGWEDPS
I206_07361	MSSDDQSYTIGIGLMSNNSWWNGDAWVPLNNRSNSRNSPTPTTP DLTDGESAENTPGFLPGHDELNDREMGNGRYEMTITFDESITETEDEAEIHLYLTDPI RPLNDGLILSTEQEWVKYNWRIAAHNGNNWTSVNEAIENEVVPSDHPRHDAALQDVRI AMSL
I206_07362	MSQVTFISEFRAPRSISYGWEKSTDGTLKMMVYFEGGYAGHPKT SLRVLNLVLTKNPNETTCEDWINVSMRKGGRFAEEETSVEQALSNDVSIDENFKKDLI DDVKEALRNFWNDNRTKI
I206_07363	MSTSSSAEAPRRLICCIGTGGTIASEPTAGGLAPTRQDSFFRRI RSHPSLTSPIHFDSSSVSFSSPVNTIQVGKNIKYPRLITPELDDNGISVEYEILDLDR HLDSSEMTPSEWNIIAELVYENWNNYDGFIILSGTDTLAYTAAILSFLFNKAGKPIIV TGAQIPLSRPRSDGWTNILDSLFVTGTLDFAGVGIVFNHQLLKGARATKSSPNLFGAF STPCVPPLINFNVKITYDTSTNLPKRSSTPPPPLLKLLTDPSVLSIHIYPGMTGSLLE AQIIAVPTCKAVIISAYGSGNLPINEKSGILESLKRMVEKEILVVVISQCGIPNVYPL YTQGRTLLSIGVLPGYDLMHEAAFAKLIWLVSRPELSFKQRQELFETPIAGEMSL
I206_07364	MRQKRAKTYKRVMALYVQTFGFRHPYQLLVSHDVLLESSKSDMD IVKILKDVVQGEIKPMITQCCMEALYALGKDQQATTNIAKTFERRRCNHRTAIDGNDC LKDMIGQTNKHRYVLASQSLALRTSLENVPGLPIIHFNRTGVLVLSPPSIATIREKNK NEEEKRLKGLREMEGIIDGENVVAPNNTAISNQPIQGGGRKKIKGPNPLSMKKKKSKI PPPSSGVESKDNESSKKRSREEKDGITVEGDDVINESEGKKKKRRRKKKSVVAEAIAE LNARNSEGESGSDGE
I206_07365	MSVKVEEDDWETADISLPSSTKTKPLAPPLPTLRPQAQSFQPRP SQSNLNSSIGYNRNQNQNQNQPQASSSRQPKLLKRNENEIVEKEEEKEEEVEDDWFRG NKPMSNRQIWDSANSRSIQTQILYPQPLPEPKVQLLRRPINSSSSSNSSSNLNLKENN QKKSLVEREEQYRLARERIFGQQKSNSPNNNDDNIINDNLNKNKRENSLEGKKRNNNN SKIKVEEEELNWNGLIPSQIKSGSNTPNSFNRQSPISFQKSNNVVRQPLGPGNGIGFG SPTTGEIGSPSRNR
I206_07366	MPVPSSGNIPTSVPLHRIRFYDHTPSPITALSFAPLPLPPPRDP SSSKGKSKDASAINSKEEFGVLILARENGEVEIWEYVRGDESNMSGNWVLEKTLPPTL THPTISSIALVIRDPLNFHRKSYSVPKVEDLRLFTAGSDSNELTERCLLTGRILQTYD IPSPPLWSLSVAPTHDLLCLSTSSQSLHFLSIPSPTIFNSSPKLEPAPSHLLRCDTLP SRTRTVSIAWGIPKLVKLSENDENSEQWEWQNRYLITGNSDSSFRKWELPPPLDPSKP SQSNRITLKSTIVWGVGVLPDHNFVTSDSLGNVTFWDGQSMAQKQMFRAHKADGMCLT IGPGGRSVFTSGPDQRVCQFVNVPSTSSSGSQWLLTSTKRIHSHDVRALSIFPPYVPV LTAPINPNHAPVLASGGWDMSLTLTPAATPDSTARNPLGKSKGASRAIFEESFSRKMG YLSGGRNNDRISFAPSARLMLGRKERGVGIWKVLEDEGGWEKCLEMELRLRTNLITSN ISSNGKWLAVSDLYETKLFQLSVQGTSLRPIRVKSFLSTLLSSPTLEGLSIPSKGCGS SSLIFTPDSQRLILGLVSSGQLLVLELPEDASGEVEVVKLFTREDKIVDGRVVKGNPH TKANGVPNGAHEDAEMGNSSDEESIDEEGTDEEVANARIEENGAWISCLGVSEDSQWL AVCDLQGRTGIWNLDTLQLHATLPTLPQSPISLTFPPSCSILLLALPNNTIQSYHLEN RKLLPPSLQLYDLNKHLNNLHSPLNGITFSNQMNNDNKNKNKIKTIIWGMDWLITLKI DFEELINNNNNNKRLSLGLGGNEIEILNNNNININNKALKKKRAREAKELRDSLTLNN NLNLIKQNQNGIQNGEEKEKEEKEEEEEEEGKIKVIKDKFKNILNVGWIFNKNELAII ERPLIDYISELPNAFWSGGYGRA
I206_07367	MAPLPSSHGSSSASHPKTTPPSVLLVIIDIHPLSWSLLASPPPL APYPEHLGIDKAQASPMTLPDFVTILMGFLNAHLASRWGNEVVVYGASAGRAKLLYPP PSNAVAGPSKPRSNTYLPFQLLDSRIEEGLKEMALEERERLERGDVKSINHPPAMVSA LTKALCFINRIIPNNANSAALMDPTATQDPNAPSTNGPDKPETRIMVINATPGENVST AQSDSNENSTANGNTQNNGEASQSGKGGQMRGGYVGLMNCVFAAQKAKVPIDVLSLPP PSIDASPPIFLQQAAHLTEGIYWQWNGRGGLLQYLHALYLPPPSLRHHPFVVPPQDAV GFRAVCFCHHKTLDVGFVCSVCLSIFCEPRPVCLMCKTRFPIKSIPTLKSLATYIEPI PIPDTVPPPPVQKGAWSNQPAEHNKKERRKSAHNGDKAEPIEID
I206_07368	MSYTGSQPVSSAGQVFRDTSTGRPFTVDSMGKSQWVDTPYHNPN DTVVPGNYYSPRNGPLPASSSFAYGPSTDSPVSRDPMFNPRYNPQAKETGSGSVSESA SRSISKDTKTVHYEVKKTDENTYEFRKHKDSKVPSFFFRSGENPDNQDELGISYIGPS NDSAFVYDINFKFIMKRDWFNHDEDKVNEEIVSAAINGMSEIYKKQPIEIQNEVLKYS FEESINNVRSQRRTIANWLKGTA
I206_07369	MSYSSRSPSAVSCQYSGSWCTHDHCVQIATSASFPPPGTYAPAT FFSGQPMFTEPGNIAQPGNIHAYPSPGFPPSRGPGMYSMRSSGPGMEVPSGHTDSGYD EHFPSHQSARRGSNYGVPPPPSPTQTRSHRVHRPRNDSAYYSGSAGVDDVTERLAQMT ARRGSTAKEQTGQPKRQLEIITGENDPKNNDQYHEVFWRLPAKYFSKKSNDPDSNCLV AFHITKNFDGTNDGQSHWKTLCGTFENRLKDLSLAKVGKEIPNEEFPRLVDEVESYHA RWWQSRIIEPRRARELNPESADKFIEGSRLFDYGKTTPVIYNKDTEDEIRRLAYRQIF HPYDIPSDGIIADKNDSATATVSLTYDESDVKWLKQKETVEIPFKEGWHKYDNETIQS KIATLFILDFERQYLTKADENSIRGHAVNLVKNSRAELAAESASHERGRSHKPKK
I206_07370	MPSDTPSKRPLTILPVFSNSNSSSSSSSSRSPHIRTPSIINNAN VKRRISLPRSPLIKFLLFLILIIGLILLSKIGNENNNGIEEGIWDASSYSERRVQSNP GIDIRKLKNKFGLIQPKLSNNKLNKGKKIIDDNNDDEEEKEETEYLNQLENGIGKEFG YNSSPNFNLNYINKNKNIKNIQKPNLLRENNNNTITKPLFKGKSRKIIKGSSPEKYLI GPLPNLEESWEFLYPLLKEVKNKYEFIPREHELTEPIFPPFLNQDLIKRYRHLREEWN EENQEWSVVNDRRWFLVTVCRQVAGMLADWFATWTVLADFLGPESLVFSLIEGDSADG SGEIIAHAMRAHLLNIGVPPENINIKTHAPKFDWEQIHRIEQLAKMRNEGMKPFYDTL PSGLSPDGNPWTGIIYYNDVYLSATHFLELMHQHFKQDADMTCGWDHAGKWFYDGWVG RDMSGDLYTPFPVKEEDKDLPQKLFPSSPGTLRRYEKMLPFQVFAGWNGITVLNPQPF MSPYNVRFRRGKPRTDKFWECQASESSFISWDFWKFGFGRIQVIPGVHATYGKEDAML RGWVEWPNPTGGMREDIDWVDEPPSKVRCHDWPDKPGKGYWAWDTVRWVDSPKLEIPK 
I206_07371	MSDLEASVNGNGIIHEGDIPKYEPTSIDQIDKIYTTLNERFNSG KTYSLAYRLYNLKQLAYLIKDNEKRIHQAVYKDLGKGAFDVSLGDLWPILNEVDLAVR RVKGWMKDESRIWDAMLSFKFMRPRVKKQPKGPVLIISAWNFPWQLTLIPLVGAIAAG CPAVLKVSEHAPSSSALLAELLPRYLDPEGYAVVLGAVEESTKLLEKPWGHILYTGSG NVGKIVATAAAKTLTPSTLELGGKSPVVVSSCANVKIAARRMFTIKQMAAGQVTNGND RSVAPDYVLVVKDKVDEFVEACKETLDSFFPPSPSPLSFLHTPQSSSMRNDADLDRMI SYLDKADGEGKLVNRGELNKESRRLGISLVKTNLNGEGETGGLVEDEVFGPVIAIIPV DDIDTAIKYINARPHPLALYVCSGKRSVFTKVINETCSGSATWNDFGFATLARNLPFG GAGASGWGSYHGKDGFDTFTHHKAILEIPYLFEPLMSLRYPPLSNAKKAVFKFLLCSG ISFSRPVSVEGEEGKLKTRKVVKWMSTILVGLVAAYIGGLRWK
I206_07372	MYIKASGGLIRRARNLVRKVLGPSKPTTSELPPPSTSITLSTTI GEKSYNYRPDKFFRNTSNNISPYAIYVFLVLWAGLFVILVRQQYYLPNTPQIIDCNAA PWDDWPPDVCGIGGGTCEHDLTGINGNSFRCLGGCANSKLGNSRYIGSEKIDGKPVII GGGDEEKTYRADSWLCPSAIHSNKISPTLGGCINFHALPYPNGWSNYQSIESNGLNST SFQPNYSGAYRISSFKTLNGCLDLHYIVTGFNSFCLILTTLFLKPKTNLLFIILLIMG YFQLILFSDPPNIQPNWEIIIGRLPTILISGYWFYKISFKRTLNGFKELPFEITIWQG IGFWLGIESSTIFNKLPITRLGYDSLNSSGIISLICIIIVAIIVIILQAFEMRKYGLL RYYLIRYIPLIPIIIILAFIPNYTLRLHHYLFAIIAIPVLSLPNRISLFGQAFALGLF LDGVGRWDWDSLIQLTESLVGDANEGSFVPSFWSNLTTSTTLFWDPITSINSIYNVTG YSILIDDLQRSADYTNSSIDLNTFNLTSGIDHYLRIAYIANGTSLDFSDPVIWFANRS WSELWSTTKEVTGNLTNL
I206_07373	MAVDFEALATKYYPYAQPAGTALLLLILAISYLLNSGSKNRKVL DPVEWRSFKLVAKDHLSHNTALYKFALPRATDSLGLPVGQHISVAAEIDGKQVVRSYT PTTLDDDKGHFDLVVKTYEKGNISRYLSLLTIGQEVKIKGPKGKFSYTPNMVPALLMI SGGTGITPCYQIIKSSLKNPQDKTKLSLIYANVEEDDILLRKELEDLAKGSNGRFTLY NVLNKPPANWNGGVGFITKEMIESHMPEGGVGSPNHGEGHKVLMCGPPPMMNAMKGHL KELGYPAPRTISKLEDQVFLF
I206_07374	MLLELMKYFQYLSLKACSEYPEKQKCYLYKDVSIRPIWSFIILL LLQSVKGQSTNATCSSIESTSWMFNNDGESPCLVWSKIQSLCLPQTSYINVPPLLDQS YSYNLLNPQSSQCQCNSISYSLMSACALCQYPNAALPSEQEWSNGCGSYTDDGLGFNE TVLSIPAFAFHQWSGGVFSPDTAQSSKIIPTQSYSTTLSRSFPPPSSTSHSNSSKSPS SSSSSGATSSKEDTKNQDKLSWGPILGIIVGVLIFLILIILLIRWKMTKNKSIKKKEI SIKQKKIHYPYPNIRNNEKDDSFLEMLNSNSQLSSTSKLKSNPFKRFSNKFSNSIDNN DKLKREEELKKRTNEIMLNSNSFSNPRTAPIPFKSFPSQSYIPSNSNEKEILSERCLT TSQRLNTKSPSSISSSSNFETTINQNQNYKEEEISIQPKSNQTYSSFSNEENEEEKEN DLFKISQPKDLIKSENEFRSNYSIISKPIKSFQKQEEKEKEINQSMDFSILTNLNSNS NSNSNEIENSNEISLLPSNKSKSFYNNDFNSISIISPSSQYPLTSSKTLKTPKSYYSQ YTLNNYPGQQILLNNLKQQNETNRNRNSDDQILQQVNDNEIIGTALGSGKRGSWWEKR WSKSTDGSY
I206_07375	MANRLIFPVQLRIPQVLQDLFEEDEEEEEEERISQNRTEIVFEP NLFNTSLNVNSTNGKPFEYTLTKPSLRNVKWTEDEAETRFSSIEDFKKSLASAGDLFY YYILKYKEFLGDDSVDMNREASKNYHLLAHHCKELIQSKGRGLVKFECQREDTSDCYD DFHSEPSRDASSGVDGSQCSSRAILDSLHNLVKDRLSADSILGPDNSMTLEETAELHR LISEQDSRSLFSTA
I206_07376	MISVEFDTSVDSNNGIFHVLKPKLKIAYYTTDQDGQPTIKDVNP KNLDQMSAVFGLEAWQIDAAKETIREFGKNLGTVAELGMLEMDKRTQGGSEENSQLTE DIAREFQYFSHLAMNLLRERGIPNTLSVRCGEHPPPTYDTFAAQLSSTLTPFTRAWIG TDRRVIHTTEDERTLLTQTCQSKFSRNFEMSASGLPMTTNHRVYMDPTATDAYAFS
I206_07377	MSDDDFGDDSFLVDDSFLREVDNITSKASTSTNKNLHKGFTRSV SLHNPPSGSNAASLSKAWTGVQRNFSGPSNFSKVNSTPSIPSRSKNGFRKPSQTSQDR MTPGKTVSAKPTTSSQQLVYASSDDEFDILPLEAESIAALDSLTNRPPPLRQNNTSPS TSKLSNRNDTNRTTSNGSVPFARTGLGRTTSSSSNSSKNRPFERTSSANGFLQTHLNF RREKQTTKGKIWDRTEFAETGRRIGAEKNKKSKGRGKTKRKARGWDDDEDDEDGEELE DEDEDDWGGLMAPAPKPFVDMSAPYEPQRHVPNSATIGTYIYPTNKSKRDYQYEIIRA CFKDNCLVALPTGLGKTFVAGVVMLNFYRWFPTGKIVFLAPTKPLVNQQIEACQLSCG IPSKDAAVMTGSSVSTKERTRLWEDRRVFYCTPQTLDNDLKKGSVDPRDIVLAVFDEA HKASGSYAYTTILAYITAHHPYFRVLALTATPGADVPKVQGVVDALHISRIEIREAEA PEIRKYMNEKASYLLRTEKHVVPMGDVIESFRDRWADIMKPNVAKLVDKEILTERDLD VKRLRPFRLTAKRMEIGRDRNSGMKWAFGSLTALEKMARAMSHLLEFSLGMFHTTVVE IAGGTNAQGKKSASKGSSNSVRNNTEFQKLLRDVEVEMNMIRIGKDGRSKADKHPKMQ KTLELLLAHFTQAEEEEKTLGQKNDTRAMVFCSFRECVLDIVDMLNQHSGLLRATKFV GQSQGKQEEDKGFTQKEQKKTINEFKDGKYNILVSTSIGEEGLDIGEVDFVVIYDMPK QSIKLLQRVGRTGRKRDGKVHVLMSENREDMNWESAQQTHRDIQEEILHSRNLELFED VEPLLPDKFPECIEQEMEIDPWDPEDKQFQKTLAEAERLVRKEKEKQQKALNQSAKTR TTKGAAKSKVATRGHEVPDDAQGFKSVAELLRDAGKLPTKRGRDGSEEEEEESELRKR VTKRRGRKPSPVRSESEAEEEEEQDLDTLFADTGKKKGGSKVKKTITKRARKSEENIN KIAAKMKNDGLSLADVNLNISESDSEKEQVVKKSSGRSRKSMLNEENEDIESRTQQNR NDQSALDFFNTIGPVRKGKDRSPSKIRSPDLSPMNTPPSSPPPPFPDVAQHAYDSPLV PHLPNRSMLSPETSINPIANQGQRLTPRTAAAAGFSQIAPVDLDLESWDVEMDLDDNL ISPVLRKTHNDHQHTPGEMLPPPLPSSVLTKQILNSDSSPVIRSGNGNTPVPATQFPV RRLGMRRPRPIVMSSGGVEIDSPVMRHIPDESSPLGQDRRRRVVVDSSSPIIDRSARR SRGGAVGGDITRKDKARKKKGPIGDYMDMDAQLSGSDSGDSSEHSASSIESESDRKFA NDFQPTQAPKGYNQQAIYLAGLGTQARGFGLNFKRDLADVRKEFLGKARKAVYITDDD DEDEDEDEGELNLRGTRNQRNGNRIEESSDNEYELGSFVVNDSDDE
I206_07378	MSSESEPVGTGKDQRYSFFFYGTLCVPAVLTRVLGRKCDDLTFQ DALLPNYTRHRVKDESYPAIVGREQTNILAPREDILTSEEVNVRGTLVKGLKYQDVNA LDIYEGIEYTRDKVSVQALSSPSDISSLAKAISNPTSRYLDAMQGGENSKDVEGGIDV GTTEAWTYIWSDAIDKLDAKIWSFEDYMRAKESTWKDLPEDYFTDVERQRAIGNEPGA AGEGHTSSVGTDDLDADGEESRIIGRTEEGFPDFGKDMLKYWAFDENYVNLNHGSYGS PPKIIVEKMHKLSAQIESNPDKFMRRLWLPLLNKVRQEVSSMIGARQEEVVIVPNTTH GVNTILNNFEWADGDIIVVYSTTYGAVGQMVKCICDKNPNIRMEIVNDVFPCSHQEIV KQSEELLSKYNEVAKPNYTGLSKATGIKTDERIRCVIVDAIASNPGVIYPWEEIVKLC KKYGIMSIVDAAHAIGQVEVDVKRADCDFWVSNCHKWLHSHRGGAVMYVPIRNQYLMR STFPTSAGYESTRYPTQGMDRQWTFIEQFQWTGTTDWTPFMSITDAIEFRRSIGGEKR IMQYCHTLAVQGGKRLRKKWGTEIMDTPKGELTAAMTNVRLPHIPDPKNLEDLFKQLR YFEDRCFDENTFVAAYRHGGKWWARFSAQVWNDLSDFDYAAKVIEKICLEIKDEKYIV KDIAKDIVEEEKRELPTVDS
I206_07379	MSNQFTNFWQGVPQGPPDPILGVTDAFKKDTASNKVNLGVGAYR DENGKPYVLESVLKAEDILHQKKLDKEYLPITGANDFITLASELAYGKDSKPLKEGRV AVAQSISGTGALRIATGFLSQYYSGPKVLYLPDPTWGNHVPLAEGVGIKVQRYRYFDK KTIGLDFEGMKEDIKNAEDGSIILLHACAQNPTGIDPTQEQWKELSELVKSKKHLALF DMAYQGFASGDIIKDAFAARYFVEQGHQIILCQSFAKNLGLYAERAGTFSMVTSSPEE KERVLSQVKRVIRPLYSSPPVHGAQLVATILGTPELYEQWLKEVKKMADRIISMREQL YNLLVELKTPGEWGHIKSQIGMFSFTGISPEQVQALADHAHIYMTKDGRISMAGLNEH NIKYFAESLSKAVKGELGTKSSL
I206_07380	MSTPNPPRSSSPLNFPSSSVAGTPKASRLSTNARNAPPGSSSPL HFPTSSPRHPSSTGRRVPSGLGSDAIPSSSGLRRVRGETPLFFPASGGSTPRRQRRGD IHSSFPLSSPSLARRTVQNTPLLVRGSSPSHTDTDIDIDGEGADPTTPRASRRHEAGS SAPTLSAIAPSQDGVYGIGTGGGGGEEGEDEGGIVKFIWGTTISLQQSMNMFRDFLRG FKIKYRTIYNSEINKNIMENGGIVPPSQPLYDNSLTKGEVLLYENYLKKLQLTGETNL NLDSLNLLSFPPTKKLYYQLMNFPQEVIPIMDQVLRDTMIEFSEEEFELAQRKYTEGE LDKLELDLLQDELNQIQGKVYKVRPFGGERSVNMRDLNPGDTDKLVSVKGLVIRATPV IPDMTAAFFRCMVCQHTVQADIDRGKINEPERCPRDVCSLKGTMSLIHNRSEFTDKQV IRLQETPDAVPDGQTPHTVSLCVYDELVDLVKPGDRVIITGIFRSIPVRVNPRQRSIK SLFKTYLDVVHIKRTNTGRMGYDPSTRNGEGKPPGVGVGGEDDEEELLSHPEAPMDLQ DNEESNLTTSAEMEQKLTELSNNPEVYEILARSMAPSIYELEDVKKGILLQLFGGTNK SIARGGGGGGPRYRGDINVLMVGDPGTSKSQILQYVHKIAPRGVYTSGKGSSAVGLTA YVTRDPDSKQLVLESGALVLSDGGVCCIDEFDKMSDATRSVLHEVMEQQTVSIAKAGI ITTLNARTSILAAANPINSRYDPKLPIPANIDLPPTLISRFDLLYLVLDRVDELNDRR LAKHLVGLYLEDRPDTAGEDIIPLETLTAYITYARSRIHPVLSEDASTALVQAYVEMR KAGVDTRTQEKRITATTRQLESMIRLSEAHARMRFSERVELRDVMEAVRLIKSALRES ATDPLTGQIDLDLLNIGTGSSTRKARSDLKKEILQLIDNSGNRGLKWSSVIKGLEQQS SLPIDHSEFSEVVKSLTDEGILKVVGEREKRTIRRLAD
I206_07381	MPRTPILASGKDYTPSSGDDRKGFIEIFTPAPEPDTELKRYRIL SPSAGIRVSQLCLGAMSIGDQWKGFMGNGLDYSQAEEFLDYFYKAGGNFIDTANNYQD EQSEIIIGEWMEKRGIRDEIVLATKFTTYPLDRKEGRFEGIAANYVGNAKKNLRLTVE SSLKKLRTDYIDLLYVHWWDYSTSIPELMQSLNDMVKSGKVLYLGISDTPAWIVSQAN EYARNHGLAQFVVYQGAWSLAQRDLERDIIPMARANGMSIAPWNTLGGGKFKTPEEIE ERKKAGTLRSGLEPSPQQIQAAKALKEVADEIGGDLKLPNIALAWARHRVADCFPIVG GTSIENLKSNIDALSIKLTFEQVEKLTKAVEFDIGFPYNFFGTNPHYLPNGKPDSALL TTAAHLEYTQLP
I206_07382	MPRTQLPASSRDYSPSSGQERTSQLIHVQYDLGPNAGIRVSPLC LGAMSLGNQSTSFMGSLLDHEQSAKFLDYYYEAGGNFIDTANTYQGRFKSPEENEKGQ KEGKFRAGMEPSENEIKISRALQDVANEIGGETKLTSVALAWARQTVAYCFPIVGGSS IEYLKSTMHLW
I206_07383	MSASRSLLRTSTRSFAGIRALHTSRAAFNKPDAFATPVPTAQPG QNAPAEFQFPGLPQVETVSGAPSEMLQRHVRIYRPTKSTMQSAKGKTKRWMLDWDVLQ GAGRWENPLMGWAASADYVQGTSLIFPSKESAVRYAEKQGWPYKIDEPKKVIVPPKNY GE
I206_07384	MLTILYGLLFTFLVTVHTTLGAAISTGGTRIDQFEKREVNAAPL WGSEGPIREDMNNYAKVDNDTGIKLSLAAMFKDGVSSRIKDNISPLDDKTVTFTLYSG STMKGVTLNIDQVAAAYHRPQLEANWWWMGYEKAILDSFGDTNSTTVVQADPENILKL LNPSPRVVSKKWDLTEDEYCLLTIIRKNKAKSKEMRVLFLGLDNAGKTTILKKLNDEN ISDISPTLGFNIKTLIRDGYTLNIWDVGGQRTLRPYWRNYFESTDAVVWVVDSSDRMR MEDCKNELKGLLQEERLSGATLLVFANKQDLEGSLSLTEIRDALDLKSIISHKWVVHP CSAYTGSGLEQGMKWAVSEVAGRLYWSGLKQNPKDQESLQSGQIEVISQVKAI
I206_07385	MSVKLIPRCDAGTVLIDAFDSPPRPILDTVPSPDQSFKTSSARH DTQGAGLPTPPTPPTPEKNESSANITQATSINSTQLPRANPPIRPLRAQNYLATPITS PATSSGPSTPQLSLDDSSEPNLDEIYEMLGGGALYALVGARLWLPPSQLRTLVDRAPE SENSELPSDAEDKLKALGEDIWVWNRAEGSKMIRARIRYEGDVRFFQPIVQARHRTMA QIYQSPLFGAEYLHISPPYSPENVFNLLEEMKTIEENAENQNWKPKIVFEPTPPSCHP GQREWLEKIVPNIEVLSPNHEEIFSILGIPLIDMSSMEFISTVEGIIKYFLDEIGIGK DGQGVMIVRCGKLGACIGTKQKGLRWCPAYFQGKDQVKVKDVTGAGNSFLGGFVAGLS LANGDPYEAVLHGTVSASFVVQQFGLPILSSIEGVERWNDDSPQSRLEELRKRLSQ
I206_07386	MLDFVKNIEPKRWLTRDYWYLDTPPASYATRDGWSNADVDVVPV EQRNWRAVNYLFLWLSDGANVGTMQQAGSIVAMGLSWREASGAIAIGNIIIAFAVALN GTIGSRHHIPFSIASRASLGFYFSYFAVFSRLVLGLIYFGINTFIGASCTLICLEAIW PSLKTYPNSIPVSQGVTSSKMIAYFVFWTIQFPLVLIHPRKMRWLFFVKSIVAVIAAF ATLGWAVHRAGGSGPVFAKHTTLTGATRSWAWVAGINVAISGKTTLAINIPDLTRYAH RTSDSYWQLLFIPIVYFIFSFIGIVIASAGQAIYGQLYWDPTMIIAQWTSRAGAFFVA FAFALATLGTNISTNSIAASNDFAFLAPKWLNIRRGAFITAILGGWVTCPWKIQASAK ALTTFLSGYIIVLAPIVAIMIVDYWIVRKTRFHVPMLYQNEGIYKYHYGTNWRALVTL LIAVPVNLPGLINAINSKVDIGKYSFFYKASWLTSTAMGASIYLLLSTLFPPTSTLVD HTVESMDEDYALSDPQAWETDQDKEKRTSAGGSQETGEPSSFPVFNKV
I206_07387	MSFPKPSPARPSVEGQNGEDLDEGESVESIETKLGIERRVQYGA EKMLDVIEKKGSTDGGDQEKVKENITAQLEAANERIKALETKLERLKGTPQRTRRRPQ HRLNGYPSNSSLGMGGAGSNGQYQQSLSSSLSSSSLLGGSGGPRPKPHRYHSNLTPEK DRDRDFFENFSNYDNNIDTPSRGVTKRPRSRSAGQDAFSLSSTSDYTNQMQSQFSNGQ NMPRSPSDLLNSSASENLDVMLEIASRHMYRLKEISRNRGKGKEKEKDSDEAYDLMLK LSETLKGTEGLKGVLNPQEMIQSIIPFLGDSATSRQRSAGYRSLRYILTRESWAKMIH AGTEWLIIRTFTRDTKAIHEREQALRLLRAVIILPPSPPTRPSSSPVISRSQQRTKSH SGRSRFTNENEYDSAIIKLSRQTVPLTDGLVRAIVSAAENPDDPIRTVCMETLVEIGV LDLRCLIQSNAFRTVLLAFKDGPSELGPAITGLLLYLVNQPSTREMLVVGGDLEAVLA GLTEAYGKIPSRQHAKHLENLQNTVRNIGMLLSSWSGLLYMCMDDFRAVKSLISSLHV PNADMRNALLDLLFVSLRIKSPTWTNAFLDGKRLTVYTRTQEATAQQLVDDGNEEEDA QGLTLVDHFVALLLTVFLEAGLLEALIAVIEEENGTLNRKATLLLGEILQMANRVLPL RLAAQLQSLPQLFSEATDFKNPADRMAALSALSSIDSLNRNQSKAGKKATRDKNSTLS SQQDPLERGQRQVQSVKLRLGLQVEDRQFQQMIVDSGLLLHRDHTKWNFEIIVDLIEG PLLNPKRMDEAIKATKFVRRLFSFFHPYNYRFGDIKRTRPNHKWVKLGCSLMTTMLSS PEGIKYITEDKLLKQILDCFIELDQYVGQPTAQPIFARDRLENSLTYGYFEMIGTLSK HREGMKLLEKFKYFTCFYHLSEQRSRDDIIRIIIECFDYTLDAHPRIVLAKALTSSYM ETRLFATHHLGRLIQEQPNLLDWGLQLMITQLYDTSMEVCDIAVMYLEEVCTDSNNLE KVVQLRPTLEHLGDIGHPLFMRFVSTSVGYRYLHQAQYIDRELESWLVERNLLYVIEA ETFVSKTIRPWSMDAVEDYWIYEGPAPTHFLGELTKTPEGCRLIKEKGIVAEFAEIVR LHGMEANDQGVLTNLKSVLWALGNIGSTEGGLPFLEDEEIIEDIVEIAEQSPILTIRG TCFFVIGLISTSRMGAEIMEELGWISTRTPLGQTTGLCLPNDISRFVNIEPWERLNLD PTYPPLPKLTGLEAEIMTSIANLSNYVLAAGAMNNLKRTRNRHPRYFSSITLFHRALR SVSTNHYQAPVRRFILDLFEIKLEPETLTQLAGVESMSWRSGTNKDKWDSTVSESRNR QQNSGIIRNFDKDMKVIENEGRGRSRSSPGPLVPTPKAVDEGKIEKRSRGQTISNTNL LNKAEYEEDGINVPTPSTAKQGQYNFEPQFKKSKNNGDLPSRPIEISRQNTDTSLKST NTSKKSNSSFSELEGDEEERDRQRPKSQVKGFGIPTLAPPVADHDS
I206_07388	MSSLESTPMSHVSNHSKVHCHCSLKDQHYKDWFNIRQHCEDKSD KCSGEYCRTQRGTAAEREDLLCGPCFATERHIAAHYEKATQDPPDSWDEQTRRYHAFI THVKDWEALPDSKKVNVPKPPYRKYKSQSSQAKILEDKYKKLETWRQLLANNEVPHLP ERGGSTSQLTVPNQAAQSYPPATHTDITTRAEPGHEHSSEPASSNKDSAHIGSPLSRD GTLATGVNRTEVEGPAGPYVLSGKHYYFQETERST
I206_07389	MIFSTAIISLIPLLSYASASFDPSKREIHPHNINNPHRSTFKRS ITDDATTVNGKSFDFVIAGGGVAGLALAARLSEWSNVTVLCIEAGGDGSDVEAKIDTP GYSYLNSLTGSAYDWAYNTVAQTDSGGATKYWPRGKGLGGSGAINGMFWGRPSVPEFD AWETLNPNADETWDWDEVNKYIKKSENYTAPSADVKSKFSMESDPSLHGSGGPIQIGH TEYIFDEVAKWIPAWITLGFKSIDNAAGISRGAWLSTSTINVKNQTRSDSKAGYIDPL PPRSNLFILTGQQVTEIIFNGTSDANGNIIASGLKFQASSSAQSYSVQANREVILATG AVGSPQILQLSGIGPKETLDTVGITTRLDLPVGYNLQDHVSYTMYWSTPQGTFTWNNL SASAELKASAQAEYDQSKTGLWTYVNEAVGYPSMNDIMESTSEASNYASTIASDMSTV VSNVQSWLDLPDNVVTGLKAQYDIQQQWMTSDVGQLEIILTMLGHGGNEMGIQVALQH PWSRGKILITSKDAFTAPAINPDYFGVGYDIDIMNYGSQFARRLAAASPLSEVMINEV YPGPTVTGDALGNYTKTNCGTEYHPMGTCSMLPKDSGGVVDTTLTVYGTANLRVIDTS IAPLHISAHTMAVTYGIAEKGADIIKKKHWKQIVQNTTTTAADEPATTASAGDATDTA VTNANKDSANSSSSSLSNAAKIGIGIGAGVGAAAVLAALILFFCLRKKKNGQAAAGEK GWYDQRNAQGGWDNATAAGGAYKEGYALPDEQAQAYPMAAFDNHSSAPFAHSRTHSVD TMATADLASRMPMRSGSNYGLSGSSTPYRDDHSDDGHGGGGNQYPTPQGAASAWPRNQ NYQPVNIH
I206_07390	MPPTAAVKSARSSIYGWTKKRSVSPSSSQQSTSSSKPKMAQQPQ HHYSQPAAPTPRRPEESPYFEEDYSDEIVAYMHSMDNATLASAELMDMQPELQWFMRP YLIDFLIEVHQQFRLRPEVLYLAMNIVDRYVSKRVVYKKHYQLVGCAALWIAAKFEDG KDKVPLVRELAEMCCKAYDESAFIQMEGHVLSTIGWTIGHPSAEAWLRIKTTGHSYED PKVQNMARFLMEITLFHREFVGIQSSLVASGALMLARFICGKARKPVARHENVAVRIA LAIDKHFAEKLENVSEIVIRKYAPTYYGRCSTICREWYLSGRRFVYNPEAPATPISTN LPTPGLAPSGSGGWPSKRGSWATGSPSGSISCASSEAGDDAPLTPITPVHSHVMDPFS VASKENIAPAVYGSNPSASISKDSVSSVSVNVTTSKPLPQAPVYSHAQRPPLHALPAV GELSLPNRSMRRLSN
I206_07391	MLTQTSRLLLARTPRTTVLPVARAAASTWANVPAGPPDPILGVT EKFKADKSPKKINLGVGAYRDGQGKPYVLPTVKKAEKILSDAMQDKEYLPITGLAEFT KLAAELAYGKDSKPIVEKRLAITQSISGTGALRIGTAFLARHYPGAKTIYLPTPTWGN HIPISKDSGLEVKQYKYFNKDTVGLDFEGMKADIKAAPEGSIILLHACAHNPTGIDPT EAQWKELSDLVKEKKHFAFFDMAYQGFASGDILKDAFAVRYFVEQGHQILLCQSFAKN MGLYGERAGAISFVCESAEEKARVDSQLKILIRPLYSNPPVHGARLVSTILSSPELTE EWLIEVKGMADRIIEMRERLYNKLVELKTPGEWGHIKSQIGMFSFTGLKAEQVDALAE KASIYLTRDGRISMAGLNANNVDYFAESVSKAVKGEL
I206_07392	MSIPARSPRASRKSISLGEKDLNLDNVTLPVTNKEFKAKKRGAV SFGGEGLGEGAFQVGKAGAGLSPRRQARRIAQPRKSILKSLSSKNDESEDTAQYAHTI AFSASQTMLSRRVSFAPNAHVRMFEKSPMIMSPDGTPAAIITLPPPSSGPRAFITAIP SHSRRSSIQSIGSVSKPNIFAPSIFEGEGASQGEQSMEIEEDDESEDEGIVQMGRQNN GQQVDTLLGTEQADGDSDEELEEDEMDMDITQNIYGGIVRRASMAPTTVGDTTIDSDV TEEADITTRSDEEKTMDFTIAVGGLLPPQAPKGAHPNRQSIGYTFPVPDGSSTQNLRP GEAIEGEVEMEMEETVAFGGIIGPDDSISSGEDTMNSELREKTMTFTFNHSVVRPQED EEDDGMEMTIAQGGIINLPPPSPYPASPGRSLAYPPVSPGKTLPTNTRPMSGTPSFAR HTLSSSQRSKDRESMLTSQKRNVFAPSPSPTKSTTPKKAGMQVAGEVAKRLSFGSASH PDSAILKRTREEEDSPSKGESKKGRSNAGMENNVEEVFGGTPKSEPPAKGRTSLFGSG SRLSLNAPRASLGTPMRIARSPASLRQSSVRVADPEPEPEPELEPEVEEQEWEQPQNI SLAAFLEMTGVQFMEGLPGLNRRRSSVGKGILGQSYSGGERDFALHEYAEAQVNSIFL NMYTWAANKLREDIRTGQSELDLFESRCDEDSPPVIQEYLSATDEDKQLFEMTFKSFK TNTQLKAKEMWYDWKLQLMQTIKPDVEGMLEGMQEDNDRLTALREQTDSILPDLKARQ AALQAELEKERQIVAEIAECDQQELASLKEGIAEQGAQINIFGSELEESTTKLAALSS KLEELNSTKRECVNAIQHAKSQCDQFTKSDAIRLREEYNSIQHLHLWRPIKIHANLLE LEFDNEISLTFNCSNYIVNLWSAQITYLYDKAQQNKNGPIVKPNSQTPTQGLFAMLEI AIREMVKAKTFDTLSSFVQRIGQLWSVSQRIRAELHYVNFRYPIKYNLNDSNYLLASI SIILNNSKSNLNIKSKILINFKITQETIWEYPENLNQTDIEILKIYGKADVNLLNSIA KETISSSTPQGCLGTFLQVCLNVSEQYI
I206_07393	MVATGATAAAMLDPMHYYRAKRDRERRTVLKTYKILGFISSGTY GRVYKAVLLPSPASKGNTNKSTLPSSARAALSIPKDKLPSPTTSISSSNATISDPLNN PELCMRPGDLPAKEGDVFAIKKFKPDKEGDVLTYAGISQSGAREIMLNRELHHRNLVA LREVILEDKAIYMVFEYAEHDFLQIIHHHSQTTRTPIPSPTLRRLLHQLLCGVHFLHS NFCLHRDLKPANILVNSSGVVKIGDLGLARLWHKPLAQGGLFGGDKVVVTIWYRAPEL ILGAKHYTAAVDIWAIGCIYAELLALRPIFKGDEAKMDGKKQLPFQRDQMGKICEVLG PVKADQWPGIVHMPEYKTYLSSGPYPNPNPLPSWYQHRSTSSQGYDLLTRLFEWDPAR RLTAREALAHPWFQEEGGVAAKSVFEGSSVTYPTRRVTHEDNGDAKMGSLPPSMAHPR LPSSSNFRPASATLTGQPPPRKKARM
I206_07394	MEASSSSSSSTNRQELIRNAVLFLNDPKVASSTLTSRIQFLESK GLNEIEIQQALSQASNGNSIIDEQNPERPKDPSPKYGYNNQISNNYGYGQRLIYPPEP PKRDWRDLFIMAVISGGVVYGLSVLAKKYLLPHLQPPSTTSFQDTSSSLTSQYDEASK LLTDLTEQTTALQDSIKEDKERVNNVIEEVEDSLKNVKNSEDKWREEMRDIRTEVESV RELVPKLIEKYSASQSSALSDLQNELRSLKTLLVARQGQSNSIQNNGNSSPSSGAGIS SATTAANALLTPRGKGIPAWQLPSPSASPSTSSPVSGSSSLSGSGVIEENGDSDKGKG KAVEEEA
I206_07395	MSRKGMTKQQYSTGLTYISNKPKFLQNFGLEQSSTTFNSEIEKE EINERQNNIPSRPKEGKWSNGSDDEKEKQQLSEEDEWEENFGGGGGGGNLNEDGPQIV VLKKGKHLNEDEFKKLRKKIKGESSLSPPPISNSINLKENKNQSNSNLIKSNKGKIIN NSKSNLNKRKLVGVGNEDLIENIEKDDNKEKEKKNKNKKPKKGMLSFNEAEGEE
I206_07396	MAESSNPNLTENRRSTSSDTSSLINISSTQAHSPATTNTSFDHV SDHPLAASLKDASNNGGKARLLYCKSHVSIHPTSFNKDNISGYLGIVEVDHSTPHSLA PNLESSVENGQKETLITWVPNELLDRMDEKDRQGYKTMEGRTLAQNAEEEEDGFVFVS LPPPKGEKYAFSIPITSIYSILVYPPSLSHWYGSATFNLMGGISLPTLYFHDDESPLL ASPNPPNPLQPLPRAQWGFPPFLSLLESRATFVRSRLLTSKKNMGAELWLVNPSKSDR EVHEAGLEEEPETVPIQRKPAQSTQPAPYPPKQTYPNSAVLNTATPKQTLMTSLSNLT NLSRKAASQVLSHPLAQPVVPHLPPAVRSLVNVPGEWERTGRLPPKTGKKNNADVASE FESARLYLARWARVVAEEGEKARRDELASKARIQRRKSATSLSASQSGSLSPVEDLES SLGVFSMLPKSYSKRPIPNPTRTPQHPITAREWESLSAQGRDELYVRREIFKRGFSDS SEPEEIKARKEGWEVLLGIIPWQIGGLGGGESGKEKRNKQREEARRAKRQVYAGLKGK WRKEAAREDEVNGGREDWREEWHRIDVDCRRTDRNQAIYAVPSSAAHKGDHEKEGGGA NTSLRRGSLGADSQGEEEEGSAAALNPHIAALRTILMTYHTYSPELGYVQGMSDLLSP IYVVFDANEADAFWGLVGVMKMMESNFLRDQSGMKRKLSTLQQLISVMDPELYAHLER TDSLNLFFCFRWILINFKREFKFEQVIKLWEVLWTNFYSDQFVLFVALAILQSHRDVL IRYLTEFDEVLKYANDLSGTIDLDTTLAQAEVLFLSFRSLVEDLDKENAQLDEKNKEG LRHRRINSDVTGSSSSGKKGKGKEKSDEDAMEEESKKKDRKFISDDLRGLLIGWRGS
I206_07397	MPPRSRYAVDPSLTAPAKQSQGQGQSQPDYYQQQQPSYGQQSNS QQGYYGQQQQQTQGGYNDPNYLPQLHNVSGRNVAPTGEYAPNPSTSYQQYPQHPQQQQ HQPQNQQQRYPEQPINHIQAPPHSSGPQLTGPRVRIDPSQVPNPVEAQELDQNLYDDE DFFSCQTKGLVPLVGTDYRAIDQGNSLPRHLRATLPVIPSNSQLLDTTALPFGLIVHP FAPLRYDENPIPTISNWNSDDGPPRCEKCRGYINVWCRFVDGGRKWNCNLCGGVNVVS PTYFCHLAPNGQRLDHESRPELQFGTVDLSVGQSYWSLQPPPSGSLIDVATDVGNSAS DAISSTAADLLGGLQASLGQDQHNNRGPSPALSAKEKAKELKKYRKPHGIGRVFIIDV SSSSAQRGIVREVCEGIKKALYGNKSQKNGTEANGQGAEDEEEEEITIGKGERVGIIS VAEHVGFWNLSSNLSAPTQLIVSDLDDMFIPFTSGFLVDPFESKTQIVALLDLLPIIA ENGQEGNRVAAGSAVKGALAGLRMRGGQINLFVSGLITHGAGALQSREDPTIYNTDKE KNLFSPANNFWRELGDELAECGVGTNIFVFPEQYCDVASIGHITSITGGETFFHPKFS PPRDRNLLYSEIEDLILKRELVYNVSIRIRCSNGIRISDHIGNFYQRSMTDLEFGQLD SKKSFIAVLKHEGSRLDERQPAYIQVAILYTTSSGERKVRLLNMMFGVTGLIGNIFKF ADQEAGVGVLLKGAVSQMPTRTLRDIKKALTERCNRVLLMYRKHCAPAVQQGQLILPE GFKLLPLYTLCMLKSKPLKGGNVTSDVRVHYMRQFKSLSATRTINLLYPRLLAIHDLN EKIGFPDEKGKLQLPQFMRASYGWMVAEGAYLLSNGEVAMIWFGSAVSPQIIDDLYGV DNLQDLDVRMTSLPKLPTLLSTQIRNILTHLERILDNSLPVIIVRQNLDGMEIEFANQ LVEDSNNDALSYTDYLMTAHKSITNELSGNGGKSDSWKPW
I206_07398	MQSVLESAKSVVDTTTNLVSNVIQSTGLTNQSNHHQHNEENFHK NSSLSPNSIINEIKKIDSEGLDIFENDNVKHDILVKINKLAKEDIKHGLKELNSLDLI GPNGIKKGIDYYHPLRYFTVNRPLGIDYIGEIEIENVRVHKAGLGNSPTFHSIDTRPS EEGGAVFKTGDPLKWFDY
I206_07399	MSTYNTLPLHETLNLYVSPTAYVFEPASTSSATHGFDGPAFVNE KDSRESLYVDRRTGRMSLNESSQVPFGKEKVITCYGIIGTLSLATTDFLLIITSRTPS CRLLSQPIFLATDFRLLPLSPLSTSSTILDHPVEKELISLVEQGLKSGRLWFSYGWDL TNSLQRQDDIREKGQQLPLWKKADERFFWNRYLASKMIDLTENGGVDLSRFILPVMYG SVELRSTTLHNRDLLFLLISRRSRHRAGTRYFSRGLNSKGDVSNFNETEQIVLFDPTP EGNQQLRKGRVDGRERLSFVQIRGSVPLFWAEVNNLRYKPDLQIMDYTETPKALSAHL HSLIDLYGDIHLVNLVNQKGHEQPVKEAFEKYMTNLSSNDPVIADKGHYLYFDFHHEC RKLRFDKIQLLIDRLEPDLDKMGWYHSINPTSSQYGNQPGAQEKEVTILSKQGGIIRT NCMDCLDRTNVAQSALGRWALNKQLRMAGVISVKERVEDHDEFMAMFRNVWADHGDTV SRAYAGTGALKADYTRTGKRSKEGMLQDGYKSIMRYIRNNFFDGDRQDAFDILTGAWV AKRGGIPPLTDTRPLLMRAMPYILAFALTMILAAVTLPRTSELSIYSFFFLWVFLAFF SGSYIWGNGTSYVSWPRLNPPLEVLSWNGPGARTIARGRGMSIANVIPIFKQKLTNQN GIGGRPAVYKMEEVELGKRKGALID
I206_07400	MLEARVKQAAVLKKLLDAIKELVTDGNLDCTDEGIALQAMDNSH VALVSLKLVAEQFESYRCDRNMPLGVNLTSLTKILKCAKDQDVVTLKAPDDADSLGLV FESPKEDRVGEYEMKLMDIDQEHLGIPDTQYDATITMSSSEFQRICRDLAALGESVKI EASKEGVRFSSEGEVGNGSVLLKQSAGTDRGASSSKKASVKRDPDQEDDEEEEDEKPE IDDEEGVDDEDRSKKRKSNGKPKTAKKAKNTDSSSDEVGVSIILEKQVSLTFSLKYLT NFAKSAPLAREVSLHMSNDVPLLVQFDFEQGTLQFFLAPKVSS
I206_07401	MSEQNQNKQIVLNERPNRGPINDNTFKLKIDEIKQPGEGEVLVK VEYVSIDPTMRGWLNDVRSYLPPVQIGAVMRATSLGRILKSNDSNFKKGDLVFDTFGW QEYWTGPGSSIQKRVTPEGGRDIDHMGLYGLTGMTAYIGLFEIGQLKDGDHVVISGAA GAVGQIATQIAAAHSKCTVTAIAGSNEKLEYLKKLGAHNVLNYKDSDFKEKFRELKQI DVYFDNVGGEILDLALAQLNPYARIIACGAISAYNAEKPTPIYNYFNLISMKSTMKGF IVLDHIDKFKEGSEYLSNLVKQNKLKADYHITYGLETCVNTLKDMFDGKNLGKTVVSL KDENENEEKSKL
I206_07402	MPSISTPSRKKSTSQPYNKDTKGNDSHSSRTSSSNIKSESSISG SSLLQIIQDADNRLKNQQNSSQTGETRTKKKKERKRKRGQQLADEGLVVQSQVSQDGD QGMFNIPTALPYKFPSSQVDSRQANEETDENMIPTRSVSPSKGTPITNKEKEKLKVKR ERKERNKFVNNQLAKIEMEKEDTEDSLANIVKEKELEIQRRKKEIDAKDKLNLIEKQT EDLKAQADAIRKEIAQLENHKKELQIRDNEEKKRRSDQDLAKFREEKRKQKEMEDKIQ ETEKAAKEWKEKFNIQQLEEKRRNEENEKVINGFKKSIEKITEDSKSNQIIIHEHENT RKAIIEALECKICLNTVDDAYITSCGHMACKQCMVTYFRSTHAFLHEIQEPITDDTDL SYRTKVCYVCRSAILRKPARVFPLRDILGPLGEYKHKDPPKETDPDPWEKIFPADHEG YKLYDKADDIIRCPECLGELIDNVCSGCDIVFSDAGEDEEGIEESEEEVVGSVLGDED VVRVDGTEGFIDDEADASGTDTSSSGDEDTPGRIRRSGAVPPPPPSALNNLLTILTEG EGDYVGTEMSSEDEREENSEDEYGGSFIDDDDDGEEEEEDNDVKMVDTEDDEVKSDSE EELPRIRHSSRSQNRSRSSRRVIDPDEDEDEEEPISSGRNKRKTTSRQATVILSEED
I206_07403	MAHKSHRQKALQAQLEAQPTLSLVSSKTKKPSKVSESMDVDDDE ILIASSSSSSSNVIQQNTTTTINGESSGSGFKPLPQSQTNGVLKGEFRRIPIPPHRMT PLKKEWVNLYTPMVDMLGLQVRMNTMRRSVELKTSGHTVDTGAIQKGADFVKAFSLGF EVNDALALLRLDDLYLDSFEIKDVKTLHGDHLSRAIGRIAGEGGKVKFSIENASRTRI VLADTHIHILGSVQNIKIARDAIVSLILGSPPGKVYAHLKMVGARMKQRF
I206_07404	MKPIILQGHERSLTQIVFNSEGDLLFSASKDSVINAWFTSNGER LGTFGGIKGDGGHNGTVWTVAVDSQTRFLITGAADNTMKLWEIATGKCLFTWEFLTAV KRVAFNEDDDTILSITEQRSGQPSIIRIYKINREEPTLQTTTPITTMTLSGSKATVAL WTPLSEYILTGHESGKVAKYDVKSGEEVNYVDDAHKGEITDIQSSPDGTYFITSSKDK TARIFDSETLEEMKVFPTETPLNSACIAPLRPYIILGGGQDAMSVTTTSQRAGKFESR FWHKLFEEEVGRVKGHFGPINTLAVHPQGKAYASGAEDGFVRVHWFDETYFRSRPFGD LEPEVEV
I206_07405	MTLISTILGFSAFGFGARCFQLGLQHRPIFDAPSGHLISTAVFG AVGYGAYHADKKQTALLAEKKKILIERREKENLEWESKKGQNTHQQQHVI
I206_07406	MRPSAPAQSGMPGPKTYIGWWGDMGSLPQKGIKTYGVSPYRQRA MAGALNGYIFNGFARLMNHLPYVAPPALFFYGVYYWSKSKYEYFNSKQGHYDNLIKEG VIKPGQYERPTVEPMSH
I206_07407	MSLPSSSNPITSVAPSNSPITSVQPQSYNNNNNSSGFSNNPQLL SRLNLDLRGKLFPVERETLMLLPESVLLGLFPQGLILSKPASWEGGDDGIFTVDFDPE CFQYILSFWSKAQIKFYGTLNSTGLFHSQQLIPSNPNDPTSDQSQNPLLSKQAIIVLR EELEYFSITKPNTIAKTDLKTGLPNEELKSLKRNCGKALEDKKSIFAALERNVSSVGN AAEAHLIDMLCMSGFNRDDSWGFRACEPSRNVITSMALVLLKTGIVHPEEGKDGSNGT NIPWIDAQQMSTAQKLLLFWRKPARKCWWDGIEVQIPASTSSKGETINVKVWARRVWT LELSLYNR
I206_07408	MALSQAYCKVWKRILEFCREDQNGQTGSLTAQTTLLACLRVNKT LFILTAGHLYRSPIIRNIENFFSGINQLSTLYIVPRRVPTNEEEYRDVCMDFLRAGNT KLPLLLKAEKLQILALTSGTLLVNETDRNPIARARAVQRKVIERGTSILESIPFFLPS IMPKLQSITIGNQLFPLTRLGSKINRTFEREKLPLIENSIWKLRPKYWCEYTHCTQDE IGHADDPLYHLQSYLRSNVRSPQARVDWIGARTPQVVNAHCDDFTHYFYLVPGTINRI THFRDYELRRWLWPKYSVPRSQPDGRLEEVTFDPAVDGNVGPREPIELKFEAENIPYQ YPQDIHGGMADEIIKKLSDILGSSRERIIQTTDNRDLETLDRETIIEIYGLEKFHPPW DTRPEELMEAPLRITIVDAEIDDSSWSKYYYSRYTASEVEHVEETQREHLKALETMMK QLMGIDTEAGTWTGETHPNKGKVAPTIKLLLATDYPGCEACGAGKNDKWLMDPRLPPS YAQWA
I206_07409	MGIELGPEIWAHVFRFCGEKDDEGLFVPQKTLATCLRVNKAWYL LASPHLYYSPMLRDIGAFFRGADKPIPPQLATSLSESISSPHELYLDCIQQGNTKLPL LHQIRQLRLHPYEVLDRIDDEWSNDMNRQYGTAEIAKNILLTFEEKINLTPKLESIIL GSYLLPTGLREKTMSDLLFSAIRKLYSTIFTRLRPRYWCEFDPPTGHSPWNSKEVLDL INYSAGGLPEFAEIHTTLEDPPCIQWGTINRVTVRDKADVRKYLWPKITFDKVNEENG ESVGAETTRFGKGLDGEWPIEAVQPMDQECWVECLHEMIRDSIPSWFHKSEEDEEKIR EKIDAKTVVEVYGIEKFLTILPGYPGGPSYLDEDTLIKSKIPEDQVNYNCYINLKSAR FTPEILSQRNDIYKSIFKYMEISIKESLDIDPKSNKWKDSGKSAPEIKLFLAIEYPGC KACGQGKADEWIVDARPRPPPRDDDSDWTDEDEDDDMNDGFDDSDLEDMLEYDDEDVY DAFDDEFDDGDDDDNNDDI
I206_07410	MSSTCPDRRVDGHRLHQNQQKIPMATSNLSPEIWAIIFEFCTES GVKDKQLNQPTLVKLLRVNKHFFSLAATLLYRDVVIEDLGGFLAGIETKYRQDKTVLA NPVGDALAVESFHSKISALRSMRSLTLLPHSTPNFAKTGSYKTEGDIIARQQSSFNKV KACLDLMSITTCPGLNVQSISIGSHEMPDTPEIGRIHSRFFSEMHSIRRKLLELFRPN TWCEYSPLHGYCFEPTLEDFGSREEGLPEIVNIHTTLEGTFPLVWGTTNRIIIIKSKR HSSDDSSTVNPENTAGTTQTSHTAHPSIVPTHMMNLESVPEETDMLPEPTTLETNLIK SIVYTIIRSTPPWLRRKSEDLQEMIDTLTKIEIYGLENLLQFSELEESGLQLPETVDK VIIDRIGVVKRMQSKIYEESSISEDGFWMKSGKKAPSIVLVPATAYPGCKACKHHKRS HA
I206_07411	MPQLTLFSDPNSKSLALSPKKPITSTNSRSSSSSVAARKAAYTK KGDEPNLWELHHIQEDMAAISKKRHAKDEVESPNKRKKTDEGNEEEMKGQPELNKGHS PEGGGVAGEKGSKKKKNAYAIKGKIATEEDAARTDEDPPMNQLERLIENGHKDVEKGE SVVYWMRMEDVRIEDNTALYKASEKAKELGVPLIALFVFSPGDYKIHDRSNKKIDFIL RNLRVLKSEFHKLNIPLHITSYDRRLQIPRRVITEVLPSLGANHLYVNIEYEVDELRR DIATVRLGREKGIDVQCVHDRLVVPPGQVKSKQGKPMSVFSPWQRAWAKLLDEQPKYL KMSPTPKSNDEAIKSNDKFSKLFDDEIPDHIEGFECPESEKLSEVWPEGTDKAKELLY RFLNTKPRQNQFQFTSPLNPGAEESEKDSRVNQYQTGRNLVDGDNSSRLSPYLAAGVI SGRMVLDEAKKLRKGKLESGRDTGVGMWVMEVSWRDFYNHVMATWPRVSMGRPFLEKF ADVQWETNEENLQRWKDGKTGYPIVDAAMRACKIRGWMENRVRMVAASFLVKSLMIDW RLGEKYFMESFIDGDLAANNGGWQWTASTGTDPQPFFRIFNTITQSEKCDPSGAYIRY WVPELKNLKGKAIHDPYHHMPSNEFKKLGYPEPIVDHKKSRERALFRYKNVGEKENDD LAD
I206_07412	MSTPPMEKPNAVLGGTQPLVKYGGLNGNALLYAVVGIATCGFSL FGYDQGLMSGIIASRQFNTEFPATKQHDVNDVHAGTVQGSVTSCYEVGCFFGALIAFF IGDKMGRRKMMFGGAVVMIIGTIISVTSFGPGDTSGRGNVGGFVQFIVGRVITGFGNG ANTATIPSWVAETSKAHNRGFLICMEASTVAVGTVIAYWIDFGLSFVDSSVSWRFPIA MQILFAMILIGGVAVLPESPRWLIAHGRPDEGLRVIAALDARSIDDPVSVADHKKIVD ALQAQMAAKSNKTRDLLKMGKQQHFRRALVGASTQLFQQIGGCNAVIYYSTVLFENQI GLETQLSLILGGVLSIVYMIFALTSFFLVEKVGRRKLFLWGTVGQAVSMFITFGCLLP GRATSAKGAAFGLYFFIAWFGATWLPLPWLYPAELNSMAVRTQANAVSTMVNWIFNFT VVQVLPTMTASIGAYTFLFFGCINLVFLPFIYFFYPETTGRSLEELDVIFAHAHLTQR RPTLVAAELPKLSDHQIQEMTDRYDIHGGDQAEDPEAYGAAVNQGAPDTTLPPVHPHD HANDGDATRVPTPTA
I206_07413	MAPIAPPAHIVTPSQPTLPTPPSSILSRSQKFIEENQKLILLGC AVLAASGAGYYLYSNRSTNSSDGSSSSSSSSLKDSTNSNNNNVSGSSKKKNKKKKKSN NSKFVEGEGDKGPLLEEIEQDQQKPQSEKKVEKSDSSDNKEEGFLADVPDAATLATMD DIARNNLGSSLKDRGNKLYSKKDFQKAVECYTKALEVSIRKDAVFYSNRAACYTNFSP PEYAKCVADCDEALKLDKTYVKALKRRATALENLGRDEEAVRDFTATTIIERFQDEQA AMSVERCLKKLAQKKAKEILETREPKLPSPTFISAYLSAFRAHPKPALPENPSQGDQT LLLAFNALEAADYTHAVTFINEAIDQGISWKDGEAEAHNLRGTFKFLIGDSEGARQDL QKSLDIKPDFVQSWVKIASVHMELGDSASAFGDFEAAIRHNADDPDIYYHRGQVYFIM QEFDKAIADYNKSTALDGTFIFTHIQAAVAQYKQGNVGSSMAAFRRILKEFPDKGEPS NYYGELLLDQQKFQESLERFDRSIELDKERKPRNVLPFVNKALALFQWKQDIVGADNL CKEALEIDPECDVAVATLAQLSLQQGKIDEAIKWFEKSAQLARTEGELINAITYEHAS KAQVHFLKNYPEFAERLSQMAQGM
I206_07414	MGSPDVNLTTPIVLPGSRLEHAFRKPSPVGSSSHPRTLASSMRP ILAPLTPLDAHTRPTLQAVVQTPSYAGSSRASTPTLPGHGCSSTPTPTSTSRLGRPIP FPGSHYPGPPPNRPLPASRPLSISGQYIEPLRIRHPAQRSDPGEDPFSQSYVRDSSSI RQRGEIPVTPTNSSFNLRPLSSSPPRTAQEIYLESQKKKIPAADRILAAVQDFRSENR EFKAQSDDKFERLEHRLTTMSKDFDALKEKHQIIMDDNTVLRENDLQMSKRMEYLQSS IDALPEKGVFANVDSDLDILKRSLTAMTGKIESLSVALADRHKVSSDCCVSKTSTNTD ALSNLLNHVTNKLNSIDELSLMLKSLNGLPDAIRDVVVFKDALDTLTNKAEGYSPQRT SQPLGLLGHKGLPPSPTSPCQQGDLEIRKSTSLQSSFKQYITRPENNIAQLPDVSRTL EAQPTVQTSGAASAMVVQAKHPGDQQILQSVTKIAVQNDDSGVDPPAEDQGDKLDDLA NAAASQERLAEFSAPITESPNTSIDIAFSSLNQFVDAAITSMNFTTLNSAAESYSPLQ RDPGRRGNSSQPRESLLFSPTFYTPPLPHDPPSPSASFSINQPIPSPVISSPITPSHS QNLDESHLSPESRSSKKARISPPVDPSDRPVTRLMSNTRRELTKWSPNSQIEHRTAAQ SPSILRSSPSTTLKGASTENAIEISSGSSQSQPLKPLKKTSVGQTNKSKNPVGRPRTR TGRAQSNVRDIENSVLFSKAVALKGESLNSGVESIPMREQLVKPASFTSSIGNKTIRM ENMGDAGKRAANKAAAAKMEREQQQRRMTSVIALGPGASGGKSGGKGKRRWDQDST
I206_07415	MQAVANMVQDAMGPVPVAANPEYKHRPDGSTMKALAWFGNEKVQ LVDAPIPDISEDKDVILKVTGTTICGSDLHLYHSEMIGMQKGDILGHEFMGVVDKIGP AVTTLKPGDKVVVSFQVACGTCRYCQKKLSSMCDRTNNSSLMASMYGQRDAGFFGYGH LTGGLPGGQAEYVRVPFGEVNCLKVPPGVTDEQVLYLSDVLPTSYHAVVDTRVEEGDI VGIWGLGPIGLACVKWALLKGASKVYAIDTVPARLAAARALGNVVTVDFNAENVTKKI IGEVPGGLDACIDATSFHEPKTILHKVEKALMLETDVSETPNEMIWLVKKFGRVGLIG AYAGYTNHFNIGALMEKGVRFIGNGQAPVHLYWEEILNDYILTGKFDVKMLVSHRVNI EDFPQLYEKFDKRFAGVEKVFVATKFSEPPSAGFPQLTKVDDWANKAL
I206_07416	MVREVDPPSIQKDFLLAALAEGKRLDGRIPLEQRKIEFIFGDEL GNVECRIGKTAVLAQVSASIVKPRDDRPYEGFLMINSEIGPMASSIYENGRSSDSEVL ITRLLEKSIRRTEAIDREALCILAGEKVWQLRLTLHFLSDSGNLLDCASMASMIALKH FRKPDVEVIGDEVIIHSPEERAPVPLAIHHTPLCLTFAYFENLPPILDPTHLESTLCA GTLTVTMNAQREICVLSKAGGTPLSAEDIMGVVRVGVDQVREMVKIMDQALEKDRESR VIEVQ
I206_07417	MFRYSSSLSTSESTFSRPFPSSTPPYSTNRKRTASTSERKNPVA GRQTPSGSSHTHSKEGYSDRRNQADSFEDGGEVKKEKGKGRSGLVNSHGMEKAPSLDV DIRNSLILPSLSQRFSVLLPSLSTAPEESLRSLLASQRARHHGPALTEEEEEMLFAEM RDQALDDQWDGRPPHMDENWARTGMDVSPSHIKQFRNGISPSVSSPSLLTTSTSSPSV LASSDDSRGNYIPTTPASFLGSPPPTSSSFSSFKTFGVGSSPDNSVSPTGSAFKTKSY GFSGGSGMREAEYMRKVKKSCSHKDLKDPSINPARSDDYEAGTPTRESVPLPPAISPE KANAYYKPTKRAESPTNSEKTATPTSNTMPNIPSMTLPKGHSRASSLNFNPPTPTSAE GPAFAIKQQKSRKRQSRLNELSPAQVKRISMALQEIGGQLKNGNMTVRSLEAPEQPPV SDEVEEILDPESRRESQADEEELLGRARRPSDLRSEDSCQSATSSVFPFQMSPTNSTF TGTTTEPSSPSRLPPSPRTHNLLAHVEEPLPPIPMPVFTPTRSQPVRHQPTLSNTSTS TISPDQPVYIPGQPRPIRLTHHSQSSTSSRSATPSNPSPLDALRTAISPENTPSPIKG MPGIQARTSSLGRSSTVSQAQSTPTRPGSSTDTDNTHSIHTTSSRRRAGTIGEASLSG RMSSPYSSNPSTPDIIEESEGLTSDRDDYPSQITTDEWIPEVKQVVGRRSVANSRSTS EQSIHQLQQDLGWGLAVGQEARERTVSAQGTIDESDPKPLDEASESPDPLSPANTLIR AGSTHSTSSSSSSFEYDQIPDEIVWASVFDDSSPKTIELNTDGMISPEPRYQADILRK MSGMGMDEMRLLQEKLVTKAKAEREALRGDLDESPVVPFSPPIPPVTYPSTQRAMSPA ERPISPILARPISPPPPSSWRFPPADPLQPSSSHTTPSQEKSTLNSSVDTHILTPPLT GNSNGATVSRAGSKSNGIILPTGPAPKPPQAPPADTPSDIADEIKTPPSTVQTNDLSA RAYNQRLPLEQNPDIRRDFEARIAAATAALNRTPSVQQSGSGSKLDRKFSKKGGPMVI SSPKLVSSTANVPTTPLTPESSIDSGSAKNMEKSTSGGSKMSLKWKKFTSKRNKGPSF SGNEVTPFPPQPDSSTHLKSSPSKQLQQQQQQRLHLQQQQQNKLSPIQDNISLSGGHG ALQRSASASVAQKLEDPNNLRANDHPEAPPSAPPNLDSFQFPPAPVRNGPTPVERNIP SPPSSSGHSSSLRHVMSKMKRSKDASPPPHTALAPSTKADQSVQPMISAQGRYQSPAD TQNRTTSPSVGQGDFAVSKSGHTPTHSDDDARAKFIEAGRALGLTEDQLNDMLAAKGM KGTVTPTASGGGSNTPDPIAHDSMAVKPEKEKKGLFRSLSKARKTHQPPTVPSAISLA PSAPIDTVPPIPTHDRVVVRRTMILPEGLNIIPSTPQTMSTTPKIPESPDSSSLSLKP GAQPRKQSIRRKPLQLSKEDHELVSGSPPAHQRNFSFSNASASGISDSGGNTPAIGGL GTSNEPSPANSQNQVPTLHSALPAQGDLSGLGFLHPNTPLNKKSSGTFKSSPGNDSTL SGDDESHTRSSTGGSLIDMYRNDDEEEMLESPTKKGSLAAFDGDEGHQRRTSDDIGNS GRPSLDEMSRRRMTQAVEITEYADGQVIWNVVDALRTSVAGSIHDEEYIFDSTANPHS RSTSYSSSVRNSIIPENGDISHPSGQSAGWPKALSNGTAGLNFRHRDRNVGNRPRPPT DVYFTSHRDVADLIDHLSRDLDASHGRIDIISHSPSENHDWSNSTNSPFVFQDTVTPT TPERNARRSSMARSMNSQFEDAPSPAQLPIKATPTRSHIPSQIKSTSFMENLSPPPSA TKRQLFTQDQEQEQTGLRGQNYNSTSFPQLSPSSKSFASSVSAQGNSVEDRLQALLDR LKGDGIGRRL
I206_07418	MKFFVPTLLSLTLLTSICAAPVPEPNPGLLTGLLSPPTSNPDKG ASGGLLESNTGTTLSSILKPLNVNLPEGLVTTLDGVKLSGLNEPQLSSFLYYVLSVPD VIIPNNTTVQELVDRVVGDVFGHVV
I206_07419	MFSTKTTFVIYLLARTIWAGPIAKPDDVLPGQEQDFKNTIAEPL WGKEGPVHTDVKQGPDGECWFMAGLISLVKCNPLAITQLVQDTGIGNGEYGKATQKAK VKLYTNDSDWETFDVVYQSSIGGTADGLTTWWPAAMRRAALKMGGYYGLSHENEITGG YPNDALKMLTGKIVKYILDDTFDKNQIWIWIQHSDISPMILSTKDSAKKLVNGHAYVI MTYDGDGPENARLRLRNPWVGSEWYDLEKIYDEISRISALQEFQSVP
I206_07420	MKFFAVVASLAAIVGTQASPIFGFGNLFHHPNASTSASASASAA PSFSANATRSAQFPSYTGRFNLTQVLEQHHVDLSNLRGLNVTQLLGQFGIHLPAGFDL DSLIGELEDKFDHHHNSTKPSGHSSTKPSSTRSFSKPTYTSRFPIKPSKSSSVSLTSA TSSVSTFVPSSISSESFSFPSANASDIISLPVISSFASASTSDIISTSSVSE
I206_07421	MQFSFVLSYLALIATGLAAPVPQSDEYPLTTTLGGDPWTNQPAD PESSLVAKRQVGTGATSLASVVISSSAPGPSSTAIAVGAAANGAIPSGHIQKVAGGRS TVDSAATTGGGTGGPRGPGPLAFVGKAAGASGGGVPSGAPFGAAPPISGPPVNASFAG SSDTGSPTDPPFEIAASSDLPSTDSAFTSAPTSLPTGSAVVTSV
I206_07422	MTTLTELFQFLDQPNPSVRHLALQNLIGHTPKNSPERHIFIPSS FAGISSNGGGLLPNKRKEGQESDELKVKAIKDLATLCKDQAAIAHDALSALINLSDTL AVARHLVDQEFLVWLVSYTANTTSPLSPLTSMLLSNLTSHPSLVSSLTNLSVPLVPLP KSKQYPPYFLPASASSSSTTHPDYRDPSYLPPNAEVDQSDEVEIDAIRALVQAFEDGA SEGVKEGKKEAKRKGECHFLASVFANISMAPSTRPLLLTPRPPFPSPSTAEPSEEDEP LLSKIVVYTEHPDTIRRGGALGCIKNCAMDRGSMGWLLASEQDRVRLPSDPSRRVKGV DVLPYVLAPLMGPEEYDIEDMEKLPPTLQFLPPDKQREKDTVLRMMGIEILLLLSTTF TGREALRNRGAYFVVRELHKSETDQQIIDSIERLVNLLQRDEGNDTKADHVSELVKVE KTEDHDDDLDVVEV
I206_07423	MLSSAFRAPAKDIELTSPPPDSVSALAFSPTADILAVASWDNNV RLYDVNSQGQSQGKAMYSHQAPILDLAWSKDGQFLFSAGCDNTAQMYNIQTQQAQQVA QHDAPIKCIEYAEVPGSGPVLITAGWDKKLKYWDLRSPNPIATLDLSDRAYSMDVAQS LLVVATGDRQIHVVNLSSPTTIFKSIESPLKWQTRVVKCFPTGDAYAIGSVEGRVAIQ KNYSFKCHRYDIPTGTMPGTPSVSGSQHVFAINTITFHKTQYTFCTGGSDGSLTFWDG VARTKLKTFSCKDLSNGDTDARPPQFGTPIVATSFNHTHEIIAYAFSYDWSKGHGGVP PAGSNITKVMLHPVKPEEVNKKPPKR
I206_07424	MTPFRSIFFRSSKINVQLFNNFRPISHRTYASSSSSLSSTSSSS SSSSSSTNLQIPSTQGGPQAPLEYCSSLVQRLDPEAWLCSYFWPKRERSWFLAYRAFN IELHLVTQTITQPALAAIRFQFWRDTLKIIFSSTEKDFGKIPQHPVAILLGDLKRNRP IQKYYLSNLIETRAKTISLPPSSTTLTSHLNTYNPISTSLLLGQLPILLSPNHSEYSK ISHTLSHLSTLLTTISLLRNLPLLVSNKKQINIPNDLSEKYKLIEEEIFRKGSEANGL KDSILEIGTRGMDELITARRDLKENSGKVKPDNVIPIFLSAVPAENYLKRLEALDFDV FSPELLKHDWKLAPKIWWKAQTGKL
I206_07425	MITPALLAILAIPTAFAAHPLSREASTREIEGYEGLTRREAQEL AIRSLGDKSYAPYQVDCPTGLTWIRNATEGLSQGEKDFLTKREQKTGPAVNTMASSHG IPNPPRTPSIGVALAGGGYRAMLTGLGGVMGMMNQSSEGSASGLGGWLDGVTYWAGLS GGSWATGTFMANGGALPSDLLTNLWNLDSNLIFPDDDKLSFYTSLVTETNAKKDAGFP TQLTDLWGLAIASHMLPTQYQLSNTPNLTFSQLPSVISQLGDADLPMPIIIAAEREAG ELVVAENATVWEFTPYEFGSWAFGSVQKSIGAFTNLEYLGSELNGGQPNGTCFKGFDQ LSYVMGTSATLFNGALLAINGTDTGLVTGLIEGFLQDLGEDQEDISRVPNSFANYNSG ENPIAELEYITLVDAGETNQNIPIEPLLVPLREVDAIIAFDSSYDSTYIWPNGTALRT TFERAKVLAETTGTNIRMPEVPSENGFVNGGYNTRPTFFGCNDTTTPLIIYVPNYPWS AAANTSTYQLSYTNQEAEMTLNNGMRSLTLNGSIETWPKCFACALTDRSFGYTSENRT SDCQSCFDTWCWAGDDNTTTPNTYEPVIGSIPPWLISKGLTTNSTSTPTNAKGANTTS STQTTTSGAEKISLSSGIMMIGGSIVALGMLL
I206_07426	MRFTLAFSIITLLASTSVLAAPVPNTEGPATTEVAAVPTSSAPA VPATTSSTPTEECSEDCESPAAGTTENAAMATTSPEAAPSSGGSSGDTGDMGGTPIPS SEAPAAPAPAPSSESPESPAAPAPSSESPSPESPSSESPSPSTPSSGCEGEGCESTPS TPSSGTELPCDEFGNIIGGGGKSSSPIGGGGSSPSGTGGFGADCDEFGNPIGGGVSSS SPVGGSGSSPKGSGGSSPGESSGSFPSGSGGSSPSGSGGKGGEDCDEGTGSTGSSGSS APGEGSTPGKREVDNEVLA
I206_07427	MARTLFGGDTSYAPKYVTCPKDREWIWTANRLDKQEESFLRKRE QVVKQAVKNMMIKHGLPVPPRTPTIGYAISGGGYRSMITGLGGMMGLIKESEEAVKAG TGGWADAITYLAGLSGGSWATASWIANGGMIPLDMITKVWNLESNLIYPKDDKFSFYS NLIGEVDAKKEMGFPAQLSDYWALALGEQLLPKQYRMAGHPDLTISQLPELIRKLGEG ELPMPIIIASQREEGEFVVAQNSTVWEFTPYSFGSWAFGSKKKVSGGFMPVEYLGTPL YDGKTTGTCVTGLDNLGFIIGTSATLFNGLLLELNETNSNNIIVKAVKDMLLAVGEDR FDVCRIPNPFKGWWDQENPLKDFEYLTLVDAGETDQNIPLEPLLVPERHVDAIIAFDA SRQTATSWPNGSSIHTTFTRAHILDKQADTKIQMPNVPTANGFVNGELNTRPTFFGCE DTTTPLIVYIPQYPWTYYSNKSTFQLDYDLHSATETMLNGMRSLTLNQTVPIWPKCLS CALTDRSFYYTPQNRTGICQDCFDTFCWDGLSDDTKPKEYNPVLGVPDWLEKMKLVKP EFEDGKKGSNHWANEKLAGLREGGWKDWTGNVIKIVGKKMGVI
I206_07428	MREDARKTKPEMKEKQEMMESGYQPYSNTFSSVQSSDRSVRVPD WRTFLPEAKKIFEIQKYDYLAVNEGEIGQLLSQASYLTNSFKSPYIGSHNDSNSISST ERGNLTNAQYQAPHLEPSFSGANLTNDPRCQGQENSLFGQNQAVYSNQASFPNQAFDP DQAFYSSQAFNPDTADTPMVNVHNFRQHVPAQRYDMPNAPGTVNYAIGDLTGLTDKEF KDLVDAQTSQQNH
I206_07429	MKLDATDLRYISADEFRVLSAVEIGSKNHEVVPTSLIAELSGIR GGNVNKTLGELAKRNLVGREQNIKYDGYRLTYGGLDYLALRTFSRRKLPSIHSVGTRV GVGKESDIMIVADESGEKRILKMHRQRTLRRLGRISFRAIKSKRDYLGKRRSASWMYM SRLSAQKEFAFMKALYEHDFPVPVPIDQARHCVVMSLIDGYPLRAIEQCEDPADLYSR LMELIVRLAHAGLIHGDFNEFNIMITRKTGEPIVIDFPQMVSTRHENAEFFFNRDVNC IRRFFRRRFRYESLSWPTWKDVLEGEDEEDLPAISEEAEDDNQPDKASTTAQKRLRID LEVEASGFGRALQRELEDYMLEVQDMPISDDEDEEDEDEDEEENDDAEGNEEAQDDIE AKDEEPFDETAFQEQMVAKLEAIRLHKALGNDVDSDGEPVNRLSDHSLTDSEEESDSD GDSDDSVGPAQSDYTSYMPSQRNTPRFHERISVNKIKDKKNGQNLLKETVARQVAKQR ESTERKHHSKASLSSAGKRKGSKWKNSDKYATGKDSGW
I206_07430	MAAAVLNGVTNGIASSSKIDSYLADESRFASIDKVLDRRGPWTV EEFVGGEGTKDFLRKQSKVLVIGAGGLGCEILQNLALTGFNDIHVIDMDTIDISNLNR QFLFRESDVGKSKAIVAAEFVMNRVPGVKVTPYHGKIQDHPTTFYQQFNIVVAGLDSI SARRWINATLVQMAEEDEEQLKPLIDGGTEGFKGQARVILPTVTSCYECSIDMLTPPT AFPICTIANTPRLPEHCIEWASVLEWPKVHKEKKLDTDDPDHIEWLYQQASARAAQFK IEGVTWALTQGVVKNIIPAIASTNAIIAASCCNEALKIATAAAPYLNNYMMYVGNESV YTYTFEHEKRPECPVCGGESLIAEAKRDWTLERFIESLINRQDLQISKPSLSYSRGAL FWPSPPDVYTATRPNLEKPLVDLLGEDEGIVVTDPALPVSVNISIKYV
I206_07431	MSTTTSDQPLFGGSPTSGVASTSTQNLFPTQSSTKSDERNGTPN VYYLVFLGILLVLLILAGCLALRAVRMRRRYRTATQIALARGDPLPVNTSENYWGLSG LAGWTSEGFDRLGNMEGELNNRRQRERDRETLKHKPKIYDEVIYKDEVEGESDVLNVV DPISIQSLLPLTNRLPNSPQQESLSTRPLFGFRQRPYPIETFPQQVKEVNRSIESGVP IRMGLIIQMPTPPDAPKHKSEWAEDEEVGWDTGMELGIWQGKIHNSSQSVTEEGFITK GNRESTESEIYR
I206_07432	MSDFSDTISDLKIEYNPDTNTFYQSTEWGPTVRVGRQVVRDLIN SHNPSINSSNPAHSASKASETSMSLDDSIFLIKLECAVTSSEIDWKEFCTAVWFEESG KDMPQGSSQLSSNVSGTRDGNETGKSGADVSAGKEPKSVQRQKRQKQSMNQTSESSHE EKEQLVNRHIGESVENDPSSAPTSLRVKGSEMKDIKFNAQTILKPGETYGRGSRNK
I206_07433	MSNFDLSKLGPELDTSRRLRQGIPIRSQEDSNFDDAIPELSTSI ESGISTSIESLSDFDQESDINKDSHKTTTVDSEIIEKPYPLCLLCLNRPPSAVLLPCC HLNLCYICAPLLIHRSKTTKSKSNSNSNSSPSTTTTQWCQGKSYNTILMNATKNHSKS RKLALGGYRIPEENKINGEFTGKNLINYYNQTNNDVFKFEIDEKEKGRIKLNSSSNFD NNNNNDEDNDDDDNAKCLICREGIKGWLRVYTG
I206_07434	MSAATTLPPQAATANANALADALAAASISSSSSPKPEEDKDDDL EDGEIRDDEDEEDDGKVKTVFDDASKFNVKHPLYSTWTLFFDSPQSKLLPKTPSSTPA TPQGGGGGWMEDIRKVVAFDSVEEFWGLYNNIIPPSQLPGKANYYLFKDGIMPAWEDP KNKNGGKWSIQVPKEKSKGAIDKMWLYTMLAAIGETFETPLPGPDDKEAPAPSQSDLV TGVIVSSRPAFYRISIWTRQAPDTTLTEADALMSRVLTIGRHFKVSVLGYELEQKLVT GGFQTEIMFESHKDSEKKGNKNKIII
I206_07435	MATSSPIPRRHSEMPSRPMATPPRKISTYTDGGGSGSGSGSGEM KRLDSGLNNEQRKARREQFRNFYGIKDGSTPSSPSSNNIRQGERGNPTDIDSTSFNAS AYYEELISKSNLKELMETASKLNGDIGNLEGSRHSLVYNHHHQLFSAGDTISKLNSRT PQLISIVSQLQESFSNIEQLINSLSLDESNISIDDSNDLDNNLIKLRNEKEKIKLMIL AKEPIEKIKDYYEKLKQDIQDDEKEQDGSGPILKEIEKLLEDKPEQANDSTIS
I206_07436	MFSNHLTFDENQPEAGPSHFNSNTNISISASAGPSIHPDRLALN NLPIESNEIKKPKNKSEAQKNREKKKLKKRRKLEKAKKAAAPKINKKEWKSDPVLEAL KRSNNKSLDDTNGKKENDDEDDDDEDEDEDDESLEKDETKLDEENEKERKRKEKREKR EKRERKEKRRIERENQNQNQDQNQNQENQVEEENNEDSIMDQDQDQIEDVNVNEIEEL NKEDSPPPLEAFPLPRSAPAPAPEILAKQGLPSGLENAEFIDQSLRIELNDFKVLPTR TILNDKHVDEENNVSGETKGLSERMKGKLKENGINDFFAVQAAILPELLSLRLLPLQY DILNDYLISAPTGSGKTLAYAIPIIEILSKRITTKLRALIVLPTRELVTQVRDTLEML SRGTGLTIGSVTGQNSFAQEQAQLIADMETPLLGGSSKLDILIATPGRLMDHLTSTPN FTLQHLRFLIIDEADRLLNQSFQNWLSSVLSFINPPDPPRQLSKDFKYQPWDKVAPAW QEGLGLIERNEEWQEPIPIVCQKLLFSATLTRDPQKVATLNLNLPKYFIIQSFTDSKN NLIENIGEKFSFPLTLIEKMIILPSNLKLLNLIHLLYFYINFNNEKENCLIFTKSIEN SERLIKLLEFFKDAFIINSLSKRLNIKVYNSELKLNERKLILNDFINGKINILVCSDL ISRGIDLPCVSNVISYDIPIDIRKYVHRVGRTARAGRIGTAWTIVEKQEALHFKSMMN NAGHLKSIKKVKIKEDDLVDYKESYEIAMRRLKEYYSRDT
I206_07437	MPWLMKAEPDSRIIKGKDVKFSVDDFEKLGVSPWDGVRNHEAKK IMKERMKLGDKVLFYHSNCKTPGVYAIAEIVKEGYPDYTAWDSDHPYFDIKTNKENPT WFMVNVKFIERLKYPPTLLLIKTLSSLSSLSSSSSSLPIEIDYISKKELESLKSMQLV NRGRLSVQPVEQIAFDTIIKLGTKGGWDGLIDIKGKGKSKLNSITNSPQKKKRDLSIE IDGQNQVKEVISKKKPTSNDKKTKTEIEISKTNKNNKAIPSEGIRRSKRIKVD
I206_07438	MSSITDGLLIKLVNIVVYAVSLGSNVYSVAGPEDMYGSSKVTYI TPSYYAFYVWSLIHLLLLGTIIFQFTARGKSIIVDSISWRFALLGIFNSIYVFFWSRH WYILAFVLSLLVSATVSQIYYVVKRDHSDKEGLGEEAFVHLPFSLYHGWTIVLVVLSL FEAFGVNAHTHKAGIWTKIFVFLAFVFLETTAAAYAFASKEGDAAGAAAITWALFAIF IHQTSSKFIHWSAFAFFLLSLLAIVKSLIATVRGSGTLLHDEERAPLVSGSS
I206_07439	MSSITATKTLNDILPLPTTFHRRQDDNQPISESSDEPTPTEDEV ETITVTITPITTVYPDEQPTETETITKSYKLTPANTPMPLADKMGMIMPNPWSHLYTG LNYSFGFVDPVPRPAPTAGGWLRVVQPLLVFPNYTVAVFPNVGTQDPTSVQAVPVGSD GLCGATAENYAVAWPFIFLEHGWYMFVVNQTYMQVNVTSNNQCAFPILQQESFFSTQT FSIGPAPTYSPGPKSPSSAYTVFAEVSTHTPSDLPIDPHSASKGEKLAIALGVTGAIL GIAIIIAVMWFIRKKRIMEREALAFSRLSQKDQEAFLRENPDSFLNPNHPRYTAKTTY TNQTGPPAPPGTMAYAIWWSQQMWNNQFNQNPHNWGQQYTPAPQMGQMAPINQSWNGG QSMYNPVNQSFMGRGGYGENRAIYQYER
I206_07440	MVYSALLLGSLLALPAFGQTFRRTAACPDLGCVFPPDQTDFIAG QTFDLRIEVQAPVNGSEAYNNGTPDSNFSLKIGGQGGELVDAAQFFGLSDPPVEKYNF TYYEDLFAEDAKAPTPVNVLAKSYRNLQLYNPGTYEVVLSYNNGQHTKATWTVLPLAE TKKAKNVIFFVGDGMAGSMLSAARLLGHKTINGKYQSKLKLDEAPGYGSQMTHSLDSF ITDSANSASALFSGKKMTVNGLNAYTDSTGKPFGDPKVETIFEMFRRIEGGQIGIVSK AYIADATPAAVCTHTSQRSQYNTIIEQYLNGVSGNYSWYPWQGVDLLFGGGAENFLPS NANGNVSQFERWSSYGYQVGYTKTELEAFDNSQRALAIFTQGNISTWLDQNVYTDALD LAVTPQGKRGARDQPGLKDMTLKAIDILHTRAKERNTGFMLMSEAALIDKEMHVLDID RALGEVLELDDTVRATLKHLEEIGELEDTLIVVTADHGHGFDVFGSADTKYLKEQKTD RTKRNAIGTYQNSGLSAYQVPQNVLPTNQTIFKSEQGEGFPITWDPRYTIAHGMSAFP NHRDDFEVNKDHERLPATKNQKNSSDSGYFFNPEDNQRGFEMTGNLGINDGQGVHSLV DVPIYSFGPGHELFRGILNSPDLAFKIAQALDLGKNSNVTSYYKK
I206_07441	MAEYDLTQKLIPHLDRHLAIPLLNHLTDVAIFPADQLAKAQYDL VKGTNMVDYVESLHEQSGSGESRDFAKLREEATARYQELQEKAQPVMKVIEDPDAVAK LRSGVDKDKNLDLLKSEYNIDIDQINALYHFGQYQYTLGAYAPAANFLYHFLIFSPSL DLNISAHWGKLSSNILTGEWDAALTEIKDLRDAIDNPHGTSMAKPLAQLQARTWLLHW SLFVFFNLGEGQGCQGLLDMFLSPAYLNTIQTSCPHLLRYLVAAAVISRRAPKPAGTR GNRDHVKELTKIVQMEEYQYSDPITGFLKDLFADFDLNQAQQRLTVAESVVRSDFFLS GFADEFVENARWLISEVFCRIHRRIDIGQLSKTLNLSNEEGEKWIVNLIRDSRMGVEA KIDLKENMLHITRPHATPTATLIETTRGLAFRSQAIQFAMQSTGGGGERAERGERGER GGRGGGRGGRPRAGASAREEVAA
I206_07442	MGRSKPRTNKRPPPKPKSNNITIPTNTNNNIINNNNNINEQINL NLKDDFSNALTNWSTNALGRLDNIPKLTQSQLTALTGAAAGIGEANFLTNPSNLPIIS NNNNNNDISTTTTTTTTTTTNLNMNLPIDLPASLAALFEAKLTLDREKAKLLRMQQEL KGQKEEQSFNNNNNNNNNNYHQHNHNYNDNNKFELENEISSLNEKRLIKTKENLNGIS NRQENNNNNNNNNIGDDDEIGFDQIHSRLKGKGVALVEEECTCGRNHSYPPSEHSECE YECEEDCDCDCHSYIDEYDHDHDDHDHEFEHDDDHHHHHQHEHEHEHDHHHHHHHHHD HDHNHEYEHTCDHDYDDEEDPPKRPLAEDPERLDETVRELFNWIKAVVWTIEQAAIVS GRRNWNQPK
I206_07443	MASAGLSRGLWNSIRSQVSPIASRSSTPTIASTSTLRAFSSTSI KSLRSATIRPQPFNLPYFTPSSKSTFFFPSHTFTFPRTLTTTPAIPEIPRSLPYWLYG CSALVFGIIVIGGVTRLTESGLSIVEWKPFKGIIPPITAEEWEAEWEKYRISPEGIMM NSKMDMHEFKKIFYMEWGHRIAGRALGLLFIIPAAYYTIRYKLPKPIPIKLALIGLGI GFQGFLGWWMVKSGLEQEIIDNNSVPRVSQYRLATHLSAAFLLYLGMLSTAIGIQRDM KLLKNPNILSQLTLPSVKRLRGMVHGAGMMVFLTAVTGAFVAGLDAGLVYNEWPTMGD GFIPPSNELMDEHYTRGGTKSIWRNITENPVTAQFDHRMLAYTTFSLVVSLPFIARKV PFATSRRLAGLTAAAAITQVTLGITTLLYLVPVPLAAMHQAGSVVLLTCIMALGGSLR KPSRMLRHLRR
I206_07444	MARISPHTLERVYNILAEREYIRSRAGQLSQPSSEQAFYSVRNS VKHVLDNRYRNIYAYDRTAVIVDGKYLNANVITDGKGGLWIAAQAPTPRTFDTFFQAL YSGAASGNKSKHVILVQLTGFKESGILKAHPYLDHVMEFLPIKPIKQERYEDMSTDMK ELLLGQTDPTTLLHYHFDSWPDHGVPEGKAVQALSKLIDEVEQRRQSLNCEVWVHCSA GVGRTGTFIALSSLRRPGKALNNSPLPPLPGDLAQDPVAATVDTIRECRAILVQTPEQ LELIYKMQ
I206_07445	MSTLPPRFTVPPTPPRIHRQIKLSVVEDGVALSPENGEGVLVRW GVKGKVERFDCEPGEVILGGVLGIVRLWDAAYLLVFLPRKSFPLFPAHDVHDETRNES SSSHEVFTLEDIHAIPLSHELAIKAQKRLLDIQISREPKTKSRWSLTLPLRSTAIDKD QSDDSSSDEEAPEADETPLPSKTREWTKFMPKLRKKQLNDSKSSEPLPNDPPQREELE AKIVRQIIREFSNGFFFSYDFDLTHSIQQKRKTLAKRTASHTALHELIPKDGSLFPSS PTTTLPRNSIYEDELVEPDIQVPLWRRTDRRFFWNENLMKDFIDLGLHAYVIPMMQGW VQSSSFTIPIPPNPLEPTRSLGAVPVDLVVISRRSRDRAGLRYQRRGIDDEGHVANMV ETEMIVRAKVEGKSSLFSFIQVRGSIPLRWSQSPYSMKPPPVLNEPVDQSYAVANLHF NDLTARYGPITIVNLSEQEGKEAVVTNGYRELVESLERKDVKYTGFDFHAKCHGMKWE NISELVDSVDLGAMGYLWTLQGEAIREQDGAFRTNCIDCLDRTNVVQSALARRVLTNM LTQLGLHAEGQIVENVFNDVWANNGDTISLCYAHTSALKGDFVRTGKRDLSGMLHDGV SSISRMFYGAVSDFFAQAVITFLLGHRNLSVFSEFLETMTSADAASVVKLSRIRSVAI ETCSARVLSDGEDRIGGWTLLSPESRSVRISPGLEEKVLLLTREAIYVVSFNYSLEKV MEFTRIPLNSITSVQKGAYILSTLQEASRDPKENYGFVINFSPSNESTRYSTYSLRNK MPSVPQTSLPETPLASVEHANINPDITEYYAFKAMPGHTGEDGTCEEMVNHVVEQIAE VCKKDNLLVHKDIVSLAEAESSTSILDKMDYAFKRFLWL
I206_07446	MSAKQTILVIGATGKQGGAVIRSLDKSKYRIIAATRDASSEKSK SLGVDLVEGSLEEPDSLFKEPIDGLFFALGSQDPDEQLKQAIIEAAEKHKVKHIVYSG SDSSGIEHTGIPFFDIKREIEKFIKSKTNLIKWTILSPVGFMENFYWQLYLDQVSTTW KDNYEKHKIYKLITVEDIGKIVSESFEKPEKFNEKHLNIVGDEKTPDQIIEIWKQVTG KELKANQTPIFPPGLDVAFQFFIDHQFEADLEENRRLFPWLTDFKSWLEKTPFAKK
I206_07447	MTKIRIAIAQTAPICAPEGPAKLEKPHSTSPFSTIDQNLADAVG YVERASAEGAEVVIFPEYFLQGIVNECRQYLTFPSEHLLTFFKNLAKKNKISICGTIV HGKREEGFEPFPQVNPFEHITSSSLTNNNKITSSQLEWAKYLEKNPLINEEDSNPILY NIAFFINDNGDLIGEYTKKNLWHPERDYIKAGEEEHKVFETKWGKVGMMICWDMSHPT HAQDLANQGADIIFAPTFWYATDSEPIIHKYQHDPEYEHHMVQSLCFTRSIETETIWV MCNAGGDHLEGFMGGSGVWVPLRGKIASCAIEPKLEIVDVDLSVLKDSREMYKVREDA AKRLAT
I206_07448	MSYALGHVPPSRPLTPSPSQNQSYRLPLDSRAQLRQRQFSIGGP LSIMSTSPYSNNTPTSQLAWQASFSTNFNEMTPEEKFFRKTSIIATIGPKTNNVDTLV ALADAGMNIVRMNFSHGSYEYHQSVIDNARAAAAKSPNGRPLAIALDTKGPEIRTGLM KDDADVPIDAGHEFWITTDKSFAESGTKEQIYMDYTNLPKVTAPGKVIYVDDGVLSLQ VISIDGEKIRVKSLNSGNLSSRKGVNLPKTAVDLPPLSEKDKADLAFGVKNGVDMVFA SFIRSGNDVKEIRKVLGTEGANIKIIVKIENEQGVTNFDEILRETDGVMVARGDLGIE IPASQVFVAQKMMIAKCNVAGKPVICATQMLESMTYNPRPTRAEVSDVANAVMDGADC VMLSGETAKGKYPIEAVKMMAETAYLAERSIAYPHLFDQLRSLTPRPTETAETLALSA VAAAMEQDAGAIIVLSTSGVSARLLSKYRPECPIICVTRNQQTARQLHLSRGVYPVWY PEPRGIPGDKWQIDVDNRIRYGLRVALQLSIVKPEATVMAVQGWKGGLGHTNTLRILS VPADPADLDLHSIERDE
I206_07449	MPHHLSSTSSDCSSQLSRTTNYSTIFSKSSPKPSSFGPNSHIDS SSKYTQTKDRKTSKSKHKKEVETNPYEEYFIQQAKLFRHLEKRYIKFQKKQKEEQARA RENRYKRFTPVPKSTQKKTTTTEFRKDKNTSIDRTHQPNTDISESNSMIGPSVSAIRG QAGRALSQASRPVSVTRVSSPVPTAPFSSSASSSIRSQKSLPKKKKKVVNPDAMTASE ATRVLRALEIANPTSSYSLTLSTKSTKSSLPIRGHFHLPLDPRRSSETILVFAEPNSP SSNLAKAAGAAYVGGEELFESVLSGKISPTRCLATPGMMPQVTRNLARYLGPKGLMPV AKRGLVGEGQELADKIRDAAGRMEYRADKEGLVRIPVARMDFEIPSVENNIRSFIQTV RDNQSAGTTDDAVTAAAKKKKKGKSIHF
I206_07450	MASVVRSFRPIISQQRGFLTPKITPISHSLIAGPSVNSSRYSSE LNKRSYTSTKVIRATVQDGPPLTHTNRAEVTLRRFWKTVHIKENESGEYQITLDHRAL KTPGGSKLIIPTERRLIALLIANEWENQDEVLKQHALPVTSLVSRAIDGLGEGEIRIG VINQLMKYLDTDTILFKNDTPSSLVRMQNEHWDPLYKWLENEYNVKLNSAKGFSPPKQ SEQVKNKLKILLEGMDGWELSAFERAAYASKSFIIALALCKGKLTANQAADASHVEVR SQIEKWGEVEDTHDVDYQDIRRSLGSVACLLIKA
I206_07451	MAPANSDFEGNSPVHSSTSNHTDPKSTEPPKAKQRKLNRTLPQL KRNAACLPCRRRRIKCDAGKPHCSSCVRSYHFLARTQPDVERDAKGVQCFYDEDAEDI DDDLSHMGQTHDRYPKQASSSSPLDLHKGTKRKGNSAEEDPKDIIKKLEDKVAELQQA LSASSAFNRSSGIDYTSAPAEQSLRPQQVETSSWAGPTPNTFPGDFLSAPYNPPNITT TPFDLSTNIPFSASQNSLPTTAAQTEQPARRSTQVTDVNDIDAEAGRFSGPFLDILFP GWPPKLPTPSMLEHLVETFFNMVPSVPRVIHRQTFLARLALPPTHSDYPHVSLLHAIC AAAARYTAAVKCRPVRDAVDKTNLDAKRANGKGLPYDDPVDETCFSERNARYAMAALK FEHVSGRGLFDMLQCMIVLCHWGQSSAKWIEGWVMIGGAGRLAICLGLLDHRPDHFGM PALRQSMLGPPKTDAEREERRAVMYYIVANDCISAASSGWPNTLPVNEMTTRMPCHRT DFEKLDKMPENPQYYHSPDLFTSHPVADGFNMMMKGERMILLSRSCRFIRQCRGMDSA DRLLARQMPEFKKIDMDIAAFNLNFPPSLRDPIQYLQGHAKGVDADLIAAHLIPRIAS IFLHEPFADISDVSCPSAQRLLMEARAVLNIVYLVVSSNADISYMVMPICSFYFFTAC RTLLLFYQRALETGDQGAAYVFRSEIVVFKMAFGALANRFAMGARHLIMVEMVMSHIE EEALGHPLPPEGDMGRVPKLPGQPPAWQIAHPEMRRAYDSAVYAAATPSALQHVVQAE LPAQLGSHLGSGSPAGESGSNGQSPNGSMIWVNWQSIASHTTEGQLPPELRDNNLRTQ AQQLDPKFSIL
I206_07452	MSGKESSEITDPSTSYELNTIPKKMETHPDAFVTDINGDRGEKA GYSGENQKVDGPAANLQDGTMHRTLKQRHMAMIALGGAIGTGLFVGSGSALSTGGPVG LWLGYIFMSSIVYSMMVALGEMAALFPVAGAFTHYAARFVDPALGFATGINYWYSYAI TIPVEIVAAAIVISYWDATTNAAVYITVCLVLIWTVNMFGARVYGETEFWFSAIKVVT IVGLILLGIILMCGGGPNHDAIGFRYWRNPGPFNYISINGGDDVIGGRWGQFLAFWNV FIQAAFSFLGTEIIATTLGEAENPRKTVPKAIKRVFFRLVFFYIAGIFVISVLVPYTE PDLLNGSGNAAASPFVIAIKNAGIKGLPSVVNAVILVAAWSAGNSDLYAASRTFYALA LERQLPRVFRKCTKQGLPIWSVVITGLFGLLAYLNTGGESAVTAFNWLYNISAVTGVI TWWGILLSYLRFYYGLKKQGLTREGFPYRAPLQPYLSWYGFVFLTLVMLFNGFPVFLK GNWDVSNFFVAYVSLLLFAICWGGWKIVKRTKVVALEDIDFTTGRRELDELEALDNER FKVESKYQRIMNILF
I206_07453	MQYLSKAYNYYSGINPATLSGAIDVIVVRHVDSEGGVTLSSSPF HVRFGKLQVLRAAEKTVTIRLPNNLPAPHVAPFVMKVGETGEAFFVVETDEQVPEDLL TSPVVMPTHTDLPSSHDVNEISPSETDHHRFLTQEPFGETERQVPHESPLAEVDFLDL NAGSSSIPDANGVNSKRSSISSKRSSIVDTASSFLPSIPLLSSRRGSKSGATHEEDPA EKPLNDEAKAKKSQEPVVSTTNKEPNPLVTDDGESTQLPEKNHRLSSHEALEAGDSAS TTEDLLPKVKPGQGEGPAVLYGKDVVLDMAGYHSGKGDNPGSPSPEDSDPQTEAFIQD LLAAAQHPGTSRPALPASRVTDSEVPLNDLDDAPLPSPAPELASEPDLPGALANVHIS PQSIPRRFDRGHSEPPQDHTEMSRSPTRAAMEMQGAWNRRQAPDMNHTRSGTLREGDS SALIGRLKNVEENPYMFVLDVHERSHRFELSLNETMADHDEAAFDRNRITFQKFIEDP AVVDDPRLVVRYNSIYRTWPTDYRLLYALSIYRRTLLPPSSPSLPSLSDMGVPNQPPS RPSSGYGWSRWWRRGQSSASNSIAPPSRSNTTPVIEPLAIQPTTDQATNEPVETPDGK QYAKTLRLSSDQLKSLQLKPGPNTIQFSVTSSFSGLATCTSRVFLWEETDQVVISDID GTITKSDALGHVFAAIGRDWTHLGIAKLYTDIGNNGYKMLYLTSRAIGQADATRQYLK TISQGDYRLPEGPVIMSPDRLMASLHREVIMRKPELFKMACLRDIQRLFGEQAKEAFF AGFGNRITDAMSYRSVSIPAAKIYTIDSTGVVKTELLSAAGHKGSYIQLNDLVNEVFP PVSTKNKPEFTDFTYWRDSIPDIPLPDLSPPSPALSARSDTSGRLSVLGKITGIGRRG SKQPLLPTSEPSSRPSSPLFAASMTPDELNEMDLDDDDGKSQISMPGSFDDEEDQLNQ MGDSFFDDVRKRDQNDLEAQNEQELAEGDSFDDDTNFDDDILAAGEMQHVPF
I206_07454	MSQGGYAVVDVDDEINEQGNGLEFKTFLPTNGHTPRATSPSPPE VPHSFFNLAYYQTYFDVDTNTVLKRVGMAMIPRSGFIVENCDGQIDLYGPFWTLTTLI LVLYITSTLISSITQYLVSSHANSNLPLLSTAVSVIYFYGLGLPALLWGATKWLGVGE WGVAEALGLYGYSMGIYIPISLLCLIPIGILRWVLVFGGAASSGYFLVQNIYPVLASA DNKMTRLLIIAVIALHGGMALAIKVLFFSNSSIGPDPITDPML
I206_07455	MSNPQTPSSAGDFSSASTPLRKFKLVFLGEQSVGKTSLITRFMY DTFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVY DITNRTSFLNTTKWVDDVRNERGQDVIIVLVGNKTDLNDKRQVTPEELDSRAKELGVM SIETSAKAGYNVKALFKKIAMALPGGNSEAKETANTSE
I206_07456	MSNEQFRQEIDKGETIQLEEAGAIEEPIKPGVRLSADHADIYHE ALERYPDDSHINPDDEKRLKRKLDRRIIPLLGICYFFYYVDKTTLSYAAIFGIKKDLN LGKQDYSWLSSIFYFGWLAWAIPSNLIMQRSPPAYYLGANIFFWGVFLMAQAASKNFA AIAALRVISGAAEAIADPAFMLITSMYYTRAEQPSRISCWYAFNGVGVAGGGLIGYGI GNIKGALASWRYEFLIVGAACSAWAICLLLFLPNSPATFKGFTHDEKLLMIARMRKNQ TGIENRKIKWGQVREAFTDYKTYMFCFLGLIGNIPNGGISNFSTLVIQGLGFNTLHTA LLGIPQGALVVIWIGAGALINERLPKNSRTLVCALFMLPTISGALGFLLAPKDAYVGR LICFYLTGSYQCSFVLSLSLITSNSGGQSKKMLVSAMIWFGACVGNIVGPFFFRSEQA PKYSLGIGAILVCNILEFLLFFAFRFAFMYENKKKKIALEHSGEVYDANATAFSDMTD KENPHFEYVY
I206_07457	MSSLSLSQSMLGLTDSPLRPRLRSTLASTPVSSAPSSPIQKRPS QPHLRHTVSRNSLVQEATNNAARKVVQQNSSETGRTAPSMSSQSQLSRSESPNGTRSS SRASTASTGRSIPVTPVAANLRSTQPIDISPVHSVTPTAAQRQANRSPQSSQTRTAQA QTQSRPRSAAQRRPDATGINPPSPARTEITLAEEWESELIKDTRNLNIRPAAPAVKPT RHGPTAKEREEQRLKDIEWERSGMWENARDPAREAEDRVRRDIGRDVAYPPATPRVPI RAAPTGPDPLMSLPLFSPASASANLGGSQSSPAQSQIKYDHKLAEKARREYEDWKARK AEREGGMGEGAEDHGTREWVPQTREVARPGNDVPKVRQVKQRQQLNQKQQTETQPQTN GTPNKAKSPAMEKLDPADSQEYSQAQCWGYPYPYGMGYDGSQMQGMEGYYPDQAYWDP SYWWNMSTVGDPQQMAMMQYNHPEGRKVQFADPHPDGSPLQGDSAPVTLDNSYGEM
I206_07458	MFGTSPPLHPSALQAISGDYYRTGMSAYEQPMPQGTTSQYGGIG FGLGGGGAGMMGGGAGMMGGGAGMMSGGWGRQAYPSMRAMDGNMGAGMSGMNGVNGMN GMNGMNGMNGMSGMSSVGGMGGMSGMGGMATPSMGGMGMNMGMGLGGMAGFQNGLVFL LRVRLLPF
I206_07459	MSHRSPSLSPHLPKSHRISPLIPSSTTPLPSPLPTSPLARPALI GRANSMMYNNPHQWSQGSSHGTTQQGYNPGMVMGGHQHGSMGMSAPSAASSATEGAMR PFDSAKMKQGRLGHYGYLEGRELAGPPVGFLPAGVDPDQYSPPAPAGQIAPTHRNAGN AAYNQSYYSSPSQADSANGSMAWGDMGNTPRARYDGSSSAAGYVQADLDTPYPRTAGG GASSAFTRYGPDGSIANGIDGRMGIGHRRLREGRV
I206_07460	MSGEPDNALIPRTGPPPKLITGPKAGQKSLYHQRVLDEDTYTDA LSHIITRDFFPNLPHLHATNDYLTALTENDPELLSASIRKLAHLAQEKENVRATPVGG RRSLDDIDAERARRTEYAMAGTPYISLPGGGGRPTRTPVGARGWETPMTARSIRKRYE DYDELDGGGFEPEAGPSRNVKKPRQKTIRDDLSLDAFQRNYTSEDNASFVQIVDEENR KRREERWGWAFEAEQKAKQRRIEGEEKRKMILAAATSGNWRVDGEGRRLIGGLAEGGR DRNEGEAWKDVKLIEGTKDTDNDIEVDKAENPIEERSSALVPHGSGSSSSALIKAGEP SSMPQAKLTEIPLPSKHPLTQALTDAGLPGTALISTEDGQIVPHREGASGSYNDRGRG DEEKALRKRAETDVLGEENNSISLAGSGADQWGYKARNNLMFPADSNSDPYPKPRPAD TQVVINPPSISHSNTRLPDEEDIRPNRGEGSSRRGSSPARSWVDAAVKGTPYHREPSL PVINNYPLLPNDPSPSPEELPSLLTWGTLLSTPRALDGNSDPLDSTKSFKLPETKRRD EIGRKLADQASKSISERARSFTPQHRSSNLSSTLRAVADRTQRSVRGSRTPGFGQMLP PSSTPRRQADNLTPAARKLLERSVGRSPMINSGGGMSTGGKNRGAVMESRSGWGGKAE KRMTWTPSPRK
I206_07461	MTKRTIRVALLINDTPVPAVIREDGTYYDIYKRWLLQSLSTYPD PIIAKNTELIIDGYDVVDKREYPTEERLLPNAKDGYDAVILTGSKHTAHDSTNPFIPP LVSFMRRLTASPQYLHLKFIGICFGHQILSLALGGDCVSGQNGWEVGVYGCDLTEEGK KWWTWTGDQAEKKEVAGQGGDDRVYVEQMHRDHVPSLPPSCHLLLSTQRYPVHSFIKY YPASLPQNPVAQILTVQGHPEFTPEIVDHVIDARSATGIFDENVTKEARRRAGGKGHG GEGFGRVGWSVWRVLLQHIPVQDNNSIDQ
I206_07462	MPDPSVPLVRGKTDHKLTGHKLLYSVSVFLSIGVWLFGYDQGVM SGIITGPYFKAYFNQPTAGTVGNMVAVLEIGAFITSLAAAHLADNYGRRMTLRSGAFV FTLGGAIQTWCIGLKSMILGRVISGFGVGMLSMVVPIYQSEISPASHRGLLGSVEFTG NIIGYASSVWLDYACSYLQSDLSWRIPLFVQCIGGAILALGSFIAPESPRYLIDTDQE VEGLAVIADFQGKELDAPSVQEEYREIRDAVLLDRATGDRSYTALWRRYKGRVLIAMS SQMFAQLNGINVISYYAPLVFEQAGWIGRDAILMTGINAMFYIASSIPPWFLMDRAGR RPILLSGAVAMAIALTAIGWWIYIDQAITPNAVVVCVVIYNSAFGMSWGPVPWYALYP PEIMPLPFRAKGVSISTATNWLFNYWVGVSTPVFQELIGWRLYPMHAFFCALSFVLVY FLYPETRGVPLEEMNLLFQDEPELDDDDDDGSGSDDDGEGSGSEASSLMEGGSRRYSD GSTLPIINKSQADSEPGFLGRVLGVFGGNSRRRGSVRGEYNAVGGLNSKGKPIRKSRR KGKRNRSVSPSEMDVEIAEEYENLPILPEDEAEHDIGDVELHPSTGLGGIDLSRRNTR SVEPQ
I206_07463	MSDVEDAANSLVASYNSSLSSVSVKAVGSQIALMAAISIGTVLG FSFFRPREKKVYAPKIKYQLPRPADPADDPDYEPPPPPISNGFFAWFSPVIRLKEEQM IANIGLDATTFLRFLRMLRNIFTCISVLGLALLVLNIVYNFKYVDSKNRNALSLLTIQ NVSGAWVWPALAVSYLINALVMYFIWRNWHAMIQLRLRWFRSPAYQTKIYSRTLMVTQ VRKDYRSDEGLVALMGLLKVDGIKIDCTSIGRRLEDFPEMVEDHNKAVAELEGHLVKY LKDGKMGTKRPMIRKGGFLGMCGGMKKDAIDYHAKEIKFLRDKIDAKRAAIDSLLRKE RHARKKGNQNVSRIEGENYGFVTFKTIAEAHRIARTHRGKLKELYGAQLQLAPMPQDI VWENISKDSAEVGSRRTFGFIFIGTICFFYTVPLLVVSLLANLSALTLWVGFLDKWKN GGQWGNWTFSLVSGILPSIISAGFGYFLPFIMRRISKYQGATTRSRLDRAVTARYFFF MIICNLVIYSLLSVVYTAVATVIVQIGKHQSVGTIFKSFEDIPDKIQGTYVQQSTYWL TWLPLRGFLVIFELIQLIKLALVSLRRFMFSHTPRDIRELTKPGYFEYAIVVVNLLFV CAVGMIYAPLAPLVAIGATFVFWFSSVVYKYQLLYVYISRAESGGRMWNVYVNRLLAC CVLMQLLMVLTTGLIRSRWLDCIAAAPPILAVIGFKIWVARTVEGKFRYYEPSSEELE QERMFAYSEKRTRHSEMEKRFLHPALQHDKLFTVMVHKSQEQLAREVLSAYPWFAGKH QQDGVAIKAVREENLEYDPNRDGPADSAHQADWDARSIASTEMLGGFGGGKSELSSVA PSPGFNTEAYDQYPLPNMDSTVNLPLDNPSTDHLLAQQRDRSDDFRHQQAFPPQRKYS RPYPLSHSRGNLSETDIVASSPLLEHQQQQPSYDAPPYSSPSNNVPYPPSAFTQPPVG YTPPTMRRTASEQSGEGDDIGRYGTRWDQGEAERGYTAQGNNRPLPQRSYSNQSQDQG SGNYSSGLQGQDRRNQGHGW
I206_07464	MEAELSTYKDQLAYVNLQLESDPNNEGLKTLKTELVELIDLTQQ AMGHPAASSTSAAQTSKDAVKVDKGKTKDKGEHYKAGMDCMAKYKDGKWYPAKINAVV GSSDSPQYTITFKGYTTSTNVPLSSLRPHDPNAPVPKPVEPIKRKQDDLTEKEKEKKK KKGEKWMESQKAKADEIKDKKNAWEKFGKKASKKGIHISGLEGKSAFRTPDNPFGRVG VTGSGRGVTEYERMGKHKFAQDKED
I206_07465	MPAQPLPAPRRVLTATDSKGAGIILDDTTPFANENEDLRAFVGY VQPDLVGKPDQAVKWSEYKPNRISHDDQTSLRWVDLPPRYKGAQHYTNTFGNLYLTDY LVITHGELELVLPDGQTRIVKVGDTVVQAANVHAWNNNTDEWARFVGVVVPSEGAKVD GKTLDQPPVNGYHAQF
I206_07466	MSSSRPTTPSTPTRPPKSERRLTFESIASLPLPMEASAPPPLPS SPASASASEASRDPVTPKTPTAPSRPDLANRKSISSIKRKPVPSTPDDQSFELQAAIG SRASHTIDSQNSLADPRNYENQPPRYIMPVDLPPAARAHQQPEARLPPGVVDFTASRP PSYANDHSYHLHSIGPGPVRPDELYSTPTAGHVATGSSYTLPSSDELPCYAEKTQTEP KTLARALWKWGWCCPLLWFIGMCIIWIPLKPVEEEADPEKAQKLDEMIIILREAELKY AKRCAYSFSGFLLLIAIIVTIAVVLSKLL
I206_07467	MFAVLNYIDRNNAAAARLKGFEQDLNLTDTEFQTLLSILYVGYI LFQANRSPFQNRIGRPSLYLPAAMVIWGMISVLTGITKNFVSALLTRFFLGIIEAAFL PGALFILSKWYRKDELSLRYTILYCGNLISNAFGSLIAAGVLANMDGKLGHAAWRWLF YIEGALTMFFALVAIPMLPDFPHNTKRGFTEEERQVAQLRMLEDVGELDQDSREEKWY TGFVLAMSDWKIYILMLSLTACVTGLSFNIYFPTLTKTLGYGTTETLLLAAPPWVFAC LLALLNSWHSDRTQEKFWHSTWPLLMGIMGFIISMATKPTNKAARYVALFFQAGSYAG YIIMYTWMSSSFPRPPAKRAVALAFMNALSQTGNIAGSYVWPAKYGPTYVKSYGVVLA MFVTTILLNLWFRSILVAANRRLAEGERAFGEHNDTVEQAAKLESTTVRDAKQMQKGF RFLI
I206_07468	MVKNSDLIGKPAPSLELPSIPNGELLKLPIGEKPIALFFFPAAN TMGCTMEACSFRDAQAQNIVFKRNPELAVIGVSGDPTAKQQSFADQYKLSYPILSDTD NKARQAYGVGKSFFGLSAGRETFFIDKQGIVRGVCDKSIDVKYVKFGLFILTLVFAHG ALKDRIVR
I206_07469	MTAPFRQIHTLEQLSTPAYLNRSVSTCVPRRAIFGTTSNSAKQL SPPPPAIDSDTPLTPFQSQIAALESAAQANPEDLNAQVQLLGELAKGGEFKGLVAYYE AMALTSSTDKSTGVGSTALLKDENAWTLFLNGLANTGRLGEASQLVRRRDELMKSTNT ALRAPINDPSSASSVPPASASVLTNLASTSSHADSSTSSTSASTPISSASQSPLSSFI SPTNNGLSSPTPASQGGSGSALNPLYVQMAPPTPQANAWKALRWLGGFLLWGFIILTV MSMIMENTGLLKAGPGPAEFEPEEGKVVKFSDVHGVEEAKSELEEIVEFLKNPEKFSN LGGKLPKGVLLTGPPGTGKTMLARAVAGEAEVPFLFASGSSFDEMFVGVGAKRVRELF AAARKKAPAIVFIDELDAIGSKRSAKDQHYMKQTLNQLLVELDGFEQSEGVIIIAATN FPESLDKALTRPGRFDRHVVVGLPDVRGRVEILKHHMGEVAFDVDVDASIIARGCPGM SGADLQNLVNQAAVKASREGAKTVGLKHFEWAKDRILMGAERRSHFVTEESKRATAYH EGGHALVALHTPGAMPLHKVTIMPRGQALGITFQLPEQDKDSYTRKEYNAMIDVALGG RAAEEMVFGHDDVTSGCSSDLQRATDVAARMIRSYGFSDKVGLVAHGDEESVYLSGKK KDEIESEIRSFLDGSMDRTKQLLRTREHELHTLAKALVEYETLSLDEVRQVLAGQSLN RPTTEGETLKSEEEIRNQPGGKVVEGI
I206_07470	MPKDNFNLPEYFALHAYLSALLCGAFVLLPRSTPWLMGSTVQSS SSDRPEYAFLTPITSRPLATMLWDIAGMLLCMSWWGSIMRRWSQTSTKSTATGEAEIA ERIGRDSMMLNRVGECALATVGGSCLFYVLILGLGAPLDSYHVHSALLALHISVLTVW PVVHALGFPSIYESGTFARFRMTRLFCEFRPDSPLERALVYPVIGSLLGAWLGVIPIP LDWDRPWQSYPLTPAVSSILGFIAGGFVSWLHSALLDTFDEVQEKQQPSPAADAASRR KRKAKRT
I206_07471	MSYSPPLSLLYLAPPLHPVDLRPVSAISAASGTTLPTSVFPPPS AYYHRSRSLKLLQTTRTVLPFPHNLSRHSGAAEAEVAECFQDLLASSQSNMATTPQQP PKKPGFFRRMSISSSQSSKASVHASNNNNNGLGNNNKSYGRSVSGPLAGRETIAGPSY DALPVGGATNTFKSKEKPNNPGMKYQQHVSISHLGESIATRPAVSTLNAGSFGSSTAP RPPTSLATPPRSRQPIRPALASPPTPPSRRMSSSTPYQAIEPKTLPFIPQTTSNAMKG DVFQAKGNGSGPSKTASRSDSKRPDMSVHPPTHIDPISLGLIDSPREAQHREVESLRT NGPARPIPTPLKIPGDERQVNSSGSYGSISQREKTARYSRFDDAESSKPVGLPAGAQE PVYVQPNRIDPRPMVSARRSTSSSRRTPIAKIETRVMSPGSQPVVKGPDTTSPPMSAR PPIIQQNKSQTSKIEVAKTKEQLSEAGAYAKAIAATINHHEGPKSSGESAPYLPAKSP AARPLPAPPAANGVSRSTSKANVVVIASSPKSHKSITRQENLESKVEVNRFAKEAQVK PSATTSPVKALTPPIHNRRSVQPLNFDFPRSPTLPPLVDPRTSNIVKADPVTQWARES DPPRSPGSPTPLATSQLPPRQSSASNSRRAVSSPLVSPNIGSDVHMSARPQLMSPDIQ ASTTSEVKHRDRPRATITFLLLHNRIQAALLSHLSINSFLSLTGASDTIRKRFTGEAI GRWVLKEWGIQVDREKGRSWPNLTVWEGFLESLLHDPITYSTYPPQWHNLLQHLCLSH SLIVLHLRQLPSSAFPNPPPSPFEDDFVSSVPHLPFSASMNSFGSQRPRSRLGSAAGS DAGSLTPATKMPRQERLVEIVMPEPLSSVEQQEQPSTPFPEVQKARRRGSIGSIASAA SLSFGRRRSASISTDARIEMAPVTSAAPMQSGKAALPPVSYPSAKRYGFKRHGEPTRS RQSSESSRPGSIFSVQSTPSFSGHQRASSGYNMRPSFAVDRNAPPVPGFPAGLPMPPP IGGGYRSSFASSDARSSRPSENGGVSPRSGLTFSRRDLSTPPPIRPEPVFDRPIPYTV GRAPILRVFVPLSDSVQRWPSAEGAAIAVKELEKCGAMRRMKLGDLVVNTAIRQPKTT EHVLVFVPFVRHLLIPLDYSFSPTGHLPSYVNGFEIPPSFYYPFLPTPQILYLDLAPF ARQALQSIRLAYDRRDVTTASGARLSAKRYLHVAGFEIGPEDRVAPEWHGMVSLETEG TAEAKHDLEKRLIGFGGSRPLVGPWELVREKSMIGTIWLRLVKQE
I206_07472	MSDENPERYFLVLPQVTPPPSTAPETTPTAHQAKLFKRAGMRVF QTELQAEWTRRGFEEATVNSCQAHYAQHKNFTPGQLLDWTLQLKKILQLKFQLPSSTS DTHSDDAHAFHASNVIRIGSLGVFVIDSGACYHMVGAKNNSTVGVTASHSQKPLIEGV KNSK
I206_07473	MDIRQATIDDLIGMQNANLLNLPENYTFKYYLYHALTWPELSYV AVDPRGRVVGYILAKMEDEPSPNTEPSGHVTSISVLRPYRRLGLANKLMKQSQEAMVA HYNASHITLHVRKSNRAAISLYRDTLGFEVHAMEKGYYADGEDAYGMRYLFTKPDSVE 
I206_07474	MSSSDVRSILNLPQAGPSTTSASRKTTTAPKKPDGISRELYALI GNNAPSLAEAQASIAAVKYRERPSLKSKRVHWEWTPFTPAARHAAPACLSHWARVTDA DPAAIEYFGKFNLHGPSIMEYSQFEYDQHLNDPEWTPHETAYLFDLLKTYDLRFVVVA DRYAYRGQTGTGVEKRRSVEEIKDRYYTICRRLVRTRTATDPQAQQQLIHTYAFDKAR EIKRKQYASELFHLTAAEIAEEEALYVEIKRMEQNERRYRADRDDLMRTVMGLDSGLV EFDQSNVELIFGADKVRLIPLRTSLISPQNKKRKRAEEGEPLPPPPPPPKLNPKDQVA FDLAHCIYHIPPPPTNPHSSHLASKHPVHQPIHLRSTKIPLPKQNASIRITELLNELG IGAGRLVMPTRSNIEMYDSLLQAAGALVEMKRQVDRVEQELRTVKAQKEGLLPTLETR KVRSESVTSTDTTTTTNRRSRPL
I206_07475	MSEDPAYLSSASALIKSLKGPADPPQPGSLLKIDIAISAWRRSS FHIPRKADVLRDWIVESWARTHRGYVKAALISLTSSPSPLAELSYHDLLLETSASCDS PAQAPLQILSSFFIALTSSDNQDKLIEAGAKSMRILFPTQSLTHKAEAWADIWALILR SLSKIAPTASIEPLLALVSTGITDSVISATNSKKLAQIAASAFPAHCASFEAHPLLRP SLNQIMATLLFQPALLSSKDTLQPILSQLSAFGAHSAISALFDSLIHAYHEHRYSIFT QASSSKVAHDVFVASKEREEVRLALEQILSQVGPAYATRLSLWNSIETWGGYMERESS WSQLVRSEAQIAEQTLSSGDSALLGPLLKLLATLENLDHDQAQLSSNVVRWCLACPPP LHDTASALLSSLLRFFHLTHALPNFFQLLLESLDGLFVETLPDETIISLYNLTVAGPL TGKAFQRHLTQSLRSINIGKGRATPWHQICDSILNRLSSIVTPASDQKKRKRSATPPS HSVASVGIATRLLARCLIAAAGTTHDTNSSLDTVAKLSALIDEWPGVDETVRKSTTKS LSWDSAVLEAGRLRIVLNLEKLSSYRSSAADPVLLTSAIPELTIEMTRFTFHRGSLDQ QLSAQTIDELFMILEDAPAHIWQLVLDQGLVLIDASATSAQLRRLAKLISRKSESDDT ISFSSAPLWELPNVKSALQTYVNEQDLPEYPLLNICPPTYLDKKVKLQVIDKTWEGAR ASAWLYRLASEGDTLELMSRNTGLLHQVIKIASTGDEPSTYLFEKAVNSLLQAPERNG KILSIVIAHLLENGDPNLTARFFSVITSRNVDSFSALREDLVNLTSMNLEVHCDTADL KALHTRNILLQAKQWLNLRGKPQLIQIEGVFTYLRNTVDDRRPLGPSLCKAFIDKQSA SFARIILETIASESTDPLHIVAAALYLYNHNITLELESTLYKVLKGSIDQAMSECCVK SPTPAHLRLLAALCSRCDDMTLIQRAIDTALAAPSNDLAVITFFEKIVEDKASILRHD DVVRVFAIITQSIAQSAVALQSSFNILMSLSRRRADLILANLPELVDILSSMFVALQT FSKAKTPHTTDAQMLSRLLVALTQIRLGRRHETSPLAKHVPAILVAYVRAAGDMHSGF SPMVRRDLEPGLFGLCNLVTAGGRAYAHGREGEGLGTPFGLGEGTGGEGEKELWAELW RSWSKSRYLGQG
I206_07476	MPNSNKMAPHSSISPSFNSISPKPPAPDPNINPFSPLSPDLPEN RLRARNADGEVNRLLGLLTMHQQPIIYTRKQLLRIGKRSHQRGPPPGMGPLETWYGPI PKPNNQHLDDPAIASISPPAGSSSRRGGFGEGFGFGGGIGGSNRGLGGRGGRNIGLRR QPEPALDANGLPVDTRSHGGQMGRFSVRTPANPTMRLGGEEQTKKDRRREDEWRRPDR ENGRMPRDTRRPNYQDESVEPAWMDDVAPADPAIVDNTDPLVQFVPGEDMIAAHKRAM KARDVGNDWRGDSGGLPPFFGGGDPAIASSSVPSGPPPGLKPKSFNAADYLKQAQDIS DEETAPQKSPHAAPASAFSSRFQKFFSPPTANAAPVVEPRPVDEVKGDRTAMLMGMLS SKPNPPAEHVYHPSPNEQYRHLSSPPAAEGPPSHLSPSFYHSSRSSPPPPAPQANALL QQLYGNTQDRQNAPDPLQLLNQAQRQGYQQRPPHMSMPPQFARPPPDMYMQEDSASDS YNRQLSPTHFPNGPPPPGFMPPAPPAFFQQGPPRPPGYHPVPNYHPAQRAGPPYPPPP LGPAQQDMLATLFAGLGPRN
I206_07477	MNFTSGAAVSELLSLDHIRNQLIRLEDTIIFLLIERAQFAYNKK IYQPGAFQDELNFSGSWLEWFLFEIESFHGETSADAQHSPDEHAFTPLDKLPQPIIKP QSLPSLLHQPAAKHPSVNVNHRILDFYIQQIVPGITSTTRIAKGKAVLEDDGNYGSAA TRDVEVLQALSRRIHFGMFVSESKFLEAPHDFIPHILNPNPEALAGLITKPAVEAKLL IRLANKARVYGGEMDADGKVVEVADEEMAARGKIDLATIVSMYKDWVIPLTKDVEVDY LLHRLDDVPQSQIEVWMKGKTA
I206_07478	MPLDSPYPYPVTNTELIKAYSLIKHFEGGHFAQTVLLNSVYPSA PPASHPHVPQSAALEGRTQTATGEGTELLNPATGHIRVEGSQSRTDATQIYYLLTPES YRGRMHMNLHSTFHLHHSGRALYTLIKPPSSSGETPTIHRIVLGSNPSQGEVLQLFVP GGWWKASEIPDEDLLLLDAPDAREARLNERIGCLISEVVVPGWNPDQHQFIDEDKLKA MWGGKDGWEQYSKYIKAPDGLEYPDK
I206_07479	MGPSTFPPHMLSLLSAPSTGSDSATDALEEWILHRRSKGDTLFD VSVGLAELEDRTPPHLTAAFDRARYVTNVPQPSDLTPPMGPFPLARSASSATVLHHLL SQPPPSIEHSREVILEFVLAREMKLRDKKPGKGGKGTLGRDGFEDIAKRLGEVEAGLR GESFNGSSPKGHASTLSSSSREAYPTPSSDRERTLDENQQIGLTLVLSLRMSLSYFTL QELASQLLLLALADAERTLVQHIRRRVPGEGRWGVGKELDFVESLTLPRRPALRPAFR SAKARTQLPARPLYPIQLPAPSKTSVTKLIQNLAKEVESGGPMAAAGYVNSHVPASFA TSSPAAYSPNAERSYFMSKKATTSPPTNSAYLGGSPQTPISSLPPPLHSPGQKIPQTD PNILANYTMELVSEFITREKREHILRSRWAKSGRDQLGKEVGDIESSTCMASKNSPSS LAPTLIPIFLLLRRTFALPRSPLPVAIVEAYLDILPAPPDPDNVPFREPTVQTTTASL YVNPRLDDAAAAVVLEELVEFEKENAQSSGGSPEEIMNWLANLVESVQKRFPDGSYGD VFASMKQQIARPFRVSPPAAHSISFAKQPSIHRRAKSNAATPGSETGSPSRLNTPPSI GSRQQHSRSLSMPNRQSSYDEPESSDSDSDEPPSQASIQHRPPVITSIKQLPPLQMLS PVNLEDKRSSAGGSGGGWWDIVSAMDNDNPAPWQDSRPIPVRQRTSSGAASLDLPLPP GAEPAQVLDFSTPTLTDMDKLDLSAPTPPRQMAARYSPGSSSPGQRSKTIEANRNNVA YSPSRRNGPISAGPSTVGSYFPEERLPPPPLRFDIPIASSPNRFVSGISTLPQGSPDL AEPTLSSAASPSAPPPRSKLGVIGRSMSLMHKKEKEKEKAKDKENEKSGGKKSKVQND PGRWDRSMVANIMGAPAEKK
I206_07480	MDPNRSRLPRATSNPVIRQPSNASVLSRLPAPTRLIRQNPISRK PSIPLRSNRKITPSETERTTSQQSKTIHCIAIASPAKRLLPSRSTLDLCDVANTGQPS IPLGPKRTVASKTSSRSTSITSDEANAPYKAGKDGQSSAKTTSPKQNNRTHYSENVFN TKQMGKSHVQSSSTIFGNSRHTSRREALALSVSSRFSKIPVTPPSRPLQQTNNQLPAG PSHPIDQTPVFPRLASSRWTASPDEQLKDLELSFSVEDDSNDTSLVEPLDFAFATSRF ASHHPSGLARIAEPPRPEESLKLEVQQPDSAKLEHVESIAVMRQGMIHGVRDAWGNVV RAGEGDLAAVRTMMELIEALLADLNEFDNVA
I206_07481	MLDHVSISHQSGLILWSRSFTPAFASLAQSSASPVNALIKEAFI EGKARNEEEGYEKDGYSVRWTMENGLGLVFVVVFPALLPLTYIPELLQRTKQLFVSLF QPYLQSLIDSLTAGTLVLSTASVTALQTLKERIEEDRWAAIFDRCLKSLEGTRKPART PVNLHRQAQLNAASDASTPALSDTENTPVTAEEIAKNVQALKSKMKGGRAKGGRGGRG EGLSPSPSPSRKTSNNASAKLMRKWGDSQISVDDMAALDFSAPADENASVDTEGLVSN EALGVRNASGAYEVADWDYKRSKEDDLPSEEEILSRRTSGLAIKPSADEDTAESSHWS NMFSRLTGKKTLTQEDLKPVLVEMERHLMSKNVAKDISEKMCESVGAALVGKKLGGLN SVKSEVQNALSISLTRVLTPKTSTDILLEIQRKRTASSLASPDAPRDPYALTFVGVNG VGKSTNLSKVCFWLLQNGLRVLIAACDTFRSGAVEQLRVHVRNLGALGSEMGMDEEGG NKKIELFERGYGKDAAGIAKDAIAYAKENKFDVVLIDTAGRMQDNEPLMRALAKLVAV NNPDKIIFVGEALVGNEAVDQLSKFDRSLKDFSSAGGSQKKRGIDGIILTKFDTIDDK VGAALSMTYVTGQPILFVGCGQTYTDLRQLKVNHIVQALLS
I206_07482	MPPRKASGSRQKGAQNALPIPPTYPGLIDEKGMTEPQWQACKDM LENVYKAKDGSRRMSDIFRELPDREDYEDYYQAIPEPECLDNISTQLGAQAYVNSEAF FKQLHLIFLNAKHYNEEESLLWADAKRLEDQIHNEWKTRAESGVFSNPDPYHSSAVKP GRKKRGGSSVPSKSATPLPEAKSTAMVERKATTPAPELPPIPEVKTAFPSPLDGPIQL PPHRIRIVATPIPAPRINVPAASPVPRAIPQPAVAPASPTASEQGRQKQAEKDRAVVA ALDARLPRWPGPQPVLPGNSSIGGIPGYGWFGEGAPDHDRIAGGSSMWPHRIRAVVDA IEGYRDQNGQRLAEVLDILPAVADIPFLSFNSPVSFPAIQGLARANSYDTLRSFDMDM TRLFEKARRYFHEGSAEYGKILVLQRLYNALTAVYPMALPGSGIPFPSSTLFASLPAG PGNARSMHETAQDLKAGAAEDQVGYGITTFRVGTKDRHFTPEARHKGQAFKMGKLASQ WDIADRTGDYVHLINPDDATRPIIGQIFKTFVPTKGYRTHHVTVCWYFRPEQTVHTPN KMFYEREVFKTGHFCDHPVEDILEKISVQFYVKYIRGRPRAGEFYPGWPSYVCHSRFN DREHLMVRIKNWNSCIPDELRQSQFMSVISYSRNIEVPMVKSPFLKGVQGPGFFGEPK KAMAGDDDDEDEEERERERPKRRERTRKEVQPPHSVDTPIKHSPVPTYTPAPVPAPSP ISTAGPSRVPATFPAPTPAPTPRPVQQPSQRSGPPQTNSPSSFVSHPFPNRTIAAVMG GSQALEQHAVKEPLPLDTARLFERDARGNVLWFSGPPLAPGTIPIPTQQPHSLEYLAY ITKRKAGELGAAQKRARRSKASEMHHVDKGLDEGQTDNMWWAEGQSSDQVSASLKALI DTV
I206_07483	MSALASKAVTKILKNRVSQQAPPDPHIEIIVDAKGKTKKVVRPL PEGLSKRDRKALKKIRRRAHYLDKGMNLCGFRVGWTFFIGIIPGLGDAVDAGLNYFLI VSPSKKLDIPQSLLSKMLVNNAISAGLGFIPIAGDVFLAAWKANSRNAHLLEEFLRIR GQELLAAQGQGPSGIHPDEAAAVGVSHDVLRKLFGPGAGMGGEDVEAAAAHPAKAKPG RT
I206_07484	MVVYHIVAFKTKSAAGLEPLRQGFLALPEKCLHPKTGKPYIQIA RGGKQISSEGKDRGMQVCFVMEFENEDDLAYYIDEDPVHEDFKKQAGGEWDVLDVVAM DFNQGVF
I206_07485	MSNLTSWKHAYRIPALCVLAYAIVLGLLTVPAIQREFLFLHHVP IPLFADFNYPEKYGLAPFKSRNLRLNTSDGVEIGAWHILPRSVYQSHNPFPPQTPLSV DIFDQALIQRPTIIYFHGNAGTRAISQRVRSYSAFSNNLDCNVIVIDYRGFADSSGIP SEEGLLIDARTAYDYAWDIMDKAGLDQDEIQNNIILAGQSLGTGVVSGLAGRLAAEGI KPRALVLIAPFTSITDLILSYRIFRFIPILGPLGVFPSVQRYFQTHLHHTFNSVKALE KTSSPTLILHAINDNTIPYSHSAGIFSSLSALLTDPQTTVEEVTYSGWGTVRSFQRGN NGEVVWWEGQNGGHDNLGWAEGTIDLIARIAKL
I206_07486	MSAPPPLPPKDTSPPSGIEKSKSKISLDMMKRAKSPSISEKSND SHKVKEFLSGLGNKMKLSTPGSTSDDETKSTITTNATSSLSSVTEKGAIKISTAPQMV PSQPAGVLLIPSTQRAHIPVKADSVDSSNLFHQAVANAQKRVDALNTTTFVLKGIAAG LAAGSAAWMPGVGEAVAVVLGMILSAENISIGRVAALRLVERSASVLEAVEQAIIQYK GQVSAIMIDHINQLLIHLHENARFLVRLSERSFLKLYLHSDETSRQIATATEDLEDFV KIFQLQSQISIAAWEEQSRIDHENDMQVLLQKLEEARESDQKMLQALSLQSEMQQEAI KTLQRSLDQMLTIQQAEYNNNHPTSPLTAVEALSYVSVDSPIVPPASFGMLQVTTTPG SRAVDLSTSYPSITSSISSSMTDAGDRVKRPNQWGGTGPPIRSVWDSVPQTPGDKSPH RQFFEKALDVLRRTSDSDQGEIPDWTITDLEISHDEKLTHEERINSGYFSVIWRGKWQ GQDVAIKELTPMADRQLFVKEVEVWRRLRSDRVLRFYGASSTTGPPPWFLVSPYMKNG NVLNYLSSNTGKEANRLALICEMAQGMEYLHSRDIVHGDFKATNVLVTDEGHAVICDF GLSQLKMDYSTKSLEMLDQLTPLAGTLRWQSPERLAGGILTRENDVYSWSMAVYEVLT GSVPYGYIDDSLVRRNIRNGIRPVRPTGVEDSLWLLITRCWAQSPRERPNFEQVVEQL SLMYTPAKEPERQHTMATSQYKCVYEIGKSSMSFANSDVYRAISPATNTLPLLEDLDD VSSSSGSLASIPPHLETQPSFESDRAERHYRHYLSHGFDDRLSIALWFPSVIPLGSVG YIRHGQFVLLMDAHLPSIGVKELPPMPYLDEFSSLHTTRIAVNTRGTAEKGLDMVAAL SNFIKPSGESSQKAISRRLPFPLKPGMKQAALIVEDGTFEIYKSIAEARAYLTANIYW ILQQFGDSHQINKEDVIIVVGTLTARNYAMIISNFAPRTTLSFNVHASSSRAEGEPWG TWTVNREHPVDSSKAFKSALGLQGGKGISSTSKSPQRRNTELDEQELKYSCKVSRVSA TSADAVLLAKLKFPSGGTDPTLYP
I206_07487	MFPSLSMRNFGKHPFSDMASSGSSPEKISTEAQGGNESRNSDLS KDFAKKMREAIETTIKDEPNKRKLSDFRNLLNDPNYLRDTARTVVDVSSLADTARSTA LRARACLNTLDDILAYDGELGKILYDTLTIPQTDFGAAVLNTISGGDEKAFNVMIDRA MKVKSTNVPSGLNDMIRMTDLWKPFEQGYEEPNVFDSERSMLPQMIAQLHQTISNIKL RGDTQTSSEEKQDAEENPLIGQWQSFARDRLKTPLTGEQRELYYSLANDTEMTTWRVL LFRTIDTGADPVFCDELESLWTTLEEMGVARTKRPTVVERLEEALRSNDDTAMEAIST SALKTAGDSLKHLYPSDLRATASKLQLLADQLEEVREKAKNVKLRL
I206_07488	MSFSVLRPSSSKINALRPIVQVRGKHTHSTPESLWQSRTHLKPP PSIPPPMVPTYPMRVILSDGSTFTAYTTAPTPSTKKLTRDVNNNPLWSPASERKGLGE GEEGRVGRFRRRFEGIVMEEPEASLEDKKEEAFGAGDLDWMSEGGVEEKISEKQRNPV KAGKAKGKKK
I206_07489	MSISRSSIRSLPSSSTLRPIVRSFSSSRPSFDEKSDSSVPDSST QPFFPETPAAPSNAFAKKNRPWSVMNTPKFEFDDATSLGWMRMFRIQEGEGLVKKIEE DRAALRAANKTTFTPPTSSIRLTSTIDLSSPSSKFHTKAVLLVPVSSLNLSTPEAIKR IKLLAGPRWTPGRPGRNEFLPNGGAQSGSQEGKEGWIKISEERFGNSQQNRIEVSNIL DRLIASANDPKSPLPADVPIDTRHLLSRHRKKRTRQNPFVWSSDQTYLKEHEVVGGVK GFPLEWIPAELRDKALKKQ
I206_07490	MPSSTSPKVTHNGSPSPSLIIQPSDPHQISFKSSGDPSSRPRLL LNGPISQDVFAASPVETVNITSSSPVIFHSPTDPYKTLAKHSRSFSGGRALPDVLDDP FGYSIAKLEKAIDRLDKIGEGVEFAGNVFSAVPLLQMGGGIILCLRQMLAVAQKAMEN KLDTLGLVSDSITIVDAVKGRIRANATPPSEEMRAGIEALFQKLTSNTDLLEKFVGRS KFKLFLYAGKMQRQLGDARNDTLMYIARFTLESIVSLDQLQQEAQIQRQHDRKEFVGR LNTFIRDPQSARHLIENEEVPEILVTLQREVERQYHTNRSAISYSASLSPPSRRNKLR RQECSTTLSHPFDEDEEEELALSRQPTWEAHTSTTPSKRAWQTTTDELDIETSKGEFC HSFLKYLRGESQKVVEDLPVWTITEYEVYREQRECTSNFAKVWRGRWHDQEVAIKDLD PLTDRHLFLAEVNIWCRLNSEFVLPFYGASSAVGPPPWFLVSPWMKNGRITDYVRSER GRDVDRISLIHQIAQGMEYLHSRDVVHGDIKGQNILIDDKGHPRLCDFGLSQIKIDIT NKSAIPPEEGDSAAGTLRFQAPERLKLGPLTKECDVHSFGMTIHQIYSGEIPFAALDM YNAKTSIIEGDRPPQLPDVPDHLYRLMTRCWNPDPQARPTFEEISADLSLMCESPYSP PRSTRSIPALLDLAEVEDLRSRPPSRLASPVEISATNINDLDVWFTPPLVASHSGGDI NFSGLDPVVSDLGDELSIIEERDTPIYIPDQSGRAAPTEHDLERRYRRHFNYHDYPDR LNLPQWVPSDVSLGDVGYMKNGHFVLLVRAFDNMAAYGILGSITNKPRTIPITQSTVY PRLVGDVAKDYGVRIAAAFRSKKKSVTKSVMRQVTVPMCPGKKAVRLIVADGKFQMMK NYETLREYFAAEADSILQKAIEENHEGLQKTDLVAVVGTLTADNYAMAVSEYSPGTNI IFNIVSPCAKSSIEPWGFWTVARDRSPNVCATSTPDTTPGRNSDSNLIADLNPSIASR PIQPSPPGLPVILKRLPGVDDQPLQYSVKVSEPNGPKLAVHLSVLRFPSTGGEPTLLR DQS
I206_07491	MSNSYVQALIPKIKAILEGSDLSTVSAKAVRKQLVAAGEDEATI KASRGAIDEEISTIYDQLTSAAPPSPPSSEDVPLHPKAEPGSSQPRPLQTFIKREVKP SVSIDEDDEETDEQMARRLQSEFNDQASSSRPRRANATAPAKKKKKTTKKRISRASVG GSEEENGVTKKKRKVNPDNPFNREMILSDALADLVSAPRLSRPQVVKQIWAYVKENGF QDQTDKRYILCDEKLKKVFHTDRLHMFTMNKILVDHLRNPDDVIFKAESKDGIKKEVQ SNIIPTSIPIPAAPAIQSTQYISEEEDEDESEDENY
I206_07492	MARDKKIKNAFKRSDEHRKSKREKEQAKLARRLEIKKAEQNKEN GAALKAARLAKNIPRTLDNTRHFDATSYLTADPATLRDMEEKAARASRMINGEDPEED EESEGEEDDDEEGDEDEDEEMPEAGPSVPKSKAQRTQAEQEDEEVAEDDEEGAEEEEE EEQQQEIISDQPLLPPRILITTSASPCKLTYNFVDDLKNVFPGGESFKRPRGKGFEIG RVARWAGKRGYQALIVVNEDHKAPNAITLINLPAGPTAYFKLSSVIPSANIYGHARPS PHSPELILNNFTTLLGHSVGRLFGSLFPPQPQFRGRQVVTLHNQRDFLFFRRHRYMFT SATSATLQEIGPRFTLKLRWLRKGLPSVTAPDGRAPAGENDDDIDVSSDEEVDDAEVA AREKKDEDEAMAEMGMPDKQKKQKENGVIVPGLAEEGEYEWKWKPKMEVSRRTFFL
I206_07493	MPSLLTSRTSSNASSSSGSRLNNGFSRVTSFKRHFEEDEDDDFP VVVSNPGLKGTAEVVDVDAGRSTNADISKVNGKLRSLSSASPQPRPSSSSSTTFRTST ATAKSTSIAKTTAASTGETPAERRRKAILAAMSQNTEPLSTKPDLPPKRSEPILPGLS SNLNNADFISANTQMRDLAKPVVRQELPSLPSLPKRALPWEEEHTTSKRSMSRTSSAG KSGGLALNIKQKVTLSAEQQKVMSLVVAEGKNIFFTGSAGTGKSVLLRELITSLRKKF STAPDAVAITASTGIAACNIGGVTLHSFGGVGLALEDPKILVGKLRKNKKAAARWQRT KVLIIDEVSMVDGGMFDRFCKVAQMIRKNPKPWGGIQIVVTGDFFQLPPVTKGGSMPK FAFEADMWDETIDLSVNLSKVFRQKDPRFVDMLNEMRFGKMTKQSVEAFRCLAREVPY RDNIEPTELFPRREDVDRSNSTRLNQLNTDGYSYTSADGGVISDPVQKEKLLANFMAP KFLELKVDAQVMLIKNMDETLVNGSMGRVVGFCHKPFYTTDTTGKWAPDADLEDLDEE ERYKRQKLRDTFQEKVASGTVKPSPVVRFNVPGGTRDLLVEAEPFKVELPNGEVQASR TQLPLILAWAMSIHKSQGQTLERVKVDLGKVFEKGQAYVALSRATSLDGLQVLGFNPD KVMAHRKVAQWSSQLKDLNM
I206_07494	MSKPQVLIAANPKNGIVWSKEEQSSKLGAVAEVLELTSSSREEF YKDLASGGKYANIVGIYRHNDSASAIGVFDKDLIEHLPSSVKYIAHNGAGYDQIDIAA ATAKNIQVSHTPGAVDAATATVGAFLAISAMRQFARAEMNVRNGNWKTGLSPARDPEE KTLGIIGMGGIGKALAKRLIAFDMKVIYHNRNEITPPPDFPCKYIANVDDLLKQSDIV SLNLPLNEKTKGSFGKKQFDLMKDGSVLVNTARGAVVDEDALLAALDSGKLFSAGLDV FPDEPNVNPKLLSNDKITVLPHMGTETRDSQKKMELLVLDNLISALSGKGLLTQVPEQ KK
I206_07495	MTSLRSPTLGRPLSFPHPRQEDTHLSDSSVNNSSQLASKNEFTS MPLRDESSSARDTVDNPSTRNTVINAHSLANTFGTTEIPVRSQNVTTPTSQQSLSGNM TPSLPSSGSMAQHDSADLGAQHDVQYIAEPASVPKNPQSEIPAKQSTGSRPSSSEETV HNRSFSSAGPTDQSNLHDPNDKRLVKAGILASSAAGAGTAARHLLVARDGTIGEEGGR EPRGYQGVSVPDKWGIGSKNIKEARWKAIKKGAFLFGFITLWLWICLSIFWGSTYRLT SFLPSLTVHVIPLDTPSSTSYLNGPITEQMQTVANQPPSIVHLGYQMQDPSNYPNGLE DVRRSVIAQDCWAAIVINANASSAWTNALNNGDASYDPNGAIGIYYSSARFYQVVLLY FDSLITRDLASPLATARSQALQAFIGTTNTNTNALTNAAIVPQAIGVGFGYTIFDVRP IQNSAWAGAAPMEASLIYFIIFAFYICLFGGMARMKSGLQSRLKMSSMFALRLGWPLL AYFFISLWETLIIRAWQVPLTDYLGRAGFVTLWALNYITIIACGLAMETVLAIVGMAW LPFFLILWIILNITSSFYPIEMMPNFYRFLRWMPFVHNVEAYKIIAFGTNLQHRLGLH FGIIFAVIGVELICFPLALLFERWNSDKSQRKQIEQKKQEENDNKHHQNGERGDEEA
I206_07496	MSPSIGNVLKSKETPDGKSRVGFFSKELKDGKKEWAKLTFMTFG LITVFMFLFLSIYFGSYYRQTSRSSHFSIEVLDLDSVASPSGSTHPAVLGPAMNEAIL ESLNSEPHLGWYQADQSTLQQFRISSNGQGIDPFSYAEQKVLNQDVWGVLIINSNATS GVWNGLNQGTTWEPTGAMTFLYEESRNFYASNQYVSRLASMIMTIGGNNAATNLASQI LSLNNASEVLITGSGAMAISTPFNYNLHNLVPFDQLAGIASTTVGTVYLIIFTFLISI TWNNQGLPLIQDKLTLTSEILIKLFVPFIAYFWLSLHYSLVSLAFLINFSREFGKGGF IVYWMADWITMSALGFVMETMFLWLGPFFPFFLIFWVILNVTTAFLDLSDMATFYRYG YFTPVWNLVDMAKCIIFGTKNHVVQNFAVNLGWLVVWMTLLTITVVYQRRNKEKEAMQ KKWEEIKQADEKEIGRQKPSQ
I206_07497	MAPSKSSTTTKVSAHRIGSLLFCPACGTLLDLPRDEQDEIACHQ CGRKEPASSYENLPTKTYSSPHAFPSTLRQKRALVQNKVQDGEAAKDRDPVAQEKCQK CGHIGLSYKEMQLRSADEGSTIFYKCLNCGDQTSTNN
I206_07498	MAKSRFEYVRNYELPDPIMPNAFIVVRIDGRGFHKFSDTHLFQK PNDKRALDLMDIAAKTVMNEYKDVILAFGESDEYSFLLRRNTKLYNRRRSKISSSIVS LFTSAYVFHWSHFFPNDPLKYPPSFDSRAVVYPTDKEIRDYFAWRQADTHINNLYNTA FWALVNDGQTTTEANKTLQGTDSKDKNELLYSKYGINYNNLPAMYRKGSICVRVDPQS IRLSLDDTDSETNTANSATVEIASSSRSAHQINPTPSGVDENDATPEDGDIRRRSKSV KKVKPYEGIDGEITILHEDIIKDKFWNERPWLTI
I206_07499	MALLDLISSITHTNLSGAASSSKPTEPQSEPPVDIDNDFQTEHA VLTEQPDHESEPQPQPETQSTPVIAENVVDAIEPEPIAHVTPEPIVEQPESRLEPLAE DQQIPAIAETSAPAAEVPAPAEVKETLTDDVPPVEEVAATSVVEPLKDDVNKQPTVTH AEAGTAHTEAEAATTVDGTQADKPVETTEISSATATAAQPAETKEEKKEEKKTAVKEK VEKTKAEGKGFFAKLFGSKDKSPKKEKKKTPRTEKADPIAEATPAPAAESSETATVAP VVETTAAPVASEVTAPVVETAPPPAEPVVEAPKETSEAPATAAGTSTEPAAAKTVAPA VEETAKETKEEPKPNLKAHRRLSARITDIFKVKKTHGPGSPKEEIPKEESAKAAEGET AAPAAVSDEAPRLEKPVSTEPLKLEEETKSTGTTPAAPVVSAAA
I206_07500	MSSSSSSNSNSSIIKPNTVPVPTPTKTVGAPLTLLESFLCGGLA GCGAVTISNIPETMKTRLQLQGELQRHNPSAPKIYKNVFDVFVKTWKNEGIRGLQRGL IPAYGYQTLLNGSRLGFYEPIRQNLNKLIGKKPTQGVVSTAIAAGAITGCIGAALGSP LFLVKARIQAYSPAFPVGAQHYYKGSYDALSTIVKSDGVLGLWRGVNTAILRTAMGSS VQLPSYNLGKHYLTKWGMKDDSFWTFFLASSLSGVCVCIMMQPADTALTRMYNQNTVK DPITGKVRGALYQSPIDCLWKTFKAEGIAGWYKGTTAHFLRITPHTICTLVFNEVSSS FVL
I206_07501	MEFSNFEGASQYGPQDDDLGSVYSAIPNSVINGNQAPSTINGDL TSHFNDLGISTPTFGHGNQGGGRYGEDEFEDREREMLGAGVEHACSYCGIHNPQCVVK CLHCNKWFCNSRGNTSASHIVNHLVKAKHKEVVLHKESALGDTVPECYNCGSKNVFML GFIPAKSDTVVVLLCRQPCAALTNSRDINWDTSQWSAIIDDRQFLSWLVKIPSEAEQL RARQISLAQISKLEELWRDNPEAKLEDAEAQSGEEEMQPILLKYEDAYQYQNIFGPLV KIEADYDKRMKESQTENDINVRWDMGLNQKRLAWFSMPKLESGEVRLAVGDELRLKFV GTTSGWEGIGSVIKIPNNVSDEICLELRRNDGVPSDCTHGFSVDFVWKATSFDRMQAA MKTFAIDEKSVSGYIYHKLLGHELEPQVLRTQMPKRFSAPNLPELNHSQMAAVKAVLQ KPLSLIQGPPGTGKTVTSASIVYHLAKMNPGQVLVCAPSNVAVDHLCQKIHQSGLKVV RVAAKSREALGSDVAFLSLHSQVANADTHPELQKLIQLRNDQGELSQSDERKYKSLVR ACEKDILNAADVICTTCVGAGDPRLAKFKFRTVLIDEATQSAEPECMIPLVMGCKQVV LVGDHQQLGPVIMNKKAARAGLSQSLFERLVILGNRPIRLQVQYRMHPCLSEFPSNMF YEGTLQNGVTAPERLRKNVDFPWPVSDTPMFFHQNLGTEEISSSGTSFLNRTEASNVE KMVTKFFKSGVLPAQIGVITPYEGQRSYIASYMQLHGALKKDLYKEVEVASVDAFQGR EKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRAKYGTVILGNPKVLSKHPLWLYLLT HYKEKSCFVEGPLSNLQPSMIQFSRPKKSLAAAMDPFKRRESPAHEYMDKTVGRVPGP AAGRFDPSYYRTHNTMSFVPSDAQSVISQAITNSAFPLFPGGNKPKTYTGYASSVISQ QPTDSGLISSNHNGHGNTQSTNVGSGGIGYSQFDRLGGVETNQPSLGVGMGMGKRRGS LISEAASASLYAYGYKGGLNGDHEDDVSSVAPSQAGITEF
I206_07502	MTTLKGFLPTSPSGFISSTHIATDHRSGKIIDGFRGIWKEGDLG DGRGKIPLLLTTSKTHALQIFTFHEDSIAADPHIKETFPAPEEIISLPSIKYDKNVKR SSIDCNVNVSSEFGGVEQILSFTLLQNDENSCGYLIAAVVLETNKKQSGKGILGLVAI DLRTGSVIRSVELGAGNLAAVSSSSNILVVTVSQPVPAIHILNSVTFDPLPSSPITQV ALNPQTRLPIIAQAGRLLAFVTAEPPRAPGPDALGTIITSSSLRPSTSRPSSTERRRP LSSGNNDTQAAILSSAVEIGGGVARGVWAGIKMGARAANRARNTRLAKSAPSDSSGIL VDEDTEDAENESRSLGESSILEDTPMLTSSSSATAESGGEWIKIVDLFPKFAGRSRLR KAKRTYSTTYRDANAARSQSPLSSLDRANIETVAHFRLPPSTSSLPIDPLQPHQPRRL SNNRSQAVTYLSFSPDGSQLFAAPSDGRSFHIFEIRPAGIETVELAGDVKGHVWHLYE LKRGYTAANVKEVNWARDGRWIGVGTGKGTVHVFPITPSGGPPTASTHAIERLVNSPQ LHPLSVTVEPIARLRPRGSEQDAGHPSSSHDSTFFAQDAPFMFQSYRRHPSSRTTFCQ DIPLYRPFTNTFELARVSVTPLERKKSTDERSQTSLRRGSALTEMMKNKAFGEGPDFS TEAVVKAAWNLPEASQDQVWLDNMSGIGQACIYQERTVRSKSLAYAEIRTHHLSPSIL PASIYLSRQVEFFSATAIDEYTPLSILDLEARQHKFEFRDEVRAFSPVPEYVKPFDEP LLLALHSVLEEMPITQLPRLPNGAPKSSRWTSTRIPIRTVTSGIGEGVDRVRREYVRA QHTRSKRKNQKEDTTSLGLSFEDSDSISLSHPSLSSSNDSPLSEVLPTTTSSNTEQSE EDEEWGQGWEEEYNKAIEDDTPQELVLGLMDEEEEERRKWEIRRERMKKEYNVK
I206_07503	MPTSPHDECETIDCTQIAKQVTTATSYAPRWERQGSILDLPFFS PSTTFQSQKQEQPTLLPTPAPSPPVYTVPPFPLSESDFALAEGIDDELLRRLEKEVKA KKRADHRAVKRQKREEGQIATLRRISENSEGLQVFLVDDDEVKDDLGVEITRKHPNVE GKHEHKCSSELSSEIKDMVNIMETQDTFHSLSESSLALQYEESQQSSQASDSLPHCSI QSQSSSEDSYLPTPSPSPTKVSYSSNFQGVMIPDTKFGFGVCL
I206_07504	MTVMNGFATASSSTNTTMQVVVGSMERIQEYQSILTELKNRGGN VQGEMVDRILDNATTFPSSPLTIHLILPLPLPTTIISSIPPSTQLFIHLPTNHSENDL TSLHTLLASKSFTPLLPTPSSSIIAYTSPSTPSVPVPSSAPISLSSGSAKPLTLKRSG DKARKAALWALDSPLLEDGGKSLLTPEDKQRPECIFPENDGKKVKRRRACKDCTCGLK ELEEKEEEQTNLTIKEAQKSFFLEGDDDIPEHLKNATVGIEGIWPTEKRAEAKKTSSC GSCYLGDAFRCSSCPYLGLPPFKPGEQVKLSIADDF
I206_07505	MTSLARPINFPSSSRPSYDRSISESPSPSSSPKSSTIELGSSPT KQQHPCPHLNQFVQTLVKAYWGQEGTSQKR
I206_07506	MASSLLDFSFDAGRPQTHHGIIASPAGSPRIPRPASQSSLHLPP SSNYSPVTGHEQSPHPMSAYPTQNYRSYLPASISLSGQNLVTGSYPSTAPPVPFYPST TPVYDTRGSQPMIRTVSHAYPINSATDATVSSRYSSSPHSATGQLLDLPSTASGQQTN PYPAGLGIQTPSFNFQGSEDSKHINPSIFQPSGSYSMSRSTSGASDFGPETTRLVTPA FEYRHDRLSPAEQDLRKVSDSLGDHSVLNGRKLWSGSSAFTPSFDLQPHQIHLAPHPQ PDSTFFGGARTNSYGNDHFTVSAERGGEQKPDLYAMGFAPTDADTEYERERQSQIMNN KKLLEDVGLGNPSSFSFRSRDGGHNNGGRPRKAITPSRRLRLDGPLRASPRIREMGRN VSYAHLDDDRHVGGSDDEDEYSSDMGEGEEDEFRPSKRSKGSKGGYRSKSAAYSAPRM MAEQTLSLWALLQVYTEVPYRFPLFYYTLNNDLTINSDSVPLIGSIPSTCTPMEKAET LRGFYHRGRRVLAQLDAFTARCDRKYEGPEQRWPELDYHTRIAVRDVRRKVVERCENY KYTRRDILDKCLGKNKWPPIDDGIIEWRVGMQNNDPAHDLINVTLTLPTPPPHEAYNQ QMRYGNIGYSPSASLSSNSGRTIKPFPSRSRLGSSVPRTISINMGEPEEHQYLTPHTG YAMSGNNGLYINPPHFTSTHNSNLYTSSAALPPPHPMYGEDEVPMSVQMPSSAPVNAP AISQGGLNMTSIPSSTVDTQPPPNWGEIGLRGMKRSRSTEQYESQIQNQNQENDDQED DGVGSPESE
I206_07507	MPSMPGIPSLGKKLPELKAVDHAAQNGNTETNVVEGDVNDAGLG GMTNGMQSAFGKIASLDALKGAPVHTFDPDASPEQKAASKAQAKASLGLPSSATIKAT LGQVGTYVPGQTKKEDLDLGGRAVTIDTSTSKSAPIPTVSLADVDRASRAEGQGAGEQ DLPGAIPTGAAPSVPTYIKTGWRQVAGLGKDDKTAEESNILAAYLTEQMYGAWFHNAG IILFAILLTRFVTVFHLGWGWVVVILAFCSSYYSLSISRTRQRARDDIQRELVKTRLV TETESAEWMNSFLERFWLIYEPVLSQTIIASTDAALAGVAPAGVESIRLTTFTRESDV KPPRIDYVRTFPKTPEDIVIMDWALSFTPNDLQDITPRQAAKRVNPKVVLSIRVGKGA VSKGIPILLEDMSFTGRMRIKLKLMTNFPHIQTVDMSFIEKPTFDYVLKPLGGETFGF DINNIPGLAPFIRDQVHANLGPMMYDPNVFTIDLQQLLSGTPLDAAIGVLRITVLDAR GLKAIKFGGGDPDPYTAIALGAKPAITHTKTIPSTSNPSWQETHFILLNSLADVLNFN VFDYNDHRPDNLLGTVSHELGTLSEDAEQEGIVGKILGGGKDRGELRYDLSYFPVLKP EKNPDGSLEPVPETATGIVRLTLHQAKDLDINRLYGNLSPYAKVFLGNSRKEVHKTQI LKNMNQPIWESSCEFLVPEKGNSVVTIGVVDAREMATDGDLGKITVRLTDLLEARARQ QDWFPLKGSKAGKLRLTAEWKPVAMTGSVAGAAAYVPPIGILRIWLKKAIDVKNVEAA LGGKSDPYVRVMGNNRVLARTEVISNNLNPEWDQIVYVPVHSTRENLILELMDYQNIG KDRTLGTVEVRASDYIELDETNAQYPYKSIGKQDRRDKIKLDKANHYKGDLLYEVDFK PAVSLKGGVSFEAQKNEFEMAAKQAEQAAIDDEEGPSSSDPAGETTIVSPVSPSNGIN GHKPSASIGANSTGQPSEIGHRPSQSIGNTSVLSAATAQTTLSKNDGALTPVEDVEKG VNMSIEEILASSSGVLVFQVISGQLAKKGSLEVMFDDGYWPSFTSGKARSNHPTWDQV GEGFIRELDFSRTWLRINASDENDDDDIVAEYKCETKEFLDQCIRTPSDFVLTRSDGS HRSIVTIAARFVPVNIELEARESINNMGILRVDVLSAKGLHGADRSGKSDPYVVFHLN DMKVFKSDTKKKTLSPVWNESFEVMIPSRVAAKFRFEINDWDRVGSATPIGSGVINLA DLEPFESADLTLPVVSEKHGEKGSLSVRVMFQPEIIARTRQKTSTFSQAGRVVTTIGG VPLGVGKGVVHGGGAVIGGKKDKSGKEVIVEQPEPTADPSGFDIPAGQVSDPTDEVLA AGGMTGGVPGPKATTLPVGEGTAPSEPGTLAVTVVSAKDLKAGTKPYVQIKLGGKVHK TDHVKSATPEWDETFNFNVAPGTTSFNVSVYDHHTLGKDIELGLAEVDIFRHIQPAIP NADVWVELGSGNGLLKLRLDWNTGISSNNNNGIGKVRNRTPSISSSKVGAGPESSPSK FSMKSKRKSSVDKTPTLED
I206_07508	MQGRSIASGPSTEYHPPIQTSIPLSSINTLIPRLTTTINDIDNL RNLIGSGYTEGTLPSWDNLLQRYSLLLGRINSLTNYLIPSSSSTNIKGNNKSLSEYLI HPLNSISINSEEEISSPFLNETFLQVINTQLLSTTTTTTSSFQTNSIIKKSDSESNSH SHYENNNNNTYHNLEELKKLNEFELENLKKQLNLRLNKENNKILNIKREIEKQKEEID WTMRIGEEEEDDDEEDDQHLLENANGKQKEKEKDNDAADDDVDDDDDDLFGGDEEEEE DEPMIIDVDAEKLLPMVNNDQQQDKNSSSHSQKDWKLEDYIIFMDSGKLPIPTSNS
I206_07509	MQQLLNPFAQKYPEAVDSTLSSQGVSISFNPYGPFAGHYLAVGG NDGIVEIWDVETRGMIRMLEGHVKAVGGLSWSRNNRYLLTSSLDSTAIIWDLSILSHP YLQPKTPAASSSSSASASSSKPSSSSPRIHTIRFDAPVSTAVFHPRNSKIILATLTCG EVVLVDLRDGSRRILQDVIDGEEEVNEIQPRKKVSMACAVFSPCGSRIYTGTTNGMLL VIDPVSRSVIQRVRVANSSLKQIRFDASGYNIITSASDRALRILSVDPLTSNLTPLHR FQDLVNRTPWNAIGFSGDGEYVMGGAGHKMAHNVFIWDRETTVLIKVLEGPKEPLIDC DWHPTRPVIASIATSGDIHIWQTSSPDNWAAFAPGFEELEENIEYDEREDEFDIEDET DLARRKNLEEDLEIDVLSIPNDYPAAPNPLINIPSTYLISEETKEEGEKCIRAIQDVM DWADRDGDDDTWTGFWMSLDLDEELGEDDENGMD
I206_07510	MSTIVDSRPRGNYIPADCPSCKSQQEYIVPPTFIGTLRVRCSGC KQFFTHPQPKPSSSSSSSSYNNNSNPFNNNNNSSSSNSRESSKRNGIGSDKNPIDLAY YDILGLDSQCTTEQIKKAYRRLAIKFHPDKNRDDPDAEEKFKQISVAYQILSDSKLRK KYNEFGQKNGGGLGGNGNEPAGGFQDPEEVFGKMFGGDRFEDLIGQISIGKDMKDAFQ QQHEQQESGDFMISPTGKPMMTPDAIQRKMLRDRAVAEEKAKVRRERVDKLAKNLINK LNIFTEAAKGVEDKLVGASFREICRLEAEDLKEESYGTELLNAIGRAYQAKSAQHMAS SQFAPLGWFHGAKNTFNVMGDTVSTVRSALELKAVFDKLQQAEQSGMSPADLRKLEEQ AAEQGIRTLWKGAKLEVESVVRETCEKVLTEPSVSREKLHLRAHALGLMAEAYLAIKK DEESPPGEDFVKVETPASKERDAAAGSTSSSSSAKPDVPPRPVHSQSASTPAAAPTVP PRPTTQEKPPVPPHPTSAAEKEKEETLNAAYKAYESKRRSQGNPPGPNDI
I206_07511	MAPPTPLSPTLTFALPPLPLIPTSPPLSLPILDKRQTYVTLSVT KNSTDDSSSNTSSSSGFPIKIAIPALIGGMALALAGFGIWLWWTRKVKRERREAWEAR QRRKRRQQQNQSGRPSVSSSTRTPPSSGKKSPINEKGFIPPVPALPKHAATQDPYKER GYGYSELSQPPQQLNQVENGGGAFGYSTQPTLDQQQYSYDQYGQPLLQQQQQEQQQGY DPRQVYGHSRAKSNDSSNPSNPFSSTNSAVPDLPPSQEESSKISSPAPPRNEKSSKRA QARMNLADSAAANASVDPNLRHQPKKPSPLALAKAAEAAQYNHDQKMEHLAPPNNLPS YSSGGNIDNSIGGNRATSGEWGVALGSPNNDGNFSTNVQANQNQRYSISQDPYAPDNQ RGKSGMYAQDPYAAYHGNVDEGDQDDDVYHKAAEGMGLGNGGNNTPKKSSRWV
I206_07512	MRIEKCYFCSANVYPGHGTMFVRNDAKCFRFCSSKCHKNFKMKR NPRKVRWTKAFRRANGKEMVVDNVYEFEKRRNVPVRYDRELVATTLKAMERVAEIRAK REKAFWKNRMSGNTAKNAREAALSIEKHIELVQPKSKTTPNLEEKEKIREKIKVRAAS RKLMAQQQIDKAGGASKKKKESRLIPAEGGMGMGMNVD
I206_07513	MKISITSISHSSSNLQLDYGRINKTPQSGQANSLQIDTLLFLLA VSLSWPKPLVEFCNKAMILHQIEALVKAGVKDIVLAVNYRPEVMVSVLKKTEEEFGIN IHFSVETEPLGTAGPLALARDILGKDDTPFFVLNSDVTCVYPFEAFRDFHAAHGAEGS IMVTKVAEPSAYGVVVTKPGSTVIDRFVEKPVEFVGNRINAGIYIFNPSVLNRIKLEP TSIEKDTFPAIAADQQLHSFDLPGFWMDVGQPKDYLSGTCLYLSHLTSTHSPLLTDPK TNKWVYGGNVLVDPSAEIDPTAVIGPNVVIGPDAKIGPGVRLQRCVILSNAVVRDHAW IANSIIGWNSNVGRWTRVENITVLGDDVTIKDELYVNGASVLPHKSISTSITEPRIVM CKCNFSI
I206_07514	MPPKGGNAKKESGRAKKAENEEKKGKAAAAVKEAKEADEWKTGS KSNSKAEAAANKAAEAARKKAEKEALLAAEEASLPSKVKSAPKAGSSTKKKQNNNDNL IKTGTGVAGYGLNDPLGNRRIKNEFGELEETPELSAQGLDEMLEAMELVNQKTDKASL GAKAAIHIDAHPERRFKSAFEAYFERELPILKEEHKGLRLNQMRDILFKQFQKSPENP FNQAKIEYNATKDEKVAALQNIINTKEAKYKVQN
I206_07515	MSAQLDLDSILEFTINLALEAGEMIRSGQQKRFSSESSNEDEKA NSVDLVTEVDKAVEAFITKKIKETYPDHQFIGEETFNGQKITDEPTWIVDPIDGTTNF IHGFPMVATSIGLAVGGVPVIGVIYNPFLDQLYSAAKGKGAYLNRKTKLPITGKSKPL QSLGHALIAVEYGSARSAPQLPSKVKTFQTLAGSPDLGGKMCHSLRSMGSAALNIATV ASGGLDMYWEVGCWAWDVCAGICILQEAGGAMFGPKTSSLSGEIDGDLLTGRKYLAVR GIAPSSDETSLQVQQRFAREFYDTTDDIDP
I206_07516	MGRGPKKHLKRLAAPSSWMLDKLGGTYAPRPSPGPHKLRESLPL TVFLRNRLKYALTGREVTAIVKQRLIKVDGKVRTDETYPAGFMDVITIEKSGEHFRLL YDIKGRFTIHRITPEEATFKLLKVKKHQLGAKGVPYLVSHDGRTIRYPDPAIKVNDTV KFDFVQNKIVDHIKFEPGNVVMVTGGRNMGRSGVIVHKERHLGGFDIVHVKDVLDRTF ATRLSNIFVIGEGAKAQVSLPKGKGVKLSIAEERDQRRRQRAQDA
I206_07518	MSDVEDIASPIEPKSEDERDERPTTKKRPRIIDPDEEEDDVETA VDAKADDDKVEDVDVDEDKDEDGEEDEDDDEDEDDDEDDEENDGERRRKRRRKQKRYR FLDVEAEVDDEDEEEDEDNDYGDVAEFIDEAPEEGVAQSDLQHRRLDRSFGRNEEEDV QDIVQRLKERHARTAAARYNGDSDAVPQRLLMPGVNDPSLWRVYVKTGREAAICASIF RKVFSQQYSANPIEVISVFYRDSLNGMIFLEARQSASVSAAIGGIVGIFASKGVSLVP IEEMAPLLKIKKKDVNLAPGMWIRMKRGKHTGDLAQVVDTDQITSGVVGIKFIPRIDL TPREKRKERAANSKAFGGSSIKPPSRLFSYDDVRKIYGRQSVRQGAQGSYFFDNDEYI DGFCVKDVKIAIIESENVNPTLEEISRFSGDDQSTAKFDLTAIADANKNLTVSVLLPG DQVEVYEGEQTGLYGRVETVNQDVIAIKAVGGDVHDQIIEVPSRSVRKRFDVGEHVKV LAGKNQNATGMVVEVKGDVVTLMSDQGEQEIKVFSKDIRKAADTSNTTKIGGLYDQHD LVMLDSTTAAVVTKVEGSLLRVLDQNGAARSVTPDQVTLRRDNKRFAVATDSQGNDMK VGDNMKETDGENRQGEVINIFRSLFVFLHNRDLTENNGVFVARAQSLISVTPKSAVSD LGKMNPALNQQLPYGGASLMPPPATNVNRNRLINTLVVVTKGTSKGLMGTIRDIQGDN ARVELKTNNKTLTIALTSLKRKDQKTGATFPLEAGGGPGGFAARGGAPGAYDVNPYSG APMNGGQTPGGFGGRTPAAGQFGRTSNPYAAGGIGGKTPNPYAAGTAAGGRTPAPGWG AGGKTPAPGWGGAGGKTPGWAGSGGKTPAPGFADGGRTPAWGAAAGAGGKTPNPYGSA GPSGGRTPAPAGSMYGSNLDVGGSRSNNAPITAPTPYGGPTPGVYSAPTPGAVSNSYS APTPYGAPTPYGGPTYAAPTPGAALSAPTPGMGGPTPYGAPTPFGAPTPYGGGANNGT MGGLPWDWALDFRNVIVEVGPSLKPQTRSPLHFQRGIHDGKKFGYDQINGESCHCVSI EDGNIIEDIPAEYLRPSKPDNQGQVVVCIGGGPEQKGQQRTTQYENGGSWMMELDHGD LAPLVLEAGDLCKIWKV
I206_07519	MRPKVLEISWHETQAVYSCDFQPLPSAQLKRLLASSTSSTTQNE SEEAGPSGSNSNGPVIAGGRQYRLATAGGDSKVRLWMIYPNIPSISTSQHTALTGQEI TPHPPRVEYLTTLSKHTAAVNVVRFSPNGQILASAGDDGNVILWVPSDRPVVSFGENP DEVQDKEHWRLQKMLQVTTKHVYDLSWSPDGEFFIAGSTDNTATIWKASTGECVFALR EHTHNVQGVSWDPLNEYIATQSSDRSVHVNTFTVRNNVPEVHPVSRATRMEIRHSRTP SFPSGPRPNLARRASTTSETGSVITTTSEHPDYTPSIHHGSVSGAPATPNASVPTTPQ PSAMNPPPTSNRPGSRRSSFSGSQAAASPSLSAAAFPGRGRSPSPIPPLPAIRAPPTS TQAIMQRLYGEEGVTRFFRRLTFSPDGSLLLTPAGQIEDQIFKGSPMLSTRSLSQDTL DREPAPGPSTVNSVPKPKNVDNGKPTVYIYSRANLARAPIAHLPGHKTTAVAIRFSPI FYDLRSSTGLNPVNEPKHITLDRSDPSPVHVSLSMPPPPPPGKDDKEKEKERPLGSVF ALPYRLLYAVACQDSVLLYDTQQAGPIAIFKGLHYAGFTDIAWSPDGQTLILSSSDGY CSIVVFDLTELGTVHPTQQHHKQLSAIAQSHSHGTSSSAHMPTPLSHKEISSANATPT PTPQSPAVSVAGQRHSPAPASFASRSEREGSTASTSIPAAPIFVPIPTGSIASTSISS FEGILPTPSDEGEFSFRNRTTSVSASESSTMGLGLGVDESSGLKRSAGNESIIGTTGE NDSQQLQNQQPKKKRRIALTQVGDE
I206_07520	MSGIPSLNSSITSAWATTSSSSNSIQPKKRVKRSLGLNNASASS SNAPSPTSQSQSQSQIQPLAESSTSTLTIQQQQQQNQNQIPEEKIATPSIRRKRPKND PESLQAKYAPPNLKLSLLGGLKSQITQLMEIVVLPLLHPEIYQYTGVPKPRGVLLHGV PGGGKTQLVKCLAGELGLPFINVSAPSIVSGMSGESEKTLRDTFDEAKKIAPCLLFLD EVDAITPKRETAQREMERRIVAQLLTCMDDLATSEESVVIIGATNRPDSLDPALRRAG RFDHEIEMGVPSQEGREEILRVLCSNLRLSGDVDFRWLAKSTPGYIGADLTALTTEAG VIAVKRIFEEMSSISNLASTISDVPSDGVAEETNGIEQMIIDDGLTISNLDPNAPFLT LPEDIRQTAIAKFLISHPNPLNESQLENIKLKPEDFLKALKIVQPSAKREGFATIPDV TWNDIGALSTVRDELHMAIVQPIRHPELFSTVGIDAPSGVLLWGPPGCGKTLLAKAVA NESRANFISVKGPELLNKYVGESEKAVRQVFMRARASSPCVIFFDELDALVPRRDDSM SESSARVVNTLLTELDGLDSRKAVYVIGATNRPDMIDPAMVRPGRLDKLLYVDLPSPE ERFEILKTHTSRTPIDTNSWNSIKDILIGEKCDGFSGADIAALVREAATLALRSALES LGAFEVPLENDPTINGIFKDENKKEKKEIIVTEEHFKKAAIKTLPSVSKEQRIKYERM RDKYAGLPTKGKRSREGGLINEGDLLSGNIREEKRSNKGNGEGDAEMSVA
I206_07521	MATQEHDPFAGAEGEDEDFELMAPLLVAKLQEAGISAQDTKKLA DAGYHTVEAVAFTPKKTLCTIKGISEQKADKILMEACKMVPMGFTTATEIHSRRSELV HITTGATGLDTILGGGIETGAITELYGEFRTGKSQICHTLAVTCQLPVTMGGGEGKCL YIDTEGTFRPVRMLAVAERFGLNGEEVLDNIAYARAYNADHQLQLLVQASAMMAESRF SLLIVDSCTSLYRTDFSGRGELSARQMHLAKFLRTLMRLADEFGVAVVVTNQVVAQVD GGQFAVADAKKPIGGNIMAHASTTRLNLRKGRGASRVCKIVDSPCLPEAEAIFAINAN GIGDPEEMKEQ
I206_07522	MKPVGSLSLGRKLPSAGSLLVGILVGLLVASLLRRGDSSTEWRG AGSKNLRPNAISGSSRLQRSQITLPSLQQRLHILQLVGTLSPHHTKECLRHPQQLYVE QAKERYLPLFGFRKSWSNSGSWLAKIFGGSKDAEQLLSPHAKKIENIKRDLSTGTQHK YFFAINLYNSFDVIPDIFATLFRTAAILGYHNVFVSIYENGSNDQTKALLKIFDSLAR TVGLRIIIRTSMRTRGQFNHRIEYLAEVRNAAMVPLHELRDNEGEVFDSIVFMNDILP CVDDLLELIWQSRRQNAGITCAADYMYHDDIGAPVFYDNWVARDINGTALENAPFEQV FHHTESNHRFQRHLPIQVQSCWNGVAVLDPAPFYHPPHVKFRMAKLPEGECSASECSL ICNDYFNAGYGRIIMVPRVKLAYDKKVYDIIHPERRNLTAIRGYKRIGGLPDDPHTDP QDRSWYGPHDRLFTPEEDDELNFIPGPEYVWCWGWDGAGDLDGPDVDPIWEHMQTRSY SQEAIEVKHFRNMPGW
I206_07523	MLGLSRQAASSSFADLRSQLFAGPSTLKNQIRFASKAAGGRSRN GRDSSGKRLGVKRFGDQYVTPGSILIRQRGQNFKPGQNVAQGKDFTLYALQPGYVKFY QNHLPYPHLFRSDQSPPSNLPLVKKPRQLDQFVGIVSEKSEKLPRDERNRGRERRFWG WPKDQQQQQQQSISTKEIDLGLGNA
I206_07524	MDESILHDIDAELRAIRLDDDRPRLQPLRLDKMFLRARQWHAAN PRSYASEPIFRPPSPPKYPPIYPLPHPLLPSKSKGKEKEQNTDESLISPLSALFEYPE LIPLVLDNFDQPRDLATISRVSKEWNRIARKKLYRHIWVRPWEDGCHFKLVLLFDTLH RNSQLCKMVKRLDVRFFPLAARGEERSELDDHVQKAVEEMDNLESLVWTRDRSINPSL LETIAGLSHLRSLEISGHSYRYYDPSLIGTMPALEDLRIMMPDPNLKSKLVEVVKALS NRPIGGLKGLGIICQASSLIDDAILKTIASNLTKLKRLTLWGCTRVTKDGVFEILQEA SREIEELSLDALPHSGLMDLSGVTNLPRLNTLSLSITIPHRDSKDSTLALEDLPILPD LQGLQSLHLTLSGSNLFLPLESYQSFQSPIDSTKIRKLSLINLVIASDTLSYILKTNE ILEELYISVNGKQTINNSNELIEFGQNLKILHINAPERWGPNSDDLKNIANKLKGLEQ IGSGNRVYEIHRKLENDNDEEKTELCRWSKSWIPGYFQVWRA
I206_07525	MSGRDARDRAPRVKNRAAAAVQITAEQLLREAQERQEPSIQAPK QRVQDLEELSEFQGRKRTEFEGRIRYSRDNIRAWIKYAQWESSQNEFERARSVFERAL DIDPRSNELWLRYTDMELKARNINHARNLYDRAVTLLPRVDALWYKYVYLEELLLNVP GARQIFERWMQWEPNDKAWQSYIKLEERYNELDRASAIYERWIGTRPIPKNWVIWAKF EEDRNQPDKAREVFQTALEFFGDEEEQVEKAQQVFAAFARMETRLKEYERARVIYKFA LARLPRSKSASLYAAYTKFEKQHGDRAGVELTVLGKRRIQYEEELAYDGTNYDAWFSL ARLEEDAYRADKEDGEEVEPTRVREVYERAVANVPPALEKRYWRRYIYLWLQYAAFEE IDTKDFDRARDVYKAAIKLIPHKTFTFAKLWLSYAYFEIRQLDVTAARKVLGAGIGMC PKPKLFSGYIELEMRLREFDRVRMLYEKFLTYDSSLSAAWIQWTQVESAVEDFERVRA IFELAVQQSLDMPEIVWKAYIDFESGEGERERARSLYERLLERTSHVKVYISYALMEV SVLGGGEDEDGNEIEGEAGDPELARAVFARGYKDLRAKAEKEDRALLLEAWKAFEQEH GTPEDLAKVEEMMPTTRKRWRKAEDGSDMLVEYWDLIFPDDERDANPTSFKFFQAAQQ WAAQRGGDEGEGGLSYDLPSDSDDDDDDEDETEEGAGTGAGDSAGNEEPMDEDD
I206_07527	MALHDQHDLHDNAQLKKADEWHEQDEILNQDAVALAATYVPGSA AEKALVRRIDKRIIPCIWVLYTLSYLDRANIGNAKTGGLQKDFGLTSTQYSVVLLVFF VSYVIFEVPSNLAIGRLRPSLYLSGLCMLWGGVAACMGAANNYQQLAGVRFALGVIEA GFAPGVAFYLSSWYKRYELASRFSLYYTATAISGAFSGLLAGLITKHLNGARGLEGWR WLFIIEGVGSAFVGCFTWYFMPDWPSTTKFLSGEERVLAAQRLAYDGLASTAGAQGHI GHWQAVKMAVSDWRVWAFTFLYMLCTGAQTIQYFVPTLIGAIGWTGYVGQYHTIPLYA CALVSIVAFCFTADRLQRKPEAITLAATMGTIFFIIVVSTTKHMVQYVFLIFAFGCVY ALPPLILTWVPNVIGHPAEKRAVSIAITNALGNSASIYGVFLWPSTDAPRYIPGFSAT TVFMALIAIGAPTMAWLVKKYPVEQIDADKVVMEEIEKQRAAGRLPAHGQLEA
I206_07528	MSISNNLTLDILSKAEAGGYGIVAQTCYDAGMAKGLVRAAERNK SPAILQLFPITLQAGGGALLQYCVKLAHDASVPISVHLDHATDAAHLELSIGLAEKEG IKFDSIMVDASHADNEAENIAIAVPYIKRCVAQGIATEVELGRLEGGEAGLREITGGM LTDPANAETFMKETGAHILAPSYGNLHGSYKFIGGPKYKLDILTDLQQRFKGRTPYLC AHGTDELPDELFKDLVKSGVSKFNINSWARDPYVETLSKALASKPFPDAEEEAVEAFA KVCDRFMNLLGSVGKA
I206_07529	MSSNPRIAPPYGENTDFVLISSDGMKFEVHSHMLKAASSVLRDM LETCQKSDAEKEAKLELSDKQIESSSIIALFLKIIYGKDLKKPSLLDFHKLQQYDHLN QLIMKYDASYALQHIKTCFRLWFMQEGVHADHYFLYACTLDDLELAKMVISSGPFTAI WLGEPANKVRVTHPTNNLSERNIMDIGGWDISRFNRVPDDYKFAFFRAEREASRKGGG RRQGGGGGKIDYEDMGRRFHSTMLLLRNLSPQ
I206_07530	MSPSCPPSSSSSRSELPFPHSHVTIPPQFHSMVAGAGAGLVASI TTCPLDVVKTRLQAQHHSKGSPGYESVSVIISKIWKSSGPKGFYRGLGPTLAGYLPTW GIYFTVYDLVKDKAGNWNTTHGPFQGNTAMVHIFAAMTAGATGTIMTNPLWVVKTRFM VQAGTSDSSSRYRTTIGAIRSIYKSEGYRAFYKGLLPSLLGVTHVAVQFPLYEKAKSW ADSDNGDHSSLPPTTILACSAFSKMVASLCTYPHEVLRTRLQIRKSSNTTSLSDPEPI RQGGGKTKLHPQSIPTPAMTPSPSPLIATSNPTRRFELYSPLVTGNQPPHSTNPHLAD PTVNRPIPNPLPKIEPKPPWYKQFTHKPRPGGAIDTFLAIKMQDGWKGFYRGLSVNLV RTVPNSAVTMLTYELIMRRLSHSLDP
I206_07531	MSEEASSSTKKVKSGRTPEQQAARDARKAAKLASKAEASAQEPV ITTNDESAIVSPPNEETEGESSNKRKRVVQDGEELEIDVNMAAPLSKAELRLQRKKAK RGEESKPVKREYEKPNKPSKESKDGEDKTSGDEGGDKPIVNGVGGKRQNSIWIGNLAF KTTVESLTQFFENGITENGGMGNGSITRINLPKKNSRDGYAQNKGFAYVDFKTPELQS LAVDLSEKFFEGRKVLIKKGDDHSATPNARTPKPLSTKAEDLGSSSKRPETSSIYMGN LPFDATELAIRDLVELNAVEREGAETEEGYETIGERGGKKSGLKKVRLGAFEDTGRCK GFAFLDFLSSRHAKVALANRKNHYFNGRRLTVEFASEEAAKRSGGRSKPQTDKPRKFY ENTNQAAEGDQGDAEGEGEVVEKKNDKRGKKWEVTGRPRPGAALAMAKRENVGIVEGS GQKITFD
I206_07532	MERIGAHSHIHGLGLDSNLEPRANSQGMIGQGKARKAAGVILRM VQEGRIAGRAILMAGPPSTGKTALAMGMAQNLGSDVPFVMLTASEVFSLEMSKTESLT QAFRRSIGVRIKEETELIQGEVVEIQVDRSITGATKTGRLTLKTTDMETVYDLGTKMI DQLQKEKVLAGDVVSIDKASGRISKLGRSFGRAKDYDAMGADTRFVACPDGELQTRKE VVHTVSLHEIDVINSRTQGFLALFAGDTGEIKPELRDQINTKVAEWREEGKAEIVPGV LFIDEVHMLDIECFSFLNRAMENELAPLVVMASNRGITRIRGTKYKSPHGIPADLLDR MLIISTKKYEEDEIREIVKIRQVLRHLNNTSRAEEEDVKLAEESLDLLSTMGIQTSLR YSLNLIAPSSLIALRRKSNVVEVVDVKLAYKYFCDVERSAQYAKETNGMMFGEVEVEV GNGMQVDGQ
I206_07533	MTQPISFTVRPPTSAPHRPSPLGNGGSRGAPSRRLFELNGHDED EDEDEDHQSSSRSRSNRPKEERIDGYRNGKALGGEKPAGPLVIPSLPNRDWRASSSRT PSYRPETRDLNEVIETHERTGDGPQRSGLRKKNDPTNYNDPYDKIKQEPSDSTISITE AVKQEYVEEDIKPIISQESEDVKKQTLEEQALQAILSGDVKVESDEERLRRELIIVGS NALSEEEALKRDIDELPEVSTAEDYAAIPVSAFGEAMARGMGWNPKSNDGTKIHEPKL RPALLGLGATALEKPLPPSRNGSSSGSNKKPKINKKDSMRINLGESIIKRESSEIPSS SGTNTRESSRRASPESDFSSSSKRRRDQDEHRDRDGKRYETEEERARRKARARERGRE YETEEERARRKAKEKERNFETDEERAKRKAKEREGREKYDGDRERERSDKYDRDRRDD RDRDRRDRHDRDGDRRRDR
I206_07534	MTAAVAPIPPPFFSPYLDDQCNKINSKPVPWEGYQRAKLLSADE LSLLKSLNKLPQGQRSTVFATQGAQYAKLYIDLLRKLQRVDTVQAVLVSINDMLQTDS STLSLYHNLFSGENTEDPYGPLIKCLSMEEEFAVLGSLRILALLISTDPKPFPKTLVP ILLASLQGLLNGTRQPLWEVAAQVLSAVLGRKQFRQAVWEEDNCISGLVKSLKTNPNP QTQYWAISSLWQLSFEKSAAEGLDKKYDIVAVLTNVAKAAVKEKVQRVVVATLRNLLA IAPSQNLPSMFVTKLLPFVVSLQSRKWSDEEIVEDLDYLKDELQSRLEGLSTYDEYVS ELESGHLVWSPAHETEDFWKENGLRIGQEADGKAIRRLVELLTTSKDPVVLAVALHDI GQFVKWGGDKSKRTIDNFNGKTKVMELMGHENADVRYQALMTVQRLMSQHWTK
I206_07535	MTDRHIFPDHTTLVFRSLKGLVAANPYLNLIPSLKVVYRADHDS SKVSLICGGGSGHEPGTVGFVGKGLLSASVAGDVFASPSARQVNAAIKMVGSEKGVIL IITNYTGDNLHFGLARLMAQSAGVKNVELVVVGDDVSVPRSRGKYLGRRCLAGITLVC KIMGAGSEADMPFEQLVPLGRSLSSNTASIAVALDHCHVPGRSGDGEWHIDEGRCEIG LGLHNETGVFNIAQPGPEELITKLLDLLLKQDDPERSFVKFKDGDELVLLVNNMGAMS ELEMGAVVDEVLVQLESRNIIPVRILQGPFMGSMNMPGISLSLLNLTNVADEHSFVST SELLEFLDAPHNSPAWPATAQRYPLPETLRNRKRTDAFTEVEEEKEEVYTGGAKLIVD AKSIRETLKIAAEEVLALEPQLTKWDTIVGDGDCGETCALGAKGVLEALNKGLGSNGD LVELFRTLTQVIDDSMGGTLGAIFSIFLAGLTTSLIKSVEINPNLKVDEKFFGEITNE ALEILQQRTNARIGHRTVMDSLIPFVNSLKQLKGLNESVENCIKGGESTIKLEAKMGR AAYAGTGSERGVMPPDPGAMAFVQVIKAIGKVFGN
I206_07536	MSRIKLLDSSFRRETNGYVTSLSQPPYTIVLACDDAGHEYKSAL KDLLESDKRVKGVIDVGVHKNDEGKIEKTAYPHVAVDAARKIINGEANRGLLVCGTGM GVAISANKVPGIRASVAHDSFSVERLIKSNNAQILCLGQRVIGIELAKKLVKEWLDHT FDPESASNEKVKNIHDYDGFEYEAVPGGCS
I206_07537	MSQYPDSNSRGGPSNYDSSPLSAAGNGKNLPPWLNGKDGPPQGW MPSWDKVPMSDSQSRPQENPNWYAGQIEIPEGSDQQSEYLPTSYSSQSRFKHPGQGPH ILSQSHSTPISQDGSDFVSPKNPSSKARKSIDTPERDGVDLKPKSDTNTNAVSAAGKK RKRKSQVVGDASIDDISVPSPLEDGDKDKDKDKEKRTKTGRACDACRTKKIRCDILPS EESTSGLDSQPICAHCKQSNLECTFFLPITETRFKKKRQAAKEVKEPPSASQQPTSLP QVAADVAGGSPGSYDYENLGGGPSKSKDWGGGRVEGPTSIAFLLHTTIPTIPSEAFDL RHHNSWEVLEDGNGVIRVNAPPTAPAHADADPSDPTRAHNRLNKPVLSGQTMSLLVNA YFKEVAPLFPIISRSEFAARTTPSPLLLYSICGLGATRRQFPREIFAGVRGVINGLLR SNDILSDARFENVQALLLLAQVGDLHAQPTAATASASLIRTGAAIRMAQDLGLHRESA VRAIGPRDLEYVELRRRVWATCVIMDRWYGAALGIPLLVDLLDCDVLLPAPYQISTLT EPHEWPLEFSFMGLGEHLKLSILMGRVLKMIYSPTGLKHTTDAQLAGLVDDMEKWKAD LPEGLQFKGKDSSHVVGLLHLGYTALQFLFWRVFMRITYSCPPHLKFSLQISHWSKMI EWSRECLEWLDANDDALDTLFIFPYAATSCALIQYHTWARRGDDSALATLKLVKQTAT RWEHAVQPDQMSIRRKTCETMTLLYEAALKTDPESHEMRQAPKLPANPTAGVIPRQSG SVKLQFKKDESRQGGGVFVAETEQEIIASGLRQGDVVLASDISEGKKKELETQIAGQE NHLRVGRQIEEESQSLQREQQTDQSRNRSSNGELNGNINAFQQSLPKETYNVNPLITT NGSYSKYGMSYSQDGNASNLGEGMMDVTRQAQHYAMPSYPPIQELQQQQQQQLQNYPM NSHQQMYQNMPNSYSGSSSMANGNSENTGYVPGQQPYQPGGMNQPMPGVGVGPTGNLD PGFLDSLPVSTFDWESWSDYFDKFLPNANQNFETMQ
I206_07538	MSEKKQSVILQTSDDEQFTVEKVVAERSAMIKSMMEDLGDQEGQ AIPLPNVSSSVLTKILEYCDHHKNEPLPAPDANDADDSRRKTSEIGDWDARWIQVDQE MLFEIILAANYLDIKPLLDVGCKTVANMIKGKTPEEIRKLFNITNDFTPEEEEQIRKE NEWAEE
I206_07539	MDQAEVKGLMDYTLPQSLQGVSGSGGSGDGHNYGEGESSNHAGP SSPPSHSKRRRRDSSSEPTRVTLACFYCRSKRIRCNGIKPTCEGCQKANVPCEWPTSR AKKRTKKQMEEARMRAKISGEGVINDDNMSLADTNLTTNLSADPNELWTLTSSTNYLW PTDFIQNDTLNTNDNSNPLSMGLSDFSPSISIGDLGSMSTGENTMINAMLSSGGSLTN STGRNLSDVGMLGIPTDWSPSADLRLASALEDQMAFINGNPEEDKDLELYYYRFSGST AIHPGVNRISLKLQRRSANSPLAAPQPGETESPSSFITPSADLFDHTGMPHPEVWRPL FALFLKHCSQHFPSTSHKRMVERIETGTMSQFLACCICSLGARFSGEGKDPSLSAAPF IAKAQELIIPLLHLPTYDVVTGLLFLAWGNYGQNSESGLWQYSGMAFRMAIDLGIHEV SELYESPAHLIRTRLLFWSLFITDRIVAFATGRPASIPEDIIEIPLPIDEDFFPDPSR NLPESKEEEIEPIPFVQLVKLMIICGRISNVLNGRRGRSRTLVNSTEPLPELLADLQL RLVQFYSNLPESLKWSAENFKHQHLRGHSGTFLTLHLWANAVLALIYHPDLLKSPSGI ETPLNKSMDRNVKLSLASSRQICECMVFADLVDSTSYTSAPYLTQPLFVGAMAFIHEM RSLTISSSNPSSQSNATDILMLSMAKQNFQALLNAIQKMEDCWAGAGYVAQILERRSG FPKSTKRRSNKKTFISLPDTGLLRRFTTDRNHPSDIAPPTETSMRESIARSERSTSFS ASGPTPLWLADLMSGYTVQNMSFAPADTLDLQRLLASGSGYGISTDNIGGNVQGNGPS SDNGFGMLRGDGNESTPGSGHRV
I206_07540	MVDANSNGTSQSNVVLITGAAGWLGGILANELLSDPKTPNVHLI LADIVEPKAPKGAQHALTFKADLTNPESIAKLYDTEFGTPDTVYCFHGIMSRGSEDNF DLGLKVNIDSIRFMLEAARQRKTNSGGLIKFIFTSSLAVYGGPLPHVVDINTIATPEG AYGMGKLSSELLVNEYSRRGFVDGRILRLPTIVVRPGVPSAATSAFISGIIREPLHGE KAICPVGDGFDSPELELAAWVASPEITIQNFVIAKHIPKEKFLAHTRVAYLPGFTTTV REELEALATVAGKDALKLVTFKDDPTNRRIVSSWPARFNNEYPLSLGFVVDQGGMVPI VQRFKDAVEAGLA
I206_07541	MASPRASAEPTLTEKPRRSFFGGNRKSVNAPAPGAVGNAETGYP QEGVNNGYTHHGVDNSTRQGGFTHGFKFGSWVRLHAVDLLTMAAMGAIGLGVYEADPA PTRSFPVYNIDGSIAYPEFAYPLRKNLIPIYAAALIAFFVPFVFFALFQIRIRNINFF LGTTVGLLESLITAAVFQVFHKWLIGGLRPHFLDVCKPDVSQLTMNGNGFRQIMYTRS ICTGDADEINDSLESWMSGHSTAAFAGFVYLFFYFNAQLKVMADHRPAYWKMILTIAP LLGASLIAASLTIDEYHNWYDCVGGAVTGSICAIIAYRKTFAALWDFRFNHIMLPRST SLFHRKAIDGEVSTSRFSYTPQESMIQRPAASEGGWRRDWGSAGAPGDATAMMV
I206_07542	MAANDQPPTWKVAGVVGFYMTVALIMVMTNKWVLSTSSLPLTFL LLQLATSVLLLHLLPLFYNYTPPRWTKSTILAVVPVSVVNVIGLIFNIYCLKLVDASY FQVARGLTLPMTVILQSLNNGIKPNGFTIISCGIVMWGFTYSFLPIPFTTTTIKSSSM NEIDIYGIEIQQEKIQLEAPMAGMLLGIASAAMVAIHAILVKQALKKVEGHTLDLAYW QNALSCLVLIPGIIFSSEIKDFAKMIIGEQGDGRAFIIGCGVTGVVGFLICLAGLLSI KVTSPVTHMFSSAMRSVLQTMLGVYIFGDILNSSRIMSIVLIIIGSCLYTWNQSRSHS PKSPLQEDRKRLLTGQEKLNTYANDPEKGERKD
I206_07543	MSMPLTPPYTPLTDSSTPVLTKEKLPSNPKVHYASTALLTPPQT PELEFESVQNDLSEKLQIQDQGRKLSDNEISYYLPSRADGVNDMYVHHKLLASPTQLS PSRILHIWAYQLLLHPLLASTTKFHSYDNIHFVPTRPTSLIEALVMAAERFSYLTDER DIIDTYLNGPRTLSDERLGYLVVKAPSTKEIKQINETVEYEIMLCSTHYLGDGMALHS FMNEFYILLGSDSSIESIIDLIEEKLNLNQQVKIPKSLEERLPIIGNGSKLANIIGKD ELNRLEKKLIGGQSFGTNKDKLPRQTIVPTISYDKDKTKMILGNCKKNGVTIAHAVFA LCNIAWSRKTIDKFDPCLIYSALNLRPNMLPSTSENFESFFHLAVGYFNIVLPTLIPS TITLSELFWHRAKMTKNQTIKAVKSPFVVSRSRNTSIIRKERAIKWAIIDDKQIEENS NIGLEIIKKNPPQTLQLPTPENTPIIPEERKISQKALMGLSMLGNLDGMYKHSSFPSL QLTSLTTGSRQRNGALLLFAYTFAGKLWFSLGYDKNGFPSGVIEGFWQECQDLIDEVL L
I206_07544	MSTEYTPDTFKVLLKKLVQTPDEFTPEDCAQCFRHLCVQGASDA QAGAFLTALTLSGLESSPDIVAACASVLREHAISVKDLIPEVKDQHGHGMWDYRESDK EGDGYTGLVDIVGTGGDGWDTYNVSTTAAVVVAGAGVRVAKHGSKAATSTSGSADLLL SLDCRLAFPVSEVHTFLEHSPFLFLFAPHYHPSLAHIAPIRRSLNFRTIFNVLGPLIN PARPQRMLLGVAKKELGDTFAEVLRLLNVERALVVCGKEGLDEISPAGETWTWWLENG KITKSEIHPTKDFGLPLHSLASVRGATPDLNALTFQAIMSNSSAPSHLSSPIDSDSPS LDTIRDYVLLNAAALLHVSGKAKSWKEGVEIARETIESGGAQAAFEGFRDASKKAMGE HVNEMTVEDDGGIAAKNGYVNAWLKEKGRSRANSTKQE
I206_07545	MPGVKRAAEDAELPAKKPHPFFTGATQKSLGEFQPSPPTLIHFT HLDPFASASPSSSSSSHSSSKKIPVSFYDLDGTLIKPRLGGQFPKSRDDWMWWHPSVP EKLKAEHEKGRHLIIISNQGDQRDKIRQEWRAKLSLIAAKIPKDVPIRILAALSKTDA YRKPGIGMYEVIERLYKDKGLEIDLENSVFVGDAAGRVAKGSVGKDHGDTDYKFALNV GLKFVTPEEHFLKHPRPKFPEPPIGFLPAKLGSLASLPHIIPSHTPITRSMLEIVLFV GPPASGKSSFFRKHFAPEGYEHINQDLLGTRDRCLRVAEGFLTEGKKVVIDNTNRNKE TRAHWIRLSTKLKIPIRLFHFLCPIELAKHNNIYRACYGPANEPPRTLLPMSAFGSYV VAFEKPSIDEGFDEIRGVNFHFEGSEEQRKKWDMYMLEPKR
I206_07546	MTLKRASTPSDENVSNAKKAKTPVDIEYDEGYNDPEADITLITS DGVYFKVHSFVLKASSIVFRNMLAVGNEDNTEVNLTDPDFENSNTVSTFLDACCGKAI PEPIDLYKSDDTDEIEAYSDLIKFARKYESNLVLSQLSSLLYKWQYSKLISPFGIFVI AYNMESPDLAAMAIRSCGGRTWALSDTTDEAKAVATDRLRTVNPTTRVCLLDPSGMSE AQLDEMPSPYRWALIRATHNQINEKELSKTDWKKVSNDFLHLIKMSKNYKEREESSNE DRITPPGVDLSGQFKVDFLMFVRHLKDSKFGAVEDRAFSCML
I206_07547	MPESSSLSPKRPASDDLSHEGMKKAKSPTKINKYNKDYQDPEAD VTLVASDGYQFKVSSYVLKAASTVFRDMFIIGDNASREVSLTDKTFEGSRTLAMFLAV CHGKPIFPPTDKLQGYDSLVSFARKYDAPMVTQQLTTAVYQWYHDHAYSPFDIFKLGC SLDQPRMVAFAIRNSGNYVWVCDENASAEALANFKDPVKTVENVPSIPLLNLYTWNFK SFKAIPDEYKWALSRAQHGPGGTFKTDLGEIADGFFSLMKLMGKIE
I206_07548	MTTNSTPPGFIRLNSSISLHEPSQIQGEEENDNKLLILCLWMSA SPKLILKYTNEYLKLFPKITIILIECKISEMFYKSTFKHNKLLKPALNIILNEKNKNK KIIAAIYSNGGSYNLIQLSKLFLKETNDGGNSQSLSIKSTLLDSCPGYSDLKSSIHVI YSTLPSSITKSNFLSTPTWLIIWLLIKGYHLIYNISGLVDPIKKIRNDLLDTNLFDLG KANSRNTRTFIYSREDESVSYKAVERFSDQAERKGWIVKRERFEGSNHVAHANLDKER YWGIIKEALDTDA
I206_07549	MPDSLETAALNGSLFTFDQNNPSSPTRSESSLSPLNTDDELGSD LSDNNSSNKIGKRGDISGKGVPKVYNEPIEHDGPQTGPKGVIEDRKSYQKNQRNEKSK ELNDLILKQNKKAIIGLTIHEEDYLRKIEKQKKEEKEKEEEEEYNNELKELRKKRKEQ LKLKLNLLNGKLENESDNEEFDDDDDEKIEKQIKKRGGLKEIGKENFIDSVEQIGWVI VLIYEPDIPRCTSLIASLLHLSLNFPSNVIIPVSLYKARATSLQFSLLPPTTTTTTII EKYEDEIPLGKPDSDVLPTLLVYKDGELDKSFIRVDWDVDESGIEGLLRKEGILPSLY KTSLNKRNPFDDEDDENEH
I206_07550	MAGPLSVDEILAKQKAEKEAASKPKFLSKADRAKIALEKRQAEV QSQTGREEAERKQRIELERAAEEERRRTEATRYGAQNGDGRGSYDRYGRQDYGRQDQR FNNGNGSRFNTPSGPRGNAPPSGPRGMRDSPLPYNGNGNGTGPSTPSHTAGSSTPQPN SPGDVALPTDTELSALRARYLGQKIDGKKPRLRKANDKKVVFDWNENDDTTIVERGTW SSDIKQKGPGGAMFGGRLAGFDEGANRRGKEANMDNHADALERRRAGKGSNDDRHWSE KPLHEMKDRDWRIFREDFAIAARGGSIPVPLRSWKESNIPYNILDIIGEIGYSDPSPI QRQAIPIGMQNRDLIGIAKTGSGKTAAFVIPMLDYIGHLPPFTDENRHKGPYALIMAP TRELAQQIEGETQKFAKPLGYNCVSIVGGRSVEEQQFNLRNGAEIVIATPGRLRDMID KSMLVMSQCRYVVMDEADRMVDLGFELDLNFILDAMPATFIKPADDAEINQALKSGEW QGWRVTTLFSATMPPAVERLARKYLRKPATVTIGNAGEAVDTVEQRVEFVHGGEDKRK ARLIEILRTIGLPPPMIVFVNQKKTADMVVRYVQQAGLSGTTLHSGKSQEQREASLQA LRDGQVAVLVATDLAGRGIDVPDVSLVINWQMSDTIEKYVHRIGRTGRAGKNGLAITF ISNDDDEVMYDLRVEVEKSKMSKMNPELARHEAAKTKVTREMKRKRDDDE
I206_07551	MDGNFVPNITMGAPILTWVHKNVPDIFMDCHMMVADPAKWVPEV AQAGGKSYTFHYEATSDPEGVIAKIREHKMLVGLAISPQTPSSVITDSLGNSVDMLLV MTVQPGYGGQKFKPECLEKVKELRARFPGKNIQVDGGVGSGNACQCARAGSNVIVAGT AIFGAEDPKQTILDIRNSVNDVIAQQK
I206_07552	MSRHHQEHLSSPSIYHHIQNPSNSTSTISNALIDHPSSSYPHSQ SHIQAILSPPTPTHTAELYYPPEIDRLPSILPPRAPSLFQPPPPREDTGYRLESRGRI PAIRIPPVPYRRNSSQSNNNQSLLGDPTRNNNSLVGPNSPYEQPLLPPISASTSLVSD NHNTSKYHLRSLGFNNNQPSPSTQSTSHSKSPYASGFYNLSLSNPLSAGSSSTNTTYY HSEERGLRSPHPPLTANTNINSTYQSHLWPHSYNNTLLSSPHNYTHSLSHSKSPQRRE SIGSTGRGNYHYLNNDYPSLPGPSSQPHQSPRYPESPYQTYEPSISNTPYLPPLIHHH SISGYPNSVSNNNNPTSPVHIPPPLRFRYSISPPVGIQGLENMPPRKKLTGETSIMGS STPRTTVSSATGVTGSASVTRSGRKGVNGKGWTTEHTYDSVGQKKEIIVIDDSQSPMI QQPIRKRTRAQVAAEQAQAYAASQTGNSLYNGHINGHSTTSLNSSTTKKRKVDEGSEH GSVKKVKGKLASTATSASVQATGQSYNQHYVPPPKQAVTKAQPVPVQTGPPGHSSTQP AWDDAEGHYIVKPDDVIGGRYKIVRLLGQGTFGKVVEARHIETRRKVAIKVIRAVQKY RDASKIEIRVLETLKKNDPRNDNKCIHLDECFDFRNHPCLVSELYGMSVFDFLKQNNF QPFPEKHIQDFARSLLRSVAFLHTLKLVHTDLKPENILLCSNESRLQGPRTKNSKAKS ILRNTEIRLIDFGSATFETEYHSSVVSTRHYRAPEIILGLPWSYPCDMFSIGCILVEF YTGDALFQTHDNLEHLAMMEVVMGKFSQRMIEKGRHKKPEFFRGNKIDFPNATVSKAS KKYVKGMKNLKDIIAPTTKHQQLFLDLCVRLLDHDPDVRIKVHDALRHPYLNEPIPDP L
I206_07553	MSGGGNIKVVVRCRPLNSRELARGAKGLIRMDGNQTILDPPEQM GQASGRATEKKPVNFSFDKSYWSVGPRNEPKYASQQTLYEDLGVDLLNHSFEGFNTCI FACECGISSDKGIIPLTTSELFSRVESRSETDPNLSYTVEVSYIEIYNEKVRDLLNPK NKGNLRVREHPSLGPYVEDLSKLVVENYSQMMTLMDEGNKARTVASTNMNETSSRSHA VFTVILTQKRHDPQTNMTEEKVSKISLVDLAGSERQASTGATGARLKEGANINKSLTT LGKVIAALAQASADPGKGRKRKDDFVPYRDSVLTWLLKESLGGNSKTAMIAAISPADY EETLSTLRYADAAKKIKTHAVVNEDPNARLIRELKEELEMLRSRVSAGGGSDEATYDP QIPPEKQIVTYRTKEGDIKKVTKLELQDQLQASEKLMESLNLTWEEKMEKTQKIHVER EKALEELGISIDKDMVGVHAPQRHPSLVNLNEDPLMSECLIYQLNPGTTVAGSLDDTK AHIKLSGQHILPEHCVFTNEEGVVTFEAMSDARTFVNGKRVPPETPIKLQNGFRVILG DCHVFRFNDPASVRAQRQKLQGSVSMDNMFGSPGEARPETPNSRPDAELMDWTAARRE VADIEKLGDQDLDRLFDDIVKVRTQRKRPESRYDISAELESRLMTASETQESLDPNRN PWANGQIATTMTSDSIGTPVGQAPDKFAIEESSEADTELPLLPAFLQSSPAKSSDAAL HQEHLTRQLRTMAQEVKRIRSQAAAARAMEKVSLEPADWSSGELRLVQEAVKCWKCLR SYSMAEEILTSAASLREANVIAAEMRKSVSYNFLIIDNTVGSPTSSLDSSNGIIDFED VSQAVASSDSGSAVVIKVIDKESDAIYVWDLHKFQQQLNKMRRAVALRQNPNYSVHFR IDGTFTDTLPPSYSFIGSAKVPLRLLATELTYSVTVPIMCQYTMEAIGSCRVNIKSVS PASSGITTPESTWQPMNSHLNIGNKLSFTIIIDGVKGLSSVDYASVHAQTRLSSLVGS SILSEDIFASLPIDSDKTSVAHLNLRRNVSVIITEEMIQHVKDSYATVEFFAKIRPEY LSRLERWDKNREVSPPNSIPGTPSRVNETKPAMRRCETDFVANEHHDILAHIDISELA SNGEYVTTEVVDDIFQLHQGLQRRLHIKLTHSSGKSFPWTKIQHVSTSDIRAFDKMGQ ISSVDCTRSHVEMQMTNQNIEESANGLSVLSAEIVWDTSSHGSKSLDRRTPSDTHILI KLTSLVEVETLDEPALLSIDLKLKIQGRDSRRSSILTFFQSQKVYHSTSHIFSISLIP PLARSANDLWRLDTSKKHVRGEEILKDGWKPRSLSLLEDFNKMKRTARNLADVQATKV ILDLIEDFEDTKAKSLDKKEIDILLRKCLDLWKIEMDRRINIDIKRESPEEEAMSKKL RTLLPELEPKLVPTVKLQPQVETVIKSGNLMLLRDSQNNQWLKLMFILRRPYLHLHEN VNSREIQIINLSKATVSTSPEVGTLLGRKFAFTIFTPTNSYILQAGSEKELKEWISVI KTTCDL
I206_07554	MGSRNSKIINDNSSVDSLNEKLIKESFVVKGSTKVTKPTITPPV KKQEPKKEKKQCKHVCPGIRISNNSAINVHTADNKVIIIGGTYLTYISANLQLLFTSH IDEGVIRDIYLKDKTCETYEILIILQDLYQGKEPKSPFEFYDQFYQLNNIIGLISFFQ KYKSKNYVLENLIIIIKKWLIENKISPISAFEIALKSKNSDLALKCITSFGSCQWDLI NAERYKVFPNFSVWDPSHWPRTWLDQAPYQWVKSLQNAAKAGIFNTAVKDDSDTPSAL STMTL
I206_07555	MAGDSYTPGDASKGAGIFKTRCAQCHTLEAGGPHKVGPNLHGLF GRKSGQADGYQYTSANVNKGVTWEGQTLFEYLENPKKYIPGTKMAFAGLKKAKDRRNF LSIPSPGPPPTSKETKESEGASKKPQ
I206_07556	MGGGAHYPFPKEVWTPSGGWWSRPSNWATNTAICVFGIGIATFG VWRLSASKEQRHIAPTRAIPSQRWSPQAREIGVRQE
I206_07557	MTSESRISISDPGRLAHPEGDYTETTLIYRRGWQVPDGKKEFPL DYDIPNILNCSENTAIQRYPSVHDICVTEPQLTGQEKLSTEILSANLRRFAEKYKISR ENVKSELRRLNPTNNPIQYQSMELTDTVLESIRRFLPVDATSSFGEIKHKQTLSVNQA LDKMRERLHELASEKSRLAPHLDGSRMADRWIEYLKTSIDSRNASTELEMTKEINRNT RSEGDDPSLQLDFVNALISSSEQLQKATVESQLPVLKEFQRQYGNTVTLPTSYHGFEE LWSQPQFLVSDKAYRFQHVSSGRDESQEKPAYGIWFLNAGELYGGDLGDRLSVDRCFP EVAKQSRHLKEKFTNVQMAKRNAMGNDSDDEIGLTATLNRLDADERGVLNESREVYDS LGDTVKTWKVGQTEHIENQVSQVEKIEKDGTLPKAVRELMRDWVSIVRGRSESLIDSM ESALHSIAVDMVTRLKEDPSIIEFHRKLGADLANSATVKAEELAKAKSDFGSDFVKFF GKDELIPSTSKGWAELCDNTPRDPGAYIRISKSSGVS
I206_07558	MSDEPNNSSSAPTITIGNDDEEVQVRAYLLHVKSQDSKNENKRY VPYFQPMYRAGNASTDSVPQTDRTNFVPIIHDRIPSLQKRNDLEGCPIVTEIEVKLDM LTGGENLITESIVREASTMLRGFKKSLDELAYVKEKLKKELEGVTNDRSFYDDVLSAT ITDKKEKAGLEKQLKRNEEAYADKFRSCKRAEAGLVGISTQFTKWFESNWKVFKDAEE RSARQLLENMGNQALSDFEEVLEEDEEMIGDWKEYLEHKVKVRQAKAYFKLTELERAM VGHSISITQLHGDQPRKRTKEVKKRVDQARSDLAGASDESRQIETTFLKKFHDRYRFD TKAPNSASEWYSLLSNYTYNAQQIVPNENLDALGGIQFNEADASSTTVDSSSRIYTPG ESEFGQEEQLPSEDVDKSILPQRTSIKSGGSTFCFKYGLDRPDIPKGTLVDSYNSGVK VPIESLYTSSGYGHK
I206_07559	MAAPLYVTQSGRLFHAGLILIVTVGLPARGKTHISRALERYLRW LGVKTRVYSLGDYRRKVLGGAKNVPPDYFQTKSPRSEATNALRRRIKNEIEDQIMDFY TVQGGQVVIYDANNGNVKNRKDCYHKFESKGVHVIFLESICDQEEIITANIRSVKLSS PDYAGWDADRAVADYWSRIRDQEQVYDTVTADEGPFIKVMNVGERIEVNRIEGYLQTR CCFFLMNIHTKPRTIYFARSGQSLIEHSYKADSDLSPAGWEYAERMKAAVVARRKALR EEKKANGDPIAHENPLLIWTSARRRAYHTAWPFVHAGFKVVQKPIMSEINPGVWDGLS TGEAMDLYPDEWDRFLSDPYAHRAPRAESYHDLSVRLEPVIFELERCQDDLLIIGHAS VIRCLLAYLVGLPPNEVPAVEIARGDLVEVTPASYGVISRAFHFWSGEGRGDASGENL YENFAESTSGKGSVLPDSGVNFAADAFNMEKEAKEEQNTSKQKLVRSIGKNNKSLLAR LNNQSNGNIDGTKSAPHLPPFKENLNDEVEEEELKSKLIKSPGSEINYSSGNEEVLSE GDLQDELEGKEGGSLRARASE
I206_07560	MRAIARNTRPVIRHPSAAFIPPVLTQIRLVRPRSTTLLGVRYLA TINTSLVSSPTHSDPLVGVQLSTSVKPHFKKILIANRGEIACRIIRTARKLGVKTVAV YSEADKDCIHVKMADEAFCIGPAPSTESYLNIDKILAVAKATGAQAIHPGYGFLSESS VFAERIKDSGLIFIGPPTSAIKSMGSKRESKEIMIAAGVPCVPGYHGSDQSSEALLKG AEQTGYPLLIKPTHGGGGKGMRIVRDPKSFQDELESAKREAIKSFSNDEVLLERWLEK PRHVEVQVFADTLGGCVALWERDCSVQRRHQKIIEEAPAPGLSPELKKDLAEKAVAAA KAVDYVGAGTVEFIMDAETGEYFFMEMNTRLQVEHPVTEMVTGIDLVEWQLSVAAGNP LPLTQDQIPCIGHAFEARIYAERPESNFLPDAGKLLHTKAPVNVPHRLETGFWEGDEI SSYYDPMISKLIVHGSDRSSALSLLRSALDEYQVVGPSTNIEFLKNVISHKVFNAGPV ETSFVQTYQEDLFPIKKIPNEILAQAALYLLLRNEKEFKSLNNNKLWFQLVNRRFGDN DNNNIKSFKINNKNIKIQIKEFEYIIKINDNDKKEEEEEIFINSTSELLKNGDNNEII SIINNNYNLKSTIIPVLFKNKFAEEFEKLHIFNNSFHYILSNQTSLIKIENSNSLNSS NSNLSKELISPMPATVIDIKISKGEKVKENQILIILESMKMEISIRSNKNGIIKKINV NKGKVVEEGEILVELE
I206_07561	MSFNVPAIRASFPFSASSIAGPSRLGYRLFSTTNSNQVSKRKLI AKRRKNANLELQSSKIQKPEFIDPILGKVHYKLQSTRLNQNPINEEELKSKSQSQTKS KLSKILLNYEEIAYSPPPNYFKKEEPNFYLPGISNFDKKLLFGALPHLSTELKLSTNN NNIEEQEEIEPNKKTELLMRILDLRNSNKQNFNFLNRQRVIDEFGKGKNTGSSDVQAA LLTAKILNLLSHINQNPKDISNKRSLRLLVQQRARHLKYFKRTNSVESYDELLSQLDL ERGAVEGELRFNF
I206_07562	MSFRSATRLSTRLASRTRVQIASKRLASTSSKPSSDTTWMISSA VGFGSLAAFLLYPSKADTHAAFHSSSHAQHRAEINESAPTVAVGTAIQATESGKYSPK SSDPSDIHKSADRGPENIPVKKDETKTMPSNLLFNDSLKPENQGGGKNANETARSQIA SGDSEKKSQDPATGKGESQGGGEGEPSENDVKDSIKQAEQANTPKAAMDQEAKGADNS SEGKQGGGEGEPSAQDVKNSILQAERANTPKAAMDKEVKESS
I206_07563	MSNKSDIELLSNWRTIGSRNSEKVIELSEKVLKGKVFDQEWAIR EQLAIAALDLGKNELANSAHQRLISLSLSTSTIQNTIIILLKYLDIFYSDPSGWSLLS ELYSELNLYNQSLDSLGHLLLIQPWDENQIRRSGEIAYTLGDYQLSLKHFLRSLEMKG NVEENKNSNKTRTWWGIKLSVSRLLESNSSSNSSSNLETIIPFEMRTNEKQLKLLDEL STENLLSSTSSGKGLNLDITRRLLSNSIQIKEIIR
I206_07564	MSLFGQVKRHTPSAKPRRSPLPITSWDTDKKLDSIHHNHTQIAG YGKFFTIIYLPFPSFSSSSSSSSSISSSSNLNLNGNGLSSPKSHSRHSSFSQNHSHSH SHSHSQNKIKYLKIYLPIPPKLFSRLPKLNSPIRIIFSFLILISLILFLLGFKKTRRG GSTWSPPFVDPDTTVITPEEASLIWEWEILSGHYPSTHHPPDHIPLPPQIHNPIVPSS LLPSPSTPTPLVAYQNRNSPSQPKINLIGQGPERNYLNAWDTRENQPGFAPRPAPGTI LDLDLVLEKCDFGANKYVRDCLEFLRIGGGLDSNGRVRRGNYLSQYKQMYHESSTPEK RNDWTSRSTSLTADPTRSALTLQNPYPVSASFDSRSACDELHPRIFHMFWAGPFTDKP YMAVMSFLFTQNLGLDKPLGSSSDVVKGTCRPQFWVWINPGPAAAVPNPSAKREMYES LATNPWSAPFLDERFREVVKFKMWNTTEQLDGIDELKDHWRDMQIFNSGGNVYKQQQQ QRAQPTTTAQEEEVPATTAAKKKKDSVFEKVGSSSESDYDRLSVILSDMARFVLTYRF GGIYLDADTLFLRDWEELWNYRGQFAYRWSWHQKYNTAVLKLHKKSALATFLFKTALE NGLDFHPMTVSRYLKDAGLDKLLFRVPDALFDPAWLNMERYQRERPPFPYFPEFSVFF SNDKFDTAGPQPLGFDGFFRGAFSYHFHNFWWLPFDPTRNFPDLGQRFIKGEKALKEA AKLNSLKGQNENIENNDNIDDDDEGDGEIGKTKIEGESLVTTREDMDDEIDLSWSTVL KRTFEGYLRGERANAYGEWLEWGE
I206_07565	MAQPPYMSSRSPPPLQHPKPTHPAYPPPEPPHTPTGSSTTSSPY SQAQRISQDGYIRYSSPPVGESINQNENSNTSSFNAYSAPQQNSNNVNNRNGYNNIGT PVVGVGHAPGPQGYSNMNNNAFGGTWPGMNDATAQMGVQFGKSAVAAGQDYVEKNFTR YLPLHLIKVSFSVTNSYVLNKLRLVLFPWRHKTWSRQIKRSTVDGAMEGWQAPRDDLN APDLYIPTMALVTYTLLSALASGLQSRFHPEVLGLSLSKSLAVVTLEFCAIKLGCYLL DVKGTGASGVELIGYGGYKFVGIIAVIIINMLGFGRIIWWSSFIYTFGANAFFLLRSL KYVLLPDASVSTVTTTLSHSQRSRRVQFLFFMAMSQVIWMGWLSRV
I206_07566	MSIPDDVIKQPTEVNTENMEVDIPIHDIPANKEVEQVSIISENS TKPPPFKRRKGDDEPKEPLTEVILRRLTKMKEGDTVMLKLPSDAIKVVTIEKNGLIQL GKYGSFPSSQLIDLHYDITYEIIGGSFTSSSSSSSSTSRNSTPLPFLNEEINNKKDKK GKGKSKENDSKSNPGWKNILRPLKRQNVVEAVIDDITETNEHIHDLPEIEKQTLSHEE IAELKLNGMSVEEIIKKQEEAHEKFKLKTEFSKEKWRRRKEKKFSTTVHPLSPSIPNI LSHYSERSPTSILHLRMDTLSQILNMSNIRPGGRYLVVDDTSGLVTSAILERIGAKGK ILLFTNSDSPPAWGVLQTMNISERELECVKWLNWMEAQEDYERPPPPPEDDNAKPAKV AQRLRKHNAQVAELNATRDELHLGGWDGLIIASTLSPISILSFLTQYLIGSAQLVVYS PYQQILVELLNWSKKDPNYLNDTLSESWERTYQVLPGRTHPMMNTSSTGGYLWSAIRV HPSKYQPDSHFRSKRRKTGNGKSSNKVIEDDNLQKDNEEIQIQVNKKNDIVEVEAEIQ NTEGEVIYNLFQ
I206_07567	MISRESTIQANGTYFSKRLLPHGRARFYLDSHHREKNLSEDIWV SNLNLPMVIDKIYRTDRLTPVERNAVPSLQRYGVELSPGTITWDIPRTELQSVETFVN YLELPLNVPELYPDAPKHVLSRLIHEADRAARNSFYELFAVDSDIDCSTTSRPYDYAG YPTRAYNCRIATPSNSSLSRGRGRYPRHD
I206_07568	MVQSAEKQTYSPVVTRCLQYPVTLSDWMDDERMSHCSILMYMSE RLDRPPSVLAKAAIIFYISVIILNPSNQSLIFVNILGLLPAAYNTLNHLWESDTRPNK PGLKKKENEMRIERTRRWLDYWIIFGTSCMIESSLGEESLLSLIPFWYVTKSIWIIWF LITLLNNDRGKAIRPEPLKLRPRSILASRDPSTPDTKSPEVTPSPSTAEYQNELDYTS GSKDSLESFSSKSSSLVSSEPISPDRLDSIRQELRDKASPALSVTPVNYREGRIVTPF TGISSAHDATDESTNSSPSSDGSHHHDTEQDDIGGEDEDGQGDRSDQSSSSDEPDESD VVILPPDTPLDELALRGKKTIELSSPTNSDSSESTLDEDRSEGAINQMSVNEEKVAAS EHVESEEGATAFRPSPALRMSDMDENYRAPLSPPKNPELDVTLDRDDIAGADNQLGND IVISTKEAVKPIEIGKVDVEPEVVKLSIEDLLAMDQEGGQQIAQNEHRQIGENGKLTA PLKISKPSNKAE
I206_07569	MSSRPEEKSNASNRSRSKSGSRSEDDQVESFLKSHAAFIRPLII SLASTTTSSPTIISIIIFTIFLIAHLYLPLFILPHLSAPITLLIPIQNTILSITSEKN RKKSDSAQWLLYWIVYCLLGWARGCIQIWYPNLSCSFELGRSATLVVVGGPWFGRAGL KPDQAEDRSNRERQSVRGKVKESDQRKKKSEKDSKK
I206_07570	MPQQREESVDTALIFEGSSSVGSTPTNSFNPRYNVSPVHDFQPR TIPPINLNLLQLPFTPIQSNRPLSVSPEALHDVPTNQQMHSQRSELKRNNPDTNLFES DDESILDLDTEPEYAEGNIQTVFPENPSMKKTLAVKDKKASSGRQQLLAKGKVGRVTK VLRPLNNDNKASGKKASKSTIPIDPVLLDPLPSSPILSGNDSDNDDDDYRPSPIPSAW IAPKKLKLNRTRGKPYHAGKQEQNVKAQSKYRNKVKLRADMMRDCLKRIFAEPAKAKA LRKIQADFLSAIKDVDSTWADENFPEKATNRKLAK
I206_07571	MNTSNFASGSTPTSNTVSLPSDSTTYNTASLTTSTHATSRSYPN THPNFPSHLGLPHFSQRNSSVSSLMDAVMGPNNTQSPQQPSPSHYIPTPTALKPSNNP LTGRNPISGLGHGSMSGPGSNRVGGEGLGDWDILGDVTGRINEGLSGKPQDEEAVKAL QEKVDKRKSQLPHLENELAALEAQLAQIQATEERLKRAAGSVLTTGQK
I206_07572	MPTTGPVTPAEVAEAAQRLGFTIPYGHEEEYLALLAKTDAQCQL ILDTPDYKPSPRLDEYPRKDIHLPEADANESRGWAWRCDIGGDKNGLLGGKTVVFKDT VCVAGVPLLFGTDAFDGYVPDVDATVVSRVLQNGGRIIGKAACENFSHGATSSSSPFG PVENPYAKGFTTGGSSSGCGTLVGSGQADMAIGGDQGGSIRIPATFCGIVGLKPTFGL VPYTGVLTSDAGIDHVGPMCPTVLDCATLLEAVAGYDGLDDRQLGAPEYDKIPRYRSL LLEARSQPLQGKRIGILKEAIEGKLVASSVRSLVELAADRFKNLGIQVDEVSIPMFTL TPALSHIINKLASGGTRQGRQVGRRGLYLNDYWDHLLPWDQDKYDKAKYFVTGTAMSC EYAWLKYPTAYGRAMNLSRKLKDDFDELFKQYDAIIMPTCPQPPRRHIPAHAGPLGWA DHAPGTASITAAFNLTGHPALTIPVGFAPPMGDDIQTPDDLAIRLPVGMMIVGKMFDE SKIMVLADALERSAGWKDIVASE
I206_07573	MISNDRYTEDWLEREFVGYGFDQPDPQWPGDAKVCVNFVVQYYM GAELNILEGDPTFCAEYVEIPAKAPPTGIRNESNEMMYEYGAREGVPRLLEMFNKWRY LCPYLTAVTRALEKAPYWVQPILDSGAEISCGGHRYRDNFYVDPEEEDRLIGKSIDLL QGLTGDKTLPKGWLVERRSNLSTKLYSLTHAERELPLLYSSDSCADDIPYWIPSPTKE EGKGLLMIPFSYDCSDLRFKMKGSGFASPKDYFRHLKDTFDCLYEEGQAGEGKMMTVL IHPHIIGRPNRAFWLEEFIKYIKSKPDAWIARREDIARHWTETFPYDSKTAFGQTKVA ECGQISIPN
I206_07574	MTADYYLPPRDYVGYGLESRPGVWPEGKKIAVSFILNYEEGAES TPWNGDDGSCPTLHELHYDRKATKGGKRDGLVEDMFEYGIRQGLPRLIKLFRQYGYKW TTWTCARAFEVTGPYAKMLVDDGHEIACHGNRWQMHGNNLEETKAHIHKSFDRLQAAT GLKDVPTGWFVGSGHQSIKLARATVHRERNVPLLYCSDAYSGDEPYWVPDPYAAVHGG KDEGMLMIPYSLCTNDHLFFVAQGAGVSAPDDWLEMLKAEFDMLYAEGERGAAKMMTI AMHNRFVCKPGRIMALKRFMAYISTKPDVWVCTRREIASFWREKYPYEKEGPTYKLHS EHLA
I206_07575	MGQSSTPSSPVPSASNNKRSRPNNSTRLRCINACNRCKMKKLKC FRLDETSSDCAGCTKASEPCIFEDTVLRPGYHRYIIALENRVAKLEKALAEIQPDHPD LGDHYNAVPTTSQSAKTQSNPMHTESDHVEGPSQNLKLDTSHTALSGLLQSSTHTPTF TSSYAINLSSVMQPSSSSAFPANPNPVVPSVDIADKLLDTVYFHLQCRYPFLDWDQIR HWHSARHLYLHIDSQTHYKDQVAGFFLWMIYAIGVQLDPMEGLDSALSYFQRAARHLN TVTSPHDLTTAQVLLLITFYAFRSATGPSLWLYGGLAMRLCVELDLYRSNSHAVSSVE DQWKKRIFWSAYTFDRLISHASSRPVSISDDAIDVEMPLDICTSIKDSRIIAAAASSQ AIQGSLTNMTSAIMSIKMYRIRSRIHAAMPALKNPAMARDLTIGFLTELEEWRRKIPA PFPTNDIPMQAEDRLRWRYFLCVLLVLRPSILSASPGDPTLGLCATAAAEACELDRTV HKGPSTRQTTISVCHTLLCGTTLLYCLYICPTVINSRVSARAIRACSGTLAVYSQLFD QARPFYDTFEYMADEILSGASEAESQRRVVQVIAAMVGGDFTPLASLYDTLKAKKPDG PILDPDLTTSNTATPAPYSDNKPTSPPQEMPTGLTPFLTGIAAPEQSQSNAAFSNTDD ATQMSVNNLDIDWLNLDHALWEYMT
I206_07576	MPLKKILIVYFGIDQTAVTTAAPKIGTDINGSDTISWLGTSYLV ANCAFQLVYGRLSDIFGRKTMLQAALSFLVIGNILCSFAKTPVQLYAFRAISGIGGGG INNIAMVIVSDIVPLAERGKYQGLISAATSTGNAIGPFVGGGLAGANQWRWLFRVVAI SGAVTITLIHFIIPLKPVTGSVRRKLLQVDYAGVLLSSSSIIFLLVPISGGGASFDWS GGVVIGLLTAGAICGILFVLVEGRLAKLPILPLRLFLLRTPCLISVQSFFIGMIYFGN LFYVPIFFQYVKGYTPLVSGAFVLAYTIPQAFWGIGAGFYITKTNHYKRIIIAGAAIW TLALGLQILWKPSTGLSSILGFLEINAIGVGFSLQTTLVAALATSPPKDRAVVTASRN FFRTMGGAFGLASANAIYQAIVATEFSSITTLTASQKASLLKSSTSQEYSLPAEGLDD ARAAYCRGLQLVFAAFTAFGAMSLLLSFFIKEVTFAKDAPAPVKASSGLEEESSSTAS PTAHSDVLDSATSTAIQDIEKGEAATPTVNIVKSVQTSGV
I206_07577	MLSFAKLRRATQSKEEFIRFIEAPPPEGQNAEDINKENIRWTNV DLAPSPPQDRKWNQWTFLAFWVAHAAGAGSWTAGSALINVGLAPRDAYIAIATSHILI TILIVLNGRGPARYHIGFPVFARTSYGMWGSYMAIFMRAIVCIIWNGTNSYYGARCVT VALTAIFPSYAKIPALPKSASISSPDLLSFFIFMIVFVAISFVHSRDLHWFYIGKSIF VFAAMHGILGWYVVKSHGVTFSYIAVDKPVSTTAHIWLVLRAFNTGLGTASSLTVNQG DMARYANKPGSALWTTLIGYPIASALPCLYGILVAAAARKIHGTAFWNLWDVLNYMLE QYPDNHGARFGVFLCSIAIALSYLAVNLATNSLPFGSDITAMFPRWMTIRRGQVICSI LGIAIVPWKLLNNAAAFLTFLSGYGYWLSPIAAIMFADYYLVKKGNISIKDVYDGSPE SRYWFTRGVNLRAAVVTILSLLPCLPSLAWSIAPKKVHISPGAQNLFYISFVMTWALA AAMYYASYLIFPEKNIEIDEKTLRFEEMADELDQQEMYGQSSWLERSIDEEQARDESL DDKNLPVDGEKSAPQPYVVLAK
I206_07578	MVVQSLITLALVASMGMALPQWGNWRAPATSSSSTSLVSSLPTA ASLSTSVSQAGPASAVLPASTASSASVLPAEGSNSAEGAESHMITINNNCGGGTPMIA YAANRAGQAVQGSVTVNGMVDSGIAWMSGTKDNCGFDGTGCGFMEFTLANSIMNSGDY SLLTADLGNHDLRNVKRRIAKGKSGAQIDLSLENSSRWAELRAKQRAGQIADGNVEEE GFNDQAFMDLTDLQNEDFIYSL
I206_07579	MPSIALTPLSSLRVTQHHIPAYQNFPNTSLRPYPLIIYHSAFPS SISAASIESHLSKIGIVDPAWRFTMYTQHHYHSTVDEVLVVYSGSAKLCFGGSHDNPD RVEVDATKGDVMIVPAGVGHALLDDKGGFGIVGSYPKGSKNWDMCTGKQKERVNQWVR IKQLNWLKGDPIYGNEGPVVDIGLGKE
I206_07580	MRLTAPPRSTSFFSLIALPATLGLLVIAYLIALSKRPNIPKSAQ IEDPHQWGFPKWYGKSATPYDYSSATLGSDEKICEHPKTVLLFIDLPYDSPRIPSALN VLSTLQSSNRFNVSVISTFSPDWNSDLSIRQNLEVAGCESKDWIWRIGDYTESSDLGC DKALWIEEEMNKPGKANVSLLSQPHHLLRQDTTHGSLQTTNGDQWELGLLTAVLPAVR LIYDSSPHVFYPSRRVTSRRSVLYLPSRSTSPKEYPRLPWGRTWSIYSPSRRSPPQIK QGEDPFSDKSAWKKFWLKEERRLASAIRDAGICLFEGWPRGQLDDRIVKAMLSGCIVA TVPPQTHHDAFLPLFIPLARPASVALEPKLPVQDLTHVLSTYSNSQLQHLALKAFITA RNRLVPASRLKSVENAVTIWEGGGRGYDFKDGFRWDCDSGHGGWCN
I206_07581	MSDGYDFSPSYHASLVSYSPGTTFLATVYQNRVIIRSTSTLQIV RTWSCNQPSSIASTSRDIQEQVKIDSVEWSEDGLYILAFSSSIKTAWVFALATNGDGD SGEIAKIGGEGVEGLIKVEWGRSGKTILAWSDFNLKLSIFDLSSGITRIIQNPKSHLQ CHTYSSDNRYMAIVEKHLGKEFIGIYDVLDNHHLVRHFQLSTSDVQGMSWSPDGKYLA VWDSALSYSIYIYSPIGPLLSHFTHTSLTFSPESLSEDPGLGIRTVTWAPGGRWLAIG GWDGKVRILESTGWRCIALLNNPSRITEKDTVWKEPQDWVKDTRGHGIVQFDRMQCPA AIPNSRPDLSKVNPNMGISQISFDKDGALFAVCSESTPNALHIYTFLKSPTAEGPEIS HLTTLLFANLVKTVMWCPGTKGRKLAVTAKSQALYLWDEEGNWEEENTKETGQLSVGI EKGSNFSAVDLQWAPDGSSLVLQDKSQFCLLYDNDSDTNQVTLDRSGMWTPAGEGLSH VMEEEEYEDGWSGYGLNLRQGFSSGIPA
I206_07582	MASTTRANRFTSTPSGSTNSINNNSTVAPTMGSNNSSVMPSFDS RQWEDELGKVDISKHDLNSLVFDYLLIEGYSEAAVEFARETGLPADVDHEKVQERMEI RQAVEDGRVEEAVRRVNELDPEILDTNPPLLFHLHLLRLIELIRSDQIDIALQFATNE LAPRGAQNPEFLIELERTMALLAFPDLARFADDSLTTETTTVKEENKLIESGSIIPDL KTIELFQEPVFKPIISLMKRSHRIKISKELNSAILENQGHGKETKLNGLIKLMAFGEK NLIDHGLGLPVNERFKGRQWADKVLSSVSGDTEEAGQ
I206_07583	MKLTVIAPDTVYEHEVSSDMEIQDVQALIEAESGLPHTALILST DSGVPLTDVKRTLQSYGLIGEESTIFLTPRSQPAASSSSSSSGPSSQGINHLDGSDAD IERMRLQALGNPSLMEQLKRNDPEMRSAIQGGTESFKQALLLAQQRQRTAATEKQRQI ELLNADPYDIEAQKKIEEAIRMEAVMENMSHAMEYSPESFGNVTMLYIDVEVNGHPVK AFVDSGAQTTIISPECAEACGIMRLLDTRFAGMAEGVGTARILGRVHSAQIKLGGLHL PCSFSVLEGKAVDLLFGLDMLKRHQCCIDLSTNVLRISNTEVPFLAEHELPEKARRRG EAELADEMGEAASQGIKAGVANPTIPKKDFPGSGQSLGGASAGPSSSASTRVPPGGQS LGARSSGGSNVREEDIQILIGLGAPRDHAIQLLQATDGNVDVAASMLFG
I206_07584	MSIPKEHKVWKLKTRPSNKNTPNEFEQTTRSTATIDDLKEGQVL IKNSSFGNDPAQRIWMDGSIDPKRLYIEPILVGQPIRASTMGQVVLSKSKKWNEGDKV YGNGDWAEYSVLEDTGPLTSKEIHIPGQSEYITNSILGLTGQTAWVGAFKEMDLRPEH VLVVSGAAGAVGSTLIQIAKKVIGVKKVIGIAGGKEKCDWVKSIGADACVDYKDAEYP KHLAGLLPDYADRFFDNVGGEILDTMLTLMKKHSFVSICGSIAGYNSEGMTLKHWGEL IYNRFTVKGMLIFDHADSLDQAVSDLTEWVKNGTISNTESETVIEAKFEDIPEVYNKL FSGQNRGKLITKLI
I206_07585	MSNVPVYDDDKILPVTEITVEEGAGYVKDDVRVDVHTNLYDGVQ RNMEQRHMQMIALAGTLGTGLFLGSGKTIAHGGPVGSLLAFILTGSIAYSMMVCVGEM AVYAPISGGYIHYIERWLNPSVGFAVGWQVCFQYCLFLPSEIIAASILISYWDTIIPI MFDIRDISADKLAILQNFFGVRWFGESEFFFAFIKIALVVGLIIAGLVVDLGGGPNHD RLGFRYWKDPGAFAEYHFSGNLGKFLGFFTNLLQAAGSFSGIESIAVAAAEVKNPRVA LTKAIKRLFWRITIFYVLLIFIVGLLVPFNDSSLLQSTGTAASSPFVIAFKRAGIKVL PSIINAAVLTSAFSAASSLMYSVSRMLYGLSLRGYAPRFFSKTTKKGLPIFSLCFVTI FYALSFMTLSKGASTVLNWLSNLNALIGFITWGTIAITYLRFKKGLEAQGIDRKGLHY YSRFQPWPAYWVIFWSGIIIIFNGWEVFTKGSWDGSNFVIAYITIPVFSILILGYWLV KRPKHLKIDELDMYSNIPSDEEVTYEEPPPKNWGVKVVNFLFT
I206_07586	MKTADTQSTLSPRLSQSSKNARSSSSKSLQKVPSHITLPFKGSF ANLTKLIGLSSVSPKEGDEEEDEEEITDGDDIEEGEVDEESLMWDAQAALIAHHPDLA IKLYTQAALPPFCSPAACLALGNLLIRGSTLTETESPNQLSSDMKGKQRATSTSSIET QTDTSGYSTFGTISSKIFSKFFGNDSTSYSISTSGSHVPVPIRRPTVDLVASGWQIPK EGKRAIRDPHSMGVAGAWFVLGLSWLVETEIAREEEKSKRRPARAFKVIPVDVLNPEV SSNGKDETACEDEILSFDPKTKVKRAIPQTKVGSLIGNTAHHNDLEESDILLSDSVDT ICPPKSNPSSSSGRTLESPMIRTPGDALTDDPFNAEIQDTAKDLEVLQTMYDLLQPLL SLYRHGHIQSQDPVALPPITLQTLPSILRPRTETEKRRNVWRLGQVVASKISVMKLLK QDEKEIHKDSKEIERLKGGVDIITNYILAMTASEVEAEMFFRRVIANRPTGFDAADDL IRQAAKRLDIITSTPREEDNLKHFPFPTVSNSSPATHLSPPRQPFIPLSPPKPSSRRS SSTRHGRQASTASVASISASQILPTTLKSCASAASLCSFFDGTPESATIQLSDTHCAM STLKRFHKQSEADLTKLQIHDLGERAEPIYPSLNGWNLSPPVSESTTSPFGVSHASQR TIIASRESGPRLITGSNPNKHIGISPTNTLRAVSSSPQLHTLEFSSNTEKPAFGSTTD LQARRKLPFEPTSDQAINPIDPELARAELSSALTKHVMCGVCGMQGVNFPECRKCGLT FCSRECRVGENKAGNGKKHICGLWESKKVLSAGLSNTDLHIPLVPDNGGVLPVMAATV Y
I206_07587	MPTSPKLQTKGKIKDHMASNEADDGSLRDDPSASDDDSVIHDRA NMRDEDEAGMEIAQEREALLARNKGSFQTMSMNDGRKRKGWIVFLGVPLPKPLLYLLA VPPILLILSLISDLTISQVPKHLTTLSNGTHEFYPTVLLISLDGFRPEYLSSHKDLLP NLLSISTAHNGIRAESMQPVFPSLTFPNHWSLMTGLHPESHGIVANNFYDPLFTTDQN TRLGAGDKATQGAQFVYIKEDKSWDSNWWWGEPIWSLVERMKRKSAVIMWPGPPMTST GISPSYFVPYRKLAPSEKVKTIFSYLDHPISERPEFIASYFPEIDQAGHKGGPNSQGV NDTLKLCDDMLEQLIKGLHERNLQDILNVIIVSDHGMAETSNDRIIYLDDILGEEGVD AIEHKDGWPSVGLRFKEGTNSGYYLEILLEAAEQSNGTFAVYTPETMPERWHFSHGHR IAPIYVVPTIGWAITDHHEHEVLFQGDYQPKGNHGYDNLFPEMQAIFFAQGPFVNKLK EKGALDIYESHDPPILKNFQNLQIFSLVTKLLGLSDVEPLHNGTIGFWNKYLGEE
I206_07588	MIRRNDLLPILLTFILLAIPILASSGDRNPTFQHCLKGCQLTYC EPHQPPIPKYLRMFGWTCKENCAYECGHTFTDNIRPGSKNHQFYGKWAFYRLGPFQEP FSILMSIGNFYVNFKGLEQLRRRVRKENGLRNWLVALAFVQMNTWIWSSVFHARDTPN TERMDYFSATLTISFTLLYSIIRIFHLQTPISSSKYLFPVSAIIGFIVLGHFTYLLSF PKGQFPYGYHTKFNLLLAAIHNLLWISWSLSFQFPFPKMTFLGKTYTYPKPYPPNDPK TTEPKPSEYNTPLILVLLTTLAMSFELFDFAPVGRVIDAHSLWHFFTIPLTIAWWHFM VTDAIELEGSLLSTRGGHGAYTAVSDDKSEGNEGGRGESDPPVPRTPNFAQLAAGPRL GNLYSPGKSPKLEKPE
I206_07589	MTGHFKIPSLPIASVASPALSRSYIDLDAELTRSAVHSAVQNRF DCTEDAEMERVYEPVWDLKEKVQREISEGAKIRSQLLANLSRYPHSKINLTIRCRQFD QLSKTRDQLTIQAVPVFSTQYTYRAMDSEDRVISEIKKPNTVEADKSYDGSLKYRNYM AQAQDSDGNVQSTASVLRQCLKKHNQEKKTAVAK
I206_07590	MSPLTAWTPGPGPLYTIITSAWEALGVPKVPIQYKTWVPGSSPL STQKEVVAAIGTYLLVIFGGRELMKKRQPFKLTGPFRFHNVYLTLGSGLLLALMLEEI VPMFLKHGFFWSICNSTAFTPRLVTYYMINYYIKYVELIDTVFLVLKKKPLAFLHVFH HAATAILCFTQLEGETSVQWVVITLNLLVHVIMYYYYYATAGGAKIWWKKYLTSMQIT QFIIDLFIVYFASTFLFSYPYNHFATKYTSIPAVGDCAGSEGAALFGCGLLTSYLFLF IAFYRATYKKGAAAKAKKANGTADVRGSSKSKVIVQNKRGTTVVTEVQ
I206_07591	MEPADEPADDAIHIKIFSPRKTGSVNTTHITFPRATHSIMEETI EERLRESIGSYLQESTERAVEAREKDYSTANSPCVDDIQQDVTSSHYPPAGFALTSPP EVADLHSGLTNSKPINSGNDSGTILDSSW
I206_07592	MLSFTLYTLVQFLSFFSVVTALGATDTITWGGDVSRTGYQNNHN MDPAVVGSSQFGQIFKTQLPGNYRGVAEQTFASPLVYTTNSDGIQYVYLATQQNNIYK LNAKTGVIVASRNLHIPFLTSDLDGCVDINPHIGSTATGVIDPDTETWYLTTKTYIDQ NDNGPKGRPNGRYYIHAINVNDLSERPNFPVNLEGTVARNNPVRSFNGGIHHQRPALL QQGQYIYAGFASHCVQYNFTGWIMGWDKDSGSIVERYATEGAGVPNTTPGGGVWMSGG GLASDGKGSMFFATGNGYASQLNGIPVNGRQVPTSLEEAAVHMTINSDGTLTPVDFFM PWEKVQLDGADKDLGTSPLELLPSQFSCGSVKRIGVVTGKSGKTYWIDLDNMGGYQNG ANKLDAVLQVYQNENSVYAGAGVYPLEGGYIYINVIQYPSHVFKFSCDNGVPSFTKVA DTPEKNAYILGSGHGTVTSLNDQVGTGLVWNSDVENANLRIYNAVPVNGQLTLINSFV IPGTTKFNRPVFGDARVYMTTTLGYFYGYGSPVNLPLNCTNPVDFGTVNINGTSGYQT ISCTANVATTVTGMSISGNKNFVLGNGTFPANVAAGQTFSFQAAFTPGQVGPLSSDAL INTTQAINGYSTNTPVRLKGTSQSASAILAVAPNTVSFDGVITGQETGGVSQSLILLN QGNANLTITAIQCSPTSETGPFTTVQVANSTAVCGAFTFTRIPSAIAGNSQATVNIAF NPTVSGNYAQFFNIVSTGGTKVVDVLGVSGDYPTALLEFQNPNGTAWIKYDNNTAFSF GDVTEGTTRNLQLRLTNAGTNSSARLSVTVSKPPFGVGGLIGAVNQVDLAEGTTLGPG ESATATLFCAAPKSQIDVDAYSGTAQWTMNLGDPLFGKQHIQFTCNAVSEQSLPQFAN GTSKYSYQGCFKEWNPDRQLKINIYQSDDNTNLKCTKACADAGYAYAGTEYLRECWCG PSPPVLQVDDSNCNYACGGDINQICGGNGNNTNPGSYISLFKDRTAVPYVAPAPPTTN NGTNGFTSLGCYTEATVGRALSVAKGLGNYNSVANCTAACKGYAYAGVEYGSECYCGN TIAAGSVPTTLSSCNMLCAGNASEYCGAGSKLNMYAIQVSATSTSASVSASASASGSV SATSSSASATPTGPVQPATVGSYSFVDCHSEATTGRALASKTLASDSMTLELCASTCA GYTYFGVEYARECYCGNTFQAGSATVTDGRCSMVCMGNSLEYCGGSNGLSVYTFNNTV ISSSAPVSSSGASSVAISSSASSSVAVSSSTSTSSSASASATPTGPVQPAIVSNNWKF VDCHTEATQGRALADKTFASDNMTIEACASTCAGYTYFGVEYARECYCGNTFQTGSTT TLDGRCNMVCMGNKLQYCGGSNGLSVYTFFATTSASASASVSSSAASSSAASSSASSS MASSSSAASSSASSSALISSSAVSSITSSSASSSTSASSSVTSSSAPASSSASSSSST VLSSVSSSAAASSSSSKAPVSSSVSSSISSASASSSASSVAISSSASSAAASSSIAPS STSSSASIVPSASASATPWQYLGCANETNPRALSLASTTSDSMTIQSCQAYCLSKNLP LAGLEYGRECYCGTALQSYSTLGFTGCNMPCAGSSSDICGGSSRLSVFNYTSYVPPQL ISTVGTYKLQGCYSEATSGRALPSYSFTNSTGMTAEWCVSGCQAKNYSYAGIEYGSEC WCANTLSSTSTKQADSACNMLCPGNQREYCGAGSRLALYKST
I206_07593	MFTKTLFSLVGLLAVANAAATPVKRAETHSVNLVNNCGSGNAVF LYQGDSTPQGSGTFNGPVNGGIAWVDGFSGANCQSSGVNCGVVEFTLTNNQGGGEQNA ADYSLLDGPGLGNHQYTYKMDFAFTGSCRKVPGGPCTGNSAEQCPGAYLGSSTEGGAP TQCLADNTGITITFC
I206_07594	MPAQPKQNASYSVDRLLPYVFLCTIGPSVVAASNLFVVKYVMCR YYWAKQGADNIPAPGDERCANRDVQALAGSVLAALATLDGIFSFISSPYVQRLSDKYG RRPLLITGPLIATISTGSILLAYYIKNTTLAWILLITTGIFVSASTKAVFLPSLCVAD VATDEARTRFYSRMEATALLGPGTAYLISAVVSRYVSNIAVPYFIALGAQVGASLWSF FFIAETRQSPTENEAESDEEAEDDESEHGGGIVEGITESLEAPIRPLKLIWPHRHKGK LHWELFVVALSLFMTTSGTVFIATASLLFLSDKFNFAPENNAWMLAFLTFSRFAYLIV LFPFILRFGRAGYNRYLLWKEKRKQADGERQPLVRRDTSARQDDANYFDVILAFFSVI IDAVALAFVSLSLSYQQVLAAFAIMAFGAGDNPTFKAVFVSYAPPEHSSEALAALDMV FSAARLASPPLLGSLYAAFVEVGKPEMLFLTAGGLCGLGALLIAPLIFAKRKFKPTE
I206_07595	MSVHDATYNALKEMGIDPIIAREAASRFHSVEPAVNWCFGDGAN WTPQPTQNEVPPTYDSWRPQRAHGTSVEHREVVDLDAPSSIEPSPSPNPPPPAFASNN PFRQNPPPPPPRRNIAPAPSLTPTLVNDDDEDENLRRAIALSQGEVNEEDNRQERERS VRATGPPPPSPSGNAVDSDELNDDHETLNTLFGPSNKDDVDGKLSLVPASQNNASSMS KEDEDMDRAIQESLMTASFHSASAIKDNNKPQPTERLQGAPLVLYSESGHSTYAANFF QAMYAVPQLREAVAGALASTVLTNDRPFVEAKIVSQIYDTCQTSTSSFIEVDEQLREF RDGREPNQLPPNLPGVELHNLFVHELTNIILSQVGNSPTPEDWQALSRVDPEQLFKTL VDREPPQRSSFVTFMRSNTISPDIYSHLAQVLWATDSSSQSLVDLGDILTVMLEWSAG AKREIWKLEQRVVLDRFMKTNASYAAQKRAHQSVMAGNARRTQEKIDRLTTHENNDYQ KSISALIEHLGNTPQTEDNLQNESRIEMRDKLEQILKVLKQQVTDLKVELEENSHAAS SSLFETDDPAYNQHVYILRGILFHDGALVGGDHLYSYIRGEDGRWWKVQEYDIESIEW EAIVSDKTGLWMDGGAYMLVYSREGERPVPPTPETTQFSQIELSPTKTIRNPEQIPQD DLIDINMNSSANSTRPNTPDTLNDVEMKSSITLADDSLDKEEESKINNSDNLVEL
I206_07596	MAIQPILPNEIDKQSKKLFNSNFKPSRRNKEPKPPSLSWSKLPP PAAEIDERLNFRVRRFKLWKEVNLELRRIVIDPNKRIHLRRYQSYAIPAVLSDQYRKL LPIPLPRLTKSGIATPIPEDEWSWSTPIRYQIQQPQYDSQNQLKSDEYQPVNEEQLTD EVKSLNRLVGQGGLLTPPLSAHEKDERALPCHRSNIRLSNPKINLPLERSKTGQYHDE SNQSEREVAKFEKNSLTHILNSKITKESTSSPQNQYWLPPLPPSNQLPWYTKALSKKD RKVNYQKMLESKWILDQEYERRFRIGMKKLMELIMAWQNENTVPHNQWSKGDKVKWKD GVDINQIENIISFYRAIRKSSSNIFDYLDTNEIQQVPFRDRVHGWVKDEGVRKKRQKE KKEAKRKAEEEVKDNFSLQAIPPAPSQDQSQIDRSKQNIQEQSSNTLSSLTIPYSQTI RTDSHPSSSVSRERDKRSISQSKRAMEPFDISSLNHNTKDSNMENRRGNYDNTQNREI VESSHENQTSLSTLLTRLDALQRQKEELTLRLAQKDMTAKQAETFTDESANDYLDIPI RNNSFSTSSDQGKSSNAIEQIRFGSLNIDDDTALPISSDYPNSNFQLPSEQQIHISLP SSAFSRKRLNPDDRIEQSYSSSFNGDSVKHHDVDNFDDYPSIDGDTIDILSTTEEAGM QKDTQFSTLGTDSLND
I206_07597	MPSSKGKPTDPKLREEVKEKVRNETNKDGSGKGQWSAWKAAKLS KEYEAEGGSYENEAGSKNEPEKGAPKPKSKGKKEKESKEESKEEEEKPKSSSEKPKSK GNSKKDEEKVEKPKSKGTSEKPKSKGKTEDKKEKEEEEANKEKPKSKTSEKPKSKGKI EDEKPKSKGKTEDEKPKSKDKESEKPKSKGKTTTSEKPASTKGKRKSDVGAQDGEGED GKKSKKTKA
I206_07598	MNPGDIYTPPHGQQRQQQGYTSPTGQAFPSYPHQQQQQSVPPYG AGSHHYPSDPVPLQHQNQSLMTNPYDTPPPLNQAHSTPQIYHAPAPAPGYGDPFNPPS APVQHQPQGWAEPQGNRHHISQPLSPSHQPQQIGGPRYSIPVQGNQNPYASPTPAPLS PPRTRFDSNPSYYSAHQSSYGGLSDHRLSSPPPLLPHSSSSSSSMMGVGLGQRPSYPP HGYDNHVAGNQDDDINDSAPLLSHAAPDPRFGIPQSTSAMSMNGPNGRGYQLSDTGPA LGNGGDVGMLPGRWEDNEQQRNGYAAPPNQDEDEVNVHYGPLPPRVVRRNRTQKRVQL FRGHLVLDIDVPTMLLDQCPIRDDNEFTKMRYTAVTCDPNDFVEDKYTLRQKLYDPPR QVELFIVITMYNEDDVLFCRTMRGIMQNIAHLCTRSKSKTWGKEGWKKVVVCIVADGR LKINPRTRSVLAALGVYQEGVGKNVVNGKPVTAHLYEYTTQLSITSNGKIGPGGSNTV PIQMIFCMKEKNQKKINSHRWFFNAFGACLKPNVCVLLDVGTQPGPDSIYHLWKAFDI NSSVGGACGEIVALKGMFWKNLLNPLVAAQNFEYKMSNILDKPLESVFGYITVLPGAF SAYRYIALLNDDHGNGPLKQYFVGETMHGAGAGIFSANMYLAEDRILCWELVSKRQCK WKLHYVKSAYAITDVPDTVPELVSQRRRWLNGSFFAAIHSIVHFGYLYRSSHSFTRKL FLHVELVYQTLSMIFAWFALGNFYIAFFVLTSSLNSLGSAWKYVNTPLHYLYIALLLW CFLLALGNRPAGSKIGYTSSMVGFALITVYMLFAAIYLAVRGIQSITADGTITADDVF GNKIFRNIVVSLAATYGLYIVSSLLALEPWHMLTSFLQYLLLAPSYVNVLNVYAFCNV HDVSWGTKGSDKVSEDLGVVKSDSKDEVTVDIPVEQQDLNEVYASELRVLATKAPKEV KIVSDDQKQEDYYKNVRTNVLLAWTMSNGALAAAILQTSGGDSSLATTYMGILL
I206_07599	MTHQATFADIAKLFQQQQAARNANNSSFSTQNPTQFSNSSSARN TWYKPNGQPVHWEEIGTSPSNPGGDTTQNPSLNDLLNGIGGNQNSSLRDLLNGVGANQ DSSYGNPFNHTTSPFGPSQSSPFSSSFGTPNQTQTFGNPLGTSNPMLNNLFGGANNLF GTSQPQYQTQTNGTPFRNTQMGSENDQNFAGYWNSSLGQTTKAQAFHEYLIELKEDTT NSANLAKSRGEMDVLENANKRLDNIQILDNALRSARLHPETAKKQYDIWGSVLVRTSN GTQRSNWDKYMDSVNGRDAPLNFHYRRIQELAQARAQAQAQTTTQPFAYSSAQPSFYT QGRPWSGPSVTTDRRPPMSFSHTRL
I206_07600	MDESLKQAILQRMLSQQSSIPTTFSNNINSVDEASRSSILGQLY QQGSINGSNGTKRHTYWKNGNEISLIESNPSIASSPWFNQNPINLFNFGNFPTISNNN SLNSSPFSFAPSSPLFGTNYQSNPTNFQNNYQFSNPLSSPSSPFGSNVQSQTYTDSIY WSSPINDNLRTSQVAPETRQKAFKQYLISIKNDIERSYKTSINKTSTISNLRPEELLY ELEFRQKAQNIKNQVETLCIKHENGEISSYQAKSIYSNLGGILINNHGVIKRSTWDNC MTFEIGRETELNIWRQYELNNENSPPPSPPMNQFNNNHQFNTYTNPLSGLFSGSGNSS NIFENGNPSPAFGQPSYGGNSFTNSVNGLYNNSNTGFTFSNLF
I206_07601	MVAYCPSTNSNVTDANEDVFFSPDGIHWDAHRIGWAVAGGCAAL TTLITLFNVTMHAIRYQYPPAQKQVMRVLLMPAVYSIVSFFSYRYYREYEYYVLAETA YEAITLSAFLMLLMELVSMNTTDQQIKTALAEKDKKKFPCFNFWRFRASKPYFWHALS FSVMQYVVLRPLISIISIICLYYDVLCPGEYSVHFAEVYLEAVDFVSISIALYGLIVF YVLCKDELKGRKPLNKFLAIKLIVFFTFYQSFVFSVLQNYGVIHGTILWTATNVSDGL SALCTCVEMVFFSIYMGWAYNWTDYTDPIKNPYQRKTSIKTYFQAIWDTINLADFGVE IYLACKFLVDYARGKPGTHSSSTKLQRTFMPESIGDGPQELSNLKTGYANQSTPNGFS AQNPQKKQDNFTSPPRPVRSGSTGLKSANSYQRLYENSNINNKNQNTDSTPNYASPAS QPYHTPDQQTISPQTASSRYITPQEEQFPSPHPTGTFPSRSVSQSQSMTSPTWDHSAQ RTQWPEARSTPDEMGQIQSDQRQAGWNSHAESQRSRGYGGYSSNEDREEGIPGNPRLY 
I206_07602	MVKYILVCGGVISGIGKGVIASSTGLTLKAAGLKVTAIKIDPYM NIDAGTMAPTEHGEVYVLNDGGETDLDLGNYERYLDVSLNKDNNVTTGKVYQHVIDRE RKGDYLGKTVQIIPHLTNAIQDWVERVSKISVDGTGEEPDVCIIELGGTVGDIESMPF VEAMRQFQFRVGHENFALIYVSLIPVVGGEQKTKPTQAGVRDLRGLGLLPDLIACRCT DTLLTATMEKVSMFCHVSPKQVLGVHNVSSTYHVPLLLQQQGMLDYLRKRLNLGEVTI NDKFRQKGEDFMGRWKALTVGQERLFDTVSIVLVGKYTTLEDSYMSVVKALEHASMRC GRKLELQWVDSSDLEPSTQTSNPVKFHDAWSAVCSAKGIIVPGGFGHRGTEGMIAAVK WAREQKVPFLGICLGFQVAVIEWARHVCGLDGANSAELVPDTPHPVICFMPEISKTQM GGTMRLGLRPTIFQENTESSKLRKLYGSNQVAWERHRHRYEVEPKYVDELESKDGMRF IGKDERGERMQMLELDDHPYFVALQAHPEFCSRPLNPSPPFLGLIAAACGKSELEEQI LKNEKGGYIDPHPEESKTVPESEAYTEKGKNVKQNLGGIVKVKGQINGDLGMKELQLS SAGVEGEINESST
I206_07603	MSTVTKIHARQIFDSRDKSTSPLMPLLILDLIDSTLLCASIPND TPSVLVSSLSSLPSPPYLSSPSFMHAIVDVHTEKGRFRAQVPSGASTGAHEAIELRDG GSDYVGKGVSKAVKNVNDVIAPALIEAKIPVTSQKEIDDFLIKLDGTDNKGKFGANAI LGVSMAISEAGAADQGKPLYAYLAGLAGVSEPYVLPTPAFNVINGGSHAGNALAFQEF MLLPTGASSFTEALKMGSETYHTLKKVITKKYGIDAANVGDEGGFAPNVSGAEESLDL LTEAIKQAGYTGKVQIGLDVASSEFYKEGKYDLDFKNPNSDSSKWLSGKELADLYNSY VDKYDIISIEDPFDQDDFDAWTHFTTTSKIQIVGDDLLVTNPKRIKTAIEKKACNALL LKINQIGTISESIQAVQLSQSNGWAVMTSHRSGETESTYIADLAVALKTGEIKTGAPC RSERVAKYNQLLRIEEELGDKAIYAGSKGLSKGTTAPELKDN
I206_07604	MATPEQQKIATRKLTQWIENDKRIVTYRDISREIKCHVNIAKNL LLNHYQNNSKLIPTYLLTGPLLLTSKINQTQLFSLNQNLNHNEKNSRIVKIVDMDEMS DDERNSEHDNLEEEEEEEQIGNDLGNNNENENGLIGSIKLSNNNENEEEEMIIKNQNV IRWGVILVQSDQIEEKKKLFEIDTLSIHIHSLAPSLVNDPAQYLIPNLTLREYKNYHD PLIYGTISGETLRPTVPLTADKKDMKDGKMDWSGSKKVASGLGEGSKKEDLKKKEESK KVEEKKKIPINSTSASSNKIASQEVKSTSTPTGSLSSKKKRVIHSDTEEDEPISNPPS TSKKLSLKKEPTSSMVRADDQIAMEAMMSMDMEVDFELSDNNETPKKNLKLKKEEENQ LKNKIRKKRRVKKSKTIEDKKGRMVTRDYSTDESYTASEEEGVNKNQSKSIITTNSSL KARDSSSSIGSNDTTKKNPTNSGPSSHPPPNKKAVSGSAKGQSTLKGFFTKK
I206_07605	MGFFTSSAPAQLHPINPPLGLHPSYFAKQPTTLILKEKVFSFSG DDFSVKDTNGFTVVKCHGQALSFRDRKVINDPNGQFLFGLRNKLLSIHKTFIGETKDE KELFRIKKRISFGSKMEATFINPQTSQQVTLLLKGDFWGGSADISIENGPIVAQITRE LFNARQIFGDQQTYYVTVAPGVDLALIAAICICFDEAKNEEK
I206_07606	MRRNYPNIKPEWNLLPAPPFKNTLGVINDHITGRLSDGSVKLLG GIKRFSPHGVISDGEKETEVDVVIFCTGNYFDYSILSHEADPTKLGDSSEWDQAEHSN GLMYPRLYQTLFHPNFAESLAFLGPCRGFSFAVHSNADLASQAIAQVWKGNYTLPDTT ERSEWCEKNYKASLGVIKNWRTFRTGHFSAGEFEYWLNTVAGTQVNEYLGWGRKGWTF WWNDREFYNLIVRGVNTPFLYRLFEGREGGRKKWDGARKEIWKANGKVPPEDR
I206_07607	MEGQDTKGKIAVIGLGISGLAALKNLLEQGFDVVGIDRNDYIGG LWQFTEDKKQVSVLKTTIANSSKQFGCFTDFPFSDDVPDYPTALDVAKNLQDYAKRFD LFQHIRLGVNISRIERSKDGQKWDIHLNEKGEVKSKIEKVSKVLVCTGPWERSHRPTY EGEANYEGIMLVGKEYKGPAEFRDKRVLIVGMANTACDIAVDLVGAAKEIYISHRSGT RIIPRMMDGKPTINAITRQLTGAMSFFEKWIPSMGEWIGNHFATT
I206_07609	MWTLHLLKNNKSKYEPQISLTEDVVHHEDKEEERIGIWGFTKTE IDRFPALKKRNFWCIQRHSATAMHYDLRMQIDGGTISWAVPKGLIGISKNGESSRLAV ETTIHPISYTTYEGADGRNFSAGRKGGTLLWDIGEYLITKSSSAADSTSDEDRPSRPS KRRRKGTVESDENSEDPDGKYQEDLLRQYLHKKVGYGKSRSIHFVLRGGKKMTNHHFI LVLAASHAHTFSASGQIKKTWFLRLPKEVDEYPWDQGGEEGDFWGRSVKTGRTLKEVT AGYVARPERWKKEEERFASWFGDDD
I206_07610	MILMNYSTRNSFDVHANQINGARGHPPPGAKWANLNRGQWDIMR GPSTFRYDSAYQVALEYAGTFCPPAGKIDLAQDEWNL
I206_07611	MVAAISGGDQGLDSWGDFLKKEYRRPIFFCALIAIAPIAYGYDG TYFTSLLETPVFERQFGDYDAKSNSYSISSSDQSLWVSIIQVGEVVGSLAAGPIGDYS GRKGGMLAAIILLAIGVVLQMIVIGSSALLTVGRLIAGAGIGIISNAAPLYLSEIPPV EIRGACVSSWQLMLAIGQVIGACVGLGSHNRSDTSSWRIPIAINLVWVVLLFGVLFIV PESPRWLLYKNKDAKAEKALRKIHAGSEYQDLLVQEQLAIINKSREEEAQASSSQSRW SDLWRNPVERRKFIATVGILVSQQISGVQFIFSYTTTFFTLVGLKDTFIITIIVDCIE VLGVLASFFVVNRFGRRPLLLYTGIFMLITLLVVGCLGAVAGQGTRFEPYLADHPSFG KAVAAMICLYVFAFNVAWGPLAWVVAAEMSTGRNRQKHLSIGTALFWVSAWAVTFTLP YLFQPNEAGLGPMIGFIYSLGALASLLFVYFFIPETIGRTLEEINFMMEARIPTRQWK DFDLATVVAKDEKKGTRGTTEHVETTNNGQQGQAALASKPEKPRTRRFETSFINKKTA EQIAESESP
I206_07612	MNFGSSSFNRGLGIPGPSNIPQKPDIIPLTAGLLNKFRPSRHFK DALDPESSSSSNSPNLNLIKPSNPTPLNKNITSICFDDVGDRLVTASDDDMFVLWDCK KGKKIKPLYSRKYGIDLPRFTHKAGTILHASTKGDDHAVRYHSMHDNKYLAYFKGHEA RVRSVDMSPVDDTFITAGDDGTVRLWDLRASGCKGLVQDVGGSAIAAIDNQGIVFAVA CSDTQTIMMYATATMDRASLSIKHEYYNVANPEYSSPPPKPIFTSIAFSSNGEYLLIG TSSDVHYLLDAIDLVPLRRLVGHQGLERDKNGNKQPGTKRGISGEEVSFTSDSKFIIS GSSDGSILFWDLIDDPITKIGSEGQILLTRKELENGKKDVKSIQWHTLPIPDLKAKVI LKGGNGPSRAVKFNHRLQMFAVGGEDLTFWLPEKDEDSKIQEGW
I206_07613	MPRKLPPPQAVAPVATLLPPPPPSPIIQTLRKDWRWAAISQFIW TFSDAFGLVDWDIEALEADFDGDEKALIPTLIAKLLFALTYNRLINRDNAFETLRRTY AKRRPDQRCLLGTEENPIEWGTLGLSQKVQILHELCEWQLEDPARFRGLLKSEEDAVS WRVEPVGWDKDGNAFWLFDDNRLWIQRIPPPPPPPPRPLKKSSQKAKKAARKSQSRPS TSTPSSTAPKKSHRKRQLTPELSLTPPPAAKEEDVLSGSRRRKSVNFYGNPTPTAQAL KKAQNTPTEAPSSSRSTRNTRNNPLPESSQSPSKSKNTPLPLGTRVSRRLRNVDDEWQ QVPDDWLTPSKSARGKGKGKAKKADGDGDESELSELTDEEEHERLLLASGAKKTNGKL LEGSKVNGNAVKDEENMDVDEKENGAIEVHTKGFEDEPSVVDGGEAAPVTVQLNDTID TKLSSPSAGASDNTAPPEPASLAEDEFEDVGGATKGEQIPESDRPNGNSSGVQPAIDI YVSGGETPLVSGQAEETENSANGLDDSLKPNAEDVKTDLVQQADDDHAPAQIPELGDI ETKEEPNAEPEIKVEKSDLMEKWEAERSHIPSDFVEWETVCVSLYEWRTFPEQYNKSK DPDEKALYILLTQQVGPTIIDVLIAKEQERIKQEAVNNRKRSSRIATRELEKEEILKR EAAEREMEERMERIRSEETRKQHEEEEAISLQKAREDRLKEREERAMAREEALMKKAE DEIREREKRERKREKRKRRREGEEVSDDSDDEPTPAPKGRGSSTVEPVDTPERWELNC EVCKKIGWNIDEDLDLVCCDDCGRWQHTECHDRQDMREGRGKKNWDQVDFKCKECIHR AIRKKQRLSLSQLPQPQTNGHHQQSHPLSQSHPVSPYPIVPGSTPGPHAAPSVNPSRP PPPPLGPEEFYLPYPHPPANIDSPAGYAVYYPPNPPNQSPKSAQQGYQQQQQVQSRYP QGHLTPQTIPQHPLEYQISPPQGRYQPTAQVSPSQHPHIPTSAPQAVHAYHLQAGQQI SPPQNRFSPGVHQAYPQSPNTVQSSHSRHHPQSELPLAQNGFSPQARPEPYHPASIHS RTQPNGTPQPQNGHVNGLPTPESSVAAQRPAGYSS
I206_07614	MESNHPPTTYNPNSSRPFNSTPSPFHQTSPSNSALPQSGPGTAN PSPHSSIISGQGGGGIHNQHQHQGQGQSPLGPTYLTRFPNHLEGNSNFGSGSGSGSNI QSTSRRPNLISSISNSNYSDIEMYGSNNNNHRSDSFSSQHNNHGGAWPAIPSATGGGG VKEDRGWNNDNDHEYNHHSSKRYNGSNSSSSQSQMIHPSNNQPETIRRTSQIFPSAGP TAKRGSKACVACRKGKNRCEWDPSGSESSCRRCLLNGTKCIFEKPSDKSSGSGRGRNG SMSQSQPPPQQQQQQNNNQQMGDNERVYNLEKTVHNLTQSQDQIQNVLQQILQLLPTQ QQNQSSSLQNPYNPNQTAVSTSSIFPSSVSPPSMFNQQQHQLQQQQQYQQQHQQQHVI HNDSQPGYMRNNHDDMDGRIGSPQNTATGRTGSHRGFQVPTPKQKSFPKLPGFAPPAH QFGTYGVIPLPSAPPSPSHSRHSSRSSSRSADSSSALPRETLTAPIQALQALANAADQ IAKTSPENNIVEDEDVKSNIILTEDDRGRKRKRVLIDPKSIELRVKKKKKPDPTPRNP FPDVVSKGLVSESEARELWDLFFKGCHYFVPLWDKSYDTYETFIVRTPFSTDALLAVA AKIRAENGPLGQTFQRCLEEAQGIARSTLFGPIVRKEAVMAMLILSVWSQNGWLPCGH ALRMGLDMNLHRALDRLANKDEIRSEADERDLVVSARIWLNCYMHEHLVSLGTGKPLL LRDDSSVKGARDLLSHAMASETDMRLVAGVELVNLRIRILEHLNPLHGKVDSSTISFV KRMLGDLKSWHTEWNSIHKTRYNDEDVLVKLLETELCYAQLWTVCVALRGVQWDKLAP DQRELAFQAKDSSFRCLEIFLRHENFRKHLKYATHDQLVSVAFAAVFLLKIAMLYPTA VPLPFLISQVSQLAHLLSAECYAERYALTLRLMLSNFRRKTGAMSTMPGTPRLSGASI QGQTLPSNPTNNEIMGDLDGGLQSLLGLPNDPFDGIDNNNNNNGDTGIFGVTDQVWDN MTGLEGFNWPTEFSPSSLPVWLQDGNVADLGLPADGSDSLFLPPELANLFLPTSGLDQ YVLPDTGDVGAEAW
I206_07615	MSDQGSPANPPAEAKPKPEGDANTLNIKIVSTNGDEVFFKIKKT TKLNKLKSAYADRVGTDVNAIRLLFDGQRILDDQTANDLDLEDGDSIEVLLEQVGGC
I206_07616	MPSILPEKFRNSFDKGKKAMAIAQQNHNVHLLKCQDIARFLLYE VEAYITRRRRGNFEDLAPNFSVWRDDCLTLVKDTLESIKLEAKAHAEQKAYKSPSNRG SLPVSQRPLLIVQRKVHETAIETVVEVPDQDFALVTDEILLFIDWFFSYALDIDYTYE WKRARKEHSVARNNEAEVTTGLSLVKIA
I206_07617	MSNEPSKTNGQINSLVGTAKVLVGNTIEAGYQAVGGSSEPSSWT TSGQKQHDQGEAEITAAKAEGYVEGVGDRLEGKKDSIVGAITGDKTQQASGNVQHDKG EAQMEVNKPSQ
I206_07618	MANTRPYTREELKGLRRANLQNLFKIHNLKGANGTNSILIDSLV EYFSSPRYLSAHPPAVSEKDRITAAPIARSQNASKSESRYKIIPTSKPVKGRVDSASI RKPIAKDLNKSTQIAQKKSMPLPVEERISISSLSDESRPSSQLSEIPQIPLSSQRSLP TPPASSSSQTHPVSFSQVETLLSANDARWQARLEALEKNLNNQMERLRIEMDKIRNQQ MAESSRNYSAGSEARVGKSWSPWQDQSRAASQPLSGPSSTKSLFPALGRKRNVEQRSG SEDLDDHEKEAKRVRFNGSKPGDDTPLNEFPPTIFPIPATNPQHLDPHTPSPQKTSSF GADYFSNPSLTPLPAQSSLIPRTPSPSRQGIIPDNSQTPRLPNDWQEPIYPDDSSISA LSDEDKDQDMDDSRETPVRSISMIPHFSTTPEPPSTRPISPTTGMERSVSGSSDRFTP GRLPSTTSEDRIRREQIDAPPLSSVTDLERIDEMDEHSQGQLKFPPIKPIRLSGLGTP SSSSNFKNPSSTNQRIPSLLGPPALISRNSRAESELPRPRIRVGSRGLSPPTRPRSAN AIHESNRNPSISRNTFALNLPEPKEGMIERERLRSASADYMHVAMHGLEDDGLDFDDN GCQINNDDDSLELPNIIKERESKKLEMPTPRHRTLLGTERYNDKRFGDIPVEFPLGLQ MGNEGGVGGIWESPNGPNRIPPESI
I206_07619	MAALTSGYSNNAPSPPTTTEEKESIPSLAQDAYSLLSEQDAKMM ELGDFIAELRDLDLRTAVIDEILGYMVSNNWVQLWIWKGTEETWINIVE
I206_07620	MYNGQPPFRPPGNIPPFPPNRPPAPGQAFPPFRPPPPGSFIPPP FPPNFSPGSRPPPPTLPHSPAGIPQTPGYDSPSSSSNGLNFRPPPTGPGGIGYNQYPP PRPAHPGLGLPPLPAGLPQPPKFPTPDSPSQGGGQGHNGAPPGFVRDVKTTSVFVGSI APGIDDETLKNLLNACGPLHELKRVSGASGKPQAFGFAAYENPEVVLRCIRCLNGVEL PDLTPEGRRDNKKKALVVKADQKTQEFLEEFESTLGRSDTDEEADAATRKSISHIVAL LIDPSANLTDAPTKQGGGDSPLQVIVPAHLQDLQEGDLPENQRVAVLDQIAIFREASA RREKEKKQIEDEKERFRIMQQHQNQRTPMNNNNNSNYGYGNQRGLARQQQQQQAEQSR HHQSPSGMGGQQTPQQNGNGAGPSRTRDPQGYDRPVGFVPAQSAEGKIDSGRTDEEEE DLRRQRRQREKDIALRDAERRVEHRERIRIEALNRELAQRKAHEDLIERNRKRLEDQY ENWDDDEIIEKGRELFYTDRATWRARRQRARQQEYQDDVRDRQQEEDELQALERESEE FLKRQMAELAELEVEQKAKGLLTEDAAPIKLNLNIPAPAPEVKVKEEKKLVVPHKPIV LAGDEEEENEQKKKRTFIRLDNDDINSGDGLTQAERIAKRNAKLLEIKKGLPERRRDI FKSSIDWAGINETLISQKIKPFIEGKINELLGELDADLVEFVIEQVKEKKGSDDLVDG LEPILAEEAESFVVQLWKLLIFESEAFKIGLSTGAMMV
I206_07621	MPPTLRRSAWTTSQSSSRHFTPLVFFAFLIPLLTLLSPYTSLLS RKHHLGIFDSLQTPILGDGASRALLVTAHPDDEVMFFSPTILSLIQEGWEVSGLCLSS GNDTGLGTIRKQELYGSYETLGVSRDRVKVIDHPDLQDSMSVHWDPALILNIVENHLN DHSAELIITFDEKGITNHPNHMAISKALSQTFHNKSIRLLHLKSPALLFKFTGPLYPI YLNILSILSRITGRENFAEKHMKFVVLSTSSQWYQSIQAMLSHKTQLVWFRWLYLTFS QLMWVNELKII
I206_07622	MSTSAIPVIQPSNNTAQIIPHPWGAAAIDAASHGAIAFCQIYYE AYDEPTRRDSEIPLMYLPHSKIIWNGNTVPANRGALSEFLKGIPLSRHDLQTLDCHPV SAEPNTPPSLIINVTGSVLHGPTVLAPPSSAPDNRNTSNGKDSARDMPRKFHEMFMLK AIEQSEGMQPKYAIHSSNFRFIG
I206_07623	MKIPSSILFFLLSTLITSTIEAKYHSPETASPDYQKCKHGLNSD PSCMLIWSNDDVSSIQNSKGRFNLLNEKHPELKSYIRQQTKSPYIIPTSTSSTFDSIE SILPSVPALIAPELKPTTPAGRRDYYDLNYRKQKDQFKDYNEKIIFKRDSNSFEFPSN IPFLSFLSSSSSSSSSSSSPDLTTLNQNTISSISENSEQDEILGNLKFGYGGGWGRPW KRLGSKDCLEQITITAIETETAIATTTIFQMQTQTQFQTIVPPTPTITVQPQPQPQSQ SQPQPQDTIQTSDQNQNLLVEDTGNSKFGYGGGWGRPW
I206_07624	MSYAQLSRRIRIDDVVIPLSRSCELKQRTLVNAIHKRPSIRGGI SLLHTMSDDGEMRGRSPRSSSLISSFPIDRYRPRQSISPPSSILHFKNKILNSNIRTP SPHFSPLSSNHLPPSSSMSKRFRSTGIYPSPSPELSERFNEKVLKAEERMISKELKTK SEGKGRFIRIVEVSARDGLQNLPGPPVPTELKRELVERLLEAGVRNIEVGSFVRGDWI PQMADTPQLLPLLPPLTGQSISLPSPPSTRPSTPGNSSLSGLGESSLKHVIDKANIPH SASQVHFPVLVPNMKGLDNLIKLQEEHTAKGGGRLTDEVAIFVSATEAFSQANNHAPL SKILSALPPVISKAISLGYRVRGYVSCVITCPYSGPTDPMEVVNVATQLLDMGCYEIS LGDTTGEGDPESWQRVWTACEARGIDMSRVAAHCHDTFSLALSSLISLLPFNLSSIDS SLAGLGGCPYSPGATGNVPTEDVVYALHKLGYETGIDLDKLVETGNWLSGKLGRRNES RVGRAIWARRLNREQKEKGDIKRSKEGIKGEEETEDGM
I206_07625	MDIDKSFAPPPPRPKRTIKRCLCIFCVLIAAGLGLVISFFIIEF TKTTIQAVRDPHKSLIYNGTITPDLTSSSVVKPLIDGETKFDILFTVYSRIPNEEVPN EKQRQEYYDEKNYNPEEYTARELSLSPRTGRSPIEIRYMPIEKVIYQGIIMKDLTLAH RDIETNVKFELPLKRFYDYYLYNPDVRGAVTLLPQQPSKLDRMEDYTHWKPEEVELPK RIHPEFINSISSSDNGDDSKKWAALEHISHGFSLIEFHNHGDPCKNSSDTTKDDVDDI EDDLYASIKEDEEKLEQSHIDPVNVIEKEQNIKSQNITGPIISPGSQPYLVSRTHVYI VNETRLFERKAFDKAHKDLRKNACGKSLLSGWTSRFLCTREYATNGHWENRFVLQPEE GKKSKELAYGPYLGNLIHAAGPKDVRPLPITRNNCSNSIEVDPEFISVNFTIKFSSLK PGRINVLNNFVQTYRSNHNSTKWELENDHNEWEQLNGIFGSRTNGKHPKTRLFLTTIK TLLAFPIIILELIYWYTRQTSNGLNFFSIYFKSIGILLTSLIGLINNWKNENENKNWL NSLIFLFFLIFEISPAILQLRIIFPFEIKKIGWFKFNFKRWKWSHSERNSIRKGTGIN KFIWIVVFISLFLIIYIPNIYKLNLINPKIIQEFSNYNQIKNQKNDLFLWNNSIISSF LNCFELFSLLIQIFHNKNLKTFAGNFSLNCYFILIFRFLDLFYYLPFIIGKYDLNFGL SYIFLIEFFIEIFFVYQAWNYPKINQKLNDENED
I206_07626	MITPDWQNIPNASDHLKWYENKGKLKLNFFLSIVAIGMLLNGYD GSLISGLQASDAWQADVGYPNGVKLGLLNACGSFSGVVVGPIITYIDEVWGRRWGIRF YGYTILIGSVIGCIAGVSGANGYALFCVGRVIIGFGLTSFLMTSLIVVQEISHPRSRS TVAHSWNSWYILGLFIEGWVIFGCVGYISSNTSWSWRIPYIIQVGFAIYILVAVQFVP ETPRWLFANGREDEAFDFMVVYHGNGNREDPLVLFEFEEMKVAILKEREAKAEKWKTI LRDRSNLHRLGLACLMPCLFNMSGASILYNYYTIVFENVGITNPTTQTGIAAGLNGFT WFCQIGAVYAGKFIGRRKIVLWTWPFLLFCLVGLCAAGGVYANTGETNTSAGIATVSL VWIFQGFFNFACPVIYSYASEIQTYSMRSKGMLVWNTVYQLQGAYVSWVDAIALEAIG YKYYAVYMPLVIIQFVLVYFFMVETKGYTLEEIALAFDGGSKISLANTDILPSVEHNQ RVPNSEEDERLSREGDHKNIATN
I206_07627	MSYTSNLTNHNPTKYQTNASFVYSSQNSSPIFELLDAKKGEKII DLGCGTGELTIQIKDLIGDEGEIIGIDSNESMLKSAKESTLSLNKKINYLKADIQDFD FFKLNYPELKGKFDKVFTSATLHWCKSNPINVIKLIKWLLNSNGKFIFEFGGFGNVVG IRSAIHQVLRTRNIDPIPIDPWYFPTDKQYEKLLKSNGLTPGLIKLFPRPTALPTNLK GWLGTFARNSFLSNLSDQDSDEILNQVVEICRIDNYWSNENPGIGIKSINNLSKDEEG WEVMYVRLRGSAINS
I206_07628	MNQFSGEIPSMKLLETDTIFAFMDIGPIARGHCLVIPKYHAAKL NDLPDDQTKDIIPALKKLAIASGAENYNILQNNGRAAHQVVDHVHFHVIPKFAEKGDE EGLVIGWPSKFLIF
I206_07629	MYIDVVSEVGSGFSHIESRADESVIAIWDKKWFETLPGEPTTLF ELFDMSVARHPNRALFLRRAIVPSNPAAPASLTNTSPAEPVYSRTLIPTSYGAFQTRR SNTGSALLALEREGRLKSSIGTNGVSPPEITHPGVPYYGNSNRHKAGSRRGWAVGVWS KNREEWQVVDFACQAYGLVGVSLYETLGPDVAQYITNHCPLPIIFASSNHLSSLLKIA PNCPSLKIIVSMDPLPYSERKLLSDWASSLNIELLVMDELEQYGSTDGVYTEPGPVKN VAGDLDLDRERIVTVSYTSGTTGDPKGVVLTNKNLTYAIRSNVLGSTDGLMTDDEWRY ISYLPLSHMLTDYSLVQRFLHFVVIHGDGTIAFTTGDVTKLLEDAQIIQPIFMAGVPR VWNRIHAAVRTQMDAGGLKGALLKKAVEAKLANWRETGSVTHPLWDALVFRKIKALLG GKLVYMCSGAAPLAPDVHEMLKICFGCDVIQGYGLTESVGTCTKGIGRDVRAVGTIGF VQTCNDVKFVDQAEMGYTSNDKPNPRGEVCLKGYNITPGYLHNVKATTDSIDQDGWFH TGDIGEITPQGHLKIVDRVKNVVKLSQGEYVALEKLESFYALDPLFASLLVHADSTRS SLVAVAVLDPIQTSNFCSSALGKHVKPEDIRGLQEAVSDKKVRKLVLKRLAKISKQHK LNGFEMIKGIHLTLQPFPDEVMTPTLKIKRNVAAKTFKNEIETAYKEAEEKGDAEGAG ADAKSKL
I206_07630	MSFDQNQNQFKAENLFSCKGLTAVVTGGGTGIGLMQTIALSQNG AKVFITSRNFEKLKDVAEKYGKNLNNNGGEIIPIKGDISNKNDIENLVKEIEIQSKDG INILFNNAGIAGEGSREGWENINYENPKEYSKQLLKSEFKEWDDILHTNVAGQYFTAA AFLPLLADGAKSTKGYASQIINVSSISGLMKSSSGGQFAYTSSKAALVHLSKVMAREF LPLRIRVNQIAPGIFPSEMTAGDSDSTTHKSDLSNTGKGKGLPSGRPGKEEDMAAATL YLASYAGVFVNGQFIAPDGGATVATPSSI
I206_07631	MESLKEEYDQKTIWGRLGHHLNFFRLHVLIFTFVPIIVACIFYG ANSHASGNANSIELGRHKVTFVDGLFVCFSAMTTCGLVPINVSALHPFQQVLILILFV IGDPKFVSLMMVLVRKRYFRIHCEQLLHNDKLRRTQTIHPTKTDDALGGFVAQHTVKE NMKNVRGKISAPISGHKIGNFVSDSNGREGISDSPSPMVDEEGGPLESPVNDKTSQPS TIGRSTTVIPTNPTSRAESLKAFTTALPNSASPTSVESDRTAQSPYSTRQRLRQQTRQ LTLAPSQTINIASFDHPSPSRVRDATRKGTNGVPLDRIKSSPSQYPNRPPGYLHGGYK NTGMGGFPTPFELIKNHLLPASTTQKLGRPVKKLELITNPTFYQDEEKRSSSAEYHRG TGEEESWTAMVAKWMPETLSGLVIGRNSRFWTEELDDDELEQIGGVEYRALRLLGYLV GSYMLICQIIPFAIISIYLSKTHKWDSAFQATQGVQIDNVNKTWYSLFLSASSFTGTG MSLVDQGLVPFPDCYLQVWLVIFILLAGNHAFPILLRFIIWVGTRITRRGEKFETLHF LLDHPRRCFLYLFPSHQTWYLLFVVLAFMVVELLAFLVLNIGLPVLESIDRWQRFSDG FLQSLSVRASGLGIVALSNMAPSVLFLYVILMYVAIYPIAMSVRATNVYEEKALGVYE AEDPDTASKDEPEFKGKRHEVFSKYLLWHMRKQLAFDIWPLTAAIFLICCFERGKLMD PEKYDWFTIFRILFECTSAYSVIGLSLGTPNNNFSFVGELGYASKIVIILVMLRGRHR GLPVAIDRAILLPKEYSRIGKSEGEIGVNGEKPKDPNNTPTRRMTGQNGSPA
I206_07632	MGSAQSHPRHNYNGPLFGGHYSSDFSIGRNKLNINKALPPTPKS VRFGNDPPAWEFYRHRPTDENLANTRYCSPHANGGGSGNSGTPPPAYGTWDEKGFTIR NQ
I206_07633	MSVVNQDNVRDRQDLLDKAEKFVKDHMAGYDPSHDWHHVQRVRK LAIRIAKTLDPLPDLLVVELTALFHDLDDHKYRTESSPTLSELLNPLLNHKALNSEQS NLIIKIIPNISYTTEIKLQKLNQWNWQLNINELKVVQDSDRLDAIGSIGILRLAAYSC KINRKLINEDQNNINNNNNNNNEQKIGQSAEDHFEEKLLKVKDRMKTPFGKLEAERRH KTMIDFLTSLEREREILYEDE
I206_07634	MTASSSSTAEKDIYIFTTSQIPLPIKYSHPRKPNLVIPPILHRT LHNALDGESPSILFKNSELIIPHPDELIKHDLPCTSFSNSNSFENKLNENELLDSSFS NQILDSELTIKLHLIGNPSTSSSSSLSSKDVDDDDNDNDEKVVVEEYLNWIKKSLEIL EKYKGFKKEKIDNFLIGFKGIDYRGSKTIASEMFGCGSEGLENPNTQIISNKLEKIVL NVFNKINYFFENENKNENNTKLGSLYFTLNLLKKLKSQIKINSLDTPDCHHLPKEYTN FAKENKIQLWAGGGGEGSDPLPSIHLHNLLQEFSIKLNDLTNGEFNNSSLENLINLRE DGLKFQENVEKAVQVKWVLSYTLVSKTRNVVKDKG
I206_07635	MLQTFSFTVYLLLSSVDGSKSIVTEKHTTSVIRGKKFWVGLSDM QGWRISMEDAHTVHLYLPPADSSRQITPSPDIPQQPAGSTVTNDNEDADEEEHALFGV FDGHGGSSVAKYTGTTLHTRLAGLDAYKSGDFEVALKQCFLKTDEDLRADPNFFNDPS GCTAVVGLVTKDGRIIVANSGDSRSVLGYKGEAKAMSYDHKPTNKEETARITSAGGFV EFGRVNGNLALSRAIGDFEFKQNYSLTPEKQIVTADPEIITHKIDGEEEFLVLACDGI WDCLSSQQVVDFTRRAIANGDELGKIAEDMMVKCLATDSETGGIGCDNMTVVIVALLG GRTPEEWQEWVKNRVEKKVGYDTPASVPDIFGQNQASQTGGAGNALSSSGFRVGGAGG LANIASILGASGISFRPAYDSDDDEDELQIIGDDGQVLDANADKPGIGLIDNKGIEGK TKPKNVTDEIDDEKAEAALNAEAKKGSVELLDEDGDSAMDSGDESDSTTTAGGVTPAS GSGSASSTQNSSAAKTINTSNTPSSPIPPSFSSIGSPTVPTPQALQRSNLPSKDKEYD QLKSDPQGDEASGAVKVEGLMDTSENPLKL
I206_07636	MNPKDSALDVSQKLKEQIAKLIEILRNPEVNDDEASKALSKIRN SIGKANTQFPTTGVKHNLSHLTKQVGLPLENPKDDDLNKVGSVLADVIKDIIEAVMNI KDDLKKMPLIGSLIVEIDTGLNTLLVGLQLVLSGVVEVLRGLLSGVSGLLKNLGNGLT FGTYRQWSIGTSTDYLFGLISGLIFG
I206_07637	MYFAKFALLAIPFLGLSTSKPIIKEKRASSVDVVNTLQNAISGP ITTLKTSNISQEDAIAALTSIKSAITDATSSINTVTTKRDLINNYENLSKRDDLSIVG QVLAQVIKDIVEAVEGLADDLKSLPLIGAIIIDIDFGLNTLLLGVELVLTGVIEILQG LLSGIANLLQNLGNGLLAGKL
I206_07638	MAPSNKAAAKPQDAKAKSAKKAALKGTSSGSVRKVRTSVSFHRP KTLRLPRAPRYPRKSIPHLPRMDQFRTIQHPLNTESAMKKIEEHNTLVFIVDLKANKR NIKDAVKKLYDVDAAKVNTLIRPDGKKKAYVRLTADFDALEVANKIGFI
I206_07639	MCIAFYTLSQPGYKLILASNRDEFLARPTEPAKWHNFSASPNAN GKVDRDENEGWVLSGIDAGATNGGTWLGITKDLRIGLLTNNRLTPPIPPFKPSLNPPS RGLLLKEFLSPKPENAPQVHQYLKSHYKSSNEYEGFNLLLFSLRNPNPEIGYLTNRPE PHLSNLHIPLTINNHDDFSIKCFGISNSPMNKPWPKVENGQKQMEKNLKQWKLNNENE KQLINRMFDILSPNIPIKTENDSKLSIQIPLINLSSHLTNSPIDNKINKINEENPTVS IDTNTNTNVLEEDKLKMKSKWYGTRTSTIILIKDNGETIFVERDILILDQNGNPKKGN DERWFNFKGDIII
I206_07640	MSSSSTMTHVGPEQSSPNPAKTPVQLQTDKPVSSYTLKKYPWRP YVTPFESILARKYQGSGTNEDPYIVDWLPNDAEDPQTWSSKYKWTCIALVSWLTLAVA LSSSAYTGGAGDIVAEFGASVELVIAGVSLFVVGFAFGPLFWAPFSEVFGRRLSYIVS YIFLTAWSGAAAGSPNMGSLLVFRFLAGLFGSSPLANAGGTISDVLDANQRGLGMALF AAAPFLGPSLGPVTGGFLGLTSGWRWVEGYLTIFVGVMLILVAIFGPETYAPVLLRKR AATLSNATGKVYRFRGDAKKPLEIGPLFITCLIRPWKFLWYEPIVTILTVYTALIYGI LYLNFAAYPIVFEQGHGWNVGIGGLSFMGILIGTIVSVILSVAFVNPQYIKVAKKKGG RADPEDRLPPAIWGGFLLVIGLAGFAATDGPNVHWIAPIIFGIPFGTGIIIVFLAVLG YLVDSYTIYAASVLAANSVLRSLFGAAFPLFTRQMFDKLGVHWGIALPGFLALACIPF TVLFYKYGARIRAKCRYAADAEKTMNMLMAAKMAQMKLDRDEESAIETGNANKPEETN SPAENTIQTQPAEQQGGLSKNESKAAENSEKPLNRIPSHVPQHLHHEWTIYETLADRD EVDLGDDERVRLNELHEKFNYVKHPSKKEI
I206_07641	MYALHQYDADEEDEEEGEEEEHECENQFTCPHHCSKEDCKEYVE EEDWHEKYTTMCFVCGQLEDYRIDRDNKRDAYWVAHEKYDETPTPTDLQEVKEETEAG QALTAAYNELIDAKIELKNAKRLEGYTDDELADSYGSEDDEYNCPDGI
I206_07642	MSIISEVTTLKILKKLGVPVPGACLPAMKDKPASDVRVVETRHE HNGKPLQYFLYEYISGSCMPIRHTTIRDYHSVEEQTIHIIQEYGKSQIKMFDQPIKLD RIGILISSVEPSSQLSVGPMLSFWGLNYLDPPYLPGPFKTNQERYLAQIDIALSHIGN GWMNNKHTLDAYLWHLLLKELVETCLELAEEQQEVFVRHPDAKGDIFLVDGQGDLAAI LDWECAYVTTKAEAFSSPLFLYNTYVPYEDRQEFTPREDILIHYYEQIERFDLASYMR NGKKYQQLDTIGRFNRDFGRVCSPWQLMDAFTESRPTSLRPPLRFGPEWTSYLTERYQ DDQGLQKLLSSASSLDRDEGKSPTDITASQIDASGWYEMTLQQRHKAKEDHIAQRTQA LVLSRNKEKTDAVISQTSELRQLSAKTRALQQKSRVHREKMRQARGSRASTDS
I206_07643	MQYYCNQCDKDQDTSSSQYIGWCGPCAVNAARKQEAEARKQKNI AQKLYKKASKKAMSQFGQTAEFAKQGVIFAGTEEDHAAAKARLVICEREK
I206_07644	MPTHDCSLTPESTGQEILAVTRKIERARLANLLLWISPVQSAII ASAKALRTGHDCELRLPKEPESTLEADMGGGVNYHFPVIFDDGDKWLLRARQMPEGPV PPDYQEQIIKSEVATLQHFHRKGLPVPNAWVDDGQIFHPIESGHSPHLSYFFCEYLNG KPNLDLCYVNDSIHSPGTRTRNLIEQFAAFQIELHGLPIHTTQIGSLTYSPAHQNEPA VGPLIALGCLHHIDTPYILGPFNTNQDRYLGQIDIALQHIINGYICMSDPLDAYLWHL QLRELVAGSVELAQPISRGYITHADAKGDHILVDENDKISGVIDWECAYVTTEEEAFA APRWLFALQEDEMEITAAEEILAKSYEKLGRPNLACHVRNGKLYQRLAEIGMEPDDPL AQIEILQVFRHAIPPDFRPPYLIGYDWRVYSINRYRGDVGLQQIMIKVGWDGTKEYHK NKEGLFERDEKVKEFWDMTFERFVELKQQLRREFGDTESSVESYDLDNSFDDEPLVDG GSDTDVEPGEVPEMNPLKTYQAQGQVSVVIN
I206_07645	MSAGMDDPHLKQIYLENRLTRFERLLKDVRDRSHQIIAEARHFR PDFQCELQIPPDAQTMLDKKKMYNGINIHFPLIWEDGVKWLLRVRQAQDGYPPAEIQQ SVTNSEITVLCILNRNNISVPNAWYENKRGYNEGDLHYFFYEYLEGRALRLPKKGVDG LWKPGVRMRHIINQYAKFQIQVSDHPIPARLIGSPSFFPDIGAEAELRVGPLVNFHCL NRLEAPYFLGPFRNNQERYLAQIDLALSHIADGYICQNATLDGYLFHLQLKELVSNCS VLMEQPEEVYIKHADDKGDQFMGDENDNLTGVIDWEWAYVTTKSEAFTAPIFCFWSIK YFVGDNILSPAEEILIEEYGRLGRHDLADCVGNGKIYQRLSHIGTFDQSIWNQRSILD IFEKFKPAGFKPPRWVGKKWRVYLIDRYKMDGNLERLMLREGWDQGKEAAEVARERED IRAETMKEYPELDLTADQEKDQQRAQRAVERRAAKAKRKATG
I206_07646	MATLSPIVLILGSGPNIGQAVARHFGSKGYKVALVSRSVKESES TDKQLNIPSDFSKIEDVVKAFTKVKQVFGTPSVVVYNVSASSLSPPDDPFALSYSDFN KDTTINIHSAFIAAQQAVQGFSELPSSASKTFIYTGNVLNVQILPRFISQGIGKSGGA HLIWAASAAYKNRGYKFYYADERKADGSPKYRVDGDAHAELFWNLSSDKEQGPWMQTF VKDVGYQKFD
I206_07647	MLDTNMNGPVNYHFPISFDDGVKWLLRVRQMSPTPSPRAFPLDI LVREVATLRYMNQCAFWVPNAWLEGSIMSASTDSDDDSNTTVRRNYQNDVIGSLTYPD FDLSASPIVGPFVTLNTLHDLEAPYFVGPFRSNQERYTAHVNLALQYIAEGRICTRSP LDAYLWHLQLRELVATCPELAEPIVHGYIKHPDAKGDHILVDEIGKLIAVIDWQWLVF IAIKSCRGPQTDKLYRVHVTTKVDAFEASY
I206_07648	MSSQYIALCPNCAYISAKNAKGWAEYNLALAQTAYDQAMDVLMA GALRSADVAAAAAVCVAREQELQMAIQILAICEGEL
I206_07649	MSRTAFRSTLDRAIRRSSRGIAPSACRYQSTSTSSSSSVPAVKD NELAKVIRDTIRATGPIPLSRYMQFCLSHPIHGYYQKSDVFGEKGDFITSPEISQIFG ELVAIWFLTRWMEVDSPTRVRIVELGPGRGTLMDDILRTLFNFPGITSAIKSIHLVEN SEYLRKVQNDKISRRIEDKEVELSWNTGINEIPEADDTYTLFVAHEFFDAMPINTFEK TDMGWREVQVDNDPMHGNGLSTQTSKSGLRLSISPSPTALSTVLPASSPRFAKLSTGS RIEVSQDSFKIMRRIGELLGKGKGGCGVVVDYGGDRSYGQSFRAFKGHKIVDVFDDPG NADLTANVDFAYLRESLAGTGTSALGPISQASFLLSLGLQPRLRKLIDSASTEERKED IRKSAQRLIDPLGMGGQYQVMGISSGEMTEEIYPFISPKKESKVLKP
I206_07650	MFSNLGLLSSSRCPDPSCGRPRCFFSHAEASSSRTTPTPTTDIP STIRQPVKRRADDNEDERIRGIVKRVTSNGSSEIKKISSPRKKEIPTPKPAIEKPPER HSTTSLPATNTSSATKGLSSVINTSRPPILPINLKPSPHPRPDRQKGLNTLYTQYAKL YAPILHLSPDLAHNSAFAQEAETATVSSNVRIYKTAIHQAAVSISRRPPPNSINHPSV GTVKESRIAFEIAEKEKASKLSREKIEKYCLPLDHFENWRYPNPNDLILVDSNISHSK PDGEGEEHICSRCKVSFIVSSKNLENRIGECKFHYGKTIPERIEGKRKWIYTCCAKER GEQGCQDFIHVFSNGDDDLKLAERVGFKTVKDIVGEKGGLGKYNNVVAMDCEMIHTTA GISLGRVTIVDENGEILLDELVRQTVPVLDVNTRFSGLTNDDLDKAIMDLPAVRAAAC MFIGPDTIIVGHGLENDLRALRLLHTKIIDTAIVFPHDKGPPFRRALRDIVKEKLGYF IQDRTSDLGHSSAVDAKSTLDVLKWKVREDNE
I206_07651	MGRLRRSRTHHARRDVHRLARTRVRVKDLDQIEIDLRPGNRAKL ERQEIDEDKPGLGQHYCVECAKYYETDLALRNHTKSKVHKRRLKELKEPAYTLKEAEG AAGLTTDNKQRGVEDVVKKFEGMSNDEQQKKEITL
I206_07652	MSWKSAGQLISGPPSSSLPSTSSEPIYKRIKPNSNGITVYQKES SFVKHYGIDKDALTREEKKRKSDWDVIKENHRFIREEEEDLNEISWEEKVARIYESKL FKEFALIDLKHHKSKAFALRWRTATEVINEIGENTCASLRCKFHNPLLINNQDKEISS KDLRFIEDSKSINSNYPSSSINTHEQQEEISIPPLRSFELPFVYNENNQRKEALVKVK LCKKCENKLKWKPNDNQDEDQIKEKRKSIKEEEGTTKYKNRDTDKYINQSHSRSKSRS PSRRRNDESHKDRHSRYHESSRTHN
I206_07653	MTTHTFKLTKVMMPTPKLIPARSKTKMKWESLSGDLSMVVSHQS SSSLQIQLYCEGKIKVDESFQSIDSTSTSIASERFRKITHGVSSAAIKYDSSKHPIIS GDKMQETFQIAFRSNEPDLTTFLDLMKGFFIIAQGSTAPSIRKTQGQASQSQDPAQKV SNPKPPTKKEIPSSLPKKKQKLTPSQSQQQHTAKSRTRPAGPSSVISMPSEAMDVTGD LSSTTNVAPSSTSAGSGKETKLPPLQANDSFADRMKKELLLSFKPSPPSVESTTQPPS TYESHKSTTEVRPENEPREKPESQDKATQHPESLFLVDSRSQSHRSTTSPKLVIFEED HADITVLQKDSLLDDLKGLGTASQPLIFFSPPSSQPHEDPLSDHQILKTEQEVVFERI SKGKRTREELEDELEEPSQDHPESYLRPIKTEAEFEDHRSYGTSFLDVGPPITTATSV SQASGSELVNLVDKIIMERVFKRLDDRVKDVVDRRFQELVQQGYADSYGSPPAACPVK QEADYQAQYDQYQHGQYLPHYGQYAQNAPHYIYTNTYDYDYTHDRNYYTNYHSQMQYL PYRPTYRHDELDPRSYPEPTHNSQSNPIESVYPQSLDSIRSDTFRDETETDRPQCDDT GDYDYDMEDGTRIQHQATYSQYESQNQAAYYATDNSVSVPYSYTRTHHTEMYESSKSV VLCDGTDSDQDNEGNFHRPDQKCRENRIHSAQQTQDKRSFELEQEVNTTQYNQDAHHI TNCLPGHDIKRNVPGADERDYEHPDVEDNQDEEDLADVSGELNVTDTQPQTQGYSQER ELKEHDYDNETLGGAGLL
I206_07654	MGSKYQGLPDIDTAQDIFETPDEPDALLRPADNDFEEDDRVIPK SNSDNIDSTGLPGRKRVEKVFGRGIRRKDPSDLSFRPRLPPLSRHTLSSDEDDDEDEV QPKLRESATSRLRRLKAELADLENEINAQPQASSSRTIPEEGKRKSVLPPKQPLDLIS ELSGLKDRLGKLDVDGLPLKEQAEIGNSGWNERLSSLNGKQTIHREDGNANLNTHVRD VSLGEIDKRLATLEDTLGPAGEGLYQNGPIIPILSKHSQLLSLLTQPRQLDAISRRIK LLLVDLDRATTASKRSPAIHQGSTETSSTISLSTNEYDNLQQLFGLINRLDTYLPILQ PLLIRLKSLNDLHNEVGTIADSLKRLKATEKDESGEIKQLEEILSKLNSGLDTAVAGI MKNWESTENRIKSLEDRLGQLEK
I206_07655	MEIPSEYHILQESKWFAYCNVHFLILYDDGIKWLLRIRQNRGHR LPSEITTPVIQSEVATLNFLKRHGIPVPGAHLPLHLINDEVHTNTTGLDYFFYEFIEG TPLDLPTTGYFGEISLPEEKLRLFVDEYARINIQLSNLKLPFKQLGCIYPSADRFGEV VGPIVTRGCFMNPKPPHFMGPFHTHKELLLAKIDAALRYSKVNGLQGEDTLDEYLWHL ELRELVEASQKLGKIPTELFIKHDDKKGDELMVDENGHMLGIIDWEWAYVTTKEDAFS TPAIFNRTFDFFKNGSNELTYAEKLLIDAYERQGKADLADCVRSSRLYVRLDRIGLYD SAYKKSGFREVFGDDIPKDFSPPGDDIGWRGYMIKRYEHDQNLKEVIQKYGT
I206_07656	MSIPPDYQTLKTSKWFAYCNVHFLITFDDGVKWLLRVRQNRSHR LPTALTTPVIKSEVATLMLLKSKGVPVPAAYLPPHLREKNDAQNMTDLDYFFYEYISG IPLHLPFKGYFSEIDLPEDQLEGFIEEYCKIKIQLSNLHLPYSKLGCIYPSGEGITEV GPIVSLGCFMNPTSPHFMGPFTTYKEMMLAKIDAALRYVKVNALQGGYTVDEYLWHLE MRELVAASKVLIAIPTELFIKHNDSKGDEMMVNEDGKIIGIIDWEWAYVTTKSDAFST PHFFNRTFAYMKGSNSLSHAEDLLIECYKRHGRDDLAECVKEGKLYLRMERIGYYDPA FTKSGFREVFGEDVPKDFNPPDDDAGWRKYMLKRYEHDQTLKEVIDKYGTKQELAL
I206_07657	MPELFEYPCHEPGCLSPALGWTDKCELCYAVWCSNHNTKENHPC IALYDLDDLQEYHDRSVDIKLTARKNKITRVIQQVATNKEILLSDLKSLRPDHQPSLT IPDYESLEESDWFGGFNVHFLVIFEDGVKWVLRVRQSDQAPIPNEVINDILLSEVSTL NYLSKHNIPVPKAWLPRYLRENEEDIHRPPFPFAYFFCEFLTGKPVHAHELTSLPEKK MIDFANEFCKLQIAISNIPLPFKKIGSLLPERTKSGELRLGPIFNRGTFMKVSSPYFF GPFKTNKERYLAHIDATLEYITKGALLKSRIIQDYLWHLELRELVEASSILDQPPEAV FFKHADERGDHLLMNDKGHIVGVLDWEWSYITTKEEAFAAPFNFGKDTVFRREGDNSI RPLEQHLIRAYENLGRPDLGDCVKNGKLYSRLSMIGYYSGIWDKKGFREVFGKDTPAD LQPPDKEYDRVVYFMKRYQSKIGLQKLLKQENWTLEKAEEQAKRAKVEDGKEEENEAR LREEDRLKREKKEEQYRLLMEEVDRISGVNSDSVSDVDL
I206_07658	MPELVEHLCQFEQCAKSILGYNAICEYCLEARCKRHNKLKYHKC KEVRKMFGSVLDLVKQYHPHFINELRILRPDQTCTLSIPDSVDQLIDQTEGSGFNFHF LITFEDGVKWMLRIRQNRGHRPPLAITNAVIQSEVATLNLLKGEGIPVPQAYLPLHLK SPGCSINTPVPPLDYFYYDFMRGVPNKITRGHLGPIELPDDQLRLFILQYAKVQIRLS NLKLPYRKIGCIYPSSDPEGTTTTGPIVARGSFMRPDPPYLLGPFPTNKERYLAHIDA ALHYISRGALQNLGIDEYLWHMELRELVNASKVLGEEPEQLYIKHDDEKGDHLMVNQE GEVIGILDWEWAYVTTKAEAFSAPYIFNRTAPYVFDVSNAMTKEEEILMDTYRQLNRP DLAECVKNGRLYNRLLRIGQYDPAYPKSGFREVFGDNIPNDFNPPVADVDWRVYMIKR CSNDKKLQKIMKKFDVTLEQAEEQAKKWHEKNDRKEEEMQKS
I206_07659	MSIRRSSSTWIAANNLYLPIRASNRSSYSEESGNELEEDSQPEE TDSESSFSDGAERVEEEQCPGCQSTIDFSVAKVWCDERKSTFQSYHLPEQLAETKAGF IADKDQQKYDNKENEGQFNEGSEKFVVPEEPASDNSSESEGEKEVASDESDDSDSSAE DERDNDHEYNEQSDQEDTRAQPSVLELAEPESQRLPIGIEC
I206_07660	MYGGFNIHFLISFDDGLRWLLRTRRNRGAKVPTEISSAIIESEV ATTQLLKAKVSSPLLLEILPELTYHADPSKDLPFDHSYCEYLEGTPYDVFNGNLLGKI ELPEDELNHFIDEYAKIQIRLSKIQLPYTKIGCIRFDKDDENNTKVGPLINRNCLMKP NSPHFMGPFSTNKERYLALIDTALHLISLNVLKGKQPVDNYLWHLEMRELVNASRVLN DKPKELFIKHDDAKGDHMLMNEDGEITGIIDWEWAYVTTKAEAFTPHWIFNFVYGGPN TLTSNEHKLMMAYNRYDRPDLAECVKNGRL
I206_07661	MPELIEWPCDVPECHQLVVRSGAICDYCYEVRCSDHDTSQQHPC HLISDQESRRPKKRDIRLRYLSGLIEQLRLHSPTILEQASELNSNKKCTLTIPENADQ LLDSGLLAGFNVHFMIEFEDGIKWLLRVRQDQGHPLPLQIRKANIESEVATLNTLKAG GIPVPAAFLHVPPEHEVERVQQEIPFDYFFYEFLPGETWHIPKHPFFSVTLSDEKLVK LVEGYAQVQIKLSQLQLSVSQIGCLKYTDSGELSVGPIIARGCFQTPKPPYLLGPFNI MKDRYLAHIDAALQYIARGAICEWDSVDAYLWHLELRELVSNSKVLGRTLSRVYIKHD DEKGDHLMWNEKEEVVGVLDWEWAHVTSKEEAFSSPYIFYDMIDYIRGDNQLTKEEIM LIDCYDRYNRPDLAECVKNGRLYQRLSSIGHYDKAYSKKGFREPFEPIPISDFHPPAQ DVDWRVYSIKRYQNHKGLVETMKKFGVTLEKAEEDARDWHARND
I206_07662	MSGGVFYFEDDDNRNTSPSHSPSPNHSPSPRNSPTLSAESSTAV NKSGTSPTTSSSNAPPSLQIPSSPSILSPTPTPLPQHRKHPGGYAATPSFPSPLAQAI TVPSNSDTSSSSSHSSLSDDEVDLRPRRASQQGSAGSGTPKRSLDQLPRPRTASPILP SSRPASPSSQGSSSHPASPTSAPRPPAVTPTSLLMKSKRSASGSALSGNLPLPASRHS PPTASKDRSPTHSTRSRFDPIIKSQAEDTGSTSSRERSGSSGSSVGLGVTMPSSSPQN FGSPEFGPESSLQRRSIAIPSGGTEVSKEGNILGLGWTANWDTTSTSGSSFGSGSGSY KDKGKGKDALITSSPRRDRERTAPVGLSSPSRQRRPSEFLNPSGTGMDIRKMPAMSVG RPSGVATSLSTSASMVSPLNPLPSPWTSSSEASTGFSPPNSVTSDSSTAPTSTSSAGG AIRLNRVPTSVRLAAELIKNTGSTATPPPAGTSSPPSGASTASVPTPNPSPVGASPSV KANNPLLPSHRELTAFTSMPPPAMKPNATSMLDLGIGRPTSSMPATPSGTRSLSPTME KNLPNTASISGTGSASRSSSGASLAPPPAFKTPMLPIHPRPRPSLPTDPAAGLSEAFI PSARHHRYRTSLHEASLKSAISSMSPGLTDSSTVLPMPSHTTEPSPTTVPKTSSFGLG LNMPPATAFIVPEGTSGTVTPGAAGPSRVRATTGQGIAMDLAGFPGLGDAASHASMIM QSRQAKLQRWRPTSAGGHTHGEGLLPPAFNRSTSTGAPAMLAAPRTRRQAQWGDLAGS PSAASSPGEDLPPIPSTMHELTRAVSIPVESPGPKLYDRSTGPAPVHSNAGPSRSFAV PAGLDMGNLMERQPSASGMISGIEWIDWMDEYKRYKEEKIRADMDAAKRASTVVESPY IPLVAVPIPEEEPNRLSQDLDITPQPNYDTSSAIALTPTTSRDDFSPPSHSGSLRRRS LSIKSTLSLVDPRLSPISKRSNLFERPRQSSGGSAKTESSSSGTGSSSGPKKKKNLVS KMEGWWNAVKSNFVPENEQHHPNRPSTLGYHVTNRIPSEPSSRRPSEVPSLNQTEAAL LVPDARRDPSLSLRPVVSHADLRPRILHHDIHETASIAGSTSADIAQMSRQSSQETTM PPSLPNMPIQHPSTVPEESSFPPSRGSSSLETRRRQPNLRLDLESNVLSRPNSQPRSQ SDSSASASHSQPRMVPLGKSAFDRPSQATSRSSSFGQSLGPGLTPGVPRWDQTPSPVY ALGQERRGSDENRPVAPGADITVASVRKHVKHRLNAAKDICDMTLKKTIEAITGFVEK QRQEEDRTEEIPIDYFDALNMNDSPLIDTETESDVGERYDNDGSRSRAVSSSRGPSRR PSISYPAMSPTKRLSMLPASPSRVSSRRRSSAVPRNYQPARASRNMSLALDRTQSNTS SRSTSRSRSPMPGIMRNTAQKDLDEADDDQLFLTALQELIVLATEALDSSVNALVARP SLCTEIIQKLQTVGSKWDRHDDWPGRDWYVDILMAVANLSRVLDWWEAEKGFWNFDDE DENEPLLFVMKPSREGLKEEPRFDQEFKAALSIGDSRYSPALLPTIIPERPASTISLE VPSPTSFGPYTAKALTAGTGTPKAQAVEDLKFLAEHAKSVNIVMELSLQGEEIEYVND AIMEVIGQEPQDVLGKSITDLLAPGDASVFSEATQTLVEDDNNTVQLRFRFEVHEFED IEKGRQPGPVYIELEGVGMLMRENNEPTHTMWVLKPVPATQIEAITDAAFPRDGVIST EGILCRICEREIVTWFFEKHNETCDAVHRLEAEIIECDECLHDLQQTVVKLNADIDTA QPNQPAQYQGVLFYTLPDSIITNDEGASPQMPQGVEIRKIAHEHLQDVINVLSLARHI DAPSVQEDEADLPFNVQRYLSSESEEKLQRITRWQRPHTNDRALNLLFTHVEDQLRRK LKAIARMQSTIRYSEKTRHEWEDKVNQMLAERDDGSSQSDSGSDGSGSQSPTEPQVQI SPTTTGNPAGDNLAETSPPGPRKIAPKARLPITQGHPQRQPSTYGDQSGAVTITAPTP ASHTQVQTPVHVPTPVPPSFSRAMSSPASVPAPSPIYVPSQSHPPHPASSSRPSSKSN SPLLVPHDKHGHNRRVSTSKAFKDLGPLSPRIPSAAFNSRAAQPSIKDFEIIKPISRG AFGSVYLAKKVATGDYFAIKALKKSDMIAKNQITNVKAERTILMNQATSPYVVRLFFS FQSKEYLYLVMEYLNGGDCATLVKTLGGLSEDWARNYTAEVVLGLEYLHTRNIVHRDI KPDNLLIDSRGHLKLTDFGLSRIGLLNRQVGGPRPAYLRGTSLRGSSRTRPSYSRTVS NSSSADSPIISPELLNAQPISQRSQSYFAQQLNDAASADESSGSESAGIIPKHIRQMS TANKTGDISSSSGKEPARFVGTPDYLAPESILGIGQDDAAVDWWALGVVLYEFLYGIP PFHAETPEKVFDNVVSRRIDWHEDEMEISPEAKDLMNRLMCTNVQKRLGAKGAEEVKK HPFFTGIDWNTIATAEASFVPEVTDPESTDYFDSRGAAHGFHDDDAVPQVLKQAPGSY LRAGDAHLSPKMAEDMSAIVDDIAEQDDFGTFTFKNLPSLKQANDDVIRKMRSDSMAP IGQTLEGPAQLNTRRPRSLSIKLRDRSKRKTSDVNPLPPSPTTSTSSAASTPSRTSNM PQTPGMMPSIPHHIRRPSELNALDRVKLTEDHNADVARRNSTPIRVRAGSGSSAGSAS AELWRQRRQVSLTANEPAASAGMIPLDSPEGVQSFGTGMDRALDVLIAEDNPISQKIL ETLLTRMGCRCICVDDGPAALAATMGSIRFDVIICDIHMPVVNGEQVARMIRSTNNHN QNTPIIAATSYEQHQVVTEEGTLFSAVLNKPVSKADLLKCLGKLGFILSSTSNATTET SSHANSLPIQASS
I206_07663	MDPVKMQEDDKSEAYIGTHEVDNNNVDYDMAGAVAHSVNHRRLN PRQIQLSAIAGSIGAALFVAIGSGVLSGPLCLLIAFIFWATVVWSIAQCQMEIVTVFP LDGSFIRLAGRMVDPSLGVMAGWNHFFAQTSYIIFEATIINTLVEYWGYDKNPAILIT VSLILYLAINVYRADLFGEVEFWLALGKVLLALGLIAYTFVTMVGGNPLHDRFGFRHW KNPGPWAGDSPSTRLESFVNAVNVAGFIMGGPEYISMIAGEAKDPRRTVPRAFRTLMA RLIIFFIGGCLCVGVLVPYNDETLTLGKSKTYAGKSPYVISMTRLQIPVLPSIVNAAL ITCVLSAGNAYTFNASRSLHALALEKRAPRFLTKVNSKGTPYWSVIVVIILSTLAYLA LGSGSAKVLNWILNFCTAATMLNWTIMAITWIRFNKAMKVQGIDRKTFLPVVSKLQPY AGYWACFWAPLFLFLQGYAVFLRGNWDIATFIFNYGIIALAGGIAIGFKIFQKLPFHK SKDVDLHSDLEFFDALNDHYQQVKDDNPPSNIKEKILAKVF
I206_07664	MTVQPPQKLVTIDASEPLEKIYEIIARDGGVIVSNMLSPPLLQE LMGAIEPHFENRNEYKSKATHEELGADFFPEGSKRVYALLSKIPEQLTKIMRLQVWQG IMGKFLNDEYYSYTGENHLSQKSGFMLASTAALRLVPGAKPQPLHRDQIAYMVRPDPS NPLFTPMVGCLIAGSKCTYKNGATAVIPGSHLWGPDRIPEKSECTYAEMEPGSALFTL GSTYHAAGENISEPTDPEALRTLFAVFGQRDYYRQDQEEILSTPIEIARKLPEDILKL AGYHKAVGGVGYVEDHQSPHEFLHTEYGLGKFVPQAIKNAL
I206_07665	MRMKCERPSRGQNEAEICERCISDHAECITKKRRVGRQPGVKNR RTREINLKGHKSYHDNSDSHLARDQADLPNPLQVLASEAVRRQSTPESDYVTSITPEP SPTARDSGSILDRYSGWVDKVHNISGRPDLAKRLDKLLSSASHKSLMTAEEPSVFCGR TDMARPDAAPEHDVVTLQMIPLSEAQRLFDAFMIYLTNGSMYFDPKIHSLPFVRSRSS FLLAAILTVASGYISICSSSVLHSQLSIHTNRLMSYIRDQNLKSIEIVQGLLLLASWT EIPHTLSQDKTWAYVSYATALAVELRLDSALPFCVSSDPIYAPETHDLLVRNAHRVCL LLYIHDRNMAMVAGRYPIFPESTVSSQANLDMWGKHERAYKYDGPICASVSLRKIITE THHRLATSSAVDFRTHMDLIEKMMSEWRAKWAVEITSTSEYDIIARFSTFVLALTLLR KDHEDDKDEIEARKACETLAFEVCCASINNYKSWIGILNSATFDTSMVAFCAIYTLQS INRSDVVYLSDWSLFRLATLQELIFELEQQASVRHSVDTGNSMSVVDAMARQLSRGIN LILSKKQVGQGLRSIPSVEASNTSIIYDHGESSLIEPTDPGGAQTEEIHGQNLVLPSQ KQTHVQETNTLWHTPELSHHNQSFSLQDTSFDDLTQLLSSNNAIPFIPEWNLESLLPD AIFNWDHPLNHPIQESYDHHDNTNGVPANNIPFFGFN
I206_07666	MDTLTPAEVTAVMLTIGEKKAAQKYYITFFKAWMAAWMLNFGAM SVQIFTGGTGTLRTQYPGIINLVAALIFPMGLIMLVLTGQELCTANFMILIMTSIKKR TKLWELPVNWLIVFFGNMAGALTYVAFLAHYSKLYSTDALVTYSAGVAVTKTSEQWGA CLLRGIGCNFLVCLAVWLGTGARETISKIAALHFPAFAFVFLGFEHVIVNMYYIPIGM LNGADVSVGKYIGRSMIPSLIGNIIGGALLGVPMVLFYTPPELPFFHRKRANSTIVRE NIDEGSGTIGNNLQVDRFEMAKENGHNKM
I206_07667	MAVGHEPSSSGSAHRIQVMVVGLGMVGIAFIEKMLTLDTAGKYF IRTCGEEPVVAYNRVGLTEYFQHRNIEDLYLNDVSWYAEQNPEHFAFHIGEQVLKIDT KEKVVHTSRENNYKYDILVLATGSVAGLPPYMTAERAKTTKGVFVYRSIADLEAIIKY GERPEVTQASVIGGGLLGLEAAKAVYDMQIPSVSIMIRQDYPLNRQLDSSAGELVLKK IGKMGVEVKTRCEPSSIITHSNEEGQEVFEGFDIKGEKVKSDMVIFAIGIAPRDDLAR TSGIEVEIKGGIKVGDDLQTSAKGVYAIGECASWRGNFYGLIAPGVEMADILAFNLTQ TEGTAAHVPRSMNPPDLSTRLKLMGVDVASFGDYFADIRSTQPQKPKVNPVEEGEVAI SQIKPSKQRELFAHGPIQCLTYHDPFSATYKKYIFTEDGQHLLGGMMIGDVGDFTKLV AITKKKKNLDVPPSDFILGSKKSGQEDDGNDLDDDTVICSCHNVTKGAIGNCIKNDIT DLAIIKSKTKAGSGCGGCVPLVTNVFKAEMKKSGHKISVALCPHFKMSRQDLFQIIKI KKLRDFHTINETVGTPGTVGCEICKPAVASILSSLYNEHVMKVEHHHNQDTNDRFLAN VQRNGTFSVVPRIPGGEISPDKLVAIGKIASEYGLYTKITGGQRIDLFGASKPDLPDI WAKLHAAGLESGHAYGKSLRTVKSCVGTTWCRFGVGDSVGLAIDLENRYRGVRSPHKF KGGVSGCVRECAEAQSKDFGLIATDKGWNIFVGGNGGMKPRHAQLFAQDVPPSKVVRI IDRYLMYYIRTADRLVRTAPWLESLEGGIEKLRKVILEDELGICADLDAEMDSLIGTY EDEWKKAIEDPNTRKRFRQFVNTDERKPAIDIIEERGQKRAADWPKDFPSQKFEKEHL ITPEDEWKWIDLANVEDLEINDSNTTSVAVKYGNDTQLAIFHVPKKGIYATQQMCPHK RAFVLDHGIVGDDKNGNLYVSCPLHKRNFKLDDGNCLNDNSLKILTFKARINENFIQI KLPPFEDLDEIIGSSKWMVKKATAEAFGRNSATSIEIVSPSGEINNNQDNTKSNGINN GNGEANCNSSGCGSHALEW
I206_07668	MSTSSLTSLPAPTSDTLDIIPPRPAPKRTYGRVRPASPPPLGEA SSSSSIATAQPLFTSPSKALLDRWSNANQSWRDELSKLDAPSSDKTEDIDDLEEARKE MEKLRRQARGLKALDQNQNQVQPLHSANLAIPKEKNILAQTSSLTSLPTTTASSPLRS SPPVPPPRALQAHSSETAEETMFPIRKSGMPGKPKRIIMSDEESDDAGEEPPLFVRDS SRSVTPVQYEGESTTERGSSPPSFRRPPRNGEHEDDEVDDEDMAEYLKRDNQTRVERE QQAQAELEPVRQPQSSALEGLDDLFDQDDDEEPQEKRSRVHRGLNKADKAEMEKDIAR AHRERPVAFSRPEPSRLPISAWLAQANVAVQSKDRTNDRSNIPGLTFGKSPQTSPSQQ TPPDDEIILFTPSSGIRHAMATTSTSRISIENPNTPTPAAKKSKGKGKAIASIEEDDE KGNEQDFMNFLDHEKKRDDKEARRKQLEEFKKRAIAAKLQQQKPMTLNLEPTKPSSDG PQEEESDFEIESETTPKKKEEPVHALGGKKISGAKAILSKTNDQSVISKQKQGFLARA GKLHKNKNHAEGISETYIDFAGKAFNHSNAKQNNAGSKPSTQKKGRDEVLSNEDFNKF IVAKHQQQVSAIARKKEEDCGRVKILPGRQEQIIQIPETQLDEQNEEDEEDDEDEEYN PDEEEDDERMVWSGEEGSGDEEEVVEEKDGESQHDDANAVDLIPETLQEDEDEEVQPV FKRKSRPSARVAFDSDDEDNAKSASWQEVPASLKKLEPQPSATLKSTTNDDGFGGFDL GGFGNGSQGFSQLFGATQAAGSENAGDAFAGLRSDHIGGFLPTQAILPEVQISKTQIE RDNNLIAAEIEEAAMERMQELEKPKQQYINERGLFTQTKPPIAEVVFDDETQISQSRR NLGGLSDLSILGDQTQQTPYGKQTFTQIGTLSSDQRQSLNDTERSPTQTQDEDEEETF SRLRRRRESDPDIDQDIEPVTLSPTQAAPSAQRTVFDRMMKAASRPQKPKFKSRMVDE QAEESDEDNGWALPFGNAENEDDDDDDDQDAFLEGLVDDQQVDEEERRRQDEMVNEKN REIQAADDLRLEQEARKIIEGQHRRKKRTKDFFDDESESEDERGGKKKRLSKKQRRKR QLDREDGLDKLEGEANVFRRVYDENLESDEDEIDETPFFDIDNLPGAGRSLVSREPEE LAQEDVPIAPKMTFKEKYNLLRARARQNGGKTSDELAIDDMDDEVLMPPNDPRARALK QRRNTFVDEDEDEDEQGKDAGFSISKRTSMQISATSINDKDVHRRSLASYASYVQEES QVTRRAAGGAAGVSVIRPQNSSSRSIGNGGLSSRNGSMSNMARPAPVPHPHRQSTTSS TNSGSGSVLLSKRSKFA
I206_07669	MSRTPQNGESSKSKRDDDSHRTKILVLGWRKAGKTSCIKTVFQG VPTKEVPYFGVTQKIEKINYDSIVPIQIWDTPSNFELDQLEVPINTFSTIVYVLDMQQ DDSYHESILRFVHLMIRIHLINSSIKFHMFIHKSEVLSEDYRGENYAEIQRTTSEEIE DFPFKSLQQQQQGGIGIDLEDQQNINLIINNLISDVRYSMTSVHDVTLRDAWSRVLQG SMEMLPAVESLLLDFTSHSSADNTFLFDINSGVILATDNRHRTDDLSEQVTEYLSSFL AFRDLYKNIRKAKSPSNGDANHEADKAEDTNPDGEENTEDDEEDEEDEDDGPRGWWDD EDPDEPWMTQSTRLMPNTTLALWQFTPYLALVVLLRTETWQARRGTIEYNLTFLRQGV REILSVV
I206_07670	MLNIQPTETSPKPSFIEQMLRLYPLQNNDHLLKPKAKSENPSSV NIGLNTMNSPVKNLPYTTNVQEQISSMEIRTLQASLSPIGRPRQTNQTQNQNQQSPIP SFTNINIKLDVESQSVENKQNILKYDRKLFIIIVGLILLMNSTIISWIIFLNQMNKKK ENENDIDILIMIGNGCFILLLLTNLFLLINKIIKLYSLFYPSNINQQQQQRDRNFENN DIPWLSPSNLPNYLQTVGIENLTGDIEDELILRNKNLPKYGDLKGSKLLLKSNNNNNN NNNNNNNNNNNNNNNNNNNNNNNN
I206_07671	MNQQNNLEVNAPSSNENPIKPGTFQSILPILDDLLGILYTQATS EGGNKAQAGESVAAKAKELATTLENMKLASMELPGGNLSINEIRKLSEKLDEEAEKRM LVKNFLFPISIHFN
I206_07672	MSRLLRIAHVPISRHSIRSFGSSCRILDVSKVTLVGRLGADPIL RNTSSGKPYYTYTVATNVGAPIEENGKLHPPPTSWHTVFSFNEFQHASLQKLGKGSTV YVEAELEMRPYESSSNSGTKDGNGYKHDRAFLKHLLSDCTTHVKEKLNVINRVRPENE QDNSNADD
I206_07673	MPHCSRCLKIEMTAYELEQSENGDWACPFCGLVDSTFTARSQLK DFAKDAGSVMDENTRLQIKNQQDRLQRKFQEEVDTIFNLYLGISSLHTSILGYPAPNL KHGAKQWFERMREAEKKYKPNRIHLLQRSEARRTRYLVVVSIKLAAQESALTVLHNRL ASAGVTLRNKRNLPGYTKGDENIDFPELQAVFHRANAFSGSSFGHLDSDIYLRHLFTR YSRWVNFVLTPLEITLLHCLAIVKRLQFLVELPHSERVKHLYTLPKVSKGDRDWYDSD FADLADINWKDVLPHAYQLYQIQECVRLWGTSSSPSVAIALIQWAIQSSSKLVFFQHA ALQQELAYVYGRGVSGAAERFRDMRNLIIAWSTSITDAGVPFPIMDLPPRGGFGDGIS GYRGDGRRAIPEIELAVAAAPTIVQHWRKILKARISQRTDIMSLDDETWLSRKMFVVS AQVHHYRQDPRSQILKSQVIQRSTASASPSPSPTPSSPRSATTEITRYKPRFSDKFRV NAENARKDIATFEPLKRARVRPPPVRHSTSSGALVHGHRNTSVPVIMNNPFGQKYFVP PPEEKSIERLIQEPELSSDEDGGYTSDEDANVTVNNKTSRPTYLPATLAQIDANSKSG INVDRPFAFTIGPHGFNRDSSVTPPTPIRALPINTIRPALDSSSDLSNSGVLMSRQSS MESSSGMGSASEAETVVRRPHGKSIAIGALNTPIASIYPSPSPSPNASNTIRQSRPPF NNCTLSQTASYSPSTQSNPSIEREDAHVGLLVREREEYIQFRLPTLEREGIIVPTICE NYMWKWIRKQVNSGAVPKVINGDYLKSIGIYGDPHEIDLGPWVQSNSYRWSPLECLLR AGIKPKELPVQHIPHSLIHTKILLRHFDHLPNFADEGELLDKSQADEDLAWLCHEEIG EDANSYLCTKREHSLRRSAYEKEFGLGNDQSIKNKRSTSVRSSIPRDTPLREINEEGE YEGEIEIDSQLLDEEEDEDVPSSPRSDYEMEEESSSRRDISTFKRSLLYTVDSRKDVD GIGGLLKNFGESNKEDDMDNEVNLDFDQMLGIDIRTIGLGSEFGLNDELNEVDREEQD QNIMNRSKKGRKQKKDKEGNVEIGKRKKRKASLIENEDDEADGEERNYSKKSRLPQKG 
I206_07674	MKNLSEEDVEARIVKLKNAEPDKKVDIIQAFGLAIEEVTELPES TIDPIILLLPPLIRSSHPLLQISTLTSFLPFFIPLIPQTPNSHLRLTLLQILPALIEK LNDPKDRIHTASNNVIFQLGKSCYIAESTSSSQQLTSSAGGNGGVGSKSISAKGKEKE TLVQTFERYLKDTLNSKSWRSKVELLKILARLKIELGSKLSLKGWLGILVDLLEDSDG NVRDQAKETVVALLSPSSTPAAARSEMKKLLLARNVRKTISNDIIARVIGGENSVESG RSTPALASSSIMSEQPAEEVKSDGRSGTATPVVSGENNDDIEIVYIASPHDVLNEMSA MLPYFEGKETEHNWLPREKSVIRIRGMLKGGVWTKFHETFIQGLKSGILEGVSKTIVS LRTTVAQQSCYLMKELTETLGPSFDQFVDHLLPILAKMSGYTKKIIAERSQACMTAII IHTHIHPRIFIAHIAAGVSDKNIQTRHFSTGHLKTFIDIHGSKSKHSIETTPGALDQL EGAVKKSLVDVNPAVRDLARQAFWSYHAVWRSRADVILNSLDGMARRQLEKFNPHNTD NILSAPVPTKAAPPVKRATSSVAALLAEKRKAKAAELAAGKMVSESPRIVSGPTPASP SIMQQGSYFPRSNSSASLSKIQRPDKSTTPESSPQAVPLPASPSPDHSVPGLTASPTP ARQFAMGSPKDLLSQNSKGRDPLPKGSPSRDSPLRQSSTNPFASSGLRSPGSSTSSNS TTEKISGSGLATPSRIDRSFSREAEVDVDDENWSAVATPTRTLPEGNGVVEDARRAQA AQAESAARQLMEYVEDEEEAIPSTSNHPHHNIPVTPARPTNGHSETNQRIKDGNGNGL GSAYKTPSNIGRRQIWEDSPRPESVTPLMIERLKERRHERSWWVKRQELMDKASPLKS NTLTPSSAITDDIEALMNGSPTLRNLQKISLFSTSHPINTDEAAIEDNNQRVSKIWED DKVFERILAGLMSFIKPDQEKEILEQGLVVLWEMVQHQWILFDGHEQELVETLFRLRE SHDSTILESTNALISLLTQISDPIYFLTLIKSCLIDYLNKHSQEIDINNNDNRDEREL SISKLEISSKKSLIEKEKILNSGWLFGLTALSMCILQLPLEVVEIEGEKLGKIITDSM LSNSSIIRQSCVNLILSIQIKINNSNKILNFLNLLNKGQKDLIIYYMEKNKILENPST TTTTTIDDDDNDDNYDNNEKEKEKEKEEKILKDIQNLIGRNLLDSN
I206_07675	MSDRGRSRTPKSLTPSLSPSPSPSPSAIRRNKPYSSSRSRSNTR SKSPKKINGNSIKDNGLKVIVVSGLSKNVMKGHLEEIFGEYGRITGIDLPVFKVSGLN RGKAAIEFSQSTDASLAVKNMNGGQLDGSFLSVQISEHPLPAPKPPSPPIRRRPSYSR SRTRSRSRSPPRRRRRSPSYSRSRSRSPPRRGNYSRRRSPSPPLRGYRGPGGRDTYVP RGGGGGGGSSYNPSTIGRGGYGGRGGGGGPNGPIIRRDRSPLIVRRPDERGAPRRPSP EYGRGARSISRSRSYSRSRSLSSRSRSRSRSRSPIRRKRYTPSRSRSRSKSMSIDSRS RSRSRSRRSVSPRK
I206_07676	MSQAVPPSWKDLGKSSSDLLLKDYPIQGTSLEVKTLTPSNVAFK VAGIKDDKSGAIAGDIEGKYVDFKNGLTFTQAWTTSNLLRTQLELENQIAKGLKLDLA TTLNPAKASKSAILTAIYKQPSLHTRATVDLFKGPTFTADTVVGRDGFLVGAEASYDV LSGAITRYAGAVGFSAPEYAITLHGLGNLSTFAASYYHKVSKDVEAGAKAVYDTKSTT GGVSLEVGAKTYLDNAAFVKAKINNAGVLALGYTQALRPGVKASFGLAVDTTRLNEPT AGQAAHKVGASFTFNA
I206_07677	MDSQGTWKASHMKSYAANRDDNPSSSDAESSQQGWSGTEWNTAS VPRPSLSSSDEQLLPGPPIITHTPPQGSEGFLPGIPHRRSTIVRPSLHTSGSNISLEG LNQPLHRSGSAILDIDTNGSPSRKSPSPLSNGYAQAGPSGLNGTSSASAYASTSYARS RRKETEVEIESEEDENPDSHSSKSKLKKNSRGRGSSFSLPFHRKRKLSSSLSWPMRWW PGGGGGNLQSNLKSTSTSWSLRSILTTMLFLGTLLWGFKIWKSKYEIQVEFSVFNKKW IKSEIDSIPNLKGCFLAGNISPHYNLTKHLQPKRNMLSPGLSLKRGMSCYDFSSTIQP DPSQPLESLIYHTYWRSDLIPFGSRQLDTLIAFLATQPLTHSRLVIWTNGKNVLEDNI TLKPFLSKWGEFIEIKQVDMNSLTKNTDLEGVLSSNSGIDSNAVATGRVFDEKAWVDG DAIRLLVLWHFGGIWLDMDQILTRDLHPLIEQEWVTQWDCYDKPYYSLNGALMHFEKH SPYLCEAFYLMSTSPFPKPNTFTWGSHLYSKLHRALLSKHIKPFGILPWCFTDPRNCR TDNRFPDPFLKDPEFFSGKKWNSEENNGGKEELEERVGQVWSLHLHNQWSKSFPLNGW INRLLNGYQDQLERLEIYAAGKGLVDEDGRIRLE
I206_07678	MFSAFKLLTLAALKATTIFSIPLEDDQLIFNPSLSSIDTEFPSL KLSNPPKGHINRTLPSGREYVLFVPDEYDHKVEHPLVLSFHGAGGNSSRQEILTQLTK PELRIDNKPFLSAFPQGVDNTIWGMKHIWRGAPYANQSVDDVQFVKDILKDISLNYTL NPLRYYASGKSNGGGFTSLLSCLPDTSKLFAAFSLISPALYQEALSFSGCLPSRPIPI LHSHGIEDDDTPFKGRSRSENWLFGPEPNVNNFRKRWALRNGHPPSTLTSKKNKLPKP NEINFPYKNVTEEKWTLGKAEIIGLSIGGLGHSWPSTEGLDLAGRPNNFANFNFTSQH LVQFFSRHTLPEEFLEKN
I206_07679	MPLPYLKGFLAHFGSVESVAEESPPEGISASNKAVSDPLNPMQE DPVEESSKTNYHYDVILDPAKRAQWLQGNKRPKSYNLCFDPGEGDGKCHYNEATFCWK RSIRATVEQTETNPDSGEEELIGRYEIPAAVVFHAEVQYPFTGYNHTTAYLDSFYETG EGGWENWYRRVSKFSFSCNVDLSQRDSRIEPSEQPKPVWTFGEASLILCFNTKDAESN DTTEFDYPSLGHYYQGDSYDKDIGVRRITSDQSVDFRARITLFGRDTEESQMEGIEYK PF
I206_07680	MTTALRPSPVPTPPTSSPPTPPTNYPTFPRLSSPSINRNASTSS SRSTATTSSTSSLQAAPMRPPPIETSTAATSRSQLPSRAESGSECDAGTSSSRTYGGP ELGSVGGRGWGRNGPRSGRATPQHIITSPSHSPPSARDSTPGPSGLSPTTPRAPRYTT QQDPHSPGPLRVTISLDPMDTLDHDHRSNTPPSHPTSPVRGRGGHLTAPSSPTDPPRA PALVGGKPRTLSVDAGPNWPHARRSGSAERDRERRQSQISTHSHSGSGQLKKPSIRDF VLGEELGQGSYSTVFAATAASSSSSSSNHSPSSAKLPRKYAIKIINQHHLVQEKKVKY AMIERDALVRLSTPRASTSPTTARGHRRGLSSSSSGGTPQTASRRKSAASISSSASTA RKDSSATVIASHNKDRLSIVTTDSTLSSSPLSNAPLSPAMKGIHGRRPSRTLEQHPDM VPEQTEVLVFEDARSRPPSPVKEEPTSISDTNRQATPPQATSSKVDDTHQPPNTGYST PDIQSSPIIGYDHPRSSREHKGQTPKKRRQSLAPSERSVKPGGGKMGQAHPGVIRLHS TFNDSTSLYFVLDLASNGEMTTYIRKYGSLDLASAKYYSAQLIDTIEFMHEKGVVHRD LKPENILLDDDMRIKVTDFGSAKLLNKDEEVIEEGKKRSFVGSADFVSPEVLRNEPAS VASDIWAFGCILYQFLVGKPPFRGATDYLIFQKILKREMEFPQGFDEDAQALVGLVLN LDPALRPSVQDIKSHPFFALTDFTTIWTIPAPPISTGLTPPVTTLANVAPDSDLWAVF DDADEMSDGGFEYDQDDEEVEQDHEHIGEEDEQESNDYSKEPRFDRHAAAHAVRNVDY PDKSVVYSPVDGPHIEIAEQLDPPKPSYLQHSGKDEKKSRGWSHGSSSSGGNRSALTG WLESMRLGNHGHSPVPPGIRSNRTSRTSVRSEEMRIMMGSQASSSASGTPVSISGTQP PYPSRLANGDSRRLNLGNPVDDSKWSSLLLSNERIVFSSPIYARTSSPSLHLPAFLMP AAKKRHLILTDFPRLITVKDDSVTSSNSHSHSHTPSTSSTGEESGIGNLKVKGECIFV VRPSNATHHSTISNGSTINQNHPQGTSGISNKVIDVQDKGSKVFIVQTAGTTYMYTAD SIELKEQWLKTIKRVTGI
I206_07681	MSSSSPNPNVPYKPYTPRRQRSNRPDRPLPPPPPEEDVGAVNAF DIAQQFASPPSPPRTSSLSFNQDDQDQDHPPPPPPKTAPLINSQRNQQDQPPNSAPVA QTSSQHLHPYHSTLPNSLEPPTTLTPLRAHYLKKTLVNLQIQYELNLITDPVLGANAL GLLGDPFILPESIKKEALERVSDSSKLEGIAIKQGGDLPFLRFMFHQFLLPFPFLATA PTSFWGSKVQPFLSSFLLSTGYSNSNLNENEKKVMESLMTKEERKEINEKKKLWIKIE KHLSLMFGIGIKLINGEEVVRIGQNELKRLEKIQEERKQQWLEKHSHSQNQNDLDPTQ GFEVNVVGVRVVTEKGRVRSRSHEEFIIRTRRNGVSDVFVSRRYGDFKRLADELRLAF PGYPIPPPPPKDKSVTTAAVSPPPTVGYSAYNPLRMIYGSGIPEASASSGHNTPGSSA SAASGRPDSPSSPPVGGATPLSREKNRLTLRAYLTSIMVLPFVINSPILRSFLLSAPT TLTPHEAVDCQRRLEADAVREEGRKRFRIEAEKRIEALREGLAQFKGDVLSKEGGLKG VFEVVRRVERVEDLPRAEASVLEWGRISLAATIFQLFVASDTASETLTQLKRLHGLMP YFVLKGILKISNPMAMIRGVLDLFLARPFGGQSLLQRMFSSSLTEDVRLLQEDIEAVQ DKIDDPVLCQKIEQYANAPFEIQEVFRKDAAQEGTDLLVTIIRSPDVPTLSRPQFQRV AKATRAYHEYKSAQAELDDSDDDLGPDNEDAWLYEDLSVLLKLWIRKREKEGLLALIF EGVTAELLKDIITIFYAPLATVYKAASIADSLGDLQAFINDMIRTVEQVEELSQEDPQ RTVQTFIDLVQRHEQSFYSFVHNVHSKGQGLFDSLMGWIELFLTYARTGLPQPIDLEF ILPASEDARRAVMKEVDSVAEYHYKLKVAHEEKIRRRFRSAAAGPTGVGSDLGEVDEE AALLDSIMASLSIGETAVAEGGEMADEESEEEDQESEEEHEQELRDLEDDNREIDSER SSLNSSNINLQVNDQIAGRRGSDDKKQNRRSLDRIRNSLDFKRNSNNNNKPNSPDPEI QRRDPTNEPGRPPRSNQQTQAHSHSRKRRRRIEKNENFLVAPETRAIEELRPIFVEIL RPNLRVRLPKN
I206_07682	MTANPTQCPSAAQRLVGDCPHCQKSFCSTHRQPEAHNCSGMQAC RDAAFQANKERLEKERTVASKIAQA
I206_07683	MSSTSIRQKPSPSNGISIDLIRLRLRKFLDIYLQHRPLVQRGLT AGFVLYCLGTTLNSLTGRTGGRTHTSGGRSKRNKDSKKTTASPNDPLFHIRLKKLLRI VIPSLKSREAVMLALHSTFLVIRTGLSLYVAELDGRIVSSLVTAQPTLFLMNLTRWLL VAVPATYTNSMLEYLQSELGLAYRTRLTQHALKTYLDPILPSQQPFLNSDEKSDSLIK SNENAQILGEQLFYKLANLDDRIKNADQYLTVDIQQFSQKLAEIYSNIAKPVLDVILY NYQLSRNVGAEGLVILTILVQSSAGILRAITPSFGTYAAHEAKLEGELRFTHSRLLES AEEVALYHGEEFEKNVIERGYFALVKHANRVLRIRVWHGMAEEGVIKWLWGSLGLCIC AIPVFAGEILGMKVGDLGTRTEGFVTNRRLLLSSSDAFGRVLYSYKELAELAGYTARV SDLFDTMEDVKAGHYQKKLVSSTGVEDNAKMLQGRGKIIESDEIRFDQVPLISPNGDV LVKSMSFNVEPGKHLLVVGPNGCGKSSLFRILGGLWPVYGGTVYKPPARSFTYIPQRP YLCTGTLRDQIIYPHSQLEMNEKGTTDDDLLKILDIVEMGHIVDREGGWDTIREWRDA LSGGDKQRIAMARLFYHKPKYAILDECTSAVTLEIEKVMYDHATALGITLMTVSHRPS LWKYHSMVLQYDGAGGYIFTELDAERRLSLQEEKQELEHKLLTVPKLKQRLEELKVVK AERERLIAEKA
I206_07684	MSHFDTLSRTTSRTAGSTVSRNQSLIKKNTAPHELKPSDILIER FTAWKQIVKMLISYFEGVADIEANTSKELTKLGAVIQVPFRPGNQFLGEGGMQDVFYT IRDKTRVIADSHSSLARTIESSIVQHLQKLRAEIKAHIKNVQNDTGKLATSVAKEREL STRAIADLQRAIGAVSHTPMQVSAKEDPFAVNQAVHKQLSKQVNEENSLQKSIIIMQQ NSAHFEEGIVRSIQSAWATFDEWQTRMSSSVQETWRHLGVNMAQLMPDREWVSFAARS DHLLDPETPLRNPDLIDYPGKNDPAVTPVHTGLLERKKRFTKTYKEGFYVLTPAGYLH EYASSDPTTATHPVWSLFLPACTLGPPSSATTAKSHKFHIEGRKDGTSAYGKTPGGKG LFRGSETAFTFRARSHEEMMEVWNDLRMLVARYLVASEQMERHGDVERAVLSVGYRSD EEEEEEEEDDEEEGSSVEEAEEEEEEEEEHAAHAHEESEEVPAYTSGGAAPLEVGPNG YVVDKKDKPELGAETSSNAGTGKEVERQLSRKEEKAPAREEPTTGEATLSSSTATSGE GAPALDTSSAARPEDTLGEVIHAPGSVTGTSDSPDAGPTSLDPETPPAHNEAGSKGGL FSKFTENFSGSKKKSEA
I206_07686	MSAPTKPDQNAIGQSIAKHILLLQKEGLIQTNKTDYTASPAFSP TDIKSILDVLNDKEVTRGRGGAATDEAPLLDNYRKVSDYFNLNDKPTITYALSQAITG LPAVHTEDGKNNKEEIAKKALEELEKYSKGP
I206_07687	MTSLDPNKSLSPLPPLKSFSLTHILYDPSHPLSIPLTLLSLSPI FLFVSYFTLLIFNRSLSILFLGLGSIGNEILSWTLKRLLKGDRPYKGFGEIGNGYGNP SSHSQAAGFLVSWGIGYFLTISFRSNSTAVESKFKIIRKVRNGIYVFGLIIWSIGVSY SRWHLHYHTPIQILAGYSIGLLAGSIYFYITEYIPLQYPSSILGRLRTSVQNIWEGLG GVGGFDLGDAKGGWGEGWIFDKSVKSKAYQKKKKI
I206_07688	MWTKIFPQTKAQCGRKHDCEVWQSVACIVGKSHIQIGRQRWDVT EKDWVISGDEYQELSLTIVFGLACAQCGYPAAKLRSFNWGLKAKRRKTTGTGRHAHLK DVNRRFKNGFREGGAAPKKVKATSE
I206_07689	MSDAALFLRKRKDKDRRSRVPSRPVGGPSSSTNNVSTASSSTRP NGVASCSASSSAGVKTEPGTNGQNANITEIKIFSSGSDGGLRFNFMRLNHEKEIDPSQ IGKNILLNRKKPGPREPSKYAMNKEGKIVGKFVYDNEGKLVLDSEGKPIIERKPEIEG MDMSLVGQAPEEIEDKIGKAPGKKKMKKGTKEVFHQDIEIMRLRREEASPWILESSKP KDKSIIPEYWVGRMQEPSALPTVLLVNDGTTEGFEMVPLGRTYKFVPDRPFKVLDSDA ANKMFEHQAKHKIHDRWALRPEGANNIVTPEHIVHGVKAEREMEERVRRMEIRMNQFN GVAIDRKPKIERFEDDYVKEGRRAERGLEGGIDEELDFDETEHFQDDDDVNTFYRNAD EEDQAREAEEIQKKEYRLANANVGDKPQIADEDGEDDGDLFGEKKKYSDEGKKLKRIM KKRQEDGEDEDMFSDDDESDETDTESVSSKTSQNKEKERDKDGKPIDDQGSGSRPSSR GPGSRGTSSPTGKRPNVPLSGKASTAPPGSGAAFLAQRAASRGASPRPPGGARAGSPL SGRAASPETGNGRATSPVMRGTSPVPPSRGQSPAPARGSSPVSGTTSRDASPAPGGNT KSKGKRKTDSESPGPGVGTTASPSGKRKNSPAEGNTNSNGGISNRPGKKSKKGSNTPT PGPEDLPNFEGMITKQDVLDWFKSLKKETVPMSEAISAFRNRIMNAGKNRESNQKLFL GWMKMLADQEEKMLRLKEEYR
I206_07690	MASNLDSVLKKNANDLAKELEVDRILKAFKLNPYDILDLPITAT EAEIKKQYRKKSLLIHPDKFKHEKGLEAFDYLKKAEDHLSDPVKRKDIDMIMTHARTQ VLKSILGSGYSTNVSDDDARLSNLTPPLEQQVRAKGREILVEDELARRRKTKLAYANE GAEKAKQEADVAARKRKVEDQAKWEERREERISDWRSFSNKKAKKGKKSNHVLG
I206_07691	MPTFLSCFPSKQSQITEEDALIRSSTKSDECIFCNVSKGVGFNV IYEDEELIAFHDRTPRAKIHLLIIPRHHIASSVRELRKQHIPLLNSMINLAITLIPNS SIPKMGFHIPPFSSVPHLHLHVFSGKHTFIGKFKYPISGSNDHKGYGWFVTPNQVLNT LHQGKTVGTGRG
I206_07692	MSEEYYRQMHKHRPFKDVEAERPDFEQSYKPHFTKVPEPNFVPG QGLNSLPYSKEHEAKQDGFRSIIPENEDKSNIYKLMISGVTPRPIAFVSTLGDDGHSN LAPISYFNIVGHNPPTLMISVTSGKRSDGWKDTNHNILNTKEFCVSIISETFLEASNY CAIDSPPEIDEWSLSGLTKRSSETIKPPHVAESAFSMECTLDHSHELIGDQGKPTQTI ILGRIKRFQIKENFLDPNDPFRIMAEKLRPIGRLGGISYSRTNQIVEVPRPVWDQVKD TPEVKAALKTGSKTI
I206_07693	MPSTPSLQNNSNNGKNAARLRNQANQKKYSNPLPILINSSNSSD KNSSTLKSSIINSYIPSFSNLNKKEIINLPNCIGTFDNITRSIWIEDEKSKLILFNRG FFGKGNLSRSEPSWKQRKLDLLKGGSTLAAEQMREARRQARKQFKIDRAAAMLNAAKQ AEAILTSSTKASTSTDLNNFSSSPLSQDNLEEYIGEEGELEIDDQRRSNSPTPSTSTT ITATTEDTIIDPMKLTAQTFLIRPTRPDSNRNRGKKAFRRRPPPSQVPLPTTTESTSL TSNSTAISQLPPIVPLTASKEEEEEEEEEEEDLFDESLVEDMEHLQLSLEEALFLSLG LGVLKVFDPISQTYIPNGPSLLSLLLTPSTSPFSNTLSTKTPMLPDDPLLVSYIAYHH FRSLGWVVKDGIKFCCDWLLYRKGPAFSHSAFACIVIPVYEDLEEKEISPYGNQDWYE EKLSWKWINTIMRVNALVQKTVIAVYVTIPSLKSFDSSLKFENGYLNPEKIDFKKLLQ RYTVREVSLTRFGPSRRRD
I206_07694	MSSDKHQATFSWGAGAQTVNIAGNFNNWSADATPLEKQHDGTFT ASVPLPWGEKQAFKYVVDGEWKVREDEAKEWDAAGNMNNVYTAPAAPVSSSTPSHSAV AAAAPTPAPASAEKTAKPTEADKAPSSAGSAAALTDSGPVKTAIPAPAKNLDDTPAPT TSSTKTEPETLIASSAPGATKGKSSAPLELGGPVFPTSSKHKTASDTETIIAPATATT TTTTAPTAAVSGQPEQSAANPVVPAPTTEAHPKTTAKPLAEEPISVQIEKVAKQANIG EAPQPGTEEHGIAERASDFAAGALAAIGAVAGTAAVAIENLTGVDIAHTGALSVEEAK AKGIDVNTLQKTDAPTDAVTPVGTAPSASAVDALQEKVNELRVDNSKDISGISTIPLP QTAEPKTSLPPPGAVQLNQPKVEKNPELDLPAQHETIGNHKTVPQPVITTISDKDPKK DRSAPSTSIDDTAGTKPTTSDPGVSAKQEKDVAERDPVKTAAASDSPLNEPKVSPNAP ASNLTNSKNVDKITPSPTTPASAPSTAAAAPSTPAKTAPATPAKDTIATPGSTTSTPA STPAKSSHTKDKTTDSDIKKRKSGIFGKIKHAFSPKDKSK
I206_07695	MITRRSFLALVTVLAFSAVVLAKSEKAQHFFHTPSDHAVAEHGT SMKKFFATLFPFESPAYNSILATFYISSIPNFILLAVPATLEPSSLNTMISFATGGLL GDVFLHLVPHSFFGEGHGDADGCDSGRSIVVEEKRNIVIGGAIFLGFAAFFVLDKTMR VLNSSAGNEPHSHSHSHSHSHSHTNQDSKGTSTSISTFSDAGELKSRKSPSDVSSIEP KAPLDKDDDKIIKKEVNQSLKLSAYLNLFGDFTHNITDGLAMAASFYSSPALGAVTTI ATFCHEIPHEIADYSILIKSGFTKSQAMGSQFFTAVGAFVGTFLGIWIAETSGAGNKE IVIEVGQGLFGTSVGAGELVIPMTAGGFLYIASVSVIPELLAESRSAKQALKEYAAMA FGVFCMAVIAWNE
I206_07696	MFHSTRRTISILFRSPLIPPPQAPAAVELSTFNSSLNAGPSKLS MRSRTISNLSIRSTLGQKRNYASDKGQKELYSDEAGSTGAGTDDVAHTDAAFNKDPNP DSASKQVEKESGKDFTNRSSSNADYSHSPGKQGEKGSETPLNTSKEEAK
I206_07697	MKLNRSRLIFLNLPSNVKPESFRTRLTTPKSLVSCNITDLKLVP KRRFAFVGYKDAEEAQKVKDWFDGTFEFGGGKIKVDFVRDDPLAPSTTKGKPSNSQEQ TNGSISQPQAGPSKRLQEFMDVMKGNEPSTSNTNSSNAVPGDQGWVADGKSQPPKSEK SKKGKEKSVEPEESPEGEDDDAAWLRRRQNDQLQTEGESSTSKSTPDEDLILSTGRLF VRNLAFIVTSSELSSHFSTYGKVDEIHLPISSTTGEPLGTAFLQYHNNEDALAAYKGL DKTTFQGRLLHVLPGRPKPGQIITGASTVDGKVLGKANESKGEVKKGVEDRRKEESRK GVNWATLYMNSDAVAASVANRIGISKSELLNGDSGNAAVKLALAETTVIEETKKYFED AGIILDSLQPKVPRSQNIILVKNIPYGTTIQALTDLFASYGTLTRVLLPPSGTLGVVE FENAQDSGRAFKGLSYRRLGNAVIYLEKGPIGMFKESNKPQINILSTAQKELEESQAL LNKVNEIREIPNEDDEIGSTLFLKNLNFSTTTLKLNSVLKNLPGFSFARVQTKSNPKK NGEILSMGYGFVGFKTRKDAQKALNALENFQIDDKILQVKFAQRGIEDDVKENNNNKK SNNEEGKIKSTKLLVKNLPFEISKKEIRELFSAYGQLKSLRLPKKSIPTTTGSSSTRG FAFLEFTTHTEALRAMEALKHTHLLGRHLVIEWAKENDSVDIDGLREKVGRDVRFIND DNAGRGGKRRKLDFSGNAAAEENDGMDLE
I206_07698	MLIKDQCFQFNSAESFIKAYSSHLKRSGKLEIPTWVDIVKTGAQ KELAPYDPDWYYVRAAAVARHIYLRKHVGVGALAKLHGTTNRRGTRRSHHRDSATGVQ RNVVQSLEKIGVLEAHPDGGRRISQDGMRDLDRIATAVLESERAEESEEEEEEDEEEG EEEADEE
I206_07699	MAAQAPAPAPPNAPMAPISRGNRPTIVGPDQPEDPYPIKLEGTV TKGFGRGARFLGIPTANLPDTSLDELNELNMTGIYYGFARIHPSNSTPLPSTYPTPIH SDEKIWPMVMSVGWNPYFKNEKITAEVHIMHPFKADFYGHHMSVIILGYIRPELDYVS KEALIEDIQTDVKVALNGLARPEYAKYAEDPFLTKDRV
I206_07700	MRFPRLVHFPRNLRSIHTNIQPLNLYSKSPLIRPHNPPLSSDIR SSIAKPDDFLFWPNFFNVEESKILLSMALWKLDRVDSTLKRRKKGKSSSISQNPVRVD ELQSLFDREYGFEDGHYDSVIHNYRETLLSSLPTSSSSNLKSTLAKLYSLLPNLPPFD QQNIPPEGTITHLLHLSPKGEILPHVDNLEASGSVICGVSLGAERTLRLKMKDEEADG WDIKLTNGSVYLQRDSIRYNYEHSILPYSSAGSIWNDERLKEGHRISIMIRDTPSKPA EL
I206_07701	MVSGEKSHKPIATLSGFSLLGGIFAYTKFNSVPSLVGSFAIGSM MLLSSMRIRDGMEYGYEGAAPRSQANSSFAEITSVSSAALVAPTLRRTIRTRLPIPAT ICILATASTAYYVKALSEFRRHAI
I206_07702	MSDPTRPGTSSGRPATSSGRLDTLDGRRIGAGRKRRQPTPRLDT AASGISADELPEQQFYREGDDDEDDLEEEDEEEEEEEVFAFHRPTTAAVPALGTISDY SNSAPPSSHLPTTAGTTTNFSSSSGPEDTHLNTPGLSNTPRSFSVDGKVPTPTGVIDV GGHLPELTYDKSNPPPFSGLHNPNNSSFAFTMSSADDHNGPTMARKSKRPNSGSSLMD RLNRRRGSSARTGTATTNFTTTTDMSRISEDSGLSEPGLSYRPTTSNNRRMKSSAPLI SETDTDFASESGRGYSRGSYGMTEMTGDMTIPDGKTTWGDGLGGLHKEASDNGDESLG VLDPGMVEEDSPYPEVRASVSNIDDSEMPALTFRAWVLGLLFVIIGSGINTFFHFRTP APYISPLIVQVVAYPVGKFAAWLLPITTWKFPKFLGGSEFTFNPGPFNIKEHTIIVMM ANVAIGPAYALYAIVSSELFYKHKFGYGFDILLILSTQVTGFTMAGLCRRFVVWPASM IWPANLVVTTNLNTLHAEEDGFQGGMSRLRFLLICMAGAFAYYFFPGFLFTGLSYFSY ACWIAPKHKVVNQLFGVSTGLGMGILTFDWTQVTWIGSPLTTPWWAEVNIGLGFIFFF WILVPILYYTNVWEFAYLPVNVIQAADRFGSSYDIFNILTPDVTLNTTAYALYSPVYL SATFSMTFMLAFALATAILVHTALYHGPRIYRAVINVKSEADDIHMKLMKHYPEVPDW WFLALFGVTFTFAVVAIEVYHTELPVWGYLVAVILPFVYIIPSAFIYAMTAQQPAINL LAELIPGYMFQGQPIPGMLCKVFTVQTVTAGLSFIQDQKLGHYMKIPPRATFVAQLSA TTIACFIQSGTKELMFAKIPDICAAGQKSLLTCAATKVFFTSSIIWGLIGPERLFSKG SLYHPQTYALIVGAVLPIPFWFWTRKYPKSIFRNFNLPVVFSGASYIPPASGINYASW LLTGFIFQFWIRRRQFAWWSKYNYVLSAALDVGTALSAIAIFLFLDLTGASVNWWGNT VYQKTADWDGAGAAYFDAPVTGFGSDTWKL
I206_07703	MTSSNILTTHGDDDKNKQMEHDGTAVIQQQVANDMNDDTREPDQ AYLRAPKRLRWFRGTLFQMFLFGLLSFSGPAMSDAIDNLGGGGLATPYTANAANSANY ATACAMTLFGGPLINKMGIKWSCIIAATFFPIQGASYYVNSKYGTQWFVIFVGAIGGF FGGLLYVAESTAMLSYPQPHQRGKYIGIWVSMRNSGQLLGGAISLGVNVKTAGVGAVS ITTYLIFIVLECLGLPGALLLSPTSKVRQTDGRPVPLAAPQSWKIETKLLLKHIVHKR TLLMFFPAFYSFFYGGVYTTYLSLHFSVRARALSSFLYPLVTIMLCTAFGRLIDNKRW SQKTRAWIGFAFWAVPQAAVFIWTAILYAQFEKHNLKGIDYTNNTADWFRCYLPYLII QSTGYACQLYLYWLLGCFSSDVKSSARTGGLFRCFETAGQAISHGINSRTSDKRVPLY INIGIYCLMVPTLTMLIRMVPSNPQTYDDVTEEKAVEAVNETQETMAVEARGL
I206_07704	MTQSTSPKGSVRLERVTPDTLKLYAPRLAAIMCQQIVRQNRSIN FLHPFTTAQAIELFDNVGSSLVKEGPGRKTMWVARLPEGETGLPSIDMDGKETEYADI LGTVQLSYHFSPNGVHRSEVGKLIVDDRYERRGIARTLMEELHREAKANGSTLCLLDT EAGYAEHFYVKMGWTLAGHVPGYAQTPDGTEKRGAAFMYKLL
I206_07705	MSLPIVDHPDTKPQVVYIDDKGHEDQIEDIKNAPVEKVADTEAA PYVDHTLVIDEKENKRLKRMIDRRILPLLCLVYFAQAMDKGATSPISIMGWIEDVGAS GQDYALTSTVLWIGLVAGNPASQLIRRFPVAKVLAFSMLIWTALAFWLTFSLQVPHIL ANRALLGFFEASFNPCLVTIMVQWYLSSEQAVISAIWHSFTSLSTCLQSIMGYGFYYV RDSNGGSLKSWQYLLLTAACISAVATVTVFLLLPDSPTRARWADEELKTKFVERVRSN NQGIKQKIWKSEQAWETAKDLQVYALFALTFCQTLVIGGVGKFSALLINRAFGFDVAT SQLLKIPVSVVGVCAYFLMTYLQQKYEQTFLTMVGFTLLNMIGTIVIVCVPPGDKTRV GLMIAFLLLQFFGACNTATSVVLSRNIAGQTKKSIAYATTFMA
I206_07706	MSNEIGNVPQATMPVHDTAYNEKAQVEHVNDVSVLKGHAEMKAD AMEAEKIEHQMTVLQAVRAYPMACFWAFIMSFTIIMESYDVFLIGNFIALPAFTQRFG FFDEVSQKYIIATKWQSALQMAGQLGALIGVFLAGPLTSRIGYKWATLTGLMLMNATI FIMFFADSLPVFFVAQLLEGLPWGIFIANAPAYCSEIVPMRLRAPATQILQMFWAIGS IIVGAVTYSYQKGNTGTSAYKIPTALQWMFPTPLAVLMFFAPESPWWLVRKGKLDQAA RSIERLGKKAHVNAHETVAMMRRVVELESEDSPNYIELFKGSDLRRTLIVCGIYAAQN LAGNLIANQAVYFFEQAGMSTDTAFALGLITSALQMIFVMLSWILTTYYGRRSIYIYG TLFNVVMLIALGIAASIPESTASQKAQASFGLIVSVAFTFAAAPVSWCVIGETSSIRL RPLTTGIGRATYYIIEIPCIFLASYMLNPTGGNLGGKCGYVWGGTAFFCLVVAYFCLP EMKGRSYREIDIMFKRRIPARQFEKTVIAPEDDE
I206_07707	MAFHGEGIGGVVFLILYLINFCILTFGFSTRRISFRSVYSFLLF HVCLRLSAQSVSIVTGTKDHLDTGLLIAFFVLGAEGYFSLVLCAYRFLIHHHQHVYPV SGSWLEGKPNKDKHTGKKDPWYVRLKRAMTARNGDGTKDPWVMTIIHWTLIGANTIII IGGTRATGVDYTKPDFWKRIHDGQVLRAVGQAIFLAINVLLAVFLFLSVSQDRNPNGT LPAGWNYFFRVKGIHGAIDAADRPFIRSISPDLLVLVIAWPPLIVRGIFGLLQALVNP INYASPAAYGSITGFTKLFIALENVFSVLPEWTACCLLCTTMFFKVDHMHRSEKLNSI MGESGTPLKARSHAAGQSEGRKVGSDDMRQV
I206_07708	MKTLLGKKGETLQELMNFCVVVPVFLAMGFSLSFGGGVTGYKTF YALFPEIDTTTTKGSVKSHNSLIQGTTVASLNLGAALGCLSTMYLGNRLGRRRTVMLG AIIALVGTILQCSAFSLAQLIVARMVLGSGLGMMSSTVPVWQSETSKVHKRGHHVIID GICIAAGIALASWLTFGFSKAETTSSWNWRLPCMTTGILAIVVMIFTFSFPESPRWLA LKGRTDEARAVIALVDDVEPHSEHTEFVLASITSINELSAETASFSSLFKYGKEKMLY RLILASATQMFSQMSGSALITYYSSQLFTTIGLSKDLSKILGATDLTFKLICCAIPFF TIEKAGRRRLLMTAASGMSVCMFALAICGSQVTDDNLVPAYVAIVFAFLFVAFYPIGF LGVNFLYSQEVITTRYRAPASGISTAVHWLSAFVVALTTPIGFTSLGWKFYLVWAAVA LSIIPSVYFFYPETTGLSVEEIDQVFIDSPSVFATVGLAEQRRKEKAQEAAVVAGEIE QFDETKKAEEQREQALVNNRV
I206_07709	MAEVGCHNLAHDAWVVVEGKVFDVTEFHKNHPGGSQIIVANAGR DVTELFKPVHPPNTLENNLAPECFKGLVNPKEVEEVTFEAEQQRVEKERNALPPVETM LGLDEIEETAQSFLAPRVSNYYSGYSLDGHSAEQNRSSFRKCRLVPRVMRDVTAVRPQ TTIFGIPSALPIYISPSSNALLGHPDGELNIVRGAAKTGIVQGVSAAASFPLTEILEE KAKMDEESGNKMGMVYQVYLSRDREKNVEQLQQVVEGGCQALILTVDSNVGDHRQVTE KLKGTRGNAEPGIRMGPLGTEDQWHDSSQNWEDLSFIQQHAPGLPIYLKGVSHIEDVR LAKKHGLAGCILSNHGGRQLDGARTGFDSLRSIYAQDPQLVRDIDLYVDGGCRRGHEV LQALAFGAKGVGLGRPFLWAQAAYGEKGVIRTVRILEKEIATAMQLMGVTELSQIKPD MVECIQEIWK
I206_07710	MAPTTMKQWKLTGRGDYDQLKLETDQPVPKVGENDILVKVKAVS LNYRDISIVRGTYPFPLTDVVVPISDAAGVVEEVGSRVTRFKKGDKVLPTFHQAQQPG KPSLGGPIDGVAREYLVVSELDAALMPKDYDFVQGSTLPCAALTAWDALFGLEGRTLK PGDWVLTQGTGGVSLFALQLAKAAGATVVSTTSSSSKTEILKSLGADHVINYKEDGDW GKTAKGLTPAGAGFQHVIEIGGPGTLKQSLNAIGFQGVISVIGFVAGSDDQMPSLLEC LSYQCIARGIFVGSREQCEDMVRAIDSNGLKPVVDKEVFDFEDLPKAYQYMEAQKHVG KVVVKFSD
I206_07711	MSSPEEGIIPCRNRLTIPKFTSKIQPPSTLPLRTTSKIRQPQTT GRPSTVHHLQVAGSLNSESKIPPPRVSFLTPREIWSPLATPIPGMQQIKQDIRAGAAP ARVPKYQLKDPGPIPQSASRSHLSTTPKPQIRPRLVSRPSIKAHRQASVQRPPAHIPR PPIRPSQQFTPTQQASRLPLPSSTNRARPLSLPPDEASSVIIPHTRPTSYQPSPSFPL SASPSYLSKAVGIPEPRPLLSQPIHDQLDCRVPGSIAPSRETSNQSTGSSVYSSASSL ARHRAIKGRGKDRSSFARLSAYSPPNHDCLVKALCAESESEDTESTLSDHSIRTVATV NQVVNVENIPIRHLSLSASINSPERSLKEEPVSEESFMLSSEDHRGLTAPYLVPSSAP PMTLEWESDESSGEVDEAERVWKELEAKLGRKVRGRSLRRGKWVVKPREHPVVELTPS LDTRNMKEEGVDMEKTASGFSLSMYFSPQSSRSTPPLDIDRCRSSSFTYDSSPIVASP SSATALFDMSAPLVPGGIDNGCTPWNTPLVNTESIKRGTRVKKRFASMDVAEIGQKNR LGSLEEVAKIDFTPQQSQSREISSRCLLERGLTTTNPDQEDSPDDCQTLSPPIGLSDL HGTQTDHNTAPRMMYRLDSHIVSALSALQGSYDSPDLDFALSSSPPLSPASDVATEED FEGSTGNGLGLGMNLRLRTIYHLPTLSPRLRPSAVRTATKTASRIESSNGNSMAIDPT QRVDETMDYTLKSDSSSQIPEVNEEDDEEVLVIRDLDTGLERQVKVGDGLTLT
I206_07712	MRRIPFLTVCGLMHLHSALAAPRFDNAEFTIYYDIIQDCNSETA DAQGANKLNYNPNACGYSADALGSSRAVAINENMFKPELCGTEITIYRMDNGQPVHFS DGPLFVGDICPDCQQDHIDLGSGAANDMNGSPGCKNPTGFAWELGERIIGPPEVTSGS SLDAWKASQGQNQGQNQNSPSSSSSASSSSSSSSPSTSSWSSAPVTSWSYDSASTTTS LSSIIIASSSSRSSQNWQPSSLSTKTSHISSHATSTMKSELHTASLSTAAKAASSSST ASQPPLVGGGKMAPLTSNLQVANSKKAKIGDPAPFKKNKNVSLSLKWKCNQRGWS
I206_07713	MTSYYNYEEQCKQPGSDFAAADLIDSLAACGYSPDSLGGTSRFV GELSKEWTAVLHWTNDSCNMLIPAWLIWVAIGSDLFDPSMCGAEVTITHNGQPFSFSE GPIFVGDSCGGCTGGSLIDLSGKIALEMMGACKNPPSLSYTVGTNIVGAPVGGTLSGG NGTSVTSSSANSQSSSAAIAPFVSTSSTETVNQPAGQSTQSANLAATSVKPATQFSSN SITDIASQTAANATQPDTITATAVAPASSSNVTNSVAVPSGGRWTGWSRPPALFAEKD TATNGTACKRRRRRGRLDKAH
I206_07714	MIVSTVLFITALTKVVLAGVSSSGVKGTVYYDGTGSCGREGDVD QGQDESMTQIRESVGACGYSVNSSGGDNRLVAFDASTMTGHQAEYCGREIQVMKPDGT PFEFSEGKLFIGESCPACAGGVRLDLSAKALVELMGDCTKNAEGISYQVLDTMAGPPI NSSDGASSSAAGPGGTSVPSSAVSSVGTVLTAAIQPTNLVANSANPATQAVDPLSASA ATSLLSISTSSSPSVPIGIDNSPTLTAGASPSDPLWAATVEPPGGTSVPGIKALFAEK NVVDEGEGASNCKRRRRRLGNAH
I206_07715	MSNSNFLDKAIALVQKAIDEDVKQNYAEAYKQYQDALDYFMMAM KYEKNDKLKELIRKKFTEYLDRAEKLKEHIAKSEEKRTKAKVGVNGGGGSTAGGPDVK GDGEGDDPEIKKLRQGLQGAILSESPNVQWDDVAGLAQAKEALKEAVILPIKFPQLFT GKRTPWRGILLYGPPGTGKSFLAKAVATEAKSTFFSVSSSDLVSKWMGESERLVKQLF QMAREQKPAIIFVDEIDSLTGTRGEGESEASRRIKTEFLVQMNGVGNEETGVLVLGAT NIPWQLDPAIKRRFEKRIYIPLPDVQARRRMFELNVGTTPNGLTPRDFTNLAEQTDGY SGSDIAVIVRDALMQPVRKVLSATHFKEVESDGKTKLTPCSPGDPAAVEKSWTDVNSE ELLEPLLSVKDFEKAISVNRPTVTQADITKHIEFTNEAGGEGA
I206_07716	MTPEDTQKALNARVRKLSIVLGISIAFFIVEIAIGFKTGALALI ADAFHYLNDIVSYVIALVATLLSRKGSGPPGYTFAYRRAEIVGAFFNASFLIALALSI FLQSIERFINVEDVDHPLWVMIVGCCGLALNIVSILVVHEHGHDHPSQEYPDEPLDLE LSRIKALARPTNDMRGNIAPLNVDHSQHHHAQKNESAAKPARNLGLLGVLVHLLGDAV NNIGVIIAAAIMWKTTSPHRFYADPAVSLIISVLIFLGAVPLAKRSSRVLLEAAPKNI NPDLVREDLMTIPGVVSVHELHLWHLTETDVLASFHVHVNVSDIEGWLPIEKELRSCF AAQGVTHVTISPEFDGIDKAGLLGSGGEHCDPTCSIVPS
I206_07717	MASASGYNHHRFARAVLKKSRRWESSLTVQLFSSHWRFENSPMN FQYEGDMKPFLLALRSQVIPATLIRFLYSIQPPISFVDGCLVVEIQDYRKSPETRSRV VMRPAAETLAQTIDVMLERKGQNLDEGMGLELESRIIAATSPPLYLGTSILATRNATL ALALTSPAGPSLASDGSVRNTLANGQGGSGDSKSLDKMSKLLRAGISSRSGTNNGNST NVVGNGFQPNWNVLRAKEQYEQIKLQRENEQREAANRNQNLLNNNSNNQDQNDPSSSS SILQQQNGINNINGINGENNNNNNNNISGEKKKGKKKRPPPIVEEEEIEIKEKSKIKK KKKTNNNNNNNQPEPEPTKIEDQPPPQKKKKKSTAIANNAEIPPAETIPVKKKTQKKK KDDGENKEKKETTGQSENPV
I206_07718	MNSHPPPLQSSYLPIYPPRFRQNDKIQQSQQQQQKIRQTKRRKK RFLPTLKGFRSFLYHSSFYLFILIITVLLVASAWGIGEQAIRNSGQRNWNVFVLVAAY VALFYIILRTMPKPYIPTKQIDLPKSVAKHVATEYSRTAVIAHISQATTGQQEGWGRP GTKWEDKHFRTYILSTIPIMKQTLCPIAPSTASPLSLQPLLDAASSINDNGAIRLFVN SYAKIIARARYGRKEPTQADAEAVEKVVEVVLLTLEVKRRRERDMGK
I206_07719	MDSTPRQIFQTPNNVYILQQPWQFVKELGQGAYGCVSSARNNQT GETCAVKKVTNVFQKKILTKRCLRELRLLHHFRGHKNITCLYDMDIVFDPPGTGQFRE VYLYEELMEADLHAIIRSGQPLSDAHFQSFLYQTLCGLKYIHSANVLHRDLKPGNLLV NADCELKICDFGLARGFQPGAVQTDQGQAGFMTEYVATRWYRAPEIMLSFANYTSSID MWSVGCILAELLGGKPIFKGEDYVDQLNKILNLLGTPTEDTLRRVGSPRAQDYIRSLP IKPRVRFDTLYPNAQPLALDLLQKLLAFDPLKRFGCEQALEHPYLAVWHDPADEPTCE VPFDFSFEQEDSTSGMRQLILNEVQSFRDLVRVHAPAQPHRPNSEPLPQAMQASHQPG AGVGPAYADTNAEPRVEGADQEEHPSSALERQLDQHRI
I206_07720	MPPARAPPKRAAPAASSSSAPASRTRVAKAGPSSGPDVKPRIGA STSTSTKGKAPLRPSTTMPSLEKKPDINKGEEEWAELMRQTYGSQKGADWYSKGVKSV EDKWELLPAFLKVKGLVKQHLDSFNYFVNVDIKAILAANSHVVSDINPYYYIRYLDIR VGKPARHDTNQVANVLTPMECRLTDSTYSAPIFVDIEYQGEDKKNRQRGVQIGMLPVM LRSDLCHLKNKNESELARMGECPMDPGGYFVVKGTEKVILVQEQLSKNRIIVMRDPKK DETTAEVTSSTHDRVVKTYVTTKNKRLYLRHNSFKEFIPIVIALKAMGITSDKEILQL ICGSDERYQEAFGVSLEEAAREKTFTRRQALEWIGARVSPNQAKDDGGSYGQKLTPVD IAQQALAAMVLGHVPVRNMNFRPKCIYLATMSRRVLMAMIDEHMVDDRDYVGNKRLEL AGQLLSLLFEDSFKTFNSELKKRMDKILEKKSRAGPFDAGSLIRQGGDPITSAFVRSI STGNWSLKRFHVERAGVTHVLSRLSFIAALGMMTRISSQFEKTRKVSGPRALQPSQWG MLCPSDTPEGEACGLVKNLALMTHITTDVPEEPLLKMAFLLGVEDISLLTGNELYRPG VHLVQVNGTLIGVTHLAKRFVRTFRKLRRAGRTSEFVSIYINHHQRTIYIASDGGRIC RPMIIVEKGKPRVTSKHMKLLKDGKVTFDHFLRSGLVEYLDVNEENDSFIACYESEIV EETTHLEIEPFTILGAVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIAYNQLNRIDTLL YLMTYPQQPMVKTKTIELVGYNKLPAGQNATVAVMSYSGYDIEDALILNRASVDRGFG RCHVLKKQTIPMRTFANGSHERTAYPDPLPRPDAYAFIDRADGMTAPGATVNQFDVMI HRETPIDTRGPSTEGYKPSPITHKLPEPIQIDKVMITEGEDGSLIKILTRQTRRPELG DKFSSRHGQKGVCGLIVPQADMPFNDQGIVPDIIMNPHGFPSRMTVGKMIELLSGKAG VLAGKLQYGTAFGGSKVVDMSQILIDNGFSYGGKDMLTSGITGQPMEAYVYFGPIYYQ KLKHMVMDKMHARPTGPRANLTRQPTEGRSKDGGLRLGEMERDCLIGYGATQLLLERL MISSDAFETQVCETCGMLGYNNWCPKCKSGKGVIGLTIPYAAKLLIQELMGMNIMPKL CMEDTV
I206_07721	MAPSLLYHAINPNLYPTNTENETLLVVRFSGPVRISDIRIIPEG VESLTGPGTTYPLTFTGRILLNVSPSNPINALASTTIEVTPSENALNYPMNMPAGVTT RMMMLYSPAQKLTISIYGYSGGSLDTPIEPILADSLRLQPSIIDTKQLQKEDPNWLHT WCGDSPKSLLNLLDETTSPQILQRALDCLSLLNEIQPIFPLFLEDPVALTFFLSQPSN LRDTILSNPKYAIHPSVLPLLPSNHPLQILDQPSKSEQHLQAWKNLSLGLGPLMILSN ADQEELLRIEDGEEKSNVVRLIELSNDELGRTHLEKNIEAILDILNHPFKDKSLNIYL SKYLPKLIVCSNMKGSKRELAIPSEYSEDVIRSLSAIRGEIIDGNSTKRICDQLALKY LKHLDNDHPLKRVFVANSFQTSPTHFGVGNNADIRRLNRLSNALDKSILGKDFEFSSL IHQITPSELISIISPELYSSLSTSRKPPFNVIPSVQIDLEQGTKSFAGKVYNQHEFRQ DRDSPLSSTGLGISGMSGLGVGVGVGINTGGLGIGSLGGSGRAASRHVDSYTMVQPK
I206_07722	MSILFISPTIISGDPSIEPYEADILISNGLIKQISKPTSILFNE TDKVKIIQAKGWVLSPGFIDLHAHSDLYLLTNPEHEAKISQGCTTEVVGQDGISYSPI HTQQQLKAIRDQIAGWNGNPSPEDHPGLGLFEWKTVGDYLNCLERNKTATNVAYLVPQ GNLRLLACGPWDQVATPDEIVRQEAELRKAMNEGAVGMSSGLTYTPGMYASTSELGSL CRVLADEFPGSYYAPHHRSYGFKAIESYAEMLGLGRMTGCPIHLTHATLNFTENKGKA PLLLSMIDSARAAGVDVTLDTYPYLPGCTTLAALLPSWASAGGPSETLRRLEDEMTRE KIRRAVEETGCDGGHGIPTNWDEIQIGSTNEPSIRHYSGRRVSEVAKSLNLLPIQVFF EVLVKDRLATSCLMHIGNEENVREIMCHHTHCSGSDAILHGKGTHPRAYGTFPRFLGH YSRDLKLLSLNEMVSHLTSRPAKRLGIYPHRGLIQEGSAADLVLFDPKTIKDKATFDE PKQRAEGIRYVLVNGKIAMEEGVLNGNRGGSVLRRRQDGKVC
I206_07723	MSSFTHTSLYSLPSKKALQDEFVGKNLDQLRTPALVVDRSRFKR NCEEVTAEAKKRGMGFRAHVKTHKTTEGTRLQVEAAGGVKATICSTMIELWKIVEDGL VDEGLVDDILYSMPIGSDKIEDLNKAQERIGNKGVIRLMVDHPEQINLLSQFNEKNGR KEKWSVFIKVDGGGRRAGVPPTSQQLKDIIEAILSSSQVEIFGFYSHFGQSYASETLQ KGSSYFEGEIDCVNTASKIARELGAKGDWILSVGATPTAHAAVQEVQRAHKGLEGKLE LHAGCYCMCDLQQHATSLVPDSHLALTVISKVVSVYPHRKEAMCDSGALAVSKDTGRY PGFGRLISPKHATKWDLGRISQEHGTLVHRPGENINEEEENLKIGDTVRIVPQHACLV CASFPWIYVVDEQQGKNEQVVDVWVPWKGW
I206_07724	MIIALAWKQDHLASGVPIRDAQRRSPGKPFKRLDLLQRHEKRNI CGTEPYKSPPNKRRRISDGGTFREDRSSSTRKSEEQLDIATGDPPISTVNTNPHNFNQ PNSASTTTSTTISSNSVTQQDPFTNIAQNIAQETTTRNVGHNLDDGSLLPLLPLTHEQ GQLAIPPTGGYMNNGNTNTESNNSANADFISVSDLGFGLWAPEQWEALLHESLAPPFN EPIIPNMDFDFSQASQQPQLLPPPTPSLFPNQWYQPTIPSSNIPSLSQTSSLPPLHRT TSQSYTRSQLQSDERGQSGFYQSPPDTVGLAQNHVMSEGLLAKLQIAFPDFNVSLPYA IESLDQYWLKTAPTFPFIHQATLDYHTSPAELIILMIIVGGVHTTYPRRKEFSRLVIQ IRGMLFHECGLEMPTSTLQSFTLCHVYDTWYGNAESLFVAQCMWPVMSAHSRKKGIGV LGKPDLDAQEEGAWAAWAKDEERRRSAYCVLLMDTQLSAFWNQHCSRQLSIFAHNLNL PCPKSQWEARTASEWLKIRRYAPVQNTATSKPKTSKSGYLPGLHPEFTVTVVSEGYSS AIMSALSEEHKFLFKVDLDNSLTIQMVLIGLIAIAWDCRTRGGMGIRFREGTKHWRSI VFSAVIQLRAAYESAVLHMSATIESRDMRDTFSICIISVLSDIPMLHVAAGATAFCGA SIGPRQYSDAKRRLKLWAKTDDAWTCVWQSVRYLRQALFSEWGLYSPWAVFNTTLVLW GYTTTIIHPTSSSSSTNSTIINASETTVLPAPPSSSTAYETTYNFPTQGNAEAERQRR ITSWFQRILNTEGKLLELTEPSSMEEVIKDLLEIVCMKLSESKEEVDKDNGILLARLM SSRRGTHKV
I206_07725	MISNGLLFGLVGVLTFARAAPTREPPYFTLESAEATVYYDLTGQ DACANTFGPNWSETTGNTGPNARPPACETTRAKTLSDMDLVTAIAFNDFLVDNDLLNW CGRIVNVYRPDGTPYTLESGPFFIWDGCGNCHNRSIIDLSSEALIGLQEHANGTSGCD NPQGLRVEVTNDYYWKLKDGGEVNENPTEADRGTGTFVGPIPTDTTHSGPNSDFPTAT WAGSATGQSSAGSAITSVSSNTAMSVSTGLADTYASATTSQLSGGLNAAVPTTTESQH GASNGIYNNAGTFTTSMTNSVPASQTIAGSANTLVAGAKDFAEGADTGDSLDNQAASA TSESVGSSGSITDSSNLANATDPVSSTNTENDGISGSNSSDQVGSQIPNSEECECDPG TYTCEGLELRICGNIQAGTTKVGKSLPLTLKVNWI
I206_07726	MIIKRSLSVSLALAVLVPPIVKASIFSQTDVKLTFYYDIGDRGK CGSSPGDPVVAGWADLSGMNAGTTYCEQQRGKPLNQIGTNRIVAFDQDKVWADPTHWC GREVKVYGPDGKELLLSEGPFYIWDSCQNCAGGGKILDVSGEAFVDAKGGTCGGNNPE GYRYDVMDNYIVDPSVGLGGSSGGTGGSTVISAASIITSSAPASTLDPLTPSSVAHAS SSSAILTGGSSTSTVAPSITSSAVVYTKSSQTTSIAATTKHGGWREWTSHPVEHGNRP AASVKPLAELAESENYINTTESGKACKRVRKKRRLAKQ
I206_07727	MLASLALIAVPLLALVNASPVEKRFTSAKIVSGRDGLCLGVTKA GVGSPVVSVACSNANYLTNWDINPGSGSVILSGTGLALDAGSTPGNNGALKVWTSYPG LYQQTWYLTGDNRIAITGGNQCLDEGVNGIQTYQCTTGNTNQVFNVGGGGPSPSSSSS SAAPSCTCPT
I206_07728	MGVVNAAVIDKRVVTKVLVDSDLPSGWTDSGACIAEVTNRALTG VHYSDLTGMTRSACASYCSSNGYTLAGLQYSQECWCGSVLSNGASLYKTSTSCTMPCK GDSSSTCGGRNAISLLITDSALSTLSADLTTAPLVLPTGWAAASTACIAEPSSGKALT GARWADNSMTVNVCLSYCQNKGFIYAGIEYGRECYCGNSLVNGASLDKSSTGCTKTCA SDSLHTCGGSNALQLYFNQPALPDGWSTASTSCVAEGTTGRALASASWADDSMTIYKC LNYCSNQGFQYGGVEFGRECYCGDSLVNGASLSLPSTNCNKACGGDSSRTCGGGNALQ LYQNPSLALNLQQVGSYSYQGCIMEVNGRALTENSLVDDSMSIETCTSFCQSNGFSLA GLEFGNECYCGNSFSNGGSASTLSTQCYMKCAGNKGENCGGPNAIALWSAPIPTRQ
I206_07729	MSTPTTSNYRIGVDVGGTNTDAVILDITPGSSRPVLATHKAPTT ADVTSGIQNAIKETLRKADVDKSHIQAVSIGTTSFVNSLIERDASKLEKVAVIRLSGP FSRLAPPFVSFPYELRHVLEGPVFFAEGGLQVDGSEITSVEPLEIRGICEEIKKKGIK SVAVSACYSPIDRDINQEELVREIIHAELPGVKVCISKEVANIGLLQRENATILNAAL LEFAQMTVAGFEESTRALNLACPLFLTSNDGTLMTCQMASKFPIKTFSSGPTNSMRGA NFLANLGDKDRKKETALVMDVGGTTTEIGVLLPTGFPRQASAYHELCGVPLNFSMPHV HSIGLGGGSRVRKDLNGKTIVGPESVGYKILELGVAFGGDVLTTTDIIIASGSTENLS IGNPSLVEKIPTEDVQAARGRIKQMIESAIDAMKTSAQDVPIYLVGGGAILVPDDLKG VSKVRRFPYYDAANAVGAACAQISGVIDTFEDTSRLAVEEVQKIVEQRAIDKAIAAGA DREHTFIVESEAIPIAYTTGRCRFYVKAAGEWTGKATNPETAENAEFHTSPETSRSWD TKSPVGQARAANEGRQIPDKDIPITAQDVIDYKPDVEGGEWTLSELDIEWISTGCYIL GTGGGGNPHNCYLALREMFRSGAKCKVIDIESLHPDKVVMYGGGIGSPEVAAEKLMSS GSGEAVKSLLRYMGQDNLGGLAALEIGGGNGMVAMVGGSSTDLNVPVIDGDFMGRAYP TGWQTTVNVYAKDDSGSMVLPTFLSSGDGTEMVLTKVKNVKEVDSILRAACMEMGTSA QVVARPLTKTECQKALIRNTVSQSWRIGRAVALANKQSNIDNIGSVLVDSLGGDKAAR VLFEGKIVSVGRRLYKGHSYGEIEIHALAGDDTIQDPEHSKRTFRGVMKIPFKNENLL CEHTVDGKTEVICGVPDLISVLDAQNGTALGTPEYKYGLRVIVIGITAAPQWTDTPRG LELGSLTAFGYDNIPYKPLGEYVKPRSVIEEYNV
I206_07730	MVDRSIYTPPTIRINIRWDSLTSTLIILISLSTIACIYRLVKET NRGRTASRQSEKKSDHRIEQSPRRHEPKLEALPPYTKEKSASESGSVQEERDAYASEF PDLTKAADLASESDRSRMVKDKELYHKLQNYEDHLDVIEEARCRLIELFDQTLSESLV SPSDTILNIPSYDPTSLRDFLHNSHRIAANKYEAYVDRRKSGGPREMFPTREYALEWV RLAGVVKYVDGGWIGGILIGTGKSTSNPRGLCTIQETRQQYDNAALEREVSKMAWQVI SEEFGDGDLEKNHIYLYEKLLNDTKLGAIQSNGKTAPGDIRGFDGLEEDQGVPRCWEA AVAQQCIGLLASTREFFPEALGFNLAYESLPYHLLVTARELIELKIDNYYFAIHVTID NADSGHSAMARLAVERYLEGIRQREGEEVMQVIWKRIQVGYILAEGLPTTPSGPVEFE QVLNNLGQLSWRPRKEVAKSATRIEKRLSSLIIKKSRAAEKMHCTSRMKVGNLSIEEW LDPDSISEGKVLDFLRILSNKRPFVIKGEPERSRFMRDLEWGGKMFGAFTGSEVHAVK DWIMSLKPKSHSQGTYHHFTGHTVLIPDTAQVDNRKLTPFEIRAQDLRTLHDSSTLPP TSFDESLTADAVISRPIKITSLDYSKIRPIWYISTSLFECFQLQPSKFAAPLGMLTLR LFRSILGFGALHLREDICAGTDDLLRETQNDDLMGIWELGEILDRASGIMPPTDVIEL ARNTPVGKVNDICAKLLDLRIKPYKNVSLLFGISLALSKNLYSNISINELLTDMKGQS ILKRITEEQIAIISDYVRNMKDSSDSENWEKEFIQGYLWAEAELSTID
I206_07731	MSTEYKINPIDTEKNITLTDVAEVTLDDNVPEIDYEGERMAIRK IDMNLIIVFAALYMMSFLDRSNIGNANLTGFSTDLGLKGNEYGAAVSLVYATYVIFEP IWAVSLKMIGPRNVLTGTTICWASLTVGTAFAKNFGHLAAIRVLLGAVEAGVIPCINV YLTMTYQRDEYMTRITVVFVASALSGAFGGLLAYGLSQLTAGGLHGWQWMYIVEGLIS FCLAPVAWWQIPNTVAEARWLNAREKAAMKVRLERNKHIYDPDEKFSHKAILASFRDP RVYVHAVTHFGIDCTLYSLTTFMPTLVAGLGFTSTVTAQLLTVPVYVIAGVSFAICGT MSDRKKIRSPFLLFALTACLIGYIMLAVAPQVGVRYAGVFIAAIGLYTSTAIHNMWVA DNMAGHYKRAFSIGFVCLVGNSSGACIGFIFTAQTKPRYLQGLHFDIGMTLMAMIGVT MQSLYCRYLNKKKREAIAAGAPNDPSLGDKNPHYMFFL
I206_07732	MTHPFALPGPTSIFHIASILKSERHINQVKEYDETNDIFRNAIS SSGQQASEGVLYGRCDCSNGLWKAQNASALLKLDTLSEQLSLIAGKESILDDLLLTSI TKILPVFPVVTVSESMGREQPPDTFWQYYTMKDYDSTPHSPLPKIVRLVHYGLASMSR AVPVPIRQSIIRAVREELDDTLQPSRQNSLSTVQLLVSLFISLDLHHDNPTESRSLLW QRVGTGIRGAFDMGIHRRVSNNIIPCGQVHRRRRVWGSCIIADRWLALQYGQPLTIDL DDCDAQLPFWWPDHVPDMEDISALPIVHKVVPSFRFLSELTSLSILLGRAYSLTSSTL RLAKSQDLGFYSLQNDMDVWKVHLPNTWQYSPVLEIPAMEHLMQLFLVALEYTFLKPF FPANIPWLPAHIAFRPTVGSIERLVERAIRSLSWLSSEEGAFYLDVCQMTVYPAFLCM VIIATDVTHKSDLALASALLTGQEVFTCWSEVEGPSGKWGSRQQILGAINLLGGSSV
I206_07733	MSHIPSTFQPPSTEGKPSVPVWQPPPATKENHDFAKLTTIDLAL LDSPDSSIVSNLVATTKQAIKEDGFLYLVNYGVSIEQLHRQFAIAQYLHRNISEEDKE RLLWDPATGLFAGYKPGFGWKREKGAIDGIEHFNFYHEQFSDINNIPTCIHPFMDEIV AFCEFLTQSVNRRLLRLLSKVLELDEDFLWDRVQSQKGPVGEGYLRHALFHPLQEDTK KLGGGLRMNGHQDYGTTTLLFSVPISCLQIWGNDDKWRYVGYNPGSLVVNLGETLEII SGGHFKATRHRVYQPPADQAHEQRLSLVLFNAAEGSLRVSPAMESPLLQREGCVNEQG VYNGFKTLMDNGMPVPTMKEWREIQIATLPEPNLKNEMKFVEVNGKTMYQRDLFGIPV VIPV
I206_07734	MTKIQEVDIWTPIQIGDCHLAHRAVLAPLTRNRGTRSVEDEHTW VPNELMKTYYTERATPGGLLITEATPVSIRASGLYGIPGNFTEEQQKGWKTIVSAVHT KGGYIFNQLWHQGRTTHSALIGDKTPESSSNVPIDGTFQWNGFSARPYEVPVAMTKKA ILDTQDDFVQAAKNAMAVGFDGIEIHGGNGYLFDQFLHSNINTRTDDYGGSIENRCRF IIETVEKLCNAIGPSKVAIRLTPFGLFGQTYGSDRLQQWSYLCGQLGKFGLAYVHLIE PRFDELKSAYEKQSALKDMVEQGVEEVSLRPFRKALGESTPIVTAGGFGPQNMNDGIK NGVSDLVAFGRYFVANPDLIDRLKSKKPLYKWDRSRFYGPFDDNEFGYTVFGERELAS EGDILKAQLAD
I206_07735	MTQDLCKLSASEIVKLTRSGELKVEDYAKALIARVKERDPIVHA WAYFNPDIILESARKLDATPAEKRGPLHGVAVGIKDVIYTKDMPTQQYSPIYKDDHPE LDAAVVLALRAMGALIFGKTHTTEFATCQKGPPTCNPHDPTRTAGGSSSGSGAAVGDF QVPLALGTQTGGSTIRPASYNGIYALKPTWNAISREGLKMYSVTCDTVGLYSRSIDDL ELLCGLFNLVDDVAPPLEPLKLSGAKFGFVKTHVWPKAELALIKLWEEAKKYLVDAGA EVEEVDLPEIFNNLGIWHRNILHMEGYSSFLGDYLQSPELLDPWVTKHAANKGKTTRK EQLESLDEIAKLRPVIDSIASKYTCLVTPSVTGEAPVLEEPLRFTGDASFNLMWTVLH LPVLNVPGFKGPNGMPIGLSLVGPRYHEQALLRTSHAVADVFAQGGWNL
I206_07736	MSTSPRDLAFDSTSLIPTSYESTSAPSFTQSQQQRLSQSDFDWQ SWLSTLSDEQRASLPVPQTTHNLQQQRLMDSARKSVPVPEQVSHPQFSSSLPLAQLHT ETHGHANPQNTSFRQNTALHQAPSDLRSTMNQSLPPYQDMEHLLPFALPQQQSYRPPT HGHLPTSPTSGIESDEGSLEKGVAMISLEAAAEPHYVGESSGSLWTTVISGGMHSHPY QQQQQNEGLKRQGIAYRNKVEQGMEPSNIGSNDIDVLQAIQIPLSDDTSTLALETVFS HLHPRYPFMDWVRFEKQWEEKDQILRSVGQKFSMDRQESTSAFFILMVLAIAAQLNRD RPLAGLLAPQQYYELAMPYLNVIVTLHNLPNIQAVLCLLLLAIYSLRDSKGPSVWYLS GVTLRLCIGMGLHRNAAGAAVRTLPKSDIEMRKRVFWSCYIIDRMISLLLGRPSGISD EDIDVDLPDSYVSIEKPKMESLQLSSMVSAIHHIKLKRIESRIQKAVYGIKRQPLQQA ADYWDLLNDLDTWEKNIPLEASSPDHWQSPSCSRDWFLLKGVEARLHLLRPLCAEGQT AGAVFVTHLAAVAARGCQIQKRMHQQGLPMSNASAHSAFICGLALLYALFLQPKIMPL KDVFRAIKATSNTLFSYAQHAHQSVEVLYDVFEDLSADCIEHISKRETFEAGNPSGER RTSVVEWQKASSQATSNLDPTAAGEYVNMLEALGLTVAGDLSGQSSYTEPLWDLAAFS PGNLFPLTNDNTMGL
I206_07737	MTTKFDDAWMKRDLYGYGPDRPDAKWPKGAKIAVNFVLNHEEGG ERSVEDGDEHAETVLHEFGQLLSAELGKRDPATESQFDYGSRAAIWRIMRLFKKHDIP ITFYAVGRAFERNPAVAKYADENGHEVAAHCYKWKPYTGMSDAEEEEYIRKAVNAFKT TSPSGKVPVGWFYGRPSERSAPLVAKVYKELGHELLYWADTYADDLPYYTTMPGGKEG ESLVMMPYSLDCNDFKFWQGQMGSDDAFAQHCIDAFTTIREEGLEGKSAYITVALHSR WIGRPGRFQALKRMVEHFSSFDDVWFATREQIARHWVETYPPRS
I206_07738	MSVAEKQNDLTTDVEQVAVDGEQDDQHEVFKTHVDGENYRTVSW YSSFALMFKVLFSVGVLSIPGVFAYVGALPGALLVIGWGLYNTYAAFILGAFRLRHPG MHGLQDMAYIVGGAWYRELVGILYVIGYTLVTGSGFIGTATAFNTLSEHGACTVWFSF VAFIISTAVASFPKFGQIGVVAWIGVVLLYIAVLILTIAVGVQDRPFLAPEGVTDYGY QVVASNTTFITGMSACLIIFVSSSGTSAFIPIIAEMKNPKEYKKPISAAMGLLNVTYL VVALVVYRYCGIYIASPALGSAGTLIKKLTYGIGLPGLLVSAILCQHLAAKYFFVRIL RGTEHLQTKTKTHWITWLGSVTLIGIISFVVAEAIPFFSTLIGLVGALAYAPLAIIVP MTLWLYDFGEYRKGNLARRSLWAFHVLFIFIGLFMTVGGSYTMIQTIIDSYAAGTVDH AFSCADNSGSS
I206_07739	MGLVTMEDQATRLPKSKLILLCFGLQIALFLSFIDSTSVSTILP IVGSDLNATNSITWAGTAFLVANTSFQIITSRLSDCFGRKIVLMGSLSLFAIGDLLAG FARNKVWLYCARAVAGIGGGGINSLSMIIMSDVVTLRERGQYQSLLGIGIAMGSGVGP FLGAVLAEKVTWRWTFWIIAPLSALTMLLIQYTVPLKPVGGELKHKLAKMDWIGSILS LAMTICILVPLSGGGSTFAWNSPIVISLFVVGGLSVIAFIVVERYFAAFPLFPGRLLL NRNVCLLLVQTWLVGMVYYGGIFFVPLYLQNVQDYSPILSAALLLPLVLGQVLTTTIS GYVVKFTNRTWASFFVGFVLWTAGQGAQLCFGKSTTKGVIIGCLILQGFGIGSTIQST LVLAQASGLSGDRAVITGVRNFARTSGGAIGLAIGNSILQNVFLGHLPEDLPQNDVKQ LADDFGHIKQLSETMAAAVREAYMQGIRKVFIFFVPVVAICLFMCTFIKDLPLDTPSI PILPTENSKEPSPECLIGPSESDGSSEDKVQVSETSKTGR
I206_07740	MRKYIISHREGIDGLVLQDDAAIPELRGPTDIKIRIKYLSLNAR DLQIVSNDYPAPHDVPQDCVPVSDGCGIVEAVGEEVTLFKVGDKVAPVFPQGHHYEED LALRTLKRGLGGAIDGVAADYFVCDQEDAVHIPSNFDCLQGSTLPVGFTTAWSSLFSH HPRLQAGQTVLCLGTGGVSLCAAQIALSAGARVILTSSSQTKLDKVSKLLKEQLPPRA PQNAIQTIDYSKIDDWDVEARKLNGGKGVDIVIEIAGRATLARSIRSTKQGGLVAISG YMSDYKPIPDHLIQEAANVRGVFVCNREDFKTAVSALEMGGVKPIIDKVFKFEDLKEA YRYMEAGRHIGKVCIEL
I206_07741	MGIYEGITPRGALVMVFASLGGLLFGFDNGWWGTVLGETTFLCD YGSPVMVKGVETCNLTTSQQSAGTGVGSAGIMLGCAIAMYMNNIIGRQKSIVALALVS IVGIVVEMTSATGSSARYGQFLAGKTINSIAMGIACNVIPIYLSETSVASARGFVINM YQMVQIVGVIVASGSVYAVAARVDKSAYLIPMGIQAIAPVLILSVVPFIPESPRWLVW VGRKDDAVRATETLFVTETNGFDAVEYVNKLDAAFQEAKEEAKATGWGDLARQPDLRR VLIAMGIQSLQQAQGSSYMTNYIVSFLVGVGVVNVFPVIMGLYCLYFATICTGNFLPD LFGRRPILLSTSAFCAATLIIVSILTTAFADPSVSVQKASIALIFLWYASFGAQSPLI WIVTAEAAPTRNREKVLGLATFCGFGVQLIITFVAPYLQDVGYGNLGSKIGFIWGAFS IINIGFVFFFVPETKGHSLEQLDYLYENRVATRKFKGYHFDDVVLATSANTVEEIVPT DYSDNKEDLA
I206_07742	MPIAVANTSTSIEDTDLSFKKKFTERSTPPPELPPFVYASRFDQ PNFGDWRDELATKGYTVVKAIPTEKALEYRERAFQWLESFPLGFDRNDVSTWKNEHLP VHVKGGMFHGYGYCHEQLLWDLRCEDGVIDAFAKVWGTEELITSFDGGSIMLPKRVDV LDSGKWEHMDQSHHRKGFYCCQGLVNLNYNGPEDGGLMVLEGSSKLVEEHFDHFGRHS YKSWGPFDWYGFTEEQQQWFFDRGCKWVKVCAEPGDLILWDSRTMHYNVRPKGERDRV CTYICMAPAHLLTEEDRKLRVECFESSKGTTHVPFAAIFAREFEPVTRSDGKPCPHDT GKPSNPPVLNERIEKLVGIKPY
I206_07743	MVNGKVTGGGRMPSAKTPTLAQLAEENKALHRRINAQEKTIHTL IERYRREQVIEDKMSMEIEGTSTHEFRNLEMMTSLSSVSGPVIGRSRRPSLDIDQEQE AEELEKVDYMSHVNQIYGMDGSKNEGISHLSAYSGAITLDTLFNMVPIQYSSKLVKFH CDRLHWIHTVFHEPTFIREHDEWISSIFARTPIIKSYDFFALYYAIIACSLYYMEESE AEFMGFSTGTTRFLFDTSVHCLQLSNFMVNPTMPVLQTICILPMIAHAFDGSKYLGSL MHCALGLARDLDFHLVTATSKPEKYGGVVQCELVRRIWWCLTVTDSLRPEAHHPFHIC LPTARTSPPANIDEYELNNTTPAVSRPLSQITRVTHLLAIGRLAELFRDFNQSFTTKA TIKDRFKCVQDHDHKLQHLLDDIPDLQPHDEEVYFPSDLNGGRFDYRPWSRYLWSTSL PPSRIMLYRWFLGKSYADKRWTQAREVCLQAARDTLRARRRPVPALFQKNWHVSSYTV IAGMVIALELINGGHEGTNWDNLRTEVLGVIDFFKSLTTTKSAIITRGITLLEGMVKE AESRLSTAIPENGSGTGLSSETTNQPFDFAMDGFWPEGILDHWDDDLLNIFFHADPAT TV
I206_07744	MSLKAELTIWSNAIKSYDSENFNDAIAEFTKIGDTSKILWNIGL DCYFAVGYHQAGVSNFMLGNYAAALKDFEDALLYLRGNQTINYEQLGLDFRLYSCEVL FNCGLSKIYLRQIQDGLNDLNEARSQKVIKQHDVIDDAIRDQGREYNVFSVPVGILFK PSQMKLNNLAARDYMGKAILLAATDVNDAFTTFTGITRLQRGQTPTGAPLDAGHPLSR SASVSAIPQESDVTPMAGRMTRSNTVATTMPRKSELSSDRSLTTTKPLAKITTDFSNT PTQPLAAPIGQSKRSPGDLAKSRPVAGPARNSSPLLQTPQNRYEAKSLAVTELYDEYY TSEQGFDNEIPLDLPPIGDVRRATAIENWSYTTPLGASPIVALSRKTSTDATASIGQN MLANLSTQPPSSSNKPMGQGMGLRRTTSLATSNRESNGEGNSEVESFYDMVKIRVKVN HGIHKRGMSIMPFDTFESFIKMLYKKFPEIKIEEMNIKFKDEDDDILSMRDESDFETA IDVARILGENKGRNEGRLEIWVD
I206_07745	MTKYTLYQLIGDASNPDGRVISPHVWKTKLDLAFFEQEVINSSK TFPQIRGELSELTKNPAVTVPTIEVDGQFTTDSWKIAEYLEAEHGTSEKSLFGGSIAG KEFAKFIEIWSNTTFANELRPLIGVSITSHFDSASKTYFIKSKFGGDIKKHSSLIDKF QNSEELEKQLFNARNKLSIINDLLKYKKSKNENLFLLGGKEPSHADFALFGWFTSSTL HPKVEEGVWRHKDNELVGEWLDLILNSGLVDKAQLN
I206_07746	MNESMDIDLSLDEIIAKKRSQERVERREERKVIPYSRPQSRIPT GPRNNSKSLNENKGLRSTLSSLDIKQQFHDIYSPIPLQPTSDNLKRPCRELSIGIFTK DITPIQIKDLIQSVIGPVQELRLDSNGIAWVRMLRWQGWEVYSFLHGKVLDGSQRLQV RVYPLRAIPAGSKNTHRRTSR
I206_07747	MTYKRLLTSLASHSPSSSTASNARLIPPYAQSLPSTSQTPASSL RPPYTNSVPLGVEARSSRSLKSSKHFELILGNEKSDKTNPGKWNQWRRDVLSNDQSRR EIESRFREVIFNLEKLRGGQIEVPQIPFQQLGSNLSSPSTVDGIKSTGLVIVRDVVRD SEAIEWAKEILLSMGERNGKAVYWHPALLNARSNPSILWANSQLSSALLGSSEVYLTA SSIIEGLHPSPTVPTNSNPWNSPQSLLSHLALTPSIPTSSIIVSPTIYSAEYASLRPL FKSVKSKISFYSKEGYLNSENWILNDSLLNTETEGKDEKEMDLPHLKEIQIVNPEIRP GDMIFNNSSLPISTSKNSGQIFLPLNPLIKNDLKNKKLIEEQKLSFENGLPPPSSIEF HSKKEKNLLWQVEEKGNKNLISSRSGRDVMGY
I206_07748	MGLFDFLPCCGPRKDKIKDVENGGENASLLAPQREESIISSDGL IGNGNYGSTEQGLTDEQRQRIEAIGRQIGGHMLPINSLPPNQIIKTSPSINKNSIKTN SRESSRPSSPSPLRPDTSPSDGILRSPNKGEQQEEEDDDGVIRKTLFAGGGGGGGGTN NRKISGRGKGKIRGKGRK
I206_07749	MLHLSKITRQAISFKNFSTSAIIKQEAVGTVGNLPTKRPVGGFR GGILGFLFGFSISSGLSIYYLQQENKIASGLLLASIEELQQGTGKISSHLERLQFVEN ELNSLKNQSAIKDDVLKVRGEMKKVYDGLHLELLDLRAHVWGVEQDLQKVVKTGKL
I206_07750	MITSKYKLPNLDEYLAIDCEFVGSKRGNELAKVGIVNYQGSIIF ESFVYVNPRNVIDYRTSKSRIRAKDLEGAPTYEKVIIIIKDILKNKIIIGHTLFNDLN VIGYKISYDSFRDTALYIPLRRKVGINKEGIYPSLSNLSKKNLGIDIQQNEHDPIEDA RSTMAIFMKVRENYEIDLIQNKDCISGIPS
I206_07751	MEKYSKWRDPGTGIQPFLPVTAPKTISPIFIALLGPFAVLTSII RISLLGIIFLLHLILVEGVSLLLIPIPPLHRLVSSSFTAFTCRAALAFMGYWWITTDT YSPKRGKNGVSQISKVQPKKGDLIITNWTSYVDVLYLAFRYNPTFIIPIFSPIFPESH ELKSKTGRHTGTGSAQINILSNSPQPECIGYQSIPLISLLIRTGNLPSTELPGTAKYF KTLKEARRKENKPIVFFPEGTTSNGRSLLKFPEGILEENEFSGKGDDGLIWIKYIRHS PPTQFSSPAPCPIPKPGKHILSSLYTPTPISKRTMHIRTLHPSASPSSPSFLPSEILN NAPGGIDSTFKDPKAAWREAIAIVLAETGRVRRTKGMGWVEKGQFLEYWEKRNK
I206_07752	MGITLLIDNYDSFTWNVYADIAVLGGNPVVVRNDKITLDQIETL FNSGELERIVISPGPGHPRTDSGISRDAIKWGIGKLPILGVCMGLECIVDLLGGEIAY AGEIKHGKLSLVQHDSLGIFHDLPPLLSSVRYHSLSAQLLTLPPILQVTSTTQESGVI MGVRHREATVEAVQYHPESCVSEGGKGLMANFLKLKGGKWGGENAWCGVEPNPLAESS TSSQEKAGSLITNGSAEPASSSSSSSKSSAPSLPTILNKIHAQRLLDVEETSSILATT PTNISTSLSLHTSASLISLVDRINNTPHTAVMAEIKRASPSKGDIAPDASAPAQALKY ALAGASVISVLTEPKWFKGSLVDMLAVRNAVNSLPDRPAILRKDFILSKYMIDEARLY GADTVLLIVAMLKPDQLKELYDYSVSIGMEPLVEVNNTTELQLALDIGSKVIGVNNRN LHDFNVDMSTTSRVNAALNGRNVILCALSGISGPEDVEKYVKEGVRAVLVGESLMRSK DTKQFLRSLIGLKEPKLNSIQNPMVKICGIRSIEDAEIAIKAGADLLGVIMVPNAKRK ISYQIAKDISKLVKQVRLNSKQTLITETENENDEITNNNEPWFTFNAKNLNKRKKPLL VGVFQNQSLNEILNAINEIEFDLIQLHGEEPVSLSKFIPLPIIKVFKVALDGEIPLDK EISRPGENNFILLDTIGKGGQGKSFPWEISKSIINKGENGSQGYFKLPIILAGGLNSE NVKKAIEQSGGGGSNGIIAVDVSSGVETDNKKDEKKIIDFIKAVKG
I206_07753	MGRIGVNNSRTIPIRRIPVLLVVLAITLLSLIEARSPHPPPLRR LAHPESSTLEILPRRSSSLNPRHITHLPPNPSSLKHSDTVILKLSLPELLPFQANLLL KPTQHLFHPDAKISYGDNSQTNALKEDDWRLFTGEVIHPKWIERIKTLESSGHQLGPM NDAVLGSASIMIHHPGDGVSDQTIFEGTFTLYGIRYNVMTREHYQRVKTSNDVEIESL GSNLVVFRDIDMTHQVNSTEALFPQIGSSCSHDTLPFNSHLTNPILQETPSGLSGDFY LSNLLPFGLGRRDDTGGMSLGSNFINSIGSNVGCPKEQRIVYMGVALDCNYVAAYQNP DEARTQVLNNWNQISALYKSTFNISLGIIELQVRNMTCPSTPISGEEWNVPCNNNLTL DDRLSKFSDWRGAKGNDGAGLWHLMSACPTDSEVGVAWLGTLCQNTATQQSGQTVSGT GISTASKTEWSLVAHEIGHGFGAIHDCTSGCSLSGSCCPSTSSSCDAGGQYIMNPTTS SSEQTFSPCTLGNICSNIGGRTLNTNCITSPGSRTVISLQQCGNGIVEEGEDCDPGGN STSACCDSSTCKFREGAVCDPANSACCTTSCQLASAGTVCRSSIDATCDFEETCTGTN STCPDDKTAEDGKSCGSDGLACASGRCTSLNQQCRSVGSSMGLTQACGQKDDTSCVVT CRDPNVTNQCVVLQTSLVDGSPCGYGGHCYNQTCKSGSWQSTAAAWYKQNLQISIPVT IVVGLLVLGLLWAIVKCTFGSCCGRRTHQPSNIKNNGTRGSSYVPAPTGPPPPMSQLP PPPPQAMSFQRHSDSIGSNDPLVGRDNVSGGYGQNSNPYANQYQPQGGYNGFQQQRNP TGWVDASTYNGPNYGYHEAYGR
I206_07754	MPPFTAKSLNQSTPTFLTQIRKHPFVLFGLPFVGIIVCSSFALS SVTQTRYDYQQTRVQSVNAEEGLGMRSDRRKVDLKEEYYRLNVPSSLSTLDPADSALD ANSSSSSSSSTPSIPKKPRKSRFSMIPVSQDDYEPVRVPRPEGVPEWGGGRGGEEAPL KGQRETDRWV
I206_07756	MGGCMSTPETPKHPSETKQVPATTTKSPQTNLSNPSSSPLVTNN SNRESTPTNGTPGQGLAAALAATEPAPPESKNDRNRSNIIDRQLEDDSRKFKRECKIL LLGSGESGKSTIVKQMKIIHQNGYSREELLSFRQIVHKNVLDSAQALIMAMRKIGVDP EEPNNRVYADRILEYRMDADPLSVLPTEVLHNVDSLWHDPVIPSVMDRSSEFYLMDSA TYFFANLRKIGAADYIPDEADVLRARTKTTGISETRFNMGQLSIHMFDVGGQRSERKK WIHCFEAVTSIIFCVALSEYDQVLLEESGQNRMQESLVLFESVINSRWFLRTSVILFL NKIDLFKQKLPKIPLVQYFPEYTGGADINKAAKYILWRFTQTNRARLSVYPHLTQATD TSNIRLVFAAVKETILQNALRDSGIL
I206_07759	MTQHPPNMSNTGRPPVHPHSWREIINGSLEENFVSMALGTFNEL IKINFIPTIEHIQLLLYLTTGPVDRAISKRALNILHKLLSLHQPSSISQALPFHPSAS KSKEDTPVWLQWDYKRSDLHKSVWKRMKECLDEGIWALLWEYRAKDATDIKKRKHRGD IDDDTGDYEEEGDRKRKVSENGWNLLGWLIEFWEKDKLENSAGQIGKAFIYTFQGIIS FERLLILDDRSLPDRIFSLVHETAPETVRQKWSITPK
I206_07760	MSDDPMADFLAREKAALGDDADLFASGSAPLAPSGMDSFADFTS PAPPSEPTKPSSPKGIEGFPSLDSPGLGGGEIRVTGVSGTGEDEDRAQFENQFPDLSG EVGYEAAPKPVFNALSPQPYGQSPYPVTAAPKPRSAQSILPPPTFNNILQSTEQEDTE PIKAWREKQAEQIKKRDEEDKQRRDEMANKAEKAIDSFYEDYNKMKERNIRENKENEA AFLEKLNEGIAKGTAWERITDLIQLENSQSKTIRPSVPGGSDLARMKEILLALKREGD KAPGAAGF
I206_07761	MSSPSSTDDDLRDVFKLLYADDDWSFDKDEEDEQEFHPPLNSII PDIELDVEDNSIQSDDLSSSYRNKYSKKRFIEALDSLLPITSLHPNKSRRIYLKQKSI SSSSSFTLSYQPLPSLPSSSDYLPYSPLALLSRLKTYQTYSYTSDSEYLTPIKASLAG WINSSRNTLKCGSCGSNINLNDIDNIKDDRVKKEVCKRLSKSFELGHKAHCAWKIKKS PDELYNNLRKSLHPLISSSIGPLAVDLHKALITSSISFISPLTHEQETTLLKKLQQHS SLDLSKESVLLAFFGWYPYYPNKLSDHIQIQDSSENTNNKQNKQTDIIHCRICSRRIG VWTFIEKKPQKMNLLKEHLNWCPLNQTSENQKWWINSNLINEYSPIDFTKSINWIKIS DHLEKKPWRR
I206_07762	MAFDPFEARMQFLNLIRKLNASQQSIQKVVGYAIKYGSKCGEDL WECIIEQCGKGSLNTRINILYFLDNLLEVSLPLDHSEAPYPELVTKNLQSIVERVVPD NRDGVLNLRSAKQILESWRLRRVIDHDIVEEAIQSLEGRTYGGGEESSKRSYEQAFSK SEILRRMEEDRERHKRLRERIWILPIPPLQSSNPLNQIKIKSSPSTTSPFTPASPSNS KPSTTSSTPGKMAPPPPPPQPQAQPPSTQNDTIDKIQNTNGEIESSLDVEFDQTWEGI SEIDEEELSKMKE
I206_07763	MSKLQAQWELEERKLQSVYDDVSSHRLAPAQSTLTRYLKKNPKS QPALILKLYINQKLGSADDDELLKSYKQIKSLGEMTGRGVWWVGLIFRNMGRTDLALD LYDELSNKHSDNPALLEQVFLHAAAANNVESLVNSSRKLFNLTRDQRWARSSAWSEWL KNAPQPTPSVQFPSSAPTNSLKIALLLLNTSKSPQHTSETLWLKAQILLSSGQLQEAL KFLKQEGKHGGTVRLWWRMEFAREILRRMSDQEYDREVLKKGWEDEIQWVTQLFKSDK ESQRNYAYYRHLLLSFESLLLLSNIDNLKSVSDLLHDLELDIGKRERSPLLAQLELQS ILRKHTVESDVVLDSPNWLKAVEKYWIQWGSKGSVVSELEGIVFVNEPEKKRLVAEFM EKQASQQHTDEQSYREQVNAHIYLLRFKSSDWTFETQAINVYWQLYLSGLQYGKNLPK TDVNPANQIGLITVSLLMELWSKDKSKSDLIVKSIMYLEYIVKNSPACAHARYLLIRL YRLIGASSLIAPHLTSLKISEIQLDNLLHVFTERGAAESIYSNNQEIWTDHMKKSGEM YQRTSIDFPEYVKESLSNETYSKIHSIQYIHKSLSNSITNHSRTIEQARLATYISAPF GTKLMKKLDLAIESIPIDLRNWELIFEIGGNRPLIKDLTTLGGSQINENWIKSFARLY RDLGVFINGEKVENGEISIEGLLPYEASLISNANKLLVVASKALSGAEGVSEMDLKMI FTENIQIISSSFSSRWEEIQAFTCLYELIKIFDMVLNHLIEFNKSLKGKNKSSVLVQL INDLKAIKDTLKEDLKPALKRLEELNKLEVDWQGINENWIEDENSIELGQNINTSRKD CLIKIKSLLAGIIDVPKNINNGIGKKK
I206_07764	MSPKLRVLISSNGVGYPPTTPCSVNSPNPTKIETDGFSGNIWIF IKGYNGDLNQGDGKEYFEVKGRESMSYGIVVRGKFKQSFNADQLVFGNVFENSIKDNL PWGTSIATKFMYFIDPTVEADIYADKPWALSPTLATMSHLSLSNNSTNDDQPYIEENS LGWLKDNIEENDIPSYTDDKSQISTRRKWLTKSSNRQLININENTIVGMEFCNGLLDF NTLSATLPSPFSLQIPLLKYWDGQPVTYVCQEKPSKDESPVGGKNKVYWSIAFEIVDE DVKAQLIKKGGQVLSHTDNEESNKSSNGNDKQEDINDDVD
I206_07765	MVADTIHVHSHLAGHPTYHILGHELVLDVPLSAIILSAVGAAFL LRYTLSFFRLILELAILPGRDVKSYKSKKGETWALVTGCTGGLGLEFARQLAKRNFNV ALVGRRKSALDEVAQELESKYGVKTQAFVVDVASPGTTRDEALSQIALFAKSNDFGVL INNVGASHNMPVSFAETERSEMNQIIETNINWTLLLTHSVLPSMIARSNKKGSPKSLI TTIGSLSGRIPSPLLATYSGTKAALSTWTKALAKEVEGKGVDVELVQAAFVVSNMSKI RRSSALVPTPASFVKSTLSSIGQPRGAQGRPYERTPFWSHALLDYAVGFAGYISEISG IKVIDSMHKDIRKRALKKAERAKAGKKE
I206_07766	MSPTRKRRHQSSSPSSSQPLPKRFTRSSLNQNQHQETSDTTYPS TAKDKSTPTRKTIKKDSDHSISRGEGSTARMTSSQPIIIDDFDDEGIYFADSDGDLEL DDDIQAAITASLRDQQKSDAPPAYSAVKAIELPSPALTDEIRIGRSGWKKDFLTAKDK WTGVGSLEGYAGMLGSITDVYDLEIQSLLEKMLASLQGDDEATGGIPPEFDGSDADEE EAMIVGADPWADEPGRGFEVAKGSNDDLGAGFIQLKE
I206_07767	MSLGLGPSVEHTIITHPAVVDVLLSFAHCAASAGPKTRMELPLH LHIEVPTQFGIAESKLIDELPDVEQRKALVWLIEKLPKVSQIKAHLESGGKLKAIDCC SGAIGVLRWVVGSCRAYLKETKPGEGVQQIGSTSPSSMGGGDVKQFTFVVGSPEQEEN FKREKEEAKKGNKNCERYPSLLAFHGSGAERWHNILRNGLDFTETVNGRAYGHGVYFA SDSATSMGSYARATSYVRENADFKLLRAAALVELVNVPHTFVSSSPYYVVKNVKQIKP FLLLIQGTHTDESEEVEGQATERTNYQGNGDLFIHDPSLKVKPTYEHSPLTVRMPEKL IRKRFDDKEPDDQTDREILHPPVPPPKQKETMFRPSPPTRYGRLERLPPPTETSIVAS KALGKEFKSIIKAQQEGDLPFWVDPDTESLYSWLLELHTFPPDSHLFREMKKHSIHSI IAELRFPASFPHSPPFMRIVHPRMMPFMYGGGGNITGGGSVCNELMTATGWNPAFCTE AVVREIMTNMTEATPPARLDPRSWDTPYTMREAIEAYKRVAQAHGWDVPKDFHKLTR
I206_07768	MGKYYCDYCDIYLTHDSMNARKAHNTGRNHVANVRDYFAGLNHD KAQNIIDQIISQHETGGPGMGGRGGPGQMMMAPSMRIGAGFLNPMPANAYPPSSYPPP PSFPQNQNSYPPQNGSFRPPFPPNGQLPPFPPSAGSGPGPGNTPNSFPPNFSPSGGFA SPGGPNGAPFRPPPSSIPNGFASPPGLSATATAPPPIFTQASEPPAGAAPGIHPDRLR MLGTR
I206_07769	MAHLASLSKEELIAKIQFLENAAKSKQPSSEDSIQSTEASTSMI DKDDELNRSKPILDENGKPLRKHARKAIRKNDKPFNFNSYPTRHIALMISYYGWPYCG LALQPPLPGMPNVQTVESELLKALEKTKLIEVGKGLEGCGYSRCGRTDRGVSGEGQVV NLWVRSLRTPNDGGEELPLEIGYKEPKEPINMVSKLEVDDDDDGESEESKKKLNKSKE KVDNSKISEYPYPKLLNGVLPPSIRILAWSPLKEEFDSRFSCLYRHYKYAFHLKTTPL SKPLNLELMRKASNYLIGENDHRNFCKLDGSKQINNHKRTILKAYFENEINENNEERI DENKKIIFNLIGTAFLWHQVRHIISILFLIGSELEKPEIIKDLLNVNKFPSKPLYNMG DGLPLTLHECSYEKILLDWRFSGYDGNWKNLSIEKKNELYKFAMNGRESFERNLLNLS QQAELKSWQINGSLRKLHQIYGGLNTLQKDKENQIIYPLGGGEINISHQYTKLENRHR GETPQVVNEKWRIAKGRTGLKGKKGEKENLVESVQDEDE
I206_07770	MVIDTPLSINDPSDPNSLETQETISTPVIIKSVKVDPPKEEPTV EKTSIWNWLLFLPILTILPIYLSKLHYKLPEGLSPYDSNGDPQPSEKLILSHIEALEN IGYRTVGTRESVLGEQYVVEQVINLLDICEKSDVLNCEWWSQQGSGFHSFDILDHEVL KAYNGITNIILRLSSVHPPSYNSSIPKQQKDAILLGSHIDSTLPAPGAADDGMGVGVM LDIARVMVERDRAFDGSVIFTKQVETLQDGSHLYSTQHPTRHQVRAVINLEAAGSTGG ALLFQATSQEMIEAYSHAPHPRGTVIAADVFSSGIMMSDTDFVQFEKYLGVSGLDMAT VGHSYFYHTKKDSIKYIEAGSAQHFANNIIAIVDHLTSPSSPLLQSEPFSPPNMVYFS LYDQIFIQWPMEASLPYYTALATIAIALNLKHLTAKRWKSFVISLLGTPLGMVGGAFT ANVLAAVLRLTGKSQIWFRHEHLPLLIYGPAGFIGIFLTQLLLSKVLSPISRSQLEST YYNSQTLFLITLMMLLQIANIRSAYLFAVLSSFLVIGNISNEILKLTGIGKKVEGMNI KSTYLIPLAGCTAIAVEAVTTTLDIFTPLAGRMGRDAPAEHIIATISSMSGFIFFPTF VPLFHRVPRSSQRKVIIALSLFLAGVLTALANPWYWPYDSMHPKRVGIQYLFNHTSNE HTGHVAFMDQGPTKNIVSTIHEKFGKKGSKLVNTKLTDYDSDWDVIYPISSFLDTYKF PIEPIAKEDKNFEWPSMGFYVQEVKWEYGMRELKLRFDFNGLVWPTLAFEAAVLRWSF DIPPPVEKMRHHIKIATSIDEHTQDLNLTLRMDEGERMHIHWSAVDLNQMVPGTSNRL GPNMPATKWLNEIDNWAENEYKDSLEIMMNGIVCGVIEV
I206_07771	MTNYNTIRHKRSQARGLISIPTPTSSSTFMIDQHNTTKESRSRF SGDSLTPNDEYSGLFTSGLYPNCIPNTSPIISSFPSPPKSKSQSQKPLIKSNKYNEIE INTPRRKRSSIIQNTKESVSPKKLKFLGKDNGVDKSLENVIRNLKISNNLQSRWSSST EGDDLSSSLDDDDDVSGIEIKSRKSNETNRSKFTVKSNKSFSSKTKKFQKSQNIEIDD CQIQNIPPLPPTTPGRSKRMMNGLVRRLGLTPKKNKESINPTIFDRPKSQTAVAPPLP GLPLPLSTEDRTIPKKSSLSTLRSALTKKSSNTTLKSFRSASHPHHPFAVYADEDAPP IPAGLPRPRYQDFIENDLPDCFPSTPRGSGKRTPKSSIGQPKLQPDLSPSKFLNQLPR RAPETPKRDAFDIDRDQHTPYASQSDQGVIKFEEEELGDIVMSPSSPVPDLEGNESMD KSQEIFTPTSIKPTQAQLVIQQTMKSLTRAQKDPQSPFQSDLVNTPTFPPTALNDRHN ARPALLNLDPIKKKGALPGLGSPVQIHTRNKKSTQGVSIRSVEPLSMKNVNSLGLPVP LPENRSISRASRKDPLGIMKRFNKSSSKFNSNQEMRFRGGAGDGWSAPFPTSSDLIPF PEPRPSGDTARKLNLGTVESYYDDNLGIFRTTQNSLNISQTRGLLPDFSAPSRTNFTN IVENEIEGMENAESRFGDQFGQIEQSPEYFFQLERSYQDDIDIENDEADDNTRIKKTS GYTIHTMTTGEGVEEWELERYLRDLENDHERGQVV
I206_07772	MSLTRQLIKTNSILLKQTAKTPSTIFIRMSSTESSNTSNFGFKE RQPTSEEKSLVEDVLQLYQLNPITSSYARYDTNAQFHDPIGLAKGLDAIKAQFNGMPK IFSSSETKGLVYLDNPEIKPPSVQISLSQLYKMKPTGEKLVNSLVTFHVDPSSNLILK HDEEWDAKPNTTGEDGFFGKLNELRKKFTAAAVQAGVDTTPKDQK
I206_07773	MLSRTLLRPSIIPTLHLQLRAMSASTTSGESLVLSTRSPSNKVS ILTLNRPKALNALSTPLFQALNAELEKAEADDSVRAIVITGGEKNFAAGADIKEMKDK EFAEAYTTNFLGSWNKIASIRKPIIGAVAGYALGGGCELAMLCDILIASPKSTFGQPE ITLGIIPGMGGSQRLTSLIGKQKAMDMVLTGRKIDGITAEKWGLVSRLIEENQSVVEE AIKIAEIIAGFGKVAVQAGKEAVNASLDLPLEQGLRLERRLFQQLFATQDQKEGMAAF AEKRKPTWSDK
I206_07774	MPRFYENKYPEVDQLVMVQVQSIEDMGAYVKLLEYDNIEGMILL SELSRRRIRSVQKLIRVGRNEVVVVMRVDPDKGYIDLSKRRVSAEEVVKCEEQYEKGK AVDSILTQVAKKRGVSPESLYEKIAWPLHKQYGHSYEAFKASISEPEAIFGSLTLDED TLTDLRSAIARRLTPKPVKVRADIEVKCFSYNGIEAIKRALNAGEKLSTEEIPIKVRL VAPPLYVMSTTSTDKSGAIELMDKAVELIGDSITKEKGDMTIKMRPKVVSETEDAELK ALMERFEAANMDVAGDDDSDEDDE
I206_07776	MSSSISLTPTEVAPPKSTGLVMQIIIRRDLLTVHKWPIGPLLAQ SAHASTAVLHKYKDHPDVQKYLEGEDGRGWEGMRKVVLEVQDENALRSVISKIDEMSN TIPYHLWIEQPENIPTALALIPNKRPKQLKKILDEHCKLFD
I206_07777	MTDIRRRQAPSTLGAPIASPLPHLAPGQRRPPTKLWRRSRNTLL LSLIAGLLWIYSSSSSFLDYIPFYSTNIVNKVNEGFKKIRPQDEVSDLPAGHVFGHVD FQDGRNRICKLEFTKPESESLNWVNVNLGNGGPEPNLSGGMIPAVAPPFAMTRWTPQT RENFVSMCPYNQTDTRIHGFIGTHQPAIWMGESGPVEIHGSLGEVLTDFDKRGLSFRR EDEYTSPNYYRNLLDAGEKGKVEIEMTASSRVGQMRYTFIPTLPNIKPHIILQASRKT WILHGDQPDDKVPYLPNGFIEIDVEKQEVRGWNDERQDHVLVGDELPAKGFKSYFVVR FSQPFNTGGVSFSGKIEADNLKGNGHVLAGYVQFGENTKQVEVRVGVSFISFEQASRN IDLEISNGQSIVQTSENTRSQWANKLDLLSVEGATPSNMTVLYTSFAHTLVYPYEISE NTSDGPAYYSGYLDKVVSGISYSGYSIWDTFRAQSAWLILVAPERVGPMIQSMIQDYK EGGWLPMWKNIVETNIMVGSHADSIISQAMKIGIQGFDYAEAWQAVRKNAFTPPDRDT QLRFGDREEGTPQEVRAGLTEYMKLAYVADDLHTESGSRTLDYAYDDHAASIVASIVG ATDDSELLRDRAKNYKQLYNSKTGHMEARNSDGSWAGPEKGWTEGDDWAYTLDVMHDV PGLIDLMGGNDKFVDFLDRHFEGGHNLHTNEPSHHIPYMYILASAPHKTQEWIRRIGE TDYNHTADGLSGNEDCGQMSAWYLFSAMGLYPVDPANATYVLGSPFFDKFTLKLPNSS KRIEVIAKGASSDLKFVKSLKIDGYNQNDVVIDHKILSKGGKWEWEMKGDHQVWGM
I206_07778	MLSRLRRRETGPPPDVKSVNFTREHVSVSKTIARRKRVLALIWN KIPLIRFILASIGLLWLLLLPYEGLWKGTYVDEHALQPAQVNTKFDWDNVHKADLCLG GLEQIVDSSFEERTAYLQETFSQSGIHTSNTTKSTYAHIRPPRSKGTESILVSANWIS RDGTPNLRGVATLLAMGDFLRGQNHWAFDIILVVGEDYMTGLEDFMRNYHSSFTGVIW TGLNIDYPGHSFSHIGLFYEGINGRLPNQDVINTVQRVAHFSGGIPTRFHNIPDEVKN IGPEWFGKYILAGKQLLHHFGYMITGKASAAHGVLARHRIDSLTMYCTPSEGPHGFHS LGKTVESTLRSFNNLLERLHASYFFYLIPKPWNFIPIGNYLPSAILLGSSLTIGGFDC SIPIQGLFFILPGFIFSLLAFLFEINPISLLIIPIIQMKFLNPYKLNNNKEIRKSSKS LLLLLYGSIIPTLAMLNFPQAIFLSILSLLYFNLPEIPGLVILSITQPGILLSLLDGH FGINLNLKQDWIEFGNLTWPIGIYAIWLPLWINSVVLLQRIS
I206_07779	MPPKKGIQPDWSWIEDVSSPDEITPDHRRLAAGLGHLLPCPFNY TSDKPQESRDDQSDDEIFEVDSKGNSKSKAVIKKVQGCAKKGCKNNPRCYNHLGAEAI LRSDAKQIYLDEHAEPIPIDREGPAGLRNLGATCYANAFLQLWYHNVAFRNGVYDCVT TDTTPLYQLAIVFGMLQHSNRQLVDPMGLIDALRLEKGNQQDAAEFSKLFMSVLASEF AKNPDPKIRSFLKEQFEGEMEYVTTCECGYESKTTSTFLELEFNLKDKTTLEDCLNSL KNPEILEGDNLYNCPACMRKRKAIRQQTPTKYPPVIHMALMRFVFNIKTMSRKKSPAS ITYPKEIYLGGDRYDLRAVITHEGKSAHHGHFICEVYDESQHSWLLCNDEEVKTLSDR PAKRPRLSLKLDNDTQTSTDAYMLVYKRREISWVIARDPPSAITDQVISQNLSLEEER NEIGVKREQLEDEFGQINGLQRHPDRLVPRHSLFRFLDADSSAELYGPFDFASILCAH GGIDPEKAQDTVLISEEAFDQIQLINECPQHEICSVCIETEYTSRQTQNGQDERTEAF EQANQEGEPEYVISKIWYDEWKQGNLPAHVLPTSEGFSLYCEHGDRRIIPKNHLKKTC MIISAEALAILKSIIGEFQVFRMDQEDCDRCSSVTHVTAEIRRARIAESRTDRQVKNR HLNPKPPAFCLDYFALSPAFVEEWEKYMKGDRDQPEMHVEICPHGGLDWDPQMEPRPI VDENGWDLLVAKYGQKQPVVLQFGPNTPSTNKTHVDTFSPGICDECCTARRTNFDLID IPIIRMRFPSPSNIGSSSYQTNDLNGVQSRLVLQNGFNRGMDQNAIIDSRTRKGKSDF TFTGTKETSIKDLKVHIMNKTQGRLTPIQQKLYYKGKELASEDTIGSISFLLGDHLNV EEIIEDIDIDSDVVEIERSHNNGREEGFSGTALFGRIPCPDCTFENDGAARSCEMCMR PLQIDHIL
I206_07780	MPGRASTITPTTIYSDDATEVPPLNYSYHDDENEEEQKQPKEGK LIDYENEQDEEEEFSNQVQNPGDKLRELLNQMKYAVEITKPTTISIHGTNHSINKKEW KKNSYDEDLNEIVETSSPIRSNKFINEENSNDDDDIHNFDIEEEEESPPTPPPRINNP YSSRRNDKRNSPNFNQPGRLTSRAAVLLNSTSRSSSSTSSSIELSKTDKTSPPSKLET FLASSNSSLPPQLGESSTSNSVKLRSSLERRNSGSSTSSIRSRKGKEREEIHRLPTPL QPTSTEETSERLLHSDSRRRYRNTPPPEDQSYTRRNKGLATPRRISVDIAPEDIHSFA RNAVDLEVRGEVELDLDEGLSNVGWEESSQSVIEELEEIPSVQNSRRNILADESNGRR DRSSIAIPRQSNSIGRSPLNQNSHIRVNSAESPPLPALPEPEISENDISEIDTYSSRR AALFRSTSKSPSTSQSRSSVKNQSHTSSTFYGTPTEFPSKSHLSKRESIGKEEQKVES SPERGEPLPKRTLNRSSRSPELESKDTSSTRSTRNALRQSYSAYHSVEDIPIPPQEPI QVNFLKPTSYSLLKSSPTPPISNISPSPRKFAAPNNTSSTPIKSNNPNLSTLPTPKPP GAWQSTPNKSRVRFNPSPLGKVSPTTGNASHNSSQNSEGVSIHRLKVSPRRSPKSKAI NEVSHEEVGNGSFLGKLKESISSPLKRSLPKIPPKSTTFSLHTPSIEKAKSTTLATEQ ALIITQKQWLEALQTLSNHSSSIEVGNVVRKSWGWGTWFLWGLIEIIVLWSVFRMTID YATSLNQISNSDPFHPLSLPFNSNNNILKFRNNNKNNQNNLINLNLPISNSYSSSFQN LIEIKNNNNNNVNFFDLIENLGLIWNKFENSKFKIEFGNGNGNGLDGVPS
I206_07781	MMTRKFKARSSSWRRVEGEGKAILSKEYTSRKATFESLRMMDFS RISACAKHGFEECWYHNKIKCTNTKGSTHFSNPIHFPLILGGGIQIEICKTCSLENNK NCIISNQGIRYYIKTKIYDKPPTVRRYQIDQKKKLIEGKIDLMGLIIDSDDEIENYDE QEQEQNENEKASDKIQCRKRYKKRQNSLHDPDSASDSEEEYYSQDIISGPGPSSQKTI SNSQYKRRRIIV
I206_07782	MGYLDPRELNDLIALAERGGIGKGVAQTDCVAEAPDQLMYISGD ELILLRDLGEVLLASCEGIIGWVERNNVQFDSLASGSSPSITPPKNTMELPKTILTAP SPPRSSSTRHRNFSDTQLYDNHEDDLQPSRMKDDLKRISGPFELDSPQPSPVLAEKGE DKSYFENQQTVTKQDQNGENRDSILSSTSSDAFGGIGGFMMGGNQSEDDHSNDKDDTL EELKDDSPSTTAVITPQQQSDMPAITPSSPSPHQYPFSHSVSQSTFEDNEEGDDDFDE EDSEWDIYDDYARESMYGPAKRMSLAIQQKRLSRVAKANSKIPSPSREINGDIGGAVP SLSPNHSDYARTTLESRPRHIPSPEVDTFRLPSADKVRQERQNGSLSPMLSSNGRAEA GGLEEKEQLTPKMEISTPTTPNQATFTKIEMPTREGRSVATELRLRIMREREESEKGS SEGHGKSDENGSIDIVQEGTKQQSPVSKTEQKRDVRSDQASNDNSIPEPEKNGQTTAS KGQSETQEMETSRTDRALEAEGHEATGLIGHHSTVMSKSTSGRSLLASPLPIDEAGSG GETQVRVDVTDNGIITAPSLVPPPPHTDSPNLSPHFKQAPWTPGSPSSPHSVSATRQA VDAARSTSDGKRPRGLTLVGRMEADLLASKGPVPITFLVNGPGMQIAPPPIPTHEPIG LGLPTGPSRAPSPHPHEHQPIRRATSPLASPVFPDTSNQASPFPPHPHPPHPVRSATS PVPPTTPVTPIEPIDSLNKPTPPFSGRPRARSFSASVAKTLGVGKKEATPPPPALSIK ITPTPPVQSISSPAPSTASKKSFFGGRKPSIASSTTPASNLPVPSTPNGTQHDNQSTP SVSLSLPGMHPPNSGRSSSFSFNSVNGKTPKKGSTGSKLASPISHKDFMNGDSTIKAE GLDFELIQPTLLSPIEDNSPISDMGRPPLDRQSTMTSTASVNSLRPLPETDEWGFLKD RSPVPEIFASRRDGGDHRVIEQKWLSIISTPLPANTQPPKKVKKLVLDAGVPSSLRGK VWAWFMANTLSARTPGLYQELIEHDNGLEDEKITKDVAAAYPDHSIFSSSNSAGQSDL RLILRAYSNFAPSGYRSEMALIGGALLIHCVAEDSFWLLSGLINSVLKDYYTNSKERL GLRIDSAVFMGLLAKEEPKIGKLLKEIGIHPISFLDKWFSQLFIRCLPWPTTLRVIDA VVSEGPRFLLIASLAILTLSKDRLLSFQKNPTTVLKYLQNLPQDSLLLPENFMKICDQ IKFDDKDYKRLRNSVEKEMMG
I206_07783	MAYPETPLSFSSTSTLSVHDVITNNLDQENLKKPSEDENLLNPN SIISNTEAKDKPNELIRVVTKSLPTQSSINSSSTKQSSILQQQNINQNIQFSYYGKGT ISNPYIIDFKNNEDKINPYNWKKSYKWILTLIIGITSLCPPFASVSYSSTVIEIGPIY GMSRELSTAGISLFILGFALGPLIWAPISEIWGRNFAFYASFPAFTLFNLGTALSNNT QTLLIMRFLAGFGGSSTLTNAGGQIGDMWAAHERAMATSVFSLAPFLGPVLGPIVGGY VTQTCGYRWVYWIQFIYASVVTVISIVFIPETYAPTILRREAAKLTKSSREEGKNEVY IAKYDQTKKTKAEIIKIGLWRPFELLFTEVIVGCLAIYGAIIYGILYLFFACFPLIYQ ETRGWTVGQGGLAFLGMGFGLILGNILNPLGNYWYRKASIPGKTTPPESRLPLACISA ILFPIGLIWFAWTSAPPIHWIWSILACIPFGIGFFWMFNSVVNYIIDSYTLYSASALA SLAVLRCLFGAAFPLFAVNMYRNLGLHIAGTIVAILAIICAPLPFLFYRYGPYLRRKS RYAPSQQHKIDEEHLDTSIQSQEEIRDTSTRVKMRPDESLEPECEVHDGGNTGEGGLA SRDLEKK
I206_07784	MSNPPILLLSTPTLGDLPASDAESLYLASYLQIACPGRWAITTA QWGDNGGKLPYITHLGHHIRQSHLNNLHLVQDPDEHLSRKEKVDIECWKAYIEQNVVD LTNHTYYSLPPNYPDLLAKYQFKDLKFPESQYIPQRLRSIIKSRLQHVGLWGLGGLNS GDAADEDRQRLDEAFVVGPAGTLAPRAWSGWTAGRDMEERRKQWGEKELENTIKRVFD PLVRRLDDKSYFFGDRPSTLDLALFAQLTFMLTPALPNPLLPNLIRSTYPSLVAHHDR LFSLLFSNSYVSIPRTNDTPTSQKSYLESITSFISAPFSSSSEERNQKGEKKEKKSDQ EKRFERGRWLWFTGATVAMIGYLLTSGMISIEFGSGKDDEEVYEDEEVPEESVGKEVI FVEEEVGNAE
I206_07785	MSSTQTPSETDTLLPHDLNTSDTDEYDYRDRDRPVLLDEFKRNV RLLKDLLAPGLFGSLALEIAHLVMASKESRTGLNTLEDKTKDAAWILDIIGTISLSFM TLLYALTIFQPIRIRTITLSPLPEIKNLQIHRILCISNFLICLSLIIIHPLPGIWYTI KTHLSSPELSIKHSTIVYNLRTGFLCWTFLTGGLMRRGPKLYFKPPKLGTGFGVNESK TDQQSESGVRIKLIPAPEEEVNNVPLSGITIESTADEYSSNDYSSSEEESNVLDYDNS SMLSFIFLGYIGNLAYRSMQVESLAQSDLPLLEEKTRNSGMRDNVFSSDNKDVEIIHS DKITGWTLVKSMWRGKGKAVLITFILEIIRNLISFIQIAAMHEIIESFKDPKGSDKSY AYLMCWGLFAGQAFEVICSVRENYLLHIPIRMNLSSMLLAKILRTTDAKALEAHNVKD GEKADLNQGRSQVMNLMTIDTGTIAAMATHTWNFANGMIALIIGVGMLYSMLGISALV GIACIPLSTPLSYLVSKLIYRCDKEWARARDARAGAMKEFLLGIKVIKLNAFEPYFIS KVRRLREHEVSWQRWRYHLGTSFNILAEQLPIVALLVMFWFHTKVMHRPLDPATAFVA LNLWVESPHIFYGISTFPNAIQAFLSAKVSVDRICRFLSQSEIGQDRWDNTSDQIICN HAVITWPSADEKGETSEESMRFRLHDMHFDLPQGRLTLLCGPLGSGKSLLLRAFLGEA AIEEGSVMAPRSLHDSTPVSPKSRKWTGEEWLTDSVAYAPQQSFIRHGSIRDNIIFGQ PMWKERYREALRQAALLPDLELFNESDLTEVGEHGVTLSGGQKARVNLARCLYSRAKT VYLDDILSAVDAHTAQFIVNECLGGSLLEGRTVVLVSHHVSLVLSTAHYVVALGKDGH LEQACLVKDFSQTNLLDIDPTESSINESSVNMSGVTRPQTRRKSIQYEERDELNIATR QLYREEHKSVGRVSSSHYWLIFSSAGGFWYWATLLTIYGIHRVVTVERTFWLEKWTSD PDGSNINYYLMIYALLSLGAILLGSLRWVWLYGLGNLGFYNRGSKRIHEMILTKVFQA PLQFFETTPHGRLLNIFGQDMYRLDCQSADGFGRLGLATAAGVVFVKTPVISFVAIVL GPPFYWISNQLNKLRADIRRLTASASSPLYSLYNDAIDGVVMVRAFGQNKLMMHAMKV INNRERVTWFAAWAVYNWVGAVIRSFASVVVAATGFALIKQDISAAQAGLILNFALTV STGPFFSRFANLEETFVSAERINHYITMPVAESKEGITPEKAWPAQGEIKVQDLKVRY APDLPEVLKGVSFNIKPGMRVGLVGATGSGKSTLALSLFRAIEPDEGTIIIDGIDVST VALPELRKRLNMVAQDGMLCSGTLRDALDVTGEKDDYEIYEALRRVHLLSDSLTKDEL ENNPFANLETFVAIEGANFSQGQRQLLCLARALLKNSRILVMDEATSSVDFEMDAKIT ATIKECFAKTTMLVIAHRLATIMQYDAVLVLDQGEIVESGKCEPLRLMEDPTTIFHGM CMAQGDDEFNHLLSIARS
I206_07786	MSTIASSHSAIDERVEARVNSATDQLSRYGHELGERNEMYLKEI KAFPSLKKRISTAIKTGPSRFQNQSHLDDLVEQFTRQSLEAFVSTVIGSHLEKIPSTN GFRQDIHLDWGKISHLKPSILDEEDKAQAVAEKIIEGLVNRINDTVLGSTGRQQWSSH IDVLTNERLINALTDVLSDESLSEYRDFKKHWRTWSRQREPAVIEKAVLPNLTGPMSR PTFKPNDQSYSKVRSVECKWSLTPQSLKQIEAQLKTTNEKLREQVNSKTLSDEDEADA RRELEWLRNDSNRRKPDWDATLSKELHRTVEDQIGLSDEKWEEQGNLAPALYPMLLKD GTQTHQDSIRKAIEKPDSGLRSSLENPNLPDKPVHIDLQQPFMVQLWKSLDGSNEQSY PNEEDFVNRLKDAGVEDTVGSSYNESKWD
I206_07787	MTFPIAAKKSVLISGGSIAGPATAFWLNKYGFKTTIVERWHELR PGGQSVDVNDYGMEAIQKMNLGKALKDRFTGEKGTTFTDKNNKAYMQLPIGYSGTQET EILRGDFADMLYQETKDETEWIFGDYITSVNEQEAAGMVEVEFNSGKKEVYDYLIIAE GARSWGRKAASLDQYVEYKPIGCYVAYFSIPFEESTPFRDQWHIVALPGRRCIYYRPD FKANTQRAGIMFFSNESKGYEKQSPEKQKAKIAEIFADGGENADRMIKYLDQSDDLYF EYLGQVHASKWSSDSGRVHLVGDAAWCGTPMIGMGCSLSIAGAYILAGEMAKHIDDPK LAAKGYEENWRPTVLEAQKLPPGIPHIMFQESEWGVKVFLNIMSVVGFIFGSRLVRAV GPIIEGIWKRLFPSLSANKLPVYDQYIIQDETVRK
I206_07788	MSISSPRPKFSLGDVPAPLPNPHTPQARRLHQEKSPNIEARNRP SPRLSPIIARDTINYPISPLQPQFAELLTPLKFRRKRNRPPVDQQPTSIPPVAGPSRL GYYTLEGDLYRGAIRDPTIPIQTLIDEDALSLGRSSTSSASSTWSENLERARAVIGRF GEALGVRRGSHSSNSSSSDSSDTESHGRSSVSIKTRKKKKRRGSRLTRIETALSRQTS GSPHPPKRQHLPKRREFTLLLPSKLQEADIGVVSSVASSEKEQSTLVEGGSPSSFGQS HKSYPPDRVIVTPTLPVIVEHIRSLRLANNYLPTDTSPLPTPVPTPNVPLRKSGSAPG RSRTAKGPPTFTVPRPLASRTQSRLHALRGDTATADPIRPKSVSDLLGLARPDSTGSL TSLKAEFQPPSGLGTPTVAKDGFNFSKKGKAEDTWWLDVSCPGWEDLRDLGELLGLHP LTLEDVLQQDPREKLDHFDTLGYYFLVVRALDESYFKYTPGSASSSGLTLAQPPPIRT ADSVPETYEMHPTDNRESEKKEGRRRGWGMGRATGKNAAKEGEKVEIVEDNPGKEGLE GVGVGAVNVYLVVFADGIVSFHFEDISKHTKRVIERALNFPNPDHNSDWIAHGIIDSI VDAFFPLIRYVDGEVDDIDSLTIDPTTDPKKTTAVLESEPIPLLDGNTSWNEFDLNEK REPSGRSNEKPSLLPHMWKQPLATKSKHNIRHTIRSKLRLPKMHMTVPLPLVYFRLFF LPTSSAVRRKHENVSEAVFDRSTMLKRITDMRRLVTGLTRLLGAKGAVIGRLRKRARE DGTTMEAYIGDVEDHILLLQTSLYHYEYILSHCQPAYLSHLNVSFSFAKGGTDKAILA LSTVTISILPMQFILGLFSMNVTVPHNGDPDQDGHAESNGTPSPLYYFAGIVIGIFLV ACVMLTIIRYWRWLARKKWSSLRGADVPEFWEGFWGWR
I206_07789	MVQRLGLTPEQLNQLAKIGISEHLGLYIVPTLLGFAFDSMLLGC IIQQLIWNVIWCPSDRKFNQIILFTCVLLSIINTICNDAYLFHCFATGFGNWYRLLQL DWIRWFPILDCITTTIVQTFYLERAYRLHNRSKWVPIVILPFILAALSGAIGSTIISY KIADAYGLRVTYPAFYTWIGASLVADILITSIILWDLVRSKTGWSETDLMINKLITIS VETQLPSLFITLAFMICYAIKPDSAMNLMFELFHPKVHVVGLLTVLNSRNKIRNALNG PSVKENNYIAKTNDRNNTQRINESQLNRNSVQPTIILDEGIIVPELENNINESTINIT LTSAPSNSKLISHENWLNPLKGGINSNTLLIEKDIELEVFDYASTSRRSFDNIDDSEI NHKDSN
I206_07790	MTEESIMESNSRKRSTRSTRNTISKTQVNVNEKESSKNKYEPEQ DGQPKKVEPESKFESTFDIIVLGSGGGPLETDCSGYLVKAAQSSWEDGIIALEGGSGI GALASILSNASPSDLFKGLDFPPSYNTPVLQAAHVFSYLACYLITHAHLDHVGSLIML SGSVPSKYTEHTQPPTTSLPTPSTASTSDIQSIPSKNKPSHPRPHVYGTRKTLEQLSQ AYQGGLWPELGSWVPDREGDTSTEEDNHIIHNDENGTNAIANVNHKSKRRKTNRNNIK SSSEERYIVNGPNGTVEGTEENLNSCLLFSPLSTECVHRPLHPTLPISLLTYPVVHGC TSKHTYESSAMFIRYDPSAITRTPPSSSHPSPSQTITNGSGPSSKSNSNKPKKGKEFL FFGDVESSYRKKGEEEVDLKRGKEAQELNKQIWKEAAKSWSEDRLCGIFIECSYDSSR PAHLMFGHLSPPSVYHELQTLAELITTKSEKRPLEGLKVFIIHIKDALVPHPTGKTAR QIIMSELNELEEKGQLGVEFVETKRGDRIGEPSFKLYIVEAHLRESDSHIIQFGTFPR SGCDDQPVTCITKKSSTRRQGVFVKQIHWSC
I206_07791	MYGQLRVARSRAARSLPQRRCLATPPSTPPPGTALPPNPPTTLN SIPTRPVTIPPTTPTPGSTTLASKPLPPPSNLPPPRKPRKFFRRFIIYTTLGAVTFYS LSAFVSTKSEGYRDFFVGNFPGAEPIADFADDHGWESLGYGSVTKKAIQSYQSATGNE TTTQKVERKASETKKEVINSAEKVKAKGVELKDSVIGKETTSQKIQHTASDLKDKALE ATHKAEDKIKHLAHDAKEKVDQVTKDVPFNFSDGVEGIVREAEKAIGSVESSSKKALD SAENALKDAENKVEGAAHDVKEAIKPHATEYEKAVSPYQQRTRELNPTGVQPQQPTFE GKRVYDGPPLPLGHEPPPGYYIPPPAKPVKAVVEEKVEKIKQTLPLLVPKVKEFAAEE PIISQLASTIDSLTSSLSNASSTGLPSTDANGILSRAQDDLTALNKRLHDVKAAEKER LEKTVGEKTKEFEDLLKGREADWTKSEQGLKESWAKERETLVEKWRGELEGELESQRQ NIEQRLRDEVVSQGIELQRRWLRSIKTQVETERGGRLAKLDNLTTSLKQLERVTIDNS AQLDDNVRLHKIWSALRAVQSKVDQGDISFDEELKALKSLSSSSNDSNEKNVIGITLE QLEKTGIPSTGVKSFGSLSSWFSGNGGVSNKIHSSSLVPKPEEASVLSHLASIGLSKL LFRPSAGKIEGNNVGAVLARAEWCLSEKDLDGATREINSLKGWPGKLAQDWLREARRK LEVQQALEVVATEATLSSLLLA
I206_07792	MSNSDDLITTSNGLGYTTSSANHPEQLVKPPTNISADEDTIEIK IEFGGGLHLIFSSQPSHKINIPKNIINEDGSKQPVNMKYLVKWMKNNLLSEREEMFCD GDGVRPGILVLINDADWELEGELEYELRDRDEIVFISTLHGG
I206_07793	MYSRSSSNDWREANQSESASHFGRNSSTNGTNTQVTNSQLRSAY DSNIDNNSSQGIKQSTNRSTSNYTTPSSLSIRNEKSSVLNPNKDEKIKFNYENSTFNS TNSLSSNLHNYKKISSSEIPKGFILTCSYKGSLWKMKNPKAAKVHFQTRLNPEYFANN LSSSSSSSKRFNGKSNLDSNVIEDLSLKASILEKVFFEKFMVQRFQKSTRRDEDIQKT KIIIEENDNSLKQAWNELIEENSKFKDLSKGFIRIPYNSKKDWLSDLNSIPTPNLDPI RAEELEIQASHIASGLTQDTNQLTDLEINNLRRAYHRLQPRPY
I206_07794	MSDKETLISMGFDPARIDWALKATKKSGLQPAMDHLLANSEKPI PTAEDEDEEDEESVKANIKKIESGDVDDSELVAKSIKCSECGKIFRSTASAEFHAEKS GHDQFEESTEEIKPLTEEEKKAKLADLREKLAAKRAAQAKVDAKDNKANEALRRKAGQ DTGRIKEDMQAKELQKQAEQKRREKLEDARAKAAIKAQIEADKRERAEKAAREKAIRE GSSSTTQTPPPAVNVAKPAAGVSSSDNPQTRLQVRLSVGGQPLTKTFPSDHTLIDVAE WIASENLNYNVDTVTFASTFPRKTFSREEMKKSLKENGLTPSAVLIAS
I206_07795	MSRLFPVKGQRQVLLGGTDIPAEQGEILFSTTPQFAVLNTILLD THCSGCLRSPEQISEDTDTDLAEVKNRLLTCILPPSLPKRCFAKSAEMHQRECRRLKE IPSWKPSTMARLLAQVLLTFRDNEGNRRVLDDLPLSYSETSNNLLEFLKDGRFQEDME ILLGTRHKGEGGGYTYTLFETEYGIWDEEEYSSVLRKLLSCKFIIYSEAGNPVGLGFS PFMTRFRHSCRPNCQIVFHSPPNEKGNMKFVASRSIKPGKEVTISYLELCLPIHLRQD SLEVYGFDHSTCSLCADTPLDPRWTALHLDCLKNGRIPLLPIGHSRNKNDSPPTENER CPKCSDKVSLESSNDLYIELIECLEDEWNREIEGVTLPREPNKFVAIREEIENLSPLL EIVSECNPPTTYPIPYLQLRLGRLYRNSPGDKKNLFRSIDQWQKAYQSIHQLHHRVPA PLTCQLAIDLCEAYLQAVRHYRKAQRKTPKPRNPMKTNRRPEVAPNGDNSDNDIQERA NVRETSDIAAANRPLPGYKLDGKSLDEDAKRAESADQTEAAKSPESAEPEDDVDSWMD EEEASDLRNHVDEWTDVYQSSQNSDDDQDEVGTSVNTLPTAHNDTDHQDTKISVTRKD MTGRLRAEEADSTAKSTLAKKVISTAQKGAGSAQEPDQVDFLLDDAQYDDIPEKISAL SRNGKIWNRQARLHLQTLGFDEGHRRCLLLKKWDRELDYLDTWFQYLV
I206_07796	MFKKPLAHQSNATPLRSSARRQLLNSIFEQHPSLLGKEIRQSNK SENTDVDNLNEKGGDDQDGISEKELGKLILPEGIRISNFETSAEVEGTFWLTPDGDPL WMTFGRNSKEYIPTLYLLSLSLPHPPLPILQLHNPIPPPILTGAPLFIPAVRNLSKPF LIPDLEENQLVAFVSSDSNSTENVKYIGIGKIVAKGGMKGALERRLNNLKNDKGEIKE EGKFADILCIIDDNLWEMGNKPILPTFDLPLPTKALAPPPREIQQSNQTDQSDVPPIE NLNITDDSVGSSSNQTDSQIITSGSLSPSEISTLLSISLLQALTNLQTSSFPIPASLL YSAHILPNRPSYIPKEKRDEVVIAKSEWKKLTKWMKEVSKEGLLKIKETKGEIIVQSF DPQHPSVQNHTEFTTIYQEELKAAKKATREAITQYGSSTIAKNGNNVIVGSSIKGKEK EILIEEIWKPTGAATPFWEAAGVDKSTFHQTSEMKLILDSYLVKNNLIIPNDHRFVFL DDELGRAVGIKKLEIGEKMTRDEILKKLKNGVSWNVNLDGVIKKGTLQPISMTVKTRQ GRKTVTHLWGLETFNIDIDLFAEELRKKCAGSASVGLLPGSSPKLNLQEIQIQGSQVK LIIECLINKGIPKKWIKETEDSKKKK
I206_07797	MPDLLTPQALATAGPLVLETLFKHFIGPRPSADKGKAREDLMYD EAFVIMKTFLEIATKHPVAALQRFGQVRTPSPPWVGVHRVTIPRTSLNDAAKYMIDGF GGEEMAYKIAGGTKWWQVRAGLGVEGEWIVMKKDWKGVVAEEKREKERRENEGEEEGD EGEFRPEMDRLRCMLYSHMSSYYWGSINTHRYTIWRYARKMHGRCFAVNYRKTPQYPF PCALQDCLAAYIYLTNPPPGSQHRPVDPKSIILAGDSAGGGLCLALLQILRDTPGLDL PAGAVLISPWSDLTHSFPSILQNTATDIVPPYGFIHKPSSLWPPPPPPLTQEVQSNLK SRVKEVVSRFHHHPHRAEEIHEALSKASLEEEDISTIGKPTTIPDKLKTSDPAGGLAK SKDKSESDLHPMADKSENKHPEPHGEPSGNPSRANPALLFSKLATKSEEIKDSETATR ARTLAQCDIPLKLTVKEEEILIDTQIQLYATNAQLCHPWVSPVLGYLGGLPPLFVMCG DKEVLRDEIIFLAHKAANPDGYPIRDDVKALLPSLHGIEEKHGATNVHLQVYDGVCHD LPLLSMTRPSRGAFRAIASFARYVTPSAPGSRYISRSYPATPKDSEDSTPHESMSRGS SHGGLQSLSTTDEVGVPSTPIDTEQRIVDNTLTATPAVLSPEQSIDLKRRPPTLDLAL GDELHRADSPRSIEEAPSVSIVSTPKPEESKHTLNIKTPTRNVSFASSFDSSMGSERE RERKASILPPSPNPQNGSGSEMPKEGRRGNSQPSGDDSGPRFEVEEQYANDWKAKQGE AGWAGIYNGDDPFTAHMIRERVSTIGELRPLEPPEKLQAIQMPLDEVGFIKEGPALRY INGQALWDKKYHRAAKGVKRRREKNLKIAQREGGKIGNHWLEKVEKRIGNESGGITSG GGNTPASSSKEKQDPIDWSESWTWALNGESPPPSAIVSRRDFGEARQLALMADRLDST HSTVIHGLSIWVGLASFFSNSNERNKASQAVKAAREVKKLEKGNKNNNNNKKKNKKDD RDIWTDLDDEDENENEGSNNQINENRTQNQSGINKYIDLIEKTSTTTKTQGKSTKGQK GYKRKGPITRWFDNFKKEI
I206_07798	MSSPPYRLAFALHGHAADVRSLCAPSPEIPLLLSASRDGSAIVW GPSSKSKEWDVKLRVEGPEKRFVSCVGLTRWNGQAFLLIGSQSGILASYTLPSPDSPP PTDDAPLQEPHHTLVEHRQNLCCLDTSKGGLIATGSWDKTVIVWKDYKRVLTIEGHEQ AIWAVKFVGEDRLLTASADKKITLHSLDVSSGRSTPLQTYSGHTEPVRGLSLKPDGTG FWSCANDGNINIYSFDQPSPKTTLSGHTSFVYSISAFPDGSGAISTGEDGTLRVWSET DLVQTIPHTSNSLWSSAIVPSGLATPYIASSSSDSSIRFFTKEERLIASAEERAEWDK EVSGRQLDKSQVGDVKQSDLPGIEALGREGKKDGQVIMIKNNGVVEAYQWSQPTSTWQ QIGQVVDAIGQGRKQLYEGQEYDYVFDVDVSEGMPPLKLPYNVSENPWIAAQKFLDRN ELPSTYCEQVVEFIQKNTNGVSLGQPSGGNDFVDPFTGGSRYTGAASSNAGPSYGGGD PYTGLASSLKDTLCADANDLLGSGAYSSSPAPPAQKSNGILPVKTYLSFKQMNVNAAK GKISQLNDEVKASNPDLAISDEEEKTLNEIYALLSSPAVSLPSPNTKDSKQRYDPNVF LGLVGKWPEDKRFPLIDLARCLAPISPLFGSSPSAPKVFIEACALDTPWQSSKARETN TLLSLRGLANLFSTANGRSTISEAENAKSVLSILSGFDWSIWGVRKVVVATIALNYSI VAATSKLPAQVAGDLLNLISKVLQNETDDVETIYRASVALGNLLVSPLAGSLQVGLIQ EAKSNISSLANVKGEKRLKDLAKEIEGLGV
I206_07799	MSSIQVTPEQLSALKATLLNTSGKTPLHERFRSLFMLKAVGGDE VVDIIAEGLEDPSPLLKHELAYVLGQLSNLKALPILNSVLNNDLGKHCSMVRHEAAEA LGALSQLKSLEILNKFLNDPSIEVRETCEIAIKKIEFDNSPEGKARKLNPDFPTIDPA PSAIPIGDISIPSLKEDLLNTSLPLFERYRAMFALRDFGANSKEAVEALADGFKDRSA LFRHEVAYIFGQLSSPYSIPSLLSRLRDSKEDDMVRHEAAEALGGIASDGIEGEDQSN LPIDQQLPKGGVLSVLREWALKQDAPIVVRESCQVAIDMWEYENSTDQFNPLDSLTST TNEKSNTTGMERSAHAAVAAMTIA
I206_07800	MSTEQSKINTAIVIQYDSEKNPSLAKQEISIQKPGKGQVLVKIS HVAQNPTDVQSLDNKAFPEGAVLGCDFVGEIIELGEGITKFKVGDTIAALVWGGEIIG KGGYSQYCLAEEDIAFKLPKSISPEDASTVPLALTTAWLALFSPDSLGIPKKEDPNVS ILIWGGSSSVGSYAIQLAKLFKFRIITTCSSKHHESVRSLGATHVFDYKDSDVVEKIK SVSSDLLYTFDTIGAKSSSKQASQTLLQGKGTLCTVRPGPSNVEEVVDGIKITDVLVW TSFLKDHQYKTTKYPASQPDHNLAKELFDTIPEWLKNGTIKTSNIKLYEGLDSVEKGF QEYRDGHISAYKIVYQV
I206_07801	MSTQKLPIHRLPLPPTTLQHTLGQLPLEDKPSSQRRATTFKTTT DGIWARVNPLWASWPLRITKEEALSLGVDVEKGEQLNVEDVLSRWNLNKIEHTGIQHD SEEIKNELNTFSSEFRLKLNPILLGISTSTLKDVLPNLTVGDATTICNRGASPSPDST PAALVRNALIDILSGRKVLQSENSENSKNNQTNYGPWSTRYCGHQFGSWAGQLGDGRA ISILETQSEQGGRQELQLKGAGRTPFSRTADGLAVLRSGVREFLGCEAIAALDISTTR SLALLTTPFPELPVIRENGPEPSSLLCRVSPSFIRIGHFQALNPSKADQGMRQIFLGG RGCEEGNLEGLLKLTNWVKDDIMGMQSNSVKEWLEEVIKRNAETVAKWQVYGWMHGVL NTDNISLTGVTIDFGPYAFMDVYDDKHICNHSDPSGLYNYRNQPARVLFALDKLATSL APILGYEAIHSKVPFAGYSEALSKETRKEWEEKGKEVISGFEERFWEIEREVERNGWL KRFGLKTYKDSDDRDIFIDYLKLLQTHKIDFHTSFRLLSSFKSTQIDDSNYLDSFISN FLEETTISKCDDNLLNRSEEEFKNWFKIYAKRSCELEEKSKYNDLENENENWEKLKEK EMKLINPRFILRQWILEETIEKMEKLLTEPWINSKKGKEPEEWEIDLGIKEARKILAK ILDMSTRPFEPYGEGEGKGSEFEEDKRLCGLGRKDMLGFQCSCSS
I206_07802	MGPEAMGIQPINVDWWSEKVCRNFLFGTCPHIIFGNTKMDLGPC PKIHSDRILKQFQEHAMANPADPRVAAFRQEHENKLYGFVDDVDRRIRASQRKLEKTP EENRKTIDLMREIGEIELSIQGGTEEIEALGEAGKVEESMEKLSAVDALKQLKSDKER ELQHLNENAGASGHQKLRVCETCGAMLSVLDSDKRLADHFGGKLHLGYHELRKLLGIF AEARMTGRPWPIVPPTSDKPNNITNPDGGENGEDQDPIPEPPIQAIIDTPKPPSAPSG PRNSTLAPPPPPTTTDDTPRTPNHPKIPPVDEIPVVGHGDKVKREAGELEDDLKERRG SRDRDHRSSDRDRERSHRDRDRDDKYDRER
I206_07804	MSYITCDTLKVDPNSSWEHQQSIFRLKDNLRQNSSERSDDLPGN VISCTWISRAGGGSKTLHRISFGTLDDSIDESEYDDGLREVTLGTARYIASNVSYLVK QLQKWNEDENESCNNFEAAKTWEEATFGRYAEEAGLRDSVGLRACSKEKLKTALGIET TDPKWHEMNKISDKMTRVTDPYPKSTVYYKTRVVPNNNRDPLTERLSTFLHAPYIKPT YSGIKAEVLQAIWVTRNGESSKTLHSAIVTAKQDLSDSQENDRLVGNMKNAIDEYDQN FFKGVKQLIRKYEETDADMLPIVAKSDQAWSQVNKNFTEGIHHVHTSKTEFKNLVGIE LTENLHEHDGNWTSSSAGHTVSKCT
I206_07805	MSKVLYETLTIPKDSNSECTYQSSSFFRCQPLYHGEATGGISAL IQTSMWSEKEKNQEFTKWHRVAFQSYTNDSKSLKKPEHYSALSQTSTKIMYTIADIME GHEEELRQLGKKRRRGFDERTVTILDDHQKECFDKAVLESPLGEILGFSLEDILLLQS QTRDQTSKIFGVKFTSDLHNWPPEGSEQKKDTHLINVSTKGEVERLLKYKSDTFEAIK SRWLGYDPKKIFPDADPSSVVGSSTD
I206_07806	MPKAPSAQAGPSKNNGGRPLKPIHKTVELLKKNEGAKRKGKGKE REILGDGVIGLIDEVKRLPGLIQVEKFAEARALEIYAFQSAIKIAAAQGSTRAFQSLP RHLRRRAASHNPRRVPKRLRSKAAAEIDSGDTIAKKHRKIARLRAKGTLRDHLSRTEQ FALRQKNKTWLPTHLWHAKRYHMTNIWGYRLPITPTLKSFRPAYRAGRRKVIGFDTSY YGIIELEGQREEIISLLGRISAGKFAGIKYEDGSRVAKIMLYHFDAFPIHLIGEAEVI WQPILEGPNVRRVWLRLHPSIFNEVWDSMKIATSQLRHIGSSKIKELQIRDLRAGINS IDLIGPKAGKVLRRIMRLCRDEKSIKSQFFESLRYMDDPSHLTEGMMIGLKMHDPRLN FPPARLISRDIEDSDEGILRNNAIQPSSDLAQSSLWNSDIREDLSKAAYTKYQLDARR HRLGAPGTKLRLRSDDDRLPIMLYQRSITSSTSPSEGFYGFTILLPSGTWTQYLLSSM VYSGVLFGGIRERAIQHREAGIPSFPEHYGQTCKAGIEWEIRKGDKEKETHDRKPPGK RVEYGLIGTKDPWIANWDKVINGKSTEESIMNGSTKNKPWLLSSPFTAHLKSSLDPSA LLKMLNAFRAQRSMSPISSERSQQMFETALVHVEVNVLGRGSPGDMAILSKIPLGERK LWIEAYEKAEKATAGEVSDLHKLGERLPDESEIIGYTSTGNFSLSRGQGYALATITLQ GWVDLLKSAEEDNQIGWKGRTIVRVKNRDGALSRLAELKLIL
I206_07807	MVRPPLSVRMLHTSLPHRAPSARESIASAISSSSLSNSDTTSTA DAARKMLDSLTSRVKTEADEKRKPFRANSYAPPHSFNQNSLYPEPRPYAKAPLLGPSK KIASQIDPFYLSKTSPINHYLNPLFSLNFVNPMGKIKSRSETGLTWKSQKKIGKLVRR ARSMGIISRWSNQTVAGGLGTSMGRIGGRY
I206_07808	MPSSIPRMVLAPIARLSRTSSSSMSNSTTSSSFITSFYLALIAS LQRLDLSRDPRKTLHKLSQHKFTLSNTIPLIIMSITSLYSLYIMNVPAFPLIKLLIPM VYGLAILLPITSQFVWPATPIFAWLLMFFCCKFLPSSKRPEIHVALLPALESVLYGAN ISDLQTRYTNSILDLIAWLPYGLLHFIVPFIVALFLWMFGPRGAIQFWGLAFGWMNFL GVFTQLVFPAAAPWYEIIHGLTPADYSMPGSPGGLLRIDRVFHSSGYTNTFGNAPLVF GAVPSLHSGCAVMEALFLSHFFPNFKPFYWGYVGILWWATMYLSHHYLIDVTAGACLS VLIFYLTMPLGFKDLDQIKWDENQNQNGYERISQGGPNKINKDFNLDLDEEIRKLEEN ENYSEDENDLNQNNKKNQDEESKIESKISSASGSGSGSGFPGKLNIDTNVGSSKNGTS IVEGENDLITKKPKIKKKRSVSWGETKVLGEGGVSSGDIGDELDESK
I206_07809	MSECQFTLQNFTYDHNTEKFHSSTYCITLPKKITEYPNINSRNV KSGIYNSFTYVSDNMHKEFERYSLVVHSNSIYNDKQEDYYTKNRKELVDFNNSIFYSI TDDKHFRESFKNGSLDKKSIEEKIQLTFEECNKNFQHIHEIDFLQFGTYDEWYKYTKT IDSPFDWNDFSDSSEDGKGGSNSQFFINQEEEEEESLLNPLLKEKVSNNTHRSKLKNV LSHIWKNHLNCFNKGSNCGYTTEGMVPRPSKLLFDQD
I206_07810	MPPTNSSKQENDTEDHQCEAMGHPGEEYDKAGAPIRGIQDHALI GNLRTAAVVSLDGSIESMCMPYFDSPSVFARLVDANKGGHFSITPTWSFKPKQAYAPN SNVLVTKFLSEEGVGVMTDLLVPKGANRTGSQSRAFLPWLIRKVESIRGKVPFRMECA PAFNYCRDKHTTELVNDDSVTSETDHIHKGLFTSPSLTLDLRYLSYSTDQCVSDPKIN LKVEELKNRELLGPAITSEFELEEGQCVYFVLRECGDFSYSNAEHERIANPNPQRAES VGLPLETMLQAASKLRPKENPMLTHALLKALIRDTQAYWQGWINKSKYKGRWREAVHR SALVLKMLVFEETGAIIAAPTFSLPEFLGGQRNWDYRFTWVRDTSFTLYALIRLGFTE EANAYVDFILSRLRDRNSDGSLQIVYTIHGGKDLEEITLDHLDGHKGSKPVRIGNGAA DHLQLDIYGELMDCIYLAQKYSKPLSWDSWVAIRQVVDYVCTQVDVPDLSIWYVRGKT KHFLYSKVMLWVAIDRGLRLAEKRCLPCPNRYKWIEARDKIYEEVQTKGWNEEKGFFS QSYEDKDSLDSAVLIMPLVFFMSAADPRFTRTLENILKTPEKGGLTANNSVYRYNAAI SDDGVGGEEGAFSLCTLWCVEALTRAGVYHKPYLEKGVNMFMDFLGYGNHVELYSEEI SPGGEGLGNTPQAFSHVTLISAAFNLDRALAGGDMPA
I206_07811	MPNDPRRQLTVPVQSTYRTSSPSPNTAPPIPNGSHPGRSSFIGN TSATSFQPRFLPPVRKKSLPLASRSTHPLQLEIDRLTAICEEHERVIRSSLSTIKHHV MTNMPEIFELVNKIDDNAETITASIQQSLSTYIPPFQSHLHKIVSDRSSDVEVMRHRT TPWKDVVSDLKSTSPSRSNNDELVGKIGRIKRISETEGEGDALTRIEMWADEVDMYLS TEIVRVKEKLASKTSKRQFLIKLISCE
I206_07812	MPYMHQYDYIFVIGLLFAALDAYNIGANDVANSFATSVSSRSLT MRQACLAAALCEFLGAVLVGAKVSGTIKNGIISLSSFQGNAGVEMLGFTCALVASACW LMFCTRNSWTVSTTYSIVSALAGVGVAVNGTKAVQWGWNNSKGVAAIFAGFIIAPGIS AGFAMVIYLITKYAVLERKNSIKAALTISPIYFFTVAAVLTMSIVYKGAPSLKLNKLS KTTIALAIVLTGLVVAILSILFWLPYVYAKVVKKDYTIRWYHFFYGPFLWKRPAPKES LDQVHQHVPDYRVIGRNDEQQNQSNNDENRSSPSSRFGDATHAQPATTLPNEGQIQDN SPNREKFNELERDIESHPTIFANQKTPLEEVEIDPKTQIQGPWILPRNLWIIIRYKIP KALLHGSSVDIHALQNMTGTKEAQRIHEMHARANQYSNETEHMYSFLQVLTACTNSFA HGANDVANAVGPFSAIYYVWQNGKVTPSNTPTPTWILAFGGAMIVIGLATYGYNIMAI LGNKLTMHSPSRGFSMEFGAAITVLLASQYGIPVSTTMCITGATTGVGVLSSGWRGVN WKQLSWIYLGWLLTVPIAGVAAGCLMGIILNAPHF
I206_07813	MSNHVSSSTITQTQNSTTPKVIQQDHAISSNTSAPVVIGTESTN LSNRSPSTPHPPKAYAQPQHQQPNNHTMSEKVNSSSIPSSSKLISTYINKHPNHSVGK AIHNTFPKRNPLVRFIRRFKVKHSVINGLTEEELNKGQAKGKELRKKAGWKFEDEEGE GAVVGDLFWKMYMSLLPTLERDPLSGLVPPDLLGSTTTMPLTIISLIPDIMQHYRDVI VRAEKEVFLATNYWQPSNSVNTISGALRDLSARTLKAGKERVIVKIMYDRGSWEQLWN AHAPVDPKEWAPLDLPTKEEVQGLDMEVINFHRVLLGTFHAKFLIVDRKVALINSNNI QDRPNLEMMTHLEGPVVDSFYEVALHSWWNKLEPPLPCMSTPYQPPLDSTGRQHYLFQ DHNPYFDDIEILKAAKAARILLRRQTRDIDEEKANHDLNAPGATERLREAVRRVIDEQ KQSFNEWKPGEELEARAHTAMKELREFREKWGLGSTSRAPSRGPSRGPSRRASQDVGL LRAAQRNDEEKATITSTAYGSEAPSSPAVTNDIPLKSKTYPLPTEPPMDARWEFSHTQ PSEYDSATTHRETSIPATPMWKAQKSLETSRPNSIKEKKHVGFAPLTTDLDGSGTFLS AGDKQTRILTNSPIEPTKGLPKINDEAPLVASPTTSVVHLPHEKLDSTSTNSHNNGLS IAPLGAEILPATRNSRGEDLPTGTHSEEVGDLYETTKKHVELSLPDKPRETTVGSDGE LQPEGTGSKRMFALSKKFNAGALSDAWATVEDSDELDDFKPHVIHTPHSPFPIAMTCR KPHGFPGHHDIRNPQNAAWLAGFRYAKKNVFVQTPTLNARPIVRAVKQACRRGVNVVL LLDLGFNDKGESIPFQGGTNEEVVDRLYKILRKDKKEDFLKVYWYTGKDQVRPLNAVK KQRNCHIKFASYDNQVAIFGNGNQDSQSWFHSQEINVMIDSKIIVKEMTETLISNQNT LKFGLVDSDGTWRDEKGHTLEHYGATAKGTFRGLSGFIAFAKTI
I206_07814	MSEFKQADHKLLVIPGPIEFSDPVLLANATPGTAHTSPAFIPIF GESIKLLRKVLLSKEESQSQPILIAGSGTLGWDAVGANLVERGDEVVVLNTGYFGDSF AECLEIYGAKVNQVKAEVGNVPTDESIIEALATKPKIITITHVDTSTGVLSPAAHIAS LVKKHSPETLIVLDAVCSVASEDIKFDEWGLDVVISATQKGLGVPPGLSVVLASKRAV EASENRKTPQQGYYISWKKWIPIMKNYEAGKPSYFATPPVQLIYALHTALKSITAKPI SERIEAHKAASKYVKDELTALGLDFVPKSRDIAANGMTAVRFPQGLKAPDVLPKLAER DIVVAAGLHKAIVTEYFRIGHMGVTAVDRQRGDLEKVINGIKEVLGKK
I206_07815	MSKIFVFLMVFTLCLSLIQAKPIITPTRTNSKVTRNDRLTNSQR IARGLPPRAPVRLYDPTAPHHFLPRAS
I206_07816	MIGLKIRPYQSPISLSTVPGQNGSMVISGSIELNSTCRQVIPGL EVIFAVMMDQRAEVGKKWSRPQIIDIYQTAVVQGNQEVDAGLSTFEFNLRINCSIPCS RVTQFDRVFHRIHAVIPQQPPAYTVTEHATALRLPQSQLKKLLMSKLSHPSDTFRHPI TKVASIATTTEEVQVYGSKYLDAAPVPGWVDVVDIPELGSEIVLRSDQNLISLGSILD IQLNLRNLCPDLTLHSWSMTLHQDTVSASGTLLSSPPTSYQDVFTIGGDKNLTHLYVC PGRDRPKEGSYIWRGTNARELDGISSPKNVDTSEFTLSLRARLPSPMIGGIPSSTGVA GFASVQHTFRITLYYSILGEDLRGQELPRKNGLPVEGTIRTWTYTRPMSILSDLQGLE KAPTPTYSEHCDDLDVVDLMLKSSKLVSSLNLPHMASMLQSRADLLRPAEPNLDILKH RIATHWRDTGGLCSCFDEQNIKGDEACRDDGTTNLHESSKGHAMLAYLQ
I206_07817	MSTEPGHANQETHTRLDGEPDKRFKEHGGGSHGAQGQGYEEIGD GTSSLVTEGTGEDTGVYKPSAHGGQKKDGGSDDRVSSEHGFGGDRERASAEGQKGGSA SYENGGLTQ
I206_07818	MEQAQTAGGTSSRLTAVLEPPRRAQPEWGEVQLPPPDTGSSIRK NNKGHWLAHGLMTATLASAAGGIGYLAAKDGTSELRDCKTDNRTNWEKAKEVAEELQE AQESISYLKDEIDSLNSSASCTNSCNIASAASTLSTVQMQSDQATSTGNTIQISITPT PTAHDAD
I206_07819	MASDPSIRTSPTGMNRDPPLAVYGHEQAEHLSTFLSDSSKTHPY PTPELVFSSPFYRCIETSIPTAKKLALIPEEGNKESVSQKISGGIRLEHGVQEWYSPV IPSTGLHPRPASPSNLAKFFPINSINTDYSSTVFPSRQGESFKALHERAELFVESWIS KIEDLHPEVKSVVIFAHAASIIVLGRALTGDQDLDVVAGCGSTSLYKRKPPTHINPPE SFESTLQPHKQAFDSRPPSSLGYSTLSGLAPPSPAFGSLAGTATPPAFGALSRESSPP PARLADKEKLPPCGVGEWEIVWNGRADYLPNGLERNWSFADAVIKDDGEVINDKGDGG SYKEQDLKPEGLIEGGEKWLRRGIPPFSKKESVGLGIGSARM
I206_07820	MSSPLSKSGGGNTPSYESPRSSSGTKDFSQMSTLQQAISKLGVL WKTPESASPIVTNVLSARENGSDADPEYQFQMKSTACNTDIRSEFGLQDPAWAAGLWP NSHRVEDIVRNAETIHQLQSRLIRELSEKIADTVIKSENKDPKWSKALDNTNKQYRFK QNLINNPSIETKDFVHSEIARSMEDVWAADKTIDKLLTYFSFESGVSEVSEVGGRLEL LVSRSDKGDFICKEVNIKPEFKIEVDWPDDPTEGPTAAENFLKVSQSCLGGFTRSES
I206_07821	MSGHQIQNNHLSSEGQNPIGSKTNNQTASNSSYTSHTNWLEGWN KLSTWDKGISIIAKSLSDQAMSLSRWFISFDRSPDRGAEPTLAPLETEQHSEGSSKSQ MSDNSNDANSTPQEKEMVSGGYLCDNWGVRKLNKQGNFIKTQE
I206_07822	MSNFSAQPIVIDGKGHLLGRLASIISKQILTGQKVTVVRCEEIN VSGSFFRNKLKYHNYLHKRHIVNPKKSGPFHFRAPSRILFKAVRGMVPHKTSRGAAAL KRLELFEGVPPAQDKVKKMVVPAALRVLRLKPGRKFCTLKRISAEVGWQYKDVVDRLE EKRKVKGQAYFERKQAALKLRAKADSSVAKNETLTQFGY
I206_07823	MSHQSTYLAPTAQQPISKYIPQSPQPDSPPQTPSPISPGKPTLS LPHREMIDSAGESSQSKDKTPTGTPEGYTYNSGNTSGIALKRSDSHTSATSIGIGINF PGDSNPLPRELHSPRINGNGNGNGNGNMTLPPVPVQMQRRKSSDGSVIIPFATDNSPS SAGMSLSLSAGSTSNPTPQQNLSPFPPSTSSQFSNTPPRPRTVSPRHNTNNSSTFASS SALGKSGSGYEPRERVLSPQKSDIVANNGVFHNNGSNEDLSNHNHNHNSIDPNNPYDT HGTKEKPSWQHANSSFATFGGVGSQPYFPLTGKDNLLGNSPTVHPKKVPFTDSVGFWL GLYFCFNLGLTLFNKFVLVSFPFPYTLTGLHALSGCAGTYIALEQGAFTPARLTQKEN VVLAAFSVLYTINIAVSNISLQLVSIPFHQVVRASTPLFTIFISAIFLRARFSYLKLI SLLPVVAGVGFATYGDYDFTALGLILTLLGTFLAALKTVVTNLIQTGGGGRLRLHPLD LLMRMSPLAFIQCVIYGWYTGELERVRRYGATQMTRSKAIALLFNGVFAFGLNIVSFT ANKKAGALTMTVSANCKQVLTIVLAVMLFNLHISPTNGVGILLTLVGGGWYGYVEYME KKKKTKVLERA
I206_07824	MTGLTLGGAGINQTSDCISVLVVGAGPAGLVNARTPIEDGFEVT IVTKEDGVGGCWHHTYPDLATNSPWGAFTFSGLDMPKPASLVGDVVPVRTYRRYLEDF YHHFIKDKAELLLQTEVTSLEPKDNDERGWSAPLKDDHGEVERSFDRVVLATGYLGKP FIPDFFQNSPIPTFHTSALSYPCELEKIHSLVGSTNRKPTSEGDENTIVVIGGGKSGM DVAALLANRGKKVIWTFRGPLKWYSPTTPPGMMGINRLDILFGPSRTIDSWAMWFYHC TNLGAGWVKGFWGMMRSVWTETYVNHDLPPPETDPFLSLAHFAGGLPSASTDFLTLLK AGKIASLHNAIPISVDKEGIEYKTENGNLKVKCGVIVTATGYRGGNYEFIESKLRRLL GLDRFSPEEGHEQVVLQMRRKWKTINSDEIGRIPLPLVYRGILPLGRFSQRDLAITGA TKPFSIPAITYEVESHWISSLFKDDPFLKLPQTEKECLKEIQSDNNFTRARYPNIDPY EVIPSGTYFSGFNDLSYSRVLLRDMSLDPWRQKDPNAKWWKFWSMGWLDVRSDPEQYA TLAQERQSLRATY
I206_07825	MEEIAKSNNPYPVMPTGYLLGSYLALALYGVHFSQVVRYFSRHR DSWKIRLLVVWIFILSTLQIIIILLSSHQYFVNGIETPSIWGTFWWLLSFQDGLIPLM AFTAQLYFGRRAWKLTGRRPWMLWLVSILATITLIAGIALAVTAHIWSDYPFVSKEAF KQRVIGIPSQVVAITWMGLSAVTDGSITLLLVWRFRQARNSVVQSTRSLVKRMVALTL ETVLLTHLVGGIMCIMFIASPAAHRTKNNVFWVLLESVTELYALSVVFTINSRTPIDL PTPDSLESGLEVKDDRAQLPDHLGQTILDYHVEGYQGSTPFGVRAIYMPENNQINSIE GGGHSSRIGSDSAQSGSCSSKTGSSGSGSELSSPVTPLDELAYFTAYSPRRLSHFGLG SPETIDEDMEMNEKS
I206_07826	MATITQTINDTLTPLVGTLGIRGSTFPLARNHSLDKYVSFEVTP SIGQEFAKELQLSQIVNAENADELIKDLAITISQRGVVFFRSQDLDIADQKVLGKKLG ELSGHPHDSSLHIHPTTELSSAKGDHISIITSERRQARSIGDSSKFASVNWHSDITFE PVPSDYAILKVHTNPPSGGDTIWGSAYEAYSRLSPDLAKFLEGKEAYHEAGFFKAAVQ EYGHELRTEERGSPLNKGDTLNAIHPVIRVNPVTGWKGLFVNQGFTRRILGVSKDESD FLLDYLFKLYTNNHDLQARFKWTTNNPPGIGDIAIWDNRSTIHTAVFDYNKSLRVGDR VVSIGEKPYFDPLAQSRRQALGLPDPLENGLGEIYRERIATSSS
I206_07827	MFAQVLSAALCATAVLATPVTVKRDDFGLEWMSKDSSLPKVVLY NTGGTIVSTSNYSTIDNVNYGSGPRVLPEYLIGNYSVLLDRAQIAIVDMSKAGGSSNL NSTLYYNVSKAANEHLCSEGSDIAGAVMFHGTNTEEDTFFGVDLTLNCSKPFVATGAM RPESYISNDGPSNFYQAVAVASDPKARDRGALVVFNDRISSAFYTIKTNGNTPDTFKA LEQGNLGACIGGQPYWYFEPSYPVARYHFDLSSVASGENLPHVVVLFGSQGFDTSLMY AAVANGAKGIVIMGAGAGQLSTGAVEVAGKLKEQGVPVVASLRPVTGASPPKPFEQNY ISSGYMQAGKARIQLQLCLATGMGWEGCRNVFEKDMREVIYNDSTEYYFS
I206_07828	MGLLQSAFAWPPGRDFNVSSATKAPCGGSPAGTRTSYPVKGGEI SLTSKTTLDNLNVLWTNETDPSLFHAFSTYANSLTELGAGHYCQPGPDFTSYGLKAGD DVTLMLMYNLEDNGDNYFYCADITLTSADGFTPSVNYMCSNLTSSIQVASSQDSMKVG ETASTSDTTSSETPQATFTGSSQTSGSSSSGLSAAAGGGIGAAIGMVVIAILAALAYA LGYVRFGKKKPVVLGDHASDSTGVPVKAAQY
I206_07829	MLPPKVYTFLCACFAAMGATLYGYDLGVISYVLVAPDFVRVIDT TDDNYIGFIVSSMLLGAFFGSIPACLIADRFSRRMAITVAGGVFILGGILQTATQNKE TMFAGRFFAGIGIGMLVSIPSIVYQSEIAHPSKRGMLTATFQFFLGIGAIVAGWIAYG VQQTHPNAPMAWRLPLGFQMAPAVPLLLLSFLLPESPRWLMIKGRDQDALRTLARLHA RGNENDPFVQGEWLAMKEKLGQEASVDQSWGLIFYDKTNLRKVLYGIILQFSVQMTGV SAIQYYAATVYKSVGFSQNTALLINSLNNINALFGELACILLVDRVGRRFPLIAGNML AGSCFAVATALAKQFATGSGSRGEGIGFVAVLFIYNFAFSACIGPLSWVYPVEIFNTA VRGKATAMTCMAAWISNFMIGQVSPKAWNNIGWKYYLVFTVCGFTNALTFYILFPETK GRTLEEMDAYFRETHWFVPGAKVPVVSSKEREEQLAQDGLNHDRNIPSSDAEKGLQAG DYNHHEHI
I206_07830	MSVYSQHTTVLQAVLQQQSQVQFQSTPNHPSELRSTPLNLDIDR LVHPAEPPITDKLYSGFLEHLGRCIYGGIVDSPKTPSPAKLLVKQDKSGPLYKGRLGW RKDVMSCLSKDGELEIPMMRWPGGNFVSNYHWQDGIGPVSQRPKRIELAWLSDESNIF GTDEFIDYCRAIGSEPYICLNMGTGTLEEALSWLEYCNGTGDTHWAELRRKHTGRKEP HNVKYWGLGNEMWGPWQVGNLLPSEYARKARQWAHALKLVDPSICLVSCGETGASEWD REVIQALLPWADMHSIHFYTMLGHDKMSSVPGLDYEKNVFGAVAAEKHIEVCKSLIDM ANIGRTIERMPARDMKICFDEWNVWDDVKAAGSNGLEQVYDYTDMLGFCAWLNVLVRK HKDIGIACLAQSVNVISPLMTRPDGILRQTLYYPLELFSKYMKHGHLLQLPSFPDVYT GPTYPVYIQQAGYNPSYIDSVAVSVKTPEGFSIRVSVLNRHPTADWVTNIAFSGFDLK SVRIHEIYHDDLIAKNTFEDPYNVKPVSTSLSKEGWETEYANGFTVKKHSWAFLIFDG TKV
I206_07831	MIRDRLPSPTSSNDHTERRQVRVKRSRAVLVSHVDHASRQRQRI DAPMITGEDKARAVIPTSTDQSINAGPGPTTLESRLSRLEDRLHGVDILLSKDQNPEN RRRSDLYKRAVDDDTEWSFLLSQLPPRSTVYRLIRQYFILDTLNRYTHAPSYEQHLAS LYANNSDHVNMQLSTEQAPYLASLCLAMVIGSKADQEGSSEEDNRNLCELVDRLSYLH KRFSHFSAKFVSSQPQSADPKIAYYHLHSLMLRLQEAIYDSSSSLPETWFEMGEVFHA ALFLRFHQDPDELSIVLSPFWKEMRRRMWYLIYCGEKITTSKLVLPSMLPLATVRRPV LVPDDELREDITQSQLDDRTFVNEFMQSNGATMADLTPPNMPIFSNRKSTATEWAFID AKISNTQLLCELSRILPQSSQNIHPPDIQVIDNIVDRFDDKRPGHFSLDLLANAQSRD SPLTAPDRPAWICAQACVQNIGIATAVLQAYQPYLSIPTSSYDVAKIVQHALDRSLEA AHRLLVAAEVYVWHITFRWPEGKCLFSWNLGSRLFSAGALVALAAIRDGKEHPSWRGW MGDLKAAEGLLRVVSDHTSKDGQGRGGSADLKALRILRQLSDQATGQTSSSAQSTDSA TPVMRNSYGQERIFDPYTSHEMRNISVLSNDPSSDKFEIPETFTLEDLEALLTQVYGK PRQ
I206_07832	MLEPIEAEFARPPIYTAEQEEALRDEEVESPGVLSQAGLERHRT QLSRVASTHLTRVGTHTPDSAEVEKGDGEILVEFQEGEGPRNWPKGKKWLATMSTSAL CLTVALGSAMPTGDLSGTAEGLHVSDEAIYLSITLFVVGFGVGPLVFAPLSEIIGRKP IYCISMFFYFIFTLPSCLAKNIATMLAGRMLAGIASSAPMTNVGGTISDIWAVEDRGI PMAVFSSAIFMGPCLGPLFGGWISYKTGQWRWIYWVLFILVGVVFAATLIMPETLGIV ILKKRAAKLNKANKTNRYVTAHQKLHQASGVTFGQTLKTAMIRPFILMFLEPIVLFMS FYLSFVYALLYATFFAFPIAFEEIRGWNPGMTGVAFLSIVIGIFFALFLMPIQEKLYA RKPGCPESRLYPMMLGAIFLPISLFIIAFTGAYARVHWMGMMVGGALFGFCMVSVYIS ANSYIVDSYADVAASAVAAKTLMRSLIGASVPLWITQLFHNLGFQYAGLFLALVSCCI GFIPYIFFFKGESVRKASKRAMKS
I206_07833	MSAVPKYIYKIIPHSSVDTRFTFPVPIPSSHQFLTELDLNDRFI HFSAAEQIPTTLNLFFKEDPAVTLLRVEVARISAWKKVGWVLPDQTPRTGDMPYLCAH AWPVPLEGEYVESFKELFRQSANGEKSAQGAWDGSLAEKEIKDWLI
I206_07834	MGAAETLKQRTKSPSAWVLPKEESCIAPDNVWTNKDMDPAPQEH RLWTGWTFFTYWISDVLQPGQWATVASFVGMGLTWWESCLAIFVGGVLVSIVITGKLH SSLHTPFAVTSRSVYGYWGSKFVVFSRMVIACFWLSINSWSGGVFISLMIEAIWPQYA HLKNTIPASQGATSRDFLSFFLFWLLQLPFVFIHPSKLKWVFNIKAILVPVVAVGTLI YSVKKAGPLASLALSNPPDRVPAGSKRFIAFMYSVTATQGVWATLSINVGDFSRYCKK PSATYVQLLAIPFLFSFLSIFAAISAACLNTVYGTSDSFYQSYDIVALWNTSAGGRAA MFLASLIWALSNVTTNISANSISAANDLTSLAPKYINIKRGQMIAITIGVWGFAPWKV LSTASNFITFMASYSIVLAPIAALMAIDFFVVKKRKIDIYELYRPKGIYSFSKGWNWR AYVALIVGIAPNLPGMINAINSTVAIGNIQYIYMVSNIAGDIFAIIVYLALNKFFPAK EAQVDVAVHDLIPSSPGGSFADQGEYPVTFSGSTPAAEERDEKNSPWLKESRLSV
I206_07835	MPMPDENVHPVATGLAKQTVDKHQKEEELTFWSGWFCPFNQRIW MALEERKIPYQYHEVNPYKKEQHFLDLNPLGLVPTVEIKSKDGKSKALYESDVLVEYI EDLFPDSKEHPSIFPSDTYEKSWVRLNVQHVSKKILPAYFKLQQFQDSSDQDKAREEL YKGLRTLAERVKGPYFSGEQFTAVDLAIAPFVRRFYNLVEFRGLDENQVGNGWLKYRE NLMNRESLKNTSSEDEYYTELLGRYLRNEAQSEVAKATRGGQALP
I206_07836	MPAPPFLTPEQIAKAAERKAAKMAKKKALAEGKVELNDDLKSEI ERKRFLKRDWVSVGSSSDRKEGNVKIVTWNVLAQTLVRRELFPGSDCLRWSDRKAMLL AELEHHSQADIICLQECDRVKEYSTALPNHTPIKGSGPGKLHGLVIFYRTSKFFVRAS KLLHLDEEPLLPLVTDESEEQIGPRQRGGSRQTKNVGLIAALEEVDGDGRGIVVTTTH LFWHPKYAYERVRQSIILLRNIRQFQNENGCTSWPAIFAGDLNTQPSEATYQLLVSPH TPLPDTMIEEITSSRMVHDSVIKVSPSSYLSDVSSTTATGSNTPNTKEDEEAEGELPD SNEKSIANTRSPRDTDGILSVEELVNAMRTILPTEGATSAYGNSSWAGADKENFDKRG GFNQVQGDVVGKGEPAYTCFTPLFRLTLDYLLLLPPIDSSLKAEITAVYAPPNIEDLG VGLPRKGICASDHLAMGCESVESHREQPVDLALQNAEKPFWLKHDTDMHFSIGLAIPV IHGNVTSSYLGGG
I206_07837	MSEQPEQLQPQQPNGEVAPVQDVTPVDGEEKVEEQQEVATLPPV TIRFPTPTCPRTIPKSKDSSETRDRLTIFPQPSETVQDIKLLINDWVGAYWLGPYSLR LPFVKGEDGRGIVFTKKEDLSEIRAGEKLNEWLEVQDAFAHLDEDSQRTLEVVREPYG EFTARQSVLRLLELIAPAGSTANTTSTSLALQPGSTIFESVRDGVLSSNGETQYEEVE VSLPSGRKGKGGKKELVKVKRAISNDKSHAFSDWKGDSWTSTNFGDLPFSQPPLEVTP CLRSIQISPFNPPPPHLRQLGHQLYLQVALLEGETLTIICTTRGWYVSKSNVNQFDPS PRSDNNGSISSPIHSLIDLLHSLSPLFSERISRLSPLSTEPPSLDPISTVTIPQAEPA YPWLANVPKPATSAEILRTQLAFLHTGAYGADSVDAARDWNEEIQGIRELPRTNMQER VFREKMLQKVWAEFDQAAVRAVQTVSRGDIPSINPSEDPKAHMYLQSNIFITQGDSDA LNAYGHLGGDAAMRISHGKDAAGVKLLNKLDVDGLYMLGHTIVDWQGKRWICQSILPG IFSNRRKEDEEDEGTAQVVATEETDGQKKEDWVKVGESPKSSTEEEADKSAEIEAEAG LEGESENPMMIYGLDSEKPTSIHWDKSTHKLMSQIGQAQRLAAHKIKDGKGEEFEFYA SSEVKGLKGTDGRRYLLDAQRLSPVDIEWLEKDYSTEKGTYPHRLAMLRPELLETFWE SELKRWARGVADKAQTKKNEEASKEAGPSTTEKSDEKPEEGIAAEVEALKEEQSPAAE AAAAHRAEEEKPLDASVVGDIKQFELRFNPDAFVDQIPSKEVESDKPFLPSTVNDESD PSIKAVRDASVFLRSIAIPAVVLDVLTGNTSGLMDGASLTKHLHGRGINMRYLGHLAA TIKQFSAGPDGAEKKESGHLATLKTLVIQEMIFRASKHILRSLIANLQPETSTFAISH FLNCLLGTSYNSSPSATFNPIGVSDREESPEYTKLTPESLRKSIVEEVQSRFRFSLEE SVFEESLKKKQLLRELAVRFGFQLAQRDYLFDKDQDVVNGNEEERDGKKDKKAKKTKT PTVKRAHSFEAEDILTLVPVVKSTAPSVTVAEEILEAGRNTINRGSIDLGLEFMLEGL QLYENIHSVIHPEVASVYNQYSQAIHQLARLKIQQVAAAAQQEGSNTDPDQPLGLDIS TALRLQRQAITIAERTLGVYHHETAGYYFNLAMLENLEGNAQQALRYFRHVLKLWDVI HGPDHAEINTVLSNAGVVLQAINETTLALALQKQAYESTLSLFGDAHIQTGQSLHQLT QSHFLSGDMASALTTSEKALTIFNARLGEDHSQTKEVKKNVDLLRAVIDNVEKQKERN EQLKKESQERLKAAKERLSLGSNVAGKRRLGSTLTGVNGTNGVRIVDPATLAAAAAAA GHPIDINGQVPAGGSTATEGGAPVADGSDVGARGTESVEELVRFIQGQQVPSASAAKR GKNALRGKRRTGAKR
I206_07838	MPVSTRSSDAVQKVEEVVHESSQLLQGQVPGHEELSAGHPIHPA TVHWPIAFLTLTFGITSLDLVPLSLYPKSILPPRATLNTLAYYSAGLGVISALPAIIT GLGEAYELIRKEYIQKGKDWNKVIDSAWNMKDTGGRKIKMTIKHASMNDLVVGLAGYN WYRGAYYPGQKLPQITLLLNAIALPALLYSAMLGGRLVYEYAMGIQRQGHGKEVREKE E
I206_07839	MPFNAYQTTALGTIIRFVSGNRLLKYRDEIDVPEQYSKEGIARR NLERQEKRSKNSGPYGTTSRQSPGDFNEPRRDSQTTIVDEQNNKAAKASNEKAQGERG NENGRMADNDGQAEQGTKDDPTLVTWYSDDDPENPQNWSTGYKSFVALQMSFLTFAVY IGSSIYSSGIEGVMAQFTVSQTTALVGLTVFVLGYGVGPMLWSPVSELSNVGRMPVYV GTLFIFVALQFPTIYANNIHTLLAMRFLAGFFGSPALAVGGATMGDMFRPKHLAYAIG VWGCGAICGPVIGPLLGGFTYQAKGWTWPLWVLTWLSGACLVLVLIFFPETSSKNILH RRMVRLRRITGNEELKTQAMIDSSHLSGGQIISETLLRPFILFFDPILLIYNTYLALI YGLLYIWFESFPLVYMEVHGFNAGESGTAFMGIFVGAWAACAVFCWYIHKYLEPIFDS NGELHGVIPCPEIRLYPAPIAAVCIPIAMFGFGWSGQYESVHWIVPTIFTGFFGIGAF ILFQCIFAYFGDVFYTEMASVLTLNDLFRASWGAAFPLFANALFKHLGIGGGNSLLGG IACLFVPAPFLFIKYGHKIRQKSKFAANKS
I206_07840	MSSEGNEGSLKFTGDGDDTLIEFRDGEKFRLSLHMPEREKDDEW QDWTSYHEESRRSWASTIIDINTGVKECSTIDPESAKELLKAAYRDNVFQNFCAQNFY AISPYDGLYQTLLEYGVQTYCSSLKDDPLYLKYSESRAQRTYNSVLTVDKAIDRWKQW EEKKSEK
I206_07841	MENTTTTRHHVQFPITPPQTPESSAYQSPQAEQHINHTAYPSFS SSIPDLDLNSEPGKEDYSENHEYLDADDIKYKWRKGMSESEKDAREDWLSSKKGRKGL RIVIVTENFLPKVDGVTRTLSRLLEHLQTQGHECILLGPASNISTYASHPLVGTLGIP LIIYPGLKLNFLRPKFLSVIREWEPDVVHFVDPIWLGAQTILAMELGWAGDNWISNEG PQIGKGLGGAVVASYHTNLATYATLFGLSFLTPIIWAFQSSLYSKLLLTLCPSPSTKS MLESQSFSSVRLWPRGVDLTQFGPQKRDAALRESWGIKRQNNVKVNTEEKCKIEIKMD NAGLMTPPLTPWNGPIDNNPDCEKGDNRLVLLYVGRISWEKNLQIILSAYSFLKEFLP TGTLFPKLVFVGDGPARLDLEQKCKKEGWDVSFMGHKQGEELAKCYASADIFVFPSFT ETFGQVVLEALASGLPVIGLDAEGTRDLVQHGKTGQLLSLPHSNQELSWAQVCKPDSP YFQEISKGYAKLVKNAMVDANLRREMSKCGSTEGIKGYTWWDAMESCVDGYRESMRIS RSKRSIDVIPHSPDELSIEIINSPKSRRGLSLVNRVVSRRLAYKEPHIKVQKIRKRWI SRKGENGWFTLSKSFFPSTHNVHMRYIDEIFDDFSGTLVKIIMTICLIYAIYRHHHSQ MASESFLAAEKLTT
I206_07842	MVSQILQCARQKQQLQQQQQAQQQLRTCQARCPSRPLPNSLTYH SQDDTSPLQGIKTPAFTPLPTRLPPPPIITRRHDPARASSQSKASLNVQQEKTNNFSA LRQPSLPATTQEYQIQVQVQPTEPNFALNLPTDIVNNTTDIFNNIPSFENTLFLATNS QVESTTSMEFNLDINMSNMESIDWDQFLVDSDLGEAQAAQPMTNSNANSPAPSDSQTA TPKSTESEQSAALDPETDFDFNFEFDLPLGITHRPEDIFNPVQPFDFTASVPDTRGTN DPTMTNTFGLSFGDFGLHTDLGADAASQLGLTNLIGKLGDKKMAQQPSNISTMAPVND LTDAYALLDKLLPTASSPMSIQPSQLSLPPSPPLTGIKRKSSDASEDGAPAAKKRGRP PGSAKTKTLSLQDPKRLYQRQSTVFSGSPTYSANSVADESEIDSPMATSPITPAAPKK TASGKPSTARPKSVVPEKFLKDGSAQAILGMTIDQIQSYPSFDMLLKDVEASKLPTAR DFGERISDNRDKAKDAAKKSRDERRAKIERSEYLEKKVDDLESKLKGMTSVLLTLVDR GIINKDQIASFL
I206_07843	MRFFTSCESQSSIPMTDRSRLENVQDEPDQNQRGSNGWKEFEKE SKDFDGNWKGKVWIKEWSNVNQEQEQDQDKDKDRNIITNTNRSDSFIFTKLKNRNSVK PEGVSEQPMMNEEKGNVQEGEIPLEHGRLQSRNMVILDTILRSSYKAGYNDALKIKPF LPYSTSNSPFLIPDGAQSTIHVDTDNASQSYILLLGLGGLALAGIGSIMYASLNRLKN VERGLQEILALVQLREKNELASIGRLSKEFVGLRRFIEENHNAISSSTSIGQSDTLTL TSNSAQQVKTLKAETEDIRSMIDEMNINLKKEFRMIAKDSNGNQIDLNGIKQNLEKVL EIQNVSNSKDDKSSSTVSGGKMNNSIPNKVIEEIQKISSETYHLRENLNGLIKIGKEL QGEISLNNQLVKNNLNKSEDLKKALEIFKNDSSSSSSIHKFTTPTIASQSSSVSFMKS AQINQLSRNLNHNLRKDDHDVMPLSDIQKQIEAVKKSYLKDQDKSLVSSIPNASTSVD RPKVADEIGQSEDLAKQVNSPRERGQHGPMEGVQFTTPIEKVKNPYKVSSSPPTIPDV VRKDPIKEIPTSSSEKQDEDDDGSTPPSPPPPSSSSGLTSRTPAPYSSGPSSNSSTIT TTARSNRTMSKGNGRLATTHGNGNGHWWAVHSFSLPHIRIDDAWRVKGFGWYHPHDAI GRQPVQIQNQSQKSKKDKVDEEDRSLGAWAIDRVKRKFGDWPFH
I206_07844	MPEYLENFGEPLYFSSIFEEEQDISQIDNQYVSPSPEPLNSSDQ IFKSYPLSQALFQTIYNKGYTAGSTSSVSQQLTHWFKIHSDSIILITMLALTTGKMAL SKRPSKSIKLLKSNTTKQIRSIGNTIPNLIKLSENSKSYTTKSSSSTNEIIEYDKIFE KLKKDLLISSSQNKFQPEPEHESEPEENIKTLSDKALIGFKEKGQTKFSGNTAKDIFY AMKKMKEMNLAGLNSHKIVIEYNSDGTKETKLEPKESEEDLNDSSIPSTYYKDPEVEI DAEDMAGEEELLSEIEEKGLSSIMALKKIWSKIEFQVVEWDETKGELIMNIFDPEKPD NLIHEGLKVHATFHDGIPTSIFHNGLIFEIEETQKDLIDGASIWKFSRLVEDVASKVT EVEREEEVNEPNLFDDIILSDRAKNAHIFEKSVPNTDVMSLIEAIGNEQKVEFWFDEK IGNSIAIGRTGKKENWKMRLAIYDLYEEPQWSEFTASVYFNGYPKTITLEELPEHPIP IVVCDEGQYVVRGREEDNAV
I206_07845	MKISSKITLALAALLPALTNVVASDVLDLAQDTFKGEVFDQDLA LVEFFAPWCGHCKNLAPHYEEAATELVKKGIKLAKVDCTEHADLCQEYGVSGYPTLKV FRNGTPTDYTGPRKADGIISYMVKQSLPAVSDVTSDSHAEFIKSDKVVLVAYGDASHP IPSAYSQYANTARDSFLFGQFTDSSLPSIPESPSLPAIVLYKSFDEGYSIFPAGEIVN LESSSLAEFVKTNSVPLLDEISPENFGSYAEQGLPIAYIFADPSESDARDKLIEEIKP IAKEHKGKINFVYIDAIKFIDHGKSLNLPGDKWPAFVIQDLAAQTKYPLSPSEKVNAK SIKSFLDKFVTGEIQPSIKSAPIPLNQDQPVYKLVADDWENLFGDLQKDVFAEFFAPW CGHCQRLAPIWDTLAEKYASNSNVVIAQMDATENDIPPAAPFKVQGFPTLKFKPAGSN DFIDYNGDRSLDSLVEFVEQNRKSSGGEGGATTGGDDDEEIFDDEDAPEHDEL
I206_07846	MAPDSKRHKPNTRSNGPPIPSVIGSALKKKTPTGPVYEKGIEYW DKVDASVNGVLGGYGEGPVPHIEQLSSRLLLLSLIPSLSKFPNPLTPVPPSTPTHRRT ILDVGAGIGRVTRHVLLPLFDDVVLLEPVDKFIREGYRSAKSGEWRDLSNDQIIKKGD EEFKKEQKRRIEEQLKLINQGKSKGKRVRFIKRGLQGLNPKFPIDDQAEEIGLITCPN GLNEKEGCMANEILYDVIWCQWCLGHMSHLDLINFLKIARKALRQGDDHYIFVKENCC DDATGGVGQEFLDEEDSSLTRSHQKWVDCFHEAGLAVIREEIQQGMPDELFVVKT
I206_07847	MPAHIPIVKKRTKTFKRHQSDRYHGVKESWRKPKGIDNRVRRRF KGQLPMPKIGYGSNKKTKHLLPSGHKELLVHNLSELELLLMHSGKYAASIAHGVSSKK RVELVARAKVLGVKITNPNAKLRTEEA
I206_07848	MAKIRKHTQKLHKDRQTSHLCGIVLDLTEDSQRQSSVPDSKSEQ NLPKLTCPLWSKNKPITYRQAIDRAQKEFEVWKNSELDECLLESSEQSEHGDSDDIWQ DHIEELVKSASKKAADLLFSHITGGWDQDSKSKFEGEVEQITKKFAKNYEQSLIKQLS WNSSSSQDERVDDGCVDQ
I206_07849	MRLLNQFIACLTLAQTCLGFNFQPKQVKWDVKDSTLDNLTPNDP FKTFVTHFSASRSGTDTFLQTQLGLIYPNSTIITTQDTYFDIFRYAAGSSENIAIREI EDIQAIKKTVFIKPQKRKEGSGVVTERVVFGGYQVAWKDKEFKIIVATWAETYRQVTQ WHIITDDHTAAQQFIQSCSDYCSTFIDVVWVFEQGFWRPDKELWESVQKASWSDVVLD DKFKNSLQSDYRSFYKSEKVYKDLGVPWKRGLIFLGPPGNGKTISLKALMKEVKVPTL YVKSFHSYGGDEKGIRDIFSQARAQAPCVLILEDLDSLITDMNRSFFLNEVDGLEDND GSLLIGTTNHFDRLDPALSNRPSRFDRKYTFPNPSRDQRRDYAIWWQDRLHDNKEINF PDSLLDEFADKTDDFSFAYMKEAFVSTLLTIASRKETHSDKLDFGSILLEQVDHLRKE LETTDTLSDHQNSGSSSGRGGMGMDEMMGYRQNAMELSEDSKLFRIPWINF
I206_07850	MHLKDLNGTLPPVGDEGTGKSSALEGKPIKTGRPLETGMSLSLW LQTVRNNPLLNHRTTEDLPQSADVVIIGSGATGSLTALSLLQSPNPPKSIVLLEAREM CSGATGRNAGHCKPDQWRGFSKYAQAFGPDEAIKILKNEQQTWESLVSYVRTHKVDCD LWAGKTFDVYMTEEVAKLAEKTITEYKAAGGDVSKIDVITDPAEAERISRIRGAKALY AWNASTLHPWKLVAHVNKQSLALGLNLQTWTPVTKVSGSANDWTVHTERGNIKTPIVI HATNAYAGALLPETRGGIKPTPHMCNKVLPPSTFAGSDSLQNSYAVIYPTGTYTINSR PTSDGILLFGGAAPNQSKLLDYVAKDQKRRIDDSLTDFEPVTEAVRTLGTEGFEWDEP KRGSKVLYDYAWSGIIGRSADQVPFIGEVPEKKGQWICAGHNGHGMARIFTCAPALAK LVQGATWADAGLPNCFQVTKERLEKYRDPDWDLEETD
I206_07851	MAVDENEYGITPVVDSTPSTLMYGDEKKTSLEGEADVKGGHHVA PILQSEETIEEVTGALEVTQQDLIDAEQKLETMSLERCRRIMVQVLELHQHDQNFPTS SLDRMKFFLHDDDVIANPDKHTELITEMKLEALLVTENSPYAEVRANVEPYDSDVPSF TFRVWVLGILFSGIGAFINELFSIRNPSVYVTANVAQLLAYPFGRLMATLPDKRFRLF GKEHSLNPGKFNKKEHMLITIMATVAYNTPYTNYTVFVQALPVYFNQPYAYNFGYQIL CGLGSNFCGYGLAGLCRRFLVYPSFCVWPTSLVTIALNRAFHSETNEPVRGPFNRMYY WSREKFFLIMFLAMFVYWWFPGFIFQALSYFNWLSWIAPNAVTLNNITGAINGLGVNP IPTFDFNLLTVQGWVPLVLPAFTILNMFFGMIIAFFMIVGTYFTNAWNTGYLPINSNK LFANDGTRYQVLNILNDDGQFDNDLYQGYSEPWMCAGNLIIYFWFFAIYTATISYTWL YHRHDIALGFRGLFKSLKKKRDDMEEGDDLAEDIHYRLMKKYPEVPEWWYLCVLLVAA GLGMAAIGAWPTYSTPATVIFGLIMGAICVIPVGMITAVTGVQVTMNVLAEFIGGAFA QGNAIQMNFFKMYGYIVTAQTIYFSNDLKLAHYAKIPPKHTFAAQMIATLVSTLVCTA VFNFQLGFANVCTANASFGFTCPGQNTFFTASVFWGTLSAKRLFGPGRRYNALLIGFP VGFVLPFIGYGLQKAFPRQKWLRQLHPVMICAGGLLWSPYNFANYWPVVPLTCLSWLY IKKRYLAFWSKYNYVLAAAWMTGIALAAIVIFFALEIPAVELNWWGNTVSYEGCEGAA CRRLPIPDVGYFGVPPNSSRFT
I206_07852	MSNTSQHHSTPGDPTTASSINSIATPCDMFSSPDADDDSKYGLN TVEEVRQKLKSLFDEISTVLDDISPSFSQSALDKIQGYVRGHMVAGLEEASSQIARVA AVGSLTKDVLDGVYKGTKDDNVLTRPEIRSKVSAALSDGLKTMSDNDLRKFYYDGLTQ DQRDRWLAPNYWSKLKHSDVSIHLHRTPRQPNGSLKISFGPFSDIGSKEPIIIRGVNF TIDSESGNTTVHATEDSSVPSTSTEVDDV
I206_07853	MTQSQITKEESNGTTLELPSLKTISENLKGCLHNFRKAINSSDE PLTGEGLDEIYGSVSYALSNTQGALAEWFEKRACAVKLSRKALTQIAHHKVAFEASQY ESLKDDTHFENMCIEDITLQSGCDDKVSNEITKWLINLDIFN
I206_07854	MLVDSEDEFNFDIPYDEEMELALQAAEIQSQPFLEMNVIGDMSV MNSNLINTTQVGIHDIEDTPLEVDMERLSPFQQFRKRGHLSVSDLVGPIWCETQYDYR LRTLPYLPPSQRPDVIKSKEGNEIVIDKVKVEGKERILKRGEKIHKRLEREIHPAEIM VPVTTEEDKWGLRFLNMLVAMQALLDLGKCRELPVVGFVKGILVYGIIDELVREPIAS TSTSENLNTSNTQTSLTSFFTSSRVKASSDTKPRTHKIYISDSKTRASGVLPREDDTL AGRLQVMLYKELLDSILISSTRNPASSSNPQNKTGGTSILPSRNDFSWQFVFAHLGLD PRIELSKEFLEQSKSVIYSNGLKLDTDKARTLNDMIEVWNKYVQALGLGTPPQFDQAN SRNASKAKGNSKGKAKEEERNLGVTEDVLKLVYRRAGGKKKNKTKHEKQKGDRSSKRP RRGRKVSKVFDNEEQGEPPPFLNRIINEQSTVEEEEDRLVRLAIEESLKSSDTSSLNE GKSQDIQDDSIIHSESEVPPRPPTRTSEQVYRGSDEDDDDDKEDDELAWAVEMSLNPG LEGPNVVGSHEIINGQGVVHVNVNTGKSLESSQQKTSRTDESQSSIDETHSMTSTPAL EDPMVGRNDKEDEEDNQSSGTIIGTHRFKHSSLLLARHLENVFQFWMGEREPSGVSLE ETRRCGWCEFEENCEWRLKKAEEIWKSRKVS
I206_07855	MADQVRKPKDAKAFLTDFLMGGVSAAVSKTAAAPIERIKLLVQN QDEMIKQGRLATPYKGIGDCFSRTYKEEGMASLWRGNTANVIRYFPTQALNFAFKDYF KSLFGFKKSEGYWKWFAGNIASGGAAGASSLLFVYSLDYARTRLANDNKSAKKGGSRQ FNGLVDVYRKTLASDGIAGLYRGFVPSVVGIIVYRGLYFGLYDSIKPVILVGPLEGNF LASFALGWTVTTSAGLASYPLDTIRRRMMMTSGGTVHYKSMFDAASQIVAKEGTKSLF KGAGANILRGVAGAGVLSLYDKMQELMFGKVYSVS
I206_07856	MKSISPLSWLTTLSILSSISGQSLTVYTGGETATATSTATAASG TYTGLAAYDPTVLTPPSAPATPVTSYTLTLPTNSQAVLDAGLSLSISQKGNFLGFSVE LSIANSLMGSSSTNLKVPFLNYMANIQNRAGVGPIIRVGGNSQEGSSIFVGGLADGAV LDKIKVSSGVTDTPLINYSLELFYLMANVTSLVGADWYFGLSFNESAVDYPTGNVPIA AKWAQDILGDSLLALSVGNEPDLYVDHSKRESGWGVSNYVTEFDNMTQSILANNNLVD TKAFIGPSTCCQVVGFELDDVLNAGWLNDNVNNLAAVSVQHYPTNNCQINGNVINPQD IFSDFLNHTSAQAQVSPYLGNAATLQGAQKEFVMLETNTASCGGFAGLSDSFGAALWM TDYALQMAWGNFSTALMHVGGQNVYYNPFTPPPSTDASTKQWTTGSIYYSSLVVSETF GKSNQSKIVDISPTQDTDANYIYHPIYAVYENDAPTRVVLFNYIDDSTGANDLQTTIN VGTNVSSVSVRYLRASSVSEQYNITWANQTLGTSFASDGRLYGNQETITINCQDGNCI IPVPAPSIALVFLTTDALTNSSPTESSKTAYETSVVGTGSATVDKQSLETSNGQNSNN TGGGTSKGNTTSGSIIKIQSPISLILKTSVLIGFISILSGSF
I206_07857	MSNKDLPSHDFYQNSNQLTLSIYIKGYGIECIKENVKVELEKRK IIIDLPQIPSAEARKIVFEPLFDDIVVESSTSRILNTKIEIKLTKSNPINWSTLLSDP NKPLTSSSHSHTQSTVSVQSPNTNIKGEYSNESLKANQPIKSKKNWDKLLEDELEEKE DNKDPNSGGDAALQKFFSQIYGNADDDTKRAMIKSFTESGGTTLSTDWNNISKGIFNQ FSF
I206_07858	MKVSNDVATYGTVRLACEQRGSAVYKPYNTPAPTFGGVPAPAHT NSSYSRPPAPTNGYGGYSNAGPSRAAGSNWQAGYSRPPPTLQEWKPNPMWKPVKALTS MEMLPDISHHENSHVRRERKTTFTIPSDVMEKMNHSRDNPKSHPRYAIRLFCTSSDYF RLPGQPAIAGQPMPTNRSIPIEFPSNPDIMVDSYPLPFKEKGLRGKAGSAPPFDLEKG QHGLSRVPGRMITVAMGHTGPTVGKKKDVAKRFFYQIIFAEMTTKEELLNRLKSLVPT SVESSLAEFKKRQEEDDDIVVGTSVMSLKDPLAYMRMTRPVRSSRCTHIQCFDAQWWI ESNAQHPQWHCPHCGKELQFTELIVDGYVMSILDACPDSVDDVILEPSGDWHTEDNKY GSIDWLRSHGAAPPPPADVKPDFASMPTTNGNTADNAIPKRKIVELLSDSEDDDEGEQ PLSKNANGTTRPPINPPILAVPSRPTPAATPVTAGASSSRSSIQPANVVIDLTISDSE EEDDDETEPPSASQQYFREPDAVSTNRKDAAIDSHPHSHYQSRESAVRPTQGDRVRLH DVNPAPAPPSAPLSSDRLLSPSINGQGSAARGGGTWINPLESEWASPRYGQQPPTAPR GAGGGNWGLNGSGNNHVSGSISKRPRMDWLEDDRPPPIDPRRQQPNADPSSSSVAGNR GFAAYASNLSGSGSPSQHQRPIDPRSNQYLAPRQNSSQAHTSPLPSPNFTFNNRQQPA SSTSPITPTIPALRQPPPHQLNTQTDRRIPNDTPSAGTKSPSLKVTIPNPNFRGERTP LNVSRTPNSKDVGSGSTLITNEQDKGDTDEVSMELTPIDGSSPGVSTNLPIHARFTNN RQMREEDDGDRAERSSDLGLGMDTLEENFWEGVLNGDIDI
I206_07859	MSELTTTKPYDDMTKEEQTAHDAKEREREKAEQAALPYQWTQDL STVTVTVPLPKGTKGKDLNVVMGKKKLKVQLKSSSEPILEGELFNDIISDESSWTIDD GSLNIELEKLSAHIASHQWWPHVLTHHPKIDTTKIVPENSKLEDLDGETRGMVEKMMY DNRQKAMGKPTSDEMKKLEMLEKFKKAHPEMDFSNAKIN
I206_07860	MTIYLEKALVEAIIIVILVLILLFLSCSPGETKSSISPEKETRD RPGKDRSEKGKDQDQDSNDPNKDKKDSDKDKSEDEKNKEKPTPIEEESEPPTSDSNIS SSDDNDPLTDDEIPTIPSSPDSVPDSLNWSAQLSDDFKLGIRNSDGDYKVRYRD
I206_07861	MAETFSNNPNTGAHDATSTTPFEAISNILQSVESGTDSLVQGYS NAQGKFNRRDFDQIERRVKSLSSSLKNLEMEMWIHSEICIDHTRHVLAKSCLLKMFEG YKQHWEKECDLSSLSVSQLRTIFIESRLNQETIDSEIQKASGNATFSDLESITEINVN PSGYRDYTCRFGPNNDYNFKIDIHHLDCPDFGAELGDDLMR
I206_07862	MDSKGDSSAQSVSIVETWRHPNLPEGDTKYDPDKLSTSHRSANR GEELEKFFNGISKIPKQLKFQCKTHSDPNIDLSEDSCYPVINGSIYKYIPGKTVLYDT VYGLYLPEHAVRKQILNTLYSYKATFGKSFEAVSSSLYDHDLMYQCRTLHTAHVIRNA KSIYEDMSCGENTTSNRKIGETFDQSQLCGEVSSKFDEKSITLTLQTHDIADRNKDVR KTSLILGSDQSVYLHFEDDSSERMELVPPGGVILHPAPEQVHDP
I206_07863	MLRASRVLLSALRPLKATTGITGLEVHPDPIPALKSIYSSTLTT LNSLPPTSVYRQATEALTKHRLNIVEQSNQDITKVESELGKIIEETLEEAKTEQGLAA KMIEWKSWENLEQEPHPDQWRYFEPASDV
I206_07864	MNSSPPPPSFTLPPLPSSGNFPITPSPTPSPDAERDGYDLTPKA KVEPSPRKRRSEAHVSEDEGRISMYVKLFDEMINTVIQSEAYLFTPGEIWILKHILNL AYEPHYLLTRLLLRRPCKIHPYSNLVNAYSAEIGEEGVKRAMKALSLLLPIPKEIIDS EPITEEPLVNGAGPSRLPMTPLAPTKSYPTPLSAKARGKLPVNSKLKPWSSLSSGLTP EEEKADPDLAEALKESLWASKVGRVEIDDDGKAIPSPPPEMPQRSRSVSNGSSTTNSS ISPTGTRIPVMEEFSLTPKPPPPIVTLARSEKDLTLDGIMSCISAEDLKKIAKAKKIP PSALMNRESTMNALRGMAKKQTVLSFTPMKGKQRTAEKSNQQARLPFGSPGNTSQVTS ESLLINQLLPYLGSSAIQLSEELHSLIARVNLIFSRTPPVTTGGSSLMLPSILVTSHK RRYPNYGSPTRSLIWSTRDDLLIWERAVGWETTVSDALGENWAEQRKTPLPGYGNIQK PILSRTEGAKIVKNIWEGVWNVWKDLVDGQGGDEVDYGTEKGGLVGDRFKTGHVLTRI VYKGATALGILHEYDAECMVLRALLAQRRWRRSKRGAWYDRLALVLMNHYNATPEEKE EKLRDATQTCIDALLDEDTHMIYRPALSRRLTRLENKLNLPSDERHISYAALTKCETR EITAPRVLENMGQPKLRGRSESLRLDREASLGMGDEEIRAGGMGVQQTGKSVWLGREG EVTVEGWVLEWWENKGYKGFHSESSILTTLFTLLMWPVLFLPLPGAFETPYQTAPLDL GEDTFAPSRSEAIEFRLEEMSKTSKALEMLSEVDDRERPKATWAVGVNWEYTSEDLQE ILECIGGSAMSGVCRMLAEEYRHRCSGVPDLIVWNYEKKEARFVEVKGPGDSLSETQK VWIDVLLSSGIPVEVCRVKEKIATSSQIEKVEKKRKSNLLRQGNDKKPKRNFERGNDG EFVEITNGNTLSKDEEDEGWEREDEFNEESGDEGKAEGKWEN
I206_07866	MSDAFSTPAEHALIHPELEEINENAIAGPSSMGNGNEDSNITIE KAFKVEETVERIIKGGYKIIGLQFSDELLPCSVQVFRSIQIKIQHTGAQVYILADSTY GNCCPDVLSCLHLPADFLVHYGHACLTPTDALPVFYVFPRRKLDVQHAVAGFIEASKE DLTEESVKKGVIVVWDVAFDWLADQINDTFTKNLSHPVSFATIQETSTSSTKEDFKGK APALRSIVPPAGLELDDCILWYIGEEGRSSMNLQMTHANNPLYIYSPISNNVMSLHRQ TSKLLSKRLFALHQALSADVFGLIVSNIGLKSSQPLLEQLREDLKKCKKKSYTLSVGR LNPAKLANFSEIECFVLIGCNEGGLVDNTKDFLAPIITPWELTLALKGENHIWNPSKW TLDLGKVLKEINEEKNDNDQFRNDEDDDNEGDPHFSLITGKMRTKKTFHSTTDSTEIP NEGIQALTLRNQNFDLARLESAGSNFLASREFKGLEPRYGMDEPSLLEEGRSGVARGY TEEK
I206_07867	MSFSAPSNYLDESSTQEQYDTTPLHTCTTYDQAPFPIRSNHVTS SPNTDGQSYNSIPTTCSSSSDSYSRPPDNQRPVDLPHNDGSRPLIPPYGPHLPQRPCI PPHGPCVGPIPPYTTTANNNILIGNDRLNNSNDNLTRSHSAQQGLPIMNFQNSPPEYN LNSPPEYKLLPSPSENTLLLYSPPNFTISTYPNNNIELPLYTCYNCEESFSHNNQCNQ NKEKLDWLIVFLIILNFFVWGAVAYGYYCEFNGTGISINWRNVLTNCKGNQGISKWGR VCLGEECEWALVDC
I206_07868	MSHFPNEAESSAQALERYITEQLTNLSLSVPQDDVEMMARFVEE EGLEREEKLEGVKGMLEGVVEGGILPEEGIDELLFKVIDEQDRLRIKEEERLKEEEEE DKSPSPPPKPSDILSTLSEEELKQIKKQALLRQYAYIDASIDEVQSKILNSIRDPNAP PPSSSSSSKGGKLNENDEKKLAEERKKMIEDALRLDSKKKKYRKQQEIDLLAPNLNRD KVAYRAQMEREAQKNAAQAIRNRDKAALEKQRADQAKAKADKQKKAAKQERRG
I206_07869	MASSTLRPHRSPSPSPIPSPTVNPSNPAKLTRQSLGPPSTTQGA SAKGFSGLGISSPTSNTHPRHVSSAATMMGGGGGSGNGIHRENSLSPRPSLGNNNGIT LQHPRAVSHGPGFALGMMGGQRPSSEYIPNRENSKTPEAEQIDQWFKHLASWEATLEE MAAASTDQNFTEELGAIEQWFRVLSEAERTAALYSLLQHSTPVQIRFFLSVLHHMAQS DPMTALLSPAPSGPGLQAQMEQKLNAMNMKSPSAGGGSGFTGSPNPNQYLAPDDAAAQ KAKAKQNRISAPGTLQPLDRWQSGLDQVVERGSSPGLDSNGGSSRSKSPIPDARPKST DFSGQANQPRRESGNAYSRSPRLSAGGVGLGIGQPEGLARGQSPVTSPFLNNGSWASM VNTPMVPGFIDPKVDGLTQALNMANLGLTNPNRIPLEDARKYRRPNAGGVGTSRNVSG SYNDEGEMVNPRAGQPGSGFGATSPLMGGSGFVRSPVLDQFSGLGLGADPNTLAGLGM NFSNLGNLGGITAAQLMAMQQLQTASSFQQGGYGGSPNLGSGGLGVGGHNNNRGGRTN NNGPGGQGRKSPMLGGGSAKGSPAPGAAGGGGGAGGGAGVAGPDDVDMKILEDTSGWL RVLRLHKYTPNFEKISWKEMIEMNDQDLQDKGISAQGARTKFLKVFYNVRTKMDIPHP EGQEEYAPGAK
I206_07870	MAPMEKGFSWSNIAVGATMNMFEVTTLGQPLEVLKTQMAANRSQ TMGQAFSTVWSRGGIKGFYQGLIPWAWIEASTKGGVLIFTSAEIEKYSTKTFGLSPAA AGMLGGIGGGVAQAYATMGFCTCMKTVEITRHKQASVGAPVESTFKVFLDIYKREGIA GINKGVNAVALRQATNWGSRFGFARLAEASLRNIKGIKEGEKLGAMDKILASTIGGAL ATWNQPIEVVRVEMQSAIKSQDPTRPAKKTVLNTLSYIYKTNGLKGLYRGVAPRIGLG AWQTICMVSFADYVKAA
I206_07871	MVKHNNQLPKNHFHKDWQRRVKTWFDQPGKKKSRRVARSKKALA TGAAPLQRLRPAVRCPTQRYNIRIREGRGFTLSELKLAGIRKKEAKGLGISVDHRRRS KSEEGQVLNVDRLKEYKSRLVVFPRKQGKPKSGDAQGDDLTSHITRESLPLPASYTAE APRAITEEEKSTTAFVTLRHARAAQRNEGARQKRLKEKEAAEKQK
I206_07872	MSNRNQQGIELSPWGDRGAQTRGPSSTAPTEPSWIWDKVQRIGK KVNDNPVATIALVSLAAVVPISAVGYSGCTSALNKDATVIQMLEERIEELQRERNTTQ QNLEMCSNAYDESVKIAKYWANEYKNLSEICPNSTVTTTQYPPRSPSSTHPGTSDNPV TSVQVTDGTRVAEFTLYDNGQVTYTGTDVPEPIIV
I206_07873	MSYHNQEDTEMEPWRGGVAQSAEQSSRPTSEPDWMSKVRETIGN GARKSLDTVKNNLFASAVTVALTITAAGLGLSVHKNVDHITTIDNLANGNDHLKRELR DAQQNAKDWEEAFEDMVKTCGIQEAVTTVYETQTHTASSATSNAHPVMSVRVTGGSTF ATVTAYDDGQVSYTGQDQPLYTI
I206_07874	MSVPIGQEGTELTSFSFNEINDNEEARILASLRDTNDTNTENPS WYNKVINNLKISTDPIRKHPARSATLTALAISLAFNGVLSWSSMADDKKIQTITHEKD DWEKAYYETKKDFEHCNDEYNSLKEQCSLPSVTFAQTTFHKKTLPTTTTTTSTTTSTT DPNATITVIVKNDTDGAEVTLHDDGSVTID
I206_07875	MPPFRNRGSSNGRGRGGFRGGGRGGRGGYGGPKLPSELREQFDV KYKSKRGRGGFKNHDDRDSTRNERRPLDKGKGRALPADSESDDQDEDEKPLPPSKKKM KTETQRKDEEQPEKKKKKLPELRLPDEMVDDAEDQEIEWLEYILKKEQGKGKEVDDDG LEDLLDFADVVGPGGSGLKRDGLDEEIDEDEDEDEDEDQDGEGGEDDSDDDMMDLDGL DDLEGLGEDDEEGDGPEGEDDAETDLEEPNAATDLANKDTEQDNLIHDETGTKSAIET TNIAGPSKYIPPHLRAAQLEENARGNKEKAEERIKLERKAQGLLNKLSEANLESILGE IEGLYRGYSRNDVSTTLTNLIIQMISNKANLLDSFVVLYATLVGALHRVIGMEFGAHF VHTLIMRYQKALSDPNSTETKLEANLYETPDASKEALNLLTLIAELYNAQVIGSKLIY DLIRGFLDNGNEGEEVMSERAVEGLLKVLRCSGSQLRTDDPASLKDIVNLVQEKTKGK EKTMTARARFLVETLTNVKNGKIKSNQNSEGGNEAALRMKKFLSGLGKKRRLLAYEPL RVSLSDLLSADKKGKWWLVGAGWSGNPLVEREQQSASAIPSMNDKTRSKNGKEDITDE EALLELARKQGMNTDVRRGVFVVLMTSEDYVHACDRLNALKLSDVQQREFVRVALHCC GLEKAYNPYYTLILSELCSNSYDHRFTLQYALWDFLRELENSSGDSTKSKKLKTRTEN VARAIGYVVGRGGLDLTVFKAIDFTTLSSTLLTFFISFLIHFLLAIHTVSPIFNLPKS FNLKNDFDEEGVQEKFEKTLSNTELAGGWLYVLERGQKKISQVVDELGEREKQVVRQG VEVGRGVLGNAI
I206_07876	MADNTPSRIPVATSKRNSISSLSLLPKSSSSASISKEPTTSRSQ SPANGVTAKNGRSSPANQQRRWSLLSGGQGPGSRKNSFGEGTSVTFGGNTRFDPNDTA QIKAQIAILQTTLQNAQQLLMKVENGDTSSLPLTPIDGLINLPEVAVDDYHHRLTEED EENTLDSPLDPEPPRSADGKPRLNGLPHSNPSFDLRSRAVTPARPTSAKLPDGRSRIP QAVVAGAAALHPTPPPSSPAKSQASPPSPLSIDRDRNLRSPFLAPDSPRAPSPLGPGA PSDSYGRQSLSPSPAGFRRASSGTNEKSSASTRVIDNLQTELINTKGHLERVKQEVRS AQRVIGSLTRQTEDLKETRERMRVECEGLNNVIARKERLLQEVLERARGAESSLAQHQ STRKALEQSTKKSLSHMTSQLTEAQAGQAKSERECVALRESVKSLRDVWAREVKSVRD EWKRGEEKGRKDREEARQKHLALVKLVQSQSADRATIQALAETATKQSNLVTEALEAE IAGLRSDINTSNEQSRIAKTQAEELALELVRIRRLMREPRRGSLDEAAVVSSNDIASL AIEGA
I206_07877	MTSTPSFSSFPDFSANTEAGPSKSDSHTAITSFGSFPELIEPKR SSRRSRSPPDFREERKSRRIDDEAYKKGSSKRDKYDDGREKNRKDRDKRVKDESERYK ERRRDRSKSRDRYKYRDRDRSTDRNRGNERDRSAERRYKEDRRRREREQALNLIRGEE DTKLDRSKRKEEKWEKKEDGMAWYESVGKVKNVQEDEIPPSNSFFCDTVGDRDAVKYG STSSSSAPRYYREGKNRVLGLNEGLRIVYSRDRTEKGVEIAPMGRPYVPRYNSRQARS AASEHLQRILLQSTNDQGPFNPFANYLHFEIKRNDQAIDLPSYRDIHQNQDEDDGLVA IQAAIGSYSTLEEEVRKETIAIEGFLREHPDNIERWIDYSRLHLKLSPESSNQNQSER SNKTRAEAEVTLSILSRALDASEKNFNSSKLHLAYLKAAEEFWAIEKVTNRWKNVLRE LGERGNSEIEMMDLWLGYIAWREGHGFAGQGGGVDEVVEVYEECITRLKGNGVAVDVQ AREENMVYLFLRAALFLKQAGYTERALASFQALIEITFFKPDHLRQPSGITLTHDSFD KALGEFEKFWDTGAPRIGETGSKGWQNTTSNLVSIPKVTQSLHHSSEDPFERWLEAET HAETIYTLPGRATDLDTEVEDDPYHVILFSDIRPFLFNIISPIVRLQLIYAFLTFLGL PFTPPDSTSSSPATNDPHLSWTLAHNPKKRHLFWPSRQGQRKLPWQTVGGEPMDPERS RGLESPFESPVKCWSQDRSTLLGKKDKWFTDLGSVDIAGLDIDLIRNAFSLLRPLVPD PAFTLANFAFEAAVSPKGAVKLAKSILVSDRDNLLLWEGYARLEKQRGNFAAARTVYI TALQAAVAHRKDGLVTEDELDLWAGWSEMEFEMAEDVRALEVVSLAVGSGQDRLSDYS VPDRMPTPPAPIALLKARQHYSSISHTLSPSQLLLKSLYSYLIDGIEPTRTFLLNHLA SLPPSSPEAEQTLQLLTKILYLHSSRHASPASLSRSTLEIALSSFPNNTSFLSLYLYG ELGGRVYGRIQRLISDLTSNRENNGGLTIYLWAIWAQGVSSHRTFWDANGTGSERVRL TLDKAVNSTTGKCSAALWILYIEFEVLMGRYNNAKQLCYRAVTSLGGCKALYLLPFSK SLRSHYTLRELKDWSELILERGIRIRIPFENYFDNSLDEGLLEDLPKDEDLKDDELGF LTQREIAKPY
I206_07878	MPQNEYMDEHRKRHGRRMDYEEKKRKRTAREAHKASADAQKIFG HKAKLQHAKRHAEKVQMKKTLKAHDERNVKQKDDGAVKEGALPTYLLDREGQKDAKAL STAVKDRRKDRAAKYSVPLPKVRGIAEEEMFKVIKTGKHKGKSWKRMVNKATFVGEGF TRKPVKLERFIRPMGLRMTKANVTHPELKTTFQLPILGVKKNPQSPLYTSLGVLTKGT IVEVNVSELGMVTTGGKVVWSKYAQITNNPENDGCINSVLLV
I206_07879	MGLSQRKEKQRIGLDPRNLSWSDDKSRFSYKHMTALGWTDNSGL GAGLAGNPNHIAVVRKSDQGGIGMDRARKDGSDLAAGAGQAGRGLEDVLKRIAAASAS PSPSPAPETPTEEKKVEQKIMRNRIASRQKHLNMKRMASQSPAALAEILGVPISSLPS SPPPSSTPQPESPGESSSFGLGANKENERNSDETVTKSTLSVSDYFRQKMREKMAARQ ANSEASGSISKINVEELPSSSLERIPDVGSSSKPIGGTAWEGQKVAFEDVQVEFDPSS EPVRQPVETEKKKKDKKSKKEKTKEGEDPIHAAAEHVHQFEHAGEVEDEKARKKQEKE EKKRKKEEKKAQKVEGAEKEKDKKRKREVEEDDEVKVKKDKKDKKDKGEKKKEKKDKK SA
I206_07880	MGKDKLHVNVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKSSFKYAWVLDKLKAERERGITIDIALWKFETPKYQVTVIDAPGHRDFIKNM ITGTSQADCAILIIATGVGEFEAGISKEGQTREHALLAFTLGVRQLIVACNKMDTCKY SEDRFNEIVKEASGFIKKVGYNPKAVAFVPISGWHGDNMLEESANMPWYKGWVKETKA GQVKGKTLLDAIDAIEPPSRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKAGMVV TFAPANVTTEVKSVEMHHEQIPEGQPGDNVGFNVKNVSIKDIRRGNVCGDTKQDPPKE AASFNAQVIVLNHPGQIGAGYTPVLDCHTAHIACKFAELVEKIDRRTGKVMEANPKFV KSGDAAILVSQKPICVESYTEYPPLGRFAVRDMRQTVAVGVIKSVEKTDGKGGKVTKA AEKAGAKKK
I206_07881	MLFLQQILTSLSFLSVTLALSPRWLLSSQQHWTDIWTATPFSAP VANLPSGYNDTGAAFFNTTVRQTVHVTLGAPQIRITLSNNFGNEALNVTHMTVAYPDI INGDGNATGINSGTGSPSIITSSIQTVTFSGNETISIPNGALAKTDPVNMPVKSQSQI TISLYTSTGQGGTNITGHLSSFTTTWFANGDQTENSDISTLDNNNVKSGQQWYFISAI EGWVQPQQSSFAIIGDSITDGVGSTPNGNNRWTDQLFARMQSNGDDVAKNVAIANQAW NGNKVLFDGSGASAISRVERDILAQSGIAYAMVFDGVNDIGHTNDTVEAQQAKYDSLI QGYEQIITRIHTFGIPVFGATITPSNGPDYNVTLGKYSGRVREQYRQKINTWIRTSGK YDYVVDFDAAVRNTTAPYQINSTLGIGDYLHFNPSGYKLFAEAFDLSVFSRFQGGVSE YQ
I206_07882	MSSASSSSSSSSSSSSSSSSCETNILIIENQDRIKTKSNEKTTS IKHKNETNKLYNAIKESIGRLINLQLNDDIESPYVKEDLLKPINPLNYRNNKYSNNIG NHERLNELYDILINLSK
I206_07883	MFLINLIGLILTRIDNLEDLINHLEDRCKENFGKDNLNSDIEEV DKEIISNNDDYFQIIEDPLIKGDGLITPPRSKSSRRISSTQTVVFHNVVNAPIVRRSS RSIKPVQYKDPDTTEDESME
I206_07884	MSGHPTTEDALPITNTLFPPPPAYWQSYTEENIDRYESLSGKSL FEENKDTSEAENQDELDIDITEDEKTELEELKIRLDEPNAEWIEEDGRWMCFGNLFTT QPNIPTVESIGLPQMFQSNQQPQETLPTLLSSFLHTILLLLDVLTNSARTPNELMHAG WAHEGDQYIQHLSNLAATMMVTSNQLRQLQSESTLILIMEKEIEERRRQTQLLKSKCQ EIAQNIKRLKSIKPNE
I206_07885	MSSSAPLLSGTIEPDEEAPSFPKGKIGASSRPSEPVVLVPGTTA HSSNTATGIGNAEEGGIRGILKASSHPMSLLFLYFFRSAAIAVYVLCGLFTDNYVLSI VVVVVLLSLDFWNTRNVAGRTLVGLRYWNEVDEEGESSWVFESRDPSRPANVIDAKMF WIALYSYPIGWLALLIVSLLKFNVSFLPIVLLALVFNLSNLLGFTYADRDAQKRWANG IAASGNLMGFGLGGIGGQLVGGMVKNSLGRMLG
I206_07886	MSGDEDMTLTPLSGTVELSFDTRKGGAWDDRELIRASEAAMKEF HVHHPGPGSWLDKATAALAAGHKLPGADDYGTSWYSASLPENQPEAEASTSTSIQTTQ NTNKRRRTKKLSDSNINGDVLNPYAPQESIDRRASPIYQPGSPNNRPIDLGPLDSSDE EDDEEEEEDEYDEDAEWDLPNGHNQNNLNPIKSNNQGGGGGYDQLFPSLGVYPPGGIN KEEALGYAMTAQYWAGYWMGVAQSSSSAPYTPFANQGHQVEVPDNHKRKSDKRNRRKR TQVDFVNDNQNDEDEEEHGLIGPTIPMGNGIEHESGNNIRITRKQFDRPPIELRR
I206_07887	MSHPKPQRRFKFAFLLGLSPTNAGSFCIRDEIRQAYKRESLKTH PDRLPGNATPQERRRATERFQIVSDAYYVLSDPSRRAEYDALFNSRPSSAFTDDTSSE FEQEQASGNFFENFAQFFQNATGTSAPNSGPSEKATPNSGSANGARARPDAHGVFGDV FEEMLAPEVAHVRPWWSWVGGASGAAIGYIVANVPGAVAGGFAGNRLGAIRDAKGRAV GEVFRELGAGQKAEILKALAFKVLGSMS
I206_07888	MSNIKTADVRALLFDMDGTLLDSTPAVNATWAYFAREYDLDLHE VLRTSHGVRTIDNMKNWCGITDPIELRDAVELFEGMIVKEAQDLQAAGKDGLIALPNV LNLLNKLNTSPIPVWAIVTSATNTYASAALPTAGIPKTPKLITADDVTKGKPHPEPYL TGAKALDVDVKDCIVVEDAPSGVRSGVASGAKVLATCTSHTREQLQNLGAEWIVTDLS KVSFEVKDGRVQLQIDETP
I206_07889	MHNEIYGAQGADQSNLTMTNTGSALVSNATGNYPIPYGTCPIHQ PTDNYTVYTAGEHWVNKDDVFGDVEDGQIYIPETAHREGHNSEFRGESGKTSEFSTKG RK
I206_07890	MADRRNSRFVYLRAEHRRRVPTSSTASTDNRMTPTSDRDDSTTG TNSMKKYVIYGGIALHATCLGWQGHKAFTEVFGETPRVDISTLTANGTICSHCSKLIE DATLNCTTVDENSESPTFVDHPAVGENSQDKEQLQIILVPQFFGSKDDTPQQGQEIII IDNIPDKFRREANSDTEVSQDASQEGK
I206_07891	MFNNIIISLPLAFAILGLSSAAVDPVCANGPGALAENFHGCPDT SANGDGLIGVEIISIAINNIIQLQCLYPPPSDGTSQYSYECNYQATGTIDDGILSFHP RSDNSDNCRNYNFQGTPNPMSSPAPQAVTKRDTLYDRPIYRCSETNNDNSGTAYGADQ TNVYRGSYQTTIYGDVVSYACAYRNRPARTYSICYYNDAGTLYHSSLNGFPDCPNLCS ITYPDRRRNDHPRIRNNQARLKKRLALPQPSE
I206_07892	MEGYHLHQNRPPQQSAHPKPRHAVIDMTSSPSPSPQSSPTPIAR SNVASNTLPRVNGYAPYEASKLSLNQYSNPNPLMPSSSANKIMDPLNFDTFGSSLPSK ASKSSKSSSSNRNGPSTPAWAASGHLHRQAVRVDQPTHGEPKHSTPNGYSSYIKPATP NAFQYQPISQPKQIFSQSSRQSALPPTEQWPAWVQNGQPNPILVHNKPVPPPVTKHTI PVEIDDTPREEFNIDAVPITAEDYQRYNGDADKHMQELLSGAIGDGEDEMGDEGHKEG DDIIEGFADGMKLMPHQVRGVRWMRGRETGRKYGGILADDMGLGKTVQTLARIVEGKT TLAEKRAGFKAGTLIIAPLAVMEQWATEAKTKTKPGQLKVTTHHGPSRTKSGKTLEKF DVIITTFQTVASEFGVFETTAQKRLDEGDSDSDVETGRKGAGKAKKAKKAACPLFEVK WLRVVVDEAQNIKNKNTRAAKAAVALKAKYRWCLTGTPIQNSVEELYSLFKFLRAKPL DDWDNFRDRISSLIKDGRTKLAMKRLHVILKAIMLRRTKDATIDGKKILNLPGRTVRI TPCDFDPEERAFYDALEKKTEFTFNKFVKSGTAAANYTSVLTMLLRLRQACVHPSLVT KSLTTDSDALGDAQTQPKEVETTDQADELADLLGGLGVAGGKTCQMCFVKLQDQTSIH CEGCADIVKQAEVKTNEGGLPPISAKIRMLLKLLSDIDERSGSKEKTIVFSQFTSFLD LIEPFLKKDNIAYVRYDGSMRNDKRQESLNKIKNHPETRVILISFKAGSTGLNLTCCN NVVLMDLWWNPALEDQAFDRAHRLGQKLDVNIFKLTIEETVEDRILALQNNKRELANA ALSGEGAKGIMKLTMNDIMSKVHRPQFYKSLTDNSVVELFKRTRQDPDDEDSDSD
I206_07893	MVLSVHTRGFFIATDLPYRRTVQQLQSQIIQVRIRSHHVARQLT SLSNKKNAPGRLAIRYLPTLIGRRHLSDKPLPKDQPNIPASSSIEPQPATSIPPLPAS DAVELHESPYTIPNALTLARILACPFLGYTIVEGDFAWATGILFASGVSDWLDGWLAR RYNSRSVLGSILDPAADKALMTTLVGTLAWSGLLPLPLAILIFGRDLGLSISAFYFRF ISLPKPRTLKRYFDVSIPSAEVKPTQISKINTALQLLLMGLTTVSPLLGYSIAIPLQA LQWTVAGTTIWSGFSYIGRSGVKILQQPGKKL
I206_07894	MAAAVNHDAKAGSVVVMEKTDKRDYLIALESSAQKSWSTSQSFE ANPPPLPEGIKSYADFFASGQSMEELQKKYPKWFGTFPYAYMNGSLHLGHAFTISKIE FAAGFERMRGKKVLFPVGYHATGMPIKAASDKLIREMELFGEDFSGYKEDTEEDSSTS APPVPTTTALPAKSLESTDPSKGKKGKLNAKSTGLTYQFQILELIGVPREELKKFADP YYWLTYFPPIAKEDLTGLGARVDWRRQFLTTEANPYYDSFVRWQMNKLYQQGKVKFGK RYTIYSPKDGQPCMDHDRQSGEAVNPQEYTAVKMEVLEWGPEVTSEVKQAVGGKKVWM VAATLRPETMYGQTNCFVGPTLKYGLFESAHNELYLITERAARNMAFQGIFEGESGRV EKVADVIGSELLGTKVAPPFGIAKEVYVLPMEGVLATKGTGVVTSVPSDSPDDYRTLM DLRKKAEMYKIKPEWAAVDPIPVLKTPKYGDMAAEFLCNELKIQSQRDKDKLAEAKDL TYKEGFYNGVMVIGDFKGETVQDAKPKVRQQMIDAGLATAYAEPESEVISRSADVCVV ALVDQWYLDYGEDSWKASAFKLLEQMNTYQTETRNAFEAVLNWLNKWACARSYGLGSK LPWDPVWLVESLSDSTIYMSYYTIANLLHEDMFGKNPGPLGIKPEQMTDEVWEYVLGS GELPENSPVEAEKAAQLKYHFSYFYPVDVRSSGKDLIPNHLTFWIYVHAALFPEKQWP RAVRTNGHLMLNGKKMSKSTGNFLTMREATKKYGADAARFTLADAGDDITDANFEETV ANAAILRLHTACLWAEEMKSIESTLRTGEFNEFDKGFQAEMDALIESTYKYFDQMEFK SALKSGLYDFENARNWYRLISDPSNGGQGMHKEMVFKWIRNNTLLITPFTPHYSEHIW KNVLGEKTDIQQAKFPKISKPIEKSILEQNEYMKQVVDKLRSAESNLNKKKGKGKSTT TYDSNKPKQTRIYVAENYPEWQEKVIDLIKKSYNLNGIKEINEIKLRELLSESGLIKD KKVMPFVQQIKKKLQIEGEDAFERSLSFNELNTLKILIPYIKSSAKFTNVEVISINEI KENLKNNNKEELSNKENYDLIKIENSQPGLPEVQFWNE
I206_07895	MSEETPIKAVQVKLVLLGEAAVGKSSLVLRFCQGDFNENTSPTI GAAFLTQKCRLENRIVKFEIWDTAGQERFHSLAPMYYRNAQAAVVVYDITKAASLEKA KAWVKELQRQANANIVIALVGNKVDLVSDSTTKPTEPTKVKTEENEEETEDNEVDAEE GEEEETTPSPAEENTSDDIRQVPTAEAEAYAKESGLLFFEASAKTGHNVGEIFTEIAK TIPFDTISPKPTTTSSGNNRRSTNNNENNNVNLGDNQQAKKGGCC
I206_07896	MSVVSKNIFDLLGDSTSSPAPAKSAAPAKKESTPAPQRSVPGSQ PRSSGQGARGRGSYPTRGGPRATYTSSEPRNSGPVSSEGNVEGSENAGGFDGERLPPS RKGNQGTVRDAHTKGPRGNRPARGGASSRNVTSGGHSSYGSGHYKGGKVPAQAGERRQ FERRNQNGGTDSQKKVEHGWGANTGEAELKDEVEGEKDAQTEEAQPETPAPEAAEEVA TPAEPEEPEEVTKSYDDFLAERAQQALSIPGLEKKEARTVSGDLEGTAFVRSGVEEFF SGKSKNTEAKAKAPKKEKVFIEFDGTFAQPNRPPRKDNREGGEQRRGGGRGGARGGQR GGVRGGNGPRGGARGGRGGQAGINANDTNAFPALGA
I206_07897	MVNSHSITSPIMLTGAPSAFTEQGLDSRTITHGLPFHANNTNWG TARTINDVELNPDPAYGAHPSSPSSAHGVLDTSSKGENSIQFRNDAGRSVPAIVPGEG YTYVPAYSGPQNPQSVVTNQVPDLAHHQSTDYIEQDLSHLTLSDRPISYDPYTYARQY PTGFLHAEHDYRIYPSAPYTGPVPPPYLGGVNKGSVSKQKSHPGQNYFTHTRVPVSAA HGGHHDEPIENANRRSAGSRHGSWEHAEAAPSVDGEEEYEAEVDGTGEDMTFVEDYKA IAEHQSKNLIPHMKSKILEQNRLISEQNSMISDQQTRIVELQSMLVSSQNEWVEKRQR IQRQMEGTFTELEDQKEESLSLQAKVYRLEAERAGLAAFTRAVLSSVEASGRRLANNL QENASLEQRLSKYRQKYFEKCDKIDRLEATLRENDTPGSSADSERSEGF
I206_07898	MPSSPPHTRESSTPSSSSKPSPSNSIDQAETSRATPSSSSPPLP SKDDQATDIDSQSFSSSKLFSSVYNNQSSSSSGGNNNPLLPSIPTNTASILASKYDPS LSYFQSSSSSSSDTAHDDHHVKAVEKEIPRIPEKCFSFCTQSDGVRPMCRMFCLRKRP PIMTREQQLKRLRPPSITRSTETTPVGIKINDNEISWYKRPFESLRNTVTPYSFIYVK GTPDGVIGRYMEELEWDDGEYDFGNLSRSVINGSADVKGKKRKEERSEGWVWLDWGEH GSLFHLPFTTIFYPILSIPNTLSNLLTPSYNLLKLYGTSFTDGGQLRSLEKFNEELKR GGAIDILNKLSGYWEKRVQETREKREEMMKQLKDERSREVEGGKGE
I206_07899	MTEHAAPPADIPMEVTNDTINSYPDARESDGPTLSATEANSHDI AGPSSTFIPRQSSPPLPNLNTKAFPAMDADSDDSDDENDEPIATLPIYLSTALGNNID MYQYPLQHRSLSVPTWARDRGKHISARVKEKVGRVEIEIPVDAGTNYWREEKARDLGF VTDTRDINDEVEGGYGFNGASTSKKDKDNKKSKEKKGKEKWGDKMRLRSEPVPNATGY YSGIIRDGALHLHPISKLHQFRTSLTYLDDADEKAKERSQRRANGVMNGDSDDEGPKK KAPPPVKDLRQQQRKLLDEEDNDGSGSIKDFRNKMWWMSKKEEEDQWVSYKWKAGEDE EVFHTLEKLVVPAEKRERLTCKTRPLDFLDRQDKMQLL
I206_07900	MSAQSVLRLAGSRASNSLRASLIRGYATEVKPNLQYLKVTHPDS AAPASTHALIKDHSEYLLNTYVRPPLLFTKGKGLILTDSSNREYLDFTAGIAVTALGH SDEGVNQIINEQTSKISHASNIYWNEHAGELAKSLVEKTRQHGGLGLNSIKNNEEEEE GQDKGGKVFFSNSGTEANEGALKFARAYGKLNGNQNKTEIVCFSNAFHGRSMGALSVT PNPKYQEPFQPLIPNIKVGKYNEESNELINDLINENTCGVIVEPIQGEGGVTQGKIEF LTKVAKRAREVGAVLIYDEIQCGLFRSGTMWAHSEFPIEAHPDIITMAKPLSNGFPIG AIMVRSKIAKEISPGMHGTTFGGQPLATSIGIHVLERLSNSKFLSNLKENSIYLNSKL ENLPKIFPNILENELRGIGLIRGIVFKNLENPSKLVKLSRERGLLILNAGSDAIRLVP ALTVSKDQIDHALGIIESCLSILDQGEQKA
I206_07901	MAETAPGSDVTGGTSADTSQSSSPSNAASSAAVSPSAEVPSSSP AQSITSSAPPVQSSAASSAAVESSAQPSSGVTSSAAGTTNPASSQAASASATPSPSAS AGSSAEPSASASASASAASGSASASASAASSTSTSVPAGSQSVSNGSTVVYVTVTGAD GQVSLAPTATVGSGSSSGGSSHTGAIVGGVVGGVAGLALIAILLWFLLKRKRSNRDDF DDMMFDPARADHQAPIDLGQEATVEPYYTPGVASTGQSPEMAQYPRSAATTSDGGYAA GAQDLGRGPSSSNATSAGFAGRGAGGYDMQNMAPMAMPTAHPTGNAPAEAAGAAAGGL AASGMSAKQREAYQEQQRFRVQNQGYGQSGGSGSNAPAQPQASPMSPTDTSTSAGNVT VHQDGGRIGEDEEPSYGAEIPPTYDSIGGRRE
I206_07902	MANKYYNPPSVEDDDSESESFNSLLSMEDFVISPEATRYSVWDH HDRRLSPTYLESPKSTTQLDTNMNSLFSERSNNSSNPFKRSNPHARSAPTHLGMAHQR HRTIDNSGTAHSRISKPLKTKLKSKHIDLTQGSDIDTSRSDITRDLEHLLQDVKNDGV KLQELTRSIDRTAEQIGTTYSLADHTLNNLRPPTSSLESDWIQQPLPFDPNCTLPMDS LSSEFPSIPAFDLDPNFSIDAIFTQPEKSNKSVFDDLKLRQENENQ
I206_07903	MMNLDEEVRLWTTNAEREKTENLATLYSIIVSLEYLERAYVRDS VNGKEYAPACIKLLAQYKSLMKLVGNDIGGVEAFMTRFKMDHPAALHRLTIGVPATVE HSSEATDGGAEAGKWVAETTQSFITFMDALKLNLKAKDQLHPFLTDLMSGYSRFKGSG EWEGRGKILHWLITLNAMKASDEITEEQSRQMLFDIENAYNEFFRSLSSNKST
I206_07904	MTGDSLGESSRSVSHPHHSAQNQPVPDNGKGKGKSNGNGETHPV VDFTAGVIAGAAGLVVGQPFDVVKVRYQTPQYAGRYKSTFGAIGAILKEEKVKGLFKG VTSPMAGIAFINGVVFTSYSFFMKLQLSPDSNNEPSLGQIFLAGAGSGVVAATLTSPI ELIKIREQSAPPHVNTSAIGVLKHIIKTDGLRGLFRGYQATALRDLAYGPYFFTYEAM LLLFKHLKKPPLPENHRKHEGHTLIDEAEMELSSGLTWAELMTAGGIAGVTAWLATFP LDVFKTRIQSASWSPSSTSLASTTASLTTLDTVSSSSSAQLIPSKPTLWRVATTAVKE EGWRVMFAGLGPTLIRAVPTNMVIFLTFEACVLALS
I206_07905	MLLKLLPEATLANPSPLTILFTLPLPNHPYTSRSLPLPPSLLAH QALCLQEDIDCPNVLLGEKSSWICGIIDDVTFSLPTSTIMVNFIDGSSTTLPVSEACV AQLQRVVEEVQLSFNAPTTLPSSPRSSISSIASTSSASSSHASTSTPRRTPSSLLLSL LSPLLPSSSSYAPSRPSLAAPPCAPARVHRRAARSLLVDTYRRYVLPTLKEQLPCSYF PWAIASETSRQMEDFSKVRDEINRIIDNCGISREILNQRVALQRTRSISSSSLDSMST DESDSESVPSPVTPATSVFSTSTCVTPCRRPASPMSPQNFLLSIPPAHALPNQYRMAY ASQLARLTQIASRVHQIKKLSVRYEREEGKRRWLEGLERGRLSDKSLRRAFLSAKYPS GSHVIVTKAEVTKCSKLWRSTTWEDIEREAEEKLNNTHPAMMDISDNEEHVESDEDDE EGPITPPKTRCSSLNDRSILSISTIVVDVLPSQRPILERKLALITDRTISTPSLMDTW ETDEDDEEIKTPVSLSPPNIAKKLIIQQFPRNFPRKVSIGVEEETDNELEESNEQWRI YA
I206_07906	MDQLSTIQSQIEELLISVEKFINEESELAIYKAPIIDFHHPNSY KQQTIIGLKKFLLSVENERDYIESLSKSKIPPKEISSNIPHLIAVWDQIKYAKWPIIG ISQLLNIGDEKDKKNQVKVDLIENGGLTWVKVNTIKESRLMAEFREQDSYINSDYDSD SDTGTSSSHHSSSQSSSSNNPSVEAGPSKLRKPSLTNSAIEQAILLVQAAQQYPRIAG FAQPKVRYVLNRLEENPDQGYTDPRIKETFQIIRDLGVELVLASDKREAFQRPIKRQK TLQPTNKILLDLSVVVALCCESTHLELPTSSEELESRFRTLQYNSQTDEVELAEHIPV TKDLRDQLELEMKHPLIQELIDHLKDLKEEEVEFWVTDEVKNRLPAIVDIIGGETEKR RASIMFNNHLKEDFWQGSRWKNKIPSILQNLKVNSLPFEINGLNCSIDKFEKGFYKGF IIITKKMLNIIEIQSNLPELTKEEKEIKKKKFGRGKRRSKRNNNNNNKNIHLGISLES KLPSSHTLKTFLVGLEKGWTILTNNRGAVGKVLREMKIDEGLGNIDFSKNKNQNQNDD NDDDNKICIWVVNPSSLSEWRRKEVEDKNKKLIEYLNN
I206_07907	MEVLGHVNNISEYARSLKDTRLSSLRHPGEFFDYQRVSRPKDMQ EYLKRASYNVRYFSANYAIVVALLAVYSLISNPLLLVALGFLIGGFLSINRFVPEPIE FNGKVITPQNLYIGLFVIGIPLLWLAAPISTFFWLVGSSGCIVGSHAGLLEPGVESEY EGLETV
I206_07908	MPPRPIKTPTLDSLLPPILGLLPNAYSAHQKCLTTTARLVHSGH HALAVEILFATSKELLKINEGSSGVELGVRMIQIMQEGEIGVDEKSRASITQLLALTP TTGPWRKKLADVAIKWSQQFGECPTGDPNLHQYIGELYYKDRAFPLAEQHLLASGKRD AAVTLAQMMFEWCEKGGLDPGPYAVRGTLPFLAQTPPSIQPALTFLTQFLSLLSSTTS PYHKNFITPIPSQTSFSISEIQLTTSPTLNFVQLALITVQRAPAPGVSGVTARGMDGG IPKEWEGLCRRYSRNSGASGVLAQREVQEVLMQISTEVFHIPPPRGAGGNDLLQNLMG SLFGGGGGGAGAIGAR
I206_07909	MTSLGTAPDALKHTLQSSNSTIDYQKENKRLRSILNTQEKEIND LKSHNSSFSKELDNSLRNVASLASQVDCLKTELASLAGRSVVSGSGLDSSEGIRNELE SIKKHKSELISREYLLKTLLGEVESRQSSGEMAGEEQDVSTANVDNAIQDLLRRIARR GEK
I206_07910	MRITLFFLLQHFNAEERIQDELVFRDTHVIRSSLDLFVPVLNSK YAIANSDCPLFALRLPSLLSAYSVLGTDWAHILGDGTTACLFAHKRIKEVEGIEVDEM VKPLTRARNTIIKITNVDQMPYFDLPPATPRRNTDIPAYQDIFNIAKKIKVEISNLQV KPEIALPL
I206_07911	MGIFSRRTRAPASITNASSSSSTTSFTPASPYNLATSKLAGRST PLSSPVITGSPTFTLPNINLKVVIDPIAFGKGVTSETLLNISVNPDESIGAIRREIAR VVGYPSIGLFKVSIPQQAFQQARAYTGRYGKSVDLLAQFPAFNLDDPEQLELSLGPGQ YEPTSSGSKIKSWFPDLINQGTISIIARPLLNLPSNIIPLTLRAYFAQPPQVTSSTAG PSSLPSTPPPIVVDIHPHTTVDELKSELLRAAGKNQELWRSVILWKIEMTESEMTVID ELGRLKNGKMPWPYPPGAMEPASMSDGNLPVSLFFPKSAPNGDMLNISIWLNPTPDTN SAIVPPLANEIPHFRYPMTNLIRPASSHCLSPFPSVNGLSVEALADIASSPNEMMADA GIATMTTKIKKSRIRPSTAPASVKTFGSGSSIKSIRQNPPSIPFPGYYSNGVVASSKP KAAKEVKEPKGLGIITPTPMDTASPDSIGSTSNTPNILTPPALVLDRTSFSSSNSAES GHSVQSLPLSNESQQSLDTVIITNNMAKLSFPSEENTAWISADGQSNLKKSMSFRGGS IRDRLRKVL
I206_07912	MNTFISASSPLFRYQPCKSCEVTQGFQSGSDFSGRDYNGTESGM ITRTAGTSVSMNCTGTGISFDISYSSPNNSSFKPFLRINGSSPSTSQNATSTSIRGLP LAQHTFELDILSSHDSSDTNNNTVPNDWIRINGATCNLGYAINSQTKNETIDDTAWRD WKIYLTPGWNMLEKDVSNYIDLDQYQAELPTSSRNYNNSISWTEQSNAANQIFFAASA VWVYGIVGDQAGSFEVILDNTAQGVFDGSGGPRVTPLTNTLPSSPPSPSASQTMSSVE VSSYYPSSTAPAAEQLGLPTSLSGEAIAGIVVAASIVLIAFMITWIFACLRKRKEHKI NQETESRRSEGLDNEKAQTTFFRFSSRASSPNRPHPFHPLPSPKNLLQEPQATIQSSI PQQQNNTNSFLKINNSRFSRSTNNQQSEIISDLPTTSENDLGHIPKKSISGLNISNPQ PITIQRKNSEDYLPSLSSASSQIQPLNPKKSSEKLNPKHKHKHEHEHELTTAPVESRI NTSQLLHNNRFETNQSDSNVESIRRETKPPLVAALSGKTSSPLTELSKSTFISKKNSK PFNFEEKNYFGRKSKQSLNNERKSISNSLKTVKTNYTNYTDEENGILSMYAKFPTGIE RQSKRNTQFQFQENEQQQQREEDNLSGVGVALGSPMQDNNFQWTNNEPVPQNSNNDIT KFNRNNNDNEEEEEEEFKPPTRRFFGLGDRPKSGVSNKSGKTVSSVGSGWRYM
I206_07913	MSGFFGFDTSLPERGAQNQGKPQQQQGFSGFQVPGTNDAFALGG AGEEEDLAVYTWGESTGGGLLEGGDEMNDETFGDDLGGITNNFQFSSQPAPQPSKGYG QSTATGKIASTQSRYKPKAAYDPFAFSEDDFYSSRPSKKSTTTKAPARPKPSTAQSGS VENLWNKPAVSSPAWGTAPSAMTRPTPPSSASLPSQGPPAPGHIKSLEEIEAEMAQMA MPSTSSAAPTQQKVFSLEEIERQMMEQTVEPPRQATPQQPLPPREATPTQVPGLAASG YSSQQALLDSMFPELGKGVPASGLGQGTPSFVSDQTGSHEPPRPSPEELARMEELHQR ITAKIESMAKYNNLMGSSDKDFITRIQLSQLATADPYVSDFYAQVFSALKRSRMISEG QGENPTVVQVGAGLGLGVGGPVGNRFGKMGQNTMTKLSTQVKKLVENRAQHQKVANTA ALQGALGRVTRGNAAAPRPVLAIPTSNKPENRPTSHLNEQTGIHRAALTKKQIMFALE ELYDAILELEQMRRDAPPPTAMEEIELWHNRCQAKVDIIWRRLMVMEPLDISNPHPFI SLINPIKGQKLFPRLLRHLPQNQTITLLSLLVATYTQLDVVARAPPPPVADSSLLTKA DRLDRAKREAETDNFLQFVIPGVDMIVNRCGLGLVAGMLAISAQRSEVWRVAATRPGI ALYTALLSKAQSVIRTPIPDPMNPQQSQPVDPAELDQWTKTFTYFLHVLLPHLPDLFP SSLAQKAAFGPGAYLLGGENPLAEREGLEMEKREAEVWGFVAVLAVNAQEEDQTALVG ALREKILHTVQAARHPSTTKERAEMKLRNVNMFLNGLGLDASMIE
I206_07914	MKFTTLSTLLLLPATALAQSSSAAGGSASAAAPSASASSAAGNS TDYLTAVLGALTGAGLTTLVTAAQGIANTTEGQALLGSLASGNKTVFAPSNQAFSNVS QDTLGDTALLTQILSYHILNNTYTASGVATAPNHTIARTLLRGGNYSLPGNFSAPLVL AKNSTNATSFEIIQTASNTTAGGNATAANLHVYVIDQVLTLPQTLTEALPAVAPQLFG LAGSIPGLADSLASANGLTIFAPTDAAIGAIQSSLGQLNSTQVAAVLSNHVINGTVAY STRLTSSNYTSAGGEAFTFMSNSTGAYVKSANSTAKIVQSDIILNNGVVHLIDAVLVN TGSNSQAAESAYSSATAAAATSTEADTPVTATSSATGPSGSASGSSGTSAAFKVAPIA FTSSMMGGIIAAAGAIVGGALTLF
I206_07915	MDYNEPFTASSRGPSTAGLIWQNGMPTLRMEDAVVETVVTHTTR TTTSFAPIVLPRIPSPETLGLPNHLKVEQFPLANQPAPPEMQFFTVNLGGRRVIIQDD TANPINADGTLQASGPGWTKTLPAYSYDSPRANKASQATEERITFMQAMDKAKGKEAR KRPHNFSRPGSRQDEDQNVLTNTPPISASEQLTIRQRSPPRKKIRGMEELSIHPPTGK SSLLSPLPSPEHEAGPSTPTSTTSPLPPNLGSGMEVSALLSLPALASQFDQLPDRIQQ HFLMHLLRRSRMPTLQRVSTFASIALKRDFIAMLPHEVAVQILRRMDRPSLAVASRVC KKWRRMIDTERVVWRQRLIDDDLWYGQGVEEAEERKILDRYETLDWKAEREAQSLGHT HRFGRDDTPSDDEKMLSATTSNPSRMVYEEQIRPTPLKHLYRRRHQDQKNWLHTKPEH TSFSGHGTNVVTCLQFDEDKIISASDDHSINIYDTSGGNLRQRLDGHEGGVWTLEYKN NTLVSGSTDRTVRIWDLEELKEVHVFNGHTSTVRCLQMVEPVWNEKEKEWQPPYPVIV TGSRDATLRVWKLPGRDDAPYNGTLTAEPDAESAPPEDNPYHLHLLEGHSLAVRALAA HGKICISGSYDMSVRVWDIVKGTCIHVLTGHEAKVYSIVYDQYRGRCASGSMDNTVKV WDVNTGDCLHTLTGHTSLVGLLGISPNYLVSAAADASLRVWDPETLDVKTVLSSHGGA ITCFQHDETKVVSGSDGALKLWDIKTGSYVRDLVVGISSVWQVAFNGNLLVAASNRGG QTVFDVFNFSPTTTNPLLVESVDDDTLDSLRTPPWQKKARHSRRSSTRKKNRRSYQRD LELDELDIWTSEEEDVSPVLASYKTHHSTTPLDKWGRSVISPSRKAQYGGSGSASASR RSTRIAGRTSLPLHLDPFPNVASSSSRRTSQPQQHPPAFTHSHISFAASPSNQYASSS TSVFAAPSDTPTKLRKLRSKRDTRSPSNSGIVGIGFSNTSRSGSGNRKIDTSANVTSS SFAPIFDDEGVIVLDHSEDESQGEDGLEGNMNVDEEEEEEE
I206_07916	MACLKYLQKAWSTTISKGGHDSNVLSSLRLIEAKPNYLKGIITI DQKHLNNHGLTDTVTSLSLLTLGYNLPTGLSVNISTEFVNPGGKLKDNLICISKIIKS GHNLSYLRCEFYSTLKNNQNQNQNQIQNELELELGKLVAYGSQTKFMKGFLKSTSFSE DGKIELGLNFEGNKESKL
I206_07917	MSGPPGPSRLPSSTSPTRPNLPLISTSREEAERFFAQLEASSDR KTSGPSDRKPFLSNNSQNRVSQSFNQKSQASVIKPRPDHLFTGSASLKPNKGKQKEVI DLTLSDTDDEVIIPLDSNMKPIVKMEKSRVSDRRESLASDHAGSSRFPEAENSQHRIK LPETQLGPTTSRKSPHSITYNTNANGLSPQEAIDMRSPPWNPPTISHVPLQLLGDGNS MVGRTKRAFSRTPSGSIPMSFSPQKKRKIMPPQSEKHRMSKAEWDAAIDEKKRLDDAK KAMLISSQRANGERGISMSRSVSKESVKTASKSKAHLPSPLKTPMTLPSTTTSPSKNP SPDSHLGTHPPSRATYPLSKPTEPLGSTSLTAVNFPSGSSNVPKSTPNLFEPSKNYNT SSQAGPSKILAPISNKPRQVTSTKSQSSSSQPMPKQPDGHVRTSSRPRPAPGAYTIPA ADTPMDEWPRIDSTHSAGSSGTRRISGGSPIKLKNNQTEQRKSTVARSTKKDLPKVFP AKSTDATPDISRKSHSINKQSQISPTKLKSSNKRTPRTPGRDDNSSRRSTSSQLTPLP SRTASPANPSPVKSLSASPVKGRPFPRLLGDETPLPKDRAPVVISESKETHQEEEADI KPLIDPDAIFAEFDDFKWTNDEEEPINHPGVSGTAAETVDQDIITQTDSADSKPIQTD KVGEMSTPSETLTTPRSAPEIKSASPPPVSPNKRDTEPDKWQQLLEERKAEEEQEKEA ERERERRMEAEFQATMGDKQDEGEGGASGESGDLTTFLDDINSKPNEPTKLRSPSPIK PDFKSAVQSRSESNKAKKEYEKAAKRKAKLDAIHKAIQDENARKYKNENKEFAKILKG IDQSNEFDEVMNDILASNINANLLKDRKTVENAYPTPETDLDVAGQRSGNTDDESEED YYIDPENLDRVLDDSFSQRHINDPAKLENMIHDLKTKGVGVDDNLVRDIKVGLKQQSL AESELSWEGFWEDDHPKPEQLNQRQFIPFDPSSTVQDPILRVIIDMTSQSDSPLDLEA VSTVVSSGTLQFVKEKRREIGLMLLNNAISSSDISWSYTARSAFIDLSQIQGYFQTAD LYDFVEIGIATLLTLGARKTILGPVIKKTPIVEAESRSSGLSIIRETGCALICRIIIA LTKSSSSKVETQSILNWLPILLLLSVDSSTSSTLNRIIGDTIQILLLNSFDSSDDVFE QSRKIALSIASSSTTYPVVVKVAILESLGQRTNESSMVHKWLGMEFLSPGSLDQTEDK PSVPPVRYLLSSIQSLSDEIRPMLSADNTDKEEPNYDHINNRVTFLYAAMSDMAGLVK EMNIDFEDNIDNVKELIENCEVERVREGLRKCRDLLSDQSNGTLKAVVKARLHQLYEI TRLTLTLEIKKNIRSNRLGKNLKVGSKGQTQLNFGKIIQNVEAESQVGIEMERKNSIN GEGEDVEMLLG
I206_07918	MSYASVASHNIPFGEMPKPDQNLAEGHFQGETEAHNNVDSKVNV LPAGSDPNHPDIEAPEPTAPISIDLHPAPAFEPSTSDSAPKPLPTPTKNSVDLPESGA EFEKKAEEAEKKVEKAEKKAESKAKELEKKAEKKGKEYSKKAKEELNKAESALGPYWE KTKDVVLRPGTLGGLLGVVNVGLLGTAGYFAYTRRDQPWDRRLVGGVTAGFLALFGGE GYLAESYLQTPEGKEEAERAKAEGSKFYLQAKEVILRPQVAGGLAGAVNVAILGALGY FSYQNWNRPWDRRTVSAISIGLLGLSGLEGYAGKVYKDKELPKH
I206_07919	MTSSVLPNFLQSSPPRSPHVERNNILSSYFSSSPTHSQHYQQVQ SNSHSNLNSNSNSSIGSRGMSNIFRPTRRDLLLCLLTLSFSYLLFSTPSSNTTIQTLK SPKSSSNSNSSKYKLPNWLFPSSSSSSSSSIKSNSKICNLGENYNNELTYSESVKTFG FLNPSELQEGEFGSLELDSTINKGSKSWDEGEEEQEDDELESLTTSLKAHSPGWTVME KLYIYNGSFYAVVDDPKSWPELRMMTSTGLPANNDPGNSEAREPKGNEIVFISPFEAM KLWGPRVWKMDGMTFLFNDGQFIDHYYHFAAELMLGAWRSYAVYDEHISADGETSLPP PQRVWFLHQNIKEWRDKPKFNPLLMYALFPSISILYPEDWADMKNQTISDKPKAFVLE RALLADRSAAFRGEWTAPTARTVASALHVGSTSRWWWEPIRRQILRYSGLPEKPLSPP GSYIPVITYISRQSSRRRLTKESHEELVKALEERSKKLGWELIIVEAEKMTKEEQFAL AGRTTIMLGVHGNGLSHLLWMPATPRSAVIEMFFKGGFARDYQWTAHALGIRHFAIQH DVSFTSPNLPQVDYPEGFQGTSITVVGKVVADLIEDRLAGRI
I206_07920	MISAFPNIHITGVDLAHPPITVFPPNFAFHIHDVTKGQEYENDT FDVVHVRDIHAGMPDYHAMLTECVRVLRPGGYLLVKEIEWIPRLLDGGDAKARFPAIC SILDSFRDALSERSLDPMVGVNLKSYIDNVTALKDIQYSRHLVPMTPWSDDPILHYTG QMTRLAMAILGGTMRIMLLDHGESDPDGRYSAGIEELKDLSGQPIWVFGSIIAKKEGE MSSASDHLIENGDREESE
I206_07921	MQVTSLSPRLRHLLITEDRRTNGYPMPSRSDPQSQISDSPGSDV GLDLRFIDDDNARRHPSLTIPTLITTNENESNSSSRHSASPRNPTGSVQLEISPHDQK RPLPIDVSASKQYPLPRPTSNIFSLLNGPSAGSPTSSASSVGSLSLRPEDVSEKRTSR EKVQEKSINRKASSPYFRPTLSPTSAPILSPNKEYFMAPHTAPIINHRQSMYFDSRSP PPLQPTDNQDFHLHPSGGALSVIDSRPGLLRRHSSHPHEYPSHQQQQQRPTTAYATGP IYEVEYANMGARAPISRTTKACNACRNRKVRCDAGGGDMGPCSRCVESGTQCVYTGIQ KKRGPCPGTARPSISKPRRPSTQSQISSHRSSVASVQSAQSFVVTPTDEQAPWSRSSY GFPPATSASVSNPSGLPTDSTEWSSIAPGKIRSSVNSQRGFMSNGPINGASVVSWSSN FEQTSSSHPSYVQERDRSNSIFDRNYALAPRNSVHQLDEVETYSPDDRMLPPRRLPPL NVAINDGTYYEC
I206_07922	MLIKTSYRDVPTKANGKSGTIRIYLVEPSLPDYPQAKFPGCVVF SEIYQVTGPVERFASNIASEGYIVALPSSFHEFEGPEAIPYDTEGTDRGNKYKIEKTV EGYDEDATLSVDLLCSLENCTGRIASTGMCLGGHLCAFDPRVLATFCYFATDVHSATL GKGKSDDTLIKIRKGDLAGKGEITMVFGKQDTHVDREGRSLIRDTLDEAGVPLSFLEV QAQHAFIRDESSKGRWDAALTRSLFSMMMELFNRTVARDLGAKVGGGAKLEHIC
I206_07923	MSSSGAPGPSTVRANRPRKASTAASHPPGITPSTDNPSQPPAQS QNHTPTPSASAVGGNMSMPPPSFSTNGPLTVADCEFMVSQLLEPSVNPRRKLEIAFEL RDSAEQTRDFGFYEKYLAIFIPALITILGDEKSVTFVKDNLEQRYRHTLLAFLQRLPH NEPFRHHMSAVMDLCVKLLKLENEENALLCIKIMIDGLRSNKEQMEPYIEPFLDLVKQ MYANTKAVVEKEFGPTGGASASTPATAPTPAAKAPLSAGGNPAAPSPNPATPASDTSA PAQTAPAPQASSSQHHILPHALHSPKVLTECPIAVVLIFQTYKQIMQTAMLDFYPLVI ESIKIQPEPQRLAHEEAQKKKEIFVGVANGITNREMYAELIKAQVKTMAFLAYVLRGN QGNNKEYVDVFPEACLRLLRDCPPEDVGTRKELLVATRHILTVESRSCFIPYIDVLLE ERVLVGTGVSSRELLRPLAYSVVADLIHHVRNDLPLSQLTQVVYVFSCNLNDSTFNSS IQTMCAKLLNTIIDSIHSKADSEEFARIIKGIFFTFLEKLTAMSEAHDRLKAVGLRDK GKGKAKEETDEDVKMEAEAKETTDDKIQNGWRDIEQAMPVHSVAYANESLESFCRESR YLFKTLLHTFRTLLTYTRQGDNPAPQPDGELLSKFFEHSLKCLAIFDFMGRDPREPKE ALELLSQILLLFEPHVFAEVWTSHMPFFSELAITNAQIFPILQMLITHESVSHQLVAI LLKHLMNNLEGLGTMDKAQGILTLKMFKISFLAINTYIANNEVVLVPHLQKLIMNSFE YAAKSEDPTLYYQILRALFRSIGGGRFEALYKEVLPILQEMLDNLSYLLQHAADNSQR DLFVELTLTVPVRLTNLLPHLSYLMKPLVHALGAGPDLVSQGLRTLELCIDNLTAEFL DPTMGPVLRTLMAALHKLLKPIPASRNHSNAALKILGKLGGRNRRFQEVDTILEYDLF TDRLIAPITFDGSRHRLQLAPLVITASAVLGEQGQVLSEDGLQVLMYSALTIFQQDAP SPEGNATFQRVMTGLFLACGQPTLGEKALEFVRNLCRRAFALELGRTESTDPNAKSYP DHSKKRFLPLTNALSDCFVATLHDSKPSERPGLSDLLATIVTDFKELAHSSTFAGKVD GSRSFERMVAFLAHRLVSLCHEEDWSKKMAGMTAMSAFVHKIDLSRKMMIDFELDFVR ALLFCLRDAPKDTPKTSEEVIDLIKHLLKTCQSQEDGKPRLPRLAETLVAELNSQSPL ARRASQECIETLAEITNQSVPELITAIAKAKLLNTEHGPIYSKPLRALPFAMQVANIN AVTYLMDLRPSVPDTSEEFTRLLHETLALADVDDANLISKPATHKSEIWLKKLRISCL KLLKSAMSAPDFLNKPNLAQLRARIIQVYFKHVYSSNPDIVDVAHDGLRDVLQQQNKL PKDVLQQGLRPILVNLADAKRLSVSGLDGLARFLELLTNYFKVEIGTKLLDHFKTLGD ENMLKQAAFSPLDDNPDIARMARLVNIFRLLPSNAITYLNDLVAEVVKVEAILHQSVP GPFTENLGKYLNRYYQNGAQNLIDHVKDPRYIWTYRNIIASGCAPKLVEELATKSEIL CQLCFGEPEDNDTVLPGLYLIRELSRVSATWLMDDNSAGEPALEPLVKVWRIIVSKSR DHKSDMTSYHYQQIPSLLLEMFMTSLRNQRHVALLFHVVEAYEVRASFERSNVSFFLY QQVALVDDLQYRKEVIEHFFELYEQESVSWAFKTNALRVIINPTLRVHFSQPDADQNI ISPQLVQKIANQMWRPLAATAAAKQREDTHLIEVFALTTLLVQHCSAKVNEARKEIFK LAWMGINLLEPTVKLMAYVLAARFMATYETPVKFVRLTWTGVLRLKETDNRTLYRQAI DTLASSLSVRDPPPASGTPEWAKLLRTVLIEEGHATNQLVTVCELLVNHPDLFYDYRE LYVPHVANSLSKLAFVQAATPELKKLTVDIVELIFNWEKRRMAARDADNMSMDIDGSG SKRTGDHFNEQSPVKRQRVDRAGTAISGSSGGGWAAPGQVRELMTAHLLRLVSTSTES VTRNGLTRRALDLFKEILGPKGLPNVHVKLGFFQRTMTQDINDNTRTTVANSTEVIAA AAAVRDRHWVKANLGLLSKLLEKVWVSDETELHEVVAPLTEDLFAEMPSDETAESDPE PKALFTFVQNAVNDGLTSSLRSSSNLPGTLFLLRSWLKTHPKVLQSEIVSSALLKVLG NLVKVHTGNTNPPPQGNDPDFTAKLIISVLDIVRDRVSDLREQRKHLYSIITTLVDRS LSPALCRYVLKLVRHWVIEESDGAAHGKEKAGILLKMPGFEQREDDLFQEYLDVIYEI YEDDKLRCTDITHRLEPAFLLGTKSKNAIQRAKFLDKLEQSLPRSLDGRLQFLYSLQN WDTLADCYWIPQILSQVLGIVDHEQKLVRKPSTTLLETDPIMDMALQATVSDIVTPAR NLIHVDNQLANKLWIEIFPMCWSSLSRAQQTAFTPYIVKLLAKDYLRKQVEMRPNVVQ TFLDGILACRPPITLPPLLVKYLAKTYNAWYVGFEILTKLTDVYRADEGLRESCASAL SELYAELCEDDMFYGLARSRCVFPETTAALTYEQNGLWPKAIELYEQGQIKARNHLLP FTQEEYCLWQDHWILSAQKLQNWENLTELARVDQDADLLLECAWRLSDWTSPDRDMIE QNIARISDIPTPRRKTFEAFTSLLRSHLAREPPNEFLKVLDEAQQVTLRKWVSLPTNI TNAHLPLLQMFQQCVELNEAAQVFDSLQMTNQQNLEMRCNSDLKTIFTTWRDRLPNFW DDISVWSDLLAWRQHVFQAVTRVYHPLIPPGDTATYGYRGFHETAWMINRFGEVARRH GLLDVCSVSLNKIYMLPNIEISEAFLKLREQALCFFQKPDKFNDGLDNISTTNLMYFA PPQKAEFLTLKGMFISRLGQNEEANAEFAHAIQMDMNLPKAWAEWGRFNDKLYRDRPE NVPGPQPEPEPASSAVSCYLQAAGLYSNHKSRGLLLRVLWLLGLDDSRNTISKAFENY KGDLVIWYWITLIPQLLMSLSHREAKQARLLLLRIAKQHPQALFFHLRVSREDFVGVK KQQMQSRAAAQRRAEAQAKAAAANAAAAAEAAGENKPAEDSKEGVKSEQQQQNGDSNT PTANGDQQNGQQPDGAPNVNGQMAPPAQVPPPPRQPWDHVDEIMNMLKTAFPLLALTM EKMVDQISLRAKPNSDEDIYRFFSALLADAMQQWGGRGGLPNDDGELSAQTKDNLAKF ATNLNGDLKASIEKDFMKDMPKLREYIKRLQRWRDFYEKNLDARPKVQPLDQGGCNLT EFHHTKFDDVEIPGQYVHHVDQGEEFIKIARFAPKAELGRGHGHCFRRITMIGNNGSS YTFHVQMPAARHCRREERLTQLFRIMNSVLKKRKESRRRNLQFHLPTATPLAPQLRLV QADSSYVSLQDIFEDFSASKGMSREDTTLAYFDRIKQLHDPAVPRTDPRFSQLKAEVM EEIQTKMVPENIMTNYMIRTMDSPESLWLMRKQFALQTATTMFLTYVCCLSNRTPSRF YISRKTGLMYMTEILPAFAPGQPLIASQEQVPFRLTPNMQNFITRIGIEGVVTAACTA IARCLTQPEFDLSGTLCLFVRDELLIWHNTYMKDARQDSPLINHVYKNVDNFIRRAGT MGYIGENKDKAPGASPVAHAIVTLISQATAPLNLAQMSETYMAWF
I206_07924	MSDQPRSSLFSSLSLGRASRSRPSNETLAHPLLGASTSSLNLPT SDSMSIESSAPHLTHRTSSSFSSKGGSAEMPNLPYKPRQRHGGGIGSAGSATSIFGSA NTASPTLQPVNPTISTSPVTPTTIVSAPPTTSTSTSTTFALPPSTSETSIQIDSSALT TAPALAASSTLTSRLQIQSLKAAAQRIGLGNGSMGMSMIDAIFDKGQLGRAKAGEGGD WGDLLRILMGGKAILLLPTTPSSSLPMTPQTLRDHVAFVAPPVPLASSSYTSKQPGNS SQIFNIEKATSNECVSVVVTLSGLIGTIRDDLITFESSVPYESPLIRDLRDASTRQSV LSSLRPTHALSSTASSSSFPSFTVSKDTASLPFPPPSKTTSIHISNENKEKDKPPPGK LGRINPFASLFGSSAPSPAPGTSPSNTHPPLPSPPDIKTDNTLTPDQPLSPSRVHGGS RPSSPSPASPKPASILTFDLADTTSILSDTASVSLAHGEGYQVTAYTVSRPVRYHETH KSLSKAIRLHIREVLAKLPDKVLDKVVKLVLANACPSAQGDELSKSHKGHENENIAIL DFYDPTTTGERLQDFMEGIYDDLISHYRAESHDGLKRKSSGNTPWGRTHSYGHAKSAE GGEEGVKEKKEQDRLDREEMVEREASEGTEKVEAVVCRLLYNRLFSPLESDDARHDEA LASRIAALNMLDLSLDHLGLITRPESEVPEGTIAKGLADIVEAVGEELQQLSGSDCLT PRDKAKVLITAHKLVADGLARMPIVELRPEGEPYKPPQSEITVDALSNNQAEVELPTL STPENQIPRFSPTDSQTAPHVNDDSSPAASESSDPLNVLGGEAEATPQPKLAKSPSIP QLTLPDSPASPNGDTEQDRLQEAMSDSVMTLTPATSRDASSSTDKSIPLPKPASLKSN TDKPATSGADLILPIIIYSVVKSNPAQLASQLMYLRRYRSAICLTGEASYAIVNLTAV VEFLEHVDLVELGLGGESDRVMSIDDLSPIGLNYLDESNADAASIASASSRLRGRVFQ VGEMAGTAAGSANKVITGVMDSSWTALRGLMGTNPNFPQDGEPNEMENGETKNAVRPE MRPRGASTFSLASVTASVASIAAAAAARNRSRASSRASEQVWGGNQELQEVSSNSRPA SIKERSNEYYPSEDEEDDTVSDSSEEDEEVKPVTVEENSAKEKEKDTKERISLSNRLA SIGVLGRLSSPANAPNNGEGDSRNMEETMPNPASSFLANLTPRSISSSLPSTSHSPAN QETKTHTRRGSLLNNNSLELIRSHSPRGSISSLPMTKDNSGTELNENAAPLDRFMNCE IGDIKISEIGILLRDYRRLGNIVANLQKNES
I206_07925	MPRFLVYAPDYPDHLAQRLAVRPEHLERSAKDPKGLMVYSGPIA PRPGTKQHATPPPPGQLNLAGSFMVYQMNSLEEVWARLKEDVYWRANVWDKERLIVEE LIDV
I206_07926	MASSDTLSNAGPSISRPSSVAQSEGRSVLSAVLAEQRPAQNADI SVKHDNEKPKLGRTPSASPPHDLKMANIPVMPPMLRRVSQLSDSSYTSSHSERINPGS NLPMVQIIDQSGKEVIDPTLRDDEVHVALDSVQVGQNALPPMQRTDSFTSTTSGVTGL PPLPQSQPFTGFNNWSNLPPLNLYSTDAGTDTQPSSQTNSPTSPSSLWPSSYDLNGSL TDLNSSSFNTPDQGLSENEVGFGSDFNETQNESNRNESIGAGVPLPKKKSHARKQPEG HIKRARNAFILFRKHITDSNLIPPSVEVKHQNISVVAAKMWKEAPLEVRAKFNEQARI EKEEHSKKYPGYRYQPVSRRTDIIRRRVRKDPSEDEKVDAVAAALIEGKSGDKLEEEI KEQIIQKGNESDIGSEGSTSSARSRSRRRRDVGQLSKGAMRAQKAQARAKQMRQNLLG TNLLNMSLYNAATNRLATSSHHSHHQNAQAQIQQGYLPNGYSSHPGVAAHYSMYPTEG YISSTIGYDPDGRPVQMVQPGPADMAGGYHTEMYPQARGGLIPEGLPGQEHEMYRLPP IEGMMPMDIPQGGPYEWQAQAQQGGEYWDQPGPINGIPPEMGYGHPHASTNPEDYYDS SSYDIHPGRSTVPLPGSSSAGEGIETMNAEYTLPPLMDLTRKEDPLMSDRGPGDLIAS HYAKDHSISTSGSEGTDGKNIEKEASKEGQQTPSNHVLFNERLFDGALGTAGLGASSS SEMDNHGEGEDLGMFDQAMEQAGGIGNW
I206_07927	MDELHTNAEAGPSNPRSLGPIAPTRPIPDPPPATPPSTAKSQKR RSWFGLTLGSPNILSSPTPNASSANDTQTSPTKKGSIRRERRKSGHSLVDKEELELSS IGTNKGKERRLIDNQHSGPEPEEKGGIQDGDTLTEELLTIEGDLEETIKKKKKKRRSK SDQEDGQVMRMSDLGSGSLKGLGIAQNAPRGSLNYTPTGVIDLSEPINTQPKQSQPFT IRTRTFSRTASDRDTFSPPDPPSSLRLTPKSSTASLTDIRPRTSSLCASHSPSPRVAS LHIDKSQPPLPVDVDRALPPLPSKDSGFSTTLMRPEIPKGIVVTPSSPRKLSKTPKKP PLIQRRSRSATGYSRQFSENSETEEKRSQSNNQISAPRSYIGLGLPSALLPSPKSSTE MPPPPPVPSKTQSVSSPFPSRTPSPIPKIAFVEPNGTPLLEKADEAPAVEEKRKVVRR TRSLSGFFGKSPPGIRHDKMPEAEPKKAEAGESVGKTGGGVLEWLGVRKPVKRITSEA QLKGILNDEKPAYAASDITSVGQQVSSGSEERLLLDGTPNTTLSDSQQPTPRRPQFSL SPSTATSIPRTEINTTGSMFTRRASDRGTDDQLPTPPVPVVPMSIPNAGMRNQTALSS ESSFTLPTHDPVSPFIPGSGPWVSTPQSENEEIIFSTPEAETSNWGPGRRPWMDAGEY RNSSKSSLSTPLDSLPEQGVPTTHSPLKAPPTVREGRVRSWSDAPLPPQKLLQNQLQS SNPHLPLPRPTTPNGTSLSTSAFASPRTPSRPKLGDRSNSGNSAIIGRMKSVFSKSTN RGRSGSLLRKGSSEIDEFGNIPISHGGIGEFGRSQKMRPSTSSSSMASSNAGALRGRS IGEENFRPSHDLSEERSPRSSVTPSLSSLMNTRSDIGDKTEILHEQESKAARKNRVRA STISLAPTSHHFGPPRRPSSPNLFPIAATPPRRRPGTIHRLSNGLFGSGGASPGPSSP KNSLFPLPPRSSGSMSSSYNTNQGFTGWEDSITNTTTETTNSFLSPGTSPRPSTGSLS AAVSPGSSDIKQVVQRDGEEPPKVWLDRIVNNVGRNEIANVLASSGDEFHLEALQIYM STFDFTHNSLDIALRRLLMHMSLPRETQQIDRVIEAFSKRYEECEPHLFGSKDNTYVL AFSMMMLHTDAFNKSNKNKMTKVDYVRNTRMEGVLPVVLESFYDNITYTPFVFIDDDS ELKRSSGYESTSSTFGPSTPVPTPTITSSSLPSTNTITSSSLTVSSGIANGKAKIDVY HMIARGLLGTLRVAPNLIDNERIQKDILFSYQGTKSVLDLDNLCKAFASAHNLVIPSF ITSSTYSQRKVSGKLATPRRNTVGAKDLDRAEDLILRVVKVGLLSRKDNTDHSSKKTS RKWKSWSVILTSSQLLFFKDPTWALTLLEQIRTLHSSDQAGQLLLPRQSTFKPDEVFS LKDCVAVFDHTFTAYSNTFRFVMPQSRQYLLQSSDELEMNEWISLINYAATFKTVNLK MKGPTMRKDQVVLAGAAAAASHKRELRDAHTANIPPGSAKKAIFGDSTNAPRNPVLND NSTSISTFAEHTLPDESVTTNGRNEELDVNEANEIVHEGEQLEEVFGVVKAELAAGRG EAGSTKAALPNGSADLNLPETAVVKDKAHIHTSRVSLIYSLLNDLRSKSQPVEDALQK TSDLIRNISLLTPFLKSTRDKLTAHLQEYLHKMRNDKLVLTKLKLYIKVLEYEGERED REWKVVRHVALQAAARSLREDSLDGVIKDVNRTEDGQIIPKLTLPSSNNDENITTRRH LNGSTSDTENNDGQGRSDRSMNSPVELPELIRKSSEEPSSKFDKRRPSTSDQTSSIHN KSGMRKSNSASDLLDPKFNQGRNRRSSEIDETALSSPRTDTENNNDDDDNDNDRGKPK DTPLLIFKMESPLENTAQIDD
I206_07928	MSKAISKAKYLRQLYPFKEPSTSIDHLVIGGGVVGLSVAANLVN TSGKDKTTFLVERRGQEIQTNRARNSEVIHSGIYYPLGSLKSKLCIRGRDLLYDRCKR LGINYKNTGKIVVATSPSQIPYLEKLHKHSQDSSFLSNPNDISTSSIFTKLLSGEEAR ELEPDLSKEVCKGLLIPSTGIIDSQGLVDSLSREIEESEYNQESLTEDRGEGIIVLGT RVVRIDKEKKGNGWIVQLETNWEGLEEGEKGDVESVRADIVVNAAGLGAVSLSEGVSG DNEDPIKMYAVKGNYMSYKGPGVGNVSRLIYPCPSANIDHLGIHLTLDLEGNIKFGPD VEIIGNSKDYSINPEFWEKHLSPNNSIKLINKFFKNVSNYLPLIDLNKLQPDYSGIRP NIVDSSSKNSKFSDFLIKHDNNNKIGFIELLGFNSPGLTSSLAIGEYVSKILIQKQIK GKYSKIGNEQIKKLEYLSKGWEA
I206_07929	MSNPNSDFHKSPPSFLPRFQSQTGPPPRYTAVVQRVYPYSLRPV IFFTAGLGLIYGVALGVDSLRDLGNDNETAKMKVFDIVQAALYFVIAGIEAFCIFIAI VQKSSLSRLFVILAPAGILINVGNQILSVIIHFAMKSDLISQCVKNETGEVGFDSLGD TTTINTDQAETICDNAWDRGTWSVFAWLFLTLVISLLFASILLSYNRQLLDPSSIRSR AQQTQAQSFPMQGYYPPPPPQNQQGWMVPPYPGPPVNAPPPPPGGYEKGDYHPEASWA QDGQYAPPSGAPPNRSGGFGGETSVNREEEDAWERAQSQGVTAHLTGHAPPPRLEVRD EERGYRIGNEEEDEAWERARNEGVTAHLTGAPRNKEGAV
I206_07930	MSNNNNNNISISQSNEFHIYFTKQKEEIENLMIIQKQLSNLNEI NLKIKSLRNEFNKILNLIPIYDRVKYDKQLNELENKNSSIIRIKEKEKSKPKLKFSFN KSNLNSNSTSNSTTIKSNSIILPIFKNFEKNSNNEIINDNLIKIENNLNLNSNLNSIY EISNLSNKLIKLSSNEIKELSLLNLKNCIIDLTFSSSSSSLNNNNDNENENEIKLNAL YCKSIENCLLISSFSSMIKGSCLLDKIKNSILILNCQQFRIHSSNNNIILLNVNSLPI IENSNKIKFGSFPFNLFNQKSKFTLNDKFINQNHTKVQDFNWPLPIPSPNWNSISNSE SQSIISLEILNEIKELEQDDDVKINQILVNILPPNN